From bd3cc4334b435f0aa2a38f602f8dab7de3b9936c Mon Sep 17 00:00:00 2001 From: TiagoRG <35657250+TiagoRG@users.noreply.github.com> Date: Wed, 25 Jan 2023 23:45:44 +0000 Subject: [PATCH] Removed Teste and DecoderDemo, modified MuxDemo (not working tho :skull:) --- .../quartus-projects/AdderDemo/AdderDemo.qws | Bin 1848 -> 1943 bytes .../AdderDemo/db/AdderDemo.db_info | 2 +- .../quartus-projects/DecoderDemo/Dec2_4.bdf | 584 --- .../DecoderDemo/DecoderDemo.qpf | 31 - .../DecoderDemo/DecoderDemo.qsf | 61 - .../DecoderDemo/DecoderDemo.qws | Bin 657 -> 0 bytes .../quartus-projects/DecoderDemo/Waveform.vwf | 694 ---- .../DecoderDemo/Waveform1.vwf | 328 -- .../DecoderDemo/WaveformDecoderNode.vwf | 330 -- .../DecoderDemo/WaveformDecoderNoide.vwf | 308 -- .../quartus-projects/DecoderDemo/db/.cmp.kpt | Bin 204 -> 0 bytes .../DecoderDemo/db/DecoderDemo.(0).cnf.cdb | Bin 1144 -> 0 bytes .../DecoderDemo/db/DecoderDemo.(0).cnf.hdb | Bin 721 -> 0 bytes .../DecoderDemo/db/DecoderDemo.asm.qmsg | 7 - .../DecoderDemo/db/DecoderDemo.asm.rdb | Bin 799 -> 0 bytes .../DecoderDemo/db/DecoderDemo.asm_labs.ddb | Bin 6673 -> 0 bytes .../DecoderDemo/db/DecoderDemo.cbx.xml | 5 - .../DecoderDemo/db/DecoderDemo.cmp.bpm | Bin 613 -> 0 bytes .../DecoderDemo/db/DecoderDemo.cmp.cdb | Bin 4694 -> 0 bytes .../DecoderDemo/db/DecoderDemo.cmp.hdb | Bin 11908 -> 0 bytes .../DecoderDemo/db/DecoderDemo.cmp.idb | Bin 969 -> 0 bytes .../DecoderDemo/db/DecoderDemo.cmp.logdb | 50 - .../DecoderDemo/db/DecoderDemo.cmp.rdb | Bin 17070 -> 0 bytes .../DecoderDemo/db/DecoderDemo.cmp_merge.kpt | Bin 209 -> 0 bytes ...ve_io_sim_cache.45um_ff_1200mv_0c_fast.hsd | Bin 746429 -> 0 bytes ...ve_io_sim_cache.45um_tt_1200mv_0c_slow.hsd | Bin 744396 -> 0 bytes ...e_io_sim_cache.45um_tt_1200mv_85c_slow.hsd | Bin 747031 -> 0 bytes .../DecoderDemo/db/DecoderDemo.db_info | 3 - .../DecoderDemo/db/DecoderDemo.eda.qmsg | 6 - .../DecoderDemo/db/DecoderDemo.fit.qmsg | 49 - .../DecoderDemo/db/DecoderDemo.hier_info | 18 - .../DecoderDemo/db/DecoderDemo.hif | Bin 334 -> 0 bytes .../DecoderDemo/db/DecoderDemo.lpc.html | 18 - .../DecoderDemo/db/DecoderDemo.lpc.rdb | Bin 407 -> 0 bytes .../DecoderDemo/db/DecoderDemo.lpc.txt | 5 - .../DecoderDemo/db/DecoderDemo.map.ammdb | Bin 129 -> 0 bytes .../DecoderDemo/db/DecoderDemo.map.bpm | Bin 584 -> 0 bytes .../DecoderDemo/db/DecoderDemo.map.cdb | Bin 2881 -> 0 bytes .../DecoderDemo/db/DecoderDemo.map.hdb | Bin 11084 -> 0 bytes .../DecoderDemo/db/DecoderDemo.map.kpt | Bin 206 -> 0 bytes .../DecoderDemo/db/DecoderDemo.map.logdb | 1 - .../DecoderDemo/db/DecoderDemo.map.qmsg | 11 - .../DecoderDemo/db/DecoderDemo.map.rdb | Bin 1341 -> 0 bytes .../DecoderDemo/db/DecoderDemo.map_bb.cdb | Bin 2036 -> 0 bytes .../DecoderDemo/db/DecoderDemo.map_bb.hdb | Bin 10286 -> 0 bytes .../DecoderDemo/db/DecoderDemo.map_bb.logdb | 1 - .../DecoderDemo/db/DecoderDemo.pre_map.hdb | Bin 10887 -> 0 bytes .../DecoderDemo.root_partition.map.reg_db.cdb | Bin 225 -> 0 bytes .../DecoderDemo/db/DecoderDemo.routing.rdb | Bin 3830 -> 0 bytes .../DecoderDemo/db/DecoderDemo.rtlv.hdb | Bin 10831 -> 0 bytes .../DecoderDemo/db/DecoderDemo.rtlv_sg.cdb | Bin 1161 -> 0 bytes .../db/DecoderDemo.rtlv_sg_swap.cdb | Bin 204 -> 0 bytes .../db/DecoderDemo.sld_design_entry.sci | Bin 225 -> 0 bytes .../db/DecoderDemo.sld_design_entry_dsc.sci | Bin 225 -> 0 bytes .../db/DecoderDemo.smart_action.txt | 1 - .../DecoderDemo/db/DecoderDemo.sta.qmsg | 49 - .../DecoderDemo/db/DecoderDemo.sta.rdb | Bin 6301 -> 0 bytes .../DecoderDemo.sta_cmp.6_slow_1200mv_85c.tdb | Bin 3159 -> 0 bytes .../db/DecoderDemo.tis_db_list.ddb | Bin 294 -> 0 bytes .../db/DecoderDemo.tiscmp.fast_1200mv_0c.ddb | Bin 107168 -> 0 bytes .../db/DecoderDemo.tiscmp.slow_1200mv_0c.ddb | Bin 107606 -> 0 bytes .../db/DecoderDemo.tiscmp.slow_1200mv_85c.ddb | Bin 107658 -> 0 bytes .../DecoderDemo/db/DecoderDemo.vpr.ammdb | Bin 294 -> 0 bytes .../db/DecoderDemo_partition_pins.json | 41 - .../DecoderDemo/db/prev_cmp_DecoderDemo.qmsg | 130 - .../DecoderDemo/incremental_db/README | 11 - .../compiled_partitions/DecoderDemo.db_info | 3 - .../DecoderDemo.root_partition.cmp.ammdb | Bin 263 -> 0 bytes .../DecoderDemo.root_partition.cmp.cdb | Bin 3321 -> 0 bytes .../DecoderDemo.root_partition.cmp.dfp | Bin 33 -> 0 bytes .../DecoderDemo.root_partition.cmp.hdb | Bin 10548 -> 0 bytes .../DecoderDemo.root_partition.cmp.logdb | 1 - .../DecoderDemo.root_partition.cmp.rcfdb | Bin 1691 -> 0 bytes .../DecoderDemo.root_partition.map.cdb | Bin 2498 -> 0 bytes .../DecoderDemo.root_partition.map.dpi | Bin 662 -> 0 bytes .../DecoderDemo.root_partition.map.hbdb.cdb | Bin 1600 -> 0 bytes ...ecoderDemo.root_partition.map.hbdb.hb_info | Bin 46 -> 0 bytes .../DecoderDemo.root_partition.map.hbdb.hdb | Bin 9929 -> 0 bytes .../DecoderDemo.root_partition.map.hbdb.sig | 1 - .../DecoderDemo.root_partition.map.hdb | Bin 9831 -> 0 bytes .../DecoderDemo.root_partition.map.kpt | Bin 209 -> 0 bytes .../compiled_partitions/DecoderDemo.rrp.hdb | Bin 11807 -> 0 bytes .../output_files/DecoderDemo.asm.rpt | 92 - .../DecoderDemo/output_files/DecoderDemo.done | 1 - .../output_files/DecoderDemo.eda.rpt | 94 - .../output_files/DecoderDemo.fit.rpt | 873 ----- .../output_files/DecoderDemo.fit.smsg | 8 - .../output_files/DecoderDemo.fit.summary | 16 - .../output_files/DecoderDemo.flow.rpt | 132 - .../DecoderDemo/output_files/DecoderDemo.jdi | 8 - .../output_files/DecoderDemo.map.rpt | 280 -- .../output_files/DecoderDemo.map.summary | 14 - .../DecoderDemo/output_files/DecoderDemo.pin | 216 -- .../DecoderDemo/output_files/DecoderDemo.sld | 1 - .../DecoderDemo/output_files/DecoderDemo.sof | Bin 358707 -> 0 bytes .../output_files/DecoderDemo.sta.rpt | 455 --- .../output_files/DecoderDemo.sta.summary | 5 - .../simulation/modelsim/DecoderDemo.sft | 1 - .../simulation/modelsim/DecoderDemo.vho | 328 -- .../modelsim/DecoderDemo_modelsim.xrf | 16 - .../modelsim/WaveformDecoderNode.vwf.do | 4 - .../modelsim/WaveformDecoderNode.vwf.vht | 118 - .../simulation/qsim/DecoderDemo.do | 17 - .../simulation/qsim/DecoderDemo.msim.vcd | 775 ---- .../simulation/qsim/DecoderDemo.sft | 1 - .../simulation/qsim/DecoderDemo.vho | 328 -- .../simulation/qsim/DecoderDemo.vo | 294 -- .../qsim/DecoderDemo_20221104180429.sim.vwf | 483 --- .../qsim/DecoderDemo_20221114162829.sim.vwf | 787 ---- .../qsim/DecoderDemo_20221114214232.sim.vwf | 483 --- .../qsim/DecoderDemo_6_1200mv_0c_slow.vho | 328 -- .../qsim/DecoderDemo_6_1200mv_0c_vhd_slow.sdo | 180 - .../qsim/DecoderDemo_6_1200mv_85c_slow.vho | 328 -- .../DecoderDemo_6_1200mv_85c_vhd_slow.sdo | 180 - .../qsim/DecoderDemo_min_1200mv_0c_fast.vho | 328 -- .../DecoderDemo_min_1200mv_0c_vhd_fast.sdo | 180 - .../simulation/qsim/DecoderDemo_modelsim.xrf | 15 - .../simulation/qsim/DecoderDemo_vhd.sdo | 180 - .../simulation/qsim/Waveform.vwf.vht | 870 ----- .../simulation/qsim/Waveform.vwf.vt | 470 --- .../simulation/qsim/Waveform1.vwf.vht | 118 - .../simulation/qsim/Waveform1.vwf.vt | 92 - .../qsim/WaveformDecoderNode.vwf.vht | 118 - .../qsim/WaveformDecoderNode.vwf.vt | 92 - .../DecoderDemo/simulation/qsim/transcript | 47 - .../simulation/qsim/vwf_sim_transcript | 76 - .../DecoderDemo/simulation/qsim/work/_info | 199 - .../DecoderDemo/simulation/qsim/work/_lib.qdb | Bin 49152 -> 0 bytes .../simulation/qsim/work/_lib1_0.qdb | Bin 32768 -> 0 bytes .../simulation/qsim/work/_lib1_0.qpg | Bin 548864 -> 0 bytes .../simulation/qsim/work/_lib1_0.qtl | Bin 129270 -> 0 bytes .../DecoderDemo/simulation/qsim/work/_vmake | 4 - 1ano/isd/quartus-projects/MuxDemo/Mux16_1.bdf | 541 ++- .../MuxDemo/{Waveform.vwf => Mux16_1.vwf} | 2890 ++++++-------- 1ano/isd/quartus-projects/MuxDemo/Mux2_1.bdf | 138 +- .../EqCmpDemo.bdf => MuxDemo/Mux2_1.bsf} | 38 +- 1ano/isd/quartus-projects/MuxDemo/MuxDemo.qsf | 3 +- 1ano/isd/quartus-projects/MuxDemo/MuxDemo.qws | Bin 1270 -> 1841 bytes .../MuxDemo/db/MuxDemo.(0).cnf.cdb | Bin 914 -> 916 bytes .../MuxDemo/db/MuxDemo.(0).cnf.hdb | Bin 591 -> 592 bytes .../MuxDemo/db/MuxDemo.(1).cnf.cdb | Bin 1695 -> 1684 bytes .../MuxDemo/db/MuxDemo.(1).cnf.hdb | Bin 1003 -> 970 bytes .../MuxDemo/db/MuxDemo.asm.qmsg | 14 +- .../MuxDemo/db/MuxDemo.asm.rdb | Bin 823 -> 825 bytes .../MuxDemo/db/MuxDemo.cmp.bpm | Bin 781 -> 781 bytes .../MuxDemo/db/MuxDemo.cmp.cdb | Bin 6739 -> 6740 bytes .../MuxDemo/db/MuxDemo.cmp.hdb | Bin 12789 -> 12838 bytes .../MuxDemo/db/MuxDemo.cmp.logdb | 4 +- .../MuxDemo/db/MuxDemo.cmp.rdb | Bin 18680 -> 19233 bytes .../MuxDemo/db/MuxDemo.db_info | 2 +- .../MuxDemo/db/MuxDemo.eda.qmsg | 12 +- .../MuxDemo/db/MuxDemo.fit.qmsg | 98 +- .../MuxDemo/db/MuxDemo.hier_info | 4 +- .../quartus-projects/MuxDemo/db/MuxDemo.hif | Bin 420 -> 420 bytes .../MuxDemo/db/MuxDemo.map.cdb | Bin 3379 -> 3379 bytes .../MuxDemo/db/MuxDemo.map.hdb | Bin 11893 -> 11850 bytes .../MuxDemo/db/MuxDemo.map.qmsg | 26 +- .../MuxDemo/db/MuxDemo.map.rdb | Bin 1340 -> 1342 bytes .../MuxDemo/db/MuxDemo.map_bb.cdb | Bin 2130 -> 2114 bytes .../MuxDemo/db/MuxDemo.map_bb.hdb | Bin 10828 -> 10787 bytes .../MuxDemo/db/MuxDemo.pre_map.hdb | Bin 11805 -> 11769 bytes .../MuxDemo/db/MuxDemo.rtlv.hdb | Bin 11692 -> 11665 bytes .../MuxDemo/db/MuxDemo.rtlv_sg.cdb | Bin 1918 -> 1900 bytes .../MuxDemo/db/MuxDemo.smart_action.txt | 2 +- .../MuxDemo/db/MuxDemo.sta.qmsg | 98 +- .../MuxDemo/db/MuxDemo.sta.rdb | Bin 6227 -> 6223 bytes .../db/MuxDemo.tiscmp.fast_1200mv_0c.ddb | Bin 111412 -> 111395 bytes .../db/MuxDemo.tiscmp.slow_1200mv_0c.ddb | Bin 111662 -> 111658 bytes .../db/MuxDemo.tiscmp.slow_1200mv_85c.ddb | Bin 111551 -> 111596 bytes .../MuxDemo/db/MuxDemo.tmw_info | 4 +- .../MuxDemo/db/MuxDemo_partition_pins.json | 4 +- .../MuxDemo/db/prev_cmp_MuxDemo.qmsg | 264 +- .../MuxDemo.root_partition.cmp.cdb | Bin 4344 -> 4344 bytes .../MuxDemo.root_partition.cmp.hdb | Bin 11462 -> 11414 bytes .../MuxDemo.root_partition.cmp.rcfdb | Bin 3062 -> 3020 bytes .../MuxDemo.root_partition.map.cdb | Bin 3001 -> 3002 bytes .../MuxDemo.root_partition.map.dpi | Bin 976 -> 962 bytes .../MuxDemo.root_partition.map.hbdb.cdb | Bin 1601 -> 1601 bytes .../MuxDemo.root_partition.map.hbdb.hdb | Bin 10737 -> 10705 bytes .../MuxDemo.root_partition.map.hdb | Bin 10491 -> 10436 bytes .../compiled_partitions/MuxDemo.rrp.hdb | Bin 12678 -> 12631 bytes .../MuxDemo/output_files/MuxDemo.asm.rpt | 10 +- .../MuxDemo/output_files/MuxDemo.done | 2 +- .../MuxDemo/output_files/MuxDemo.eda.rpt | 80 +- .../MuxDemo/output_files/MuxDemo.fit.rpt | 194 +- .../MuxDemo/output_files/MuxDemo.fit.summary | 2 +- .../MuxDemo/output_files/MuxDemo.flow.rpt | 25 +- .../MuxDemo/output_files/MuxDemo.map.rpt | 12 +- .../MuxDemo/output_files/MuxDemo.map.summary | 2 +- .../MuxDemo/output_files/MuxDemo.pin | 4 +- .../MuxDemo/output_files/MuxDemo.sof | Bin 358695 -> 358695 bytes .../MuxDemo/output_files/MuxDemo.sta.rpt | 28 +- .../MuxDemo/simulation/modelsim/MuxDemo.vo | 42 +- .../simulation/modelsim/MuxDemo_modelsim.xrf | 6 +- .../MuxDemo/simulation/qsim/MuxDemo.do | 3 +- .../MuxDemo/simulation/qsim/MuxDemo.vho | 38 +- .../simulation/qsim/MuxDemo_modelsim.xrf | 6 +- .../MuxDemo/simulation/qsim/Waveform1.vwf.vht | 3376 +++++++++++++++++ .../MuxDemo/simulation/qsim/transcript | 234 +- .../MuxDemo/simulation/qsim/work/_info | 48 +- .../MuxDemo/simulation/qsim/work/_lib.qdb | Bin 49152 -> 49152 bytes .../MuxDemo/simulation/qsim/work/_lib1_1.qdb | Bin 32768 -> 32768 bytes .../MuxDemo/simulation/qsim/work/_lib1_1.qpg | Bin 1507328 -> 1794048 bytes .../MuxDemo/simulation/qsim/work/_lib1_1.qtl | Bin 72876 -> 108996 bytes 1ano/isd/quartus-projects/Teste/Teste1.bdf | 441 --- 1ano/isd/quartus-projects/Teste/Teste1.bsf | 100 - 1ano/isd/quartus-projects/Teste/Teste1.qpf | 31 - 1ano/isd/quartus-projects/Teste/Teste1.qsf | 61 - 1ano/isd/quartus-projects/Teste/Teste1.qws | Bin 1860 -> 0 bytes 1ano/isd/quartus-projects/Teste/Teste3.bdf | 358 -- 1ano/isd/quartus-projects/Teste/Waveform.vwf | 502 --- 1ano/isd/quartus-projects/Teste/Waveform1.vwf | 246 -- 1ano/isd/quartus-projects/Teste/db/.cmp.kpt | Bin 201 -> 0 bytes .../Teste/db/Teste1.(0).cnf.cdb | Bin 1194 -> 0 bytes .../Teste/db/Teste1.(0).cnf.hdb | Bin 766 -> 0 bytes .../Teste/db/Teste1.(1).cnf.cdb | Bin 1438 -> 0 bytes .../Teste/db/Teste1.(1).cnf.hdb | Bin 927 -> 0 bytes .../Teste/db/Teste1.(2).cnf.cdb | Bin 1072 -> 0 bytes .../Teste/db/Teste1.(2).cnf.hdb | Bin 621 -> 0 bytes .../quartus-projects/Teste/db/Teste1.asm.qmsg | 7 - .../quartus-projects/Teste/db/Teste1.asm.rdb | Bin 815 -> 0 bytes .../Teste/db/Teste1.asm_labs.ddb | Bin 6447 -> 0 bytes .../quartus-projects/Teste/db/Teste1.cbx.xml | 5 - .../quartus-projects/Teste/db/Teste1.cmp.bpm | Bin 592 -> 0 bytes .../quartus-projects/Teste/db/Teste1.cmp.cdb | Bin 3872 -> 0 bytes .../quartus-projects/Teste/db/Teste1.cmp.hdb | Bin 12142 -> 0 bytes .../quartus-projects/Teste/db/Teste1.cmp.idb | Bin 900 -> 0 bytes .../Teste/db/Teste1.cmp.logdb | 47 - .../quartus-projects/Teste/db/Teste1.cmp.rdb | Bin 17213 -> 0 bytes .../Teste/db/Teste1.cmp_merge.kpt | Bin 205 -> 0 bytes ...ve_io_sim_cache.45um_ff_1200mv_0c_fast.hsd | Bin 746768 -> 0 bytes ...ve_io_sim_cache.45um_tt_1200mv_0c_slow.hsd | Bin 744396 -> 0 bytes ...e_io_sim_cache.45um_tt_1200mv_85c_slow.hsd | Bin 746706 -> 0 bytes .../quartus-projects/Teste/db/Teste1.db_info | 3 - .../quartus-projects/Teste/db/Teste1.eda.qmsg | 6 - .../quartus-projects/Teste/db/Teste1.fit.qmsg | 49 - .../Teste/db/Teste1.hier_info | 43 - 1ano/isd/quartus-projects/Teste/db/Teste1.hif | Bin 426 -> 0 bytes .../quartus-projects/Teste/db/Teste1.lpc.html | 34 - .../quartus-projects/Teste/db/Teste1.lpc.rdb | Bin 450 -> 0 bytes .../quartus-projects/Teste/db/Teste1.lpc.txt | 7 - .../Teste/db/Teste1.map.ammdb | Bin 129 -> 0 bytes .../quartus-projects/Teste/db/Teste1.map.bpm | Bin 565 -> 0 bytes .../quartus-projects/Teste/db/Teste1.map.cdb | Bin 2556 -> 0 bytes .../quartus-projects/Teste/db/Teste1.map.hdb | Bin 11227 -> 0 bytes .../quartus-projects/Teste/db/Teste1.map.kpt | Bin 204 -> 0 bytes .../Teste/db/Teste1.map.logdb | 1 - .../quartus-projects/Teste/db/Teste1.map.qmsg | 15 - .../quartus-projects/Teste/db/Teste1.map.rdb | Bin 1330 -> 0 bytes .../Teste/db/Teste1.map_bb.cdb | Bin 1998 -> 0 bytes .../Teste/db/Teste1.map_bb.hdb | Bin 10451 -> 0 bytes .../Teste/db/Teste1.map_bb.logdb | 1 - .../Teste/db/Teste1.pre_map.hdb | Bin 12072 -> 0 bytes .../db/Teste1.root_partition.map.reg_db.cdb | Bin 219 -> 0 bytes .../Teste/db/Teste1.routing.rdb | Bin 3460 -> 0 bytes .../quartus-projects/Teste/db/Teste1.rtlv.hdb | Bin 12005 -> 0 bytes .../Teste/db/Teste1.rtlv_sg.cdb | Bin 2501 -> 0 bytes .../Teste/db/Teste1.rtlv_sg_swap.cdb | Bin 812 -> 0 bytes .../Teste/db/Teste1.sld_design_entry.sci | Bin 225 -> 0 bytes .../Teste/db/Teste1.sld_design_entry_dsc.sci | Bin 225 -> 0 bytes .../Teste/db/Teste1.smart_action.txt | 1 - .../quartus-projects/Teste/db/Teste1.sta.qmsg | 49 - .../quartus-projects/Teste/db/Teste1.sta.rdb | Bin 5969 -> 0 bytes .../db/Teste1.sta_cmp.6_slow_1200mv_85c.tdb | Bin 2190 -> 0 bytes .../Teste/db/Teste1.tis_db_list.ddb | Bin 294 -> 0 bytes .../Teste/db/Teste1.tiscmp.fast_1200mv_0c.ddb | Bin 105610 -> 0 bytes .../Teste/db/Teste1.tiscmp.slow_1200mv_0c.ddb | Bin 105891 -> 0 bytes .../db/Teste1.tiscmp.slow_1200mv_85c.ddb | Bin 105770 -> 0 bytes .../quartus-projects/Teste/db/Teste1.tmw_info | 4 - .../Teste/db/Teste1.vpr.ammdb | Bin 264 -> 0 bytes .../Teste/db/Teste1_partition_pins.json | 29 - .../Teste/db/prev_cmp_Teste1.qmsg | 12 - .../Teste/incremental_db/README | 11 - .../compiled_partitions/Teste1.db_info | 3 - .../Teste1.root_partition.cmp.ammdb | Bin 236 -> 0 bytes .../Teste1.root_partition.cmp.cdb | Bin 2953 -> 0 bytes .../Teste1.root_partition.cmp.dfp | Bin 33 -> 0 bytes .../Teste1.root_partition.cmp.hdb | Bin 10746 -> 0 bytes .../Teste1.root_partition.cmp.logdb | 1 - .../Teste1.root_partition.cmp.rcfdb | Bin 1216 -> 0 bytes .../Teste1.root_partition.map.cdb | Bin 2300 -> 0 bytes .../Teste1.root_partition.map.dpi | Bin 876 -> 0 bytes .../Teste1.root_partition.map.hbdb.cdb | Bin 1601 -> 0 bytes .../Teste1.root_partition.map.hbdb.hb_info | Bin 46 -> 0 bytes .../Teste1.root_partition.map.hbdb.hdb | Bin 10076 -> 0 bytes .../Teste1.root_partition.map.hbdb.sig | 1 - .../Teste1.root_partition.map.hdb | Bin 10078 -> 0 bytes .../Teste1.root_partition.map.kpt | Bin 206 -> 0 bytes .../compiled_partitions/Teste1.rrp.hdb | Bin 11956 -> 0 bytes .../Teste/output_files/Teste1.asm.rpt | 92 - .../Teste/output_files/Teste1.done | 1 - .../Teste/output_files/Teste1.eda.rpt | 108 - .../Teste/output_files/Teste1.fit.rpt | 849 ----- .../Teste/output_files/Teste1.fit.smsg | 8 - .../Teste/output_files/Teste1.fit.summary | 16 - .../Teste/output_files/Teste1.flow.rpt | 138 - .../Teste/output_files/Teste1.jdi | 8 - .../Teste/output_files/Teste1.map.rpt | 289 -- .../Teste/output_files/Teste1.map.summary | 14 - .../Teste/output_files/Teste1.pin | 216 -- .../Teste/output_files/Teste1.sld | 1 - .../Teste/output_files/Teste1.sof | Bin 358692 -> 0 bytes .../Teste/output_files/Teste1.sta.rpt | 437 --- .../Teste/output_files/Teste1.sta.summary | 5 - .../Teste/simulation/modelsim/Teste1.sft | 1 - .../Teste/simulation/modelsim/Teste1.vo | 183 - .../simulation/modelsim/Teste1_modelsim.xrf | 16 - .../Teste/simulation/qsim/Teste1.do | 17 - .../Teste/simulation/qsim/Teste1.msim.vcd | 247 -- .../Teste/simulation/qsim/Teste1.sft | 1 - .../Teste/simulation/qsim/Teste1.vho | 219 -- .../Teste/simulation/qsim/Teste1.vo | 367 -- .../qsim/Teste1_20221202122854.sim.vwf | 1202 ------ .../qsim/Teste1_20221202130335.sim.vwf | 245 -- .../Teste/simulation/qsim/Teste1_modelsim.xrf | 13 - .../Teste/simulation/qsim/Waveform.vwf.vht | 207 - .../Teste/simulation/qsim/Waveform.vwf.vt | 157 - .../Teste/simulation/qsim/Waveform1.vwf.vht | 110 - .../Teste/simulation/qsim/transcript | 47 - .../Teste/simulation/qsim/vwf_sim_transcript | 69 - .../Teste/simulation/qsim/work/_info | 289 -- .../Teste/simulation/qsim/work/_lib.qdb | Bin 49152 -> 0 bytes .../Teste/simulation/qsim/work/_lib1_0.qdb | Bin 32768 -> 0 bytes .../Teste/simulation/qsim/work/_lib1_0.qpg | Bin 237568 -> 0 bytes .../Teste/simulation/qsim/work/_lib1_0.qtl | Bin 78010 -> 0 bytes .../Teste/simulation/qsim/work/_vmake | 4 - 326 files changed, 5686 insertions(+), 22596 deletions(-) delete mode 100644 1ano/isd/quartus-projects/DecoderDemo/Dec2_4.bdf delete mode 100644 1ano/isd/quartus-projects/DecoderDemo/DecoderDemo.qpf delete mode 100644 1ano/isd/quartus-projects/DecoderDemo/DecoderDemo.qsf delete mode 100644 1ano/isd/quartus-projects/DecoderDemo/DecoderDemo.qws delete mode 100644 1ano/isd/quartus-projects/DecoderDemo/Waveform.vwf delete mode 100644 1ano/isd/quartus-projects/DecoderDemo/Waveform1.vwf delete mode 100644 1ano/isd/quartus-projects/DecoderDemo/WaveformDecoderNode.vwf delete mode 100644 1ano/isd/quartus-projects/DecoderDemo/WaveformDecoderNoide.vwf delete mode 100644 1ano/isd/quartus-projects/DecoderDemo/db/.cmp.kpt delete mode 100644 1ano/isd/quartus-projects/DecoderDemo/db/DecoderDemo.(0).cnf.cdb delete mode 100644 1ano/isd/quartus-projects/DecoderDemo/db/DecoderDemo.(0).cnf.hdb delete mode 100644 1ano/isd/quartus-projects/DecoderDemo/db/DecoderDemo.asm.qmsg delete mode 100644 1ano/isd/quartus-projects/DecoderDemo/db/DecoderDemo.asm.rdb delete mode 100644 1ano/isd/quartus-projects/DecoderDemo/db/DecoderDemo.asm_labs.ddb delete mode 100644 1ano/isd/quartus-projects/DecoderDemo/db/DecoderDemo.cbx.xml delete mode 100644 1ano/isd/quartus-projects/DecoderDemo/db/DecoderDemo.cmp.bpm delete mode 100644 1ano/isd/quartus-projects/DecoderDemo/db/DecoderDemo.cmp.cdb delete mode 100644 1ano/isd/quartus-projects/DecoderDemo/db/DecoderDemo.cmp.hdb delete mode 100644 1ano/isd/quartus-projects/DecoderDemo/db/DecoderDemo.cmp.idb delete mode 100644 1ano/isd/quartus-projects/DecoderDemo/db/DecoderDemo.cmp.logdb delete mode 100644 1ano/isd/quartus-projects/DecoderDemo/db/DecoderDemo.cmp.rdb delete mode 100644 1ano/isd/quartus-projects/DecoderDemo/db/DecoderDemo.cmp_merge.kpt delete mode 100644 1ano/isd/quartus-projects/DecoderDemo/db/DecoderDemo.cycloneive_io_sim_cache.45um_ff_1200mv_0c_fast.hsd delete mode 100644 1ano/isd/quartus-projects/DecoderDemo/db/DecoderDemo.cycloneive_io_sim_cache.45um_tt_1200mv_0c_slow.hsd delete mode 100644 1ano/isd/quartus-projects/DecoderDemo/db/DecoderDemo.cycloneive_io_sim_cache.45um_tt_1200mv_85c_slow.hsd delete mode 100644 1ano/isd/quartus-projects/DecoderDemo/db/DecoderDemo.db_info delete mode 100644 1ano/isd/quartus-projects/DecoderDemo/db/DecoderDemo.eda.qmsg delete mode 100644 1ano/isd/quartus-projects/DecoderDemo/db/DecoderDemo.fit.qmsg delete mode 100644 1ano/isd/quartus-projects/DecoderDemo/db/DecoderDemo.hier_info delete mode 100644 1ano/isd/quartus-projects/DecoderDemo/db/DecoderDemo.hif delete mode 100644 1ano/isd/quartus-projects/DecoderDemo/db/DecoderDemo.lpc.html delete mode 100644 1ano/isd/quartus-projects/DecoderDemo/db/DecoderDemo.lpc.rdb delete mode 100644 1ano/isd/quartus-projects/DecoderDemo/db/DecoderDemo.lpc.txt delete mode 100644 1ano/isd/quartus-projects/DecoderDemo/db/DecoderDemo.map.ammdb delete mode 100644 1ano/isd/quartus-projects/DecoderDemo/db/DecoderDemo.map.bpm delete mode 100644 1ano/isd/quartus-projects/DecoderDemo/db/DecoderDemo.map.cdb delete mode 100644 1ano/isd/quartus-projects/DecoderDemo/db/DecoderDemo.map.hdb delete mode 100644 1ano/isd/quartus-projects/DecoderDemo/db/DecoderDemo.map.kpt delete mode 100644 1ano/isd/quartus-projects/DecoderDemo/db/DecoderDemo.map.logdb delete mode 100644 1ano/isd/quartus-projects/DecoderDemo/db/DecoderDemo.map.qmsg delete mode 100644 1ano/isd/quartus-projects/DecoderDemo/db/DecoderDemo.map.rdb delete mode 100644 1ano/isd/quartus-projects/DecoderDemo/db/DecoderDemo.map_bb.cdb delete mode 100644 1ano/isd/quartus-projects/DecoderDemo/db/DecoderDemo.map_bb.hdb delete mode 100644 1ano/isd/quartus-projects/DecoderDemo/db/DecoderDemo.map_bb.logdb delete mode 100644 1ano/isd/quartus-projects/DecoderDemo/db/DecoderDemo.pre_map.hdb delete mode 100644 1ano/isd/quartus-projects/DecoderDemo/db/DecoderDemo.root_partition.map.reg_db.cdb delete mode 100644 1ano/isd/quartus-projects/DecoderDemo/db/DecoderDemo.routing.rdb delete mode 100644 1ano/isd/quartus-projects/DecoderDemo/db/DecoderDemo.rtlv.hdb delete mode 100644 1ano/isd/quartus-projects/DecoderDemo/db/DecoderDemo.rtlv_sg.cdb delete mode 100644 1ano/isd/quartus-projects/DecoderDemo/db/DecoderDemo.rtlv_sg_swap.cdb delete mode 100644 1ano/isd/quartus-projects/DecoderDemo/db/DecoderDemo.sld_design_entry.sci delete mode 100644 1ano/isd/quartus-projects/DecoderDemo/db/DecoderDemo.sld_design_entry_dsc.sci delete mode 100644 1ano/isd/quartus-projects/DecoderDemo/db/DecoderDemo.smart_action.txt delete mode 100644 1ano/isd/quartus-projects/DecoderDemo/db/DecoderDemo.sta.qmsg delete mode 100644 1ano/isd/quartus-projects/DecoderDemo/db/DecoderDemo.sta.rdb delete mode 100644 1ano/isd/quartus-projects/DecoderDemo/db/DecoderDemo.sta_cmp.6_slow_1200mv_85c.tdb delete mode 100644 1ano/isd/quartus-projects/DecoderDemo/db/DecoderDemo.tis_db_list.ddb delete mode 100644 1ano/isd/quartus-projects/DecoderDemo/db/DecoderDemo.tiscmp.fast_1200mv_0c.ddb delete mode 100644 1ano/isd/quartus-projects/DecoderDemo/db/DecoderDemo.tiscmp.slow_1200mv_0c.ddb delete mode 100644 1ano/isd/quartus-projects/DecoderDemo/db/DecoderDemo.tiscmp.slow_1200mv_85c.ddb delete mode 100644 1ano/isd/quartus-projects/DecoderDemo/db/DecoderDemo.vpr.ammdb delete mode 100644 1ano/isd/quartus-projects/DecoderDemo/db/DecoderDemo_partition_pins.json delete mode 100644 1ano/isd/quartus-projects/DecoderDemo/db/prev_cmp_DecoderDemo.qmsg delete mode 100644 1ano/isd/quartus-projects/DecoderDemo/incremental_db/README delete mode 100644 1ano/isd/quartus-projects/DecoderDemo/incremental_db/compiled_partitions/DecoderDemo.db_info delete mode 100644 1ano/isd/quartus-projects/DecoderDemo/incremental_db/compiled_partitions/DecoderDemo.root_partition.cmp.ammdb delete mode 100644 1ano/isd/quartus-projects/DecoderDemo/incremental_db/compiled_partitions/DecoderDemo.root_partition.cmp.cdb delete mode 100644 1ano/isd/quartus-projects/DecoderDemo/incremental_db/compiled_partitions/DecoderDemo.root_partition.cmp.dfp delete mode 100644 1ano/isd/quartus-projects/DecoderDemo/incremental_db/compiled_partitions/DecoderDemo.root_partition.cmp.hdb delete mode 100644 1ano/isd/quartus-projects/DecoderDemo/incremental_db/compiled_partitions/DecoderDemo.root_partition.cmp.logdb delete mode 100644 1ano/isd/quartus-projects/DecoderDemo/incremental_db/compiled_partitions/DecoderDemo.root_partition.cmp.rcfdb delete mode 100644 1ano/isd/quartus-projects/DecoderDemo/incremental_db/compiled_partitions/DecoderDemo.root_partition.map.cdb delete mode 100644 1ano/isd/quartus-projects/DecoderDemo/incremental_db/compiled_partitions/DecoderDemo.root_partition.map.dpi delete mode 100644 1ano/isd/quartus-projects/DecoderDemo/incremental_db/compiled_partitions/DecoderDemo.root_partition.map.hbdb.cdb delete mode 100644 1ano/isd/quartus-projects/DecoderDemo/incremental_db/compiled_partitions/DecoderDemo.root_partition.map.hbdb.hb_info delete mode 100644 1ano/isd/quartus-projects/DecoderDemo/incremental_db/compiled_partitions/DecoderDemo.root_partition.map.hbdb.hdb delete mode 100644 1ano/isd/quartus-projects/DecoderDemo/incremental_db/compiled_partitions/DecoderDemo.root_partition.map.hbdb.sig delete mode 100644 1ano/isd/quartus-projects/DecoderDemo/incremental_db/compiled_partitions/DecoderDemo.root_partition.map.hdb delete mode 100644 1ano/isd/quartus-projects/DecoderDemo/incremental_db/compiled_partitions/DecoderDemo.root_partition.map.kpt delete mode 100644 1ano/isd/quartus-projects/DecoderDemo/incremental_db/compiled_partitions/DecoderDemo.rrp.hdb delete mode 100644 1ano/isd/quartus-projects/DecoderDemo/output_files/DecoderDemo.asm.rpt delete mode 100644 1ano/isd/quartus-projects/DecoderDemo/output_files/DecoderDemo.done delete mode 100644 1ano/isd/quartus-projects/DecoderDemo/output_files/DecoderDemo.eda.rpt delete mode 100644 1ano/isd/quartus-projects/DecoderDemo/output_files/DecoderDemo.fit.rpt delete mode 100644 1ano/isd/quartus-projects/DecoderDemo/output_files/DecoderDemo.fit.smsg delete mode 100644 1ano/isd/quartus-projects/DecoderDemo/output_files/DecoderDemo.fit.summary delete mode 100644 1ano/isd/quartus-projects/DecoderDemo/output_files/DecoderDemo.flow.rpt delete mode 100644 1ano/isd/quartus-projects/DecoderDemo/output_files/DecoderDemo.jdi delete mode 100644 1ano/isd/quartus-projects/DecoderDemo/output_files/DecoderDemo.map.rpt delete mode 100644 1ano/isd/quartus-projects/DecoderDemo/output_files/DecoderDemo.map.summary delete mode 100644 1ano/isd/quartus-projects/DecoderDemo/output_files/DecoderDemo.pin delete mode 100644 1ano/isd/quartus-projects/DecoderDemo/output_files/DecoderDemo.sld delete mode 100644 1ano/isd/quartus-projects/DecoderDemo/output_files/DecoderDemo.sof delete mode 100644 1ano/isd/quartus-projects/DecoderDemo/output_files/DecoderDemo.sta.rpt delete mode 100644 1ano/isd/quartus-projects/DecoderDemo/output_files/DecoderDemo.sta.summary delete mode 100644 1ano/isd/quartus-projects/DecoderDemo/simulation/modelsim/DecoderDemo.sft delete mode 100644 1ano/isd/quartus-projects/DecoderDemo/simulation/modelsim/DecoderDemo.vho delete mode 100644 1ano/isd/quartus-projects/DecoderDemo/simulation/modelsim/DecoderDemo_modelsim.xrf delete mode 100644 1ano/isd/quartus-projects/DecoderDemo/simulation/modelsim/WaveformDecoderNode.vwf.do delete mode 100644 1ano/isd/quartus-projects/DecoderDemo/simulation/modelsim/WaveformDecoderNode.vwf.vht delete mode 100644 1ano/isd/quartus-projects/DecoderDemo/simulation/qsim/DecoderDemo.do delete mode 100644 1ano/isd/quartus-projects/DecoderDemo/simulation/qsim/DecoderDemo.msim.vcd delete mode 100644 1ano/isd/quartus-projects/DecoderDemo/simulation/qsim/DecoderDemo.sft delete mode 100644 1ano/isd/quartus-projects/DecoderDemo/simulation/qsim/DecoderDemo.vho delete mode 100644 1ano/isd/quartus-projects/DecoderDemo/simulation/qsim/DecoderDemo.vo delete mode 100644 1ano/isd/quartus-projects/DecoderDemo/simulation/qsim/DecoderDemo_20221104180429.sim.vwf delete mode 100644 1ano/isd/quartus-projects/DecoderDemo/simulation/qsim/DecoderDemo_20221114162829.sim.vwf delete mode 100644 1ano/isd/quartus-projects/DecoderDemo/simulation/qsim/DecoderDemo_20221114214232.sim.vwf delete mode 100644 1ano/isd/quartus-projects/DecoderDemo/simulation/qsim/DecoderDemo_6_1200mv_0c_slow.vho delete mode 100644 1ano/isd/quartus-projects/DecoderDemo/simulation/qsim/DecoderDemo_6_1200mv_0c_vhd_slow.sdo delete mode 100644 1ano/isd/quartus-projects/DecoderDemo/simulation/qsim/DecoderDemo_6_1200mv_85c_slow.vho delete mode 100644 1ano/isd/quartus-projects/DecoderDemo/simulation/qsim/DecoderDemo_6_1200mv_85c_vhd_slow.sdo delete mode 100644 1ano/isd/quartus-projects/DecoderDemo/simulation/qsim/DecoderDemo_min_1200mv_0c_fast.vho delete mode 100644 1ano/isd/quartus-projects/DecoderDemo/simulation/qsim/DecoderDemo_min_1200mv_0c_vhd_fast.sdo delete mode 100644 1ano/isd/quartus-projects/DecoderDemo/simulation/qsim/DecoderDemo_modelsim.xrf delete mode 100644 1ano/isd/quartus-projects/DecoderDemo/simulation/qsim/DecoderDemo_vhd.sdo delete mode 100644 1ano/isd/quartus-projects/DecoderDemo/simulation/qsim/Waveform.vwf.vht delete mode 100644 1ano/isd/quartus-projects/DecoderDemo/simulation/qsim/Waveform.vwf.vt delete mode 100644 1ano/isd/quartus-projects/DecoderDemo/simulation/qsim/Waveform1.vwf.vht delete mode 100644 1ano/isd/quartus-projects/DecoderDemo/simulation/qsim/Waveform1.vwf.vt delete mode 100644 1ano/isd/quartus-projects/DecoderDemo/simulation/qsim/WaveformDecoderNode.vwf.vht delete mode 100644 1ano/isd/quartus-projects/DecoderDemo/simulation/qsim/WaveformDecoderNode.vwf.vt delete mode 100644 1ano/isd/quartus-projects/DecoderDemo/simulation/qsim/transcript delete mode 100644 1ano/isd/quartus-projects/DecoderDemo/simulation/qsim/vwf_sim_transcript delete mode 100644 1ano/isd/quartus-projects/DecoderDemo/simulation/qsim/work/_info delete mode 100644 1ano/isd/quartus-projects/DecoderDemo/simulation/qsim/work/_lib.qdb delete mode 100644 1ano/isd/quartus-projects/DecoderDemo/simulation/qsim/work/_lib1_0.qdb delete mode 100644 1ano/isd/quartus-projects/DecoderDemo/simulation/qsim/work/_lib1_0.qpg delete mode 100644 1ano/isd/quartus-projects/DecoderDemo/simulation/qsim/work/_lib1_0.qtl delete mode 100644 1ano/isd/quartus-projects/DecoderDemo/simulation/qsim/work/_vmake rename 1ano/isd/quartus-projects/MuxDemo/{Waveform.vwf => Mux16_1.vwf} (79%) rename 1ano/isd/quartus-projects/{DecoderDemo/EqCmpDemo.bdf => MuxDemo/Mux2_1.bsf} (51%) create mode 100644 1ano/isd/quartus-projects/MuxDemo/simulation/qsim/Waveform1.vwf.vht delete mode 100644 1ano/isd/quartus-projects/Teste/Teste1.bdf delete mode 100644 1ano/isd/quartus-projects/Teste/Teste1.bsf delete mode 100644 1ano/isd/quartus-projects/Teste/Teste1.qpf delete mode 100644 1ano/isd/quartus-projects/Teste/Teste1.qsf delete mode 100644 1ano/isd/quartus-projects/Teste/Teste1.qws delete mode 100644 1ano/isd/quartus-projects/Teste/Teste3.bdf delete mode 100644 1ano/isd/quartus-projects/Teste/Waveform.vwf delete mode 100644 1ano/isd/quartus-projects/Teste/Waveform1.vwf delete mode 100644 1ano/isd/quartus-projects/Teste/db/.cmp.kpt delete mode 100644 1ano/isd/quartus-projects/Teste/db/Teste1.(0).cnf.cdb delete mode 100644 1ano/isd/quartus-projects/Teste/db/Teste1.(0).cnf.hdb delete mode 100644 1ano/isd/quartus-projects/Teste/db/Teste1.(1).cnf.cdb delete mode 100644 1ano/isd/quartus-projects/Teste/db/Teste1.(1).cnf.hdb delete mode 100644 1ano/isd/quartus-projects/Teste/db/Teste1.(2).cnf.cdb delete mode 100644 1ano/isd/quartus-projects/Teste/db/Teste1.(2).cnf.hdb delete mode 100644 1ano/isd/quartus-projects/Teste/db/Teste1.asm.qmsg delete mode 100644 1ano/isd/quartus-projects/Teste/db/Teste1.asm.rdb delete mode 100644 1ano/isd/quartus-projects/Teste/db/Teste1.asm_labs.ddb delete mode 100644 1ano/isd/quartus-projects/Teste/db/Teste1.cbx.xml delete mode 100644 1ano/isd/quartus-projects/Teste/db/Teste1.cmp.bpm delete mode 100644 1ano/isd/quartus-projects/Teste/db/Teste1.cmp.cdb delete mode 100644 1ano/isd/quartus-projects/Teste/db/Teste1.cmp.hdb delete mode 100644 1ano/isd/quartus-projects/Teste/db/Teste1.cmp.idb delete mode 100644 1ano/isd/quartus-projects/Teste/db/Teste1.cmp.logdb delete mode 100644 1ano/isd/quartus-projects/Teste/db/Teste1.cmp.rdb delete mode 100644 1ano/isd/quartus-projects/Teste/db/Teste1.cmp_merge.kpt delete mode 100644 1ano/isd/quartus-projects/Teste/db/Teste1.cycloneive_io_sim_cache.45um_ff_1200mv_0c_fast.hsd delete mode 100644 1ano/isd/quartus-projects/Teste/db/Teste1.cycloneive_io_sim_cache.45um_tt_1200mv_0c_slow.hsd delete mode 100644 1ano/isd/quartus-projects/Teste/db/Teste1.cycloneive_io_sim_cache.45um_tt_1200mv_85c_slow.hsd delete mode 100644 1ano/isd/quartus-projects/Teste/db/Teste1.db_info delete mode 100644 1ano/isd/quartus-projects/Teste/db/Teste1.eda.qmsg delete mode 100644 1ano/isd/quartus-projects/Teste/db/Teste1.fit.qmsg delete mode 100644 1ano/isd/quartus-projects/Teste/db/Teste1.hier_info delete mode 100644 1ano/isd/quartus-projects/Teste/db/Teste1.hif delete mode 100644 1ano/isd/quartus-projects/Teste/db/Teste1.lpc.html delete mode 100644 1ano/isd/quartus-projects/Teste/db/Teste1.lpc.rdb delete mode 100644 1ano/isd/quartus-projects/Teste/db/Teste1.lpc.txt delete mode 100644 1ano/isd/quartus-projects/Teste/db/Teste1.map.ammdb delete mode 100644 1ano/isd/quartus-projects/Teste/db/Teste1.map.bpm delete mode 100644 1ano/isd/quartus-projects/Teste/db/Teste1.map.cdb delete mode 100644 1ano/isd/quartus-projects/Teste/db/Teste1.map.hdb delete mode 100644 1ano/isd/quartus-projects/Teste/db/Teste1.map.kpt delete mode 100644 1ano/isd/quartus-projects/Teste/db/Teste1.map.logdb delete mode 100644 1ano/isd/quartus-projects/Teste/db/Teste1.map.qmsg delete mode 100644 1ano/isd/quartus-projects/Teste/db/Teste1.map.rdb delete mode 100644 1ano/isd/quartus-projects/Teste/db/Teste1.map_bb.cdb delete mode 100644 1ano/isd/quartus-projects/Teste/db/Teste1.map_bb.hdb delete mode 100644 1ano/isd/quartus-projects/Teste/db/Teste1.map_bb.logdb delete mode 100644 1ano/isd/quartus-projects/Teste/db/Teste1.pre_map.hdb delete mode 100644 1ano/isd/quartus-projects/Teste/db/Teste1.root_partition.map.reg_db.cdb delete mode 100644 1ano/isd/quartus-projects/Teste/db/Teste1.routing.rdb delete mode 100644 1ano/isd/quartus-projects/Teste/db/Teste1.rtlv.hdb delete mode 100644 1ano/isd/quartus-projects/Teste/db/Teste1.rtlv_sg.cdb delete mode 100644 1ano/isd/quartus-projects/Teste/db/Teste1.rtlv_sg_swap.cdb delete mode 100644 1ano/isd/quartus-projects/Teste/db/Teste1.sld_design_entry.sci delete mode 100644 1ano/isd/quartus-projects/Teste/db/Teste1.sld_design_entry_dsc.sci delete mode 100644 1ano/isd/quartus-projects/Teste/db/Teste1.smart_action.txt delete mode 100644 1ano/isd/quartus-projects/Teste/db/Teste1.sta.qmsg delete mode 100644 1ano/isd/quartus-projects/Teste/db/Teste1.sta.rdb delete mode 100644 1ano/isd/quartus-projects/Teste/db/Teste1.sta_cmp.6_slow_1200mv_85c.tdb delete mode 100644 1ano/isd/quartus-projects/Teste/db/Teste1.tis_db_list.ddb delete mode 100644 1ano/isd/quartus-projects/Teste/db/Teste1.tiscmp.fast_1200mv_0c.ddb delete mode 100644 1ano/isd/quartus-projects/Teste/db/Teste1.tiscmp.slow_1200mv_0c.ddb delete mode 100644 1ano/isd/quartus-projects/Teste/db/Teste1.tiscmp.slow_1200mv_85c.ddb delete mode 100644 1ano/isd/quartus-projects/Teste/db/Teste1.tmw_info delete mode 100644 1ano/isd/quartus-projects/Teste/db/Teste1.vpr.ammdb delete mode 100644 1ano/isd/quartus-projects/Teste/db/Teste1_partition_pins.json delete mode 100644 1ano/isd/quartus-projects/Teste/db/prev_cmp_Teste1.qmsg delete mode 100644 1ano/isd/quartus-projects/Teste/incremental_db/README delete mode 100644 1ano/isd/quartus-projects/Teste/incremental_db/compiled_partitions/Teste1.db_info delete mode 100644 1ano/isd/quartus-projects/Teste/incremental_db/compiled_partitions/Teste1.root_partition.cmp.ammdb delete mode 100644 1ano/isd/quartus-projects/Teste/incremental_db/compiled_partitions/Teste1.root_partition.cmp.cdb delete mode 100644 1ano/isd/quartus-projects/Teste/incremental_db/compiled_partitions/Teste1.root_partition.cmp.dfp delete mode 100644 1ano/isd/quartus-projects/Teste/incremental_db/compiled_partitions/Teste1.root_partition.cmp.hdb delete mode 100644 1ano/isd/quartus-projects/Teste/incremental_db/compiled_partitions/Teste1.root_partition.cmp.logdb delete mode 100644 1ano/isd/quartus-projects/Teste/incremental_db/compiled_partitions/Teste1.root_partition.cmp.rcfdb delete mode 100644 1ano/isd/quartus-projects/Teste/incremental_db/compiled_partitions/Teste1.root_partition.map.cdb delete mode 100644 1ano/isd/quartus-projects/Teste/incremental_db/compiled_partitions/Teste1.root_partition.map.dpi delete mode 100644 1ano/isd/quartus-projects/Teste/incremental_db/compiled_partitions/Teste1.root_partition.map.hbdb.cdb delete mode 100644 1ano/isd/quartus-projects/Teste/incremental_db/compiled_partitions/Teste1.root_partition.map.hbdb.hb_info delete mode 100644 1ano/isd/quartus-projects/Teste/incremental_db/compiled_partitions/Teste1.root_partition.map.hbdb.hdb delete mode 100644 1ano/isd/quartus-projects/Teste/incremental_db/compiled_partitions/Teste1.root_partition.map.hbdb.sig delete mode 100644 1ano/isd/quartus-projects/Teste/incremental_db/compiled_partitions/Teste1.root_partition.map.hdb delete mode 100644 1ano/isd/quartus-projects/Teste/incremental_db/compiled_partitions/Teste1.root_partition.map.kpt delete mode 100644 1ano/isd/quartus-projects/Teste/incremental_db/compiled_partitions/Teste1.rrp.hdb delete mode 100644 1ano/isd/quartus-projects/Teste/output_files/Teste1.asm.rpt delete mode 100644 1ano/isd/quartus-projects/Teste/output_files/Teste1.done delete mode 100644 1ano/isd/quartus-projects/Teste/output_files/Teste1.eda.rpt delete mode 100644 1ano/isd/quartus-projects/Teste/output_files/Teste1.fit.rpt delete mode 100644 1ano/isd/quartus-projects/Teste/output_files/Teste1.fit.smsg delete mode 100644 1ano/isd/quartus-projects/Teste/output_files/Teste1.fit.summary delete mode 100644 1ano/isd/quartus-projects/Teste/output_files/Teste1.flow.rpt delete mode 100644 1ano/isd/quartus-projects/Teste/output_files/Teste1.jdi delete mode 100644 1ano/isd/quartus-projects/Teste/output_files/Teste1.map.rpt delete mode 100644 1ano/isd/quartus-projects/Teste/output_files/Teste1.map.summary delete mode 100644 1ano/isd/quartus-projects/Teste/output_files/Teste1.pin delete mode 100644 1ano/isd/quartus-projects/Teste/output_files/Teste1.sld delete mode 100644 1ano/isd/quartus-projects/Teste/output_files/Teste1.sof delete mode 100644 1ano/isd/quartus-projects/Teste/output_files/Teste1.sta.rpt delete mode 100644 1ano/isd/quartus-projects/Teste/output_files/Teste1.sta.summary delete mode 100644 1ano/isd/quartus-projects/Teste/simulation/modelsim/Teste1.sft delete mode 100644 1ano/isd/quartus-projects/Teste/simulation/modelsim/Teste1.vo delete mode 100644 1ano/isd/quartus-projects/Teste/simulation/modelsim/Teste1_modelsim.xrf delete mode 100644 1ano/isd/quartus-projects/Teste/simulation/qsim/Teste1.do delete mode 100644 1ano/isd/quartus-projects/Teste/simulation/qsim/Teste1.msim.vcd delete mode 100644 1ano/isd/quartus-projects/Teste/simulation/qsim/Teste1.sft delete mode 100644 1ano/isd/quartus-projects/Teste/simulation/qsim/Teste1.vho delete mode 100644 1ano/isd/quartus-projects/Teste/simulation/qsim/Teste1.vo delete mode 100644 1ano/isd/quartus-projects/Teste/simulation/qsim/Teste1_20221202122854.sim.vwf delete mode 100644 1ano/isd/quartus-projects/Teste/simulation/qsim/Teste1_20221202130335.sim.vwf delete mode 100644 1ano/isd/quartus-projects/Teste/simulation/qsim/Teste1_modelsim.xrf delete mode 100644 1ano/isd/quartus-projects/Teste/simulation/qsim/Waveform.vwf.vht delete mode 100644 1ano/isd/quartus-projects/Teste/simulation/qsim/Waveform.vwf.vt delete mode 100644 1ano/isd/quartus-projects/Teste/simulation/qsim/Waveform1.vwf.vht delete mode 100644 1ano/isd/quartus-projects/Teste/simulation/qsim/transcript delete mode 100644 1ano/isd/quartus-projects/Teste/simulation/qsim/vwf_sim_transcript delete mode 100644 1ano/isd/quartus-projects/Teste/simulation/qsim/work/_info delete mode 100644 1ano/isd/quartus-projects/Teste/simulation/qsim/work/_lib.qdb delete mode 100644 1ano/isd/quartus-projects/Teste/simulation/qsim/work/_lib1_0.qdb delete mode 100644 1ano/isd/quartus-projects/Teste/simulation/qsim/work/_lib1_0.qpg delete mode 100644 1ano/isd/quartus-projects/Teste/simulation/qsim/work/_lib1_0.qtl delete mode 100644 1ano/isd/quartus-projects/Teste/simulation/qsim/work/_vmake diff --git a/1ano/isd/quartus-projects/AdderDemo/AdderDemo.qws b/1ano/isd/quartus-projects/AdderDemo/AdderDemo.qws index 8a9126ba8eb9e1237a5e7617a896869e436441b4..a4d9be996591000a1b8f0992b2c0ba19f1dd3a58 100644 GIT binary patch delta 131 zcmdnNH=Tci|715-K|KZr1|tSNAk=4YVMt|2X2@qq0n$ZaUM`Ra6E|XrXE52Am&COB i2a5yKlm31|uL&WJm)-kXmCPZNyN^05TiHMn<48 wW7p(8toIn1CckC0;S^&C0oq-`P%^oiMQQRbmY~VS>?)HDSc5m)Fn?hL01lQT{Qv*} diff --git a/1ano/isd/quartus-projects/AdderDemo/db/AdderDemo.db_info b/1ano/isd/quartus-projects/AdderDemo/db/AdderDemo.db_info index 1772d20..501468e 100644 --- a/1ano/isd/quartus-projects/AdderDemo/db/AdderDemo.db_info +++ b/1ano/isd/quartus-projects/AdderDemo/db/AdderDemo.db_info @@ -1,3 +1,3 @@ Quartus_Version = Version 20.1.1 Build 720 11/11/2020 SJ Lite Edition Version_Index = 520278016 -Creation_Time = Wed Jan 18 13:25:36 2023 +Creation_Time = Wed Jan 25 23:15:50 2023 diff --git a/1ano/isd/quartus-projects/DecoderDemo/Dec2_4.bdf b/1ano/isd/quartus-projects/DecoderDemo/Dec2_4.bdf deleted file mode 100644 index 68746e7..0000000 --- a/1ano/isd/quartus-projects/DecoderDemo/Dec2_4.bdf +++ /dev/null @@ -1,584 +0,0 @@ -/* -WARNING: Do NOT edit the input and output ports in this file in a text -editor if you plan to continue editing the block that represents it in -the Block Editor! File corruption is VERY likely to occur. -*/ -/* -Copyright (C) 2020 Intel Corporation. All rights reserved. -Your use of Intel Corporation's design tools, logic functions -and other software and tools, and any partner logic -functions, and any output files from any of the foregoing -(including device programming or simulation files), and any -associated documentation or information are expressly subject -to the terms and conditions of the Intel Program License -Subscription Agreement, the Intel Quartus Prime License Agreement, -the Intel FPGA IP License Agreement, or other applicable license -agreement, including, without limitation, that your use is for -the sole purpose of programming logic devices manufactured by -Intel and sold by Intel or its authorized distributors. Please -refer to the applicable agreement for further details, at -https://fpgasoftware.intel.com/eula. -*/ -(header "graphic" (version "1.4")) -(pin - (input) - (rect 16 -112 32 56) - (text "INPUT" (rect 6 125 16 154)(font "Arial" (font_size 6))(vertical)) - (text "E0L" (rect 3 5 16 25)(font "Intel Clear" )(vertical)) - (pt 8 168) - (drawing - (line (pt 4 84)(pt 4 109)) - (line (pt 12 84)(pt 12 109)) - (line (pt 8 113)(pt 8 168)) - (line (pt 4 84)(pt 12 84)) - (line (pt 12 109)(pt 8 113)) - (line (pt 4 109)(pt 8 113)) - ) - (rotate270) - (text "VCC" (rect -1 128 9 149)(font "Arial" (font_size 6))(vertical)) -) -(pin - (input) - (rect 40 -112 56 56) - (text "INPUT" (rect 6 125 16 154)(font "Arial" (font_size 6))(vertical)) - (text "E1" (rect 3 5 16 17)(font "Intel Clear" )(vertical)) - (pt 8 168) - (drawing - (line (pt 4 84)(pt 4 109)) - (line (pt 12 84)(pt 12 109)) - (line (pt 8 113)(pt 8 168)) - (line (pt 4 84)(pt 12 84)) - (line (pt 12 109)(pt 8 113)) - (line (pt 4 109)(pt 8 113)) - ) - (rotate270) - (text "VCC" (rect -1 128 9 149)(font "Arial" (font_size 6))(vertical)) -) -(pin - (input) - (rect 72 -112 88 56) - (text "INPUT" (rect 6 125 16 154)(font "Arial" (font_size 6))(vertical)) - (text "X1" (rect 4 5 17 19)(font "Intel Clear" )(vertical)) - (pt 8 168) - (drawing - (line (pt 4 84)(pt 4 109)) - (line (pt 12 84)(pt 12 109)) - (line (pt 8 113)(pt 8 168)) - (line (pt 4 84)(pt 12 84)) - (line (pt 12 109)(pt 8 113)) - (line (pt 4 109)(pt 8 113)) - ) - (rotate270) - (text "VCC" (rect -1 128 9 149)(font "Arial" (font_size 6))(vertical)) -) -(pin - (input) - (rect 120 -112 136 56) - (text "INPUT" (rect 6 125 16 154)(font "Arial" (font_size 6))(vertical)) - (text "X0" (rect 3 5 16 20)(font "Intel Clear" )(vertical)) - (pt 8 168) - (drawing - (line (pt 4 84)(pt 4 109)) - (line (pt 12 84)(pt 12 109)) - (line (pt 8 113)(pt 8 168)) - (line (pt 4 84)(pt 12 84)) - (line (pt 12 109)(pt 8 113)) - (line (pt 4 109)(pt 8 113)) - ) - (rotate270) - (text "VCC" (rect -1 128 9 149)(font "Arial" (font_size 6))(vertical)) -) -(pin - (output) - (rect 296 168 472 184) - (text "OUTPUT" (rect 1 0 41 10)(font "Arial" (font_size 6))) - (text "Y3" (rect 90 0 105 11)(font "Arial" )) - (pt 0 8) - (drawing - (line (pt 0 8)(pt 52 8)) - (line (pt 52 4)(pt 78 4)) - (line (pt 52 12)(pt 78 12)) - (line (pt 52 12)(pt 52 4)) - (line (pt 78 4)(pt 82 8)) - (line (pt 82 8)(pt 78 12)) - (line (pt 78 12)(pt 82 8)) - ) -) -(pin - (output) - (rect 296 256 472 272) - (text "OUTPUT" (rect 1 0 41 10)(font "Arial" (font_size 6))) - (text "Y2" (rect 90 0 104 13)(font "Intel Clear" )) - (pt 0 8) - (drawing - (line (pt 0 8)(pt 52 8)) - (line (pt 52 4)(pt 78 4)) - (line (pt 52 12)(pt 78 12)) - (line (pt 52 12)(pt 52 4)) - (line (pt 78 4)(pt 82 8)) - (line (pt 82 8)(pt 78 12)) - (line (pt 78 12)(pt 82 8)) - ) -) -(pin - (output) - (rect 296 432 472 448) - (text "OUTPUT" (rect 1 0 41 10)(font "Arial" (font_size 6))) - (text "Y1" (rect 90 0 104 13)(font "Intel Clear" )) - (pt 0 8) - (drawing - (line (pt 0 8)(pt 52 8)) - (line (pt 52 4)(pt 78 4)) - (line (pt 52 12)(pt 78 12)) - (line (pt 52 12)(pt 52 4)) - (line (pt 78 4)(pt 82 8)) - (line (pt 82 8)(pt 78 12)) - (line (pt 78 12)(pt 82 8)) - ) -) -(pin - (output) - (rect 296 344 472 360) - (text "OUTPUT" (rect 1 0 41 10)(font "Arial" (font_size 6))) - (text "Y0" (rect 90 0 105 13)(font "Intel Clear" )) - (pt 0 8) - (drawing - (line (pt 0 8)(pt 52 8)) - (line (pt 52 4)(pt 78 4)) - (line (pt 52 12)(pt 78 12)) - (line (pt 52 12)(pt 52 4)) - (line (pt 78 4)(pt 82 8)) - (line (pt 82 8)(pt 78 12)) - (line (pt 78 12)(pt 82 8)) - ) -) -(symbol - (rect 232 136 296 216) - (text "AND4" (rect 1 0 29 10)(font "Arial" (font_size 6))) - (text "inst" (rect 3 69 21 80)(font "Arial" )) - (port - (pt 0 16) - (input) - (text "IN1" (rect 2 7 23 18)(font "Courier New" (bold))(invisible)) - (text "IN1" (rect 2 7 23 18)(font "Courier New" (bold))(invisible)) - (line (pt 0 16)(pt 18 16)) - ) - (port - (pt 0 32) - (input) - (text "IN2" (rect 2 23 23 34)(font "Courier New" (bold))(invisible)) - (text "IN2" (rect 2 23 23 34)(font "Courier New" (bold))(invisible)) - (line (pt 0 32)(pt 18 32)) - ) - (port - (pt 0 48) - (input) - (text "IN3" (rect 2 39 23 50)(font "Courier New" (bold))(invisible)) - (text "IN3" (rect 2 39 23 50)(font "Courier New" (bold))(invisible)) - (line (pt 0 48)(pt 18 48)) - ) - (port - (pt 0 64) - (input) - (text "IN4" (rect 2 55 23 66)(font "Courier New" (bold))(invisible)) - (text "IN4" (rect 2 55 23 66)(font "Courier New" (bold))(invisible)) - (line (pt 0 64)(pt 18 64)) - ) - (port - (pt 64 40) - (output) - (text "OUT" (rect 48 31 69 42)(font "Courier New" (bold))(invisible)) - (text "OUT" (rect 48 31 69 42)(font "Courier New" (bold))(invisible)) - (line (pt 46 40)(pt 64 40)) - ) - (drawing - (line (pt 18 28)(pt 34 28)) - (line (pt 18 52)(pt 18 28)) - (line (pt 18 67)(pt 18 13)) - (line (pt 34 53)(pt 18 53)) - (arc (pt 34 52)(pt 34 28)(rect 22 28 47 53)) - ) -) -(symbol - (rect 232 224 296 304) - (text "AND4" (rect 1 0 29 10)(font "Arial" (font_size 6))) - (text "inst1" (rect 3 69 27 82)(font "Intel Clear" )) - (port - (pt 0 16) - (input) - (text "IN1" (rect 2 7 23 18)(font "Courier New" (bold))(invisible)) - (text "IN1" (rect 2 7 23 18)(font "Courier New" (bold))(invisible)) - (line (pt 0 16)(pt 18 16)) - ) - (port - (pt 0 32) - (input) - (text "IN2" (rect 2 23 23 34)(font "Courier New" (bold))(invisible)) - (text "IN2" (rect 2 23 23 34)(font "Courier New" (bold))(invisible)) - (line (pt 0 32)(pt 18 32)) - ) - (port - (pt 0 48) - (input) - (text "IN3" (rect 2 39 23 50)(font "Courier New" (bold))(invisible)) - (text "IN3" (rect 2 39 23 50)(font "Courier New" (bold))(invisible)) - (line (pt 0 48)(pt 18 48)) - ) - (port - (pt 0 64) - (input) - (text "IN4" (rect 2 55 23 66)(font "Courier New" (bold))(invisible)) - (text "IN4" (rect 2 55 23 66)(font "Courier New" (bold))(invisible)) - (line (pt 0 64)(pt 18 64)) - ) - (port - (pt 64 40) - (output) - (text "OUT" (rect 48 31 69 42)(font "Courier New" (bold))(invisible)) - (text "OUT" (rect 48 31 69 42)(font "Courier New" (bold))(invisible)) - (line (pt 46 40)(pt 64 40)) - ) - (drawing - (line (pt 18 28)(pt 34 28)) - (line (pt 18 52)(pt 18 28)) - (line (pt 18 67)(pt 18 13)) - (line (pt 34 53)(pt 18 53)) - (arc (pt 34 52)(pt 34 28)(rect 22 28 47 53)) - ) -) -(symbol - (rect 232 312 296 392) - (text "AND4" (rect 1 0 29 10)(font "Arial" (font_size 6))) - (text "inst2" (rect 3 69 27 82)(font "Intel Clear" )) - (port - (pt 0 16) - (input) - (text "IN1" (rect 2 7 23 18)(font "Courier New" (bold))(invisible)) - (text "IN1" (rect 2 7 23 18)(font "Courier New" (bold))(invisible)) - (line (pt 0 16)(pt 18 16)) - ) - (port - (pt 0 32) - (input) - (text "IN2" (rect 2 23 23 34)(font "Courier New" (bold))(invisible)) - (text "IN2" (rect 2 23 23 34)(font "Courier New" (bold))(invisible)) - (line (pt 0 32)(pt 18 32)) - ) - (port - (pt 0 48) - (input) - (text "IN3" (rect 2 39 23 50)(font "Courier New" (bold))(invisible)) - (text "IN3" (rect 2 39 23 50)(font "Courier New" (bold))(invisible)) - (line (pt 0 48)(pt 18 48)) - ) - (port - (pt 0 64) - (input) - (text "IN4" (rect 2 55 23 66)(font "Courier New" (bold))(invisible)) - (text "IN4" (rect 2 55 23 66)(font "Courier New" (bold))(invisible)) - (line (pt 0 64)(pt 18 64)) - ) - (port - (pt 64 40) - (output) - (text "OUT" (rect 48 31 69 42)(font "Courier New" (bold))(invisible)) - (text "OUT" (rect 48 31 69 42)(font "Courier New" (bold))(invisible)) - (line (pt 46 40)(pt 64 40)) - ) - (drawing - (line (pt 18 28)(pt 34 28)) - (line (pt 18 52)(pt 18 28)) - (line (pt 18 67)(pt 18 13)) - (line (pt 34 53)(pt 18 53)) - (arc (pt 34 52)(pt 34 28)(rect 22 28 47 53)) - ) -) -(symbol - (rect 232 400 296 480) - (text "AND4" (rect 1 0 29 10)(font "Arial" (font_size 6))) - (text "inst3" (rect 3 69 27 82)(font "Intel Clear" )) - (port - (pt 0 16) - (input) - (text "IN1" (rect 2 7 23 18)(font "Courier New" (bold))(invisible)) - (text "IN1" (rect 2 7 23 18)(font "Courier New" (bold))(invisible)) - (line (pt 0 16)(pt 18 16)) - ) - (port - (pt 0 32) - (input) - (text "IN2" (rect 2 23 23 34)(font "Courier New" (bold))(invisible)) - (text "IN2" (rect 2 23 23 34)(font "Courier New" (bold))(invisible)) - (line (pt 0 32)(pt 18 32)) - ) - (port - (pt 0 48) - (input) - (text "IN3" (rect 2 39 23 50)(font "Courier New" (bold))(invisible)) - (text "IN3" (rect 2 39 23 50)(font "Courier New" (bold))(invisible)) - (line (pt 0 48)(pt 18 48)) - ) - (port - (pt 0 64) - (input) - (text "IN4" (rect 2 55 23 66)(font "Courier New" (bold))(invisible)) - (text "IN4" (rect 2 55 23 66)(font "Courier New" (bold))(invisible)) - (line (pt 0 64)(pt 18 64)) - ) - (port - (pt 64 40) - (output) - (text "OUT" (rect 48 31 69 42)(font "Courier New" (bold))(invisible)) - (text "OUT" (rect 48 31 69 42)(font "Courier New" (bold))(invisible)) - (line (pt 46 40)(pt 64 40)) - ) - (drawing - (line (pt 18 28)(pt 34 28)) - (line (pt 18 52)(pt 18 28)) - (line (pt 18 67)(pt 18 13)) - (line (pt 34 53)(pt 18 53)) - (arc (pt 34 52)(pt 34 28)(rect 22 28 47 53)) - ) -) -(symbol - (rect 136 64 168 112) - (text "NOT" (rect 22 1 32 22)(font "Arial" (font_size 6))(vertical)) - (text "inst4" (rect -2 3 11 28)(font "Intel Clear" )(vertical)) - (port - (pt 16 0) - (input) - (text "IN" (rect 2 7 16 18)(font "Courier New" (bold))(invisible)) - (text "IN" (rect 14 2 25 16)(font "Courier New" (bold))(vertical)(invisible)) - (line (pt 16 0)(pt 16 13)) - ) - (port - (pt 16 48) - (output) - (text "OUT" (rect 32 7 53 18)(font "Courier New" (bold))(invisible)) - (text "OUT" (rect 14 32 25 53)(font "Courier New" (bold))(vertical)(invisible)) - (line (pt 16 39)(pt 16 48)) - ) - (drawing - (line (pt 7 13)(pt 25 13)) - (line (pt 25 13)(pt 16 31)) - (line (pt 7 13)(pt 16 31)) - (circle (rect 12 31 20 39)) - ) - (rotate270) -) -(symbol - (rect 88 64 120 112) - (text "NOT" (rect 22 1 32 22)(font "Arial" (font_size 6))(vertical)) - (text "inst5" (rect -2 3 11 27)(font "Intel Clear" )(vertical)) - (port - (pt 16 0) - (input) - (text "IN" (rect 2 7 16 18)(font "Courier New" (bold))(invisible)) - (text "IN" (rect 14 2 25 16)(font "Courier New" (bold))(vertical)(invisible)) - (line (pt 16 0)(pt 16 13)) - ) - (port - (pt 16 48) - (output) - (text "OUT" (rect 32 7 53 18)(font "Courier New" (bold))(invisible)) - (text "OUT" (rect 14 32 25 53)(font "Courier New" (bold))(vertical)(invisible)) - (line (pt 16 39)(pt 16 48)) - ) - (drawing - (line (pt 7 13)(pt 25 13)) - (line (pt 25 13)(pt 16 31)) - (line (pt 7 13)(pt 16 31)) - (circle (rect 12 31 20 39)) - ) - (rotate270) -) -(symbol - (rect 8 64 40 112) - (text "NOT" (rect 22 1 32 22)(font "Arial" (font_size 6))(vertical)) - (text "inst7" (rect -2 3 11 27)(font "Intel Clear" )(vertical)) - (port - (pt 16 0) - (input) - (text "IN" (rect 2 7 16 18)(font "Courier New" (bold))(invisible)) - (text "IN" (rect 14 2 25 16)(font "Courier New" (bold))(vertical)(invisible)) - (line (pt 16 0)(pt 16 13)) - ) - (port - (pt 16 48) - (output) - (text "OUT" (rect 32 7 53 18)(font "Courier New" (bold))(invisible)) - (text "OUT" (rect 14 32 25 53)(font "Courier New" (bold))(vertical)(invisible)) - (line (pt 16 39)(pt 16 48)) - ) - (drawing - (line (pt 7 13)(pt 25 13)) - (line (pt 25 13)(pt 16 31)) - (line (pt 7 13)(pt 16 31)) - (circle (rect 12 31 20 39)) - ) - (rotate270) -) -(connector - (pt 24 152) - (pt 232 152) -) -(connector - (pt 24 240) - (pt 232 240) -) -(connector - (pt 24 328) - (pt 232 328) -) -(connector - (pt 24 416) - (pt 232 416) -) -(connector - (pt 24 112) - (pt 24 152) -) -(connector - (pt 24 152) - (pt 24 240) -) -(connector - (pt 24 240) - (pt 24 328) -) -(connector - (pt 24 328) - (pt 24 416) -) -(connector - (pt 48 168) - (pt 232 168) -) -(connector - (pt 48 256) - (pt 232 256) -) -(connector - (pt 48 344) - (pt 232 344) -) -(connector - (pt 48 432) - (pt 232 432) -) -(connector - (pt 48 56) - (pt 48 168) -) -(connector - (pt 48 168) - (pt 48 256) -) -(connector - (pt 48 256) - (pt 48 344) -) -(connector - (pt 48 344) - (pt 48 432) -) -(connector - (pt 128 64) - (pt 152 64) -) -(connector - (pt 80 64) - (pt 104 64) -) -(connector - (pt 104 184) - (pt 232 184) -) -(connector - (pt 152 200) - (pt 232 200) -) -(connector - (pt 104 272) - (pt 232 272) -) -(connector - (pt 104 112) - (pt 104 184) -) -(connector - (pt 104 184) - (pt 104 272) -) -(connector - (pt 128 288) - (pt 232 288) -) -(connector - (pt 128 56) - (pt 128 64) -) -(connector - (pt 80 360) - (pt 232 360) -) -(connector - (pt 80 448) - (pt 232 448) -) -(connector - (pt 80 56) - (pt 80 64) -) -(connector - (pt 80 64) - (pt 80 360) -) -(connector - (pt 80 360) - (pt 80 448) -) -(connector - (pt 152 376) - (pt 232 376) -) -(connector - (pt 152 112) - (pt 152 200) -) -(connector - (pt 152 200) - (pt 152 376) -) -(connector - (pt 128 464) - (pt 232 464) -) -(connector - (pt 128 64) - (pt 128 288) -) -(connector - (pt 128 288) - (pt 128 464) -) -(connector - (pt 296 440) - (pt 304 440) -) -(connector - (pt 24 64) - (pt 24 56) -) -(junction (pt 24 152)) -(junction (pt 24 240)) -(junction (pt 24 328)) -(junction (pt 48 168)) -(junction (pt 48 256)) -(junction (pt 48 344)) -(junction (pt 104 184)) -(junction (pt 128 64)) -(junction (pt 80 64)) -(junction (pt 80 360)) -(junction (pt 152 200)) -(junction (pt 128 288)) diff --git a/1ano/isd/quartus-projects/DecoderDemo/DecoderDemo.qpf b/1ano/isd/quartus-projects/DecoderDemo/DecoderDemo.qpf deleted file mode 100644 index 3608a45..0000000 --- a/1ano/isd/quartus-projects/DecoderDemo/DecoderDemo.qpf +++ /dev/null @@ -1,31 +0,0 @@ -# -------------------------------------------------------------------------- # -# -# Copyright (C) 2020 Intel Corporation. All rights reserved. -# Your use of Intel Corporation's design tools, logic functions -# and other software and tools, and any partner logic -# functions, and any output files from any of the foregoing -# (including device programming or simulation files), and any -# associated documentation or information are expressly subject -# to the terms and conditions of the Intel Program License -# Subscription Agreement, the Intel Quartus Prime License Agreement, -# the Intel FPGA IP License Agreement, or other applicable license -# agreement, including, without limitation, that your use is for -# the sole purpose of programming logic devices manufactured by -# Intel and sold by Intel or its authorized distributors. Please -# refer to the applicable agreement for further details, at -# https://fpgasoftware.intel.com/eula. -# -# -------------------------------------------------------------------------- # -# -# Quartus Prime -# Version 20.1.1 Build 720 11/11/2020 SJ Lite Edition -# Date created = 11:42:26 November 04, 2022 -# -# -------------------------------------------------------------------------- # - -QUARTUS_VERSION = "20.1" -DATE = "11:42:26 November 04, 2022" - -# Revisions - -PROJECT_REVISION = "DecoderDemo" diff --git a/1ano/isd/quartus-projects/DecoderDemo/DecoderDemo.qsf b/1ano/isd/quartus-projects/DecoderDemo/DecoderDemo.qsf deleted file mode 100644 index 1651693..0000000 --- a/1ano/isd/quartus-projects/DecoderDemo/DecoderDemo.qsf +++ /dev/null @@ -1,61 +0,0 @@ -# -------------------------------------------------------------------------- # -# -# Copyright (C) 2020 Intel Corporation. All rights reserved. -# Your use of Intel Corporation's design tools, logic functions -# and other software and tools, and any partner logic -# functions, and any output files from any of the foregoing -# (including device programming or simulation files), and any -# associated documentation or information are expressly subject -# to the terms and conditions of the Intel Program License -# Subscription Agreement, the Intel Quartus Prime License Agreement, -# the Intel FPGA IP License Agreement, or other applicable license -# agreement, including, without limitation, that your use is for -# the sole purpose of programming logic devices manufactured by -# Intel and sold by Intel or its authorized distributors. Please -# refer to the applicable agreement for further details, at -# https://fpgasoftware.intel.com/eula. -# -# -------------------------------------------------------------------------- # -# -# Quartus Prime -# Version 20.1.1 Build 720 11/11/2020 SJ Lite Edition -# Date created = 11:42:27 November 04, 2022 -# -# -------------------------------------------------------------------------- # -# -# Notes: -# -# 1) The default values for assignments are stored in the file: -# DecoderDemo_assignment_defaults.qdf -# If this file doesn't exist, see file: -# assignment_defaults.qdf -# -# 2) Altera recommends that you do not modify this file. This -# file is updated automatically by the Quartus Prime software -# and any changes you make may be lost or overwritten. -# -# -------------------------------------------------------------------------- # - - -set_global_assignment -name FAMILY "Cyclone IV E" -set_global_assignment -name DEVICE auto -set_global_assignment -name TOP_LEVEL_ENTITY Dec2_4 -set_global_assignment -name ORIGINAL_QUARTUS_VERSION 20.1.1 -set_global_assignment -name PROJECT_CREATION_TIME_DATE "11:42:27 NOVEMBER 04, 2022" -set_global_assignment -name LAST_QUARTUS_VERSION "20.1.1 Lite Edition" -set_global_assignment -name PROJECT_OUTPUT_DIRECTORY output_files -set_global_assignment -name EDA_SIMULATION_TOOL "ModelSim-Altera (VHDL)" -set_global_assignment -name EDA_TIME_SCALE "1 ps" -section_id eda_simulation -set_global_assignment -name EDA_OUTPUT_DATA_FORMAT VHDL -section_id eda_simulation -set_global_assignment -name EDA_GENERATE_FUNCTIONAL_NETLIST OFF -section_id eda_board_design_timing -set_global_assignment -name EDA_GENERATE_FUNCTIONAL_NETLIST OFF -section_id eda_board_design_symbol -set_global_assignment -name EDA_GENERATE_FUNCTIONAL_NETLIST OFF -section_id eda_board_design_signal_integrity -set_global_assignment -name EDA_GENERATE_FUNCTIONAL_NETLIST OFF -section_id eda_board_design_boundary_scan -set_global_assignment -name BDF_FILE Dec2_4.bdf -set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -section_id Top -set_global_assignment -name PARTITION_FITTER_PRESERVATION_LEVEL PLACEMENT_AND_ROUTING -section_id Top -set_global_assignment -name PARTITION_COLOR 16764057 -section_id Top -set_global_assignment -name VECTOR_WAVEFORM_FILE WaveformDecoderNode.vwf -set_global_assignment -name VECTOR_WAVEFORM_FILE Waveform.vwf -set_global_assignment -name VECTOR_WAVEFORM_FILE Waveform1.vwf -set_instance_assignment -name PARTITION_HIERARCHY root_partition -to | -section_id Top \ No newline at end of file diff --git a/1ano/isd/quartus-projects/DecoderDemo/DecoderDemo.qws b/1ano/isd/quartus-projects/DecoderDemo/DecoderDemo.qws deleted file mode 100644 index 4cf6a83f7bae360eec8710a445a947a6daead429..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 657 zcmbV|F;2rk5JkTYkz7(xP*6}H6d@%_ED(eWA)rcy=uzz05GCNq1W_Ok!7=DK1RV`6 zcLBni)w;1D1-tq?J3D`7{_J5>)mqm?1Knz-3*D?XY7ofSq&hx{8?||LSETf@h97(3c%j z<3I|ZxGZ}_*yz(c7=>Ux54~SKckjxCIA2c diff --git a/1ano/isd/quartus-projects/DecoderDemo/Waveform.vwf b/1ano/isd/quartus-projects/DecoderDemo/Waveform.vwf deleted file mode 100644 index 0bf417f..0000000 --- a/1ano/isd/quartus-projects/DecoderDemo/Waveform.vwf +++ /dev/null @@ -1,694 +0,0 @@ -/* -quartus_eda --gen_testbench --tool=modelsim_oem --format=vhdl --write_settings_files=off DecoderDemo -c DecoderDemo --vector_source="/home/tiagorg/repos/DecoderDemo/Waveform.vwf" --testbench_file="/home/tiagorg/repos/DecoderDemo/simulation/qsim/Waveform.vwf.vht" -quartus_eda --gen_testbench --tool=modelsim_oem --format=vhdl --write_settings_files=off DecoderDemo -c DecoderDemo --vector_source="/home/tiagorg/repos/DecoderDemo/Waveform.vwf" --testbench_file="/home/tiagorg/repos/DecoderDemo/simulation/qsim/Waveform.vwf.vht" -quartus_eda --write_settings_files=off --simulation --functional=on --flatten_buses=off --tool=modelsim_oem --format=vhdl --output_directory="/home/tiagorg/repos/DecoderDemo/simulation/qsim/" DecoderDemo -c DecoderDemo -quartus_eda --write_settings_files=off --simulation --functional=off --flatten_buses=off --timescale=1ps --tool=modelsim_oem --format=vhdl --output_directory="/home/tiagorg/repos/DecoderDemo/simulation/qsim/" DecoderDemo -c DecoderDemo -onerror {exit -code 1} -vlib work -vcom -work work DecoderDemo.vho -vcom -work work Waveform.vwf.vht -vsim -c -t 1ps -L cycloneive -L altera -L altera_mf -L 220model -L sgate -L altera_lnsim work.Dec2_4_vhd_vec_tst -vcd file -direction DecoderDemo.msim.vcd -vcd add -internal Dec2_4_vhd_vec_tst/* -vcd add -internal Dec2_4_vhd_vec_tst/i1/* -proc simTimestamp {} { - echo "Simulation time: $::now ps" - if { [string equal running [runStatus]] } { - after 2500 simTimestamp - } -} -after 2500 simTimestamp -run -all -quit -f - -onerror {exit -code 1} -vlib work -vcom -work work DecoderDemo.vho -vcom -work work Waveform.vwf.vht -vsim -novopt -c -t 1ps -sdfmax Dec2_4_vhd_vec_tst/i1=DecoderDemo_vhd.sdo -L cycloneive -L altera -L altera_mf -L 220model -L sgate -L altera_lnsim work.Dec2_4_vhd_vec_tst -vcd file -direction DecoderDemo.msim.vcd -vcd add -internal Dec2_4_vhd_vec_tst/* -vcd add -internal Dec2_4_vhd_vec_tst/i1/* -proc simTimestamp {} { - echo "Simulation time: $::now ps" - if { [string equal running [runStatus]] } { - after 2500 simTimestamp - } -} -after 2500 simTimestamp -run -all -quit -f - -vhdl -*/ -/* -WARNING: Do NOT edit the input and output ports in this file in a text -editor if you plan to continue editing the block that represents it in -the Block Editor! File corruption is VERY likely to occur. -*/ - -/* -Copyright (C) 2020 Intel Corporation. All rights reserved. -Your use of Intel Corporation's design tools, logic functions -and other software and tools, and any partner logic -functions, and any output files from any of the foregoing -(including device programming or simulation files), and any -associated documentation or information are expressly subject -to the terms and conditions of the Intel Program License -Subscription Agreement, the Intel Quartus Prime License Agreement, -the Intel FPGA IP License Agreement, or other applicable license -agreement, including, without limitation, that your use is for -the sole purpose of programming logic devices manufactured by -Intel and sold by Intel or its authorized distributors. Please -refer to the applicable agreement for further details, at -https://fpgasoftware.intel.com/eula. -*/ - -HEADER -{ - VERSION = 1; - TIME_UNIT = ns; - DATA_OFFSET = 0.0; - DATA_DURATION = 1000.0; - SIMULATION_TIME = 0.0; - GRID_PHASE = 0.0; - GRID_PERIOD = 10.0; - GRID_DUTY_CYCLE = 50; -} - -SIGNAL("E0L") -{ - VALUE_TYPE = NINE_LEVEL_BIT; - SIGNAL_TYPE = SINGLE_BIT; - WIDTH = 1; - LSB_INDEX = -1; - DIRECTION = INPUT; - PARENT = ""; -} - -SIGNAL("E1") -{ - VALUE_TYPE = NINE_LEVEL_BIT; - SIGNAL_TYPE = SINGLE_BIT; - WIDTH = 1; - LSB_INDEX = -1; - DIRECTION = INPUT; - PARENT = ""; -} - -SIGNAL("X0") -{ - VALUE_TYPE = NINE_LEVEL_BIT; - SIGNAL_TYPE = SINGLE_BIT; - WIDTH = 1; - LSB_INDEX = -1; - DIRECTION = INPUT; - PARENT = ""; -} - -SIGNAL("X1") -{ - VALUE_TYPE = NINE_LEVEL_BIT; - SIGNAL_TYPE = SINGLE_BIT; - WIDTH = 1; - LSB_INDEX = -1; - DIRECTION = INPUT; - PARENT = ""; -} - -SIGNAL("Y0") -{ - VALUE_TYPE = NINE_LEVEL_BIT; - SIGNAL_TYPE = SINGLE_BIT; - WIDTH = 1; - LSB_INDEX = -1; - DIRECTION = OUTPUT; - PARENT = ""; -} - -SIGNAL("Y1") -{ - VALUE_TYPE = NINE_LEVEL_BIT; - SIGNAL_TYPE = SINGLE_BIT; - WIDTH = 1; - LSB_INDEX = -1; - DIRECTION = OUTPUT; - PARENT = ""; -} - -SIGNAL("Y2") -{ - VALUE_TYPE = NINE_LEVEL_BIT; - SIGNAL_TYPE = SINGLE_BIT; - WIDTH = 1; - LSB_INDEX = -1; - DIRECTION = OUTPUT; - PARENT = ""; -} - -SIGNAL("Y3") -{ - VALUE_TYPE = NINE_LEVEL_BIT; - SIGNAL_TYPE = SINGLE_BIT; - WIDTH = 1; - LSB_INDEX = -1; - DIRECTION = OUTPUT; - PARENT = ""; -} - -TRANSITION_LIST("E0L") -{ - NODE - { - REPEAT = 1; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 65.0; - LEVEL 1 FOR 10.0; - LEVEL 0 FOR 10.0; - LEVEL 1 FOR 20.0; - LEVEL 0 FOR 5.0; - LEVEL 1 FOR 10.0; - LEVEL 0 FOR 5.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 5.0; - LEVEL 1 FOR 10.0; - LEVEL 0 FOR 15.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 10.0; - LEVEL 1 FOR 30.0; - LEVEL 0 FOR 5.0; - LEVEL 1 FOR 10.0; - LEVEL 0 FOR 5.0; - LEVEL 1 FOR 10.0; - LEVEL 0 FOR 5.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 10.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 5.0; - LEVEL 1 FOR 10.0; - LEVEL 0 FOR 10.0; - LEVEL 1 FOR 15.0; - LEVEL 0 FOR 20.0; - LEVEL 1 FOR 10.0; - LEVEL 0 FOR 5.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 15.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 5.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 5.0; - LEVEL 1 FOR 10.0; - LEVEL 0 FOR 5.0; - LEVEL 1 FOR 15.0; - LEVEL 0 FOR 5.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 20.0; - LEVEL 1 FOR 10.0; - LEVEL 0 FOR 10.0; - LEVEL 1 FOR 15.0; - LEVEL 0 FOR 30.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 10.0; - LEVEL 1 FOR 10.0; - LEVEL 0 FOR 5.0; - LEVEL 1 FOR 10.0; - LEVEL 0 FOR 5.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 35.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 10.0; - LEVEL 1 FOR 20.0; - LEVEL 0 FOR 10.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 5.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 30.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 5.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 5.0; - LEVEL 1 FOR 10.0; - LEVEL 0 FOR 10.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 5.0; - LEVEL 1 FOR 10.0; - LEVEL 0 FOR 5.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 20.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 10.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 10.0; - LEVEL 1 FOR 10.0; - LEVEL 0 FOR 10.0; - LEVEL 1 FOR 10.0; - LEVEL 0 FOR 10.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 5.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 5.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 15.0; - LEVEL 1 FOR 10.0; - LEVEL 0 FOR 10.0; - LEVEL 1 FOR 10.0; - LEVEL 0 FOR 5.0; - LEVEL 1 FOR 10.0; - LEVEL 0 FOR 5.0; - LEVEL 1 FOR 10.0; - LEVEL 0 FOR 45.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 5.0; - LEVEL 1 FOR 5.0; - } -} - -TRANSITION_LIST("E1") -{ - NODE - { - REPEAT = 1; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 10.0; - LEVEL 1 FOR 10.0; - LEVEL 0 FOR 10.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 5.0; - LEVEL 1 FOR 15.0; - LEVEL 0 FOR 10.0; - LEVEL 1 FOR 20.0; - LEVEL 0 FOR 5.0; - LEVEL 1 FOR 10.0; - LEVEL 0 FOR 10.0; - LEVEL 1 FOR 10.0; - LEVEL 0 FOR 15.0; - LEVEL 1 FOR 10.0; - LEVEL 0 FOR 10.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 5.0; - LEVEL 1 FOR 20.0; - LEVEL 0 FOR 10.0; - LEVEL 1 FOR 15.0; - LEVEL 0 FOR 5.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 10.0; - LEVEL 1 FOR 15.0; - LEVEL 0 FOR 15.0; - LEVEL 1 FOR 30.0; - LEVEL 0 FOR 5.0; - LEVEL 1 FOR 10.0; - LEVEL 0 FOR 10.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 5.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 5.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 5.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 5.0; - LEVEL 1 FOR 10.0; - LEVEL 0 FOR 5.0; - LEVEL 1 FOR 10.0; - LEVEL 0 FOR 15.0; - LEVEL 1 FOR 10.0; - LEVEL 0 FOR 5.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 5.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 10.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 30.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 25.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 5.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 15.0; - LEVEL 1 FOR 10.0; - LEVEL 0 FOR 10.0; - LEVEL 1 FOR 50.0; - LEVEL 0 FOR 15.0; - LEVEL 1 FOR 10.0; - LEVEL 0 FOR 20.0; - LEVEL 1 FOR 10.0; - LEVEL 0 FOR 15.0; - LEVEL 1 FOR 10.0; - LEVEL 0 FOR 15.0; - LEVEL 1 FOR 10.0; - LEVEL 0 FOR 5.0; - LEVEL 1 FOR 15.0; - LEVEL 0 FOR 5.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 5.0; - LEVEL 1 FOR 20.0; - LEVEL 0 FOR 25.0; - LEVEL 1 FOR 10.0; - LEVEL 0 FOR 5.0; - LEVEL 1 FOR 10.0; - LEVEL 0 FOR 10.0; - LEVEL 1 FOR 25.0; - LEVEL 0 FOR 15.0; - LEVEL 1 FOR 10.0; - LEVEL 0 FOR 25.0; - LEVEL 1 FOR 10.0; - LEVEL 0 FOR 5.0; - LEVEL 1 FOR 15.0; - LEVEL 0 FOR 5.0; - LEVEL 1 FOR 15.0; - LEVEL 0 FOR 5.0; - LEVEL 1 FOR 20.0; - LEVEL 0 FOR 15.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 5.0; - } -} - -TRANSITION_LIST("X0") -{ - NODE - { - REPEAT = 1; - LEVEL 0 FOR 5.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 5.0; - LEVEL 1 FOR 10.0; - LEVEL 0 FOR 5.0; - LEVEL 1 FOR 10.0; - LEVEL 0 FOR 5.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 5.0; - LEVEL 1 FOR 15.0; - LEVEL 0 FOR 5.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 15.0; - LEVEL 1 FOR 20.0; - LEVEL 0 FOR 10.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 35.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 40.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 15.0; - LEVEL 1 FOR 15.0; - LEVEL 0 FOR 5.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 10.0; - LEVEL 1 FOR 15.0; - LEVEL 0 FOR 10.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 10.0; - LEVEL 1 FOR 10.0; - LEVEL 0 FOR 5.0; - LEVEL 1 FOR 15.0; - LEVEL 0 FOR 5.0; - LEVEL 1 FOR 15.0; - LEVEL 0 FOR 10.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 20.0; - LEVEL 1 FOR 15.0; - LEVEL 0 FOR 5.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 5.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 35.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 20.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 5.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 5.0; - LEVEL 1 FOR 10.0; - LEVEL 0 FOR 10.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 5.0; - LEVEL 1 FOR 10.0; - LEVEL 0 FOR 20.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 15.0; - LEVEL 1 FOR 15.0; - LEVEL 0 FOR 10.0; - LEVEL 1 FOR 20.0; - LEVEL 0 FOR 5.0; - LEVEL 1 FOR 15.0; - LEVEL 0 FOR 5.0; - LEVEL 1 FOR 10.0; - LEVEL 0 FOR 5.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 5.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 10.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 10.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 10.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 5.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 15.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 5.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 5.0; - LEVEL 1 FOR 10.0; - LEVEL 0 FOR 5.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 5.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 5.0; - LEVEL 1 FOR 40.0; - LEVEL 0 FOR 5.0; - LEVEL 1 FOR 35.0; - LEVEL 0 FOR 10.0; - LEVEL 1 FOR 30.0; - LEVEL 0 FOR 5.0; - LEVEL 1 FOR 10.0; - LEVEL 0 FOR 10.0; - LEVEL 1 FOR 10.0; - LEVEL 0 FOR 5.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 10.0; - LEVEL 1 FOR 10.0; - LEVEL 0 FOR 5.0; - } -} - -TRANSITION_LIST("X1") -{ - NODE - { - REPEAT = 1; - LEVEL 0 FOR 10.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 5.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 10.0; - LEVEL 1 FOR 15.0; - LEVEL 0 FOR 25.0; - LEVEL 1 FOR 10.0; - LEVEL 0 FOR 10.0; - LEVEL 1 FOR 10.0; - LEVEL 0 FOR 5.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 15.0; - LEVEL 1 FOR 10.0; - LEVEL 0 FOR 20.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 20.0; - LEVEL 1 FOR 20.0; - LEVEL 0 FOR 5.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 20.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 5.0; - LEVEL 1 FOR 10.0; - LEVEL 0 FOR 20.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 10.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 5.0; - LEVEL 1 FOR 20.0; - LEVEL 0 FOR 5.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 5.0; - LEVEL 1 FOR 10.0; - LEVEL 0 FOR 5.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 20.0; - LEVEL 1 FOR 15.0; - LEVEL 0 FOR 5.0; - LEVEL 1 FOR 10.0; - LEVEL 0 FOR 20.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 10.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 10.0; - LEVEL 1 FOR 10.0; - LEVEL 0 FOR 25.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 5.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 10.0; - LEVEL 1 FOR 25.0; - LEVEL 0 FOR 5.0; - LEVEL 1 FOR 15.0; - LEVEL 0 FOR 5.0; - LEVEL 1 FOR 15.0; - LEVEL 0 FOR 10.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 20.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 5.0; - LEVEL 1 FOR 10.0; - LEVEL 0 FOR 15.0; - LEVEL 1 FOR 20.0; - LEVEL 0 FOR 10.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 25.0; - LEVEL 1 FOR 10.0; - LEVEL 0 FOR 5.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 5.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 10.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 15.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 5.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 5.0; - LEVEL 1 FOR 25.0; - LEVEL 0 FOR 15.0; - LEVEL 1 FOR 15.0; - LEVEL 0 FOR 5.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 10.0; - LEVEL 1 FOR 10.0; - LEVEL 0 FOR 10.0; - LEVEL 1 FOR 25.0; - LEVEL 0 FOR 5.0; - LEVEL 1 FOR 20.0; - LEVEL 0 FOR 10.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 5.0; - LEVEL 1 FOR 20.0; - LEVEL 0 FOR 5.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 5.0; - LEVEL 1 FOR 10.0; - } -} - -TRANSITION_LIST("Y0") -{ - NODE - { - REPEAT = 1; - LEVEL X FOR 1000.0; - } -} - -TRANSITION_LIST("Y1") -{ - NODE - { - REPEAT = 1; - LEVEL X FOR 1000.0; - } -} - -TRANSITION_LIST("Y2") -{ - NODE - { - REPEAT = 1; - LEVEL X FOR 1000.0; - } -} - -TRANSITION_LIST("Y3") -{ - NODE - { - REPEAT = 1; - LEVEL X FOR 1000.0; - } -} - -DISPLAY_LINE -{ - CHANNEL = "E0L"; - EXPAND_STATUS = COLLAPSED; - RADIX = Binary; - TREE_INDEX = 0; - TREE_LEVEL = 0; -} - -DISPLAY_LINE -{ - CHANNEL = "E1"; - EXPAND_STATUS = COLLAPSED; - RADIX = Binary; - TREE_INDEX = 1; - TREE_LEVEL = 0; -} - -DISPLAY_LINE -{ - CHANNEL = "X0"; - EXPAND_STATUS = COLLAPSED; - RADIX = Binary; - TREE_INDEX = 2; - TREE_LEVEL = 0; -} - -DISPLAY_LINE -{ - CHANNEL = "X1"; - EXPAND_STATUS = COLLAPSED; - RADIX = Binary; - TREE_INDEX = 3; - TREE_LEVEL = 0; -} - -DISPLAY_LINE -{ - CHANNEL = "Y0"; - EXPAND_STATUS = COLLAPSED; - RADIX = Binary; - TREE_INDEX = 4; - TREE_LEVEL = 0; -} - -DISPLAY_LINE -{ - CHANNEL = "Y1"; - EXPAND_STATUS = COLLAPSED; - RADIX = Binary; - TREE_INDEX = 5; - TREE_LEVEL = 0; -} - -DISPLAY_LINE -{ - CHANNEL = "Y2"; - EXPAND_STATUS = COLLAPSED; - RADIX = Binary; - TREE_INDEX = 6; - TREE_LEVEL = 0; -} - -DISPLAY_LINE -{ - CHANNEL = "Y3"; - EXPAND_STATUS = COLLAPSED; - RADIX = Binary; - TREE_INDEX = 7; - TREE_LEVEL = 0; -} - -TIME_BAR -{ - TIME = 0; - MASTER = TRUE; -} -; diff --git a/1ano/isd/quartus-projects/DecoderDemo/Waveform1.vwf b/1ano/isd/quartus-projects/DecoderDemo/Waveform1.vwf deleted file mode 100644 index 2981fc8..0000000 --- a/1ano/isd/quartus-projects/DecoderDemo/Waveform1.vwf +++ /dev/null @@ -1,328 +0,0 @@ -/* -quartus_eda --gen_testbench --tool=modelsim_oem --format=vhdl --write_settings_files=off DecoderDemo -c DecoderDemo --vector_source="/home/tiagorg/repos/DecoderDemo/Waveform1.vwf" --testbench_file="/home/tiagorg/repos/DecoderDemo/simulation/qsim/Waveform1.vwf.vht" -quartus_eda --gen_testbench --tool=modelsim_oem --format=vhdl --write_settings_files=off DecoderDemo -c DecoderDemo --vector_source="/home/tiagorg/repos/DecoderDemo/Waveform1.vwf" --testbench_file="/home/tiagorg/repos/DecoderDemo/simulation/qsim/Waveform1.vwf.vht" -quartus_eda --write_settings_files=off --simulation --functional=on --flatten_buses=off --tool=modelsim_oem --format=vhdl --output_directory="/home/tiagorg/repos/DecoderDemo/simulation/qsim/" DecoderDemo -c DecoderDemo -quartus_eda --write_settings_files=off --simulation --functional=off --flatten_buses=off --timescale=1ps --tool=modelsim_oem --format=vhdl --output_directory="/home/tiagorg/repos/DecoderDemo/simulation/qsim/" DecoderDemo -c DecoderDemo -onerror {exit -code 1} -vlib work -vcom -work work DecoderDemo.vho -vcom -work work Waveform1.vwf.vht -vsim -c -t 1ps -L cycloneive -L altera -L altera_mf -L 220model -L sgate -L altera_lnsim work.Dec2_4_vhd_vec_tst -vcd file -direction DecoderDemo.msim.vcd -vcd add -internal Dec2_4_vhd_vec_tst/* -vcd add -internal Dec2_4_vhd_vec_tst/i1/* -proc simTimestamp {} { - echo "Simulation time: $::now ps" - if { [string equal running [runStatus]] } { - after 2500 simTimestamp - } -} -after 2500 simTimestamp -run -all -quit -f - -onerror {exit -code 1} -vlib work -vcom -work work DecoderDemo.vho -vcom -work work Waveform1.vwf.vht -vsim -novopt -c -t 1ps -sdfmax Dec2_4_vhd_vec_tst/i1=DecoderDemo_vhd.sdo -L cycloneive -L altera -L altera_mf -L 220model -L sgate -L altera_lnsim work.Dec2_4_vhd_vec_tst -vcd file -direction DecoderDemo.msim.vcd -vcd add -internal Dec2_4_vhd_vec_tst/* -vcd add -internal Dec2_4_vhd_vec_tst/i1/* -proc simTimestamp {} { - echo "Simulation time: $::now ps" - if { [string equal running [runStatus]] } { - after 2500 simTimestamp - } -} -after 2500 simTimestamp -run -all -quit -f - -vhdl -*/ -/* -WARNING: Do NOT edit the input and output ports in this file in a text -editor if you plan to continue editing the block that represents it in -the Block Editor! File corruption is VERY likely to occur. -*/ - -/* -Copyright (C) 2020 Intel Corporation. All rights reserved. -Your use of Intel Corporation's design tools, logic functions -and other software and tools, and any partner logic -functions, and any output files from any of the foregoing -(including device programming or simulation files), and any -associated documentation or information are expressly subject -to the terms and conditions of the Intel Program License -Subscription Agreement, the Intel Quartus Prime License Agreement, -the Intel FPGA IP License Agreement, or other applicable license -agreement, including, without limitation, that your use is for -the sole purpose of programming logic devices manufactured by -Intel and sold by Intel or its authorized distributors. Please -refer to the applicable agreement for further details, at -https://fpgasoftware.intel.com/eula. -*/ - -HEADER -{ - VERSION = 1; - TIME_UNIT = ns; - DATA_OFFSET = 0.0; - DATA_DURATION = 1000.0; - SIMULATION_TIME = 0.0; - GRID_PHASE = 0.0; - GRID_PERIOD = 10.0; - GRID_DUTY_CYCLE = 50; -} - -SIGNAL("E0L") -{ - VALUE_TYPE = NINE_LEVEL_BIT; - SIGNAL_TYPE = SINGLE_BIT; - WIDTH = 1; - LSB_INDEX = -1; - DIRECTION = INPUT; - PARENT = ""; -} - -SIGNAL("E1") -{ - VALUE_TYPE = NINE_LEVEL_BIT; - SIGNAL_TYPE = SINGLE_BIT; - WIDTH = 1; - LSB_INDEX = -1; - DIRECTION = INPUT; - PARENT = ""; -} - -SIGNAL("X0") -{ - VALUE_TYPE = NINE_LEVEL_BIT; - SIGNAL_TYPE = SINGLE_BIT; - WIDTH = 1; - LSB_INDEX = -1; - DIRECTION = INPUT; - PARENT = ""; -} - -SIGNAL("X1") -{ - VALUE_TYPE = NINE_LEVEL_BIT; - SIGNAL_TYPE = SINGLE_BIT; - WIDTH = 1; - LSB_INDEX = -1; - DIRECTION = INPUT; - PARENT = ""; -} - -SIGNAL("Y0") -{ - VALUE_TYPE = NINE_LEVEL_BIT; - SIGNAL_TYPE = SINGLE_BIT; - WIDTH = 1; - LSB_INDEX = -1; - DIRECTION = OUTPUT; - PARENT = ""; -} - -SIGNAL("Y1") -{ - VALUE_TYPE = NINE_LEVEL_BIT; - SIGNAL_TYPE = SINGLE_BIT; - WIDTH = 1; - LSB_INDEX = -1; - DIRECTION = OUTPUT; - PARENT = ""; -} - -SIGNAL("Y2") -{ - VALUE_TYPE = NINE_LEVEL_BIT; - SIGNAL_TYPE = SINGLE_BIT; - WIDTH = 1; - LSB_INDEX = -1; - DIRECTION = OUTPUT; - PARENT = ""; -} - -SIGNAL("Y3") -{ - VALUE_TYPE = NINE_LEVEL_BIT; - SIGNAL_TYPE = SINGLE_BIT; - WIDTH = 1; - LSB_INDEX = -1; - DIRECTION = OUTPUT; - PARENT = ""; -} - -TRANSITION_LIST("E0L") -{ - NODE - { - REPEAT = 1; - NODE - { - REPEAT = 40; - LEVEL 0 FOR 12.5; - LEVEL 1 FOR 12.5; - } - } -} - -TRANSITION_LIST("E1") -{ - NODE - { - REPEAT = 1; - NODE - { - REPEAT = 20; - LEVEL 0 FOR 25.0; - LEVEL 1 FOR 25.0; - } - } -} - -TRANSITION_LIST("X0") -{ - NODE - { - REPEAT = 1; - NODE - { - REPEAT = 10; - LEVEL 0 FOR 50.0; - LEVEL 1 FOR 50.0; - } - } -} - -TRANSITION_LIST("X1") -{ - NODE - { - REPEAT = 1; - NODE - { - REPEAT = 5; - LEVEL 0 FOR 100.0; - LEVEL 1 FOR 100.0; - } - } -} - -TRANSITION_LIST("Y0") -{ - NODE - { - REPEAT = 1; - LEVEL X FOR 1000.0; - } -} - -TRANSITION_LIST("Y1") -{ - NODE - { - REPEAT = 1; - LEVEL X FOR 1000.0; - } -} - -TRANSITION_LIST("Y2") -{ - NODE - { - REPEAT = 1; - LEVEL X FOR 1000.0; - } -} - -TRANSITION_LIST("Y3") -{ - NODE - { - REPEAT = 1; - LEVEL X FOR 1000.0; - } -} - -DISPLAY_LINE -{ - CHANNEL = "E0L"; - EXPAND_STATUS = COLLAPSED; - RADIX = Binary; - TREE_INDEX = 0; - TREE_LEVEL = 0; -} - -DISPLAY_LINE -{ - CHANNEL = "E1"; - EXPAND_STATUS = COLLAPSED; - RADIX = Binary; - TREE_INDEX = 1; - TREE_LEVEL = 0; -} - -DISPLAY_LINE -{ - CHANNEL = "X0"; - EXPAND_STATUS = COLLAPSED; - RADIX = Binary; - TREE_INDEX = 2; - TREE_LEVEL = 0; -} - -DISPLAY_LINE -{ - CHANNEL = "X1"; - EXPAND_STATUS = COLLAPSED; - RADIX = Binary; - TREE_INDEX = 3; - TREE_LEVEL = 0; -} - -DISPLAY_LINE -{ - CHANNEL = "Y0"; - EXPAND_STATUS = COLLAPSED; - RADIX = Binary; - TREE_INDEX = 4; - TREE_LEVEL = 0; -} - -DISPLAY_LINE -{ - CHANNEL = "Y1"; - EXPAND_STATUS = COLLAPSED; - RADIX = Binary; - TREE_INDEX = 5; - TREE_LEVEL = 0; -} - -DISPLAY_LINE -{ - CHANNEL = "Y2"; - EXPAND_STATUS = COLLAPSED; - RADIX = Binary; - TREE_INDEX = 6; - TREE_LEVEL = 0; -} - -DISPLAY_LINE -{ - CHANNEL = "Y3"; - EXPAND_STATUS = COLLAPSED; - RADIX = Binary; - TREE_INDEX = 7; - TREE_LEVEL = 0; -} - -TIME_BAR -{ - TIME = 0; - MASTER = TRUE; -} -; diff --git a/1ano/isd/quartus-projects/DecoderDemo/WaveformDecoderNode.vwf b/1ano/isd/quartus-projects/DecoderDemo/WaveformDecoderNode.vwf deleted file mode 100644 index bdbd7d6..0000000 --- a/1ano/isd/quartus-projects/DecoderDemo/WaveformDecoderNode.vwf +++ /dev/null @@ -1,330 +0,0 @@ -/* -quartus_eda --gen_testbench --tool=modelsim_oem --format=vhdl --write_settings_files=off DecoderDemo -c DecoderDemo --vector_source="/home/rubeng/Documents/UAlinux/ISD/Quartus Prime/WaveformDecoderNode.vwf" --testbench_file="/home/rubeng/Documents/UAlinux/ISD/Quartus Prime/simulation/qsim/WaveformDecoderNode.vwf.vht" -quartus_eda --gen_testbench --tool=modelsim_oem --format=vhdl --write_settings_files=off DecoderDemo -c DecoderDemo --vector_source="/home/rubeng/Documents/UAlinux/ISD/Quartus Prime/WaveformDecoderNode.vwf" --testbench_file="/home/rubeng/Documents/UAlinux/ISD/Quartus Prime/simulation/qsim/WaveformDecoderNode.vwf.vht" -quartus_eda --write_settings_files=off --simulation --functional=on --flatten_buses=off --tool=modelsim_oem --format=vhdl --output_directory="/home/rubeng/Documents/UAlinux/ISD/Quartus Prime/simulation/qsim/" DecoderDemo -c DecoderDemo -quartus_eda --write_settings_files=off --simulation --functional=off --flatten_buses=off --timescale=1ps --tool=modelsim_oem --format=vhdl --output_directory="/home/rubeng/Documents/UAlinux/ISD/Quartus Prime/simulation/qsim/" DecoderDemo -c DecoderDemo -onerror {exit -code 1} -vlib work -vcom -work work DecoderDemo.vho -vcom -work work WaveformDecoderNode.vwf.vht -vsim -c -t 1ps -L cycloneive -L altera -L altera_mf -L 220model -L sgate -L altera_lnsim work.Dec2_4_vhd_vec_tst -vcd file -direction DecoderDemo.msim.vcd -vcd add -internal Dec2_4_vhd_vec_tst/* -vcd add -internal Dec2_4_vhd_vec_tst/i1/* -proc simTimestamp {} { - echo "Simulation time: $::now ps" - if { [string equal running [runStatus]] } { - after 2500 simTimestamp - } -} -after 2500 simTimestamp -run -all -quit -f - - -onerror {exit -code 1} -vlib work -vcom -work work DecoderDemo.vho -vcom -work work WaveformDecoderNode.vwf.vht -vsim -c -t 1ps -sdfmax Dec2_4_vhd_vec_tst/i1=DecoderDemo_vhd.sdo -L cycloneive -L altera -L altera_mf -L 220model -L sgate -L altera_lnsim work.Dec2_4_vhd_vec_tst -vcd file -direction DecoderDemo.msim.vcd -vcd add -internal Dec2_4_vhd_vec_tst/* -vcd add -internal Dec2_4_vhd_vec_tst/i1/* -proc simTimestamp {} { - echo "Simulation time: $::now ps" - if { [string equal running [runStatus]] } { - after 2500 simTimestamp - } -} -after 2500 simTimestamp -run -all -quit -f - - -vhdl -*/ -/* -WARNING: Do NOT edit the input and output ports in this file in a text -editor if you plan to continue editing the block that represents it in -the Block Editor! File corruption is VERY likely to occur. -*/ - -/* -Copyright (C) 2020 Intel Corporation. All rights reserved. -Your use of Intel Corporation's design tools, logic functions -and other software and tools, and any partner logic -functions, and any output files from any of the foregoing -(including device programming or simulation files), and any -associated documentation or information are expressly subject -to the terms and conditions of the Intel Program License -Subscription Agreement, the Intel Quartus Prime License Agreement, -the Intel FPGA IP License Agreement, or other applicable license -agreement, including, without limitation, that your use is for -the sole purpose of programming logic devices manufactured by -Intel and sold by Intel or its authorized distributors. Please -refer to the applicable agreement for further details, at -https://fpgasoftware.intel.com/eula. -*/ - -HEADER -{ - VERSION = 1; - TIME_UNIT = ns; - DATA_OFFSET = 0.0; - DATA_DURATION = 1000.0; - SIMULATION_TIME = 0.0; - GRID_PHASE = 0.0; - GRID_PERIOD = 10.0; - GRID_DUTY_CYCLE = 50; -} - -SIGNAL("E0L") -{ - VALUE_TYPE = NINE_LEVEL_BIT; - SIGNAL_TYPE = SINGLE_BIT; - WIDTH = 1; - LSB_INDEX = -1; - DIRECTION = INPUT; - PARENT = ""; -} - -SIGNAL("E1") -{ - VALUE_TYPE = NINE_LEVEL_BIT; - SIGNAL_TYPE = SINGLE_BIT; - WIDTH = 1; - LSB_INDEX = -1; - DIRECTION = INPUT; - PARENT = ""; -} - -SIGNAL("X0") -{ - VALUE_TYPE = NINE_LEVEL_BIT; - SIGNAL_TYPE = SINGLE_BIT; - WIDTH = 1; - LSB_INDEX = -1; - DIRECTION = INPUT; - PARENT = ""; -} - -SIGNAL("Y0") -{ - VALUE_TYPE = NINE_LEVEL_BIT; - SIGNAL_TYPE = SINGLE_BIT; - WIDTH = 1; - LSB_INDEX = -1; - DIRECTION = OUTPUT; - PARENT = ""; -} - -SIGNAL("Y1") -{ - VALUE_TYPE = NINE_LEVEL_BIT; - SIGNAL_TYPE = SINGLE_BIT; - WIDTH = 1; - LSB_INDEX = -1; - DIRECTION = OUTPUT; - PARENT = ""; -} - -SIGNAL("Y2") -{ - VALUE_TYPE = NINE_LEVEL_BIT; - SIGNAL_TYPE = SINGLE_BIT; - WIDTH = 1; - LSB_INDEX = -1; - DIRECTION = OUTPUT; - PARENT = ""; -} - -SIGNAL("Y3") -{ - VALUE_TYPE = NINE_LEVEL_BIT; - SIGNAL_TYPE = SINGLE_BIT; - WIDTH = 1; - LSB_INDEX = -1; - DIRECTION = OUTPUT; - PARENT = ""; -} - -SIGNAL("X1") -{ - VALUE_TYPE = NINE_LEVEL_BIT; - SIGNAL_TYPE = SINGLE_BIT; - WIDTH = 1; - LSB_INDEX = -1; - DIRECTION = INPUT; - PARENT = ""; -} - -TRANSITION_LIST("E0L") -{ - NODE - { - REPEAT = 1; - NODE - { - REPEAT = 5; - LEVEL 0 FOR 100.0; - LEVEL 1 FOR 100.0; - } - } -} - -TRANSITION_LIST("E1") -{ - NODE - { - REPEAT = 1; - NODE - { - REPEAT = 10; - LEVEL 0 FOR 50.0; - LEVEL 1 FOR 50.0; - } - } -} - -TRANSITION_LIST("X0") -{ - NODE - { - REPEAT = 1; - NODE - { - REPEAT = 40; - LEVEL 0 FOR 12.5; - LEVEL 1 FOR 12.5; - } - } -} - -TRANSITION_LIST("Y0") -{ - NODE - { - REPEAT = 1; - LEVEL X FOR 1000.0; - } -} - -TRANSITION_LIST("Y1") -{ - NODE - { - REPEAT = 1; - LEVEL X FOR 1000.0; - } -} - -TRANSITION_LIST("Y2") -{ - NODE - { - REPEAT = 1; - LEVEL X FOR 1000.0; - } -} - -TRANSITION_LIST("Y3") -{ - NODE - { - REPEAT = 1; - LEVEL X FOR 1000.0; - } -} - -TRANSITION_LIST("X1") -{ - NODE - { - REPEAT = 1; - NODE - { - REPEAT = 20; - LEVEL 0 FOR 25.0; - LEVEL 1 FOR 25.0; - } - } -} - -DISPLAY_LINE -{ - CHANNEL = "E0L"; - EXPAND_STATUS = COLLAPSED; - RADIX = Binary; - TREE_INDEX = 0; - TREE_LEVEL = 0; -} - -DISPLAY_LINE -{ - CHANNEL = "E1"; - EXPAND_STATUS = COLLAPSED; - RADIX = Binary; - TREE_INDEX = 1; - TREE_LEVEL = 0; -} - -DISPLAY_LINE -{ - CHANNEL = "X1"; - EXPAND_STATUS = COLLAPSED; - RADIX = Binary; - TREE_INDEX = 2; - TREE_LEVEL = 0; -} - -DISPLAY_LINE -{ - CHANNEL = "X0"; - EXPAND_STATUS = COLLAPSED; - RADIX = Binary; - TREE_INDEX = 3; - TREE_LEVEL = 0; -} - -DISPLAY_LINE -{ - CHANNEL = "Y0"; - EXPAND_STATUS = COLLAPSED; - RADIX = Binary; - TREE_INDEX = 4; - TREE_LEVEL = 0; -} - -DISPLAY_LINE -{ - CHANNEL = "Y1"; - EXPAND_STATUS = COLLAPSED; - RADIX = Binary; - TREE_INDEX = 5; - TREE_LEVEL = 0; -} - -DISPLAY_LINE -{ - CHANNEL = "Y2"; - EXPAND_STATUS = COLLAPSED; - RADIX = Binary; - TREE_INDEX = 6; - TREE_LEVEL = 0; -} - -DISPLAY_LINE -{ - CHANNEL = "Y3"; - EXPAND_STATUS = COLLAPSED; - RADIX = Binary; - TREE_INDEX = 7; - TREE_LEVEL = 0; -} - -TIME_BAR -{ - TIME = 0; - MASTER = TRUE; -} -; diff --git a/1ano/isd/quartus-projects/DecoderDemo/WaveformDecoderNoide.vwf b/1ano/isd/quartus-projects/DecoderDemo/WaveformDecoderNoide.vwf deleted file mode 100644 index d2c9f7d..0000000 --- a/1ano/isd/quartus-projects/DecoderDemo/WaveformDecoderNoide.vwf +++ /dev/null @@ -1,308 +0,0 @@ -/* -quartus_eda --gen_testbench --tool=modelsim_oem --format=verilog --write_settings_files=off DecoderDemo -c DecoderDemo --vector_source="/home/rubeng/Documents/UAlinux/ISD/Quartus Prime/Waveform.vwf" --testbench_file="/home/rubeng/Documents/UAlinux/ISD/Quartus Prime/simulation/qsim/Waveform.vwf.vt" -quartus_eda --gen_testbench --tool=modelsim_oem --format=verilog --write_settings_files=off DecoderDemo -c DecoderDemo --vector_source="/home/rubeng/Documents/UAlinux/ISD/Quartus Prime/Waveform.vwf" --testbench_file="/home/rubeng/Documents/UAlinux/ISD/Quartus Prime/simulation/qsim/Waveform.vwf.vt" -quartus_eda --write_settings_files=off --simulation --functional=on --flatten_buses=off --tool=modelsim_oem --format=verilog --output_directory="/home/rubeng/Documents/UAlinux/ISD/Quartus Prime/simulation/qsim/" DecoderDemo -c DecoderDemo -quartus_eda --write_settings_files=off --simulation --functional=off --flatten_buses=off --timescale=1ps --tool=modelsim_oem --format=verilog --output_directory="/home/rubeng/Documents/UAlinux/ISD/Quartus Prime/simulation/qsim/" DecoderDemo -c DecoderDemo -onerror {exit -code 1} -vlib work -vlog -work work DecoderDemo.vo -vlog -work work Waveform.vwf.vt -vsim -novopt -c -t 1ps -L cycloneive_ver -L altera_ver -L altera_mf_ver -L 220model_ver -L sgate_ver -L altera_lnsim_ver work.Dec2_4_vlg_vec_tst -vcd file -direction DecoderDemo.msim.vcd -vcd add -internal Dec2_4_vlg_vec_tst/* -vcd add -internal Dec2_4_vlg_vec_tst/i1/* -proc simTimestamp {} { - echo "Simulation time: $::now ps" - if { [string equal running [runStatus]] } { - after 2500 simTimestamp - } -} -after 2500 simTimestamp -run -all -quit -f - -onerror {exit -code 1} -vlib work -vlog -work work DecoderDemo.vo -vlog -work work Waveform.vwf.vt -vsim -novopt -c -t 1ps -L cycloneive_ver -L altera_ver -L altera_mf_ver -L 220model_ver -L sgate_ver -L altera_lnsim_ver work.Dec2_4_vlg_vec_tst -vcd file -direction DecoderDemo.msim.vcd -vcd add -internal Dec2_4_vlg_vec_tst/* -vcd add -internal Dec2_4_vlg_vec_tst/i1/* -proc simTimestamp {} { - echo "Simulation time: $::now ps" - if { [string equal running [runStatus]] } { - after 2500 simTimestamp - } -} -after 2500 simTimestamp -run -all -quit -f - -verilog -*/ -/* -WARNING: Do NOT edit the input and output ports in this file in a text -editor if you plan to continue editing the block that represents it in -the Block Editor! File corruption is VERY likely to occur. -*/ - -/* -Copyright (C) 2020 Intel Corporation. All rights reserved. -Your use of Intel Corporation's design tools, logic functions -and other software and tools, and any partner logic -functions, and any output files from any of the foregoing -(including device programming or simulation files), and any -associated documentation or information are expressly subject -to the terms and conditions of the Intel Program License -Subscription Agreement, the Intel Quartus Prime License Agreement, -the Intel FPGA IP License Agreement, or other applicable license -agreement, including, without limitation, that your use is for -the sole purpose of programming logic devices manufactured by -Intel and sold by Intel or its authorized distributors. Please -refer to the applicable agreement for further details, at -https://fpgasoftware.intel.com/eula. -*/ - -HEADER -{ - VERSION = 1; - TIME_UNIT = ns; - DATA_OFFSET = 0.0; - DATA_DURATION = 1000.0; - SIMULATION_TIME = 0.0; - GRID_PHASE = 0.0; - GRID_PERIOD = 10.0; - GRID_DUTY_CYCLE = 50; -} - -SIGNAL("E0L") -{ - VALUE_TYPE = NINE_LEVEL_BIT; - SIGNAL_TYPE = SINGLE_BIT; - WIDTH = 1; - LSB_INDEX = -1; - DIRECTION = INPUT; - PARENT = ""; -} - -SIGNAL("E1") -{ - VALUE_TYPE = NINE_LEVEL_BIT; - SIGNAL_TYPE = SINGLE_BIT; - WIDTH = 1; - LSB_INDEX = -1; - DIRECTION = INPUT; - PARENT = ""; -} - -SIGNAL("X0") -{ - VALUE_TYPE = NINE_LEVEL_BIT; - SIGNAL_TYPE = SINGLE_BIT; - WIDTH = 1; - LSB_INDEX = -1; - DIRECTION = INPUT; - PARENT = ""; -} - -SIGNAL("X1") -{ - VALUE_TYPE = NINE_LEVEL_BIT; - SIGNAL_TYPE = SINGLE_BIT; - WIDTH = 1; - LSB_INDEX = -1; - DIRECTION = INPUT; - PARENT = ""; -} - -SIGNAL("Y0") -{ - VALUE_TYPE = NINE_LEVEL_BIT; - SIGNAL_TYPE = SINGLE_BIT; - WIDTH = 1; - LSB_INDEX = -1; - DIRECTION = OUTPUT; - PARENT = ""; -} - -SIGNAL("Y1") -{ - VALUE_TYPE = NINE_LEVEL_BIT; - SIGNAL_TYPE = SINGLE_BIT; - WIDTH = 1; - LSB_INDEX = -1; - DIRECTION = OUTPUT; - PARENT = ""; -} - -SIGNAL("Y2") -{ - VALUE_TYPE = NINE_LEVEL_BIT; - SIGNAL_TYPE = SINGLE_BIT; - WIDTH = 1; - LSB_INDEX = -1; - DIRECTION = OUTPUT; - PARENT = ""; -} - -SIGNAL("Y3") -{ - VALUE_TYPE = NINE_LEVEL_BIT; - SIGNAL_TYPE = SINGLE_BIT; - WIDTH = 1; - LSB_INDEX = -1; - DIRECTION = OUTPUT; - PARENT = ""; -} - -TRANSITION_LIST("E0L") -{ - NODE - { - REPEAT = 1; - LEVEL 0 FOR 1000.0; - } -} - -TRANSITION_LIST("E1") -{ - NODE - { - REPEAT = 1; - LEVEL 0 FOR 1000.0; - } -} - -TRANSITION_LIST("X0") -{ - NODE - { - REPEAT = 1; - LEVEL 0 FOR 1000.0; - } -} - -TRANSITION_LIST("X1") -{ - NODE - { - REPEAT = 1; - LEVEL 0 FOR 1000.0; - } -} - -TRANSITION_LIST("Y0") -{ - NODE - { - REPEAT = 1; - LEVEL X FOR 1000.0; - } -} - -TRANSITION_LIST("Y1") -{ - NODE - { - REPEAT = 1; - LEVEL X FOR 1000.0; - } -} - -TRANSITION_LIST("Y2") -{ - NODE - { - REPEAT = 1; - LEVEL X FOR 1000.0; - } -} - -TRANSITION_LIST("Y3") -{ - NODE - { - REPEAT = 1; - LEVEL X FOR 1000.0; - } -} - -DISPLAY_LINE -{ - CHANNEL = "E0L"; - EXPAND_STATUS = COLLAPSED; - RADIX = Binary; - TREE_INDEX = 0; - TREE_LEVEL = 0; -} - -DISPLAY_LINE -{ - CHANNEL = "E1"; - EXPAND_STATUS = COLLAPSED; - RADIX = Binary; - TREE_INDEX = 1; - TREE_LEVEL = 0; -} - -DISPLAY_LINE -{ - CHANNEL = "X0"; - EXPAND_STATUS = COLLAPSED; - RADIX = Binary; - TREE_INDEX = 2; - TREE_LEVEL = 0; -} - -DISPLAY_LINE -{ - CHANNEL = "X1"; - EXPAND_STATUS = COLLAPSED; - RADIX = Binary; - TREE_INDEX = 3; - TREE_LEVEL = 0; -} - -DISPLAY_LINE -{ - CHANNEL = "Y0"; - EXPAND_STATUS = COLLAPSED; - RADIX = Binary; - TREE_INDEX = 4; - TREE_LEVEL = 0; -} - -DISPLAY_LINE -{ - CHANNEL = "Y1"; - EXPAND_STATUS = COLLAPSED; - RADIX = Binary; - TREE_INDEX = 5; - TREE_LEVEL = 0; -} - -DISPLAY_LINE -{ - CHANNEL = "Y2"; - EXPAND_STATUS = COLLAPSED; - RADIX = Binary; - TREE_INDEX = 6; - TREE_LEVEL = 0; -} - -DISPLAY_LINE -{ - CHANNEL = "Y3"; - EXPAND_STATUS = COLLAPSED; - RADIX = Binary; - TREE_INDEX = 7; - TREE_LEVEL = 0; -} - -TIME_BAR -{ - TIME = 0; - MASTER = TRUE; -} -; diff --git a/1ano/isd/quartus-projects/DecoderDemo/db/.cmp.kpt b/1ano/isd/quartus-projects/DecoderDemo/db/.cmp.kpt deleted file mode 100644 index e067e29101416d46481060dd0ebc056625769e05..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 204 zcmV;-05kur4*>uG0001ZoTZV=3c^4PMfd%RFdxv@#!cIW)Qz}M1h=JjQXF(1W~M^H zk9X>TA}R<%7UAaJlR%22aSh*tY9*Ge#!hpj8l{dqX&6k?3z8LDl`M-h2IrwAH`u4T z2?DH1NQ7OGAx~p(hxs5*9A=j@PF@fI diff --git a/1ano/isd/quartus-projects/DecoderDemo/db/DecoderDemo.(0).cnf.cdb b/1ano/isd/quartus-projects/DecoderDemo/db/DecoderDemo.(0).cnf.cdb deleted file mode 100644 index 23649204c2f19483713bee9125df8d933f658476..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 1144 zcmV-;1c&<+000233jqKC0001M0BZm=00011WpZ4R5H!?6F zF)=SOF)uPOGB6-hN+3*WbY&n#WNCD1Z*CzA00000008;|00000003J80000000000 z004Ld00000004Latd%`)6G0G$&q+Wid=wBtgb)?Dq6iR_f(nESq(Cg>NO5IR($K&! zIGY45QUpe4Re@18QO?X(x2eltx>-u6k0fxUO)leQrnh=h`dtU2OWG2mb)wn)|{_ zPJLdd4_tdt9b2{~^+J~qcv=UX`#do^pEJ__?qkLB?CI>qlY`mb{$#vAnT_{eO=gqn zctj_esL5_Il~{P8eTL~AWs5nnPA$TKgQBk%!;oQgQP^mkn|?y4-%oDq_Zj`jAIeX+RpoWr(vrPZves7pz8$Np!T7aN-`v3Ll}%I+9? zy-1?Y0h#iM9xG*>)O%I-TDx#p3Z%jU0y- z_B3*tcv=q)3;LJO@nXK(o{Q0)=tY2e^Y-2Q9e^M51r7WFlhfRiASD9UC_XSz;73F+mWP^lOiWlqWh8j z69;)EP=Q4C@#K4@!QL(_3 zGU5P73$)q9C;aQcvWKQvzIz-lEGBaNU;{lUs-oHiuQ}s`Axy}-F;tzL7r~Ivq8MsK zC+ptM@`tC|-i$<2hjiydT-2`oFqW7+*L$X)Nw@8Y37V9lvu&MpzGx?glkn!&$(dMz zu=3H^oHgK!XaFv)DZ@JdU_)EEB=+cV{+#%%IgiJ{mrX_f0{{U3|9AmxQ9Vn;P!zrW zvemX$)X9oEh(b|`gU}%qlk}0Mq=`);*u_^w(ION?TO4$75#9U)&Z2bEv2;`LX9&8w zI0-J^`)G|71Bb)A_uQ|O6a=6O0JB?5`vK%2Vie)(7PpvZ8m`Bgh6Vrg<+|?D2@q)n|#nB3tFdSpzOR3%>!3ZLS@P(G0 zXA!u-*rjq`q@mO-*{3n=0a(RI%WR*dktY$<$?sx#%qc}-4}+bn&o>%Df_{-rq8NlR z@pLVpA&FTLhIq5Xo&Gc`o*(G%dvY2~FzqgP?^%yek@pCX&6_>@~8P8}= zy`IxGJ(vA`yIv<>9g`5_OX=Hy5v|>&14Ao@ZVLGnJ K9QPXl0RR6gMIiD3 diff --git a/1ano/isd/quartus-projects/DecoderDemo/db/DecoderDemo.(0).cnf.hdb b/1ano/isd/quartus-projects/DecoderDemo/db/DecoderDemo.(0).cnf.hdb deleted file mode 100644 index 122667c7a7aa516deffb8d3a8f86102f6bbdbf62..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 721 zcmV;?0xta&000233jqKC0001M0BZm=00011WpZ4R5H!?6F zF)=SOF)uPOGB6-hN+3*WbY&n#WNCD1Z*JEE00000007Vd000000049W0000000000 z007Yf00000004Laq?0>N!$1^84FnVkB(eYsmsH5VC@E85iGm`fU4i%s8Waf%mO#l; zvII)Xl$0s61S-tA!@QBFj-@;AcjwM~_IQx|KgXEi7Vt8ObdI*b$b_(ape^@VpPpY{ z54@cWaO&(*)jGHASYZ>w%7vLzD2$W4FmOs2W>yWf;smMxnmntQA)WWrE)cuP0>LTR zzRJ=~v~O(b+NJ$aedUQUT6eU)2W3;R1Ewq+>?!sg7^fiT8W$-AGq42fuIqNOZN`$w z6JrWKsm#F}zR8sMft2FUKqQls2#k#FCbPgdnTWLf?Ya+&*I)?LLlbqgpd}qL$9{YH z)=gyn&iwurTGFwDD%hbL4Wn+B;0w5e;#OdfKnnB`L!+fsb!qf#V3I>{et%nBRcAMM4}Smv z0RR7Z0pes}WQbs3U^rY@*v|;0nSfXbh=bkz;(h#`9YZ|*feg>!5GDozpm4l)@iTrP z4PwKT`ML%NJG#5ZyZVI$MKUvR0Hv;9U9%OYOa{mYnHJz06bv*6s46}Hn7_ip8f>+1k4rz(!Rm&2=4?td${^KvNI?EC9GM}WkD_lnIr+kAcgL( zF6fFmz}7MrmaxEF3DN{o?CApZk*6EbrJP^`Cz!9^0+a$92(uY(pliHmh^sFE00960 D+a)(- diff --git a/1ano/isd/quartus-projects/DecoderDemo/db/DecoderDemo.asm.qmsg b/1ano/isd/quartus-projects/DecoderDemo/db/DecoderDemo.asm.qmsg deleted file mode 100644 index c8ab3b8..0000000 --- a/1ano/isd/quartus-projects/DecoderDemo/db/DecoderDemo.asm.qmsg +++ /dev/null @@ -1,7 +0,0 @@ -{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Design Software" 0 -1 1668463009510 ""} -{ "Info" "IQEXE_START_BANNER_PRODUCT" "Assembler Quartus Prime " "Running Quartus Prime Assembler" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 20.1.1 Build 720 11/11/2020 SJ Lite Edition " "Version 20.1.1 Build 720 11/11/2020 SJ Lite Edition" { } { } 0 0 "%1!s!" 0 0 "Design Software" 0 -1 1668463009510 ""} { "Info" "IQEXE_START_BANNER_TIME" "Mon Nov 14 21:56:49 2022 " "Processing started: Mon Nov 14 21:56:49 2022" { } { } 0 0 "Processing started: %1!s!" 0 0 "Design Software" 0 -1 1668463009510 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Assembler" 0 -1 1668463009510 ""} -{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_asm --read_settings_files=off --write_settings_files=off DecoderDemo -c DecoderDemo " "Command: quartus_asm --read_settings_files=off --write_settings_files=off DecoderDemo -c DecoderDemo" { } { } 0 0 "Command: %1!s!" 0 0 "Assembler" 0 -1 1668463009510 ""} -{ "Warning" "WQCU_PARALLEL_USER_SHOULD_SPECIFY_NUM_PROC" "" "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." { } { } 0 18236 "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." 0 0 "Assembler" 0 -1 1668463009605 ""} -{ "Info" "IASM_ASM_GENERATING_POWER_DATA" "" "Writing out detailed assembly data for power analysis" { } { } 0 115031 "Writing out detailed assembly data for power analysis" 0 0 "Assembler" 0 -1 1668463009774 ""} -{ "Info" "IASM_ASM_GENERATING_PROGRAMMING_FILES" "" "Assembler is generating device programming files" { } { } 0 115030 "Assembler is generating device programming files" 0 0 "Assembler" 0 -1 1668463009781 ""} -{ "Info" "IQEXE_ERROR_COUNT" "Assembler 0 s 1 Quartus Prime " "Quartus Prime Assembler was successful. 0 errors, 1 warning" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "352 " "Peak virtual memory: 352 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Design Software" 0 -1 1668463009828 ""} { "Info" "IQEXE_END_BANNER_TIME" "Mon Nov 14 21:56:49 2022 " "Processing ended: Mon Nov 14 21:56:49 2022" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Design Software" 0 -1 1668463009828 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:00 " "Elapsed time: 00:00:00" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Design Software" 0 -1 1668463009828 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:00 " "Total CPU time (on all processors): 00:00:00" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Design Software" 0 -1 1668463009828 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Assembler" 0 -1 1668463009828 ""} diff --git a/1ano/isd/quartus-projects/DecoderDemo/db/DecoderDemo.asm.rdb b/1ano/isd/quartus-projects/DecoderDemo/db/DecoderDemo.asm.rdb deleted file mode 100644 index 9b146b8f5ae2a0ba601ce5079bde2d93f23e2ecc..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 799 zcmV+)1K|7=000233jqKC0001M0BZm=00011WpZ4R5H!?6F zF)=SOF)uPOGB6-hN+3*WbY&n#WNCD1Z*GYM00000002z_000000027y0000000000 z0018Y00000004LaoKoFy(=ZTkV0?d!A%uXZdqU!2OO};NtHeXqW)nyqAD#9(ne3WH z*l`!z75(esoHJ`$jS6wn`Fua$w{NS{={zRBOYA9%IuA$;j6wBXV%>l@98q+K&}YOi zTKtOcw}>gq4vFh1FmXzWdO_HY)C7yXCj|Z3D->FNP2e*8F;-X$ix+TIN;E#k-;xA>PST`=mH4a#t9L%opKpN? z&dVI(^URjIGJVo>eS!4|mEJRYx+$xF`^WLFR})HEo#z;h5e06Zr{9uxjl!tCD`g75 zMNDf8V}*5wU*3|KxICvkQzu~;#=3$NJ%{}+r2GB%y?*x)(j-mU{3(fVG#*2fffJ#S zVZY_A3K)Dz;#ALeCC*VoR@u@nT0ZyKCq3`7xz~?`DrMN@C!`M-xzrWH$1}*5R{TG# z`^?#w?l9|RX*%pJjrjLizfWSqo5_H0V}w)Tq=hpfU1;MC!G5utDq)S-^8<42}{QS}%>OhKt9f)D_{!U)5&LPYU89=c|&)zqI^nbBl7K0bgenUT_4RcOii{6&bnR%@n;@0kOt`xgsMhX#Rj%I zZThBAn3*C_Rj$5Ht}ZUFE>Ppx86HEK31yT%RKn!yu#5h+US6^4Z5Do?fpp;BV d{!vjN4KfqvMu@WDkRVS#_jssk00000|Nn>4WBdRB diff --git a/1ano/isd/quartus-projects/DecoderDemo/db/DecoderDemo.asm_labs.ddb b/1ano/isd/quartus-projects/DecoderDemo/db/DecoderDemo.asm_labs.ddb deleted file mode 100644 index 7666cdc493dc313bb2ae667e1c5bd48002408b97..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 6673 zcmeHMX;>528fKA-ppt0VL}Y7gkR^Z{n}Cr7FSbAgK^DOUL_m$QBSORrf?*R(pj!55 z0oi0Tf?*RRrYu52P{We#PC)y#dat#;?Vmm*d1mH2Gjk?$&ilRZJKsRW z#Kg*E#et=mSODt>H`E-?w0JFhxt@sxXkCD|zVKR1LGEXngWbN1{}uOKD>c812eb!!?H1xG=adsGkf@e3S$ihHj7R`QT?V&@BwH;%0&n{$FYv1 zK+xTbjL08^?aMz`OLliHYQUo#@FyoK29%@EC(y^$lACmGh=+yykIAIvq`-wT8Y4yp zovWg_O*)u0vyNvVn~3YNmRbUy1~bDlso#sGfCwsWG4Fh%=%J=22xHLMMPaz6ogQ=L zIVh%yd~E|mjS_UohDr&MyBkxN8HpSC(vw=kk&50t;7?fnd89P?Wk=vCdPr#r$64V? z;ZgfxD2v3&qSO+Ve0F1xb{Bg@CZZH>tj8VZv1rlUQ>v5qCn7~xp;DTw;vF8Q=mH(K z*ZZS=(xSF-YLaKV0^Xeieb#C)<(?CxxIS&ou=gY8sMR>SH)5sBbWYT)W_Ieih`Fi#rJ)x8SYQGKTKONZYQZmu;h%#dut13ED$cUyg-N zlPAm5^>R-X?SN!V!m|Vh()qK5k zRz=h8c6r7Z591KcP!{wKu`ex)S9$erETp@MaVY_lODl0g3!)vt3-!;MBB zk1qWIg$$>zV3@miG3LV*lL93uHKR`D4P;t@;*BNX7`UE&ENJfmpoUaF$N^!Wq%nrt zULJIrD$}j9CyRg(@gWd4L*F0S(cDqW-KI{yQX;X+IHyqq>4yuGDC9b|o7S)7<(2Us z0|UFBv?HDVh5Lium}g^}{HaVBiU$#@?ZG_Lq3&h{B%}*+wHq%L@Zw&lsx7?AjTSVL zRoUn4e#Xq}EmW}6E!*f2p?s4pn>bIaM<~ORg}l^U;j8D*VV1%LHcW<_2}|21eB6xT zhGbM14SD(MZc44EUa|15qTj-Z*-~%5%yx@cAhX;9YMdaVY`+{e>?{Z}4y%lJs4%XY zbAL@&B7@%*5tYDr`^p}|Bzj)4a|i^XZ=$HH zUf2Rxi`A?V>O@;-?rRrX%Sp%X&0aquUoe&dK17K9`chAqcA=v+^W)J78g9}!cWh-W zSW`9cC%)&_`0N&y8QYlA_XYA9&S0QD&D-3drn;J;t&r4mdb0-dRRi5oUNWx2v|AqvnXGO^z@P$1MMALb9Xrs%9 z#mwVWK+NG{KLCti7m$Q~q^DKy5hl3Ve8DQ=kxB0%4J?pZQ5alSeiK4YT3#@?3St9F zs*+Y>sFFFMrs-ELL)T^Y2(G0skqDEEnLNYHVgPIbs~5qbN&6G%B3Ot2+awPOHhbf& z(B8MR?Uy@iY!DR>ct8Fw1^LpxV@NBTzc1j$0JH=5N(FaYg$~dB+$vrmuf!0(&eyueh)6!4hx1?Cp zJJg-5+T_so>PkM~1p0~G2`d|$XJno7AvyFPpG?e|7e7VC>^Va2oq7aSL_UJrYe2px zY@@hca!r^_&9;%wbJf6h`6Z*$>V2#;Uv|l%B_%ZWk6MStL4k$==#i@$H9?edNZP$W zAIG8zPA_B2KTVo%RM<7ho7V3|a)$+#`g0SDA-f~)d*9Tkxz~wLJ0#U}H7c-9J``>^V9e(c?C8=@n@LkUGNk8{|T{3A3#`M5@v zW#}f23&-Q2riI&)hzUS^%gsc?`e(HHV=6u}Yx6*P;n^vxq6-OX)Ep}ViYAEMYwh>MtC=GnzP~}N+AZC!YN)G91IcJMgDXsVAe&(NpkoDzh%GiPv(uc zl>v6^@Oo*a>xu&7p+eK1UR1cKvfDcR_dhQKPZPf?DQ&kM^p>8=3N%H$018ZhHUbJ$ zLg3|!P#7+L^vGdvgTugw*L3w7c<)y6N_CLgXWZ|(#-?Pmh)Zpe3w85b4WVL)fwTH! zC#1IcNgnldI?(cPwXPLdN$i@`e$;iBtZO-$*9)#(bGa{3BP%iCdadQ8g}3|z<;w`s ITN - - - - diff --git a/1ano/isd/quartus-projects/DecoderDemo/db/DecoderDemo.cmp.bpm b/1ano/isd/quartus-projects/DecoderDemo/db/DecoderDemo.cmp.bpm deleted file mode 100644 index f6d6904ba025e02c3d7efa284caba584da6c2e42..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 613 zcmWe(U|?9w%?KnJ7_x!T1W1Ra78Pga=P4K&=o#u6Dmay9=AQ6%vZq6wzpg1$ zN9n8DlSi)AE5seAncQ5~?Q52E-TwPBiRZb-W~;+ox-1N4zL{-qoL@fKPk`n3liT~h zl&-p+{b$EZN5zQ4&2RP}ZFal7s-$$qeZHSBXG+8z3%|Z-_fd}{C$eL&_Z6Qz!B$+= z)6g8Z`^iM-{Ji!>-Pwf`v`Yp2?l{(7vErCrI6?TK!k5RkNw)&Rzl$8|)Jw?-os>Pf z-7Tz2?3mHjnI;=`N>-e@a>8kv+03pSk!8D9TnR~Ai`Sl81eFTJYAh4x9Y?E=ni(aj%c zo(=!3uE$t?m@ECK=y7KAdZ#4T7q23B{|&knyqJCJ`Ln-|8-LqXG->hlC#>&3MGM*K zic~DwmhtYJ(-HsYTXWp&9a3W7cGUN6Ev`AwY@rlCLF$w=Fp&TMuV73{O-RW|0D_It zg6BDw%xs8|?3l|`^LXwb=f5#B%}S4%|1>*2s+IjKb2qm6QLK!!%v_n~*qIVK4X?i0 zUfp?!eaX+p2%%Ny4_`id^eTU-weew=1#7;)EtPgOGhjCK5I-@E;rIf9^8)_$_F`;e q3j}x?WSAeNKbyM2sNjH0qlrfodq=l-(3&+}-d#qYFM*Q7|Nj8{y8}7^ diff --git a/1ano/isd/quartus-projects/DecoderDemo/db/DecoderDemo.cmp.cdb b/1ano/isd/quartus-projects/DecoderDemo/db/DecoderDemo.cmp.cdb deleted file mode 100644 index a4a7d5415bcb359b205cac0c0f49812ede644305..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 4694 zcmeHK_fr#y62|keBd3BKB27^g1f)ig5X#XBL3#_37D@;R5;_C~L_nk$LC7g3(h^EY zkP=jCjDSjs1QBUL3=k;^kWilQ-cRp;xY?ckz8`jWc6RpLnHA#W-|l0srtYA>Ej&z2&61PxCSpVz9GN;T zxhwj?7RY?){1$d}%g>SryO3dI8Yj(DGATj24(xlSk6N_Dn&6z)r8sFYRt0f_hIQyk z-3T~VwIogbQBtW+yj_*%St;VL%Ye0?eyw?`>kC9m$eMzyWSZh>&pBzey}@%phKwMV6~h++{RrOyPv zjXtnQDS96cR1a!9NMcJm(pth~M2${9QlHhmcaB((sqmde}MIY|ch9$%@;K&$8=UALr4akX9 zosAo_ccgJyfuEoOvCKs`A==jfgJ~{UOcpn(< zQ#f9W&=Gp5IcIGb)C62#9|on8aXR*P%vr|IooAxbU4rOuj*oh+Ty^&I;WmNcX_JD0 zUiS0WXQ;J#HV+}on{67JZ3+qc0um~$bdOxoo?Z8UL&kb5RP_q$e=Dul`*r`l{k$q>1GLpTXLc|vwaJ7c)L-P^j4iv ziNG%^k$X&5Ix{xd$#Ze$xeJq>(E9zAT}#Iks>R)Yi*L!VKxxX`cD8pGYKyIbw)yjF z9Q=_{C z55s$8j=kgb61_DvGu{7H97AKXPM7EeOzd=T&2jbjcwQ@*Pxo%toWM{mNW1ONA1*f+ zOp~`+P=F>#@J6OtJBR5cNLN)P18_>C`$|3>kdR&S@Q6Qe3z?d0z&TicPvIQQK5p$o z9N3qTd$=`_UN(h8a;W`L?>@q9MZI0(#jHT1(OR9P{-x_2z+!tO?E~DqwMODN26@DB zTfeqOwy(C$gq_V9zj~1*!1FiMOt~Nyhk%fWJ%V9KD$xBD%v2RZ-({_kEt8;zc3s3B z;}uIF@fJE|u*+(A`LyRV^eBU^Amq7zzWvij66G~X%28Z^mcxC9Ov~XduLhoLAM3+A z)2cu4(&#oCc$>%)wrpb6_6>RN$3w>*({*|yCwB7&q5gYX3ghI9gq=Pj>#1;Vz~ofZ zir!>&r(autRN&-@2AVXuwDSsC6SE^-QXWG92giM2kP$#)AA^WQ1WY7F&k;MlCzZef z?WXcZjlHe)4O0M`YSZOjJtCzLH(2<&W0{VO?PSZK-*1wTErL1;oQq$Vof{ODpJ26+Y6$M^65!A%$o*c5&cORwrfq$oj6J=m0&Ng+e zL4)+(QPUbTn_SmEy7-ZR)9qU?Q~exvUA+rTTu9=mXo%_=JJ)81eFUh{}s{mOnlR8{kPcV?o3ojcWzd z4P>?Ss;M$4)J^;o%q~2vZR!Z6Jv5tc(bA(>>7R_cO}U&=%+weZucqDCe2S|AQPuG0 zefltR7uee($hidKyTdfboE{-8f9aM##Io#Eq}0jw5Vn}h&u=i5Y3Kfm`Gl`&!UuVY zh8*4TYHEq8MCbyCDu;OYCXVSJ4BvgK{UPFk_5dVn{pNhatdgJ%W}cCqm_HNLU6j~a z5TBPwqbo|*C}tvR4y#jIhR9>~0gLpsHkqNOGWBG0w2+PjW7Yr<&`kaD-AvE1DYLm= zvh9Wh3TPWWDM(1Qw{hxEB2=kY=7u?0tpdU5TE7u#imOf`HfNbA0YPyk1w#%DA#8@| zwDQ+uWq~o>^P3vAYkw63ohb81$*fJ{J0pDmoWPnsM#)8JE=V-?sQR;SKcBPqL^AO* zo{`MwSjS9IW>3m#O|}Qb)c>G}|FGrW9C{{?>^~cHNw%-L@ccxzH@END;G1Sj-X{t4 zHBDv9&V~WYF^P$r-$s{+6_W5SRYUL~YrEID1oS*sKJW8egj_(TTx~h`vUJQHE$oY= zce;Dc`1{xwEjw89-r_y~#9q~pK|$$p;Ky8*D`TEk^TVg`He8%7A~b1IE4p0vEfmH* z&n-|%#=?p&#cs^S{JhlROlh10=H7AH*G#rSyH;$fr{>6`1)YzMU}1Zoo;nSf!+*bv z!7hC{R`w$;zWDu!(&MMA^I&JmK{a$rRA{Ba@{~~ym{>qa!1a$XXG!A#Y4&47{ zUhc-YUmrFJtkk&wLWX~SGc<#;dv|*rI!S^>uKbSY2+;jc&Rw;wC+a*Id!jIT^;*6t zm#T-X>*m+Bwg+AATm|?TuAi{`x*1{0>#}Os18e2i(MpCA8O-Dsmsh1LUBV056*A8Y zVrU51?iuN!{%gPwW;dCAj&0v^IwpSv?rGGHN~?s>QBSDYX<2#y77i zIX`c%5aAcQ`m9X|VhYxgzHUqp*47ek7pipi2|W1ul$JYoVJQ%0F@Ak%bCW_=B9=~T z)B?uf=qiq`4>S^x9L=(SH52&F~>8&%O4$T&T?>4hM5LJ;ODGElve34_5SSW?Dp=XAUxCs_?h~ zf0M~J*FM})!V*=g&~`g2U<4F2kJK&syAaSx^~3SM+}HkIqBtoBX$K3A(HdhDk|4aS zB8eQplrggUeP2Aa_d|hkH!f2tP~3a4@tL3Z^Ag&c-UY0hOf5@FVK?a%UE@e_#P`3E zgZl@+Ue<$yh(j@XroJ|MfA(Xe5wuZ7zcEGo3}SUewaEn+*w+%75%LwVTR`G~f%A3# z<4`4q7cCJD4~|8h6%s`CJ~%cTVr><*E@k)!(@@FHHtm?TF#;Cke( zp7{{#-*B445vtk^;>zI9YKNuO(yt4DX3yGg0TS%G&0)Iih+U&1BMX*E%qM~I1*w$R z8!a9z!e=83Go zZsLP5mq8DlJB&A0rhuY+Q&um}g*RxE!_4@un{5{@`Pkb1$eFzOyIf0u#fy5jm*h5l zvESJxz{up#V};*cUn^WQqy{;pSn2Sqo{c(06h;+^ZSR{A?D&;9efRpj4QTEGq-^H5 zTg{u5g^3w=JxtDeo^%P2vh>|SZIy#|Mh1h1_u&b)^r#xgJWQJeg-gO@< z5rkOM;wwkq$7?>}mJE2_n{o}sEW8NG%N)~Eles=PuM>eAoN`fYpgcAem6=FZ#QCuvvgqoQrcl;<7)|KekH1wdu I{t?c904z|EdH?_b diff --git a/1ano/isd/quartus-projects/DecoderDemo/db/DecoderDemo.cmp.hdb b/1ano/isd/quartus-projects/DecoderDemo/db/DecoderDemo.cmp.hdb deleted file mode 100644 index f9274376a40898e620095e20c7750812436c741b..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 11908 zcmV-~E_=}w000233jqKC0001M0BZm=00011WpZ4R5H!?6F zF)=SOF)uPOGB6-hN+3*WbY&n#WNCD1Z*DkB0RR91008+Y00000002u20000000000 z004w800000004La?0pTGTt%5~hs|z(o>fCjY3|lbKG^Fw-;6PaxcT zX)?(K1IbLBOdtUq`9}gqKo(a-)QHHU%PJxQ0wQ-85z$>xv&b$G@VVZ*xEEbqqkxe6 zzBT9T^L3w}I_Fd;OknDnnyORvz3=zcS9Pk+f4?D@%e{L_F86k%F7e(a-QT+Aw zvMs6RW?pYcamJNT2M4*MWLVD_RZnbK6nU{x(kMRR5S&h>PB>&cO)7l#m?(Qhm*8xv z@V+OP%Q4D!eVUZVCbui=#b)Y|yrf}zrH*NRJ^d^7Y3f8)`lmjXdRr>}D5=Ot*%VbD zrC-VgcNE&oDBCtYA}1-Jxi=!V53U$qIXKcjxOVu>*qogq0+M?N;uOTKi0z1bPo|T8 zm>dU_`@!TqkXr&DFitrF{}{Rv`@EF-r13<^#zoH2UoOIxNew6vh>5tM^;t_)(o#Wou!n~xL0=W+*yme77{_+5afub z{8VNTXc47ZN6r_Ka@pC4$kgX0;>_WZ(=Uvyp)C1wQ$&^wEMY1^mtEl%{wY$Txve9s zYpoDA-!7Jz(s`aRK_*-$nYeT-DhE8rh#bwIlDonDm$ER2`gP+un(-#YpzB#rw~w<@ z?h(X1;@dOE>A*>}aflpK%JPsZ@8u=c93rpF!sK+lzHbLSti?prHshdm(`a`eVUZ~^)U_~C(F7pY*aaASr=9>Wwv_ZV9H>2 z|M6`8w(->bzZ?H4b01>fi`=AV3;QWD+kxFK6R+Fe$(24;Wenq-H)A?$^*=rJb zP=6sd*h^Bzlo$!@&*`$EQes$F6Ij45V|Z*lF#}@REN{ak8YWWQC5ufRHZekr%}JzA zOeWQoglkz#BB?|gmsvGVg|gK{>ql)mxw25ss#XN8ogjjd%@vb4`7fI_HoReU-G)dz zYlK_U2sft@Zb~T39irGgwt6Um$&@{@DTQo8UiuBAJe-*QuESfgv3$emaOKp&p}~>m zqk}6e>~6jHFwaFv!w$g4*oXRCd;0o&D{Vc+*1lqUWpTNk3%ST?4kyQ`XqOu;Vd zXzg868EPvc_I6p-az2ZICQ{9d{eo|A>p0%3aQ}M1*l>at?yFJoXOmTr?gsWi(^%^E zz=?JT>h>5wwLQmRp<%Da#XRb>L^*Oun`Y6~NKBM3L`PJ;lt;-)`L0Suf1^{ex6!=y ze4?j*ywuCdcDhuP;w4FL==_+l@}^ z?aHaZMO4mXKZkrf;zT&@n6zWEA124)v;<^i54T#qQ>5M=yYn8$>i>`;=k<$IYy zI0$i9S@&x3vVHoh%v5aC6-WZ%R+KEoi5#!cFmlymI218>K?qlcmaoA{wi!h}DuqZj+>2`rY&iqZE+=Y_(wE18FXfWfb4j_zu}rp+&GFg*N8kF@Yd5S}KDv6?jJCVfRpObDn5ez=TD7YO zBakC;Dq?*vqNo~fML+RwBSX}byA)jbGdz?Fy+JU#dhP0=Q(1kB>UzS&#V2_teGp}N zFN$fqE1eF#VbN887$?hwS9UD6TZfzBD_+B6$RoBRa*}(!rW~>-W1Jj`RD3tlQNwQjP-SKm@Km7bB&@`Y>rDsHYyccqUxi{ z!+aGcOa3VPM83XW%9#=`+sw&rn~4cEeMo)9w77rT1?p^hQ6mB(E5cFDG^m$EIXl-H-As)lRO9zJl! zz~?I()t(X9Oh56VDe<>0g=jTg47>iDw?&Rd*(E$@!9J+ixgFfd=59iK4>FG--hn(% z6~~{u;^?Hr|Ajc?62xJq>yYS1`k2Qt{*0AKuvP-|EMI0GbP|c1&;XHO-bffN+fmH6G@J@)A#=e zley3Q8Y#yi9~>1qWOwr6J)W07kCc}OeutD-c7I=&{ubNZ2N{W{VN72}xlX10zr1p3 zm|X1zBsNgKKCq0>Be6Z#-#M~^^>n@2AI#fK^vC;hQ&7mcROVC4T0f;PQci zRV(H%m^*Lo;GEgBS1o9s+cbM{{)&O+rn@Q2FtF$o*THntCh9w3`5A+&hDX+V@73&RRKew0enn=8A!hGdHeX zg9gcT4wN0<@87s9x~$kXTQyLQN%!2W&? zLX@UP3~w_onKIUoV>(CbnC?KNmBcg8G6rn=N|BfG8a0le_AtaTkdM5K+;>a?@(kx9 zayIN*v15ST*mGLh$uvQ$;ybfEi zk=Anxe--la$~jD_oKpE?@y`oaBYihw^Md(vFrUntw_w4%IkRWYY@R!J{_MH4nwk*j z&z~pa^=W;Zwtvs>&aXf?-l%%CX1@s=4jwre4NeO$`1;=qICt8GtsSl9wjz%;LPJ~& zjsi#a_Rnxa&vZf`4Rna}_Cv9RK*QC3%=@0%8~#3^IZ}(|)`cBK(N~`a^cQ>y-caCd zzNo*W!!NDPu_>}YaN3pH6H&p1u5HtbHN!)L`O?ySavNxr7kCFD#D!ALG|&WP1}`kr zyzwPUqjWyNaKGP>Q<58SES3;uy3ufRGWbJSLBil)b%TEma9R{UnNoSR50zgYPo|vE zF!=L!ID1y$VgjCl$hUQMc9%L@`%2N>Nq0zNZV743!LT*K?sO6ix-|s;?qu+# z5%7F+c-VlN4mkcSqgloiC3QFq1?-8M*ITrJ9*nn#H2zqtdNTB&?KC0GeIK+k&}e!u ztoaL3nV|2Y+F$YD9bG5rR_i>qN5M})B^QI%{=P1a(fI(r;MXokCAr7PvR_`C3oxka z0aQA)e}xBco>_C6rxcjM71jQgZty|CIr@_8RtEy7xwU*K1H9n-<(~oPg|OC+j(m4( z-;!Rx3a@6eQC@&t83O>9~Sl`#37o zuVA-&GhmM}s?PXVFeVrZx9dq%8T4Y-n|7#-Ksd%%rTq)n42tB4-uFy{>LQ{9O;mU6>WN5fj&h-)usTPWYkAHJ= z_?S3O8UMCq@XgwDO8eUZr%yVI?WO)s->TXi52eFA*90{F(p?Nv)U%TrU(<04KF1EP zvAp18=K{(-ZARo}z#60v%*_K5f3at$;Tf|i&Z{e2yDi-w%MU^UVbD4Tp9iZ;P!3tMl=tANvM~5BlEbSj zw^ILq0H^o*mUZ)TovNwH#`XWdCvJY20nd8qmN_*F)kd7|Upb-w189eSf8I%XKfK|f ze5nU-%69vzl0kdH@08_$^9e;h3Q{SR@fo$%Kr^m9O=a#7suhB$e>EH!zd6?t@9s%sm9 zMS89q{5-&EOp1L?DL>y$`2~Q#1$6zr#e8dTzNfgjzoWG$U&{2<%Y`80qxK$IcTd;i zp4QIJQh9N{yOik(=ZnC|n?1dKt>yOCo_5vnnk>Z3%E5ubyuQV8aaIoUc;OOo@BzoYyBW*L_eE+4pb76mPzPF=wX(lT!0}b|=%oFV8j`&YF z; zei}@i~}X;>q#buvjB zhV-)yGwHg9F@3I4jk~^KCVjqPOkZdi(-+;C3jQeA3Z}Hno*LF)1{{AGkC1M3LVpEl zF1e+0sSnoz`nr1C{C3smXd(|lss|ye`X*GS^?-u^Z3cM3$A2vY+(+mBE(2V3-z|Xi zwP+k)cJ=pl_xI)7drC`rRJgdOtG}H5piZ^u@7+xLI^g)rIHJA*Xv5auTN_FC%|?>l z)=08%Ig_d0za3nY8^IRbvH0i~egj6>D*Mc^W5c3R`C7t zoyIowZfDzk({lHKtN|yF%60dG5q}wX^6vubFk|262LE1q+f_e5kU_a}+=Ch5swaPt z-gbq4$O-*JH`5<>QvRd#Xw_-=W3(LxM}$mj`4dWgOY$caTaV9oOWq1)!F3I=;B(+eI}{4O4e}gsipgJf z!{^sj#>4?A05&tbm{OykTZv&rcwN^9J9Sz{PvjH4; zW#uUNjrk5Z(yq5^#k?DEPU0!nTVHqXo(#&B?e}JYEA8KPgWs0{t~~cWz%$QZ_XA9~ zXFI|EN1%@YI(VVGwVdxS_jVWCN{dRx_Iz(?F>V|xm(^qgi+91VejtN$e01*nUi-2Q zs~?3cPkJ2oPvLN7p7A};wixLK9sb!3{wU!5a%QQ_he`VK*saf9iz(nVSQ) zxxxR%$@a(Gl>Z#?bUSsyA3DQ$k~!^E?4xt*+~6N^gO6l@tJ!cp;3>ze^4w?!<;rs# z+~E8^nM1#wnE|dmw-NB{p4;T6{A|GaYI^m)mhx&%mKH`$nCIAS;68PY_i2E<`jX)3 z;N)Fz{*vCQm07Fs*p6^GTbuRvuJWRe5}r#cFOD;yUik*l@JmSj-S}8wuI|pXr#{r%_t9*+#sDK#x_#?onNa6uLYi!ShdX zQ)>;G@>6xyDuXdJ)F72ab>)h_pArRKhZa~4$7-PJaDodTyR;dDR$1rs`Ulx;fSLFcL{ijoVe1+15jCr*=En zM6Ueu#zyiLQ6GQWR>Uan)m1V5@V2guS|>dwp}IPz*I8X5BfBO_X?2xMjjXPesgc#y zGBvWgVx~q`SIyMO>dKiKSzSHjJ9IPFDGYY=`x`PAvaFthMaoNpq-<%L**r7y5RJPk zr5M$sfGvFC29d|_;|%$Z)uV&?;>zj@NRj#wM@QfB*T$QhkD1+aOv}7{zKk17oePUS z`KH-NUscw0N!GEK)J6w zca|G`3~*i$7~HsScx1G)azIhnWaDi37$hBaF2W8v#01cQh)e zW4rhGQg;P!K^DtJzRy!$T)}o}QDsScN2Rl?-CVv`u$pWf{|xW?XU6Xm&-CCKKht;? z4#{aUzj($;~W~x!xb`QF= ztxc8IWTT)(RKVx>?n=2>15g`L*u|dOn9hoVc4vov15jF=`1eaxOKt_0evkj2X_V35 zbVA<-boQ~?jwaLc_m}azD{7iijYBrd^0Ixmih_0l8gF(KvfD#Wn^tS2zZHf2klkFG zM1L?cuS1U;yw?toGQ2+}wBVb)G$mB6*vnEvmDZC|LVbI4|2;eOf2M@0)3uWU#b2&D zzb^ecIe0L(75ip6mVX;?(P}CyHr}NT2zy* zX?DS{;`eziN3}IjADO$%4h`6@`s#8IKD#^elzAW&4Z{nR|;D@&9`Sd`#bOr_GN9+UpXY> zUFdZ(9S255hLT+F2Z$_-o?FRqug9<`gyEq?4EK8s3qu%wn26y4kKx!5hKCa|JmfJP zBMb$=yznEWmJ!10AA1yYg+h(dCzDY;>QS@^g=Ybir;<@@^C)J9P;5^|@wi8Eq)-%q z^TN|e6ZqmukK%BlP`>!z$ta%jD5eR8n1J*JMEl76rN?lHFcf@>7m-cSj%PiJgM>o0 zV+wZW1QgGC6bA~0Z$xgdWE9VP6#Iuz>;nqAqB-&bbK1DWW7s!@;f;wHUi29D31N7X z*Nm2?SR-EXDE1Dacv~`0?1g)coUZl?p?G^TioHFGSMb~7^)uc<$td>mC|(SqcxN(- zeLadDAryyz!aln9_ZXfRh64QJg?EQC=m$$VC=T=(o)ZQ&xbw-HagayxtWbyrNDob9 z#33HTFGConc}6tHkE>}O#WNuke+dfw)xLgF?})=af+vNb;5TD>5`rT=g2#ox$L9_M zL9jRLO_=F1Y!e367e|7@GSN^$3n;GneQ;2J7CVeNyDQ>Lbj9e)e&iT|Aonju9lnc# zU?a2yYX!-?4)-~szvqO$-wFM{oY4Q^g#Je-^aD=l?>nI%bVC2Y3H=bz33e7pnc-SL zRDbBC{9z~bkDSmy2HK(F|Kz0n5hwJcPUxRFp??Z=Lbn}>xf#+mp$T&{&Ofd1$vuh5 znfUtg){<;)kAkmqRDY^nJ)J}KZ293KE%-1f*sA!m#AiH)X(0@&tqkPRaM}2P4Y*wN7mxvZC30)Xm;IB*gc_Rt7fySulj5*8!bm z^he=GtlDWm(X{`d5c}6#8EF4=Uy$^Jy7;O1r(k4d1yd_C)@CSsc&BZf0EK2LHGl{8BggX25wm ziARK5%Wcs&3*-EESpzsOZve+98o;ro0UV!f0LK;Jz`ckZUW?AwDOWbYh^xS1CT9Ey ztl1kw{>2T9PdVbRcEo=gc-j}gFhotPpK(H8>!D}Q4{@3)iu2rOJ@l;Dn_y9vU+0AW zoRj6(d+2$w$KO$wf8GiG1)%Yl%jC2#da9e}X6dl4p6c0Iz+duIXB($CIHAAnguc-U z{guS%uv5ivB|=A?s@?8{{BjHl=1gCDZkfE`FHJb zHZATP`zML)k2**Gsoems3sLZ&yTPAugFop8f65KM-Gf{1AH`i?_zzIT9}w71^?qw_ z11Y$^@oaJbkh8`AvQyB;9iRw<|1Pu*`VN$!!>;kXkW4w978mn89Z34URDUJ5kB{=%YYdKy;J^?*g24@xSD*S;Aa# zC|HuXGtL*&LffJzDQ(3ozx8gV6kxm;4i9CJTBc;!$MeRE!l1_FQC0>B!XKL9Qrs^TACl~e;V$Eo0Cz9 z3wB5s3LfcK?G$Xp{%}FqQu&)?aL$ck*Ja;@7Fv8j?KS7J1r&JUkPL7&e5V6W`uP5f zQhrzlqgj78HCF-V3;G4h;0Ya==kp z@V@%o4B%6CzCzEmq9G7GMlJXhM~5=h&)LtA8R>4!_nZC_Y1`l8I;(L~r<^>C8X&ZH({T^k^V{UEvPdE4<0jFgh z#ihlL*n1FB_H+2NeKU{NE!O$C*6)W(hfz4sQyzc78D;u>pgH^YE^6!72J3vB@eACH zKPDZVtC6rWU3F9sH|hwx|oI)_&duIXL9c1G)((ZP}B`S){KB37UVM6#pT%UJF9RRs<@ts(cYK!PExg>Ht2}=y zUCKF#Bu|Xwd2NMx%uGA?^YZvs=+3vQJoRCc%&}bJ4&(K-Wy30B2%{PYwyW9>Qki?HMbKSw-w{}bI9I+BSyo$H95$hZe{NlAF9F}%}>=6<) zGTtA%XPmyiygs2?tM|%AEWqZ9Ozhw{3!heN_lNz6%|3tdBrkrfo7o|QVH(niqb=hP zO=>SNJF*=T+b?!40SWuj=N7BidBPpvFWpuN`#fe$k8Qr)4s&}VmcxG5Xg})vOKWS* z!yU19*ju}MBoeM3u>;q>M>V4N8{rQ&BYq^Wuu9nN;0?ccExX4bhg$8hwrJFM%_yz#0|qkJ#p$<76#iU&V};LsY*cRQrtT z7Xvxm%JHOvgrCo8(;LwZ_O?)C&7x(8B~Z#_giC}3&8 zFni<7PWYU%)EwIdv>X^`N8mUp(3Vp|oxHyqFh_(+1njUE(0*}pz#qnA+teuJ&FEZ@ zvcp`6xLrx)DG{rdmGKgRjtUe6JQk4H;|r9N4-WMhX9VfFto}GeapXg_Jf!;8J_i}E zk15m5MTE_Y<9KS>tR%)EO2R;5M^IoXFr!dI#?>kC9nUE3sr1u54B3zAAp!B z`<%V!?DLrYR{WUNV-v+6lXf(I{+RUXq))v$mGgiJ`U1d286Wn!aH3c`X~)FeqwH&C zBYMtU!ihaXX~alw1VqP57t{r(HyMSHVbK%;?Zp9Ttyq?QOgF|!;zuDA5Akfw`a;D-p2NP8xt@Ce+He~u)L4$$a>GtYm;G^fEI0`r6lyvP z8SEV9iEc*@yB52IeOYV7U1IyPX15d%dPEGEn((a>$4SYwMXPOC zf!#2zHqiWpPSzX^GriHIrg7=~F=5-X2OAbRDGDESjqd#k>#^{M;v3!kMmRa)0joAW za9(K+i?u|CezD&rZp2}6z_5Vnffr%|kc3l?eNvhL6700_^Gef7R0f(KD6pRt8Hl~L zdITBuv5-cnwR2=}P+%_P2L|JvY|hpK&DRB|SUK!YE|No&&ZsX4^sQ;Wh6E(+1*R(< zIqX`DMC^b`D6z{e?X-G5(AtygA!bO$T53N941Z@tliowMp+2P)!>?#+sD$ZtR3`NL ztH>d3*=T(w;y^Yz)>6~zSc%P64*Tc%?3Z2a6PtZfI>Kt{uI*dtm*0y&jdN)fgC3`i zu*2>TbBjW388;%e9rh7qZ%g!Xka%nxuP18<{R!73U0}4lN(KKP00960cmaHuTTB#J z7=RDgdIv=jR1j1w&~1w-7nkkA?Cjay(Va_YW|55#Qz9*{O{fS)L)(O=FW8va#>S*J zHYO$%N@}X5*u1V?pc(HyM8(@U|K1VlfMb{ifv$+|NWM0UoV5Z^FS9c2FjblxM)FhQ#1|UW3 zB7FMj+T-zc@J3`63>0Q@8Ko7oPWUi=^Uei$5rANtHd``-+%92_@Oj(50t#}?;aWs>0%0lWNn7T2;}@E99UmHr4Gz6JP!}5- z^_G#sg?(o++$5_aAxpA?8fepONzu4jP8nFZ=E=K)Tc6HZTr%a5v!6%TvcoVDbKcxB z2O+!$1HC{~B+F8Qn#whM9s3i$?OnK135h{UMLJu0#FROxRSjJOvVt83K zmrQ3zQH}6=(%N@xrUoTpMr?$ES~cBNp*$>G(m*h-+Cc1op}&qGheNoWl8L`6*()%2 zV{2m>?ges{Fes=|thh9h8)-*imL5b`!$=Qw6~(Xhi$hm%7L!ZVloirVn+$XOzG~Wz zzSEQAh~W^mX-5qXz$TId-{}#+$moFEJu-SMHZ-`IfO8*AjR^_WfRfdVVvDJ?g?$IJ z6El+)g09U&J3ieXt)Y|ziXL3H9R^u&v_E#tlV*!6?YFmulV@QfwM^PGkukkj*7)((mevUcjL^Q>&XA>~- z{WsI+g+9@q;u5#f_J+?~{RM>}Bepl7gdx=2;F_Onvcj$HSwTa~rt0T9@oHO%$33zy4ic z1j4X;iCCwaf)hVgnpq!A|9D`k30~yPW_VMY=$dSDn`f5Zb1Ve&zfH6~6}%{{j0$y; z))W6c#eE^MqCsR})Ht7~2GLNt^CV_A227L?_NA11OAc{WdV!*UzIpCrl#IR!T9ph( z52Se9&lgz_5J#R|8pBOM*;`|!SYy5x%ej*@X~ zfS>X9tdTa(5JJijs4Zn|BRT)cizED$y2=e>%|_fSp!{KwrnP)qv7DUpnFJZNnc zw7RY) zS27jJ2knO1J09I#r1*ymo_DZ|AS;TQ+LEFLbWfI>U4Z3wGp+S3>FZ0(fc262X=)C_ zJd~GlHqk-rrF5LXc@LM6d7{ZB`ZOBYoz*Wzxi9V*%{}BxY3bNwAx~Tv6f74go`5c8 z`RfKax4)@=vZT8{y563C?Y3X26iJ#|OnPO~i(4T;+>)2AsOo3q@ayuaw;!Rk7y)sG zpj#7Mk0W@`v3Qo{xo>Ki3Sv4R5H!?6F zF)=SOF)uPOGB6-hN+3*WbY&n#WNCD1Z*DaP00000002G$00000006530000000000 z007AY00000004La#8kg8Ls1mIrGC_}`kkoaqN0P?8kRN{lZnmzXa_~pXj%LRT`Y7n zN|-DbiG{Av%+bRI@diWp8oJ5QeTK$a zarARR9OuX}zy|oJpyD4i!z(D(g|xT zk(HUXw9IT+tI5q|I)?}c`o#5@@>{QW(#`9WaP#_0`Hjaem2KNbOKx6W-x}B99_2RD1A+M)nyPX7Kr@gVg`s#zI0fU+l)(W@4^n2i(9=k3}&e~R2|T}p3Y#G0ae>%=9SoSFlYefzQk|;4hkBO zdUdE;AAf)E(13Vg82Pxyhq(F%_yEJmrnn@<%Br}eC^IkJjuS(#E?&KXAwE`CPEP(2 z@kTCS%k8)@Tq*%I0oA2R`T03^+!%`CVTt0cw4D6J5<4ESYVVXZke|RF14WhxFf9EX zeO=?-Lp@!18CZZqN9Wo!!j!NA8G*r(zI@=AU%gCcF-%4fBm;B5jgby0?D)Y}n7>+5 r2U8^kQx)Lv=@$Z3CIGhPq_X9GWM!aO1*&lk@^kb7CjkHe00960x1_kJ diff --git a/1ano/isd/quartus-projects/DecoderDemo/db/DecoderDemo.cmp.logdb b/1ano/isd/quartus-projects/DecoderDemo/db/DecoderDemo.cmp.logdb deleted file mode 100644 index aff02eb..0000000 --- a/1ano/isd/quartus-projects/DecoderDemo/db/DecoderDemo.cmp.logdb +++ /dev/null @@ -1,50 +0,0 @@ -v1 -IO_RULES,NUM_CLKS_NOT_EXCEED_CLKS_AVAILABLE,INAPPLICABLE,IO_000002,Capacity Checks,Number of clocks in an I/O bank should not exceed the number of clocks available.,Critical,No Global Signal assignments found.,,I/O,, -IO_RULES,NUM_PINS_NOT_EXCEED_LOC_AVAILABLE,INAPPLICABLE,IO_000001,Capacity Checks,Number of pins in an I/O bank should not exceed the number of locations available.,Critical,No Location assignments found.,,I/O,, -IO_RULES,NUM_VREF_NOT_EXCEED_LOC_AVAILABLE,INAPPLICABLE,IO_000003,Capacity Checks,Number of pins in a Vrefgroup should not exceed the number of locations available.,Critical,No Location assignments found.,,I/O,, -IO_RULES,IO_BANK_SUPPORT_VCCIO,INAPPLICABLE,IO_000004,Voltage Compatibility Checks,The I/O bank should support the requested VCCIO.,Critical,No IOBANK_VCCIO assignments found.,,I/O,, -IO_RULES,IO_BANK_NOT_HAVE_COMPETING_VREF,INAPPLICABLE,IO_000005,Voltage Compatibility Checks,The I/O bank should not have competing VREF values.,Critical,No VREF I/O Standard assignments found.,,I/O,, -IO_RULES,IO_BANK_NOT_HAVE_COMPETING_VCCIO,PASS,IO_000006,Voltage Compatibility Checks,The I/O bank should not have competing VCCIO values.,Critical,0 such failures found.,,I/O,, -IO_RULES,CHECK_UNAVAILABLE_LOC,INAPPLICABLE,IO_000007,Valid Location Checks,Checks for unavailable locations.,Critical,No Location assignments found.,,I/O,, -IO_RULES,CHECK_RESERVED_LOC,INAPPLICABLE,IO_000008,Valid Location Checks,Checks for reserved locations.,Critical,No reserved LogicLock region found.,,I/O,, -IO_RULES,OCT_SUPPORTS_SLEW_RATE,INAPPLICABLE,IO_000047,I/O Properties Checks for One I/O,On Chip Termination and Slew Rate should not be used at the same time.,Critical,No Slew Rate assignments found.,,I/O,, -IO_RULES,LOC_SUPPORTS_SLEW_RATE,INAPPLICABLE,IO_000046,I/O Properties Checks for One I/O,The location should support the requested Slew Rate value.,Critical,No Slew Rate assignments found.,,I/O,, -IO_RULES,IO_STD_SUPPORTS_SLEW_RATE,INAPPLICABLE,IO_000045,I/O Properties Checks for One I/O,The I/O standard should support the requested Slew Rate value.,Critical,No Slew Rate assignments found.,,I/O,, -IO_RULES,WEAK_PULL_UP_AND_BUS_HOLD_NOT_USED_SIMULTANEOUSLY,INAPPLICABLE,IO_000027,I/O Properties Checks for One I/O,Weak Pull Up and Bus Hold should not be used at the same time.,Critical,No Enable Bus-Hold Circuitry or Weak Pull-Up Resistor assignments found.,,I/O,, -IO_RULES,OCT_AND_CURRENT_STRENGTH_NOT_USED_SIMULTANEOUSLY,INAPPLICABLE,IO_000026,I/O Properties Checks for One I/O,On Chip Termination and Current Strength should not be used at the same time.,Critical,No Current Strength assignments found.,,I/O,, -IO_RULES,IO_DIR_SUPPORT_OCT_VALUE,PASS,IO_000024,I/O Properties Checks for One I/O,The I/O direction should support the On Chip Termination value.,Critical,0 such failures found.,,I/O,, -IO_RULES,IO_STD_SUPPORT_OPEN_DRAIN_VALUE,INAPPLICABLE,IO_000023,I/O Properties Checks for One I/O,The I/O standard should support the Open Drain value.,Critical,No open drain assignments found.,,I/O,, -IO_RULES,IO_STD_SUPPORT_BUS_HOLD_VALUE,INAPPLICABLE,IO_000022,I/O Properties Checks for One I/O,The I/O standard should support the requested Bus Hold value.,Critical,No Enable Bus-Hold Circuitry assignments found.,,I/O,, -IO_RULES,IO_STD_SUPPORT_WEAK_PULL_UP_VALUE,INAPPLICABLE,IO_000021,I/O Properties Checks for One I/O,The I/O standard should support the requested Weak Pull Up value.,Critical,No Weak Pull-Up Resistor assignments found.,,I/O,, -IO_RULES,IO_STD_SUPPORT_PCI_CLAMP_DIODE,PASS,IO_000020,I/O Properties Checks for One I/O,The I/O standard should support the requested PCI Clamp Diode.,Critical,0 such failures found.,,I/O,, -IO_RULES,IO_STD_SUPPORT_OCT_VALUE,PASS,IO_000019,I/O Properties Checks for One I/O,The I/O standard should support the requested On Chip Termination value.,Critical,0 such failures found.,,I/O,, -IO_RULES,IO_STD_SUPPORT_CURRENT_STRENGTH,INAPPLICABLE,IO_000018,I/O Properties Checks for One I/O,The I/O standard should support the requested Current Strength.,Critical,No Current Strength assignments found.,,I/O,, -IO_RULES,LOC_SUPPORT_PCI_CLAMP_DIODE,PASS,IO_000015,I/O Properties Checks for One I/O,The location should support the requested PCI Clamp Diode.,Critical,0 such failures found.,,I/O,, -IO_RULES,LOC_SUPPORT_WEAK_PULL_UP_VALUE,INAPPLICABLE,IO_000014,I/O Properties Checks for One I/O,The location should support the requested Weak Pull Up value.,Critical,No Weak Pull-Up Resistor assignments found.,,I/O,, -IO_RULES,LOC_SUPPORT_BUS_HOLD_VALUE,INAPPLICABLE,IO_000013,I/O Properties Checks for One I/O,The location should support the requested Bus Hold value.,Critical,No Enable Bus-Hold Circuitry assignments found.,,I/O,, -IO_RULES,LOC_SUPPORT_OCT_VALUE,PASS,IO_000012,I/O Properties Checks for One I/O,The location should support the requested On Chip Termination value.,Critical,0 such failures found.,,I/O,, -IO_RULES,LOC_SUPPORT_CURRENT_STRENGTH,INAPPLICABLE,IO_000011,I/O Properties Checks for One I/O,The location should support the requested Current Strength.,Critical,No Current Strength assignments found.,,I/O,, -IO_RULES,LOC_SUPPORT_IO_DIR,PASS,IO_000010,I/O Properties Checks for One I/O,The location should support the requested I/O direction.,Critical,0 such failures found.,,I/O,, -IO_RULES,LOC_SUPPORT_IO_STD,PASS,IO_000009,I/O Properties Checks for One I/O,The location should support the requested I/O standard.,Critical,0 such failures found.,,I/O,, -IO_RULES,CURRENT_DENSITY_FOR_CONSECUTIVE_IO_NOT_EXCEED_CURRENT_VALUE,PASS,IO_000033,Electromigration Checks,Current density for consecutive I/Os should not exceed 240mA for row I/Os and 240mA for column I/Os.,Critical,0 such failures found.,,I/O,, -IO_RULES,SINGLE_ENDED_OUTPUTS_LAB_ROWS_FROM_DIFF_IO,INAPPLICABLE,IO_000034,SI Related Distance Checks,Single-ended outputs should be 5 LAB row(s) away from a differential I/O.,High,No Differential I/O Standard assignments found.,,I/O,, -IO_RULES,MAX_20_OUTPUTS_ALLOWED_IN_VREFGROUP,INAPPLICABLE,IO_000042,SI Related SSO Limit Checks,No more than 20 outputs are allowed in a VREF group when VREF is being read from.,High,No VREF I/O Standard assignments found.,,I/O,, -IO_RULES,DEV_IO_RULE_OCT_DISCLAIMER,,,,,,,,,, -IO_RULES_MATRIX,Pin/Rules,IO_000002;IO_000001;IO_000003;IO_000004;IO_000005;IO_000006;IO_000007;IO_000008;IO_000047;IO_000046;IO_000045;IO_000027;IO_000026;IO_000024;IO_000023;IO_000022;IO_000021;IO_000020;IO_000019;IO_000018;IO_000015;IO_000014;IO_000013;IO_000012;IO_000011;IO_000010;IO_000009;IO_000033;IO_000034;IO_000042, -IO_RULES_MATRIX,Total Pass,0;0;0;0;0;8;0;0;0;0;0;0;0;4;0;0;0;4;4;0;4;0;0;4;0;8;8;8;0;0, -IO_RULES_MATRIX,Total Unchecked,0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0, -IO_RULES_MATRIX,Total Inapplicable,8;8;8;8;8;0;8;8;8;8;8;8;8;4;8;8;8;4;4;8;4;8;8;4;8;0;0;0;8;8, -IO_RULES_MATRIX,Total Fail,0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0, -IO_RULES_MATRIX,Y3,Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Pass;Pass;Pass;Inapplicable;Inapplicable, -IO_RULES_MATRIX,Y2,Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Pass;Pass;Pass;Inapplicable;Inapplicable, -IO_RULES_MATRIX,Y1,Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Pass;Pass;Pass;Inapplicable;Inapplicable, -IO_RULES_MATRIX,Y0,Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Pass;Pass;Pass;Inapplicable;Inapplicable, -IO_RULES_MATRIX,E1,Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Pass;Pass;Inapplicable;Inapplicable, -IO_RULES_MATRIX,X0,Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Pass;Pass;Inapplicable;Inapplicable, -IO_RULES_MATRIX,X1,Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Pass;Pass;Inapplicable;Inapplicable, -IO_RULES_MATRIX,E0L,Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Pass;Pass;Inapplicable;Inapplicable, -IO_RULES_SUMMARY,Total I/O Rules,30, -IO_RULES_SUMMARY,Number of I/O Rules Passed,9, -IO_RULES_SUMMARY,Number of I/O Rules Failed,0, -IO_RULES_SUMMARY,Number of I/O Rules Unchecked,0, -IO_RULES_SUMMARY,Number of I/O Rules Inapplicable,21, diff --git a/1ano/isd/quartus-projects/DecoderDemo/db/DecoderDemo.cmp.rdb b/1ano/isd/quartus-projects/DecoderDemo/db/DecoderDemo.cmp.rdb deleted file mode 100644 index cf035f8a7308cb48c21e927ebb6b63df9c3e80a2..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 17070 zcmc$`Q;;S+&@ei)qaEAWv2EM7ZQC|?Y}>YN?s%TTGq!D<_p9$zo&V;)K1nLwsZOUa zQt71AouGk$fYic*{#S#5*!-7S|AXpg&MsCC_Jqug^i1?jgu-rCwx)y}%#4IgObq`O zX2$xBOo_XNH4i*+WQbRr;> zN%LI&r{s}jsgrc!>CwaId>21z{I8@$3#1zgWgrmilHgxUq~#22;4{2=_(QXgAtjbS zl*B9aCx}0sK;)kxWOdE&zN(t*%lrJn4-F0dIk+)6i2t|0 zKa_u9QSJwf$`TT=Zl93?Sb2Q(#{=?jzKr9en*O8b6QBEi`XL4cA0_ zehYs4Blc6KY2t;Z3oYTbekk#c*z7fTXufHPHn=Rs($~Kq56+dF`?>k8WPGU$*kxHr^}VDv$AXba~;+P_@T3>?YuSdon{F!uwKRZ<|%lWAwL&Qr=7KL&Tfk=*&2wu zxiDF-NX6!PQCPCcb_bxl%hmfW1mL@h3{y(Y8P0bxS!6}lbiLRK6+nyqdg9XTeVz-Tr{kB)JFR%!ItWFYpfD~c7YK;WpR{oaQ-kD^*U^y zwbQYGHTVFVBiA--#SecIWpG#h;YpbRy|oEyxmkuh>GkF~*TksObstVJ6KL7hP0L3V zEE4*M=TUsYIF~`{7vbtCm5(n_pYe4NELziV^S5BhO8jZJ2OH-TCCVeX1dtJqID1hM z0I@-`;&vD*R1@6SdFP8<$hV=V<6jT&nG32pbr(b4YoonJ&gX*P0*NWqD{vaTdctwT zIWvh6IEYmV3%vuy1T|2E`xOq4`t%Mw^__R{@R=UN;}`~+@EC?|h-VF~($%Hf|J`?~ zt!^9oMM&HY`Q=gf{w06YoSGUlXSS>5%4K|_S=U~#AJnAywh0rq>A1%%0QsERjNo z5akaewfPY;3&>>fn$UQ-DH zUO;v6o2|g&4)zuYvZJscrIangWO49SgbFaWW@Dt#gwMgMzb;MY7Hz?*TYnf_D&?5bpSWMY8mQTFGnNB(Ij*p>TK6xk}&FrRs;A7 zx!*W*zP~MOTd~0=-o(U+PN8b8uo46yG9^QYTiMZo7>Xq*SaCvGw|+pn;88BoNgh;~ z{d#y9-f-cO(IJ-(W{Gh>_$)B7kdwRu-Ba0X_KYE>k^wXjlANrF&2TCQZY<<_ekgsh zTaW8Zg5{_n+8A364(Rfm}@Z%V00+Y&_qqlE%3FF-wfMtaFrqpuk1 z^Tv+W81T_{`lXJu9=CXwBz52lSIMir&yw$7V#ki8F~K0U`B-fJh-<+Y>SR;D693N8 z73&^ECfm7Zaf}V8=4X=`0^NW+xFv;~bJlO4y}J9(d--hm_hL}|Y$k*sp_rkjV&YdW ztQWB+!9|0qHfoWK2rRv9TAG%(Z{g%Evp4#Xx6cx^)nRKIhxiM(5nopz6(&efC3M!6 zdWWt2-6ZB|LV-~mCR>eHN6V*wcYM@S?Q~fpR+*KdtPbH!tqcZD5@aiRmxdAmzU!$v z+YHFv7KUj>{X)lceN)SPR)mdl{E9Xxd|WZK<#Uz!E9V#P$Zx&O9N91NMxayonnASM zl_pKif;y~^E)d6J)~eftFmWNJVG2xeuURrEAY0!ln^z02MEVEe&fDD~&S(`swsUs& z#}}+zk(%nl0m%TssL!_Y#R6+`=Ql#x8i3urcw}JkLQi#bMe6Gmf?pc`=w!hO~ zx@v5|_afu0IT{?)$!}fB^y2^r6KUIE&d75WZ`aZmkv99Y2D&ll+pDTUe2w=9pX+PKNMnSw%(5P`{33+lNdDX_UT*|^j<*=X+ETk4?;G*?cvfrRAuG{pjSKlvp|%jVtGs_j#?Y-91rZnO zha`Sp){osp+7uX8rbLx&rdEt!IWUtPy|s73TNI+&ABp%VHLh zR!e=@Pb=AmHM&3DUvMmS#R;X163V3YM-q}VL}U$Z2?vANT2!1sgiU$tuVmlZX#WWE zSEvEpOu_WY232G1!9{7-kgnXjfdx*hCR)OuYwDrGo{C>>rS@M7>3acJIZjSNFd>%y zcPZqpx20%0RZs$~ z?l$B1=3jw){ID^muPN8;0hy>H%zQjqH^@h_$Sz6XDQ)z41Ps2EnKiFGu!eUxNG#!y zNHHQq_nz7#4#>!0F<|W=Nz*9*!A{c7Na!T_gco{6m(fFF<5MTX^g|3}rUp(%9LXG3 z1X&6N1Z!P=ya}>Nu@R{QLHfzfs0nwevn9NqXPvD9@P{2m*fcic`M;$fM|wjsE{3Q} zO(p1OXV6PmfLY1*@orWirx)f)*k2Ly{Nvb!>QKLLuze3GO=-?FeCMs}He7Cj+%d^X z!D+2Zm#(!fa>2x6A z-liX*AlJGfGt(5SrgRVx4gZU|A2 z!iJ+DDd5@D92nE*xmEJ-m;fTY?xqbB zm*ue9-}Qlj<}Z`zicow28?d)Ba;rfuHus_GJxNnx8LkaUuMhFm(+mA~XI^=d2xa() zc-krOpg3t;W|!tfJhw>YZfPxz5U(0Ac9FeII+jsF?Ta1c(@?7JA}MnwqEG`*OI?3a z-@y$#JwGglBsMv7JW%j_GvkH=sWyhS2aZj2yx-G&RO8NhtIkMurcAM9lc{$pO|V@2QBZnt$4RkPn?1P>X+p4Z#~%NB#7^)#wSNe){7;857$($x9?yf=yxrY2wdAj$dmU|4WZo}m=@Yg)^lW{4*SbVO~IeW z{!WHY_J&<{~gP#`z%Kod| z=IhPs-|4}|5>7eC0lVsBKOy~LHzYs;dss_W&ozX&w0Oroif0vmEnzM46aq<0SY5(K z1?A(r!62H4091^8=aip(g(TDX1*177N|xCYN|t!PBxaM8uX16O{R2v0W0W)vufg_3 zQdRMb)IvO!^*#C74ZZYGl~1T4ECE4NJH`5gva%SVCE838+iX7TerB6&bHA%*37vdC z?SqxR3j|2SXXzd64-^iGk%(32p#Ll&FFUVUUVPCGrzfUsaD5$}K>;5=$E)%VevEdB z%gzrQeA&5Fc}EPI!HnX8on8so6|Y=+y6N#+Fqzp~bo!ummv%`~FUu~`yeF0oguB}> zEk}H|BVn}-JP-Tx9=D;(epVmZX2Wse@z-nibu`>f6Ecq3=ymUoZ%-gEqX4_jvB~fS z=50eM96>rhM|YkCoev(iw}LOQL5>R#fd_Vv^y3+y`i@8$0TQZ5Eo|C47<#rIAx4%U z&Z?T8M$H0VV?hn)hxraw54R2;!n{0ZgqI}N-a?1 z)IT!b1SSchNKz0N8%xS9NnhH$DXc8ULtf*aKx8$xwmIeK!b;~`euN?Dwm&AlSkXX6>VO~02_t2KecwX_PqZ0hj+!Zu}7`7 zwO6yXu`4UBu`5?sXWQ$|m=ZkYA1lREgV@(q zJb?OKZVuD;qgbfH9(IpTx}k_S*B1i*X8Vfd1%z8@?$I8){y5&$w5f0bT+X82v(6&Xu+zGK zyFG6mOiiBkje3`REAlxR3Jm^RO!5jeVRE!mim)IN!93tQOyEi{^lKE!Qx(CSp+37y zc#ZzF4~8Jg=H#)Gn! zKcxhj89Or0jc9nIHZFVMbJ4CN)6@^_^O}@ymi0wsUprmP0vw?MYH`E6G!M!tKO#I4 zj(-G3;&G2W;ta6zJD<|$4ZaCfG&La02C4DETTL#ZZrAn+DQ zX{j6qE&vG}?a@{J)Y89Jn15%#iqm^2TmS~z)iq)yi7Zv98fJ4sIE+2jXGyzMiENs1 z@gSdQwl1vs$Kg$CHP_2g!fYx~ze1%UiItmSrQb3}Oc4D}N zy`ADrbfC=r{Jh*;v?`|Kj?1}CTk6}}=i1nj2a?TtK7w@y0$w1xQs8f@1C6#k4a`yu zI(ZgY-CZs`d~l|%3LKeRh2+_DMgMSHsdbL!6fsAn1v^U==Y;)@_f*Akjv6M(OuBzy zU!nt`jsQS{YY@T6A9vCXeN zn`|gx?NDUUD%n1+jBeTLjyjI9HvO-ltT1R|CwjrIrG)X`zX<=?Z~#53Bk1d;iy31h za!ZH|6N8{TNJspy)uHDcP@V>Z%1eF#XW&ungE$GJ#zR;^@>4anb@cWAm(Br!f9Q#H z7H;ZDAyTeUWOx7rk25NH2k@wlj_dGs8rDzMw>akcU5%cE z$a#PE9G2x|)`w7{T|}QK5>d0dH%8ime2zq&5iu-NV6!^-l}-C_2AMBck|%>ACiXCN zN94m%pDNUXS(0Fl5cPA4axF1E0OaV!S#om&Xy!{~lQ>goW7K!zUdDU2?#w}-2l+Me zvUvq5p`34$E4Aa+cMSu!Yygsy2K8T(axh zuT^KXU{A?RS#Mm2(TYe(oL0Oi@FE$bR9-Tx-Vs?cXJHqW39(gWcg}~-ek`mq=lFvU z`dDTVjd|G6pELgCmN=6})BvGCk!kB0d*HEEkG+W1Z1%6ujWQYO!BGH(fq)Y-TF_t3 z&2Mix>oFL-54Jprp*z%W(lkl|Ttk%dbJXg?5ef@K3OwXYX)Ox=pOPz>97)?OsO)9MIKox9$&g|Jz$SY`n->gd5vk3J)F)+dvunEMqHW z#@KAPc55WD1+8WR_G}B)>eS3$AgTT$XYsJ31-#0NmslO z3GUtQS;vAz=!G@aU!doDx9`J$bGa{?`?&iWrZuL`z76I5Gtt6t!B|GVG)i-cD1C z2k3uWZ$YAIyj<-JLBHZc+J;q9E~M_qfHsIK<{;Zv+_A|iqTa479FSj)eg7%S&1+Qu*t^P4vNyo(wrSZLgXBb zYtn201f}der!x|zmpRLdKgT@`0eh_CKT<(AH@4zsXuri)h@Ps%l()Fj?v-+!=Os!l z`fYa8p6srvY5#krG8ke3@h-|Uu%9|Tyj)i#Rxz?PI10fT%V)u1j8r$X8&PVoERTrys1z6w2wo0k zJk2ieK^@e~6pRZ#qCH*6MhVC6i~aTZBDpJ3bx@tHuL_m2Ay*wRy4p%9tXY9wpAA5H zN|~2a<9TXw`9J}0EI9J>Rt{8Qu;ns9{6~`D>8MxJy^xKi022ReaM(qyEjsk6lQ%S; zALT?bUi=0;jhMSoQxJ9cIqH}C8L;JC1IR949N1p(_9EEqF=Q^4J{#tS*yK3lze@B z#sPyH<1Kp>)iln0;MMFGmuHyDp;48F%Kh2Mpwu)1aWM7h-yXYt=-U_}@qvn+ccg{}CCId5%+w$+kAh3Q}J%I1;)c z+zafAq=y2qNL-su7q%vKTZ*R+rbcg4*v8%l2ZGD%UfxYEPAl}ILq(#ni<~){$x!*kCZsAK zu{SCy(x2}I{?Dr_2_NSOl)hc5y~#{`@Kob10*x-=?8UBWbv%!?dB2_j+E_&&AicP1 z`?8e(4%fPqm-4QFsdNOhz2%UdoSt`o*P(?E1;q-gdrI(Mm2;;Qm$UOeTaFLiO=S{w z?ItBkt|(?^ldAL3#f^2;-mC}iNC&G?aSrd%pr0n0c17PI5&EsL&e!znAIqIu3zMJ` zk3Uuet(9xvPNmA5smcJxQY}hD+qCiN=?i7Z1O1kXR4h;ML;;bl<`C(t2bO~V#f{2X zu$*ZMHOklw0WSYR_?8T}!4LuLp=cPARQHA0+Yk$0>e6>=xv#0*%unCtaP`r_>H-T#@6jHz1gFsk&r5+$t&L*OQ9k#iI5kw^5r^O1?cHw%l-zZZw{ zsFY8{+U6bn<;nZ)EG++6e1W!ecfDHM;4kDPfcwdU=Sj%4Of+jbxC9 z;$So&|T_+FC+sUTK1ziDA zY^uL4iFnCtRw*IbHke9M4D>_(ApiDzuRXQb&L%!N#1IYy?h&{)1E2ZdOAd4dC3vyM)9|y&S~rRw6ypn;gC2ssaafIlv9rf+nr zx&nmcrd4UR(Gp9mHTmc`6P0-R%HftX&+J1?gVpE$*L$wxZZseFM&eMa`AoY+1O=|r z{e6Y12*dX{gK)<;*F^4|!z$T?82njvwnPe(bW7ju4K>$6H(D*+y7CaP1f+@yl}kQgS1>5mPb$1cfxZ z;8Tv!=&{B7;RobEOoz3T2~EMsi!>p3xjoiG;$u@fIg!U8vo(W4_|HA_d+3=~*UAUj&U z8q&0A4`8b|L|nKC@i6|OYt9PMvamf7BkgykyBQNkpExvUEp_X|ct{Zu$qY8OsLM?^ zDQj$4x<)DIWW)k&4f(r-?sa~6+^51H&Ru+c)lTJlO~?a~2VN=P&T>-0VREWyX}7)LZ)qc*-VDe6}5C^|e>m9hteQrdugl!ks-& zwFdE+wBq$H2sHN;w9K`Pf!q2jL;EGTVKlu-gQ)9wmiuS7kCQX9)=cAgC*KqTZEg7tH*%r@s;WStvRveph4wN$DOrBptMA@97Z zK2*l#CYNpGlP?c|TR-rA7ikfE(TH7Te^ZX7@Zs*$Z1i)27hQ(E^npb_#teuA21XmU zdz$xVCbp<(&}bzejGzwAwW=dP`5=QBhL=T)$iEwoshMb+8TNOCjr`Jv3!16+v=EUH z25}Uhm)h$UI$m&)i`+(>8F;j*NV*T9URZT?iK40#H!s(WN-qP!{W%+|K8=mIXvsdWItG$bM;eVV9hs&u`vgTnWC5eC_b0>i*(ABd*B{_d6#i7+y9fTT;rnTf}VcHCD zEZ>w^ai^E0h|1P_A2ThmcFii`SmM$bT&7S4+WhteRptkRc zgM(2>O@zNlACU&OW}dQp6`XC)$L@SpP$U9O(N=c6^5 zm5;pLPG?Vz{l~fLI+uTM-Kvbd;@V{lk z{iOw*>t#M1)I<&DDHuXA%t)}5n=99`nCwBjs~YOzmN-k4@WuaeClPW6KT$MPT^Z-g zDyZSEacznpU7{J5GiA9Gb3F-of-}CQWU9bYOVW-ngJWaTEqP5z`Yta|JxQ8L?@yB- z9S!gV!J;!Ez?!eTz8TI3vk}Q~TpktIPGKT}1ze-c zwp(@Xu3PCHmi9`t4GNql7pwCdcu{5D`$+zKKp2pCWNsGtehi7foh9gE1~?swI!#Z_LJTx z<6Xbkq3)ld3alHuK99gkU{VJrq^;@hgXJNXZav#Hz69yh#w#vC*8XnpN%CGtc?OcWA zi6G2eA7X#p?sHG+?AnBo0e6>cqO)zziW&wCU3LU-Z8s+?iISAM5Qh9}@NTW%Y(RM| znwvs)Z_TfJlQ3O)P+2I>HR<0A4{VU;>@2Nm?y<3AGP17POY6DmS5PE@q|9 z1yxbJO%+}op5Pk#0q+nG0X@zMbjozCWL2=$<*uh%Fn%io6)AxqIu^QW@jB-*eyR!t zYD>i@`7@hLU(A5Rma_5^(+CsBP3X>VxkpThjNKA~#lIxY6~dQwoXL|!UD4E}gLxQJ%JVNo#L7YyFWcpsBczKAo6k?PmyH*Ywsz!tU{w+4B z*4Sm1w_l|FV>Z4ZB*>nf%`P0^V^9TDyRqVPINWex(yl~C(clLnilVf_ds+jVMK{4i zmcLaeP*o_)t#2urYj6kz+W6qL_hZKs?=UmaTD#%%EdFemuC_i+4*`BsVoF=t?8?BB zbQj$V0J!M?%KMzoCTDr{v=JiW?D->NPQXWN)rQ#;P;oJ5gACm1VlL!{{S4U}#{mRN zyT+Qco@-5B3sT0FeTGv*DxG8;68TFF)3ac!#b|!B-YdMNnZss>6Cn98FZlAg3udq; zTW_%+(iS_~s5;y7#=+nGMbGMpTOM(+xK-Kp-IgoZ*eO9FQEMr>szRWHXtix2N*LyE zckAxl$O0qZfWx$>BME;U@TE7BjdfM~7|LIstZ?BktF|q%1{$2l7h}sd)K44*&i#rh z`sqqJa;#m>7s^Uy>Z(e&+uqY(!mXp>!W;%%>ibOJw~Q5>o{-hgV@JE)gi&y~R%fG8 z`M#0(;JHvF{JT_%_7KXUVrVeD);^C!Y^xC|g7|=?WIxpI@UGMD$+4SX6LTQj=Z?+c zCq>RNM|i?gavA`vq7+o$!nm9W!HD0N{arAQ_kmy{0jT{#3XA7psJcaa%$WvDu?0^- zFD?f8!&3bRAS#A_R5Nq8;rq|~^2EeL(%e!rw-t4DqEnocZdpdgTi29u48JlKb;J7N z|6Cu8K;}wRmwCI{dBnHxg|?xxdP!SvfK4ERcJboRA;8y+CDBMhWFE+nSwD_cWJD{V zU=h2yR+{ZO2q1@PlMaLz<-ou+C!y)YMao`6Z7@%ry*db>bD#`)lC)Q`hK#B{l5~}+ zYiWYg$|z$9eBft+MXd$l(_s%!iZg%h7Jx8-c2{E&)X`&)BXQS6`gBTPgOYA@loT{D zuHCx79*g+)w=L_ersp{>!cpx`~+ zr-?WizlK>*{Ht#ppBeejysM1@6m+ppLfTT(_0i98G(om8p1I~&G`y8WG}=kGSG&k# zVUF+~q>>KTk*&%EcfDR8`^isxVQ$&5F> zFB;zvPpJK4s{=ULl6_;N{mS_1A3-E|)~x?vYHxveSt2sU&D>Mvx3{-~=-)S*Cg5py zbjjgrRusT}K#oO+vwj3L$R&8f*l07#~5jOJco^B0Le%ggBZ*@=b0rJBFH`{(}d?r7t5vgUG(aLqJy z9GDPY^JMdRmO#DwQ#@Q6OHdX{ko3?TxbcA*`Nv8#Zzau49-YjrBll9J&F1c%2IS^ zOImu0MdWn*yO@ajsjlqZ^aGC~#}QgDo_cf7MEgwZ9;Leb1C_KIVxFk1A!Wr6xW>F1 zY(8Ig$!gm_)ReC(E#K5^5Rw~BlqFHgzH5!^4KF9gJ^gVCccns)ns^y0>S$AUdP$KG zHZGYKJTVCggW+PnGYHPa26WEEhG@>((5g%1(YSz}vL!V-YUW>nh9oXw>F-wpBMS5W z+_1O;TtN7P-nttE-=K_V1*IsXzB%cRn=N}!S!}0t&F>@19|2w*-;4+-&%lS+3MMDXZHC%~>U8dn#OD-aE;-7qqrmA+kxHLxS#=S&oVCAPj5q z7mTksBv9*u#K{B^RId-dx=}RN*MHFWy+iU1x^kTYJXw=@@?)8F$y=VE{8cuWBUP1F zv(JL$&8)pfHRG2J9CAV*TN!t$v0JZAEIiGZVn-l6O835Ou8?1{rl~Y{3=ZdwOIO-O zhkxt*ei0hJC|)fppT@L#@H0MP;_N<4Ov0>;XJsaKl?3UQ(#)QaA5? zlRL#lHx;>)P!@Q_&WI?+*=C-O=I1W9sM&>m3Sh>b9GSj3guqTS>bB7zjjxS5g9)B> zYwDiMZr5Nj6ygW@=?P06vB?Ap6m?cc%I{j|`7)&Z4*sND*}Zbs9SLhzOw}F!FXu(> zy;_d4``0=LTqSI(ZPvt3LNQ)fPZo+f^cXK{HHgi#D9}RLML{Xcb7Mj1^V)WRu$j18 zfIX9rz06s-Lsp3NU2)VnBI%2OI)2>+er~~9^59SqyfYowlQ9jB;d}>De?xur* z8Ki#=+F<4&LwQ}Z8$`F}(A0mEaao*`6X1gKoT$9gp6cakt}Pi0vRMT+2jj0J%px6W zCnSA0uX7Wm!~BaBFEx}mL;={=LRQ2_rJXooqLk~-v5E35)gURnq;A?);+!UzQpCt& zTLb=-+)fGE@?JfxLtG&x5P%-JU)a7gD;lcvX|4oE$#lb~Y!U4*J>zq;sx|bF=3krN zim0jj`;AHIsX(UC+r6eZ$kh6)>`|t*AvPXfur)Pt@LeA1Ok~(hlUL5#6~4PPd=~sA zIBZ02l7DkhE*w4rkJ(i&{H5uxBVZDiXUx`+qKIB8B`INTv#gGfAHypREjLuM&1$3Y zD`kQ`{`t(Oc($002!DHy>LuF6_d^w8rBcqI-DTqo*Y*!x+nRap$18N<0YNEykJ2?2 zu4)^^f1;Fe%)xl*+FB1bYz@mt+je%#xOXSmHq@=(8;J@pCk|53XJs1G#!MRLu><1+r)v>NtG;zAQnr>2w9?<)Vb} zy#G;Ux2SZ9y~znh{h+begN1u(OEL~jj*1kh#u68TCRJ(4vg^Q0xY;^T$GcDdqo#Qq;8es_69hZt7mA-jBk6Wd*I z-LR~s>VfCxP$E`j5+aER&0->Sw|}TI8F^TjnRh?j7O6X(Rd4UGF#JlTC#P7fA5rs%`wY0+naJPj7s01`2Q!|FAv(0CTI`c6{nLP>)htD61 zsH{oz%SV|zp4M*@3{P`tf8Iz8@x!M+8F_q3yL=aIr6!se9%FTJwXZ+S*4C}1=w8}) zie{)+P&mM;rfvMK9NH`?b#E9|ZD^a9aGlEt!~}Qnt5njfwr8?kM@dEZ<)i+exbKC-gtE?;9>jhqc^(A}n=d@E_a%RI?25K%rT9#(q1ef?4?sp*+dtam} z=MYk^N|Lz15xU;&f?HzS#e)6MJhjvS*}F7xv}9+|_c^7XQj#;>k#_4YBUn zN2J;UvwoRULb`HP47Y7E>l^*Jdb+nQeu9{n?X zCGyi4>P!d~6Wh!l-vY6ry@~t1_rk!dFOJIy;U(zml8$wmatrjV%rB9Yb-ZJj!M5X( zH2v?M*czFY7Ce7}AC99#L=t5duLz-d_z{r__?oLX40Uwv_u zVd0Hc<4Y;2^0+To@2W-WnAP(TjXRpu(|e(_DlIL-@H?WnNJ%jU1YMN0mOK`Djw2G# z{WU!$sCOqF?PzU&Y5iNVZ2-Dmbq0T~ar`UTdVUndgW+DBHa;hyXGAR^wxr%h=)=#~ z{f4sD2C`PuHKQXSm3?+OEK>GUd^yOnf;%wy8l`Cg9cl8e^(QMD>e^~}1#x))-D%kExhylC7s+$Fr9VSpVL9!tX*6)GGbovF{jnKK{_A3aH;p?munG=`2T7k zSvgJ0bSUCsqKWtN8{cFD*UXSI18F4$`)ZOcZh*b0sr*i=Q-e7PkfmT19!8l3*FPXB zpOhPsT}HqSH?iWiuii+(;g63NNYxuMRw24c)V{RgE-&ZYW^8>99ywdwzkleKP9bxU zfX&<2dQIdNM?0}v)1t+(AK$kd&g`*FRXrX%K1XJ8>_SKR^15%D1lSYBd*Gg@zmZNl zV!&x`dP!OGpsD%ZZb!3cJhBGe#xKP+o2c+>9M-*LXxhmkbD*imnapzJvl|{RCn);| zScTkvjP}pX#}gOFa-KU5>Mt@2+L9|XRE{?i*+~LoUck{Ghdg=lxzlJJ)G=b~IyB?S zD>xavaRcse)5Hs$xyT?Fps9N}l)@||#pU=XzCDYo=Hh&_d`*uW+4wPC-mz@{l^VbH zjW4fEj;n4skyoc_r0;0f_Gp~G1XA;IpMTkZa1YJSxf>VJnG>OSV%UV%62x}1=B{kk z>TCXaB+=wtVC3U7OMZ%anq9ewmfLdEm>W_?jFCsti)RR@Y>(J(;*yxs4Pby->$3jH zpc-C>#3E%>xEThiNl=V5x)`>|nA?5OskU2Ba{ zbWxFC)u)$hoo(YC@L1nK-r9$wLMW&^Z@d2u2-oX(Vz+NEx_gItV7Hlw^Ja9P{}p%C z5?(4xgnhmA+#5Q+xXRr@>ae91Endd~27v9RhnTLt&dI9Nb1+Lix8GaLL40=~t;KaN zC4Q_nw(Tu;kMRRT+)4V?;i<#;$ynGtJPctdR=Z@q)B_j8Egg=CPqq;pm-K{%PiD@I zPbQ9w|HL^s&7dBQu3dUhu7{r*am0|QRN3<+D{;i)9TF{{T3?)Bb)o9D|LSK=otlq! zR^f}^>t3sJRC%tDzEpW1+xDLm{U%!-`)i=6s7G~G$&1wYgp5W<0i-+b2Iv0emgL~J zM5u7GWL-Rs932a`PP#g{Dsmr?2(1c?4uJ^<--)f%xgKX!&%G}=S;uSc!%XJ9;TOU| z=UAE06uV8dqL3=^bTDO4RfkY(`#;!FnS_4uCEmE8wlVQf3l4$mF)MXeqsmv3>aXQ$ zQ^37GY+j4<6jt)#ZSum}BNin#@=1@EmNT3!{OuOPp8tc`am2DD z95ORVcYsS}HjW;iJFLIk>wm6X-hgMbHEQ>T?BmOB+paoO*OPj z6=?fsU)Y?w!NMlbUtL=*(Q!ieY@YP^QJpa_IV1}ng%@}>ACh9<|HKFOyuU)rHmY&~hHb-#T7zNwUJ)TQOJYtOb85x|T^-1A(k5nGc?**D8ItxiKKgFU&_ys&2wU5*GosxfXa53J*P)*Q#+BdlZFK4#LTc*$l~0pzimEFX-C?`~isTHE@7X90L6`h#iShg>itz0h0rXg2u5 z{4N_KweeMxx^)}IYc>!3Cm$@S+{r=tI>8!2jF@F1EF3`Ay#I8k%EtJ}32MjGJ%BM% z=@aohzXOBht_i>41em&?>Wl16Dp6hcBpcgxKp_UY03`0S+#R`9s}}o*j|CZQ_%mp3 zc{^o+GK{xL#ePFC5Cc1E1GvZ7Z6L6P?srB+m4jpXyJ6Un8a(=MA4wR|SbQ{#KUH(hjC?!*>dxl%j#b zwRk`H9a8>e2*+GoOFm7_Y65M)h|#EE34Ec|sf#8d+YCu>^)m-6YvYHN`&3EPa9#Lr2EL zYo7~XUz!g;@udebdv}#fc0WA*tUs8>UK?OJdN9Pv6DIOCHtc4o2&%CW(4awcw_n0+HwRWiT_RE9KZ&dCEoJovZ=06A}68o zY0}pu8`^4d)!gwl7#CwP36 zMftOBKNO>^BfgYm^o9_hO20*lw6y0XdTQkO+;r)f+RVhIwW(3L7EZTPgPdKX@sUs$ z6(4}VyVT(l66GtCK?-!B4XjpW(SgD zn7f^4-+6a-lTZaM0I>HtGF*kZ8Y7A!?NlH|HX~Iv%;5jV=FcD|a4@)@Nll1R6@pb< zdw7_{FP)4^EAwS^2yXpKf+UO)C?22B{W0;|>76ZEZ-8&ZrL%oUF18nHUK z!`1chZ)c66x(t=ed!8i=fwEGrFmyd!Vf7~7*UxmDp~+=A8P?q+a%q;&L-V2YC^4gq zTm-go@o{JVi!CM%s8@%~~|H@OF-x`q7XV8jQhgOIfVhqT%B4^F9xV;gW;2Z+U{@xW3BO?X63` zoDQQrI&Mi-aXkqwTzB!l(t`|{<>$u2ie+0NjOEG2Wui3Ngn67^CN+2RX*&tjv3N4F R%SbJLOyvsRKL7v#|Nmj!SR()c diff --git a/1ano/isd/quartus-projects/DecoderDemo/db/DecoderDemo.cmp_merge.kpt b/1ano/isd/quartus-projects/DecoderDemo/db/DecoderDemo.cmp_merge.kpt deleted file mode 100644 index 23a5cd1aa32e47832881509e188d9c4863953df7..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 209 zcmV;?051Qm4*>uG0001ZoTZUX3c^4Ph4(#0m;=^v9RygBkOv+j6CoD LoHDus?RAkBTcKN_ diff --git a/1ano/isd/quartus-projects/DecoderDemo/db/DecoderDemo.cycloneive_io_sim_cache.45um_ff_1200mv_0c_fast.hsd b/1ano/isd/quartus-projects/DecoderDemo/db/DecoderDemo.cycloneive_io_sim_cache.45um_ff_1200mv_0c_fast.hsd deleted file mode 100644 index d9c61ce22b0dae0a5878335bf5cb44144a7beaf4..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 746429 zcmY&4pG$0_L zI#}R;EfA3Hzryy9YM8tHvUYSJVqs!nW?&`~akI8FBjRLXB4TD{{8w3+{;{euL~_=y z=0xIV)~^3-Y4imCH-w%E?Ek1L$p6Uynm*J2>+=M@>Vu!Rx7NVmH~<0#Q;sE4o9fOE z1!W_&cj-@o~w!BX60R3SbnUN-{&Mvm&SXf;K!)4<6w2iCQ!I@X~*o zFIiZg*t_PzMy9mOL5>jWyl&s(m)vIPvGg(vgd(spPc>VoQ*C6mXBfgj+ihW;6KuF;Oy|3R7J1C*ZFz{ z;s|sLfba*c}p2s$PYZ8@#*katz+c%aa>XQW z6!YZN17$Abc5LnzvqqmUphfHT+_(P5v%mJmTYx~T7vGQX^SU{}W(VMm5cB-<(x?l# ze>T#-Y;1JC1)ROCJ~H-wEFQdL8hYQXoEmgLPkm$N>+11&+>-mZdldNH_`UX91VMIb z(Z{CHUma6lKcbf2dcB)hcmqabFfk=Y^E3^5JO9Fk`~Gm#y`L6rF*-s^=dms7C7uMc zy$>09o$roLzZ!P^Js8#JF3g}>ZtpVuV26s+AAag`VfSWen4I$i>_QqmQwI0-H1xmq zYu@yIc7ZeGj@xbfo|VOT2!0y~-jd%1SKRVH8VG7T%1+uvLeqE&u^KGc{Lqq|+ulcW zG47T5Dr}GR=W<76UUl|W*y8{4<@9B6gr!jd>R)1)9=pAQ0#cPgl zgR}3v2L93yJdO)`^+(Sq9I2y;fQn5^sE6`r8K7t?LtJ;0g9)U?&wB644Azp+y(;lk zlF)gWwPaL5eK+fh{Mb9Z)&O6zDB^QXUSGSng)<1F8?!Hx7e_PI`dC4vR(`_&nIM%w zH(qy_=-h1Ggx>Y)JKExRr9!mNg+PA+1vG0 zK@OMKOpV&#l|1^ZwvIOn^I^3Ft9|%ejU~eajE`-}3jm98AO5qSvTYx#`x3GKjeK zZ_;;st#-K8sBtH681@)gcWAd&e=(P~JZVJO`!?y>9D+LdxYESxErQFnZo1So_e0>{ zQ$EPQe2Xt^a(3VTY~M?`q3SD{jrI+t_2OlTM$}GghC4>_&d*xR5$nU#H-ZExb?rKBURQIW2Qyp`rffvxL*9yi+c~{3P`w&3pe1T^TvBUg$#D> zzxw=sy%Z;e?{gS9Tlx+mDk!)e7=Ipn-D|+$$MViBK%~+WRES*LgI2AbQxt5lsXSk* z?%~?>SaIkHDe*J(*!vM9%mmc&uGfs{?y#HOOnK-@-W<2BJFrmY_x=5P+~{@bNw{!N z3rU-QIyZG)DaWOK^0db4*0Jjb<#l8mH?PnLiL0o__L@-PH6zh;7{zZS@GQ!}t#8$t zb*)Q%SuYO5z24t&45OIO?O>7jxU0KMH7ULSeL|`}_)9rRHdJpe%?Zm$*2U(G#Rl;$@(4T437kFoVyqrF+}&oXNv4yK zXW`LZ$w)2W0eP}9&Z%ejR?Khn*)}(+At|{Q zRV<30KfTVQKWtcIman*g0W~B~&pp+v?vliC=Fz(86as`BuZ1sv5C4p5eAnf@jPBHv zzq@VQm3xl+Oot@?4y|{dHvd;zOEt9y{&3RBWcki-p8Hj~&N|+t zidgD0f>>`So4(ZFY;t&6JO!GlvCL8$3>>|(?_sAH?dvo!M=SaH%S4HzV6Y%r^&6GK zzujQg9Jz#R%Y8*s_n89saop38Pq6d`?!P2Jm13%*eX)3*;3FOZ-U>7+TB6SW{=D_S z2f<|O9Wu3@ZpPlbMh@SLDVEHbb z%BbpA+RBj!`dnl;Z@bV*qUDbvRx| zrSr9fCM^2Qd;xxn@k@fHaGEg{7J057-!2+)O;5-b<9*?RdkEl7g19A!zth`oej8S~ zB{W)j$iLoC#QX0K;-Cqru=;W=g=#u&5ph1%L5ESs4v$dAb_FL$l0@5S2;<|afm6e_ z=qqlSDm)A1*4d$^872%kE-CohH?{TER<{c&L=VG7j$lu;L>u2ap74x!XCP9*2ZMs@ zacH*EX8<+grVhQq0IV}jO2IhNu8STp>fT|ePd*9Tbon}1xq!%I zw-0MQ7HbgczjyuQ&vX*W(vJ_8R@E4pwGl_PbQzRh$}GW!{oqER4p!aTr`3JbrxkXc z!Us#Ugp3hAv~kL`z*yWh@psy)qh$YfbX8>dj(#1CWhw;l?nNVn{5@YIDGx&=LKKCc2EZTMu{Q#{_4NSyvkb}q=OzGo%n0I?%)6dD*Oe=PX;X8B0Oo;-3`bP z{SrUjl^a$vtZqRLUz@SF4JUI~n5Q)~>G?&7FscH}e?J)5g*#b`k>q}8@#9!$e&CKa ziZl=Ec*Og47~&1n*ni)8R@`EB>-mCs{OEJ_GZ$|VDZ=%4ur%leQ*o@LWev4eEx_^o~BN$e#IH#EDwz@>!xl>L-q*Nec+4Qwy&KwsYzFl>= zgu((7A~}6xQ8Q{*!g-X-8Axt@GoI=v1w@|wA?B~#s5;CVD12f?nIOXZhcNay^XwKZ zBbW-Q*~m-wB%DcaC^@BMFcQ?G2o%ax#0r)qWfic%pEm=%8W%chkhPpnj${%A<6;tL zey6g8@vO{|1qDl!V^x0M@IO(I$lQlOIe14n5m#r4-lUWSu7V%Ow{O0f<--nvuoEWI z-5uTV+ac9cKsHK6l7oeKG)-ir+qYo;K}gDTMq(7DZYt39TgVo0fk;`27qQ6cRll`) ziuGBExeJj=%7vMrLC9&Dc^27KGBt+Cds$^VOO{BHlPPhG2ZZq?p^#q}17)~Fd&-&E zMM`C;jj*6$CXy#eqnX%cIuQ4{raf47Gpns0CuGwM+(fCH@m2=nKcBzzrmYWB4D!cD+* z-@74V#jfO^v)E!C*&Zz}-O)rOQ-KpF76wm?^+Ule%bgXY(R^T>kohT0aNaJHo{@G_ zq3;wZq^B5TKy$>sl~9ir2-d9s^{dD5Uit zC?`%Lm}R{Z2Zqv6ia9!m3Rq&)RJ9_r5?+)h5UQy+JwGvNS8?A46s?l|M*gFB7S8e{UD9?-biq!WbR_%$!THR6r#;{ z&*f$9qR`4{J=*ZLstEhizsw-ZTOe@VLOvU2<6?5km0fA*Y=Pa|>q+>YwO~~>;vd_9 zM;pL{ecR5^*Jg#WH#D9=Qc88Z2u@S7sg^(3&ylmO6&jAVogm}DmPvu$#=gvO_uq4k za87CZ(a5It)>YZjNz*Kuv82;iIqgL}^5!v{4#v*N(j?IspCJ$%>!5v$W{eT?J^6zxtbrbeIz1U>B` z#|+J6L9c5O3i+R*geTI4{DJ{AB5_|;0S>WV(hQ3z8>W-*8PGY7cq=Y>H6;_)MCUDp zP=ge=Y-crIZllUmAStBlU*;Et_}UbSRFS_JNmIV{Ew*>gPVniDZ*($*hHLx1nywgS zYlk?#TjO6NoP2IG?2-F8(L3{R*Xg7_NyW=oOm8hlMKFN+)N$$v99pjg9epMc<^v1$ zMqCA~x}%VvE-P}vr#W%j_d*{AoymlQJXB>1b0%Ef=HKa&O3bf%*mgT|aVgxi&( z^;!waM@5lpPvcQwu{TZSr7<9i+d&brr=j$?@3)mP;D6O&WrGCoD2%CHAu0ZnZpTy@ zeTt34bdV~J?>Gm4`G9sE3OwW)KBx*_#!7VkNLfmDm)#|NBXs1e$-9kbDMU9|kgs!< zqOtKFT~; zMK-S_jvuP33VbOj8*ufAN+6|8^c9r(c%&nbl z0Gf&e&gqPDxTQ^=3$=&!S^sI~<&VzY5b5qApG3Rs%y@nFd#Jw$LZ^Y>AiEkXVhz^H z3^|@Hx~+v1ZTImtZU9^sfbebM^>2ajXHGr)D!uX@NGwEbDUd8*hD>IWg+>xR73(&0 zn8uKw0#!m2lExYXQ+C;QD=G{tU8tzw)!<iO3&$EkKpIl~9 zT<4Y60>%tdXYvb97&Z>T9n!KHsa{TfNmOY??2}bw|Cic5m`Dr0a+YyX>0(9yjxlHV zM5;}Aa(!T>($AH$JstmK$xPzMvU%)$0yU~yw`sk8nfF)fK$O&Z7N!9|Bj3&@z3tQH zTV8zy>98VofZ_M(-@V&U-uka9M_Vv~E|(Ggy%HLZj7N9&)R_g@ZJP)@x5>J89b0lS zt7@PMNwd+E+h~Wj5Q4rljV*+ErDL3xc?B-fhaQ*F2tdftZ7hn-(ec}FFahBt8Hp1h z>htd8@DoI;AjNR?aY<=3LZyh=ILf<8;fP|crYjyqPc-8+$&OPDnC2OQ!l$x_52w6) z@H8z_;-qPnjRh*gsE`^Ro#0<>20yMedVnnr(0gMW^0u)q1a5e;Wm#Hq)TuwmS;O@X zzv2`3Y|DGUhe{n;R@fftx|!bnAVT*m_YhQ;6oQVb(oY%YQ$DYyAndsaC#@zSX~Iqp z!5^2LD@c7#Mo5zBE;5Od7ELJg=g4nQ4};3|z4&g1#fo=^Q?=>#Zjsx0tFzcdr73DS zeq7AnsOx{!1I`0JCop+5HP21;`X)$fhn1LwQML5bW^`)PR>jMeYko=4ySvwA_tR8Z zHLk{EwXGLv%!G|tsZW-UdXMU9jF5l6=0xe(Wo0Ayk}0r_l+)7de))&i;2vY#Dl295Tz7Z< zDe+bJT5Jl2t|uj2ib;5b&K-VFHyBd$(&csm{-eWT@_REK_R~{U7hW*$4lDOQGyycf z(RWc2YghDf$!l2gerd(=XHxLX6k%aCXzWWZJ8F;?C{ts)hDDIQA#fRILXYTC)p~pc z=15m#SWb@K8yrMXKjFfL+`n7cziR!C>7xa^PN&%!J@tvkTx%Yx#ylmeKqLqCCB%27 zxH6p?r$J~%;8n?L3mH{V+&C=wO$4$Q`E>TnHLMoM8@w=rhGv%%lfG*galI4^@2<7b z#nL}Dt3c*hxtn``;Ln=ZNkz(gd3nYr`P{_cPs6X1zu(XuDa-jS^A$HmKrtM-C0)Hia%7oBc)_71dJUmF1T1Oy<8F$W zY9yuq#4~9s6Ih5Q_w_bWtc5<>%G6GSDp(st$*y1#UmK75!rl=K0U8*QNX~R48P%Rw zSk9Ikr2zOv`ve6K9MWgxozGE{IFxbmCugCnV(f)JL!#}gOecvUa@)5_o-hQ> zD$)peL347y;|AZ$@xRr&(%yOWob=O3xffEzxl^B5qW14H2j#XLY=uMp7cz5!50N+y z7jiDFss4ouSY6aZ?K2dkk*Mgu%Db%h79LSOr&4aSPs-2ew@?&*sj6WGJ|{`YZKJ_; z3n}c&;5he>$Rkf8#p2U7gg^eyA?%{Yp|(Dq>7!^q*(j-nO-&xkp+3BH?x#J5IKan0 z?wL}E35b?sWZIbK|MAwiN>Tb@p;4<1aNM%oRU4|A@n@d(qa^8~kJT~(S~#6!WbGaV zP)T!HzRdu@3Jl{B*x6LS`8_8c*(`uaS=w*RNU3bF=j%He9Qd6}om134VTpnMZQVyn zG2@ubjjFmdg5=M9`|6yQs*}EAjo`dr2Db(u(F*4&cf1Dg^LyUUDK_wg;;h*iM(bnx z;!z5&awvvXzYl0-T*E-PND!y7kZulW*+sxNmq2hl{?j3A+)>IrR#Lqnk80{bDLa8J zCUc-iv$v|IWG&}x2&F0K*i1vgnH42a^{eFI1S*8_0*B7WQ#DnHM4Dh)-O|4xZpLzw zRyVY&6uWh83R2}|P$kH$*{tw&#vp*vz-|n7+l?xK6*fMtZ4Z0|y*8X!SV@Pf)@l%N zGFr>JYIjoTa3RVbo;tS%CJ{of<=O=H_fLc(bv$G%at`a9Vrbq{m0-o1gI0S zN6@LlV;SR8!3J3ANvWtbJu&oPwab#i#^yCYH>m(y1EA*dK~gc6T(pu3G=WoaocO!= z&}QI-xxZ2it-ZdbR*nV&Dqr;N)0KqORK@V|`b!X9*a9jq${j$5rVmL1_7JaUw0%qM zi_5E-%B00CgzAIsujDk$dBq~g?#MZQC=f0%S0?m>(LkR-aAalqK}3d3ulkl-QVnxX zKk>HA+e}c4@6ETTvbM^5JB8Rj%T^1wIZdQ%R15h6h!GC=P01@3qYh8^U<}Q7g9`=% z`hxYG>1CEZpUZS^!{F$1r~QUZ-J>PCeS3o0J0-Y&ng0u|BAy<4|IV>FXJ+dWtn%Ui zmlnaR+frAz^3!p{lw8>KUw4g!EcT#|FIM;45jXU4ua~snCQpd>a3*x4a;-w_nf#^9 zo4R(vbdo$DU)b(FCf|~p%1w~wzKbw(JGyN22WGiBhg;Z`xvcvfhagu=T$a1=dQ5cNy==8Z z&3FJAF0EJCO%T!MJz6N%CJ$!!1j2t<(?+VzIlw3hn zTw1KvYJ=?WTFZrl*1*e1-E@I$(S(YVTCmad1>@$_M4lI8$42^FI@WRNS+wqasyE}EMxOH2C8Dx%J zKLVM6kC>^g5M%Q@kM#eAk-tXDxA)j(_*CFWL^c<*=ZW@_rOzWRDyYlv1u3r(1t87R z1XFeJCNP<oEgmE3w+kv}A& z?o-7G+Dv2aZ_6xy(+WaB3z^^CG$ZdyEFdgSm#NwxxrNzk`u4Ni@herae!)^*TK5z) z`ia#D_S?^|wB?*wT##oVTr}F>)t@*i0s|N)9V9fG$!I>V?Vy=@0n(>T;-5LF^$+2} z%KY48q;>$LNX7^6oB5=oOAR^CfDwe%6ZX9$Uj<*WzwM|zL;!Bj+7l44EPRD4=fxgX zD&%KF^yRR_ml3E2bQKP{FF7Pnb^g3@k*7k*#1<%iN&cOQZih92NbA7gEo{~`i`}e zJsnd&zb$C#AmWo$NG9@6mFXn~OhQj>6aOYV4J^plx2TeSb79IJEknyylZ#}awF zEQJttO`7kuA&=A|v%bD#yDDOVARRrLuY7)W?xM9y%KfBbbqm3Lxojr#fG$)`x=?PS z?(^;A?cgL`D1fZ3%+6tX)BJ@E{+CZ8q}XQYjwBYc>ygC@uK$fR;m;M&aYhnec!{as z{WrdsF;v=2F!x_Z>2?4#oQOI@AN3^h+o``%H~{~_OFQ!*UVwhf?-SL}D7)jv2$8UY zI%9jZrM?Hq_Gx0e3P}%Pb-Z#6Kg?tOY}QOVi*Fc2;O?TB!>;QU*rRZ}djZJxWf{+# zR_%#aw%@h@WLb1;%~_|HuL zGeo~V%l?n_%RA<@muw4GYD#*a4nXRHNi$QLY@8M)TsnU8Z_b&69DwP?J`2jBPYc6} zk?)9+kLd{djHw%b9FgwtCkgqceyo8B2`l+cBd?O;xYTywK^w-6^^F@u8M4?zb{X@9 zmmQ*@mL{u|geu8z{A~nkUW$uTX7DMUUS+E`xf{7DbQek7DI+h`0hl$mAfrf;R?bq{ z(%3G@Ahk;I3-7Ar6GT>TNuqrvVyUsKMEK1=`AAL|l_OX9zF<)gMcn-?UXPmcIt%FZ ze|hZjY_Jz3Co;F*8R1<_8&dF?Zy;}fZ7$KM)^`yRq3Xixzp|ogl#Mn zH~&L4WKMQOpar=IsUlT(S%-HdV=!|7dkq~Lw4dx0Jm&4)9XW%SnwDH*3p3P!@`PE$ zD5IH?+t@nO5Y=r*!$8>;Og~3c?J4EOp&Rcjh_ZuDxO=nIC<@XL)s9lTQ}Z9!4I=PC zncpw8=^nG^U1~Q=f`g&Eo%u#siPsMFWuYC3tRctc$af92fPn1v&=7S-z0jy8!qNm{ z>_GA$GS~WMQBSmmxK##0dJ;*Rj;(=SLqTxdR_@tJM637;%7LJXqIiUI;#dY)wULZ; zM+0lKN+g7AuZZxqwMn(nPLEA5j*TFraH{gOiWk9F^KGVm@s(te1Kx}>(yhc-1 zr<@0f;utwG4iK-z1ib7NJQMz)N8DR;!#|>B9N<`OH;V9J<-#GE(N)qo6F~Grw-TtH9v4dJpX(SP7>hj+kQg!L0ZswPldmD$&i>{-BY{QGF z=}!reti;H4UL}H2h6WO|ktTy;8xL%>#M0?Eg0_XTv9G|AhQgQnhwyJIwU`gL=Z_BK?%mo!GC@ZFEgw_v82N1?wGc1pK`$t8t90E0 zAAJgtToPnju9G>y^6bqQtvS-G}?neim3Hm-4e5(ln3=>?bc)4)H zHI{@EuIy99RZvWeV9tHG92VD2HtVL2+DXLXbPfZpi4h%Fm4qE>x1n-qwkFO?xY33q z(u8I7LpaEQlfy_IrDa3w)>WqTmM(~htkRq4xh8{5FBzCNCkDC}S4mI7Cx*N`B!`7= zk_hn+No+8z)dr?eC}NBsn9X;bR!A?5(MGsQ-RsLXojX>*ng#sJk#?!x+CB>q4yF|$j20|S=*?_%=AE1P6Ta?l4!6cPaDek>Rz2Y z^LGBUcGBFY#?9!q_$HcDVX!8~JifWoIeev~a~ z1(r7T%(}4J8F~AG$l_cd>fEtf_EjCPj=|ZQYTZPb7i^Slpwv)jO__GdN*HhLRDg>3 zTR0wu*<+iha?scc;*}I%=&8)Le_XkgRcXEt66R~>d+HReAPKUr>46^llTCH-Py62c z*V@2}sKy72PQl_X4pkv5?mg9S^khGo24j0C$3iCMhpkb6yZ@i|$9fhXa5A%gXaP3~ zXx-fyGW7NT0KZ3zT${4g-ktCnPqr z7FU|r`v6Zg2WU+s-s--D!2A$0v^ZHtOmRqS%!KR}?No?}b&6;2Eq#`!nJegHwfTYX zuz7g;N^fTrJw(B-DL#48z3GJRPisOMVk%q_{H&VyKZbIY@^p{OBK>Hx$A{D&KhH8YM5;jK!6jB<0m6TVkEuX>Wl0g2?z1jJTD~ z&F}=+y)^KV>n^}*IzUgAT>DUyEwKR(Q5>R2+C2#R1lh>q>NGozK80Z5o8j?0qul4D z$(9?D6Ky6cyl*tQy<*cv+CA|>svo&Sw0F( zY{`Am6tB;6VW|>Bk5z?GB9uUsaacFS>?zeB;S#ZY{F*GF#paE)b4 zna&kSTj5#Y?-?aylPUyHwGONRJ^-m9QTWs#Xsv~s#p-&~9K+XYJ?es%{U;EkbX68I z)v#p05M2o!WT?|t1z8)_MdnWS3VY_+90+?Byp%Bqdvm`A93kGe!- zhmuU^KObJE27Q|V*V*gMz+UO3B&sH|Qj7#_ zwjY5lzJ-ym{n7si?~>&kxPVR2a}n8;dwXs<5(}=B$qDxyF3w$hZ!4GH5l;zU9u=0A z3O$WTMml$IEf>oqB*#>twnJ*A964P}&lS=S&7tQewx{^|&~_mieN`4EF&tQ@yAK-O zT9~U5@qM=5xY;U5^hO`U`saop>?{l36oX3aj4DwX0P53tv1%b38n`7!zz!GfTnP|+ zq;qQ7A#EP|$F0xIn0<`&xb{)HH%gWSnJk~A#@FAS?iW7-tW8hrPcOaIEW@NWh2}Ch2Ih?6vBX zGF9q;!%JtDDP|gy4giya1;>0aAmyS`Eg|trUa%*BgDba1SilA4<}EEmDXbNY{9*Pw z$2?AdilW zm?q2q{y^Sa42qdGa4%MCY3x$yrLQiGvlNsF*jAeUA$%1^U7Q#srJt3hXk1|GMQAhbW(Xq)S7h#@@ zMJlhs8bfk@A}1GV`V-rDxvbl#+aOyVp-9g_QWM^Lv9TeE7Pi>D1wHEDj=Z2D`6!@F z0rFyyt)nLvhBV)eBC`wa&VU^KY!~{8-sq-=7A~)h=4<1Ij4qS9&`9+G!;k#9eb7lq z*_;W!tx`-AnU_a_*Nbv;=3NZOxWE#sg`lQW2*)`@ zAf7U6%d0L#99EvBKziozwI7Qog~6#<OI=y)3>-TeWUKTn9cB)puY&ZK}6l8i)GwiaXi zFgJW_t;@!GQg~ZnABIMT<%i#_BHpXfT@2e!tY!L+OZl!ojGdK=dm4A=T=DMS z%HCngSGQIt2tFL~YSeOBN@{q#NY15hw^7gc!+4LY(9`ppa*Zm@5w(j!0XfjXx`U55(0Qu{MkiB< zQz~2W|MDKT?4x3Ycj`KBHv%2Hgx`BER#O(P7r2m2us|eyDZWjFr$pj&HTxHggP~bQ z8Z+quiw{f{!=|DknIRCk2H%gWWu1(a>#)JapsJi7Q)7e|5Z%{jN1h)~O%8qbvVrBT z%%*k4S82>g0C6ZT9AdFR?1cgf#6oQYmuOM7?qCMKsnmiECLA8h?tlUVqAI`^axq8d zf@#ES6rVQ&zHY722Fq-FhQ3$SVBNn_TLJoVHgFxwAkf4rrim~LPzl{r*3*$98(ftL z!^v4yp^6@2Lvf@huS*57tGBLW3x=0DEqD5H8-{T1Yf@c=$g9Rea$P6J^y&292Lbri zHnLOup7#JjA1pneRxk~6;b}Tz)!Wm9W0^+W`}zNO7Qah23ZHMI%?((r6Y=<655c#n zqOUr1`Zo`H4j&lT&nYUU{d_$=x{&4b)pf^|ScQ}g_W!KnvjKyS%)7&*X|2O32c}^` zr*E?#G~0^G0ZMh^VoA2{w9IHVM; z*TF?_Av0a%pLhydiO~{TN?cpi_h4lk&55U>KwA)A%8Qm%M{!se z#C&Kr4`|;zamobtjS}R3+@kcI$oFOKYhd3urS4$)a?5Q^~6+ugq{f=stD6=G= z=#R-z)+b8^lV6l+4m|MH)+v(EzeoU68U>KJ9}cb5EEhnF-z%IWlJ9QO@IC`FwY)!3 z&+?Q7Mb1luEVY&Ih$P?cQf0d0v*5cIO0OjsVyl1U$mqSqP-2+ zIDzU{%hPC>Nzp3;73*>AQ>7&V@uuczJo6-$!z6*WlGm|qgJzF}naL7kWL1J2)plV? zd?O{$!Lk^Y>7ZDouU${jtpRjW(vDuqnb|Rp@=>6lEr+qr&Dm4hZHqbnXgR;5Sc2-X@9q zU=8kCo1xR*ulLI(9SG^PFrzMVMIxGJh*|LG`g_-qUx*r*x!o08Jm$ps#`%`ImI9@) z3exrvW^ut3-oR0R@4b(=x=1cp)Xcuq7{BWid^U8=tU&tDp~&$GsgiDxgX2cI-i;-=3A}VPpPf4{t6g?++33Y3hGjtTszo>Cn81EFJGx$Q>8u*}H zPz^qlX7m_d&^+J&DLn(&*4*(Q^P_)L(s^b|UL>ZDh-t#3>8z8fWHLq?`dkcWRUtF) z5k;rD`=u7ehb1liNn-yHNRm`0Ql^+kLR~pQ5x&+aoYj7UV@d|DDM1Ten8uFfE-H0n z%Nas~BR3xwD%92XQXiuG_2STGQr-gQtdz|lNC4>PAe&(js*!>=mF6zni*wqO>C#=K z99_kMU`0%2o)OQL>ym5zV`Z7(T<_mQTbG3fn{H5|WyQf;D}sS^j1M?j%#`eE(A99r z_nNmGgRRl}^WU+UWAa+}M!v!NYQTZ*GVIp2PKz1`crgJRP`>;5Sx< zw83tCB@Pbh*FSWQ@*yPgoY2Wf$96(|<#tt4L(rp_Wgl`ul&8YI_CBissW2$v6Ey+9 zAfc@w-j0vOjE6$>t13Pxm4Jx#2fsR%fY@%(p}@jsdb^c?)~tNqki*AjV)L543T?1i z2BBs)0s&sRlsK|uH8UA>l6e3Q0v}4K;AZpZElNkZxUbIuM-OCJt^R|1tZY%GS0#<9 zp3ec8UIblJCa!WCw}o5FiQfDX5$Dg)?;L7|butZeL*T^7cOVpb0Wy6-EE-H_{80Px zLf9w}eHq}0rt|~o_CMA1&%;x@eP-izSjo7VGBea4RN&Esry_e-pIWd+U;pyM1vrdK zETneJ{)&B%WL|bd0z<;vL)wEB0!lsPcos$yf^)+M10GRY`^O@<;fny5Dy}d2*ws**n{nwR0RkOGpm2LIQ)jf?GrqcHF7wUptt!8 z0UR2h__bUvu>`bQ5ya3YTDNTv+M*OAZg z$eEuI+C%8g`v!UO?`joDkJ(Y!qxs&cB#yzwe4N^VTeT2_m-oGI(uCU&hAn-<`21um z-rAkfa`6^xCkp6wdw4_4c^bR>KO9o|>(OVxMZwnr<#I2?8N=mIl`{rAtcmb(&J;qL zR%JOYpiLWGfylvy#WX4mhq$=}8Equyyo~qPgtyvug#nadZ>e*zQwuNwO}S(>>jad? z9lLEL>L4{!9~((lKJqFJ;LLp%0V66=ZVn2#cN4~Rx5>#ubRwZ0g=7@XpK8SS<#Skj zdES-t&LsrJ>->(ftcx8(hXCn~nMG=F_#WsCaV`}FmOgcBg+PcUJ}MpX4vlY~FqK8n zLTA%c$&m)iy$fZOUV_PWA-DF{{(t|<@PoPa)5YWZdEl6jHHCka1i;Lc58I}AfK5Eb zsEPgktS5>Y;w4g>OiL*fsG0ps@a6|{35OiuHBDu)1?*;an?zAS*DG(ARKgjzS}Hv* zSGXAYd^clMMt}gno_yyBF%wC9flK|Qio;`th7aS38$b}7 zzqKX__ftTC7I1(COe?gq$MBcML*H1r0W*oHx*F}0cy2dW9QWqdAR z{^pL^REH!JFKDR@xo~rNO#6lL{FrfEs0`aG6nYB4$)5*)jAF3P(9DCEYyHBc3jov= z_$(jsgEd7K2`#G(;F%!H?*fpPiL!eklOPt3_@US$DQ-&+;KT})@Q);#b!yl@_Pc-C zk4e@4(zsMNZ`%#J1l731opAX2bNdk_U}Uud#NMO)YIErw%(ze{#kS~D2}T%;1nd}h zG)zHz?2SsfeFz&SKWY1Zft= z`3n*W*n1iTjkte+-?J8{&RC9S%^dR#dVQCLcM)SNgVZ3AnH(+_+1!_Zi(F{lB-n0? zLh*6g$rePW9aGDm8lVpA>7^&C?L5;$9pEL98DU&XO;ty;8h++-5aVxPP13@1ttnyk zQ}S!(X3sU;iC+MzzOZxl@;h<4-8w9>o=Z2X9#a89@VL$Ac{t#mkl%}5J041$$-s!Y zFt-j~Fw^^b9id+yj_j4b0-xcJ;*D)+ATn*d4Pt#&?_veTEBjE0`q!ji98yz4a%i6^ zhj2f(GkZqvAb-590f@}rZ`%}T)icajor`Y|{FuYC(-e^b@+P&5w^!~tBn5J3HeHE> zA`8%q5QbF99{5ta@eihoO?WAJBp)fw1xF$7P4Y-xeycRh1P^>9@5{L>9!9Ljc(&Qx z=UUuJ539CAn`z|2f|@ERATkN9H?OfkQCxt~+-eF5-UMUhiYXRA>ezII6@#)g>BIq{ zl(ZH=CRXIY(5bsfn=mCqkMS>P6=WU#=_#;HQ8iv`#y<9LKI#)HzS?#>b$Aa2d%&L# zwy_7&PDkfpG(Dt@#y8!=`c@BSIz_`Z!mH8uq7SjRyU`OI>bC#m z;M0IRLkTH}x4u|rwscu(MII~lnVFI`;6qO%Me9yKtwa6aPhcfc2t@l$bEDBm3$WSE}Ny>y1hn2{9Fz*5XF+r`;d$s zvih4NB1FNdgyU~0l;GhX#YgVSf+>6ddi4f5ggrDq6yeZ_GB{*{_{9^&o?qnN+Kd@Y z#t8$Q9Do0%j!Nvm@v-wu7s>{az8nKy2cD-|f>LDu9{|xnF25}lL!Fl@3!xZIPfVh) z3N4ya0%=BwOju&T=pNd!vpIQ6C8VuogoqOn`psfAYjzD!g!1_HG#m#fkr7dMQ(c-q zqHt0pT&@{U0^jSwoSPA;liAd}Wv6A^P-BMu+|$(b_81`m?^^S7zgw03UY}Y}YGrDa zUqIzMNl8LY28_6~G4ObllNL(!NM~OTy32s(Yvxt}W})15y*?mJj@ohgDwUHO<(3bI zp++PJj3@;jYuCBzv5nhhByeH`@`<3on52 z`@)yEh_N*zCU#r-GRg+Dd^xh)0qjd-@*Q}2Gh$@702&iyfVI`FO4`sR>~;;FsGNR{ zGxA+0cDn_y*SS#x49J1>d=k6u5q%I!1no+}AD=w2TYT75?ZLvgJu?FGnDOlZIL(SS zq_jFUVazBEow5V!za2BapB;LPO3ex68eCR1Ov6uM*Df|GnL? zz!0H~D1fe+LOvp;osH;4A&&I_#-*WgBeQ=tLsMY;$#)4~DrlA-rR^Z+N!XVXcRsan zEF%Pf5e6y=Q8iCQvJ*xe&wvvI@d!T_AfMz^PAJ-l-wm20@wR)Kfh{H7XhwOkoq59X zAn6c}NREjNsS)BwfER}2Q5ZcaC1H`%9BRrV-K!w2w4<|k_r+G{{mH0q~p;BH! z;)9A>H~52Hy^hHc*M+7vr-1#saqe4o%zpidZ|Qx^PIWjJC^^k9ah(N99>Y|JKl+v5 z^`HOSEyptxNtYiYMrig1gJ!x4IF)cCMALW#h)9Sk;OdYphYA+45)R9y*b1nI&B6z; zDxAI{QH;_hW(95EPL_Z8#rf8x*l5C6e`dMxe^ z7X`bVAZ&xo#x^bxLk$SFv*a2G(c0x^j&&D0QinyW*q?VG}_x zS)>d#l0YemyQL;wpp@z`g|gpw+V~C+pJkU01cLewz8MCB2G*x)C}SW9j|ed`QX+bj zgJrXLujz1=A6`6kz($9H=f!j_`_%)}8Bs=Kze+pJishI^>Ul!>!zgpsRXf=t>EQ_d z8Q^?{0B1z#Ls$`x7Cc=`kV2@2{b3%aOWZui|0p&ymod*9eu^=F?MJ`&9q(|)tQ>x< z@IjGNg%1_9WJQ~fq!IeEhqO0@poI`6xw0~)5qcv;t*KHAb0f71E?4-_nN!Mav9xmA zBOoe#+ip#+L(LF%wwh~<3Lh#9^JY-^e>04#Yr2UG_w<0;%SzalL|wmYH*pyiq|Y4A zb9nkkg+0Y5ZnwQf#~G0xs2NsTi20DAvH&8H5NraS8c;S*S!1B|$^ulj_oX8##vV~d zbsXhKiJy?JFQwg>jX8_mj#XVUr|{QeD=TN4tP-FcG6JK@Ukcb5842e1|Hh&NjC7`Dp>{u|DrIwZP5W4Pv_bvbLfBAxUd{N$PEVXcI+NTWR z5%h%K#Ep^^bzN_GxJ6sRhA=8qD1*Y1fCN2RPp2{&G}8#G8ER+5EoxXcBL?AzrpeYB zakU;PoJrTMoe_^)?`?rs6M46U?J!a zOux5FWAtm{?Mi$#;{=^i;4hs+5&l#Xfn4_iWIKlw$(lG%n~vt*Bn-5-4MRM0@1_#n~T9 zmRHSR1@%dw9XVIdvywVxM-wqz()yMX+(^=g)ob2fzLAIO|p0$yjf(q?q1;RsmqWTd>wP z$QS(-sId?C1PS}~PQi%gr)a!L%z38&7;wmvHRgmdY&ZY_A+{0w-6~si!stcnSM=Zr z2ilLiLMAWLAx~HGA|du^?xs;Y;_O8N%T+HD(jtVV`86gl(f}k0o)7&vbSIJd1xg1> zz&C`#_{yXat!SU5u->GQ# z;`>2PpWYGj$O~4~?&v5xS6*7ovWsQN*zgXULKEs)R(5Zuk`hGwY(zp*B{NS{*}J5+ zi5|6JK-nv_vS-17vU9n#aJf-zHRI_M>3Zk#Xs6|UNPnG>y~m{+^ESeo7t|$k8;xrd zbs2ZrI|r$-C+BUHFZ~GH9T|3Wx%#}}Tcd~U;f&E>5eQNsO9S3qN~HLH;g0l zjoGb>4|XJuT%%$MeJnI*Z^98lw|TinYjAFcE~X{P#c7yfAY8;a(p}9APiD^ zBq~BL~F`_lVS`%;*{9(mlEMqhgt$xL(pF}aOmxt5%*L|s&&&)Ux z+dd){0lP+4>ldqS*RPNcNcrxBR0NC=fO+d22Y7!&7$aJ6tg#s#8#ym!#7nED!1o2K z7v>#g_NWj`O5-mT!hxUK!}r)sp3?Y{H^=4&Oj1~lUXEa#?S`PxXiJ0L77Z2Bn6pi> zAiO84rnnMtEJhZ_d3`lXK6}=#-m`YPTrZ#W5ux$WhSj{`TbV?|>M#AtKYZ&$Zdhrx zEXymhGIVIN#CSfTnR~W0PDS;EpK3QgG~t6ojqb8N5^gmB;q~f-pBkYd1oo?4=`7-e zG^}iDAg!1M#HrD2vzeNPl@Y@BK_M2h@_k3pRk}vur#7|LcLHn{T{GL85q??-ODRDx zKiodNOKC*mr-cw!A_F<(k{jTkGNHK3>>?3U(A;L^RgE5`^3yVAkt1yGxnUM`n0z+x zQ}e;i8{HqfqN*js4g2R-%@NjDO&h1X=r3_ZHG|5oO=!PUH2ybI1&F|e+vyAuxO#{{ z2qlD73@?+12x$m|b+3I`bfwyDE*RxxLmd~ii8Tr<)%mAz0O*X`kdYv{su|U!}u*5Ru zsp>GAvH@-ttM+nn$Ev-u*eh?BD#p(01r<PLRdC%oxR=msa*6XF|bol|Hr430ye(?k!6?3|MJyddo1u}LnKQnR=CUeKJE zMQ`A9LQ(bBpOc(ZaEyuLhj}jTh|xKPGPI?p_!2pE&D6;`g@FVm)vsz)K(7j%EIOx9 zBTunhD9ck)2aC-H!sMI+xEPNIUtotm$IaBqIaRuFky1F`DK00vWt4y21@5VAp$dil zEu^MAxLeUrcORrQy}Qo*u&M2!YyiVU;cNuqE8{e$5r5^6&41$YN1^dyIZ9AQjq%wp z1&)8Kt3_|PK;(K-+=Yh7XWCql)*uR*-^iU zLJVC|#$$$0d4Y^2x_IdoT@iGbXAj@<0;^1M*(f1t^{4A6D%{GP2S9odJP8rHSy|P4 zrwm{70+30zWW}e4?5^zX#8V2N^8z&wkN_)`QuHxg=TWiDS0~@oMg2%G?%GF+zlDz! zdj)t6iujRY*FYfL3?C^@v5%CSkHbg$bSsYQS9*sF7G#@=h ztZA9RP!$=j!&9mnuThFP7V~G7^&lvJ2!??3deevg{m=WDk8}M7K0dIh%LLWATS22 zPz@P(MTuCkvMLC(D_0}8V~dJN&6`xAZx4Qt#07cq9kC;E_M-w?mAz#| zTuy*Clqw44Dw4B6uHx8)v7R}F&vgCHx0L#Z`ydz_Xn%pwY`WQ~1P>Ai?Z6WQrr}9s z6Oyf<&)s?_LE%Y6U3a^1DGcoMGgx?%#K-kU5le}mBp!Mf!2Fc3|9WGsUx2#=ovXb8Je1)ZM_ zYtK*PE`s<)&SeiUxp0OK@Uv_<1PcSKy_BZeqt?SAV;N;8O5xXz6=3s-(O53%G-#n@ zWDTJRgK{&l(vk0^HZV%r#1W73q-r$4@(dY0*i&;s_=U2HYANs>`{(>5QC22&_d(yZ zOu^6w6zAs4!LYSK?Y9|RcI}w`PSN<^NHxY&Ky}j;&FoBAz_H8+2`;i;G1oO%UnVT| zkuM4yhXO;q+nJK8VYL!bU{3@v+`UW;%aqYVhTX{;Z4_)fR^rH%;p<%t-9}sRK&In+ z*Ok6SixVnGDlE%&0tBRv>ph&zBU?IrzqCA=5sa@*G=@0){ErBhVfcu!*M3B9Zrc0f zufH$ZlFtp4_7QQj`G_#IeMH>6k&ozU`)aV(DRlfRqT3SP#atGsFbl#9!YT9e#y({~ zvSeNJ#y)F>AGrU`3O^KUi{=)EUo!Wkwp+Y@s#`9tM5ppyh&sqle}1%P4_d#Q z#y*AlO&95aZd+V9x1In&df>9}6RJHMCKU58o=+hVt{*4F>mQlBn-k4lTyOMG(A-VR z1MJs4KllCmMK|zW{k&ZGi?5*W;wWrsBwRtiXA`77P35`^!es`D;+bi9k}s6)Xl z4!cR}SF^E}u{Ux4koM(xz;RuC9hyC!VZ++aetD~&Yc}jOe4tmt`7Inc1$*n4(H90`2f*tjY`PDqhxgx=%;g;-SgaYFJOBlIQ*8#H-pZbtkW z6O_vWM)o1apHV4mlLJZ3j}Pdm>Z7W$=fvy&RDE(iQtbL;+K<&YA)TJsm%5GAdt6_V zV;49U2b@r;&?mmQkfE zRCj1`r>9?(5BZ2yO>P>ybl()5mGf2!UBp8Dgp&O~ElH|~E^?usdr(qeE{$Khc=yxq z^=3OS-N*jDXWr{VStQpjB{Qi#K{Xb(2OJ;sUJ+&AYw?PLxHe&Z$;UK)ML}NcCb+P_ zqC*N3kJe`^&5Zx-Q$sjf>=8#l2FWVbq_;Cb48o0+UtKF zNvn*J1X?i3Po5mr2E2u7axjvxU!k^-xH5LUt30AOkBUPZ8A_0&^m(BDaCRzfy!I&4eA*#XBq0|K8jq;_F3jg0-8Tr z`X{RRH?zk`!T|S)cmem|-J|$Gd_m~)tTnIqJ6KKanBv~ENWvOVoDUwi2J}o03W-5y zpOL4O0&>uXxD-B6?|sSCR|GQq9okXGJDRP@xC_SGtW+h$Km!RfkfIZ+t5{n_M+{U@ zfgPGua9pqPEgi8GaI07H0PR?u#}W zlO&Dzw}&JdQHmSh#N2>tYa-?#9}dQ>cj!&4xh;YNh&&X|_4sz+(N{P84*q!9%Ry>i z2e&nrR+(U8ufo=p+nL`ydd;2d`Bof|+kV8iDnl(Btsfo?RgPQ?(FgD6bKHO@EUxot zLY_1DuP}ojO;v*40&#_>Yj|oncNu2bzLD7NFaONl&-mlcZZ!|W*lo>sw5kcjS+S^PA{14)5CXzmN>puEf=a6}5KJwqaJ@!ACktYSwtpD$ zsODHTHDM2CqwCIz{ggRW{O4+$Z|T5OFhONcS6IHW#Z|b~4W&P#4d5ACCA5S%l|r;0 zZk`peITafSY$45#iVhGrP^M3A`IhgY=t@F2$U2Yb^K%Jq{awyqX8rdB?bCR@M+sy< zoR8~G&_=*Z5*$LDK5>4UPvLw-!s9LtA&=qXVIeod;pPG@u_aO*99HwB*`2{OXmeNa zQ+xkt*gVL$d*p92!JUs21~Zz^WQc?*6(H)BvCeN5xm z%M%KKKsV#L0>!A%g&cK|V>c6!_@ux4BcJ{U-%`=6DrCoY%NiLC5PAKYoww*;Fy%p^ z0A&w35FOF1AcTBr2`L*ZA+pi|F=Pro{Y801%0?puq7-QbBt)w-_5S$O!t79MfB6(c z9V}u@&?55XG#>ASl#MnbF{~1hpbS-4jT2Hf8sUJEH8XuDDRAkoh0m3#K?YYBrtXo5 zr!E~IQQSl}b?(@>HT!TYw2~uU6o$sQ3XOJ`q;t|nQwZ83ipyE zX#V~Kx`Lhu&y&%VKbYfv8nvKAQ_g*z-)j2%rCq@BZ&TQ>D=Sl5q&n!*KZ7?P4ZNw! zjJfn-<%DGq4^%GQ-|XVthpOaXmc^+?&so8^xf*NpUJ)g9vP*C16{%LSSIB6=r%Y?B zuTKTzFTVOWKkXNf)s{eMX39mx{#W}op@9Nf%A5sGMh_nS59M?)U(P}RB3$dEWxvCZHkxk?nSN}-H2C{zxLZ4PSX?_uLA$> zgKhA4A`8gmd^vo9!*aHbY`;@8_}@qsVokQ3?H4{64d3bOU2IYONpvWL@z~BgJm4ma z=5UpZ@L8kE#irEso~m*YzUxJgTEp_muoBZ~_V8gJsd5p%>?2h!!l%91Bh6KVoWr=r zhNKdf?-x(0V~s;B0{GPmq(mPeo@aC&ERaA1FfbPZ(xFF`)SYe29tMp%)Hcge(H2SD zG|IAE;Cd6UUVKOM76EdHOw-L(G5qTUNm&F)C8}Gt2=KS7Sp=9SE&}d`MSyA2BH;d` zEP_L|Fh2xZadq1kg!x2TF2JaHloNJ`z$5!?mR@q;y5R9IoCl+^9B1 ze`0M4l#7U&!mLe^K*w4H!tY=9{erb*gg-x&vgp$NOTmeF!D5tpZ4If#5za@wiUeWm z$O7Z}Vyf2jrSykij(%QdFWk{gr@D)yokgr!8v}JbhTyF10>4A`i=7j*7zTEYxa`_c z6GzF7-7DJ3OS8*Jj?S94QvF6ARiQ7j3Ybc0PNYldW{*xSbiwgCCGr(Y6!FTCt20_HUWcdmAW7%nQd0e-XpiU6@EcV zqC9*ldyn2;ql)rDNCJG+695IJ4v8l1HHL2nUKuNqh<5e`*Hqg6hO`=rtsvu5;If`qlCamgpnD#g{x5g zWZ6V!xZAANZbiNClJV!9D>6gWrh>blTGJkB#LYD(W(Y7S88Mh4{NwH2DEMFBm)p4orLUazeDf&05yaqYJgCfgXuYk=byXxlC-fv_MoTyX@0q>?fKB0WHjXG&qziC z)eLD$8D_erYyY#v-8npeH62QR>i7ItpZCw*ek#eEba+87=pIAgOO|?H<6W%*O`}hw z90DN&z?Bf)1tsTVyS1z=C#1??gw@aJ_2`|DBFPBR7@omrOx;Ok<}@``1|vkVI+jO< z5wqQonhjHBFv9(EM~5Ir)Iz>#M5+u%xE)X?fZ7WBd6dM$atL=#mB9!J(-U_f%qXf1 zX+%*Oj1pB5X=(MCn{{#8i1KU-B;Iv_LX6K$?Dz976vTpq4-Kzqx4??;sj zidz-#$E`u3t3J)_(bO?UFlCeZ_FMD_OZYfgbV+Hav4MIi$k8hso>DTUQ~2MGYZndd z4u0&zusLL(r4oEV0-Ti1uZEI4#+V$K9BUv*jxpI!o-pJBhVxO+c5;e1?g za;(C$o2m#&C_XsmG&ElwbD~c8aEdB47Z?KhVco+j#M32*TLGB@Z(6g9bFmmtS4GNF zJ0j;E+G?CP{FK%BBlq9*4S(5F#&sY=Xq91a!x~`^5Axxmbo(fW8WAw`h)N0}I+C>P zDDs$XM=Y}NgAKbfCJq-_3?d_}Q;v+k71&Zk7�o9TrZg6;slPQ3N2`2}4L&CLDrk z#96FJR;sS)srn~ezCvRBr2fH|xSo9~iYN{^G3aR0%V_*MND(kY5&&L41^HryPxgyg ztQ%(S3hUAX5+dHhx@+B6SV}2?Ap$AW$8}EpaLgel{y90|#a@l)^GgW}yDd*A{gvYN z@;ivx}^jz@JaTGgB=HqtSQjj(0pO@Rb|m95;k7A;&rwJz-V3r$RZ9vk`NH z7~`*b*KhdX=eXZf@mMCo3Ob9hUBejnrCLTgR3w-Y4z=+Yu)`YNcS1_AN(kfwT!q9K zQ?aH5b3)-uhM?7EFvJ+s3z-Q;(J6$*(1K=#dSWd0Tqnad3a6@wV08YXOhkK`(#GDW zrZQ8naad|q^qw%@W@_P9HX@qIL(0N_LhEOh+diUjc^eXH6YJ1}vfGrxAAwQ=+V#Gz z!w7n!sGJRyG-B$QFfbKSfwnpAqZFB8l)wtn#N>7Mqn2ml_cTg)J88dxa%%SYet>17 zD#BJ~tH%#xTvUY!p|EI`9I8#4m2k@8sdO23@kpSHq9?4z`obAwe3cmEhjx6HMR2OM zan>2mu;KeJJSS~Z-q?9b-~ROf_@19}tM`&vsR2jeWE+7pV$QCEGS+L)*b z4iFwd*f{-;OU3$Ju88FnU%Yh$iQ*11IqkrTT5T}Xv!spU4vD{P zr9-)h>Sl@XsJNqaa9MP7B`QpQi3p^iQ(0&ho?p@e z@}z^Uvm#FdD1tsnf(y}pueq7Xli25~pb*g?x*?=OW!DJrANm24d7(9LJEFMloRXcM zNV(p#h(LIdv)G(+m~s4_tVoZoOPsq@{#V}gkifo13zuDEdiMLUG=3gO3d+4H_4W%i zw$$J8xV4tsiP|nd!Km%F1$CfUq(JvI7t~QCK)7HDoQDgRl(%rfvhVsh0{b}thiG@o zV3I_*c)mD%JF4{G1?f z@XDHjHPMfOX3ZQFrnUt5pa1&*^FvR$>OYO|U`b`>0jR% z)#=Wv{|!{9JKfh+KHekT^fdsIeaAcg^h>`kRN|0=1H4yGot3F?b^xh-ghMGSe zA$kb6t?5TbiOM4yb3pO55hWG2aw1|0?8hq6i7m~JJZ5}5Kns-q8+hjJooo70nZ2*Q zM^Fo)ed?NiRIk%|j|}Sq9SnCAU(kMuPH>t?wi-Q7>9}ckq^@P&k>DGeJBqK!`rbL^JCM=saR3lKtv|Q==z( z*0fVeuVSkIh?`eaTaC$8WK4*s5L%p|zG4+b3x;28v_RS_59huk9#MJw6H;=**3lj8 zRSS&RW)z5ETF1$GRk~zPl|g5C!Og)7$}2zeiq1xtM$6`;zMW!p-TI=>+}}NBbU9Xj zBDpCVU1(gOH6M_>T_m_$KGEnxydJU(;tusS3wF^7qtWHI)OuIsw-ZLA3w}jy^M&N5 zQ7TY0y7t2gNJLDH+$LfdlLZ-#u71hnVmKn8vSN zYAB;(ZbE8aCcjLo){AHeG`WjeWpR&3CH@@D% z&w8C^b(O0|(HN2@|KO8JsKBWNxO8oW^E}^HJJ~ z{?Ua|TVAGxmM$h(w~|g9JS}@x+G{a$TJt7Emd+`hzgAK>&sNXPSv`fP9ud=LtLJ*G zo}c*HH-GAzy_$q3A($>=c{!el)v@3)#a%fB$0enm5pL1I67mK~PHRSpG9iUsCDi&9 zfK}A8bUq?woe=^F1{;PWE_cOe>Vy<^MmV5guHY}W%b_R)PDowE2=}`^3OP^>LYHdI zVs=96B1Q;0waidW0vM2dGXjyd956I)z%@d~FVPmFArxK2hJ=OBvK&ZoLXADu27K<+ z>;VI@!m^}7m1+`3Ia_jz!4k!`Z_fsGt7MrtMKk~ zJ-*XQMQFC3BS5%N1Hd~}-0N?l@pIv3X|K@Zw+h&u^mC}Wg>n2i_;*Q`c1TvYwxOF~gcIs%Ffv>VJocMN19;aVpG|htli7n@{%@a*Cul}$>M64l9rr9u{ zPz3L0SZzi_3Olq1gfvfz0eZ>$5s*OY@j2yEYnP)&{kC;#$(L@Fu&)u_;5L zgH5b6cM9B1A!`n|1DbA~>Rm{U_ZX}a1*dXHFuYYP*v&dUr_|Mv_^G4!^zj(n4%{`Y zc5v6LX^~vM`0HY~^M;>dx1aulAA9fTTA#bsg4SVI(9>3QrL$+ExY7ZGEr*KsX@q-t zZKReNdTy1b2~Oy)7JMym1i>i-;PDzfK!CMQ=q~`d((4VYCo>rW<-Zz2XScYUqa%Vd*Movqt4}jy?{I@kFpyWs7CQi*o54@EMhj z*yp|V8M&6~TXSf1=$+RGIt)KPE1y@dd_Ju2KNP5+tnTvA3T9cdM;Pi9$9wUA{XhQZ zS2)L0oUL&@1O68@B-jqB5VtAE6h}tr`3|U`rR%gDDhj3%B8eG>J9Hhy7`HGyvGkpg z9M1?*(|#wRKJcghP*xW@2U@v!@}E2+Ii8IOG!b#C;7_o-TS9WYN{EmLcqj;WsMDNA zoXvD(X>(QA4PCyo?cJ6m9O5u?j7iL8!}*eP7~g6~_9IhUq(01O&LPHA)JAo~R4q=7 zi(up4Y!dVJCu)7|r+xLm_%shOszGdaY%z~xeWfEkTo1Ko4ekKc99+}tWRat;z%a{X z;74RLHZ^JnfnPxbJKn>UZOy^Bj`eT_mm%ZAh(~(329M%$RN5)I^-!HvZEb)YTmN5n z>#bK>D3!df^^YdE9w3++kON;Iwapbfwmu=$hLG8NB;Smjipl9}1zr0d_O#gB{?Jo> zB{*BbSn)7>*f-hrc^ZdRhF9W%7njEam3v-RF}~q@a~S01ELW#ONU&_2stWqWG^}^R z!PsVuNnkMAyp(^@goL7zDoz0Zh_&D~8IPrSwY6Z8;+2{#!3fP0a^Hi;iCqF?*y;s__Z{aXWQT?~y5rZYg4sqJddEB89Sv-jH+&u=H;B{{(YL-Rkaf7Nk^ieE3tDRr(1FIw0%h<$E%5E4vp3wwJ@D)8y3nYr z&5qa_zIP(PP)EA?j3ppz_YUQfy^M(0TC&hsT5GBg)O%mkp@rG;>F3$!e|BiBZFuYa z*-0C4|Lm}Pg`b@^|CVozo6TpZ&A-dhEBNdVH2+4*Zgw+>S2nsCq&tK6l5S)9!~)=( z3VsQGO3^PNpH@}j;Mag3B&bmEvz+4BP~Sv2A7H3cdfcE-P3rN3mOATQx_+|2c>Tf> z>-9^Hv%7iFdmJCAU(N=pq6r!?>Sf-sS-GEpUg`9-`tv)|d>qZQ@x}Z3=xx49rIVz) zcDVkg+xAAflZW%AnRlGOxqqAx{aR=?4yhH+9~PUWf`cGEKiN+YEDon$xaybEr)9fu ze*&%2olV`r9(;JU!mEnwL5~|UfoMbb1{`(Jp(?{Hg={PE?n!1OD2OKDv0(dV_yKPz> z)d3>}FoHTM!McarprHtk7E(dC<`Qo!W0WALjp*>-721`E_UMFUGe)>Y@&c4crs*vS zfFv2^$eW5%}wzbVHAOnH)wH}2!r8tmRdgYBRp@!QqP{yoAZRudqu5SI(tH|#uNJLkN(p?`PuFX zRqc5UlVq7WTCm4@y`WCKi1z(NMe1ll)CzW}NIj~}94&|#o*dyRqXiMelT0#6!Ng=i zAVo-AO;c@Vq6M*Avn?Ft@E#3r;Jr#C#_%L+YAb>WRk+zBEfJ>hBpqg$8`jHaHL{0N zcoJDfR6sz9ra5h(S6qB%=%AAu%{x%S4LzcyXg5j#wm?A232RIX(?Qefp8_m>K%$UR zF5&BI?kK*W0X}WfsP<@!oo7F)E~pSTN8IIMR1v#weO-ofQpp|jed>uk+5Rb+l|LwZ zFGOGSRGT;#{k~-*+OHp(+9Fj@8BA5MUkQ7n9=1DK)JqqfH1N75C(9_X2_c!>P#|be zNNyM@ALkREoT2lmUOfrqhWQ_h_HbpjoK=Q%zZ|N8ue^A1|Cw{Y96SNmTc{2Avsh4Y{kdY82 zTU)~94NwS>5H@+KifFPC4<-XgZ@|H1;OGrFm<*h}0SB{zlQ-aSI&ky`csF=FQ;o}& zTZLmKrS67y@GRLBU*l2nPJt(aQ008_1~h*yZU7~*sznb>h(9=7lupl@s+my4`h{MA zU&Tc~E&zL(ur{$?uXu`3{zi%*+9%wr=j7effY1k%cW>GoFxSCa*3c2np|xbmQos1a zU;fSi@~DILQ2n{cQsG`@DhH!rtZfn(t(FHjzUB=JChE^cmI^=uB1ghfdnVH+ZXQ`G zT(;^C9nh;f^NY+kz+`0f) zoG`Ie)Q8iK+S?Aj9h$pIEOmfsC)N#|wj(!iu7&`IvH)@5lO30ei*Kjzx>D^1e)9HN ziWO$B2k;|Wi@c+bwr_aqvzV_kLAR@%4H~wlvbHh*t%scJC3ahOUN5b;&zU5rAubf1 z;{XVHP(m7?5UsNx?>SvWr1oyx|W-v^I-=GN0?2IDl?aFvx^V*VpO!5GA+%)0w>hC3 zCo3FB2{z#%D`_BvIE@oJ`U8Z^4n-r$YF0=%Yi`Dk6HGmHK;4rNjRk!BrwiBPPebTW&^Uy1$1{sDlpDw zJ|gQ>4t6;nPLG>A=K>C%%Df`GQ?i?i$>?m;g@%luhOi9kkVWh+G~*RsxMO$4R}rwc z2-Bp(WpJngZ5>bGCzMY}VHvFPh%RQ@Hjev**PXy2?Ja<@~!-dYL4O$V;kU^+<9 z=wVHV0F#|WuZ`H_8Od?eNRDH#sP%uHO^2&79X{dPe(Wp0z{Rv?)>v4krj3wTaY!K+m{}8N4JVZoa_Ji(fQ1S}@6n>PO$MTv zHbTI)S&)yFsF$NGIg05@h_rDn^1LHbBTr2pYlOWtxP$xy8)B8cbd40#Mu;2)Xb>dq zHsjQ$kd^?5{GI}x6<#(?n%q&5D)Q$+ftTyfA&W%C)#i}Zp@Wn_NZC2=nTrzmGJ|6d zjaw$94n~OyQTshN4Sy#<9VcNlG@H+bDc5Karh?!&U6_{d{Zw1yEKF}sn9h4eXJL9h z-5S2>(|`8+zu#ezYJrVx-T{q_)DMRo=ww!9n-_RH=E1@^Ms@PmI8HV%!b}qELcPgl zYj{SRw_gKcDTqt}E!7$3R${by_sdSpx*<`Rwbhb28EszVePc#qJYh|$AI?XNHt!y} zU${m%?0TlX=MkgLi_8gBB^Sbe2}QVS93D8-{c&9z z)if@Ja7^eFMK^iiO|BmF#}ySl>pJ|YdS}@cU@n023rC7Iynx}IEOX{K90puU(*{R4 zQu@==jdIw0f48*9LvRIX&xb1RnWr4{ewl}z@_s>(TnV!8dAH1aPBqkFd?!}~2=e)& zHv9Zi|IV+UdDzLRibUpozG%~azNpN;D|l2(^rhPrU*_rBOIbQ+?d9=BXwG{@XYJ*B zw3na$Z@=uHf8bc{Yl!EPtAUhiRUs^((WHf=VIrg+Gy@psDoLF%MG?{F1J*O6h|5u# zHcH2G8tP6DrRUPJ_PwvcL2@)BM0k^~09e^e6uK3|-KVB(G(t~;KxN!EBubuUgq{Nd zH-m~>8}TI3=webE)Qb(AaUUE3aI1xi|7iyE~MbAog1;Y=H$O2VU|<&aJRj z8^lfZ#f#oZcUw-B;)>o#VD(T71H1}-g30~THHuoL6^z(fNm~T4HJviGV(i1U8oiMN z@QH$<*rI-RJE9m+QOTbtIJ}ARykD_IW_zUG!(X#N}FAf$h zli+8WVzEORcyWV3F=6gw`bs4G%_t9+H0+6dVU=^p=lE z=_eZ#c#sl(88$$f#WCltWK>m)Q5EdQxC(a5N=B)*x@864WTk<`Rwp;D2!yX>oauk9 zE17>SYZa%pE17?)M<-S?&c4p9lmm6(SVk2n}H2vs8y|!|yKm zGi2ZW5v(H?>)W%gSyHf$)Di1Y+-n(9WKpIJ)xUy21q5k++4uQ7TyONJpqLnng~js; zn+eyC6YwQh2L>Kk-0iwW7oj2DcF`qhNXMlehr{(oe@wSobjcsnaW&=>;)Fe}H$p?Y zVbSBb`;$PB&X;|^{(g<>jp6xq`$eDb9|ZaQeE&VJH@^RVwdfNx1O)l~(F2ROqn}sx z2kFzQyExh-H%}_P^|$WeXy4b}w?EMbW_ark_A2pKca504WX2vg>*clBL`fO0rQIe< zG*RQOa=k zAHVO_XJ5Yi;#a@&xwdil*?X_td+YrR{QuJo{PN$^A?L2Kdu7*b;`TQk^bdYW~a=lol_h;nb~f8`}8I zqRtvuIZ%KezSLdJH1>~17^^MBmgFK^Xzjl*4bDig!&sn7G&7w&!F zRSa_PC4n|!?{08a-@k~*?q9@XJkO?^IISK^XYoP>n&2~r#Qmk-TD_%9K@6JVjx=}N z!0fj|H_05CFilr4c3b#6}|4?3NvN@z`K9`7v9wd=FP99 zQ3p7CH%n%rvJ@dQJjIYGhY}bagdtP5K+T+x?A1nujm4Bvm^({0S)^&=gyg(Ncrc}w ze832SaU&QVrWQ(Ht5N17k~bS6@Z!j{;myF}g2y^dO?A--Yj1NHt_MpI!sWHc&A?Ly zBd;A%{7Nn|Nh> zS00b6BM8gyf`XBx)qw)D<@sri9_pyKPnfJQ7BGUPm zlGzXwRAYr=`V~=V8$Uhrib(pNmtS_OOO+bY%~U6YPULsP6V9cL-uH?G;A?54umAgB z^j*L5>#HrTj!Dxlikp&!C>WGxFbXA$M1Yk;MY}M<;`s!SeGTV$Lny{u31OVj7sfF3 z6Tv77FPl2_UX}Wa!Hs;9@Nz-s=r54~Dk@4TzKbli8WGV-pysgbUKNdx-N+<(24rqWdvd$`-tHT?`yTJTG!4N5Ll7w{k)Ved^JpL4L6DR@jL>TGePjINA7lJ;?m6e$d+mKrch9CSd(E@P{O4TrF`nP}#vm&x zZ+#UUA|>ThVS*LlbKJ2zNMU|LEy`#BVQH1D2%jTp-4F%|AW$VwQdFvs0>_y8xmLRE zS5zv~aj$i)K6s9+7yoo?s>@VW`*-f5c}%)!%F}+T3cDj;EhY6zCdnS9dr8^$C!cCo zucVUdzJtlVs}p#S#GRgYi&`@(o;UV)US#pMn?fp#S+*>A&XjZscaWjAa0ecJ zVs)6=#YcK)nh;2^Y+eGyCK7rEPI%3etMGDTY;*V)1;M;IA%vmrHVts9dof}88%9X) z{FaNK|2Mn_qc3k)t#3$P2_-znG*HLvg5kNv+a!>z7?}DDk78MxPy60Vb zxC^3M2e!9xcA^iVRc_(VqoyJ7J!(NrNp19HQtSH#5zQQ9x+5SXD^^BGrY^T=3zQlR zhz8iFktH2mjam$*T1j08L-M-p1yQYo<_!W+*4sV7j?J1;t)u0G!@3}e*sr~oNv#8h z%d{Ag#qF@yYb$HfoR>}NiK@<3Wd-aJu2+?-qx7t1QdM{P;M1h^AW+@q!%+3a6|R4} zmZEMIbWqeYRq6SuN`s;f?=>{DKsEH+U9S4~C+TmZndiW7rcZe8`DX-SQ=uKS#e%)5 z8ek+g=MDwip&t5<6f#i0Ds>bSBvm+U5U_O!l{8jzLCK-Y`bco^KmjO%YYsD~ zS#v>p2)5#Gj~Gz|v2?qljg0-9w|9trzns(S(`>mYTn0%~_nt>U3uBe6-K zO$SOf{9p(qD79>R6%!W>VM$$1FOPvzUh||hN7xH}A6vlhnrGE+VqZMrr&kTj@^0{w zvGg(Qj|Ltwr`*|+tX65{7SVN?5iI6XozL_7gFpLAAN5YJUMu3uJgTF9V{k+=%}($GwAns!?eAXWzdYzJJhZ6vKo%Wi^$!@p_RO|ZCV$fO^Eb!k?l zT{l5EXiA`j2d`vO%2#kBY1T~;91=|85X_2M+|7#gyi5?RUOM;_Jus}WrynDpmq{M+ zV>OG9e2Q9iKel*YCJ9*T)pNqd^D@bSLE)qJ-|hX?xKlBjE|{S^#ll-I#F8gwg6*%0 z^`}hKOvBoj51wdobM2pOMf>aD^nIdK$Z}iQV}DT@Z-F*5Su>4xKqfUtTvK?}rYo1V z+jOz<_DJ8y7O1bQO=pV`-lp34G9mLU>65bFh=ri&qp%RO5uahGrEe+aNF=p#H|dja z{da!zhkmc~H|hIgEuFeWQvW3ZW9&c1d`dx1m9I+r!~~C^L_&y6Pk)Ub4aN{I=tdlo zgic(5Ao49RkV(*uIHnmuK!`~WK)|*ux)BFpa)Vk7O)l&xS(4L=Zp0zD+-QF)j6H}G zv!EMs4pLZy--#d6q-qJ2Z}LZ=@<`s+d_PrxhD>xWkxi9gfJ((@hA!- zXf$k3Y3Gmq{S%a@ggNEO9#Nj1FlWN@qMN5J-=~{@&nN!iS3T9bmu-#+)dwxQ!Obe= z5;Zo9tVo$){|V&-Ag3bR|3mnY8q+G^kXI~D0z?h3d;x8DXX|q z2(wKWRMD&e2EGmp_vKksS@pO0##MU`?57}~DA83!5mh)7gr*A483;n}ET1w}(Udo3 zM340;n*Zm6f9XSYCn={dH|WY})dD>hZtbf74g|h}Y`Wp))_MxOa|{0y?@w z^BIx4-g>e`yx2r`F$fF2;Y;S)Qea2*XoudSNjPdymTJ=rNN=?K z=S!yc7~Ihk`ED^`uDL)dMg}Q8lLH!|=W9Ny9;^4I>M69Y1`XD)5LIhk9lmmDU9}8X znN{QwBL_F=`tQ)(2~kUkoCUR&BWH##b$=Q8;ust_e5Vo9+~#mqw4sC-9X~eL>zd8$ zmhZ}2bE-a_(a$sb`2z#}eDUB<9ly@Q9(`Y0yB^wJF+035GSRO4BvwothYOy}b$Hz| zlGG-#qDQG+G1_$iWfY5YzS#$Bk~c{1yPbxb+g`~kD;XOMN$6zLqg_YXgG9DiR?IMK zes#`$@8L_RBs$Gn$&~xHd9l024!Ny-C9_?(fw8OzcGiq{2XRs1EQiC=k<7QpCdMM_ z%udp%ub{>}a+^=;WYyh_(R^xyRL6Tzcf)#H%hm}YQw-Ls*VE^c$iGoy5Vt!gzX7dH zG~+-nXN-@$?bT=Lk^w>5lHY}`_OWP?nwpWC=7IEuTp$1XfBIdo^ww3ys+wvp$W;%y zFoL8sah9(vBUKtNQ1{ZYG>08XB1NINAm9DE;+C|Oz-oXVv?QuskT=T&x62(BDL@^| zRZ%=HC>bg1`B-c?`GQ>nAlqpUEg2~j#FOk*Th{>4}W z+F=ss?Xlo##s98U%26{9B)sO<)iY{lM04SdKU-O{yaRLi7AVOdH`XH6btAW^wQn

1d1qur~g|1weu_)mp>|S*c~g0RlArfJ!2K zOM>Df6hVwpA|bdyAUm@zW7P`o32A;H2p07A(5Y&*+=3>Zf=nVehmKV%ko>SWkt^<) zzYXU&YAD?VE`m9{$@EMyl@GyoZ6>UQCf2<$$b;Qy>TDhR+b84yMya_t?x|5S3Z?lc zU>rJkaXf@6jOLI@mL0_i-R$6;FOrc)W3Gnsdn$((kJW>a`OgQWk?um9v% zevKo=t}ul|a0>~@is2fBTVzu>agg*NI3k+DL2N`cg@e$DWD0epBdzes$Ou{vu_J21 z;*dg+(uHr%j1=Q>^^lJM3^60c9*r@)TiZA_g?Bx@&d!4Oe)7{_{kcBxPSI)Rp6eD` znRF~I-1DBEC+egsxIyMg{P>kjn1@GOzCz-OI;jdC0d5fY980T@?1FU9O%MnOrm~Qr zLY}M@q)sxy3S9ulWH-? z{ph$`q5Xu>PB_nahYt83qCuuad0`vLc#G;(Z2$_6oukKlv|Ai>`ZuN|6`prH`bn{t z*%%FuyiY>63j!}q!A+EUx;r>uJn#tysxLjM3tPF!g>RP%RgXHgeNE#9hjAzuOoV|n zR47Ug6Lwgfmg!TXxv)RR9o{K-49*t{q|VR;B`F@~@PlP$bHKgm4Ch)y5{e>^^>qAF zts!9(Of=U;E{6$v)975L8kzFGoR~+Wky*WA^R9P~IlgE{D?CwS$Yo^_gEc}cYMWn) zjxX+yQe2}N!jc7UQ8ARTMllC;K%<)&nFCTb8JDZ=Yh^c4at3kcg!Hsxa(ss%&e8Ej z>PI;*&?8LbG7C!T;Nu*nPGku!aEnSoiQ~r$~Eip{~M)-b5ZSZT1+7>g}b`8O7P$auz)&3L*xYf{0P%0;~E73^dgu5CFTopm#K>^Yo zMC&>qn|n`=OpwzK$EyGI@>GR~O{mk;72Y(rx07+2s_>>Q-)2jw@V@=qe)@xc*puF> zIa{w9+{Wx&g&l!ArhNnEl0@Dhy5D=kcX7Ry=?|}|3r4ScizZa55ToS_emLJ}7ffDT z^?^5wwZ8Z+n4GrbMti~zfaa%xu6C50@Lmgp)-rdwQSchia1TiaG(bVbrU3ftU!@bd6zh* zd{yF@D!5-0U`)yknCnJR%(_W#L4zpiDl}jfIzV4CwHR&pYi1LLu!9|#3DljT$VieE zc(XV@Su#SA2S*Bt|60#;aHdeaKf^PH;td*@DKy!s2qwO%iKum+o~%;&6LEFAQ-9(r zIwn^+CsSmyyE7cJ%|o+K%aI{O#Ov)L8-4TOV+i|l01)tNdp++fH}k0gg0lOm{>RjC zZrbv#?PNIjQxE_6tDYDwlAs+^b3^+jaJo78N<|X1Z3z7mN)~LgOi@}4=Rk)}CLmTS zz#rcT#&8bp?<7lNkpv*&MlgnRg;yWYTrkbGA!iKdLXl*LibX!h7|tD6$gE35g#th; z7{fX64JfTXR2$o0)2~k99Hz{b9{rvRnTjNc=GKD7MqP^Cym`$O&h6Ps%Ww{jCG8K- z;T+hXa4jV#)~O?j-mf9zcFACpl9;8`9zEV4lrxZsW6axEqKP-?uv;trZtt4u4uM}# zf6-=DkZ|S1U~uK#we#GPxPeDMNYo#%(x@$=5>`d-j03ve&G5;;UtRj>(et`T-)LAp zh8d5tRhndUuiIcF(Bt$+t%lRKzd%VL5{fKP4C01!YLey(VJ>uN${I?)X^a}h3#=*_ z0OrIv&oav{MV)+<;{M`N`2I3S&5zG2%pvX1H#_d1O#roeih9xQo1*R!#(il9c8j7Z z$C?DhCf?sWi(02zjq62Rv>N~4H~f>|^C@2S@VC4_E_bcszIV_=q_N``_w{eT(BOcS$T?#??UO|kDAu7zxVDR zFH$}qZU_7)&!>w;46h<=RDy%Tn@tHPv0+(0d2elo4fO{Yu5dg)8F7DZ`@7nyUq}Kg z?$75S?$7P8Kh77tw6$Q$`bAPbVzJ`>S@no_SrwUHoT@pn2}OKe%@O83-A281Z23Na z^GDzKe|`UFc=@QZY&zJFv3=x3e1F5=cjxH2OvhW7-z&pI_N&JgK7>JOnHXo z1_W_X2uKgGe2{`;c^(Rx<#umWn(IBOjgz3*922Zenrj@q5tJvS2s-KIMu>>hit;Gf zik0(n1Mo+)Vu(SIw}*qo%Y&2cwkJm_698?$Je?&)~hDU zqt!CHD;%NnA&yg&e|p%LzIQ$3pW2oEo4!w!4*H9a1Xx^Px#TaXKaf_*Z!|Q*utsXz z+3@5i@1>LE&)y3(M9P^X2Y!+YY27ubG=SWL3KRDvsGzR9f*Nm7Vep2A-971+ccn$M zA2cFg(GX8dMd5TER^H@OW9B($e|I_iX}74g19Q&)JURPMd*wI1@!?p`e!m1cs+dS% z6I&A*ZRuqC5cGv!%?griDJWDW$pb)aCwHA}=@5rUw52d(S5tUITZ#$C2s!B2_b_-w zTe=-2@QAh)`iUcWG-s(Z!^xrnHR?n`j=+1zT+>meWBR%b!6=foMecD;=h zWYT>wlN~eNLX+lNe6;J5vk7_f@JdS)Ix;$zCnr^Q*qo4|U@hLV?x(r{6PBk96^HU1j&OoM2IhU9dJuFL&Q5tVWcodv6o!&*b&UC zcR|M=@y>ePIdr&BoTp-e5pwp;p`+@pjEp44ZUdvJdMhI%(m$PH1X1-mJ``2ZHr|mq zxae1=^b3*{h|+wLqsmV;&k!S0P-p!tij6|MTJy;_m;I?-Q>XT~SyVRdJNw%w+mlo+Ozs1mzt`Z=`KO!FU+2GOCCXe}z# zMedqqB{tv|W+k>`0vXO;53gHNVuQ49(SJOwZYIP&?V}0hI>c$Y0SjYxu%ajCL!2t3n`@Hji4A6+qbKImo}*mx07#11@4rR z)s6Gbk25#+ebQaS@Si-b_L_d)oUfkq)gKsi*T43)Z~oW^W2V}3AtPffKypoXGo(0R z(_6zDO|?VEw=0-ALUG)tM^kwP7cN7ECzt}F-J4E0XNh~Iu{;Lk|o=^A{<*; zDQv|84aJH;S+$sTmM5y9o+DNSnMB)pz@XR!kE;c1E$qGCW6o1PG%alvTnKSG1=oeb zw0u>%xlC}2Rt81OBNfng9!OAZl2B(l2I{!(PPtF0e)udl<{q8#%~?uNY-?^&@P&5+ zAmiqUBxwFUQW-W$W+n3VQ3pQV3D#%`b>KKIl+Bda#N*-^K!@@g+9jIcGzQSS zI^Ai}9q$-X2R_|tVS`ir&@tCtaa>zAnl*=x0d&Q20e1?lz(4?93wFwBk|OP#>#hYm zFstO4eAKX3TUtM;J$wM#*77M1`*`@^(zs6^IQt1cUJ9R7uW5boFd0{DhPky^Fa_7# zeq@7~0A=&X73{7{b08~D(4rNLy@ zc}$U(uc@gEP+yWAlz_htr(Ne?6jDMLU>#C^f-P_~xwv)R=k&5ZrZ)meV<`^PBA?)y8NtxLmPh)#r3%#RXzxCz<&w8jt zt@+Z1O4NFHfk!;-fKJKOIRSxohuCVc20LYRWY^n^b*f}RAnz~lSnXWcEH2iu(RIDQ z*kFBc9)m#IU$(u~VT1FHofGTh#U^!5Kp^j*J120#;?I$6nRia;)v{)=*F3pN$uw-C zXSF8Nu!(D3H?hkCu`pDo_( zm|S&x`+Vl)aq9;B^v*G^+O;~|+?6i(y+Kd=xy$HY<}j0vJ^fc=kT$542`{4FERm&<}e zaY1_KRdBh~neRvVJeoapK`L1j1PGdL6f#*`;aLj5dXjshsho3-0-`_8|I`WJmg#xb z3Gw_FNvKWO{x*KNY}$ABw@=3ZjZ&yTnFmt-LJ`;A!+H8a;#~k8VzQsI?e$)zE!Fq6GTnmh;joI#`3tz3GLUvF6R8v zstuY|5!{y{WBy~Pf^0>n-C1L5Xp>Cm17?EGy0gao)kaXBHIsDanVVCisxB%-X4DW> zv8e8w)LmT^+QX>bG|+PG*0rVqOV|8KD!_*BRLJXiE>}J|KtDGUwrjvdSkT?!nHhm8H*c+ ze*;!lTyf*6Yf zml}js%5jd|pbLsOZ-Pr?R>kISx3rK+P`r5)JnlIrg2ovmxEn$7=8IsNJ5Fvd{G3LR zrqnJE3fOQ5up;vDrSII&QB0{xf^Y@3aLp5OeoZ<_@#wme-~!Z~Xjqf!43mU2Lf3$h zg^h(V`U`N)rF?FZdkk`u+%3kQV+ut)`e=BfHn*?0kTz3oqviX1CbX$Q2P>zmB57Ja zIPTo-u75J?Y=8Y5|D+4;ZNsHd9ikR{)$K1(b8~0)X?%CL!*X7p z=__=x=i(Zxk+Mqtc|NLYd$~rIr)V@vRH$F|im&|pKi;y8nnG1uhj(|krQZ*}I1VnB zH?e$`ViS1lkW;=ms7X~AE=YxHE24tJnQh2=LdUd%9-AP*T(UtMg8Suyb9bPEd_|8< zAh8mqnq=X`QlQ^Ldt-lMxtfGn&XhtU)>T*S~DKN z1$a11CMytF@O98>$M+$Oe8}mYE#=7_dGY6fVLIvq#fS;sD5R$9=4rR6)y*^B_B?po z-|}C4*>8Nob8l*g&AyqZSt|PQ+A&NgdzvNvZ_YSqj>I9}BMH^IxiMfU&N#eW;3Hbk zIRy-h4GFx}y>Abl0*2jz**praODBCfec0d}Fwk2T!6gT7CZ|0H3}BtY_Y}mW12wI_ zVhk8S!~{-P3gSn%AxH`s&>%}_1R=b}Fyc$5fB}g_5{Iw^jUf){ET>!HYT?v2Ixe=_ zOMoJxNmM%-{rd7RUCXIo7zDyi^6&`E#=ur98&9H7#{Z3y!+kIfDXV(;p)js<&M?3g zLEvTuQzK+bUdacLXC4Dri|f}O1E(rsw!DvTr%O>iBe!U_ywjZ{U;-vMN1Ccz{+X|M z*AIEBPTe50yu~S!q*fsqmpRfYYGbO-1aU7(ZO9OGRVaANS0z1s0vJF8~WVu`+@dl#?*x_JgiFOe7y<){REATl4^{N+OMHqE01{Ji! zh4jLIOb8PYkZowow^-2tgf1wS*M9Z^EQDh9cOVv48yzGGZK{$04VOT9S6q`I$yA+5 z;`f5k5I-DoNlEGj$#{1Fog^F-lHd#L96bj9wI^o3l9?FkhD+|nj*i=d)5Dtk1xItl zt2yc+s6S9R6)!VFLBDffLAR$T0i!AB=jtew4>3{KFN@G!Wp`0l&!aCD=*~gkr{XYJ zZO>AF_P59W3wL6482D_4u(riof_(8L(qYABy2Aldiqi%a3e7{>}sNKNBh|FQz5h1s^2o{6FDu@lN_7!=D?a+fq0O{eGv1zhF~OEwOk_CEbbt2DAk&zFdRQ%mNuI3LRbw_uSkLLXQU-kLJ5&W6%b*I@`Q|R`0R_w3E=%TYLc6%CcNwJsZM`Dsr!gi`+pSFA( z@=&pV+CP2bEg$2FGWEhtu~(lA!$nIW2vd1PBe+Kx9m2s0L(v}$?mC9GH7ina*rC0& z)H8}GyX$MsR&AkbT3`Lbbd}~Os4~bA3{ltbL)&&3XSQI1f)QeFpBjh z`R2DVjGLpAbbu9=07M$-(@#(C`ry1Kq3^akIWVvZ2!MEp&|?S=0{-?T?`d;A<1GS@ zGs%*9l|Wk8O6GgC;M{fwHE`(~NtwQ3=ZV%b9YYT@*tzloST#xHM-{`pUu_DQ!>^|_ zbC@K?!;sI9BJE2oH{Vzq~hO7!T?XJ z%C-j$Xvf0K5e~R#7eP4SNWWIwpoOt^@M7cs49!E7)-))gNeH&kkH1F$({O_q^|hbB zi~$Ur)V-m4iGH!Fi|^gXR^W_pH*smHF;1rGD=*1y*~V$h_u0mO_K`pR$=~u}wYyvM zie?*i3m0p>U^fMdNC-VzL>I5M2_E*KEfGPC-OQzr7Ij916qQ9!wTbt~R)p12vka2d zV#&%^NRH7qn&4r>epx;j$Yg1V;)2w?CI|pGQD+c5EIVK_z6ezi{W20wpntaXwEK$G zyCzr@RDfv|BkF>wh=df8YJJqDN*om=X&Y@#U_v;wl@%qeafRDN< zH#MlU;{rev`Q?D&w*@(|jdpZ$?ntH)H?(sf#WtEG>@uo_JWu4u)PV@+8E?>b!wDvk z#Nb+T;6SAW$#{p#G@WZFM}M4$@|qJccco+q z@D_0*IxWq}B+p`K$mTjc$rFQm>8>EM0(Fc=Yvo|@LShb(h!4#HqKmA}YQ`Kb{2C4w zL$VMWs}&SQRx+wMF`7+Ka-g7=vx={O9o-fC)!Y3Vv+70A;zU13Dyu4psp&X0S6rc} zM?-9algw+ig!O|Ov?ejxHLT@dPKwLq7|s8S7MP!#uj^?=xjq^HH%bm8Q}9A#9&?Sk zkaNKJ;9xx^T@j3tLRZ2L(C?|xBVJVAAzH5vvgOnP!R-_=DICQU<|7f0FONvA48ekd zG&P(*tSQdVIVw8t0@~|?^%X>v8)LmZW<5FV6t?;FFseMKBg(gvVw~;c-Py-!w`jJH zw}VXir2p;T{I9@VJfSSz?Vto9^Pp`*AgwEzC> z#99G3;g;~yC<(W&EvUp=!39!f%muMjE}X!*{tzB8c!!v#XE;b&W zlCb3MqZ=Obi~SO00`h*LKeTN=65C#WAUNTKKA2SnFcmaw##+I}VX-Et3cm=T>h@KP zwStSo?#NmJ*4%(jpBYfi({p?bu2N9dvO3x1IvEzzEqndQd{RN-SaV=*R%( zUo`3f%R>r2x#1TF0_DXK^19B8gMYObhaXFiBtM#S+&dR`nH z@47F}5S?9{w?S%TFz>>2e)O%8s97gEGYBMCRkq!?M0tRz>FS%K8i8jpxT+Ay`*S<& zkDPu?RIyxG#Wa*nfAiiFA4!aK5GAzoB7|$Cp63 zUcZgd--Y9EFE%OB2Z6l5#K(32wM4;nQ;S(O)BrgicD7B>*#&)h?>@HhaMrvXHo?qS zH?hh3?3{Y8!CfbWg76-SOzTB^i)CP3>cHE3wC)Al=7?+Nb@*C zJKZ_PRlCk~c7-FWbH)6wFqY}wy}`S}U1mDFg;4PcIUiv+?iV+BjbTX#}+qUkLYxA5`S zCDQOi$K2il)intq-~*OcIujiAFRGt>1+-h7S@o37!^n<#Q{rD$oYl zv+rsv@#jR<7D*MRl(DGUCN59WxIA^hr@!S#-uT-cj-W9}%O{8FB#d3?^GRVI-lGaq zS$OcU#H+t#&nBFk-JUcnNzh{lIGbp^k!-{G44DNg=Uj1QhRkubL23nlCAvlzh+ER3 zJ-EX;0)Px<0f%$5;kg7#zjZ`5J*|A?HOL}Kbl3Z|DYnF_62X~Ko7Ae!PsFEe)?b#w; z!+FXt0=ln}^w17RUmUbhgG&JLMwFbSdPRk)dYuBkR!NKB$VguPr4y*>zn_Z5ZZ)&4 z(ih21jN^3w%$#RR8P5&=_f*Y=%pmOZg0P-2KUI0p zMUcBIf=s(btpS`t0_OntA2otQ;61tn3`gB1$5mgXBR-Fi-AJvI)LB%wd>r?2!VVu!a)&3eK z#xO~QS^_)(eQ$9$Y|T;QtoGB}UUj>m!!aXPw^s#-cg37o4^V=g@8i5vJK2xxYi)9~ zsBBJ=@%=`lB}E&A2t=6gLWZsr!OXlEF~h5u$8v!8v3actu;pPr)$mTR>k>6hTfQ|K zjGF%Xue@{l(yer^mKv?4FBHUWnG?>!F1eU}Cl?blC#YLdkhz#8f}MysQV_ST=wrls zT3jV6t}U+X(v^=2_7Ez{hkh*)4mF3S!m~qf(by_QHYo4XkmJ8v6rM{0lg(%ZFsnlF z7bID$Fv&Vd4E_GyVNQ&iOtMZABZ+_(ufm#Audoi=I!bK2D$wj8nG#!dlo(WWzD8$L zw^iH82UWr`9HMGdWooezt6f33L`1r08q#F^L`4_h_JF1~{IReIetMh#IgI3Qh@Fc4 zGD!HGgqxDUWv}~7KFm)?(_5~6r9Sw6l(YK$Z~n^v?u*8>?_fGQzTboC==gd&con2* zt;$H+w}Mxp0$cEE%v)FR>JEJ(t*(sPw}MxJGAR8(u7f09X({sxUe)mn0c!gC*JbT% z;8i4|+H=g>x1v`o4YeOzQHSN=d;FE`)(*T0ZK*(W#CDpCT_LRj4j5UZbee*>DTjb- z4{QT-Rv3H7@s(LJ-ku{efRX_0N{(t@YR#%yRsXq%8it4ENFL$aBQQv)K~ml~#=5K5`s|yJS(> zE%kR23P)(ST_12-6O0B8xY!mx6uUl?^qT4)Z}S#yKpmi8lnB1Ur*d(#C@<@`8+Roe zT~iMhRn&E5e7|uO)>JSNfubZCJSs6V!K3Q=%{HoWu3#sYjw(JQMC|_nmwO9^|wXL@7S!NxBvA$@gl90yBOdq9eK`RJl z18&rs%n^A-x{xMVI8SisJt3Z1kSeSS>N#RX0K8j4sxT8gt`?yBW%2Jw6_r=yvsndo z95Vz#65LiZslrTfi;-Zc;W7`oXQZFYiF{m55?z|?DaVpvJ!~&zQ}z_>E7rth5ls@8 zMW_~I*^}REzLXDHdvrd&cJxXU2tX^RB8KxERiL8(I@nYFSI>Rww!=eoyA8`-eaR;k zdQ{=K9R0GtFlmvv{e?$3PyRS9q8Es|Yi9+lctj2W>-9-30{HE`D3bERjA-jVkMLdZ z`GkM{bw2syfc)e3DO0Dq1*pIppaxN=NWQ7QC|?y6i3y?`q);(nXiqChb!vj38b|C( z1&ze0>HuGn>a+?vJvlmXLdDBZsjs!TfTuf27=vM%VIKb7^+@lN9y4Z?)87c?sf-V^QT{QSZzz&ZnS>IW zRY=vgs7FD&uJ$>ziTl5MQYM57Z9Jh% z#8h*y2ccs9&P%AL0S0rm+i zsGMnmEO8HOHVuIZf_?kOq}f3!HK6VAO{tYpso8(>t^Ys!XZ!2l_@}C&OsM>Wwb^#N z)34oPdv$mOtCvC^g@*;b)Mu`j&h2IWDUa>Qt9@U!i&GCl6wg0{!7!7-e#?HsU z3c1$<90a7^I8vkumttIn9yP6cJ;w9tNoJ&ldq9oah&zV8J513M))k`b+xR$zy&zJ; zOla1q5W{yQSu8DnEB$SHPS6iE%O*&TI#&g5DKvF8@1OKE`vzX=sq78Gu#b$vzCTYMn5b4ko8m?VtW|yU z;43-@F&38q$F;MBF}|5n0Qg>yNMB{}EkNL6lvhzN8b3aG5Qa{(Q007bo75v>Kf zDPTfXmHU#C!L&6?Pa0{ltf3-EMi1Sm60SM6IsTqer&g6yA+XYoWk~>*zYkaL(yGEL zV1kEj($7_ve2Pl9>g+~Fk9ca5Z_3JkpmF`#azY5kKf1bn9)mi84ghI`ySj9JPw^Fz z{K`E6rvj>O+7lq86@LDte^0*p2%EdKPQfIbvp9-7Vgf!iEmv8{iAB zDjr>#_?sJ@@4C@>>=w1*#9WCyPowj1{@Z`=+y0Q_T-1LtJ8oV-icBQ=K%}(+%}En! zMW=sDmJ|^@U_4YK7=8ZzmNw_aN+b|Cv{L3{HN#tW`w14$Fj!Lv+;sm>81n7hr>=bw*QP>1FYAOd;8R2Lz%@E=zk%kc=VO z9<7Fw{l+El)&gnA?f2k9b7~F4yh9JJ&VAjw78XNBp*q zWD3cS3sU0pA}z{Lw{UbiT6ImM%sd{D;%KhBG`b>3R@B$5q^e*8 z?T*cf_Xun2+&kPOaNNhcem!reIxFhkG@+woWC%m*kqaG1~%kI$KAL{gLT=3;&CwJR_`;(G_UkIXa^{CfB~ ze5q^l?=?>fMX9|@_pt@Mdi95JEhSpIqv3DCEoUM0$Nt>R zJ7kqUpK}bQsCKA)Rf-BG2s9?Srl3KV-Fd&XLsig=np@%?=jdaOk?>PHC7v|NTCT;Ak*5EARBzVsO?8h)i2Ope&J`>h z`em<<H9>9kY9tIpdP?GEPo08kog|2 z9uUHea0a#sIp9D~A^AgtOzOF215n~blyOu+pUHMmK#c;2r9G+P2095LoBv>fHBRX{ z#|6Vf@A);pr$Ha;Y%qP)VQp}AkzuLvA^13u^Ef%bRvz;ah1DsQ%<;XMpA_!8IR#4&(6Wrr}JTzjTj-Z4eMX7->K)nFTdIG)atpz^{Q9 z49KtczWI`3m&+y;EgSo2>vyOsW&D7x)iv2TD8>`Sh3nCpBTSL;T__z)wV7!9i@POgr*i!+cHje& zfEv|CdT{AU5hG=i+bwGgeD2r0Z;fPlo-ejSu)!2T?d!4Ig`hb(`qv+dxsE4L#NB|!EF^RWBeA?mgX#;8$43YynHZJw10d+gY z0|9kv#2TC8f%A6l)8y;F(O1A_$_9pV`7KZdx)Tvfgh%%?qjP8gZ7r2Cd|zg zDcs-4ZLtHT)I}Y^P`jhw>itRCf<7kTx2m#^J9WJ+tfmKU+2 zFPcUuu>hazPp^6U0rYxnP|gdG%&R+A0$~ZZVFH))&3{1qtOV(o~t? z{;&Ya9#>p4RZdgog5rOfB$!<|364uHJJ+YFvm@oqHIWS}&R=(}d!?gK zGise?{puB|E9G7K(Jhkid_)vd(c%#4E`2@v?l1W#l`bjfvhlolXu7;1O3T&>1bcpr z;+@&c(f&muWiGV8-xk`F{*=bfj%KDtwD;9jXlepACEg|hs6apWW4`;B-aD39Mi50R z5e#sF@2^_S^PytoN{V&A+>^Qpf)cS&mAIgoKNGZ)DNsWNeCdKTP-P2a5fBkj<$wyQ zs5=*oiRFWmMd8qx`lk-J3&zAUSgMGNg=#|WPJMV6jEQAH^mV`l!yDpeG4>7=;z4QQKZkqF7cW4ud(i zjG^WW)%1>WOR5y0J+0j>mb;rSv}EF0%hr0der~iLqu%!VN?|%0bv}_HkJYI>BVxPL z%5$6P6l6{~;Sppq!Nw7p&4yj#>7WPrNZwOya`?fL+VaGkB=EzgTpmk6k^KDz*yWHm z>Wz6iwdvDBssV0C+feF=+p?n3c zOJ!<;+vSb}8<3J+VKBNx3@#`o6yus1!ejE@*ouR2Oevt)ibH5jshB@ z_jfo5-@U4sM|MVqp3W}!f8bjIswW`)-HNk0bGqBTQwSQP!VW{kl-xxRO^yaC)Ut(i z$`WM-D1klZaklMe?w!IXa7G1Vu<{k%JLNfo(VQ!yiJ1dNLrVT!YNhHIbG_sr{QucM z+h702KdG+igIM31_Ao7Ce`iL8)4Jp&Z&_=&5|&gD;eBl0C8NR&6TCZ^V9_%p%DvUT zbAIJK`IW!+T_68RuRdRuWvFRCv;`hoFe^4-dN)m-w2>9ANG~D8 zD$8K~B34-j%NMcAQjlL)R4*73)RR0z^+JrC+P5al5x(g!ExUaTqVEgEig|>_X^4JF zz=ga9z06=tuQ@_vS|+^XhR??I?u_ZQTQnQfr{Da{#bOeH_tL}+P}W29%{mt$3;*wb z@*Q9O(T=%S&&Kj=7L#HAF(W8ozBZ^ArU)vIazV~#hj>s0k-}*NV>n2jO<)_=PhfW6 zKF4G^9K`%4LVro?(hV?EIEWxnpiclxut_pRdCCrLKc@Bt;Lshm7B5!d#~3aRsP{5B zwXA`VmB!RotdS@%`UN!Lf}vOeg|^xVg2uL`3yhX^)7FL_hrcCM$lJOqx7fS`&BdySZ z1PX-Qj=l%TQ0@_aSfN6K{!6ZCE0~{_3KDYLpL=r%M+; zmBB{$>f;1!T(V}9XVjgK7!s5$ctjdQ)Hg<`U8JVrxDPger=b%oK4!6EB2IRV%U9?v zOcfWvKoV3M0(pONsq6miy^cdKfJ{dvG*oOxdav0|jhwdkZXZvBn&X1uaP@SwzEmqd z*rawmxf`)O(<3qL>={iryO@Y^-nkjmZqe)+O}|B_ct+p&5g+j<{xh#Us+($_kwwR) z4IV(r4O^6`D9Tp_#$kehxD!$U!QHk)ClJ#NVfZ}9;^h@%n&Gg-bYEGqvbOl4MFSS3 z;%<#G!E`#n{KnxGR9^ZO&M~GLj>iKylc3iAO6F?b4)Q;8Zo9sTLgQ2^*vj=UKgS&yKo8#F&&YVUaQNZ zVs-@k238@dh&;!_0qh&;z)r>^D(0I11a`Ueq zRq+e^PgUy)0C-nu{;8@@u39#3xlO4DH8-~@jRlSJj@O_`ZMbjpeXZH$!Ut-Pb;}1z z#9rtCy)HdyS^n|Ur+?%}f5-DbI;Ky(YiYCWsL7~Ly=!T=DJej^uvwoDYUrp>y%`CN zkXc(~eTqIDRaHJH*$*A{>7dS!`gBn7MtwS{c#}T$${UJqCAlY#aPW)e@|a)T;|PPb%pBo!@#a6aiv6Qs`IEo&`yJ}1=h@9*SUc*N zVy{4n9*;U|jzN7L6~~~yjv0(WeH|6Mx1+95E^1uwsMu>eDw;w%iHW4xYddO>GoKXu zpf{fs`>+X+Y_DZ7D)^$$1VqI?Yy#r#88!jw{tTLcbc3!o0jL7SJ9O9pt1sci0tKPw z4vBIruM<+*j5j3kST`B7(ICOhN-zfv@I)k9o1(kjv;^Y^z1)^=+3VRB-K)^(mJJOx z8iUttGBOF-7eja2Ul1A!G%+ZBDak~oO(PMFhSX?$2biUknpU9Y6I%|g&M8{{rX?J( z>1Hd3j7Vx461v^^gGC3pl@vsjZ_4E{D?>J7X^rFj0P@KQb&z?UWYewRfFf z7Zk21)~P@oHjaO+CwKBV<~NP)f6f||1sId2)P>he)pa9PPQ>1YD4y! zlH1Zt<4YzR18yEt;2=+pLESk|4UL>^%n=RtB8ed`9gUo94En5uEr*l`t#wUV@+}D6 zq#+bB4(RG*5o5CM7KK;Y0+*$-UH-FFp72bIwY^eV_Gxg`lGD`}}j4Z^d#?zbMdpY(04E3t#xM7R2Q{ zg8=5Q6sJ)4>%j-|6sN!$6h-)P|Hr%k>Uc?Js05zu%upRVdI6~<)0(d61r+*H*v-wh zQx}O|0N90#fkjECT`_tA)xPc)B*%>w?)9&WUI3W)i+Me+Fli(UMlWEvuALzHgLUmx zk{PUPM=#(eb#0n4F=}c~&smb`7-%=1{z-*S1-O}sw!gk!-=}_49W!@xN#?Z7;armW z!l%Ea*oL>f^is74EOCGPAN|xH{8%r^C=jemA+x8857yZgI$Rq*6Y5jlZAqdzlC&YM zyAZ}X2}jWt`xJA5)TbthOrR!b4r3HDHtu(nLIwaJByqr`vKH>MbZF{RJ2X@|YQ`dn zFueIO)Tbu6!`NV{9Un0y6Z$l*NPTL8d(XLg< zb@D91b_cDW4lYrZNp6oD5=f9_ho=!GM-ZuKkhD z)e7}0)o82oZ=Z}FZIBdZ)}@|bBgFZXD$JlRz0Zm@fOXe|2#>M0(7TQL>)wQ%mLP!2 zt5Ox;z2pcF@oaw9tN!`hU+@l4U8q%$yTyT13&1? zXi|^H*>yNzs8O>b-Oc*)uShV(LxY#5Jv7}-69l2}LNxOMnIE03P`)bAOcTV!DDn?e zj{^SK96G8;%%A7@M1Vavn}(1oHmyY7EKqU{t4Gj#;Usx%P%<>fmZX!NWx3=~dY~80 zlA}sbb?uGMkFTCp)U$uH^ zEHJ@TLX1bE`NNQv)~dz8_JB~-DP``1C#9vkS>j2fGTTDP_(>2p2Cju&@hB; z7!fTmNVv5r0{%Hi_$E(21}4WfO&JX;2TnDD(efVAmLQdF_!Fc;N-M^|1f1H%mZKvQ z1*9aH0}~lyL(9t$rIn0<$q`pjia5yQu(33+N!4jK`f%7|3L}3Hu4L;;G~AXuaO7#Q z!;ad#W^{}Y>}YEzMjF7MiIOMV{Te~2wJy}wy5X>1-Hw&|@-Llni_t--Yd0Z^?t2^5 zOR{p~1z4G<{EczXT<&=s%01Ie%p-_<{=hH%i=X}xk9)K%)dIR4SKBiUAg0+JRn@~& z!`Hi%y`BhZ_GO2sCa2j4xcgI@eTce0rP+s=`(v8D0&t;=I>h}c&2BWwTQuZO>wExW zsx+6ED}vxG72Cb@VY??=acbm9#dgQaq7VT+9VACJWz}A)uFjce=hC_=5KHwqLt3(^ z%)9A)h$?bUv!5|F^jLR3%u&_dMO8(y%~91ODW8A+=e_GE|GWdWi~j*Dy#T9j+ca2R|v^BNWe+UYw(0 z)%Wt>o=AA`AStcT9zaJ05Srv9KS|>*?I&%+ktb>v2GU zcO%W2gy)A}rk?MMaGdn}noajC?W%9Do6qn}FC}EjwXX3`@c3p*jLNuL2qH_$-H| z!V*UG7eNO3&?ziMa!e{+Ko%6nH(xP^rQk3pD;-%tR)urT1yfk6RAx9dvVN+^Trh>D zYt$5FMa;)*&r!@*+3$%1kQ8DT*t(yWoTM7P+*M~)FGY=+POR}?SGP@9fkpdG;~{GT zP1%eGuV>UJSfzoa9!Rate5|K6hHBcv3vBc-Yk>`MBNd)K%J;Fg3U7#rz{&Y*cQU!nR&&i;c9&ZWQfC^lwj~Egh=ZS+ey@L zTd-|v@5*Mm%!eM;TcS;DHnDCr{d}SY^qX;Hz!cr0Yn7Tn*|?=_*MQc-!-$ZfQU~J2 zTBa(wyrrQ^j<8@Exdvf5z+DkK`y_72J1`orRxEpbPVLBFp&|!ax8p8Pt`nfKrm!~%BVH3^q zfhMU{V)fhI6ciH`k?Fuwb=I8Rnv+`}7`-9C>Fd(b6%wwQr{WGz`;7uSj6B+Z+Jy&4-S;lgbCpB6llD zHE2JEm34^@&?Q;MZ2OOinG@(Sg(&ZU%T}k~1*sTq#XT?uxI8k#h-l(;c~WuAhY)JWaU{&;t|2+taGXOSA}dO@mO=$$;~I_sgp#h zx&eo4PBT>o5X9+lWF)o_DMi&iNzJUcR~98;AWq=8k;-qHs;Y(l`N}2E!(Q8N#$7&^%F?uXc=?B*)-ElH^K#ldo;f z^xSZw@MEY_|J@(^)9-wTXRGy%zPfn?{kzbV3H#_O70n|+uxghHx=4_sNrJ9YZ(}_B z;EZ(lM`No;&xmwasSq5RknSoK9fgAf(ix)bWxd&ebX66FFWVi-Znj!ANA??CW5}o0 ztJ7VqRwp9mpGtek!#+4@vuN+ieKNXqe=|sr2Agx$XUtXKXEvIFCAfy40=wem%uacP zN4&NhI)!81b$w3ZuiJj(m;S6fg_`NLh}u%Zo{b)xOt2zgQVMezq9bmH=Z?iv6f!#J zTx}E-KKk$0 zdP+GuN_WiHQ*yCZS1(A?zLZU%S+=l0{6UM6$pnx)N@^dVl@9T)qR%Aixu^N0oxtu=NeM_p3UdC1wT?zS4Gxy(S;>?Vo=j%^(|3RQrQ$JW1^zE7={` z?ph<3)qW+r!?*{{s@NmgQ0)y<{6w{n2I_&)HSzY4UP9W=*a6X+@2vLEFuJBy=0RsW zGllB#o1_`^FsG7J=w3TZAnalSq4ob=XZL*?*W+!SRO+5VtwGUewKnr~r#iD-9xu$w zh`vym6|JvM2uN3Sf0At{IwCQ(WlmzPydx4{G1P~=s6_+n9nNU zZ{5O^B!miZ(m`fX;27nr0{*Uo&>Z5;qs_C77jQx94HLxoEEHkI^^WuyaME3n-n#))Q2&y>Ho?={gp z`|{`aqJc?_oku${Fd0O-#&qEz$~DK02KWaZmJ(9c%(zVk^(UZ! zbT7n>VXs~3+iLxjK2O8{jncztpgC?lZSu$9d?%(;kxe|^XDF}B>@$RR=cnKH){Dv( z{`A`dk@yt0@Uss;pLUC8pW$|fpMU&c_$Ocdb0_4Q*BuV@n9U3`Aq}eKHZl7QE42Jc zEpm_YT&_$-pJA{`F!~Gt38NUs6}QXI#FXeW>@X5ctT9TACD-8Rn0$uS)@qUD@yh2I zeFo%9ir|8i+OuHt8Bnid!BiR)$y$~Qrpag6f?EwghmtFBtTmEMN|cjEgAai#T4E%% zXkIhjo%Kc;>UWDhG6{`jygh}lO)=G-HRJs`t`C}O;7{wcGsYWK98d9rPMrm;4#Wp! ziE&O#)S4j2o8MZA5jDl|@=Nj=1>4%%%|U2LoSuv=nP%NONsHUhM(@7yTR!I1Kr`3i|} z>J<~*A23CP(G>!nqE}pyir)kQH)h710Nq6?pqb#Ltf&VKE$mjlVMtIvSTx}gL)iPV zfiGOGTaFj0xewk~YR(g*7Haw0uIS(cBuo>lxrDA+J{5^tBrkArciPN|^IZn82B0x$ zZX77`Nzu=n5ycs(YDzDTm%Ie|ak}Ox&*mJuJiejJv|BWXF1Hi9{G$)~`EU4#j_=mo zv!y#rCYrcAg8ESXgym5SR=(=gQ$gV`f(IG0LTfJ-TC#4%g56<{xsf7>;fU&)yC5x? z9U81p2dM$V*)F~ME=UVzf?MqzL1AyT=oDU4J#~TB5~=H0&`p3cRxo_Wc4H$oal)qk1)9HlBu2wS{G#-JHi#v#Idb8$GxGMg`MTMpRG0y2v?7ml4)aq= z)p%lKgWOY_R*9(^oSah3;}2lrO#&vSYQX4N(kf`YZ0l7`d8b@Vgr?n@!s-5is!=pJ zW+OIbs8PKvTC@k_EjmuWykU8V>QNWk4$o~-p}wXa`R3BfJAP`HyJr)99}m?i=Vr6$ zYKxCdN84ZM>Q?p6ut2`y!PWpzo@|YzU|mq@i0y5S+r4f~a$Fd?Pb`$oUPV1c@fqOdl8~wIJgw#*`rZ57dfK zJK4cyX_JgpQS}@*D{`8FtY8Jg+UC%7Fia4HR>XQBZMjAQvk?>r!z2Mo;RG8JC1BKB zD;Wt~V6DM>AP1L8m?;%1_z_zZ(Jr7myh7Bi?V9oK;3n!M7dRTY`Z2va*%=U{S&eD^ zpa$AUxbO@6Pfhe0;vZHE_ttGmOC zRmgQAyxLvE?3Z_A#HL)k0yAy-)?_iB`zx>htnYm31g=TuUvkJGH>be7yn7W~RBaRz z<2i3$U7I%X5GckA-n+V9bq1)!c&@gtf{BY$p`f39#o*ZC1uC7Z+vC1q-CZzL-;j!5 zld6mCmEGAbF`nBUSREHZ&U4R)PVrnJ%V+s{NB)L%k|~}m77mgdAnudoO;uynk){v% z_x(&K&RML-A&WK5lkRA}IoO$loevDQ$|wJ$fA+8bkXv6h<1IVT;KXQmr{RJPO^o(n z2ZEJG2=Nozy%LR(yFXywIs0g7P&{zB3;Z>N3GJah*dgf;YFvzpj>vqrf*$NZaD5=v zKLkN*iC`!Fm=Nrsw!VVN7fF=3TJ|KdzIp>d+IvK5RwOZn6LqG%CbOw7iGkK4iSvc+ z&yw-(++*#c~Y1!JoV$7m0NZ7f-Qwvd}1Wr20GhfOg-4y z7Gvtc&bAa&zn{-<=(Co=AoiKc0b>@w;^#hFmlAH{D!CB_yvB53!V%U(x3F@U-XU-^ZH-E@pP0=PoGsZo{sYAnc9|& z=Ms5Vmdp@$mRX|ZRW0>Dv)hB6TPYzu)VUQC(u18_F(Hj=-qo#BF(F+6Mw~{`>6{{A zuKgMZ81;2d1qEm=``7B6s%Eo(u%J}~z*U$CS4wvMVQXW1b(QylPKFs(c}`R%Omle0 zQKLh)9~JCcqXXuW#phEQc*{HC8c{T^sjM4Myqvat8=#sPyTL;(!GVpNG`Of_!z5>ts&nJi;2w|j70F;55FVTXG|vV`RTsP?glCz5N- zcpj+H4e~%HN{wM6$nY>j%^pDe%UWU|5oEkQ?8%iJB_tan$kQr>szsX#ccz&2%fhgw z8!@3sg|4CzP7#8wzOfFvLqF>l7mWWk8ecZ!_x#)^0pII>sHqG7FO$SZ;^M#Z=MS$2VGh@ExNpO|A4&!5?X zcznqo)Z;5@vv11famYIwWDPMPEV<#u7F1>Z_Wgh*_a}bf+uk`rH6P(piXz2G@uZe`q%zG70%%avAo;DmN`c=T2=MF>prs+v2Xk*u1J93xs(a|T6}i8-dk z_j|Ct%UL4VrHw*P~%3`d|VxL)B;Daw~Q%KHG|7Ql|ATQOLwFzsHB=PMNFG;5CXK?F{$R| zh9LmFW&~5&Ae}*xi6T(-(?j9vYC=9u(JZ>wolH@-v|0NXT{(Cd6FhdFqCD&lEAG+V zNjkd~k9!}+y3R=!cT#-enw1aVz8|=gpZTZX@a6x~D~F|wi*TDiTDQ=#U8mP#f=-L> zr#o2%LCTGomt~4BaSHtv6WrIKv^~TrbSF&^t%ZQYzy;c`wn!o{HZEV`9I3OaAQ}|J zO9XLB=NzfCOb}yZ0fgm>Xe)1<1*o%35Fs>2=#YwK-kJIOJ7Dm%ThuzqBQ&1|0ztETu>oS+JO?zway35vYQD(9+Pgz!)aX^P?l+f^-B-kOM-b zu6jHgf29l35imiNZ`cKiMzF&YEZKQQIs#SD>Z-8W9G036O-G;#g0fAboWo`X7Pl-Y zj(|z-;H;=5vO(>I6i2`$x3KTx2prJgq%K4G3YT2+N+yZ9c&v30=!}tv?a|}iDclxp zfy0e#CFAWupAn(Xz`X2N1Td$cE^Te~=m*k@;^+rZb9a7Gyg`^ZNQQNsC!3SnHPap1 z9hlJL9il%HkA9LH;x0+1(@Bw_ZnTbw{Oe_>)X>I;DrVzW%T}S-)`d@v5p^$&_oyfT zaIXNb#y4soxw+FTiP@on(bbWgJT@}%xb6f5vpprsA3?fTwpS&TR( z`A`1KUECkl*2MQCEDEuBASsF(-f^B%q`BkBzeIB<4483$$z0U^+h$a`Jhk{h_MYo7 zWR~}4c1Rvyp1WzcXm&`Z!_H5EWqr!Ge(_)ay`D`~@6a5Q;wwH;uu(`j>@XclEJXRL zI3y;xuS~5NJlJw0q|C$+KS9b&4AB#$%)}5oLCj3tgq(nCKg82bZC$>pBK%(Mq$(~WD{Dbiqoso&C&2`%Lz56p$YcgTN%o^NVgY?{xDWM8U2%w3OXDMYaSOY<7G((g!Sk;F`Z%Fk#)Q* z86M+{EfW0FB)i>O7+w}(I2xYg3$UT;BubDI0_aRHIY@>F`Qo@cFwrhR-!4Z*c#m2nke>QjMtkBzJwkCA1|2waP%Go z+8yw2R(rkPU7#TD`>)p*>s{KPZ&N&=yj{Nk;bOgy`)@DS$$MDy_s0G6?_cA5k$0=- zzusT06MYi|^8VT1zy*sx2N5%*YXGfRt8U`kjkzD&d-w6}2Ho+3v}Q4O6BOLN``D_& zn4HW_6Xs?<&_-^H9Vj^(Z+h<8XLB2jgRj~3QeU1b*ad0#%MJ9^;OwNchIT;yPupzn zb&k<(tI=Jho5pr!m(4wCY^sNBnx2NbZLV&J8fe7fiAsUQkNCpn3opNL`P>`j_gvN% zqbg~ajk~#^{1Ev8lkW;WyD4|2U#^~T-=*a)Y>X=Rgd2!kC*2k9TH2j>Z@Gb8-`DR7 zz2?91(LcZZA}P{d$kk~1|JXyW9GnfP zIlxKj6m2n9y6sd7zJ{e1P%U39JL9dQ*9>GraY~Ns4q7UD&9&_cu2Y%KZQe`v1_6Gu zfms;t(t(J^CMh47g-X39K*E|qS%!gG>t(k^E8QLI`s$;VPAtr|?W>npI(UPiO0j`i zeZ11~1`Y5^PxJ9|WD)&4cz5armcQoSX#yjr{>#6Za~AXey28fdg~zTJ%(SBY1wL#Z zG{WoO;`X45E#2{`|ph^3@>_GcsHDHgHMMV`Z zyWGn(Z?+=Z$Ke_w{$uNn`bd6`u^NC@Ni+jO5V_aR5IXuFwqiXr=5d}n;7#pZNyXK) znf_}`g_xy`S+;8@;Mz2ZCqd3wI)J0cf^#i=2XnZ%NWF9z$CAQ#$+m@P7#>d|{XqI8 zMepJfW%(HHa;jR4-r1R*qIabO_UuF3?ABOs&c{j7`$+De>7E$9bB05T-qpN?O@v4WempX;F6fGe1c^sBDpL@oijANv8mzd$To&pN z%*WKYDm-*kDslEBzeQnNx9eixLSBJuUk|OnZI5i zoT<0By!4WL>T{TMcVSY|*M9MPZxM5&kKz`E+^&9vHL9LrI@4}uSo67$H<#5O=M~*L!kSkYR!`rT8%G>^ z529#E(;ip!HPSh{ZN#B5nnrw$J!Z?bp`4pW2!gFr9EkmrO=@{X_g--5I`n3_0Fpcl z2JeNxrUZRx(kdxpzmVc6mrW#_E$ZtzxS+VJWDWaZ^V^#v>;NX|M+dL9Lg(%1qqbfR zaDregi}5ditrG5D!6`ghlPz$kCVs2IU@`FU79}`bAU8VCvN_E$QH)3RGc9@c9L4WU}O@P`WgQVwX51yQC0HfIt)_mU?I2RNF`o{Jgt-z$`0+6)4Vlw*xs%drBK|G1AfOyER90qiwm4W*_jDGQtksVA_`CmnWJ10}8^LnZ+cAY;V$kv7H@alc1|;yeIJXr*f(Y$M~}BBjK#yOz2>Tm9=Ausk>?oMo}G?SSHc|x zPaAlI9o>lVuf4TaPZk8pQ|qhh+1yEr*}RAzuVS8)ds@0ec)LAapeb$hDpwo3N&wg*4E@+D#WsDH&16!0VQ%*Vh4X6(s z7>7z#1jAh$K{rezL!R7kh^2}3zF^(eWYM>AzX2;A(ETjM4)B$IE>4mO>v_q|K(8se*g_PjCmvbw`kjp$aOa z3y=&z3usZ>4^5R}f`tKvt#NBCoexbfzy!g1LdXL;&X${lrucnDW)Dmd#RoO7K$Y(_ zgmyt@531mCiRuGaM4P83E?iKu2PO&Z`$nMzNbsu{5G0vBsFEuTZ5E5YfpKqsj#9tK ztwPJoT0Ad89`?-@njXKS%h4iuw#BKzesCEmQy^ib|JoW?#C&?jgNKHeeu$3-lYUS+ zRIo^m{_$~IU*(i~!W0=#F;E&jDJ6EtW!H*;{l&8?-cz|37if0`o&q2lGM=`NmSkP3 zq)ZyXlK?mk+5FS}l@?KUUdC{uT^$ixN13r>QpoDH~5j zzVJbfKAiyI!w#V9IR!a_jz8Suus^yNVSYllB%h;jKxrU!Cz9Qf5xIiyL%z0Qe?~=d ze{P5UgJnql!u)lPdhW*zU8yF_P{+lKU zkZs=|-L7#t#W~d=0KKO;c-_Re8;pKfZ_v7nj!%5M+56@O-vR`M?Dm4+b~ocvo3!%e zMnq;0tI_B*30mPx8#b%l(UXlq5J>`p{q-p zl`lonp18T@`=K(@8o%VyRo`zV&D3ed6 ztd{H&T{|_%gPA22sRp^4;~tk(MGd0r)v3#^`L}%qCwDge(~X=|XkGj-jjNcs98SZv zieu}>M!eTxPOeMlr*W98>I5ZpBk*WIk2F!zi?3EldfTf}NoU#xJ#yd5Gf%N1H z?N?I@JdzYsQ8gpCXihQRPKxRG|GuAm^XGf}p5BZr{SgdZY7B%Hn#?v9ieK3Jo`g^| zcF`Xg=`!CKUMILX{q!-&}@t*MXJuG&TD>`p=``6Ff)w+nW-#5jDiSrq$1 z(^5aE#mZw&qwR5vRCkGAKfVTUZr)45^do8Bo2E-UxQZ0@h~23?EM_99f6WCxQB^bS z`J`7p@#C-but!_A4F^{mxKCgyS?)+=Si?JzawH|nVkiQ$%PF=H1VF9L(#24u4t<5a-nD`;6e%ro$ju*Q zws|^q3`NSJk)i{xtWD;{P^5tBAqab(qo7ek=>|?gUux{MY$i5FZQ?kgs>+=T`@S`E zXvvOZ4r`$ptz&a2a_&$hkZOgjIr_+iWyEabggAosZDJfTo5JaO_Uyo~X_4e?3a8(q zQ{dk}@RP6jhIfx;<-GWJHsIF~o-3NdK|EJ9g@bv%XbQdl)&stZrqIi8J=m*QCG_fB z2YLk<4KnVghFDJodWHcj$jLOcEss9|H$mTV4|eK7a=Apw?4*=nw8Lsj3j?NqVHmDb z9lKoDX&^2Wqf|BJ24Z>GXPP~V^iQ{Dl3P=3CK@JLCLcTY05#1KuCBIG3uItvwv=L! z9ctM0_WaYpG!iCoHq70$8HME5qj`)UR;9i6#aGtOWKDC{+M!$hPmV85Qs5 zrpH<%)ce4-Vba&>i0f%uy<>PM*!3Ic2mj%R{x@%b=|dgq3Sb*3;_&)OVPg6 zK>YwYKsHYkU}X{%1jY9+f@nHnS1Z9r$ldE}s$W4+e1BUJnKA(n?)T`gYy?H&*@|cv zMMe!l!fH|Gsr#Wt;n|9CCA3GNOgAfw=t)KN)L6rKqlfm;;84L&u3 zqVVh-7i-RdBqZq2IY(7^MRK>s3<&<3Be0W2jpD5)3qFFC3 zHE4*ylXb10Mjr@44{=6fMbozJUufSsKX}Ic;C+}HP<~xQ`|4AEY6ft!_MNnR>$0;t z^Uwdrd*Ah*;BLx7t@hpRkx>z)3Z<#ZdRGNe`(lLo)k-zJ-a|??YF`e7zf!3t?K`AY zllC1_s!{u*(o&|pf@!e*)lvJRJc6>b=qkzOWc?@ZrrsZz@gbcm?q-o3(5a*Pk6Ny( zJNclh$Pm)k(tWMxs5Cym-?GM(3}`xE0mGN+<2H8jUca-&>?EX!H zy7notJgE$QMIq9fM+oJMF71+bjULYtLL3vRy12FjqMOzF4A>4>zP*Cq z$~yts1Y5NC#C}*?xszvo@UXTUJh<$7!)UC<_onFW?^SBvzUSXQ8UHs*3>gI^ufsz> zGRc%KK)LlcpA`7I4XH_*hlD^7`*r?*{_skY|ebW}}~ z+L~*i>=<*=n`)tR(t&Dg?og9g^xB@oJDQ_YxmU>*+nKoL0o1UqWKc^NJHYVJ(F;dO zhJrO~(n+gpf@4nnpDiflT9h67HeC|Qz*1m0aUEnVr#>j=nlJ&U5nF9JnAUS7h^%qhs1ZlAPwJq50N4wfZ7AeZA^f z)yc2*eXxJ8eL?;rPi*P671|dWyW5M5HsJ1y%zwPa7kQxBx6?a|Ue46}+39`7D9~MK z7G<++s-I}Trq@qo+v^V;EgEOha@1)86^x7)Wpiq57G57X0W{Q4bm*raU$*`DXqLsB z<@Fcz(w@{TiwlOgs@6}?8k>7((SV9@WT5vI=NoDIH)xhk!(BFf8AJU>w*7!xoNsIv zMic86C*%i&K-yon!~Uy_?K1xU!*)b?e5i1;kt=$x1bO$vtaMz+wHb)zUIko(1rKzW2*|I_m`U{%uVWIFLZ6GaO-Ar zg=^Do2dl2#R5doLg2t<3nD(pW>Vkb;S4>vao-N$~_@Z-+t9Gp|TikUX4g35v7u~zU z7^Qpn2JZ@YnMcDev?Gv@71R1(@FD;8Z+QD?l4}P)N9uNPQrawzr1fj=3Vp=P{~rOA zMA0y1nnHMtV%?f6LJ(+*oWptj>vEFIAex}og=3OdOi3=_O{D4KxL%O0Hwnfh7iKW= z&{8lg^LRXW6_Z@-t1mzf%(xf-#RX%M>wu92lGaD;iIGHfiCi!xxxl%Mb7PVVJt^(4 zNhlK3nmHMPl5kv`pOff=;9xQX$;8=??qK@iT2w7}2Swz)G>5>%Gzd|I0rB>1_vC=& znnw&|YS)bS2d=W#KG2t6NRsWhPjm9Bi)S&L(Y9EWHmNV1j-PsL7O#<^MZzF{75`H) zbKJ_-^C~M_Hr1eP=$s>usQgo0m7o2azE6}uBxXtrc2)2TwvF^$3uh5{N^l33jXTl7 zpJ41z@}I`=Cm2f<$yQhoNcLaCqhS9vT&fOJhh1iMS_PF&6Vd-+%IuUeNiCd5E>Cqh zaJ8=0gHaw|w=Sv4+Wms29X$CRx6&W?YyQulSp6l(zB`vHy*%9_X*mc}s91MP45brY zRK7xHjjGxNeLM{I(AdxgsS8YSi}qRx4R^~0=fOd{xgb@62_n7*JvJrLRRhwX` z5;NEY$fQ}3s@eoA2Rg8KOO1*B(7fAK5F9ds&f5cWr>fcosj5w|WEhatlc{X^$B3#n z$=!aX+8-$iHW8$s9r#}1dLqb{s>u#beC-8F<6%%MQYQV~1{@w~&B@AAREw&nYir6z zJx$SLRWp_kZIJ^Q75V0JcWRNtPo`f(;^`Jm$$2l$Fi*v-L=7pSfCr4w0YC(Lgh+^C ziqKk>D%&0*K_ey&MN~(M&?J2oH6$apus<|njLY2O==RN|etbQ%X3a>22l!r0n@3q+s(uvjaDQy=d}bm@1i1#qrJY4#AY z#*ho`?Mgy<=ppEcAy)`yb|6vDAxaFn_8WvK8uhe2Y#CQTRxgds(7dl%XbU?K%1{ZH zO%APN$b}sE0#U5kT$3ENxim;>)jT*6bLC&03daxTyJVKtpl)v#W=t{R9Ybp&NckHL zt&G)nyQ79T8rmi^*g=m%c_ddJ0x6X!+ioa3hWf-g**7-SIA3H0DsLhU^(mp0xOw5{ z$mQ`+L;|hTP3dDBiv0Uu^Bv#$Gaia4#?#OM1@Q?ezSMsZVItSlg3={xWMIb%#TWhv z$)D&~1r1PF+-<1B)=%t_>QJA&d<8*KVYXsLjV>{RL0|g1qNuPU2+F^LcmjH~=+`YJH(yazSdj!* z25A(z$W)lMoqa5vD~N6*9sY4xih2>Y=j@`_7TgBrmAv;hhU)212WY&Yn8 zZUn{Z+max7)>;vMeg}?}3A&EUohBd`B2ztBi+KxJ=H^S>%l^xnU?Yulq$8a307+M;}+0FNy)gNr-eE0?|>>f z0|kKipj=R1c0n)y#BqNc4C`$KseSFx+v7$nD~ApGO&USoL=%KsBab5E9)!}3pqGD; zUd^$tk$$@`dkgV>uS*0Eox2DTn#!;HR$7h%XdUp z6SV*xv%Y|p5hE;fV6%aXW*rCwHsDL`hCqm5IF!}IEDXp|fXSzYSuYC0t9(^bsV2As zo00TnAW=wqvf7RGKQ^SGV67U?@`Fr(~8loV{9Mc60nz`XKd|%H0uZpIo^3~0?~9lI(#k!KHyzivB=ly1kMs{G5dEv`-fz3q$et_XwHxzlmZ zjtDvWW%dHh>bHOApz5_ikic$-%AHI&i-;Ba@S$=gc@jsAoF}L%Z;ppc)4YJGLDjV7 zTMNdZ>eu|bFMZEvcu=L$S)0<@t(VZH5{IlxtW?6d5>%Bfpk-0Em%I{o$f*$3z9x8B zZjMk`K)@{)rR?M@$_=sPN=+AGhI-q*7E@ZDEm{NSo3b!EYReAoiJi?3X|!9qD+qW|3JUC4_msyGUl*Eo$w+9Lby~lG!f5?;riB zw-V{iXl>~uEDsGM^(W1e+C1Uluzw9=6Z_xTF)%^d+w z=3b&R_7VXpA6|PP<#BZnC{rv!35|Z_W)oP()!`bz`SKo|>R?O_lBO-+8b=06Uw!dC z&%Av!Nb>1e8_+&vU6@oyExuP+U^z8tSLQ&fJ{XFoVvyujdeF_VuNDBhti%v5dP2EW_jeP}iRDIB zD-~qbl&Zp-!n|s{G8{xVVjhVL=zg8vOcg#=!$y@|&#uwkQ)GM>N)N|ibLY_+JCCHd z2w0gdrqn$Jp!mHBI*b)}Qw^u8$TTUi(pp)Q~V3`Mjw2Ic_lP}cvM4>>anfZ zn3_>jR@D=NRF6%t5iqY-R!R;*Mlvo4P6kuq1gdq{$XL|{Z5A=L-eJHFL-p7M7p$?1 zdIXt5w<6V}3qsSQk5W__rXqHNq8^*1PF9A}1BS3(T4+pXPdD=ws5p$vbBUa$gvLoS-OX2f1lMYB!6b_OWWCOGJ74X00C>pl zyxf3*JWI~MNkRJPR<{w&;%_=YQ%%^TYfnJdo0N2vmSN=EJZu_wrn721BRA;@_Q{@% zh`@u>R2Sfv$St>IA36uAfUFzXf}&-Y>_LUSQgU_~=^#1025nfO#W%B8f{lnx4#B<% zfzq-p+kun-D=N4+()ka(chd1fo0`tAG^%la$l5b|J5b!1PA&<)uw#vL5*ful! z<$6gr&wKz4Mm-=SJ;1gJw$Hf!HRwVMHwpym@!XEj54KIPeWv60Wb;hthd?=Aw$t&r z-u(N6Ec7h)Pkdqda+FD>wOs4B)i$vg)S!)h5_>`Q>6`C(JE%XKfqU?fM4&E=^se%P zwrnq0NAa=R${_trn_C4%m+A4Dw&>ej*7Fn z-y@`w$%#Sx1xY8VrcfE}9i!rpFV<}BAnq1Ch{cQ0%0j!wQ>2o~gL&>Gsbq3soZtke zbBt4xI@r&hXjpnq$unFXb99_fzc@MWhZis0-J9y%G}q>t@V@<_?_ZHpxS+4@9(@&- zS12XjiGQe0qnp}dOvfn|r7B>DhvqJc+^pSi)v`Bl`LT4v5B%A$`t#oxg;UL2c)G!| z7@*2MGE{-DjNq#8G^2WJg3fSzKa7VvTM1|s>?eanFx8t2Zr6z5EOUD7 z)3!AmyA+mUrW=NR1tlB12S+f}IwV0C*>_2nmObN(gye#wSn1*0g)uVDzfS6ETz9|D z6De0sf^=Pbl0DEpS-aX_-aS%o)gTn3N$)CwF=rh;X-(dG($-$#OkYS+(35|)e(*ek z6hy=+f)akl6v4@ML{N&JY7wL)RU$CCbnLxw{rbyqy!`6*Be4e>7&UHvtL3%ZPpD3s z2Vc2Po;4a2Q$Z63ueV4Soa^1-TtD(}e8F4>@OTBd~R$Z?VD}v%fQ=ehnidBa})m}INqxuz#Rd1zJI1If* zQLQ=%NYtt?E#n-g?yUMsDNBy)VOwFb>VS`WH5Tj#y{#@a)-9B#oE@{P20lgpbsBmV zfLG2-PxgP(s;f0N@-v#tWVJmP908NsO6$jKDPfP9qFj&`86%L?WxNH?wv63=j>(=Q zqpMc4?s~L;MF4ea#J`yJ8oiC@$6C(P5@miXP2qAF176I!BadFae)Wx4uU~!%C?)w) zbbb*2n$Sr}M!t0BCG}fw4$$x?N(oGT3pG9WC*lFmI&^CBkze-G&rSvF)|U-yJz>^S zR3vLAqk4`~$%dl_>&Fdgbs%^E?w~iB*{nm1GY;q|tceRwrl^Nb83zDqReRSXoAqez zA8{0lS#KTtn8?tdx|sFWvAE7AwBEzTt-&nE=IUDK~v>X8RH0fGK7WxWiPHWHb#qx zDYGjcPRi`+fQ10lVDwwb1PchS%4hHka=jSc6NjTR@l?kzU|hm7Pm<#(yMm>HK*0jZ zcFJV4Or16fjY^qpOg-Wqdv3Ro-QzbzDL2*yFXry)nY(#E(Iu08KZM@j`RJ?u(vOF^ zi(n~|rI;uPPB|gV1_w5W_mn|+5mcctig*%sk@NpBHnbCrn2HbjVIee0;5Ng2`nqC- zyezdY$kWYfeLh@NuW^CuYh<#iSr$qxv|l>OKnO<23qc>cu>)8JcCmbz2zl|T@o9vN zV7GSuYhQ78+}8|?yixNrR>*am!`Bf*b7FT|*bJQ+Ih`gX8zdYEx$E5-$>6vcGkASu zmm<82!F%%!p537rgZJ!Cu$cD~T@2p+7`$))>BHClMBq*J$LIlBk>i~pTa6s=WP7aT zc+noq39%*F83vDJ>nG@2!{C8)^b{*=7(A?aitscH-V)aP1m9`S@dkUv|tYPy;Q>-Vfs@l*G5Q0-gdL{CbYHN-(>3QYIv1bMX zzO}T?$W-lmK#9#{DtQVuWr%k^;ptGX?-L?h&N-9z0Fo-9F58Aq_ZiWdO|d0i*0d>K zPwVDDoQyqACQl#zP4Tl{pHo!8Xu+>a6iF-~q)fTqD~2L32>mcdcq2LB^(^=4=qC zY8}&G-cINgW-T<}8`X3*QKo;(Wuc`1#(*N>+sC`C6WbYMx4fGpqZd;FA}2zJ3&Q?9 z6(~i|q{8@wrfk_QhmGD+gKIs`PC=zo~VM zVYJ+ONvK4bnabwOBrB1ysQjlvWxWLc4>)CDn_Pbbu(3!)?T?zqB{ zOcMhMJ4t{@Sy4=>FL_uUO%nCC`mrYyaLsnLH)>o1b;%uWMs;8AqiBbP?NgyKL#oSo z4a&C8NV4%{`CpuK>>VYCl_$hft&8GfP4Cc}g85Uz2YqNk^@(rS8^_Tajyl_|!Uem@ zC;WK=8%xL9#nwJOTRZP3y4c$LNz{GW_kY??eaBQ~${HO|aN2+>Rg8&xNGuOU*orjM z_Q>vRR;HNbqSXn(T$uvvHTxV{aN1(@U=@r+9qUL-8s*J%7&ydcjIv^ctrX;VC9b$# z+Njw$b%d?FMzoNNAUX|n;HnGGw|8o#I@;?NwzW5IZaM?wfA-gIw`}Se#!wY%`MlT^ z!q>Zb$iz6?xQ&Azb{luR%ET?eQY_($1XGIm5jlg^<;V`y;aOe+D9nQb{$;Zgt&a7g zudZ)kkPK8lo_9t*pLk_)e4lXxm+V;?H(YOKh9TIa5VatMR?jc4Svx;d8R^q7Lz|*S z7@6a(6J9qVz%ST}+CVsm<`WQn6>}1p*IvH<{1;z%={>Om~{ClTaauqk> zWLzxR{aCOc{|6uRw$)U$xL@vT``TeaZfl5H--HxY?m0rRGtyrmyH$UnQE*6 zHB1Mlw*y0lY&DYF#ZanAxL>J>Z|0g|gvhKjUo^IQ&DS-#zRG zqAk~P5o+K6-f)bnj3NN*Q`xyP0=l}6A8 zu3c+IbBo8uHI+@W|qFhB-2EQItNF8`V^_eOp&s zgxUBa@nf$y0JzqlMnXuJJSy=L8$QS|)Jf7nxFn!M*2uBkfmODXq~&!e|>Y+-ilKcMs-xNi_i3YC~(1WV(H?4yzRhakBCaQrrIQ>Ha0@Er7R_T&;{M!PlIB z6A9@pzjJl7hBp!TY-ip?ko22~Z@ZfaC-R$!Z=cFd^rE{~ecc)^Rv*uc2a`RfE*>91 zUcfYa7UV@Ly5Zsw@=_8QLZX&@I@t~v2P1zg(=ObW;^Ixr1O_uMas=u80Lc`lSqM}Y zOX7!?fDlAcx*T|Dg?JVpr(kD$;@zGa7sS4VpoEJmRIusuchs;-r5o2zrrgq91A%h= zvK<$^?wNMiXEN>LdVwf!E-IOJO9E(3OOUT$!m9TDt!h3;(>)Ecq~BKC#9q+&?!o=Z#&QSLQg7h0OMwgkF<7D!x@N&MtU+=phVH~n zJ3uSYEXw0;j-KD70;}I@{tESf>2xUC`VLzA5*=vrrciB_#=o}=9q6C@$p3lqcOxYT za!zPr2axC%>Od=UaS=J$#v|G;D{8smmh>JXxZ7F5Ci3PMmrN)De%3H8_b%HcQwth5lp|RPpD{*S|+HFaoU{s+Z=(D0jCK2z? zLxSpp$;XZWD&DEkn=!;TmH95rVRuOgid<%T*r8le*4&{;WJ7F87rKKdadwln-tgg* z%_CpFKv|w1l*Lrk#7}g|W!_IN^TR*tH-7BoNJfA_SFnGGYFC>9&ou3dVnNs;w!&m~ zNl}70OL9E63#OM=-vxs%6dd5aAQgcN+9)yhUJVI0Y4u$Y+}}R&vQ%HY>_;Tmc6T`(9sw_xP#1ZnkMkh^t7hB}>~Sbdj7x)tMvcHt_e4CInQ0($;PMp|hxtHqA%#yA|6NBBRHiz!eyjY?3l@d!yzHP#&- z);`|(iTyS9#5+A(?e6gKXe3*9nVfuHwoa&CBKagF-;tBSCUSY_n`yh3Cv3o=wBV?&d*}RpFisoCJmsYItC~@T?Y`gpM2p`~kW} zUHK5Cdh``-E+PbxfY%Tv1gRcf5JXGNq5vnc-eOon1uICN)4&S&$vBvg@Ov4J$DF3s zjWsB0E20ZnA%V*^m<{WSlIpV+ck3Oq^vLv}08t_E6;%)INU{pzB#t&0&rdxWZ173f zC;BajlW=upuQ|5By_j>dWK1eKWd#BxP+*S&#IsV8==z|R0}Af0uNs_-0=(cPjH7m# zN$z0sbCs4oBNIAtOlkf6?$`eGJ0{0$gEvR2$s8lydpFgmLx-vQbUVVFioaBRIyCl( z=0I}{bWIg3K3&iQ><0X%*{6%CCA;Nd#q86eq-fp}$^(6iaQ5jyV5jBEBT9^Y=Wp@p zHqhmob;if)K1}D+Nmd?Dn`Uy#_fxoHkqKj^pOUNLKyGGo+^5^GHXMBeN$~IXmnrpE zmsH!!WS4xY`AeTvuIhaC#CQ7F@cwk$sNb*cbv6aO9-Z9O2LP;?_lOI*jqSZ*YzP47;ORVy(hbUfO za#Eo+Z&46Ls(RYQ?a z^L_prd&b^T>Ym1OuX!KCo;>4Yxi74yUIm+^LPY;qfwcr5)?%xarU~;f9CmP?s0p_ue$r<%Rb}qu2v5sONnzU*~;1 z&QDkS)a04BA`pTpy&-WgnNGIoPDdkqcabT*fzJ)b zNqpr3EoV#w5>z)co9s>D`zVeiGfTcK@G+|bvG?wqrqf*2;THw&r2?5tD zxax`dwSbKShn|i{*5w>rIdI@;^-IU2Hdb)e5Rk{q8~bHDTtxv=r%gV-&1C>wCO!9n z%i!Ck_At0iw~2%mv2ACgXY4r$>o!_9qshMz!FYdsv*#lX^5TG8+USiaqufkG?}xt6 zvp$vYtG@bAtgjPhRBwlbHj-;0bcs_l(4gH6^fSfE zT?m_RVR-F6z8KzTcT~c>pNK~6&G3y<;y~X*k@{0VHL>^u!SMdrE1O^Q&cJ1m@v> zZPGJ=l1+`Rhp2HCx29`zQ)jOkYjx?EI~j*Rm0@^xhu)y0at^g<(~_f|1c2V80)6>j zSu@%A-+t1UeC00+ER|~gfrk&zUSaQTw6mE91Ay8V5_y=nEspyEO=_J7OGV>?n6_;w zfh}l`JHg`N@A01m7ofH31&fET9lVGc)@yd~0;Uw#SUmjAhJ(^j0mcM^T7Xx4yk8@t z8Y`?qyQ63t`aKrUP*yqCj8Y1z|_xWq=8GA>ml!qHpcNY);ygZ`h zqf;JE0-(l1pd(pQi*S01k(QGjq^IR(Xe;K@{Asu^WoQrE#PVax@Z-PzfB)9hETjuP zL(0%t3dVI@R)$D4+^plWGPINfIhWSZvsEFLbVnPKyx)M8z6xe#XxSj3;TK+SvL6Jt zqNF?E??V&+hx9@3zGaw%9QAAWWWbsC6!Sf8>w+@`wPnEyq($-Zh7QK2k2C4-XyCn#S=Sx7q?26L`=Jr;vgW!6ti3WKSpIJNwuCJ4SIjlw`|Y+0@lrTAUu2Y_obmBV|NWac+62ToMC#2uwj#iOWt0o&jLs)hy_4i$dpNTr5~@#6RZJ5tp1 z1gD`OlR`lX{HS3!*l={KE@``;?@L9_TYgMM{;|LC3BUCZP0d5W^iI+;AsdfbnSJg& z8V(VwXlEi3dB|XQDRHVu&+l)MT9?j5fcuZz7cDpW->te@sAD+s#~_C)PO=Su5=Gfj#+%>Jq?dh*e> zZ2X%pJjT7Da$bG0t#Z_@0fO#*_peT;m+*4Z<(NEhnRS+2;sJ3im|Bt82;$W#;#dIm zvcu10U4br40Q5j@y2@>!1Pqo+O3xH4+hG;%xEDmf9(Tjv@pkaYwxbHbA$D zW2qgV7C>-rC~nW)guxrLNdLwk`;7nN?a#hgN8u>s`5y6*7o#%om zF_3~3=&1bytla#WLy#)Z1u>?6DRdlQH8f~(2uj(;2>4L6Vc8ceu2!abA=Myfl%Mi8^=*i1~pCPjPsFp{aX6=8-*@BzOE z^EG=x%~YD?algihYD%KeqwalNq?T>`Ds=!1CD+V9mXiyqg|jrJgwg@)R9 zkWjcZ!Slh+=7!s$y~U?bn|x@Si+^D=w7w<3(WWp*%{FKde>ZG=^{;;E_CBU(T~-MhG8W z9&OuYI-m*eFr8Ng;SP6#{E=M{EO;DzfcDVk!aUnpF(*4PA%PsJIQ7W5-|=J=Iu`r< zNltY%R2fcv?2{rn(~;UkK^NG4n39b0xxhepL03}q#fK@$s4aM36u$~+SN}zpWE22x z7&^q%4WJULB-5HX8HEUinL@Ul?uU{k8Fe`FXXKhki)GT9`R6&}N0DR{B&`OJB+KuE z43k7$;H@9@(3WHr3a@tdqmR8zYvx}FRUw^SeLRp%b2JEv2+!tXt+U98xmr(xe+*A6%vK_}usw!>D z*V7CVYAe)^Pb(R9BN>FmBg$m~E{Vitk(ecdSE8bihwY5CgpFY17ix558fn65=&kxC zI=Y+a=okM-|H8L^dL%{F#d6Qc94-I@HzdJAEQ8s5YS3+07ahUqD3*OJ=qb^WQgvZP zp_Qv)RE>_v8^L&M=@uY@YJC?R4+@r+#3Y`%<#CVhO09w)7?TBxxZE4t_WAWn~a zW;#$koU>PWf7TTKu#eOfdys6?02$TCR!|?sm`-4s;C>Zi3dUB&n2LXvjG1gF>jll8 zjVVI0vqn6F4YZE9Mp>yCQwS7eEZcE@$(pClYE1EMU4}rv{Km_#UOy5GtxQ*MiBzL0 znu{?_O~ND=8)pcclMOy+2=upo_$NL0wE+v%yssNm%L1ng<90Z+j1Q^{V!SegIn)CW zg3E`ouw9mFbit@FV%Aq*7Naq3f~~>`#9dzxq%n2D9meg7=>tHgn*~5cYS z@!SVr1WT3*qxmmbbI%sKZcU~c{OtHR+B!FS_WbK0(ynAhF`!J9oVv zDaYz{U|+1nLr&Ktd#f&1I=cb%^O99Y#-o~H#Kdsh5*nn7X(dGxcC!!S$(dAA#1gdT z6V?>52K^}}mKZsopFVLuUVP-1l;yy)f+m6CJ_pSrUQT=*O$d8Tje2ByB`yDVzcQ1f=h4$~itv%>ko71pqq240*MkWP%}Kfg@X0B)f^xo1J9N0UwrV zdr`@Q1!U>$RL=f^P7))Ca(2lR%m^JtpqXQ`G7t*iU1#}$P()Nn;HuBP&sO-VAIFPz zx-W98qC#CWH^tkC$;l6>hd}LTu@H4IU{cK^oyfX~LjaSklQTFNr7irpDELtI@s6TN z>5s&IT_~nHJ?T(EV`d%NWnLM3JyoTB;Rv*c>%MHjyyeGizzg5~JwNv0pQ1Bai6R7CG<$<4kXXE0it&wTWu}X z*hGs`frctBGkEkk_w~o^fy!9FQRwYAi=)DGe*G&NtuJ=qJScjXl2kM4&i_M(G6(oe z^!B$85DTP)He!LaclTfhG@Jgs-}~pP{Y$a!=?zvk^)&Gl^``SuY`dFc+q?hvH@x^A zJwu(70jO64G5|~ghJ_6!m-3Lywn`_}SpQXoO!1BvkpIP=c&F>nr8~G(+MbC@o87__YWmhmw0X<-R)9&g z-}uSj_l>EC$`*oRDtVg5u?Z@G9#WXoG$%odp}~-z_qHQ+UD;e=JvAqH<}X&^|rf3&BE3@SKVF$m!}uF%=?LYlXVGP?j~^gJ zU-dInC_&)rl*|KTBdjiE;bxyVJ(Uj4dDGo`$vIr;ssZp2Rt=$0tXT4LC?Ub5BEgCS z)Z+-joR-_}G1bCSk^|DAoHqp(qR#z9MsffrEO}FKeMoSH`p^IynUX4)q5+%053}8) zCt1vCTw}?bqQgw}rDC2!h-ArPck<49UF=S?xJSZO~H zyLB1kNwXgjQ84H>%dckqtg@9Ht9E=0rYO6+|23;`ZvxS;=STU<-n`yn52hliI1wdL zv~nwdvHB#nEr3ZPCrUFM1Wq9xPFj7j>svGPlB`URv;Y@?ifF(EZKUwcOYV|U;sx9I z!fRp)>9Y9*(7WyBpzC2{IrS}6x+{pOPIn>dF&N@khSxV}Acfv=&MwT=m?gQwi_E zi2@r3jFKQqXs~UUxd2UYd00sq@3;cdlUVi;q^f9w8yH|&akU`MrmRR8zy%xiANuZg z{fg8VE(mNRi?Ps7m}B1y(gkoqaEzcUkRN8ZV0&OWHC+G~JfIpYRly^`k_f4bAYA|# z3{GZXeEL(13*eI5^^(2bI5#L>kW5HR&N9MctA=rR`HR~-HI)4z`}S84yB`g~g7{Mg z@P&c2gC7rq??;atR^-w35J~bFe;i^|V%@QKQ^we_pfZPTd1bYDe6z^D41NP2|(CK8&nEy!j5s^lOXY0TCnE)&v$n z3&^Vl9u;O^l4QD}uMCQYvmX}pKF`dyK7FTRcYmkyPi?)CI~AwC?Vb9f zn}wm@h&GNoAN0a^)**DeuG6mXWev`n7QrD$X z2m<{92tpe|cYU~8XUd87_G(=kg&sP77MmM*zT!!7hKIrIbPBlXy4T2t= z^VZza^EKB^%o0h^PP?vU1(Cd@UVzY;R(F03f|2NR4Y z%n_Pb3D;b(HDQj>yh^lYg3*Mz!N=)NEx}q_(VH+qIoE#}iPcOnHDN9ZEn`fnyBK}a z)ATPAF{R=OaAxVPERysgo8)GRP?An4cwSHTR$_XCf~SvLq*nT~(=qootjLWQ^gs-W;;Bd{H9E z3En2bb*Iabc+ul5!*%#M6UM z%=?LGqRTAZr}Pu?yl>^&$*=gQU-YeC6RpSkWAwfYhkdK3@zi-Cwo6Qb6Uh1UXk(LH zWoX-(Z6T<9OYuU4N5aBYZ|S8L;GUDV9>XJnY8`ka#dpCp8Tdnh@9!}yxnDE;F5BZq z8=nt5a7h%&N$)}J@E92sZN3`!n*r*&f7Er*g~$5A!U>3XDm~^r=qZL3o?BdM8$t@`Ux;?$#K)C4$Q#g*oF&UlEWptyY5oZ_qm|ab=SPm65gtBU0B0G|nx_ zG?xtQ`vT^v``iWE7Ce18w*Q7SOX7@vji?Vqd;`h+^8`^JDyFq6*!FURB0Mr zAJw(!Q~hh7&C@lqrcT0RkT^X6p`N|T**Fge{WbJ>yz_nj8hggxQ7UEiF1lF|>Gww2 z^N?H?w*k1@Lv?P1HaDv`Z}~pDp!WUokNMt@|3#sF72(jMihwI178lOf5*}Lza1h^1 zu^sG~By<%u%7ZYt7u15dUQJNQyC8^hf<3fDvPLclxQO)X9Du~_ksXpWGC>=_$MUE& z9+gu|&d68PX-90v#Mjn+7|H7SifxwZVr^e3h=zvU;|pF{UBC zf$9)6f4N9yOmj)iY*7;Js73P5^q*=d^-@K-xX$5gr)@-b2tazXX$ssaP5G;Sh_m$n zq;!(z=@(1Vdu&#yd&p3wl)u7MigU&|ApcW1!rD#sK@bSU zA|2mBE|4!PV=V5YjG+lDLH?$v7|35Ka(FsxhPGl3%3)OZr3@Xw)$R%581;hwsS?N6 z{gRLQ(&dygJgMWVGCZN<($|PU^@NTq%J7ux%F3`YGos~TfUzse5aa9xi@9BHe289C zT}2rJVX0`ih0m!TSB6ID|9^I!UF|KPv*=;%-zcO>ym>y$5W%u?Ny2RZA` z=FP#OBqLN2%(}B_y2Eb6s!0({-#3Et6JYYJJ5Peiv+g_zCeOO_PO#*vRytUUJlncw zgnTxh{MpJv*Lo`XL^sbPF)PQ0#Y3r-80n!D+mIY0cyvp$wXnVKYW?7OIAo>uY2fkk z1_~uWhb==;sl*BdRnm3&e3JEP5YB-T6w=syy}TLn@!U@5$Mu#1Djd>t97xUY#N`O! z)C-Ug@ny9Vqd0KMutEu?z3AVroA>O`J*C#Mp?@{S z6;jjk6t|c50ueRBHZQi(N?|rI4k}}}a1XnIh{QIUU?8I20<`o2h!Wsv2MQ|CsQ^SB zI#7_u75+^&K-Arp9|I6IMs;6)o(6~-Bia|=#jexbCfK+!s(W!>{1Y`m)cI8REyx&k zHRO*y0+xsPKNXl2?x^T92#0c?#9uG0m(MdyDd{lat%^4z+sT_5I5&_EZ$x-4pBlnx zh6f|4Sku818L6@S`Okjj-~Rf~nMTEKl$hfzRIFCL0tb?H2N`uivjrQl-hqR#YQwBL z!Uo(GDt5nQ+MIY(rlCmhLlaQ+nZecls~b})7}Ix zNEfHdrV8C>yTgUf_ybt6Ut-4#boJ#|-gTvog>Pl(>L2_)U;E+T6}^U9>G#;t>i(qX zVu6J74LAvt*3NcW+l>pxAOtWGAOTwhB`s!1otSU18A6X}zMv;8AN~^C&Ay zqO7eb7$r^%I~qe~TqAu-UvZBEq%&{_Je4{aAt>IZtq3t48?XcEm|DaT%q5^+(H%}yYZ^ffI`>d$MmDEFnZU>?&_3r1 z-}-6hTy=;|q>PE{!5x?iKV93ubiG8BgGA|DXFllMD9IkKTSQ48{l~xRkA7z;F>Um9 zl#ybl`x-+D*R!28XFX4ct8c}Wj>i&RIhAE5;3MB zJ4NOC%U`?QvZ>2I)tbDkMSq2Q>}u>Yie3BYSJ}%X^>(bfnyd;x;pY2XJ}Fk;ZgSzNb>$u7frfHTarZ6fY0;OFbhAf zNs(U$e;`hd(c3$uqRZzNYwu=mhyBVjiV$6WR$uc2N0XXbeI-p1@@`30+&dcyc3jZz6@=^E5uFPilE`v6(qqN1n|!F@TJ_B>21KjvtD^hbW>KYM2%D|^CE{a1{z zX%l`hFj@;?w#yQJF1SZoNz@~h<3KQCW#ZAcdr^;wfUUR{D@Lr$cyyaP4I*f?M+nYr@CFf;G@3$>)uFyn3U~Z(Rd}h^;46Fs;{_bRN6`Sn)qQ33)pc4 zGn}FQTL6^?k}h%RjK0Z`e$6zg}^9+Oc$HWijf!P`!VII zE8ZuOR$t7SBlX%i*7-{B0f}@`pAp)dWX@n#( z7_!x=rb0I&e_(D$O+{e#X;Z$QNGRU2^V$^IeW~Ah%a8eaKl|Jd{gbblM2@W&oE{IH z*8tC{B(kojL3X3j?EwU>y`Ij`_a2iEup-niswfpk)bT0q@z~3$G1;(RQNm!;SLTaC z3xSokhi^$j(F8I2iGVPwt0kMh8H$=}Q4(4IF#9#sspy~$+P*u%j2r`>A}0h8i?*^! zjA_#JgVfuDWFGCDsyT>JjplxNAmPE4Ex;UcdSVSE2|qVgHM zk5mbjEdPVNa;Nw#MqP^)`cYTkuzvVl25f5tI>hYjOvGWs8C-X_Pc)p^wGl44`5t0TR zwhMt#PCS8H2H-82u^lMDYW7D-5`D$(0@jO22{3n^94)=uugNV94tm69YVP9HIq`%j zm@zBK>d~+*b}^Q91@p2nI02|#3k=OKStvwrcSNn+=0>Qkh!Rj z+ZpZNEeNwb_HNo#U#gsUNPqzHsUK!Cuu#olxZ0+W_(t}iCo$ev zI9jIzD3<@};ogR5TUPYblN#v4HnddOEh?LNRlXmSiN8@|7%>3U(%`h0y#1S>x1U$R z8|3XToheVTGi6o{_YyC>@cHPJdGOlx=fC)#7_ar(%h-P5rT08~@2g+Z}18 zigB4#CEKSzc6Veg1CJTn!nKx> zB-5RKWpfko^x!$_?{pz~vjeYS_F1PD$pMwN#4|35&#vs;m{P}Zc z8-333cYn_KHa%yY3heNf?m2^`6>-(wuFn|`EYBIY@B2A>@x}*DhV^7BY0jp_%@+kt znT4AQni$B+Qe7h=!{i{|@aX{R)Or9GY&{elUWqbOMj=fM)5SQW{Bio(x|~Q`m~98MaW|Y`o30CzQAS#dXqKu8x-)r$-0aoq$K{AUrxMn2TW~FvrWx>`QUoD zO_WzZ5Utjcw~2SU^UZF_xiFod$M!3bjIb6;3+nom9Zt6z*lIU(D5g=m@k^d)eiGv7 zxeB)%qe%!z6~i%Zl4uItNKX7jmpsw@pJ+MjC(=C8i$C(q{^n2g4GrEotEJ0xn*R?% z>@}nL-#Jkba9aJuPFCv5OST_aWV%>e2U!TxL2TEE;U~(~1)B#tcDI17QNQV8gIJ*uvOI4W@W_w@nN#Y13eY{(V)kmlr>)%f<@!O zAh00~lB>nw`zac4kJ%)umzPIoK+6}DkTeLKk86JCSQFQaEOwR5zs_!P&>SRYm{?`o zuX9q1wm8G{Z!P=gIqtE}G}V+IyfE`-e|cs`j-1k_9>4o5w5d#JimZxoMpK9`8plIEM38iFh`CQQW#=EU=o=9*}<(ENYPI_cLFaSY~{ z-yT+z5-gWE=9L%T_ueZHLa-5~(pkmSJC9>bu}m1e-XdM_v3G-yefjIY;bVViz`OLv zxY??YaFb=b(X2YS$(D+-a=USpRY#?-H~*tn9rIYheOzr;j$@81;>1{Kz^KGtFkAI7 z|04lUw(4R2j|;TK)KeF$-poJB*}Y(~>S6zp>Fj-!V%6bNu&oHT8Z#A7TXmGz1n&#q z3l31dOvw(}Pk`QacxAFC%WJ)4cDh1xvuDdp^%U~&gRHSfg>=6<$@~LtQEb<<0}Ii6 zQ_`^G*LlEy(yVku)pGFJ^G^h9AU+7cCAgD=v*+I^Jeu9oMk@K8c_$u?dIwz}=n1DL z(ysDOCC6Gpbz!d{hVZo$W|Donmy(3XLq~UV{M9GyB-<~( zL~?dyLRs=%bWB(}0L}()^%zmcd#oOii%6j7$HdxnJkp${COE<8kDA^p7eQBWFN(7z zMZbK0-b;~XMO_KbQ93_rjMyL7uZ5==(I(q*ycYbMv!h>6b9S(aie=QbX2f=k2R#y+ zu%vH7=(u`u^Zp-?WSN2x-N+au@y(=}J2|V8I?S&zvnUO4&NMPEc#@Bf8q5WcmwCLQ ze{QD*U61)|tQYTmpTEYQv3Hb8SY}sr{(8xkJSW8Jc*XH+|qp}#GqC7xv<=Q-0 z(SR5uigdkV(x|LRi|RFMbU-NG5$2DRJDkQ#VO_|+QQ^5DO75I}4k#QZdh}3EDm)j& z3@5gy5w*5OD@Z*x6Ax8q#$O7g>^O=!qR7`w~n9RsI7RLx^42 zu+~wQEVX%{NVQ>p3%)1#wN)}<>tO5G5Fj!DbI|+C)VtZPlYR1vS=(%k)ib_JDaZOp zx1Kj#Cl0M&=A;j*s?m@Ey3rLT)KQ%DK&jhQ)N^bdXmqT%O%H$*YH!Y^cXGAsuVYF# z{$}d8FHt1*dto6t&tIOyLZYxL;q6QhHk^C$wHwV(QT?9={AWG2UJTQ$LZws+qu+x!vLlJBXgGI{^rmI2IcVu=T z=oWAXyQMZLR*b|Be7Ox6a%ccyh6hB4k=LOh^KG>Jpw?1L87oHOjn}wP>crhSS| z#PPV^4AF+U0_j%%y!0UR@#0ao<7;w8zZ}n|yyNXsDDyk*A-3>cx;c9YVepaNHrF!6 zP&ml7Tv~Mnm{=9>rKwuB8!V-eiS}uqlFV5RRfQPDyhJqoObAI@%!r2hl0u({B z6@t`bzT$4VMC&Gt5xX^eILkHWoF4}B5M2SmEf5(+aF!v|AZ$oTmdw{WAmncE!ulk+ zb1M$Mn7?+5%BJu0*D;y+8>L_hdlQ&~y$f$ZDNRh^K*ahb)6Uky;2DD_0dto^J?{3~ z`Js}yxow;q>e=BFdUjw6XDm{mCje6Pltg?s0p|DQhVp9c4n5^=eUZM0l)Uol2Y zfM|GX?eT8>jdZbHFvuu@TGb9t+hvF~7Ys5=Fhi<~9fG2QoRqPVQ3Bc4pITIq38ECt zeilv{+{irLOtUL0$X5gn3p5LSzays)i>_)PMpTdsuC@m7Vn0tONO!7z7?g}9yLEv2 zL#B}0udOKenoG9!a8Nh8o(xI3&+Ozt^RjBoroh$UE3agfs?CWbT3CO0I(z>pDc($!VKV$5~y2Sow z`k8@=aFfuR3Y23y?A(Qn5>2`#V3O@*6>7vJo$6sESlpqL^OVRaXBz^2fNx<4KKn<$ z?eG6wK$kV~<%Yns^D%CAsvOfuJ+>HFArHH)VTQn0+;5!J1HBq>2=S$cphVDCLI_e1 zU{m^@O6nP35j@C7>M>H_k(k<7Y@{Bj2%Rp+IH|{EImSsnaEWUE;=`!ZVdoA~j~V3{ zZPq{qhcpl63lc-%AH0!z;4U4|64Y``BlQ6H$e_eis%4GT19hYN9ZjlY)m~085UnDr z@H(I~HL7ScJt~8oxl50^xf;`C3Vp{t_l^v-uWMa+Ov zuX&`?DJm`y^m)yMwe}cQdI5gw<6%$ced%-}XK*<+y#N;k(UDGFA+LUq&ZQUNg1Zf} z;IiUKrxQIy7d$T6WQ2$e(Vnqw+Ae%*`if0(u~QlZbY+oszg(ku0WKLsrI+|%y(GiI zCfTM+E0IuLlb{Tjj2X}ftm`*)w-#FswI$Kcvf3U!`D@tGc<1~4HTJ|i-{-HfC*G;! zFb>ikG1C_s;PX0~6uZ-kOj-l;vXT(}vgUbikYxAr#Y{Jb#s`;o33Y@~hWA7=i8q1!Y14-$Ly+XS}qxjUW5&I9BE>v}0d+`TD(B?uFOH++r(r zhRMFxG*))qH3R2w|I*L<4ZmUq_lVRHg5q<)whtYbz1vZ0g&L3S@mGwmneV_=dXJW*g9z*S4Ij;0)|m;toX zr!#7>4jiWPFCnMiXGkh`68T-}at3zta7*l@+ROV5*40_q_LDK6GIY|PX%E_c8>!Mu zuzrWZI)(wHCmvWye7k$Nt4ow~cKEUZo!sBTN0=8UUPAf#$b~!aTAue4T|)W!KhZht zOMm~Tf7kyuqrYOVk8sNLXCDE{_nR$x@e$TbG%HIyy&{J%LR!0F5KkwKfodgl0`w7Z zK%cF?_y~)4;){E#K7vHoYQ#H`sd^7jQeidU;IUDgT%3d!TazrL06@{7dro^9xJ7C| z?lU;$HGyu@gMI0*=;K?uHE)T*e-{_w`tu&WX=#Md`7i(GuYMfhFa0t4n*Y9)@-c3< zk5o$wCW-+X%kR*|*M-U1McA!ZNHfs#?_|JmvHaOZu&K1bbgkEea5}pPm|CTp6Tr^d_48D)`#@(QYPn&G%lEH=IFWreUmM9wv@v~ZRIj8yk zQpb$~<69;GGaVJCuT)3fK=u~w0=K#wxYh6Z+RZn8XEXqg2Vgr)Ge^~xqt-C#t$6O* z;O@aqP}`snrLR-g0L-av&<6rk?~MW2VTt)Ha%xnF`_yal)(}*z(51)dVuR9fca15P zLk2UjKZ_v8%GA&%2Vjl15Z*#Z1fy^(|I`@et|8D4bdi1ay3}!xV9}QT7q|mNaHX(n zRtO{pu~{`AlZGK_YD{k)N38pE1Z00PyEuFN%p4m$MZQkuRADX%R3|!B81ZaG)s>)ABQl{;l8qAwpt}%YB*VZa}E|qQQ z^LW><9DByzP1}Jkm8GevQ);!#Ju!Dx2v?@a^0y?%4?WKM*Eu206Z79nt}UG(38-R+ zq+c-EG1(3~B0cD_saB^!W3dVM<9s_{55u{3;L^eJ^g3AP{Y00L>V9A^Uvqr%E2e8& z4e<(|tv+1IRg*xxqQ26HD>bBYGz**u%`lqF2Gc0H7K5Dznq|E<7P1g5A=TdTsT+74 zC0glfS`D6xnpUmseD-JMkgB0mcMICb9RJjiD!{353HlXlNQH^r;^JTuk5LN5rztfp z@H1=$zzbh^@No(>wv8tPUxPph-JVgQv#!K5AOB^5cYF z8MC=6gqoJU=>f4|2+%9soy#Q}XEVF*HLU*iQqy{#eg*yMplRlFo$b0j=Mm72WKL6j zm&#Pa6Ph0Bw|Y(MAN=57{*&L;HxD|TKogCKc~H?-7Di->RHNE13k91X=uF_mGgy|< zB5;>NkUxnF;^8OkeT`B*GCZQzLXba}3+_?Wl9+L~0s~4X$lu8YF)c*Lq#-!5Y*wro zH7#Tn0Ey7z|LSOc4k1X@>nq}RLQ6hkm)#09mEEbMc@PI+LJ7Ve;11Sgm8Oo46-D*> zl6x>|i9!XHj8W($$!H$rMUWVVYl5p~ux2z5@~&T~lHM;}_4=B(QmCrFP6SOkNKxUO zB@;E4MM7zNgro=gR7lzKVIQ_}GkZ$Ea%j!5ca%PzDZ4Zeo)_VrY#v10KzhibI`QpB z{a;!OZ$Iqprfx8=cMS4qm-y-F#ZRVjCPLgx{B%F@)9e4=yT1BAm@G-P*$5*Aq#Qqi zjBSDDH6r+sz7um6@qzeN78V(cGi($9dVQrS$4_8^)7&0YjD5K$Ia|*e#T*fQ>`185 zPAN&9s$J#y>16GyU;-WRF|1w9GNaAzwKrp$c(-}AYlmo&*X zs57A|q6~*^&aY+Tx;hh_Y}F!U3+w9>)Jt|4^wtVvjo~{VSWqdY1kLNXpk*ysod9cY zCrG{If|z8Z74Rdb#q_7nRSe`bP>x}#9Ie8t>EgrWI+M*TCQa|r*%~ndev9$+lj=rw zh|NslLpo47{G%$5b*Wawv)vBKDnk!>7Txpkluh{sXm>BDLb7C)9O zLy&651wm3o&LFJV;I<)1_ooShTS!u#fCL*GxC`FFv##1;nixAj=uK%%D1>hJsL~jd zQAKi+!3X!G6YcG<@{k3Nh)9_|D$VRcbS?)Bcmdaog{|@hs3IOpav;Q@r_)KUIv+2d zaXdt7+9qf#96~RNI|+?o)jUuAg3TJ?|&#HRA>E zc{g~^&;RxR@MjXq!_%qhY&Bh8(Ku0OXEfphiZHNAe7U=*JT#AoO6c zo>x|k40$^>yj`To)n3J^BSQ{6Ai{d_O^=$UE-OZc9D<7tTiM_iuL&isr>4^JPrY5E z)~M(nVOuXNMur?KE?0{8jPAj>#*`uFr`afVE?QJbh?|z2Z7bFKjFbqQ{ZfhQ8|&Y4 z_$}h{X9s)Q#*?L|=NMwWm~jK@hSOhB54_+#p9Q?9-O}fp(z$W?yybf{KnM9Z{QEC` z#J459rx1>NkqAlnj|`!wPTr6S^IBD@t!7mTr7cQ;V0j%fMl(oT=Aa%i4ed@4HgqX2EU^u-r6Su zqC~$W)J_MVJ^w&Ro-P$WtB{&x$z{XR?pQOM5d94x+*s7-4VXsIg!w{>Cdy&CZf!TD&t;mUsEh3*hrf0G}vl zCJlgcCb|mf{1^)w;GpnM&X2h4Hb2))Tq3Tg7jez|iF#9eiMZ}2;`-t5d+B%mOi-Le zq>y1Rfk{H2y;@lnT$G3l4`{IV_P)?u_F+U(yjEF0voYwnI57|l) zmn7E==7c$6>i5Nx;;z_c!Z~d=HmoE`<{u|;PQZiqU!}M!z9xDP)&GJX-3`v3e;y!a z$>@sO@MdLY>2&t|`@r!5xC)Z{l@rt^$@~NDFu7M8Ffi1kz(Z%rCC_p~)Q%fwYNW|f z_glZo^{lw_MlU3y(l)kgT=rguRhiGR9PvH69zdAK)3wzBIU1V&O7$25CHE`aQ4D@! zax{T`MlP7dDVD7K3GvxE*S##`nes~kK%FJ58h+2Mth_PqJg`=M!8c=@PO={uv~2qr<<%{rHoY@G*f*uf|dwv z$?bx(1^KZDo#2ydP*vMlF5*!TS8gI^U835{>vo?5gE zqvsi5j0{$TVY_b30AsvCpV%GG0G}~3*lbmtIwu$po&la58SEKgBC!}!#Xwr^u=7t1 z_?cyZ!ARMEn3VrPSU65Cj9H+;CU3_ z7)-4P?GrWZLf;_HP07Vt6<{nWX2RFpBT&Oin_&rstB>oZXUmu_3z0k zC`JF?JSeRaVZbmLy~X|rut>ELMgIo91@fv@a=u;@b@Dh;|KXV`w_sC#+z~so9vg^+ z*tw{K&_(giPwcO;XY3uN62@Rehh0)$cbM{mhndVb^8EOA*OBLk#RdV_`51#+YPd^6 z?2-_B`IUFI5v*_BX{?|2&A;xa-X94uErbnF-58y!9X=S@q0Egc$dHJZ*0z8$6qZ_Y z`EN<*lu*kGiEM%(-iLcm!pfyJ62^*A!$x^^1*o(%7h%#Xl1*hrTH3av7nqR3F|N#5 zk(Sm4L54+GBHEQS+9)eVtr4dNEsDfWhYf32`P7qUQLUjtuR&<<)=?~tZWuK0+Fl<& z`<+W(^B%EQSi>-Tlwr<`})MunF#h<$={`{x^$=~_T?~ABXe~jMN zoit&^)}1n8j4IvWv{cjq3CX@O=~lMxqzTKm4vkA%(}6v>UQL}3(IF^Zs3X7R@*(Qu#?07tM8AgU~OHsR@(z|*1NCTlJa@CrIf zh6TRn9w-w@J_0B>Xa-Aox+gQ<5q5^*7Mq7QOeGPQGdND6!raly{(LXhT2SZ z!lw5vzL$Yve5x}JPI5;L2iJ47&^t{zIzic;AHmwKf3fQCef109P}-;q!i?i&eiL(ywp$q^s@!*jsh4 z)#*nU5Id|oit-I5j|W}B4Ymd>W>|GR*$pKR=1wi@g zpJeXDkQ}KePB3>OwgyWNDpueVvwB&aI;=Xb5llh?72hw9gWiCQl1JW`z))2G*qAK2 z;#e_Rb$mYnoh$nM=zKj%GBbDD$OGck_XFBcY3j2B(XhQIfe0j#X3(ZSUvqY#o$PVs zpgqu*owS^40mtSYjM&v|az}QjGrrm2%L{qug}l>E9>e)JUcG+#B_uDe--Tya44zCz zYi99{*T#l&i!?lV%;DiNH+UT5$G#;7dYjU@;e$KB>cx)Vj~)M-Kl}&(@u&87ypPUz zt0l4gWUrdaM5C3727fH_4LeTGq%~S0G!xA(^%ACr9WN230AGq=&O~E^4;r}{BBP7H zPlD&@`~VLFcASEop;S*Db{wbP?8t#4L?nYGc-V2UhG34ZG%y^OXz}P)Om>`~X2@E^kT+C;!Bsq!)J4zoa*W2gq|M2 zRxXL|OQQQ1Mp5_ge$+?4^xp^Htb!msBz80-lF`hiVtmM5@n8dI1bat7?8wAbno>XY z7Q;@(@S)G{Bz$!Xn5!5*RFhBgV8fcBSr8uvX>Q|iv6ES%+fRMK=t0GBB7NUuO*4EI zU^^R8fwqYO)Rc*CUlM~hNM(lbb-5<-Sn8^r3}2h=DsR*(MPXRC@4Q`FO)i30p4>K` zjM6JCFqcdngCiy53w-Jh;ZqL@ka|naX8r45{o~*G9X&9`b(m+4jCvLyd?d$|(|Q=6 zinO2P|Mr8aI1vu8($wb7_~bIrMJZ>tpZa)!%AvB`F9A{MQf3hjAPKia(Mr?<(tC>4 zj};>vUU zg)=S3C+3Js@@oW`Q%&7sYebiE>gt6%Z3y=Ft#hK~{oEvk6tpHHfC0BjX(GdZhAC(_ zL-nk0o|D2E27P2%S8ve}EvXeUmTN>5AExT+Q`wrLt52qDs;)knugSXlM7{

d(vpsam}+T}?%*XTYG*wsGyPz^ZzuXONnTzD|MV z_3o93EVI6jIBx76B~xbTqN^W*PY;}S_oS=QSeINhG}gfq9+2j60=>FP#SVfPct&`D zm%9fuG%q7O_k*52#s29Z@~0mESfr73xRO_uZPXH6YCg2ADsvo}lud0H)G$?t3j)Dy z^e?M5Mys)68-mmvE@%`&D6ejhY^g1RRO~JY#e!T9OS`0j=>+M7H$kvSXbpISTvjK@ zU)Tk=M}fL=#e=mi*)B_kH$iX+X|Wi*2k=DGHO}@gy2hh)TyljaV-3#=Rkmj|+J zCsR@?P=;LJ-DKG}K=#E;z=m<;# zhoJ_|Du?H^6N3)1bAA z1SaKUi$xE~1u4Mrxv4LE$!J=}RctX`LnYTpC+0OLEjlfp8tBfNbB7ql@`f96NXA&} zB2FLZa~zxODs7S}X?U9hIN~-WWu;#f?nA(259`<#X z4$zY`jk1b7G1F*LMXHLraqx!jK5OKV9)db|Qja&|VvCBjja+H*z(;o7Tg~L6BJWT| zBGO3@Izk(KyIUwItC^tkx56`ec9G>=@xp+76)=pv-J*8 zBuQb|E-R9_AlRIh?)tceztsuG_X;${=7>?VP{{|3{OP&fLV==LZMDSoG@g35U-zdD z1&V9rI5-hp?*~M6p+K?X0;H#sv zsgsY1iwwzyrQhOB$B#`7(Lk8Z6d0X_R@V>k*Pu+*f0*%l4fHs_NMv{7`?;ZBgDHeP ze&dFE4eC$zGVjJltKmD{3>aoo-p#hB1XH-Mns?M>^n@O^$b$B#dDc8GhD)CYRb0ZB zI}BSMGB9~I>os$eK=wFJI1IftViM?Az4E1B@)>XYpbtWsia7{fsMn;(hG=gDAX3I& z8493OzW4Y~0*NE3uWP+FYf{5+0ouJ-GxJ{5S<$2`q?8#*Y!_(x=yXrkq_`mF@*%hc z9cCw3H0g550S~NGOCqM6x@gklijf-xw>`XVQbq9*R?YATG}d`*DO;f)9zU8vVQotd z^Usf%f%X#(BZ|vuB5d_H(e><`2$Fp$%0IdJ!u?`c z<+wv}zWcn1UcB)x;((qST^=VII0xb2FYNY{mGqlLbh}1$TcYa~AJY__uUA*=+%XH( zGto&A4nv?nAcyno%@xy4s4(*3eenExdBs~l9WMd$V!4KK*sLBr!~x~_-4*n0I(~h% zF6}pKs{DMx+>ZErjqA-b)z{mrbxB7+pdQcdI38bE-t5|U^xKN){&2MhBz%pomUj}! zalBfie(alT>;*aay;ev}5bCX1)gL*|R zhwj3u#icMoRK66@M!wWuP+STV46C)n+>LHUF_|U^RGZ=mUMQQ2WtvVlH&0B*%faHNiGJ9e zUVlBLiY^`3($tRr?8bqk%a6rpfBm^ne*KT9_)MO=UYWKg_rrS0R8G+r`Ef-tn}a~9 zb$3?R_Q$nXrW>tVfrgn5ZdtJ^Q(Td(2n3Lp)MLf0OrgG^bQlC2ISQe!n3XB&g#1zBBOrUO_odAf_90Fr?|9iMujfXdoz?ICnS2xewX>=Fk3&i2odVq4A$?Av*{BulNmk!wMN}o$a#t&jt4YT&v&) z@p31~_|FBm0#b%egs}&abX}1q(FI#J8&GXy(TP*jBDx?@Gt5S!G=N^Ienl!W7laMg zt}Dc<0~8=NRuj}gOk5G??yix_$^~K875ofbq4{673tx}8EH1fUu+qkh1l4B`RZOrL ztc{waI5&868hQSy7a3#O=>*d$#|BW`EDgi2C)vN=E<&#ohTq=gzUx?N+VEeZz-J)}v|IOF z(>^x}oVR>$mY7oetv~S1-}N2AOsorel}@)B?2Y+XiHDF0wOvJ}0v?5Fvv_DFQL8wo zBp50cf-AOsLbn_MV^DNLtQaa4xWEGndLjs&sui9P43(Ned{9A9spT3&rDj14?db(W zr9yCtbf1>Zk#oK$M6Arh=w6@wOR^!<~Q zJcMS^6}@~jic1LLc;`CQUt>?a)1}9egC4T@D?*nGFY$TEL&*_D=pt4%3If=?6)&+p zb@!{mQdwYj9_w>I`>X%&kLjJlfd*@1N>Db1FH;^-My~epkj(HgC3~;_hQ28p%*T{K zl`VVikTuxx2(Dw%iF-8Tcc;!83@C2Es+go%pmnbkEE=qVs#%%n1hWPsJWaGLs^rL} z)lZ!@7?d&QmO&k3hS=c46b%-$RMuN2@XHsOoI=FjZJ5dkqFe4!|IYR1q%n{Wme-uE z6;-DMs#>>~=2wjYt3~4R%;b-&Gk*d&M!^k{uSHO@eEwma^cGI0B>-YYsz*OHpk#y@F#)i%v~&oH zdTf%7pcY1ykFVo3#1+ zfAvTIj@PGL0Mw8<(hx2Hvc0|4EJg-?zobQAhb`IbMn)_Bw#6+-0Bqsu&OGoLm&{1lS%Y#L zrdZ!o6J2rue#Y!akE;PS?(J2!zS|a8Pt1O7>|U5?JQyaE1MrBe@vZcy&er$X!s@ZP zFM0N3gw z`jVr(!yKiN;n>}YAi9#_ben8Jy$v^xEq|_EpAob(%=2e!V);HIWX1YN{+-YHCEpm) zjJDW$y~i5v@Js{iYeknqn>_WY3xbhf2fiG4fDcPO!*-#xO?}z~_iL^wjLKFv4namU zF4#Ks(fdz!K~zXWkowdG&tOz$Ai=8o6r(brKT^h-WK_n?P06LAE@Y*qxJHR){37=l zN+wzo4I9RIlO&_D7xkXin(ZP)4zpxmKer?_eNFV05TQjzW%S7u$=Pv_MlMnBN!rxX zl-H52RN=d2R95YaE)HsVJ;8W`heu!jDpz{r|0tD^ydM6f{NzQK-k~nF4*m1%J$5fo z=&wuI^JZdomB4-CC+bbiCFyuKNyl&fhoAOEzbL{UeO`uU+UEQmm)nk8znk*|Tm}pl ztS@X}Z(ORGM(s-k@!AV!GmX{&PDp@J#h^-sWrShNX8H)W8>x+gac96h!=$5|wU|W& z!Z`(Z#fl7jTyTrDAFdJkPV`%7*b{;bdzv6VtO#QKGzU-@SE86{W4$2t1STK!lG#k} z+SnqLGV4{|+W4yZ3$TT5D;iBKo!dUXcBW75=oq?IS3!rRy*an&mrpu!;_f;qaoX@+ z@{M<&Z%l>3^b|`>gLWe?49*BDq!MV)&4k7u808tuOUyEF`QD%~X8D;P-TaO({;UtXy&tlVjeYi(=3-8vaDl8w9G>(lzK_d z0ksMY`px&VDlkCN4r)3%2h=Jszn~Rb219CL%hq7I;s8X6d(MfAwCy>^0LmOYmZDs=lONr zcG^oHleE~x=y5!AV6?NqGvY4oAwYskb;-RpukeOm3`&Z50O%Efis&xpjf%z@ZSIM? zMH{#kHFI@X00OHI$--J}l4QE4uh7$ILq#zux__LcJ=L!hAW2pG#j7o;#Thmu9XU8Vh7^b7afh&85|&_%QZ9)2L^A!@uXDHA zYya|gzoBoF&OXn^gSe-^ts6Ix+&7q=Z|fWRfjUDR_b86&>G1fcU=$kO*0_?} z-qt7Bg8F7yZEnrYu++M_nY8a-1*Yb#?$sl^RcrU^NqQaWM-9w3*>N&k%?gw;g}fF5 z<$`599WULwaemPI(D#n+-wC!JnWdeU>&bhBj3+Ng&pds9^YK#SY1iM87b$T?qoM%!5Dspe*t-dm!H3wpac*=Xt~N>6J$Q(%CJgc*Zn`ix zbu7=;QDQ(;hKL2|H2n%GX zJ8OX0pQqiMN0GS$!HlCmK?jppO@C6ebG`g;Oqb{Ve1BV+IF75F1aTg&vi5*;Sd=Ht zZ+iBSn_lLJ9n^5;S(n^(mCw2c{>f4r-lW6g!Sir1Cz#FU_Gr&KiODlF89XCU(8_2lU?O)N` z0Mk@Vg`_mIsp;HW+7h(oHP%g7QrN0|Kv8J{9ER-HgadMx_;yz|J;KP1CYY$_mun_p7;7)M?|=I!?$>9T^Bqkp4c0&y>R{d%Wu5=>h&Y}yB_fFIQHG; z*jHY@elM1@cn@3oC*nR2@#?PEu0Q|9_r!6py^QS_UV2X)ESLB|#e9hoDP9Z%xzG8@ zU;76?Cx{F6$LIq&(Ci_RP2;&@eytj&9LS}JZ)k6FAQwH78)S{U6>}hGxE@A)%Yk4v zo|wCe^fVDO7;ugik+QKn3)rJV_rkf3?s_{Vt2jH^`O*|GsCQz zT5kwgntGQdXNKX^Y*^4mZWf@^dTUHN4r<2DX+R9E+jm7U)ZCKC#w@5l$~ehv@hEKL zp3z9IMf?>W#f3+mJcY?4LQ7+b)@3^Yzd%60GJ{%A2#-SYn8~9^k3BpJe7oQQZ@hwa zla!1H-1q0w4BAt8gH4Du-kW2+XoJLLsr?c|*6z0n>&0WmWa+VD$l9?o#XYRo#lfJP z_3HJjZ@hZ_@=Nd)R_~vjWH&K>|jL4#t0Kab}#^{A{7DMhK)`3 z3Qwds80b4Cz%l^Z-7nE{NgJ96Sv{F!J>CP-skD z(1>P{{9CTj=EwNocHz{F)?IMF023_@)!2j;`tnT>a}9(l-~d{Kenr6n8!i7HW?qN8 zAhhlUsUv;GEqZUnMnVg5rd_#48dDbp-j9REfFQsS0gbF}7e0&_Q>_BObp8$<#D>M}SlkBz(fLub-P4yS`Pogw&-;m(1HYu<7nWad-pWXVof&}n zY54E|e}3q%J&pz+jqcpAd!{$C>uB5Qv&SV3-xvsyneGL1lpIO(op9`zqZlsmgrUQa;a7a!bSSfa&V%>^VwqX?TEy zhtz(E_PijFQs&?_=nL&74c}7c0KX3a#U%|NDRYn;^_N-G@GWId^2JgFn2gcGYIo`K z*b$w&;sJ1n{~Ox&_u{*4*?1kl1b>wi-}paD4f}^Kc0K%x`>^Y& ze<(fD$eb4@!EUoaO(mt=v|`SF`?Ghh3ov7O+N7Dw_vVWg(4YUwPk!gGo34P)By`(v zprs^}xnVbEEtO`~dst%>qX*Pi~W>x`E) zUB+Kkc3eB_MUIV3yHQ2U4z7I?J3PpfO5QWaU%WAMIMXLK!MJi(ViQ22j6X(rhE!xa zArxmlq1;IkzhN|5201aFLjoY09khKQ&32QAI*yk_Zqn08g%*$(+Fk1T#m#EROWrv0 zyb3pt#HzHyBt4xTYpHOee3~nqX>*1mWs=e#s&n=9Q-b605-$Z!>&k0sj#9~WtJ~YU z3m3!Pa4~$*AN{ho{aoZ=$3wOG1f8k{1Glyy?n`yGYaI!?26bs1Rmdfi& z3cB8AXMdrY?A>AriO&84P(@5p)3F%R&li6oc=ASEkP@n$EW4Ia?PT4x_zR~CuleV> zi^8i~=m_j|yXz;S$ranHHhbpC4HbUoDzPI8+rEM4;xIh9!*G7W)?Dk07x3&RZ0G$% z7cXEwY1TOj+dsE?`ycqA@B)<0q-SJ0$%iPFSY_2hF;Iw>SQP|IQO7t$Gh=Ki;59hG zUPwjZf;M`K1cJ;nRJJ2}0WOHatu~{44_??#kY0cbA{qy&J8bq57%ZeM3xASSV(r7A z%?bM{h}1>>Fq5G(t(%*{c&}smlGR(Q38aMo>jKqg@Y*VKV8FhZzjlktrtkCDF`4)q zrBWu-U3Py3^oaem5icqdDaD)Ow&?~p}TX^BrIi&5nfKj--J$r@yz5V*wpDgX!3 z1cid^IrUX_4&&o6V-(%{__B~KNb#{0>Gi&po}#vQ_h4>7Z1?}g6h6DAaNbXJF@^VI z3V-jv_Y;391;koN_S&VDm18K{0dZW9LPrK+TqEoe zh|PAu0!xNA1jQ7ZU_vh~jTk)y#T1(0ZqLbOf?hgUeKiEd6#A*b!X^E;9N?(+!w?ix zXoAL@L_E|Gy_7*opi4d|fCy;h9*QM8&rSdj0Ax)HUqVr~``BVEnk0soBgiIvX*>8h z5)iv2#-j5(C(dMVL>=*Y3MlD-vaQY}#yt4`XB5-pYi^GlZ9T>zRub?vC%phQ-eU=L znp5=;GGkI@)zJMPSO_Kygki1}x#X|#4=w@m-Dl9x4~XsFy?6o7uos|8cj70ycmen0 z1$^$WdDkcWy(t7!V&!Bt+x;4Sxl$Zo@A|7OY4I&MCbilRoK>IZFKO{T3Q*!Gp&&2-Ig~Ow&o8IQIm)*LFdW z!Cw#>nR*@jX#+@uVBRlbhN#NQ|}RGs+tT7OB;S18e1 zR-L>Vlj`hsE$r&kZT#$2_qq5eAyiDN7a7-c`cu?92-PWHkE>1_#^twPKa30h!mXxn z*?JR!!L60&yyeG~=c}Lc-GBGL`o;5^>?eHY##}ez3TLcBFxQQExY=jUsQ&@&ck!7U zbKMA_zWB_}TnEqb(EFI#XAb7NkzR*nP?1@4<~s0mSKR%i`ciE%mS@sE9fj*aHHD%W z?7B&}6m3Nz`-6Luj?a_@W#6qixLr$)IDEJnOOx7#x{mE3Nll+aT_@ypOW4yTC>BW) zEf7=l*x>Mq#&uNY43F$dV3;$Oe?o`v*L?7+f8v`yd{SpQpU1@Xjo<+T61~E#E8Awk z-$rJ-W}OxAw~=`sMQ1fGX@J`LmZqY!8vJdw9>m2(XE|b>34yALD%)nj-$tgy);Ryv znAj5MH~8DwoY)fQ2mB59Xn&a{&JXw-k|qPmY*GUL#8uD1K7rPCI}Hpr}duiytEie&B!oh-e#p^ejic62r3Iz@8u=nCZDF8d0E z@ets39#(@Koq+pY(f~6YMlu|lqD*Ffc6?3Rkb{`9&rM1$!q?oQLWg`BuM-WHw|LHE z7>V58nC@Xu9R6lc7yj1XjX!6Q_2-Ol({qMn{W;^?{G373pEJIFy*)dAA^x1e_zIk-ks&Rd4^l!R2=oVJNDgL9;C4zUTwUP@&L@;0DOyfs zWJN%FFpp!s%g19tNXceFpq^iz`WAz6K1j~ytuaufa1l++2kFM8^y5M>%>&~<(#OI4 zj&eDelYx02>GNYgNP#rzAdUF^vK^ma$8N;&7zeT`*+3cEk(@i(PRAdvHkmR3gE}_l z^KYp8^6{bz+xNGTc_!)nn2%B*O%SNZi-wHjr8T*2>h0wr@k9N#eDfV|-=;?92M@6Y zHSNwNH}PG!O)RxtBI)L&hFomREL{7;$&(dlvJD3rY44=R>e0r7Cg|+5-jIR|&vLU@ zr^7mD^(@EK0T*l1Thj8t(c_OY$;mGICFD^lqq zCp|zqKWYlx2Xrf>$WPtZwM)t$n=z`Us2L(RLvx(5yOIg_Zpx{<@XoP4vls{Q^!|VL z-UaHq^(qU?XhF!Z1w$j%p+kSAQ0eK{vF{g=NPDouGSVtPk*m$|BsqzvNxpM9Cry)H z9BD1 z_kHI-$%1k6?e*`u-nG_VYt8F3pP6*6dVWngY9JGvVp&}|8t*fac6rjKaPYiWR@ySz&h3WUxM@M3^c*$KLz9Y331&=#JB}RBs0&zTJ z6CLg;JRzCdM2EX{8+#!e*Db|yq(bovPz$G{^VzjcoZbp?mYnC77NAPzK)ki!jrP~) z`!mrse^Ozq?)C5?l(wio{nE5GCL8MZT|xGB^|A7geAA|N+ScRHk$582{^l}}(29i% zNR)eVAtijSYOPeD0dTlT52`MU10s~Zs0^b+7t{VoQkIzGM4LLo*TO%F5c#4C{3LTX z0STzbCq15CZs_s!EynEC&2!bd2h`26JWs99ZSM0_t&feVT3_(xfBu(zMof6rBB!JJ zt>j7c1(RV6DOU$gT-jGWuw%D9BELpp2chN_jzIh6D{jHe0-H!+$KLocV?~-T7u;h( zJ@iJRrvinbd*OoHrGzlB@!&Y?&ynth3mz8-0wHjY7?aUMMW}&X5PbsVBqdbD6MOa* zuA0%43u4{|ubNPi{Q!JYfE{2p2Sh|99n%&|7GMW5ggi^4N_buMmlXHdkG?+~6@d>3 zZ}2zcKtAaa+VqNnU`o&!tqIWazWoA|X`lKto+posv|qJLFX7W4j%~e-p>2 z+fh=TCxCnO6>_SR%x1+;gFs2u$#$d)wYJg1PyKm3{6yh5z5H-Y5Zh80uq;eJrQ4Lu zU8Lg9bzJNvx~q8fyyeH@(ZBrdzwg(7Nu=9AK8@@4benD=7m0$5sCOjCNalzvk$q(b z!v&9r4cnZMm&2$-?KTcUY9to~IT-QHOrTRM*A;0nn&1WZK5{{10#VrE zifdG@x)rI8To5DVfv9Gt4=BU_9QhzM!PPKIThrV3L*mHYV zI_A9P$8^k}`K-5o<9~hir33Roq1ZuMysFaZ0Dr#>v;jk6Zi><-hk8 zc|cJe;8K9+SDmx$^XyRP6|DsTjCFC^8qkB;<=L(F)LL|TP;c&5EKccWMG`~`F0C6S zR!ny3DIAaaDdK%Mtaud1xj3Z<%(+o#50|B&j##odrD$(O&L0OyEAGH+uTClMjX1i% zB@a%sIHe$S&5-7Ju@-O_rm+NbLEAL8Q zpSS#&zW$Ei@H2ngkDg8<+_t_>Nd!>vl-IoK>q*6)_4QV?6;uqMd!6E_`r77uAc?RN zM)jgyi@x4(NpCCtCN{4mlxx=4lQyO3>q(c}7bLKM+k_gy+vf$|NSwm{jUHlXCF1LrI%Vk*-UGGvOr+YnezQjucLvzP;Lq;kweK1`$ky1s=x}G^XGgzx zUfTegN)={=l<^UZ^idQ+{GHGI{y+JZfpStB9xp>0DGykrfoG(qL_@Y=^6e|jD!br; zJsf>fzZa1YiQSrOTaenqNO7mV%-R3Wtt87oqy zy5OFwl8458Ld&IuAXRD;1YlM1qZqoVkgX7;N_9c7kSQDrwY$M+M2R3=a<|-Qb_SX4 zeSeaoQeAStgwsLq6ca)Q6lfAbxFmp6s1!ny-9-H_BpDxl2HjW@9V*RlsClJMD)Ve3Y;hUNHu;*YvvoY-7H9{g7e%Vi0hsW!K?V1))vbv zI&{M;&rz-Ur&+~54Z0qiZ)APT7`L(b6&VY1jlFV(LZUNG@VVfgkF`%W?;Mi9d ze7j)df&{{sOaw3wAsG2gw1X`;M3OPv3M#4-jC|%Y+F}ks3-^MN&%`+@xd3wY+Z99Q za_Gos@?wAzV`&Qg0pueof|1XJ;Bu`k`$u+gb%K%4#Ki#GtKlz*OZr2n@*S^cqopAb zvN8Nk$G*&bGA*Z}Ta7E@-z%iGi`@DQpDuMN3ZYxH1%Y|>F&RDD*>JJd)iM`2)J|r@ zMsF({#-2_ax}!9U-?1e`Tvi%qUeGjfgy?{oy?u^| z&reJIAnznS2M4rIYf09=f~qU&L-372gCTv0Tpkot$us8kVaz4~qP<@+XQ%cHB>6-q zqFJyPEa}4?^sovJryZ2^AzC*i--WC8W^rZE#>M3HAud&$#gFJH=nq}fhwH7favnkX zKS-gmNwWQtM@&eRWE(DQAjyi1OYV;gbY?Ogil)Gc4>w8WNFF0Bq_GbB*A0`7-X#gZwh%Aca`415oxza;~ zt^Oebq1TzM{mKH?9wKfkPqP}$?ja%-*j+zF6D(lNP-Wy3{OswB7aa4sqd!a=8s}iG zB2G~xTaI(+Vy3`s096Cj?INfeSkJ`uV>`wA!5axxD=E@7-=cg#ZpR6e^=z9@7_4q3 zFoZzezuI!Lupt%R1{;#qt+XbdU}5V!4JDx(P&xUv+9uMgplEY~1hy8gNvZDyw4h*X zitWKe60*54{0QY|Yi)^2?$%abmje|ipb>k$Y8b#i=kxyhH+^Av7|QwJ(Vugx#7vr% zGv(V?P|}S4TyWoJ(m*q7Boc!1Fd45)%%s_HL>-!65es208B*^{LSr401bQknj7H zFa53md`yAYo6$QM7Ke=+@9bb;s4Pfy;Ps9f?`*-qLCw}!Sa~$lYWCFalJ>XR7P{4r z?2H9n^m=az>k!Nih8j%~#KAk;oiq(0kJ{L}t(QY)hY9u*rG1Xtt$y12%d1&wl^zg%~Uo z(2(4pg}H#tlag=_JZNG9RB&Ozx@|obo!u)RKOTa#r6K4%WiXW*F^3?7K^Mf77}PXj zeSqh%p%)=YTiOI0M;gL^jafsGw$ud^MH-41yDuljks<;b$Vle#EOiI9#MYyj_8KxBQ5O zjPD9Q1G~<1Y9wxp_!++BVTbw*?=;v<1_Uy>vG59Cvnr1!ejt$Q9YlgZJ-Al~csI(B zvg4c+`Y!3{^aB0p7?G)^0MwGYzV8d43S`*xQ-RHXbWXnYBX?oq>v$@#Z2wg7pPap9 z?5RL*|52Zcsg(WPO88i8Ej4A=afB_SI(gK-RVeqBXM|t-RX~;^2a>MLr&Qv z<~7Qc!#cowUV8TW<>%k?{0rC52#qo)eK0F@{T%gb+c++U z!$EG^_3e4rw?Btn-~H_S-isBVeMv%hY(0}a$>*>0ch6tv@3^1OA{p7OeO312MM@qk zj`+g$3-5X1`uVrY^~j}N^Sqj5Tc`-ig~$b*eOKsVw!~@swSD;*r!p?rPI&C6H-G0a*f+Y%y5U`doK_L2d?X0BS&2 zQK?Zwpjdd>PWyK~x-dc)web-AoNM%~OB9FU1o&Be?{qqJup4~tV`~iSkA2Bsecv}n z=2`s;&pex#K@N2#C%|wMORc&CqNVL7*f?o6`vC=aj+PVO%Yq2D62|0cIrv^cVA+Rd zC0cHLFChQ!Bl;XI2i?oUM~ueBq=+yPTrPK$%xaAZYzJzB{v2~eNS$w7l(_2tvU5ZT z$;Jc*%DCaO^D-g29Ga%u1%YyB z{S}7>=}r$lrm1$pgGM$$NTasfpCe7R3u30GaswfoZ-d(GE2Q%oUbrAq^T@9<4p|`5 z5VIPBVya!zrY^$}fVru^?2O}Pl^rgOW_-348-CINs{tIMyVTY#&72w`KW3nfn&Ysi zVJ98jr3WYg>4LGpdvLTH+L4N~#3{*+N#ZrfEp!=^9UH_QC`sRI+|gsR5qDfNbrN6Iz{lxT4id8cGa7%NTiTiI%`$y%Wp# zMuYj3Uv>Cd4?i_vx7s1(v5EBy!BJkZtB{;+D=V@@x1K0GZAJvU$bkhO2(CeitFM0R zQ{;o@EADqh+d_|lylo&zU#AJ~_pIb$#np-}6bNGC(4z3{&=~Y&jp$&5Q@1|^Md6ts z=<&6>1BSH$8=5FQzZmo|N`?mXkpto~QFwmn5?*f~RUT9d)FZNe+u=;)}!)~lyUGT$8<7qweZ(1W9w+k;McX|RC-Gcc{M zc~ad*@RFNRYInP8%#rkv>g3U=#{(n(tD>%GLn~hcVe2yfS7pZ|9X*#vW+3iWuB+m? zDSUOgQb4gt-GC)MMTrqUI(Lumy8q&nV_HK9%Rj@b+M+}Zo!IgnJ;vBPsV6zbj z7DjN?tymlcI70$GL8-?&V`9bXAS}0p6$}2@=2|96>1;IzVGqnM`c;`z0+Vica7kX; zj_{oJ$4r*^w; z=xVmGf(rrB_ja>}@ubbPueyF) zQ6O8^O^OB+W)ayp}UXb zOWw_ZHPDf6g5YzOtR+chRBwhLe?}LC7E}}%8uZBfawA3qyhdbz<0$!!HSEWV8Kbci zLP}^F%obhsD^eZ$p)vLpT9ATZvr|PAD^eXc!2?w~1);iBcZDF;p$i7;V~OabyBJZ2 zE{R#p&}~=}j-4fIW66Ti0E?eyH&H-Ck~RN8C)HtSy2nIbBozmRXv%PUnt}=;7O@W@ zE&ssw3;XbL0=@B!^M7NiHvWy0Q_U9-6{Hrm5XGd;#h=93$xM2JS@0!Jn|xIh>f#ZL zKlW&!&`N_?_CO8nQs*ETZuX z9$9`>HMe6Y3fL)VyXe~OmKY<`31(m#MzycuvEk5zmtXnueZ}1#b#Ym7(f8rhk)K=d z_ky;y;*YHX_cJTK?P{^c#X#w;!3Er%V^(_m!-8O1YDcG}i4}{|0|)@gA6zzz{px=v zN&0|(IrzmntRCtSdkiG`$hzbK=0znp#3bb3{G@tR&D3RVR4GMKT(nIn(y>93{5F(Q z+u@WR)5E_K*_zyNJ>$}D5tn8@qCeI25mAeGACdFp9x0b&>pgk;^DBSew|wB2M;ojr zTwQ;9`ZaRns1Eme=bU{-=2y`|hXYvG9}LW{Za%>WD_P!PYM#zb}4t-A9(Q`0{4 zV$rp&;j?L1G~lKTIkswmt_t&+Yp;$&0HY>F-`ZEql(&!JadyhN?9n__w1LJRekk`+ z*&2Lzek$5;)8l<*15IHkL9IWy&*}LFV-djynIx-S?2SwltrPh(5pZDINK{>xS*c@6Cd&qmkj%knXZsWa~Xro4}X_@&9a>?nL)nwYtZA@s3#n9;# z5kjEA0cATPBFvB`7Au%D!B@!=WVGSZq9r(?44qE<%NXgjKZahHK7bQ#ylBORfk^wK zt@sk=Pigc`rvq-z%zUbRoB4A18mG(ItgbeBh6RX1H>E8A0{sp-qTFn*Hc&$C_uDM5 zHnfrL_k%#)pWAW5o88qWqZ>Ett4+Cn2-N+#o%YB1=KH_dUTq4xb3<#NFIY0@4Gt*` zq_Lb3p+J&?D@({#_SZoV**LoZ%fm3Tx%zCgA zEVn+~0a}tBvFA1H7P+%mXO!I#VC^)f+kpwg{Py4c>HplXO(+Y&h4;Ag15gG~rFh(g~_3*(8@tKSFYKBu{Eu@}x~_-df@bRkdHsEb2lT#8Ef$VDMO`nI$($IdJCZ zF*9^qGO;#SobG^~xydd=qD!C=x>BNvP+!;tE&VbSH1!-n|MHKxN%WyK` zjl{Vg8VPSrhS(ag@HDe+MFdrn_XSkZW>AD6&8!PzJUYRDxR{mCybnQ|Sr?p4q348m z>zYOi-TJ^{#gg#et~V%tGBrMuLoZdn;|7ijC3k?C^o`}E6B|8ctVXa73V=j+b411Z z1#tkDuDM~kq25MGIJo3-v1iu`j=llvq?e={ff6CgcG@53i}Y}da5?Ydk_^MJk3I)|__aha-&5oJ8IG6WPV8;E(5llSec~HF z_1C?=FTr}?r^|AH=~BDp4xk&<$i}|1z|RHQM=Q7!q{vxhk=+(O!ZR`s*TN3N^-Qd zb*QZfPh3stW@p1x?W>YSgOk4k+C%F=GMlHYm}3^Cg$UC}!Dx9TsJ;m1m}QSfUmO~O z3&0&Z!5p&y_YWQ;3Sx);VoJ=CBn1vrj$L9FP)M%yEHRR}|H)Gp4Ka&%6M!ez>VEMH zr7RkvwFT`vf-r#7m<&xvKWXS&@k%3?%x@2#*lgbQ^B8O+SI1Ne1c-h0OTQSW0+H_q ztoNipyeXfPS09tnqm4&6z2S$!pZkHIgnnRqyl11Hh}dr?TR*4n0y_0G>MoGFEQxk$ zlsNNz+z!vjFZ$`%|NXcAW3PD)8vP>BbEEDt=PMa$PX*99(mqTD&^gk^U|>{`1gheW zher8cEbMT?)3%AAkL`H-mTfyZrd^z>i@7QG=^CZ5-w z^sm>4E9H=`c8fh9Sgd)#5U&2{>CwJw%}ZmrE`>1LwSx)3JX@sW`Xrk|m|YUjD}E(3 z#iQ8@4=kbo`W5_ig)q0@69c2<(H;S`DTLW1?R5c0@_0bE|K%?M0KJ}%f5u+|{9ATj zvFYwBk;n4H;@|8g7~qe)2mI`p088eVfSc(hP?WK(Z71+}FToVbSlFghNl#G6sU$ck zNeT=(?SRHfFh>N+6-B{PLzL}OL&P(i_6JlHWuDmou2IT3-wX?01EpM0x@*RzsRk?C zasH^emXaz!))}-55G_#0`EvO7rsWid9M=y}G*G)~2MF{#+%Ex;~DFfx5hcywU z46_vX53u8U{(~PZUyeRr0sNI;t8L<2K%gB+_mGHepUyvPkS|J9x2?KP@O&7LJ zUuxuAYU2R#DN@=`qd2(@_0+);z}PZO>FNoebr2klZUc zT#I$RU;PcA@*6)ADMaP%a3G$scqH~ApqP-)q77+WL3GSq5Ct$os6bN$&!-ops&0ZC zLaZn_AlIqvL&0aX>_;Ri5YJacKN-f6aK*Kg>%}=zQMn*cg$fe@Lf*)CLy$gM2p*Mw z5YC%5OobrrrVDP-^MdP=55vnYD|*(_JD$38Jf`aVeE%4d1eITuA9d(H$W*`@S)Mh znZSG%9-~B356lygwspZEs&jn8a3d)_&HEwKRl$DVje0V6r zV2g)ecfj|pEvQGiT|6yCm^I>rIW46)T+)1)k1vl+93M&6>~Ii1UmOnc$nyTx;gCQp zE=PYJH|-Cx2?$#ac*s&QiiD5A-H`>OOPK(KLR!XMXQGz7y;!y2I>NkGUO zJKQ{6j&n?SA4sCUBh?%D90cK(C6k%v^&2rKCLayE(V|BI*RxqE1Q^5t!)~;2qVN+n zX3ml6vZZS-m^s&Ii!0}O()2Te)hIav^(bZd5a|<9dIZF2S7&m`JT5Idw+}BT=#Tmp z&gL@Yav5(Io8xtMErcWT(GjoP3wgrI%#OhMR_2Z{LW>i(Xm$k7zeSfg0)PH{f6WI@ zcjLgm!N@{A>_MegD)1dk4GQ#P-;wVgaLUjTa9|7c3|VS~&c?#gzzNV1Xo77zDrVx; z;=g9?U9e3@#VK?IFdDDBU`$6{t&aW0BqRkA=rrq6C0>x_v0yIf2>6PaQ%E9jB7cbd zsDcF}1n-9(mB?cPt~-E+1V{@YUCeEl{QeQ?{R+7_X^PbVp3a-A?R@jN}wXYR&%Bl7yUJ{{Fd@x}&g5B{T046xl#X#CkIpRe(6 z-6)@Ti)N#I{w=!1D1YjA|2J2k645#0QBVMQ5?#00oo{sJ2c(@9MQUGJblwElTg<0X zQ0zdEM%e`sM=J9;7~za+AXcPNc0nUAAbJ)f>%`!NAfs~^JmSa#2ZX&NX$c`+iCw}tq`N)i;8zXVLlJ}gt;C2vqGLDUX;`?s*p?K zCYIBmCo0Us5_5Tl*`D9oI6k=*=JRgRY#i^#IKJx-e%62Xp)ii>skm`8zXdr@&dxX| zVpX>IaRSy7vFqy_f;nOZ&J5*r#-UhSE6gESBG&z3!|A?6?g0DW$F@0QrPE-n|LoQ6qTtfi zvf3TBs|C{$w8HF1KWUoPt`1|{h&vmQ-@95I#9w<+AHgo`u`__b!uLxD%i}@!yZ9Er z9{=yn3&giGz0U2^>!kQLy~>DhrA1U8Y$``)3Xc1=rqS$Ah326zTUG)Z%J4ubgrUa zqx0t3_XSDygNe?YSCDC8uA=5!bbln|KlxvN*MI%RpBPLFGC$4XR5nHFsTc;wQA=VS zV!#r$kP8}bH;4r{E7tyCMnX_xQk~BRIMrTBWcL6eE2b#~#gTPEP#G$76PT$LfE0of zlbRq(nXquonr@Ic?G7z5XOaqbVwGAasYf4P&rwLdzVp5t4jQ{M{%y$Q^ z`dSP}pl@AYY0Ywbj#y0A1T$@aA0-*;=V|3|WFxnrfb5T6Zjjc6d4u+gy5?hg4w@~F zpjrHd>_Di%J+n8dlLHoSKNI^0uRHIDb?1Ffx^uVGSY`F%98XIlRrm|qHKBUQE z7&Jzql?dsh)L6yms_szI&X3y|)w7ACG3p{Vahz|?^E0R|X?Gc@mCv8=eadt5?M|EW z^F-QPcmghWG3-s4gXAYTNLE#O;ug(8^4$c<-|*gFwf_~tDzEQL?_!j}w7nI}Mr?ar zlTU_o|1in2GdRVDH5cR72GcI+)QFu&8oE1lb}9)?iu3~=I%8|#-HxOq7>}Go7gIWxif0W$hHQT5 zZDYJeuME^=Y3<~_alv4`#q?kWy2Of+bX<~T%ouOiqIk=S)Th2;Fy5}HSnHvwPhD`k z0EMiS5%(BA(+P?`bxB03%83SwdxP=DNs2yo$zZ45fv2OadA9CTE!Xv-Q2n)-MkQ)R zQ2#CKKKmDoH8@hZMj=4Ij_E%a^1qKNqlcfw?_`BH)6icQh>6Kffec^Mr0^t6yIkSf zb9_Q04ZyAED!lWS@11Zeyr;kBt3UL*Q@9D}xtphChy$s#ThMb8!!N{vG{H$q&Qxq= zdW_%&ViJZ-o00;t6(@-{#ep=zNuEt{ApIO4gJ!d-6?t3T*V2uZst4V$Mt4^VZNJbC z`KytyMNrpzrG2Z#Ri4-lFBdh7lmQWio-znMW&yyxLIopDh*iF%7<%p-Xu6W|5U!WJ zKOdL$W_Kwb)_5+dset-snJ1(JhjWk4!2Lw>R_#0v}ll_)sT_aOGq3OtrDIWg^hEjfb|cpnv4&H&V*|3Uy;< zRuFH13!nAl5Tr8o711cnE?g3UvMOE$sZ3q)u;Q#C3IfrsRr6R;JV!h9ZoNW^hB^i9 zNveH9P>NzsoVi%AaSD0mC8MHxXepQZ#o(_fe2~do^)^#I86ARyOxFPbz5DjTgehMZIPX93fd~-qGyO zqp4}#%hBR-h-*wR%BR84-Klh<6Xcb@NCTTy%4&E`~Cbp^pX_X$Rze?^V|d#5#^o zT?_M{s_T4IvaXg#r{VWN9S+-)_D3407^eZTeHSard)<~pPgsf7WD<9Z)zZt2;4FR1 zSgmZHp?4)#vq$|>wLZsc_ZO?3cZ+({I5Uji4#VgdJ@vw`xhRi0n88x=m^us{?jd3} z4VWwxx5ABW0ZN7hrBrX*Ov4bQ4st=G+hWYJu`%NzNM+-KK=4f0!JNmm$ul@K$icL5vd{i(&G6kdBbO0upgTea?22H=r1}_2E@2~Od&;P{ldehGj zgQoym&ow)o7TvuJv=HO%IPH_ENBhbQo(mqF;{$UQEbAJAGJ^<8g=+x3NM*!j`rI{W zscVv%$67FbouQ19IObRRKRptvjP~L2S+w%pU)&#Db2}|cbh0%L^=qwfxF>YHlk$u&bBzHRisI}ZToFrIkUOGU5 z1w=$h0!oM#rEXzmv@NC$L1D?)ULYg)A%Pk|c_t7)c0Kx!sUYvI>@xL<_^{Wnts%NWX`6;M(nzl+2!f?!z}W;oD4HhJFGeTJMYlB9+-ZfeT~7abIk9?_ff;Y z>sjYG-%KpKSzc|5>xbdx<$`6q{9yTV6y4Q2-1XP;O-v(C3KHYTfj8KMCxuODjBuo)>e0U{0r zlZ%90NdOTI1|9B9@{s5nHX!2QVzSo{k{fNA--2;w%In9Jc1_O!Ha+lqir3%9r2t+y zge)fih-h~mtO6)tHxs=2u)v&?$Cfe2DIg*U4HTe+d)u#*~kCn)4w9Rr1fU>nL?w0qt5{Q zSPVmLUVw#RN>TE9gXCKF8McQ5sp2Szd0*X%*=N{eu$oy!GWBJfq+GOa=8oQ5w#9U^ z-XLb50l7}*bZBESBfMKN`wVD$v;F}X<33fAaw;6Pig{^V5rD)#Q&M~ev^ngN(UClj zAxzvBpKW1VVsGLDQ)ew77r7B+Mv{dbn=>k3cmJb?7xvUXM2$o3?)}Ucm7eQ}(Y3`o z#n=O$+rEh!8l(W@@3@H?xwHbzheL4Dv=tP5YS$T(G?z3cXl^us{gMLkDE=XSEwqTu z_Vk78XT;oS3gM#ykvQAaSF*GE&wbu^{*51u&gxV4bh8Goq8halgRjtLCc*k{Wdo|+ zUMS6yZK0M&Xd|O~v;+4>E!$JDzH&4-1h-2YT^=je7<>U5CKxp|7#plV1hYMbO(TU0 zswr!<0(2LX?P)XRyTulCZ}qCPJq=TiBlZ_l?CEj4q&=nOT@Cz=QhCGoOD!a#0@t5q zt|OsA-2DTLY#$sw-<@y}fIJzjncbc65CAEu6%u3s^8E=9VY}k|nI|U(SL?s+t^aMJ zDco)Gznvq}ZBi;x-Fp1sf9DwdjYzJ4Of&rD`#c|9}=#{G(-)?E_xR7(GWz|)jq99z`7OQMLybS! zxv2FfsRUk>T$=SsYv#LSR9}#U^-&d^B&pVY%>(+iwMPzScW`v7b(ci?S%Dvib-(7x zz>j)PRG(_#u2W58unr~X3Y33Upw-7c2FxNMcBwY+RB}4aF-)AE3MkC&L&o35N<5pS z*Dbe-60lI7awOQCTfKd~et9ft&-MvzIzYeOSk02?i=AMa+Z!#7MPaNaea9-dsc!o* z+nsh6Kyl8k-VKHMU--j+>FG1-u`*ucbZ#}utf;g0L1PX!A{GR$vkzc$tP!!`S{STS ztWk5Tc+)Kw9Km&t$AU17eMB@G3xW`9kk%cK1xM1lH^hR#z}3hJF;=d}Ml1;MUVoN1 z#DXKa;zA4)vEWFuxYlDQv&H%TL@c-g#m^iI=FunNF36|ZXW+2Tg5tUdVwlT)21_B$ zK11pryBR_BicD5J?-tEI!`=7{ANtYH{)yjsGSL9ox+HNCau0&|9LkmvY<+-QB3Tq} zQ4~c+0$kMnkdhL}w)rR{BiNWfZV~U)2sZi*O>inBkrNG*8Hti;n94|$M8j?}C6OEa zrc)BR!EZVxk?&3<8pcu*`Syh5SV|(_pXroDxj~PalF%655MK?ppF#T{TSnu^oCqo^poFc0RP`>1@v1~sgRuww@fyY3SNM6v8*$0jNWWjguj`hS3`Ubw z2M}u_6*Q2f-Ec|Z2ROYIlHfhp>Wm-#WZ^+9{EOXaH8uO*dunVzQ9xK5O3a(^UPR%6 z-;TuV{Ad5K`&u^j@b`k_?(y5H)&MT-+)T##&F#MQJ8GK_0HTu68;4^EmDdW<9;3H)uq4T>f^EX=0P99PIH>+RC*q`aZdO(8}K2l z-fX__5(Y320KCk!Yr!f^Ghfv-mrL>qv+?~XgoK;AIpH78#`kWF@9TflH@x+Q-uU*z zw!j|49wLMqXxBx^TZYv#X{`4U9UxBNe%u1|+zE#9Wvj@Vkt~_siBhX8hVkY2t^TFTVluv%`n%$MFOdY(Q!g3D7iTF^2`v9%!?9%fEKeF=wWKH7ol_Kh znnYe#9P7!FZ`kW>A2Mpr4Y2_sOxm%M)sS2H>5q z)`30Ln!7W4y!8C_JKpl_Ti^Lii>!sK{v3utnp4->CvMSfdC$K^%d>9LazR3rXJ7xT zzvhQdH)9FU9{_j&y7%3?w7dq0pk=YiZ0HNtq^o^}L@q6F6Ks>&K=;@4%hB?>U`%Ek zLF&=+x?m8ZU}%dP)HpOPuL}kt%6e_6{Sc((b-_KLZ<>`xKUjY;w7f0|F{LEIKp6TP z1tchz*Ck^z8&LcG4_N?sF_~>llId$-GbXd4#Y)3?`-;i)e0SPpHguOE>Cl~LzCAIS z4bw^oS5)p#o6NS|cdg>L;~}Woov4#{wp!$04U^eCf$o22(EjZuHjJt2g`pK_<$LIW(Qz$8;x+JE_=U!Sh+3J#xKmrC? ziEu&QjX}Ls9U(}~;etVfzC*3E6Qts3f{g}!c^otwQL(!qy0AF=5e1ebxJbJdd52xl zz)ui7EHPKIo+A}|6Kpi-8}QP0f>i7-7&PeM0q$26#qN?|#DW<^pmc;995ikfl$6&c zkDJYIuqG9|uZhWCMuUzP-ocs$CDmxqnI!ElNUBY~W>A)^l`QvB3QKSbI&@4)@?}W04|8%jYs^8?_Q@3i@2GiVm8+ zn%%Gu(Zt&sm~;YTgdaCcKX#tiErmy&z75MUQ=#7?6*|ez`C?pb4D4aP)ELZQsTnNw z{JWo%F>ls}M~A_h^V(ZpNWX^NeB42X#Oc1$D%kb~Bj@6*>*tsyh>xNT`e|t6Q)#$ta{1RMy>*5 z35sSGIKfcGo+T6y?JJM(wHAqOMLI=h{#%3 z+-%tfo&-;ZnKUA5Fox5%#JFg9_@oyr!w&L-5><#BI{yoUH&68}lRRWfRz@pJsy`q> zoSGGbAtY&^5JKoMqVvZj3yv{y`SSYG}5mtPL4IjX$J zsPe2^G}kciriS^`zx!;D!CXoTsDU%5&aGvB}ysR`Psr-fg#)`FuiJZA$p?1stU_4f-VYZ=f07U`p#GG1MGuJTN(6`;TyqXY)qlv*ulE20Aw36aa26ND!gO(LMx~c1yCbaI5O)8pt zYuE3k?KzLz^}A)EFtzuf>z8gU829K)K6qMaMDqE|%N`zrywb7B7dLqbGKnmC24Sp?$2Mca0N={@J5H>jOA&;&Ro7Xb_ARJp55!7`n4a|W^SsR-^VP_ zZEVLw84ptc`*=XAUgqZ8tQ>$7*HA0P%~!CAg%MD@3*a}H)dRs{A2{Tg1)Y*4oy5&< z8a+ah9JB0JdrUmBD}tIvOY0#>HRFO?j4IbT z9H{H}-QZL+E(pY%a+~AOgC_5>^HB`>l?ON7AJ~bW|B&ur>>%PN0v_6xnkv+0CkH(mr z*37r(h?}BtK0w*k8%>hs{s84K_5x6sK_|S-#PFj7Cuc{8dheeN@rzv22=#F7paM|8 zH61mgzmL3CiO7AU%>VtcD>v z+}+N|-xsaH{raXxca_fm@u-bZv2jqp9%u}Ip?i%FT+zM8a2R2G#y*YPBcyhmZnt+? z$tphG5#BS)ATx9kvkc&BxrH7s=|!X9F+Ua_M)Gm@%#tP_7Xrmcm+eSXGd}Q>`Q{P^Uzp$tOgvl6Kq&ZV|f0?rLZc%U5=TT<2Gs^5Y ze%{yqdq3w?rfdfjp+3TDHDJ-rnX(vGx;YxwGx9q*6_X+NgW+frJnM*fm^|cv#7^PR zJ>))T$`Ba>YDj~&+#A+24xJ+Er?zNGkdO`oI|pM$N~R1*4ij7tS{I}QVCUH8qynFb z&h#z=CDj3wY=csHw$o^TU^>J%LGwPQwRUUfOj!&{#U3@Mc9tb?mR9X#m~i<*4Wt#f zbmLO^ByFYcdBz-43g`cBGQOu|Vn0fz(9un_|3$fLW~({no5(vJ`73#oW0UV|m}>IT z(5bzYSI*wnOHK9N=cxYB@iia+kyKX~dU)saa&UAb8ovZLZ`2u%c(xps($7ByJT#_P zA?pR^kV)J;=0ZW?u>ikZp&gp4B36_fm9Mw}x}7TWu;D0`DkwQB7X({}qOv#Z0n%G? zR4xdvbkWY3cVc6b^Q+;qwa{y}p;`oQ0LT+AB zjurV?zqquBse6qBEc7gpM)pJ5KfL{s%9?W;`EJw50kv2so&4Y-wxE$4)S_;l5obOQ z)M9p|?$41j1$M&MogJyWaispO*FOB=#O$VCtvga4v0GJoeAp{rS{K|;jaH$hcEOY- z0d1OCEBgx7eHv;P-0eAB4QB1I!K9c@u%uG}b`v0_fAfHVN?bh6?wOOn$m zyCoP6L=YgMKBJM-DHtAZQ(*z5R3u{@x~5Z>D|lKswlUw8pp4DY4%$FLO!Sg3^N{fx8jY|s@|d5jzn;Lax3kB*6|vd^NXFs2$UN(y7T(;}xZ5OGg*TI9QP1dpq@61=kM6o%%k5b&d7 zR|Edb?7o`v%j(DKCTu3dca;IjtD9^42j6KtkauDKdy{4N@A-F3CjN~Q(|*8Q%6Jk= z8Rvy}13%!50H22GF`6CT^R?gqdrvoLqcjVLoekOy26C&i4wUd72INS{tjSX4fkTQ? zPjhW{0JkG>uoOht(04^hb?;CGs&r&|hMX z1XpC%xf7Jg#|4pgl-w*jxs4RX`z+2JcvB217s??MqVFuJRe0spFd>Cx=}5vOrS>0iE|3V;umIe!7#iQ|06HQONs?X^#DI zzQ_b1Z;r5Z{osv&QDcx{-k%ma?=KcR_7@0NYzh$7rz<_gk2N=F-w-qni7==(g-8m& zseC*xVqf7Sxqj~1mjs(w2}zzbu98PA+G}s56Zk9Z0ht(~A2D12>>#Coyw!4AT(POJga>0k*i){Y*sIs9_TBie*vGq z!3h-m-Hr~{Tp70L4+>g)DUUq9myHU7;W zW&B(F8j+{t}YmhR@StAaM|d*R@eh}ECarP4dFD47 zpJ-~reTG0ezHGa|r{(zGrk`Vt^Ua-ItV)lmp6kobYCKwaGKr*T<_(xqb-L{kfg?$NBEk@4s1IZAvTLhKZ|m z!Ll9u;|I&j>EqSh_qF_5Z4=*a(Eez2OAqnw#%HCHeQA?y_k)MnYQj6+bYX7txPfcm zlmnG)!P}mH``d+4q4~Z+sX#Bey*y3ON&8O8wFSpKaV~xR94)>4zY@hh7#!oKU7H*4 zj=b^-wnKLQ`xIa7v+sNFm0!}xZtbh*uVW^RoT$Ja;)pL?zwn+HuAhIaT#sDZ^>Zjf z@RDu>NGvoSA<=D}Fw=gBwtoED%;cPNSH|Vqf@41|ci~yvJ<(fq-d*9YM%c@HqLJ3C z{;rVc<7a&JpZ=Qb)I%XAz4!N9&-zJj!bW1aS`OTv;_q)Z9CZ%=XvFDG?hz^ulBi;i zY)E35bVgzh!N8Q>lS$6eQy`799NBtFykBvSl5fOzdX74W2>=UK@0=&s=qZdxU`#?m zff1Ayi@%R??O>+CWuv!y=x$|yAI_ALJTdE74}Q`$qW>#iw!1`dh?*O%U$~2Jec$N+ z&MvmfjMo0udmqdBcTRXaU|#r9Wn#b1w>NJHcCDr0=9>Q#QS-MzsNIdPECSnuC zl-z8Y!Y);tKW+?~fi|l!ex~F5HVbWLq3tWlv}T1mi+i&%enm)uJzi@kKYEL3?OqA8 z%4DteBNXZQnGSD}T4=HK`Z4eOgz)RX|C7J=SN-VEXo)s$ws*9hwcewYF9UTzu&~|_ z$YSW6yC6XMG#6xI*jP8VeTC?phSvpK3S+kk`jB&Fjv5veT9pm;dQ~?Vx?$1!0iX5J7Rd7 zq_TjKBj+$UNvX=XBuE%nf(b<41}MUMIg&SOl6yEYH0A_m^w%S83of}`pkiQT}O_zkqR!xmxID&HS8c9O1)l1FQ+Pm=it?eS>H743zis5^STLuk8K zm?OLtYuQL^)>~wpLttDoKxC54_XrQL@$Mjj**_kI`36kU>KuUwfLZ18AW7cH4uNdx z9)Ji0>w5qr>Y68=BXwY6)@?XTo&XJOq{`mdW3OLNVF0SN`M={qT34iZi1M1eFEEQwgMK?#yLj&Ps=u9)XSS)9WS9L_Z&L z(SpT5PkLQ3$C<%Uxmuycz6$0z(}*Vpv_TfLa*jF9Jgl}#O@>x90Qah3jx&#-lf*en zs&=qqjx*6#Z;)|>gnfux;!K3fB-BMjx?a+PmXj27=f5PH9BsJy>IhbYPLlb;CW(ku zll7|wup?RWq|yz|=anZ<%rB!xbT>U^5jw`FUMa^n@#!3yDxJ7YM#9pW%jEXCOgx(m z^8WNLgPK<=AFf-^P;N}>`GbB!xluGLxBCJf@VIlxdRA7Uw?FrFi+Eg1Fzd%0c9!7! z)fuw>@lW{^zvu_UhO4jPxnkW~f(CR6t{YlhWH7a+YhNLPiI8=PI`Tmw)1dI=Xjj;% z5R8}zRE3KTtHj6^FV}|T2*C)6aA>4VwUiFFFU^^TU_?YX^wjLQ2#9cK@LEgg1k1}X zr<`NNLny)>VQIyNqnxkCF%BK!5DvZBnY{(*pug%Avf^qchsQBjY)8O#AL$Lz+M|!7 zJIfTZE;;mlhwGsvVCcPMxjRz0`H9u(A&?C(!sgw)`9!U3z>x-H6Etni9WXK}SxbGrQ5SM2D7o z`iXfrIJ}{4#daxAC^ZtBd(*8K#Tn{InU>bnH*F5%@ z{6^a3D;s5AU|~@0f7FmDRTYaS_V8vl>*u#N-Fo+#DY6ru*KF3$zeSgHpS|^W{|_Jh z_*30ylcRP-4LC38RGXT-?=I-$VPb+qw5ido+ZNiNR-{%RAGJdr(FAv3#Zy6_0Us;U ztT(}_4ltT^7o2R?qFHZ(H}`5GZ%Y`DOHNPPp;>pyiAg&rO>i@vYA45|?Ix6MpW3+5 z+HV%)XS1}!$u^U@%rNHhsb4w18T&-(gzp@&ymJls7HhzWuG0&S=o-In%3Q)rftA19 zF56>%Lg^3e@)^-Q4TZl&CzkJR3R9Jz{+s^XU-@v1zER^e2y;LahVl!-9Hj52!W`=i zB`%Mpof<-vFb7Z050x-y@7$+HWO4_RIn0TuP2#+K z@RRyb)nHV8TrG-vp+0FJRH;4Tco;p&@j%3jvAO~vOx@9R`pgC+MCvu54u;M0n&Z4Lz;whcSh~a@DjW3e&>A|u5Ha3 zh23g^#F>0xCLeh2UC+KBxbrdX`xXD-1OMVrh4xh@9tVOMFAvepY*Ivf&yLM%#KzcH zmQ{B_qJ`n}L2v`GQ76dP+Xan*1sEE@nBeo36{VWyRPul~3$*O8#-~n@+SgY!uo?(z z78}7X9sDbtBeky!qK{3B@*F%*_)Zq2_HBaeBWH^ti3;`FUktUc3xddPhs-|(m&~e{ zixKVXk|0Di%C{X7nY|?4O_$s+7bvoGO$6ctNvVw6FNEnLI=k-HZuS%~&` zQbwTpnnp#;P6;KJ>+cJDoh{ z2EhNq4e!$Xnyyd%WrBvQ>Gjgkw?sbwqI|rR!J{6)d^{tvbEo5?-4Bz_(*AIKFX|7J z`a{|u8T`^8x8lp5FIZf!)?X;)j;IId&l3%0G8gS~H{71_6N!OGcEitGe#{X3$hZEw zcm3wbJ1FkP^A3)}!LMn^r|pKY&w}g`Rih9q3df#VVDp~VpRq1U85Q(*?3aG;ANc7W^5M>@W*p$%>=#T( zqE!H70_uI)R|WC`zyc5&;+*a_hd~Dbb&{`$-_JS#FltEsk64j9$pv?tl~Qexl0zM; z5Ts6W!R-#ccl3Q=Ff1z(f{_bFJNk}O>L`f5hkEEsRSk&<h*Kw=HquO59gT|cb$&|UJv(j`0-LuL>!gpk!mjP)%aiBXzFYGNw1Ir(z#AZ7TNfz z__ZTXytu-RW@iLq24MdO-4?8y`I4CXq!X=ntc!_D8cXI5jw?9KfZ$+FoCP7RaEOy6 z9rv~-8V$6A17mpyeHpZ-F4+LJ$e!5f2Ve8Tr$Xo{B{9r2wX52EjZA2xiq!AC_st*@vg{NpT=14|RodayxZo8@IFi$jalwUD z;smM2fJFl&ALaUmH6oud6@Pg7AciQUA|%x)L5uQAAU21(*sL%dLQ=)ec;g4l%P9k1 z1P$_Q`6hZYl8wMpNY(A|&M(o21fB_OBHn46SPFC>5pX<2d<4Fa^beB??0)e4N z)2mI;sTu;XROHTHomT#w)w3L{qW{#7{nqR6Jk_rV)l8BgwjqMDvQxcDpJIlhcNeaX zgYLrO31BV`3fk|_k;27*%LtPh#h+4 z$(l#h`PC1&3w=**@27DN@lHj2%i4EzVtn%fh z?v2XoYFTuIAPMvSRY#DgGAA|&v@D4YNrvV9`CNpKLLz3FbtK;VWl3y6peSY8j{T)@ z)HIg0S`@-!| zTYm4i{emz3nkckrmDOWeuepL+j{zmi+@yu18L_V{mUY2Yz4j<01jVJX+U$M<y$g^h%aXKN;Dnr5&P*G_qS--J!Sly4RWY4i$c- z8Xj(p|IHIXFg=&K$vgq_Yj#f*Cq#M@lM^A^;RHyqkTy$X@(>;fYA+glkRMN}7xkG1 z1T%fRtK@1E9)dmc;r^fJA)MiW&}8`=bz=G6x-qtR&jfu{TgbPg>7InRo^DbTmmWe^dRL4H? z9HtVj59f^9ZMKzp>$tvd(mI|~Kv2?9!6*S0ora?-upuY7WM3 zCm8#R|K-QO|E`T<-^s z@lia(p;rqct&ud}0wB>{Occ)`xPYlw!S!@6IU+`Q8O)1OvN)KwHBCQs**U* zUNVYjyg3`g+yOsWfAf=uT~p^3 z3qP^3lxhaSBXduoHKU3Ub*llTeKp9L0uCanP(-V}U{1=R^hU4;v#=m2lOQox%sEpu z$PoMtLBQVoJYUY40t`>PMx(m2Hq2Nt=S&Uy3`7cMlW4&)1ar<54BmjYV8tyH4&6DH zoaq7tHzLR=xf3ioQ;b4Yf;S|ghxtvS((F_K!4wj69DGcYX4)kWhqW@TZ$U(&@pfEK z$(imB?3&jLGK@=-%(n-gjyfLrg-|N5NpfZJu5uFrh=o=^V8|vT zUryL_-EWM)Tn)Gf{&A2;XXebO!Ovr^Mf@8jhM>-zVePAyf+tVNj=t)sSj2#GFqnnc znDE~MbPOoPZS%oAF8&;4)jS^sq?icC^ZD})&ly&EA!d6_)LM%L zJDYrF!3HRV_aHwdH9|6An)>%cPgX{YgE3VYEe?kBc8}FXvx6~R7R}@{;IlcHDVW|}?>}Ac9&H$~WT4>7} zIHoGT=-SHoh5x5}4s!p^8L$rllMS;RpH#?UwL?&CgVa7gp!Q~<)tvpm&Fud}xtml!me|fu z62)en2zo^2SKI93*d+zK)1REzy`h>+2S2GMRYwgItD?oI4ciBieA4GW=}C?!RXw@t ze`lVXH=;up4Z}G=VkRI5NRkzFZ%_F~y9H`t!YLl@Aeh^12ZY@3@kGxF?*^1eX8MIu9-|0NwRa4%PBnC=zwP; z8`)%}od;`{yAxF77xQR0d#zTzG?PZ=lTsHNa}SIBukLN6sv_~a}4-}ojzjTvdT#`QECZ0@+E8GMj66&8zhK*^G(1KxJlbY{`ENyGCz$JwaA z`#Gb{vhmAwUu61bbNio=d5%Bu1Ap4)AiZ1g?sbS6FToX*Bu`exa!3Wc9xZrM2 zoDKzd-kEM+!Lrh*yI^3L!55`@x)5YE-2@wkS%+hkL(`}?!G>YRC<`sw#fmiQE|@UP z0xBvi(x|&2F2OL&J2Y@~S52etf`MV)gRY?yEEwh%Bcr4W6jJ>m#i;w5J0Q8lJv!q5 z`k!2kx=RLz8N7>UVwew0M*Zc=1*zg|;`VVah``7O@NKD-x@5qqkR7c*(#fcw)>iE6 zq@$o;j~4awhG$Wno#vXyPMI@DlmqP)>ZdLDQ;VKjPM|MM$;JS zxm0@lrBZuRrTgSIYNNK^i6Hcm6Qk!XKb9E%y`TS8&%7M*nI>>um3zG>fnLC0D>}fw z>Oe1eoo#%<8l{p>FxRBOmuuq-z`|Xz5=sE>hu*gF1?X5)RS}0y32cl<-H^Hwr5R*e zd+bN9Nf}8ws$7U{`W16cs!cE09xw&4yO>;)YQqcA=s&;&70?Urlg>#*aot)+;%aJ5 z3Im4qayHQMP2e8-ys6E`whf|6(BD7$;gh{vwf-7o3o?$mmNLt z7WI~6&W_$rcJ%-H!k_=y|8me^Mv;uQ3*AD*pa?`#&TY+B+E-?MU2u;?A+mou#$Ihk z2u604D=z@|gsnsai5aXAjIt!KCh+O8B8QKIaz?CB2u5}km6RQlnOqTOA8crh5<)Pt zqoAAvYn}>%7O$S;NxRkzm)e*vM<^z!iMU;B{}2``e>L*82o@`58|vfB1}Vit?i%iY zJ9=I{jyd*;l9M3FnP}#=h-N|LLEr$-Vx4TJu(E;D}=HS zsWmc`9oY#KGAOuSlZO}T!VU(Nmc4pl6E@Oh4%7qiR8=s0^}r9PUO`RQnA>B;?A5p2 z11E9xkw^CG!Lm*?90H0h`nb!X&(c(?C^`g}suE+#(2bEKMW-#YN;#>pbkP9|;w=KU zJR8~n1tqNw8cxsOZ9tsd8H$N3`P z6&H;939M$E|A6LP5Q=gB(&rGH^7A-57Es%%4FahM{JN{8v;Ynx`P`NWo8?CVGse&T z>;K~iUy4+OYCl(6rVD-KGJPCedgo|FF*18in>|!W^+>WaWW{H=F6)IQBkf?&McEkw z&EHN+rn}YNa;71eoguhs;CH2a1(=xX%Mi@Y&~dxg;Sd~`ra9mcj8buO*ml@O)nEul zsW>}qOO(pHt4C!0BB|lG_mxc=Uq45i%tYw%ahjHD#qVLx zkqohHiLC(#A(wP_s1oa;fy0_C={D3E>adG}B)vXAOOU3q4gd-%fWZ2nyesLlhnJE- zbgSu))0Eznw&va?!NNE=I(;LT1RhW62c^=JEpMS2B_wqN%PzxZdTZWbMR;@vDZ0EHt-$?l5q z6q*iiIS`)qm8Fec5UnXpbP!CxpfW0gq79_;T}Ys99@7$xL7ku|0~bWbNYciK{eiXE zvLYiTKXd~AvL0LoMOpibn=RRqpo78u*9!{%#su5UPh1RI_3DbEtW9vY2iXxQjAOD>kgCm}C^VSoK)EB?kMS9=Ih64@vy!F1gxm7wa9VK<9vlHga>?Ul6qiAr(( z#=oIs^1k~Cy>!>d~$i$k>pRse<<#5Hi)VFm0fGrTTnNF*9wR*-Jy}9 z#5u0nz)5?G9-jVUB1H*7RCLVn`V7YSc~XiJC%Il)!zuEu{nextB|MYXoU=wi(p^sJ zWl@dUEjx^_dkO|5W_pJDiGF*r5bH==RBzWbT4g{qpjVJ=B-_0B6KhG)k@sPb}Zt zFV?hw=pX;k7yY|I&lWl(V`Yqk3*{kR|c0{lLh%>q zPtv_8Bq40n)5MUez9g4)FOEJnw<_sg{^~alt^yT&zCWnq>(TcZVLmu|xj_h>#X_Ne z*IoO?`kZ=F_24jsQ++*B|2J*zX(ZS2*CYB{Mb8W5RMyL0VNtGkW-?y1HidT5FYe#o z$CMG($tQ}YT$ZVKyppW|hWSzZq}EkyCoL(+oUA2#wT$@>mo)l6wptbXNP*N7)7>jZhWa0>EqszEIfOEvAIjUJq@6Y=ZkW zW=@MBCQXT{jTLF@n&9!EQ4U584~S4{>RfP=*pm7g;0Qei1#2^F6CXVKnK0Dy)sxsYpSzP(xZCM#S9U&`q$4Lp+fP29}(HLx4clwzvrcAuU~%tJz{fzv(?(oFZ5B#OS z``sUmEUdmS4it6sG7q;L%&g4Tcap+YG6DWWZl^e0B@^J1K znB)`KBU6V_i`r16Sz0?aq)H{5?or2PfeIQ0*DKPZmWHAn8KFF+9ryrq9JEptE2cXI z?-+BWnD@nWT~_hRiX}3d;ylQa(In?V(alqw2Sqo7<62R7z}r|$LR?MJ%|_wC$Y{Im zV@%1?+Kxm$O>h+CxD~TNZsI6F?ls?@osN4ZIRi#^c0Z*S^x5g%mP9NR zdDH7i#HP(N)Gk%Er$#Q->T}-p{_?J-r%v3W-ZIX4*W1av{_PKc&zJu|-y+!KZ;<=c zIay=u?9n0!B+3*!JI$9S*$G*}L<~Xnj10((8Y5vfOW<7z{n_mY6D6r^d_~YC04YMj zkpY=e1ID3O8*(}TSFlCVv|vke4VciM16?IzPge$|wS&P`qIcnkMr{>99ty5EXj9B+ z6&s%Il9OEXsRq1!YLaX|)qp8E!8V_2z(5{71~oi`95vk0f34e5g-u?Zb8#tDaKs&tJdy$~pMKI~yeZ zXN@pl`lNGTVwA>zxnR!Vn|{gf_=J}upQ|^cx9Yc#s%>QQV;IQh)*R#SaRg2{x&;7BZacap`b2lM+59fQ4O!0rg5 z+%s7MF9m2~ZTPXn!TIQ{`p%|vOXjBjL?pe&gZ^y#??OK3E@62 zrS|=8ANrO*@i&4iD&E_8!2y@uFQ5SkMa2Y)o`57`Drgx*HquOphT#EYT*OpJtON@7 zh-t+YHX7QO2^mMhq8*?|!G`w5idYg65NKC6Yj)oiAZo-^JoH*KoQTnkCR?w10c{o9 z7gr7Nj1;u?E1$R=f}wpOxFDS?54~C#Iwk}wt`=5D@|#eU159tyzSP&4V$3ynYXr3A za!zJKn@&=lb50giCA0Eti-Znxt>k~>oA~74`QP{^KIy@3jTGCIUq+qIv@4gPX2nRS z+$Uevl>3xcher*4ZbBcpRvLspXXHz%$;_oWwfvaU`&+;6NB`ts>y_TX_av=35KeLGVqJ@!(qlt>$+mZ-tY#e~wsq4%4eW}LQL)cvbq zQhK-=d@s!eCtc3Q&Ib>gJfi8~CzYNmm0<_9s2%;XbNu8R*Hio(8Y=BH#y2I)T|4aF zwk}`+%?BMha2&mJ^W3fL|IXmOCj`7_?$e1(!eeT@Q@NxujrWaz^^d&vzY2|~b&Q(} z^PA;>ZZnsDXG&0N<%9`1TRX+8?Ngl}Nj06VKt@?@RAJo#C+LVLhKDh?!@$u1i)HTr zVVseQvPi-%!SfNEns`}ItcbQs$f<8ns1x>4|3e8{7ijt^8r*A(B z7(u`6xBs!X{ZN$qlxxa$mTuwEVXcj!=>f5+0(I;wM03`3_*Hn zF1TIp*wF~TZ@D6e3|{jPq?hJ`=p@t;^P~{#SEQHL1aW549De}KO}`>T(ApA+PdM@Dj5vY4?i5{^ zbtj+7tUG%GdkzlKR9-6_?62cF)v{7qZpaUzDD|mPNG;NuDBSmV@LW0 zr_eeXj@tn_cBEgRN;k`+a5?N3`vHS@$vJNp7|6_QF0#)(jYOnhaA;U|BT3*aXIf0Z zn3Hx;ZBRe>OaJx4)CWyX{x6JBe0nlW-s0+*qXi7YLY^%}3w*$`#e;=oMi2Z1NH0Bj zBMe|6ZIK#el`qjn-yMN)rmzDHMl|zrNr}~t4uR3mB~wn&(qAW=!NOlM@mb;H0dbLE zCm=4Muz~Y769%+?IA&^O2CsHgNNb$?T@T*1d@>N9Q;tfdg=Nd zZ+U0zH-d~{_nyHle2EdMN0^v=X|8-Wc(-Hl{_Q{f*`M^*fViml(MPhF^9A$802Rdn zt@xRn(nYd16q7vMLQPhp#2m@)g|->t*M7gTCYD$+N3z@HmcyE%7*~sanHB>T6nq5h7Wn1p2cS;Hpa=R(p$Nkrn7qvqZ9p^?4f^$)bi^ zj((X1SNm$wYy_*y{VHExp9*HhSnE1tWsI=p@u^sK+qmP=XRc8FFRB@HAbX3@RWu={ zmmO(B{JJ|!BJKns1csx_t-ie)mxj6LR(H=^z7Np|Y1#kXzx#goL5YZ<{6`|dVszgJOH^8=_$p}!PCR?tJGA#tfUp%L+k=iy^Tq^q} zTd(`}K5E-0hyzN%0+`OSKSyd?7mNmR?9mIV7ilLM4dR$))c-!BZGFuaRv~9=@t1u? z4W2UDEPF!$I&=$~?!nP>B?$n5HE9g}=%}effb2Oq@!HXOwq91Pd!ng; zsyZoN&nXg67+O519Fs7#w5jX4K^*-#Z{CpPtY?Iqi8|+I!B<1fWt!)Nf$S~V9CO`H z%=M{%>*xM!e=U-X>Q3Aob8UyUzFa*7;Tj8WEHiR!YKOU=buPYdQB385e2FXtRBarl;2381ukiHwGtaLSy&}=P<+@P8N#y4xgLy? zlCE-J6HN_DmW!tZIO%T9a*MV$z6H5VgFV|K{FU-O!VgbEb>8*iuOtIV{piSga)1lY z6R<(`s$xwUHtLcfVc;YahWYSO%XwbxD}~R~HD6BnN$LZ65%xMeOX`C8S9i>?r{^(7 zi>s%BQBPgud|fDWd5M#3J8Vv19>>$sWQ#5NXdw+x`DoJ1?(z4S|yImhDJD zui!it)^XH0O9B}Jb$^KpTE=+2Bq%eT@ZoA*67CQv`^$D5e~t6aH1F%h6?A1v*h8T1 zFL6OTK7KH`^s!0R+kncS`}8{L><16AgzkD~8!?medfdQPijPSbqkIQ9Ac~)&Ec5ZJ@>Gtdrc3qbiDICb zqRZ8zJ@qG|o&f}UM!(!2`sKV^GzX@4Gezp3cM`(R_oY^6+x_yNe=^eS?t6`FfO=UzJcU;j-!!?bI2{R2PIyLennQ2H)&O8Y- zO{a5e=1IOe(=$)<-I<0&o`fHTE1H~n5{?ukA7kbTExB6in-k;u zeN6Gy?NjATl|ro7I}7@S_g{%qVgGcK?0CDq?DY6!PrPvHe^FEGfVCVB#b@!j5_k5& z(*xI_3j~*7kh{c|Yv7oC0g1En0r?1JUx3@m7wO|lw0vF^SK@s0G%e7cBF@amr#qgH z&+RzAq%>kve;)TmP{2$tKPmzUVyW1C>`AwYka9*bWmfMQ#dQ5bkl7?sb%AyXj!N9m zz;Y!S;ZGMtmT;sunQ`*;k7nZa?eF{gzx-3DP)sRNF0IQYZJM$(OqQZlEs1ha9F!cR zIxe1!JR8Nd->=!ajC>kWyIP5k{ANkmViXt-&2;gGL_H;4oHOcBF`C&uBD>w^Yje65 z$GaI9Mcvgd2CRfQM`l)sxTum*-+`qXEL&0P&iChGe-zy8{Ulc`Ic|Xu6drGbY(_ ziO*Ea*@BEat9Q&$#*_);(k!r$JZ6d$A4OE7B}upLk}|eMGbOa*N)} zLpU?kf)~T8?Y+4)lXCX}tDp6iU;WLAK11u=URrg$4bI-E)&Z^5l&gJ(z?7=S1%qlG zy^0l>Qvp^7Os_PxeXuslSW(w-OAqY$iflD5aTL*V7Kp#?%T>w_d1t=dp zlHCSp^@E?K3`JQ`JG2j?Rjxw~>h_()ZK}Y=Iw)__VOhhD$;7{z#)FYyp$)cE{vsQz ze`orPCxkx3p4Pk4c;_wO=RFB2{oCL1L2-Re5FuZwcpuTxcuU6zi!o6?#+%a^Lh zxwLkFrM2^JQEy^q%;)W3KL5fyf8x`=Dlnf~JMzH93t=`T78NrfIQo9^T)aW+0ei7o zTH6@rGpbRgcBAQvHI0nH`a+Oq+7G?ovdxSuZU9;mS3U%3rd^O-;sTOHw#Y)A5Tu!Q z!RTpU30+^Qn9)qTAm&GC2Ri6c4WJn-rtCUKXn{YK_I$qv?{jyKwY0WbHi}Y!V;Pvl zl7-B4yO0oOi=Q0Dt6s7zt$F`DT0eTpe0Pou5D@Y_cgW!l&NJVhJzzw_s z<$HwF!zEL4xfQ%?{PGt&Gju%EcL|J8@5_i!q7KO8X1{dl?aK)iqzfbRvk&LFI4TTm zrhSOLIuEMbv{=xcoBl7VOH!*#r8Ftc4E{$EEg=>>HO)Dr%m~JqIM?;P=DbbVhf1ZR=evq(d#4n z7CDhOqe=0>8M!PLw_NXB>KUD}JWchP%lB5Df#c_W#s~iPpAJl>MxbtyEFJ}8^+e}j zO_l8c24}W=WGW$5URx1;afo)97GI!(QFBHmRR-n~XeSPzANKi`R9Tw?JJRNtQ)QD~ zekD~l)#O)FWorb?)OK5B0Q;O;O_i-B#H8dfP1mK$7T|W{np-!A_S+$9Exm}_h1hKN z4fe^zle)GAb*iIMFL%(dX&d#$LVyP3SFvMeMSG>40nm_z~ zpY})pX4rKt)wx~wf_E^wte40P(+b;Ho)AVvuy`|kD=javyKqQi%GbTE*ce@Qy8#V} zB!qp%#^^FaVk!w?6Aa|SMp*94IZ8s<1sifZm+flU4VSSHfm;CiiaI`NO?syOQwF2U-e*Q9NqSu_8Mp;RUG+Ae z^Z?WX8z$(JP^G+b5mZS?>Cfvc%;+*({rj`}cFRvVX0z45 zo7Rp0i{%fPyY!6>bAdU9?I=0!0`N9u_A4kTS{4Y&u?2EHP?7r|JL zVD*5$Nf@;v82L-~56UDO2<|v%stQK>66bhW0e6BqZV6VDHE0|=!5p^) zD+-qGSIlusV?`lExH{!J`O3ZbU`1h3r#p0xTY?n@)FAzeC2nb~D4WAz#S*s!E6Qet zIbHRKlzgP0Wnx7cPJSpD?qEehF1Y`NDifu%qTna8%99`nKlrWR`j5Omy64nG>|;l;l5vPJkijd|I=gTy&@YIMR);MFk&#*e zQ_~5S*ilL0;36X;1gNA$dNp?3DE4J+dVP)^5AbC)fLo)-p<6M>jxelnj~M9z%+y~@ zi5)R&6ix-BQAzKXbIh^hezgHGMq)gIPinRH?mP zkigU>5%9UGc_c-udhTYnkrY4ONO7im*dwNKipgHTj-}^rOGdA$rukf(x+~*;BR zB-~;-?IDt&j|7u>V$*yd_&qQFf3HjM1ZkIYXVY7v4qy_3j|3$N9Jl(A_LajEtjp@S z2R{%64@b1WiVg@t$s?H{{3DhX$S}Jh>=2qN1SQR9f=KkBLylSn&<9m%At+fS7X(^g zUBitq=9U!&o?wFLJ!4sconSj6=8K@@klH!!nZe?r*F=LS!N>IChH57(hfaCqulTw9 zI<0jt@I6;8BaYiVVXYA43eF%GP-uaZpH?{@#g-X! zK`^2y#>Am%8(k2d`^^j+w2dx^AjjGUMi@3|8(pwr_c=$XzZlVTPIJ+)``ER=7>P7| z$r#Xsj;evAV6L3CqYdam9HZ?|wg}5rOvJP`TSEZqMT0dN2fE~;H3R@-I9M~^9`tcp zL%?on5W{rze1D=L07*D4D7xfH2STHc3p>fh7s&oX=PT%(VGeDeJV2!e!+zWZH1FpcgH@O!8 zIqt0)K-`P;a>Kny-(v1t-8|R5ut)ub?Hafj|37@ zeeLu85kassM3F)3nQ>B(%;C<=y>J8b&T;PTbP%exDcT7U(bkVdYSn5iwqlH?MJwtA z?O3(e7=)UBsEA-J7?T=lO0oU@*2jOX_22t__I}QJ&$;Igd&uQ|_Sx(C@4cVB_xk*; z-9C_#4#cXyYNn@r-ID*Snmjn`cgETAydU}u+qG#(%?zbl-w-`mC6y6`ZB#=%U zQ0{92i9Vn#YRldM~Tf?Sh=9^8G&heVRd=tv? ziFL2N+Y`%=>E1W~+%Nf}*F*QJjp$u!Yt4XP3kO(%Htsil5);B5w@jHq_j1Y?R8{fj zi%YGw4U$LzywnL6m-=1^;X0SP#S*06>`zmY_ja#PD4`9(1)+0xuNW-9jguO+CAw5w zK3zYos$tLIKjTSI+5K);(fQ%ANr}rnu}l8`9`pA$;MgC-z8wa=GWRj>*p{DAk58<9 z=Pf^`eGgyukKgz+k?ap=F~AGR!_OWlfuZT*&|WoALKT)CdIeuPJYV>Xa8%(d0!O`Z zd}j!T=ZkaHk%(X|7#aT%o-Z5;@aL#f-Jp~xx-wP_&sPE|ZC^l5US^_dI$rTrv-ap=VO#MSbDv1jZPC6g{4$ssKK z0{4h4F@6Dm_4gaY?NDDbiO{idJrC4Fn5hfsEF zU?4Y~9}7#0YI(I2d3YmDuF&Eu(OhqOB0rLB)N#EXaJXe7e#YQq$x0nDwn0k+t|Q+4 zu583XFiW5mtRBA53uYsJI&IbH!H@!sbhXhFVJgA9r;u$-bMyO({&#HU_%})=Mt;wiM*`-2lZUp#%UG9eia01K{epmPoyW=|T~nZk z63|t7tQT43J~}~DAv1l*wlRbD;O){9l)o?ak@$X})t6rQ{Cz$L`TN{X$H)2RVM6Rt zEW?D*%wNARdG2<639H+d{y5P(F9m#mc4bl%Ujv&Z1Ysnqp0wfbF2s7y;(XQXuZIwg`aXKP(<>N45ynj@!AA+q8Oho04C*~wO#P} zsaISOSps^=5X2aC_0U6*Pm2o@Bq^lXN6>KeD^jmC!Q=5DaS7_9ob?JHIacKJ(*)ND zrKo^F074q>BFG1&37(I{KS2Y{_DH)bxIH^7g@y*&?x=dSI&<6z!~yl=npo*qTT>bQ341~L^R(mB!ys4H^B-oq(xMjaP7OZ zRH6!(Tw@H5DOXaYm+O%TG?c4AW3c8{6nmA-w+Dp;49uazZbQY=6^Y+!M?Y+cHW5ki zG4)5!H)y}#98?lmmj0G2QH8C^`HE5`fD^>WE&ZO8MnLuX5c#K0Vh1J(SJlXYujYa1 zHMt(fv-hII?VpTJ;<05o+MypGUcEmX;WokDUEhX{SY{2r5VHpL5s%D7>9~LD{Dr-w z6U&d;f#3E;f8~dMU)TYyl6wBaYNn{kVYCCaHm<@PKHCM)kXEt@?lwA5YYc-T;f#Ti z5xL%tt(I(;qn0uL0onsK%Molf)r=n+YDR}z4SB?su&4=M8cHaMEf zz@dyJ85UsQb+bAoUE0j1Ji-0 zP1^OS!)`~%lq)i!Anp>Fe0QGt_F(K3Na}c=C&mq(WWGNQ0|T&(A#l=pmK%hT9h!nd zsd2ETgjD{+>lhUL@VhrC|}{9-oMdr(Fr{F^aR_Y%-Co9fnEz!E}l+p?)fXDg69G?%-radx|D(=v=- zbqm492IUr0?R@}a7|UX+FM=$qslJ4=ET;NtV3rz3bkmE}>|Rx|Xa+q(6gTVsH}=FQ zJ^Y&iy+l~tdS4eyeUFy<#cD5@k#v(Xw!QKbZo*iobNgK%{M~8(tHw;OKP`enRt#K` zlI&XM#3ANm|5%EL0VGGezh;}3IdRvtaH;-W=ERl6jNk$zgFhgY`DB_C_r%1O^Kv_b zD#H>NJ-@hU-Yx2F($cPVKkZuI@L50k&wkNov^h%ZAh=!k2w~~D9^_+7T=drOxqkfU z){~fzP##i)`{=fPdc285rtci-zQ!`1*yP7c9oX3KC@x>Hc40qqB$w@ee9&&S2&>|g z2Ved-_Kba^)Fr&Hp*h@HKJEeNmb^TQfPJ%~u-i9DB=F(?qL2!R%pjMYGV?bE^kH6L zGf0n1ZN9CEo6?$;zQuBmxJ^)*R2-Qr$?A z+BbX5yb??ysSj#?{N3-8;*TF4MOUKVIi!6{-r`b}fOFEa^EW_KviXyZm4M9mk}Tx< zn$~>9gy`BjhT=SB_`FLtQnCXn^~#!8`LPl1nT#>9Vfio-RyGX)NXyVE7uQ!`u%$SyZy~JxA*S0H#;Dl z)A>^c<_qR_93MYe-c$z3*7&5qmT$h}?Yoo}eee)l4Y34F5;pN$dlSJ!EVVnPvdTj& zw#!{ql)$O^>fE0;OM2x4uf6)JfJ$#)8E~61kZ3PZ6ZE-Efj%9VYYPqsL*d3_X_+O( zkGgSQJs2G0uCK}{DgP=*Og;GE%OB0-q+b5$qmO*(Yu-?*;)|oE_&vWQEYg3i?J5JR zc%l+jwTtA|8y~uT?fr5+a%mi!C6{z({I$A~3y}+$eODMe%(*M$a_xl2ep-IThJEp# z=q;LeS14_>zVxfSvbX$QVav<*!@vFaej;EIikTj^yvXDh=$qqt&`u%`Z6$#`JwTilFmfasy5%UJgb}45nI?mKU&0o$;IF=m3z^7M@9WO5OEggNS(I zx^rX6Kl}UGnnOBgs&n1lxA(u@?zDV%ncQKL1K%EQHH@(>bG3X$|2wvF{2Qe%a$GEs zh-WY%EOiT}R~Zm9aZKKzE){0bx>~DxgIV!JRhBV@jTl-nLz^zf=P*hyfGiQ~}lj z6-dRKhhT~m(A;F82tcd`xrdCNKv%3D8nFB)VKPkS=(&+P(K4xlMayF~cyT(n*yo1M z|AuiJ`(y?WfgAq!UWDL^DDb}z9xkL#1wk#TwiM;cc0{@K@?uL|xrjTliLnaL4gWX8 zKvF09dlq_WmiA>V@U>s}ZGUt+7C;OD&B5HmhM1<}73WysyxKEy2^Sxr;>uoOv`y~n zHX4x4+9pVbL!KN6Yv*9v2+bl;TIJ9+7U29{jRoLuSHThstl6T$i{V7xPO!uRtxtZB zp>LgFi3JXzk=0nhX8^~=lvn`DO}R?Y0d6CWlO(fe9*BUN8)$2Z1%%zMTz82D(4<08 z2V(&=J(bgZ>%;=jM>U#?TtHWm^du~yAi20N|`iTFcqay+v<@Sl~Z-`|o-9Ez{XOBea>!?lnV= zE~!3yRkC}H&}MzI@jGGKibeO4*;pCvmDNyJi#|KMCuA+O=o8t!WYI5Y_Zn3TrnL>I zTJY#JJKd;S&IciZtakbii@xIkzbeT}kK2BXrL*Zs;K->-$i#EPodfTn)!YbcdND4= zOgFa7&1MiLXEWW{GRMN?Y^DJxf@8qIdn8QGfp?H#ZqXXm8NeKP2M6ZnL}vGLF*)!C zuJ44r1ThdK53YhG@J32g`=ZaFf379PNt4=Q(+0sy^Q1J5cezleG7WR&h$Bq}&PC08 zF3|tpl?n9y{5vKS|3-;RFuR9HGgNwX=}<~|5#j1cu_F1?Gol97O$en{O#{UFW<(Xad<(!yaB}JVWjh7O6|bW|PXx!{DMst!^~}JU zGh0k)!oMulF9jP3B1f!YtM?5P3p9rYg3*sqjh{>r?|1y+&-jD?c9>FSuJY=av%{S+ z793a+Q1@+o0ErW3b<}xNIG?NFXA=khl!wn zvw!dco5OeGAh-K@1-5KRXB$(0t@>iz!cqN9II6EVruG!yq5mAGu*9WrVq7}!7SWO| zP!i7xH0wWt;~_R_PU>L2VnO)t{_KC_OW*wyTf>>&j3+myo1M~}qc_Iz9Cmv5^PG+8MXQ^Q=|!tsjp;?R%k;6G^Gg@Z zF1m*#@NmE6g!o!aIa+PBCnzqw^56nGBluak5jHq9NoHTi2EuU|^MTzO#gC98pr5C) zT0#;dF{*1a+dU8)-bdSN*oV+mvFzDcM}!+je{^cI9%kG_(4!erHT;Ta0o=LZGxYP# zgu^_?(|kN@JX%KEARxagfYsR!5kMoLzN$JrXi3^!$9jt{55Q~E@zL>95(wG`vJ%Y5 zZUcC!yz#9=N&4+lJT5;^1mI}7_ofqJ%}p;imP&icnp-w!0CDIu_}jX;7cXwCZi;H+ z7A@|@d=Ky%_u|L>7ysznZX;ILGEv`q64~sLqH|4q`xKRtqH{@mU+NSoX>T3;FKEV!hK=h6nK0>Ix_E_nCVyXC z)AoHLzH%2Y;IG&D2r{HK&YwO)>0+H2|EC^kJ01Ob!bZz*!0Y4srQUq|fk$1p=aM8} zlH`IYWL*ELKm6PN^7qHs1Z}_YxZe9TIG`3;U{t9#o2`b9(Wg;bM94Rxg6A{L1>(UF zq~Y}yHM3df4;ZP%w!TSNdFW$qhX5&(Ml+@3VNzG9=eMrPZF9K@k%cwG>owaz&k za<%+lw`jLX$;)-&)lCl4v`m~W9`hF20CmikFkqK0q+}k#LiAN-Slkj63Li^8 zUKbQTWmTDz!!m6J4fr(%R}(&QIr8g-!4q?Qy}`4GcOr~g64~>^n0p6U&AUZQq&fc< zT@z{k%`fWKuD=%T^17AQ`L>jfEUy47ie6+k2~AWzB$ zFXk$2_5(JkV(>tJC0&+i^t5?3b}%H{v%!n03IK0aTl>NqJhxwF_`JUBi~(Z9%=FEx z?}pVggV*I@Gp2YkcyC#QHzRXP4eYPwggxz*H9hM;fBZGy{rPWe6|*VoWwaJ(^R*Fc z;@y;#mJi&*Y+Ar6>2RFbdH~Nl1SO^AGp7!OrvNMhM5B?}g`lLge8n|L*QDWbjp@7H zijvau6;~V19w(9$^d_C4q_j*BF1^mDWxUV}N=nNQz1nkR4!ph7+Q{+Z90kc~f`{WC zib#+z7&wMQ=MiU`(sIew$y+G5m|<3bA5CewOHP858|4T~6%+3XUBkY`n0G2RvNRGqKONVxZTo3>S$brQYhAnsk_xh4`o`ueLjJ9NS|?>C;N!`j3Xzk_^vp*B;tI{1DZ<9{OturjXN0!pilMnwinoE5VYS-#D~cy+E5d-OlLoe*j+3Gi z^I_~Ehai!>qHlH8kkt>3o*?7cz=YNQ9L37|p;w&Ufff1qE0EdNpofuLcd-tC9~>JE z%e9O;X_K0Nc7G*L(f#UT%^lX7jmTYwWR6Uj9ZC<&ojDXA2(pFC+zDnC)+j@v>pDP0Rbiw=k|xAG;%ux) zcUcc+SvOZx6crXNmAgH8)zW3pS78Vy$7c&r z8Fn4U>keEvE8sf?I zNpcbA?T#7T^rITm)?bfkeckfbc9O{!-(V&>Q?tx4kXE;oOiwb7eq!Va_xRXTyW;5S zQNB4KjMQ`u9=sk{q;aoZ(FueS`s8i4NCnj=Pv9ngMZ{adCgK_0oJnh2o>3u6Ym!II zkXI1WX+Wtf5R@j_Y&^)X5KE`ubN)k$$jvEhEBAkUNG1OBP%b~D2+YuO>wEG;Ivx(r zdARONX7G@{&!5UZPXa`02i#GxZt~g(z?*(R0FXK*%#sj2g$c49agh!bh`0!`Kk&2p zfL)k?FO;~TpEn?3TmHT{?+MMz?KnQ>0|0%Tj*nOY`%{=mcP^bjzL3A)b>}k5EcE+` z8sW;O<-|6}=^$!^cNoWK*j&P_i7<29#g&L^!M~pm*vIAEj_V)H8<4OqeSd1+0M56B z*_by_!h8%6D8HX<$MNxl<VlQIg<8}u+Kg4y|i&+J2lMyqOA9^h$ z>KklkrwHL}+AKax3)S8-G2IV--mm_qKM;d$6@Bcu8^a=_Mdb`ebi{P1^?@jrYS(sI zb-)E}E)4_``}O^tG$T#WM?E6tX(*XkQL-uCEusz)5AAT85R|lm2}VB+@HuK0Lr`9P z6O4YE?RIc6@`Rh<@rY&~8XL@}k)(gVYEcEgBCbJPEKK8puT_5@g;$tl1HA6{JF9iX zNs5)XO;E-|RxBMLvT75PWbUUqZ%74Cn|S8=c1P#4>TB-LTWvWytk>wK?IiQX#Eo_v0(nWKw)H9{J zlK0ctRvwpA@})IfT51eWmnrQmXbxU`=VJ~j(egANo6wr@Xv2ej8!A;f%xO z$bJN};!2~v2QH%b4vHJGU9t3y0_*{`SP89T#o|S*4m-tHYypezJd^C@?ZGF4>H^#i zKs@?G7ccL00!BmxHwNv9Lsu_v#j%I<@{l3x4xPO`3>{!bfqoW>*_~kZ@{q+akL-LH zNHVCgQ+Wxg2_q|k@77Q9QCWeyYxQ%XbIe-ADLt^vE_G=#!lFNso*Q zP93Ap8;czmJTd*I=hfQiLiuM_ukAyC!aFsZv^8|8N*_X6mwyHja?538PfWU`BDB_~ zs1(``Fx`FE6ENl1VAA^J$<P4agm0wZPjuCXpS;}wd7OK_>t*6^#;GKcu>s?3v>a_vrn3C*Q9+QcGqx9vDnWbv_ zB2-Q7Nxjy6T;_?TQJbA20Tk3*99Vvtrqj@a38-fgDT=29)VT6Vch+q;Y zmy4k-b-}|LqkBYf1nDofv`Oxe+ZD+HnA}U!4rO1X981ab9zjQU@Cs!I5e9-2*0qjw zbjoQKC_7MLq(p`!vg-YBnW5M(;U{9KN6DR0I>m3KC22cP_{XICLZxR9YUcjvrVs$!$SjjzgO?A2(`=+cJ5m8C)`ug0qJ;aNP z@!}Fd&AUa5i}7sQa=+p5q5t4}!^O~)s{;ge3m65!_!Q%eIxg%TU>~;2!u2K?!-Uoh zg^M6v3>R#aj3?ZF1tGVzd>vm}Q!*d=aFJ(FBQ>7C|Iw`>Pfg!zDpYZ5Zb*s@L7Ir5@fS(MTkT z-}6?g+~wfnVz}gSwbShD8L(-^P1`Qq9C0yR5(O~g#eq4(FEo(Mw+C5TW-1_wUatOE z7Z;4YE0*G|5X1+s6Wi#mm$+0WSw}|7Q;56|(nS!qO zQcQ>+9Z773g`PA?lYY-x4vzX6ktNpcwYfESxH;-nINFVXO#7GYN`#By9Z%uCfE!y6 zbgj>$-|{Mc9AfS`TmAk?eW%XZ8K#f>Z0-Vu6X;uVmM%l#Iw%)5fsDJ}*|BGRaduu@ zXJ_6mTAZC{#vZ9P7u4R#27Cx=P4^6LV zzZ&8Wb8Q0fJj1eJfJcATr8aTcthI!DSOZZb*@rmE0{%Or`9mBi^mz|{pi&lwhht3} zs5JLCN1PqM^22%uJ_0xqL|kgND;+)G9u(_YjE5vrY4toOT?4h}xfL4u4>g{5`v$t- z=4muAy!UHFl0rVw_~!q*-C^39`_R*QmNjI@-FCcB84u|qPc`IJ zazxQM?foPu8h_p&`m-PZ$M>h0+mr*uG5xEb-~5XjJS%3XJa9r$J8opCd#MFy#T?@h zkU8(6FzF7y1QJAT$whwJ=%zwFWyO5lfTx1m>99t-Z>L4GVm=@0{}>u6?01xAgeGy z*Gm-Y%09*=?RS=Dk6%;YGe@MiaY+No*~(t^_ua8z)7wcoj|PFdkcWi?5ZS+YI@@5~ zvjf6xDEN8ISj>@$?@>B6>$&>(zZj&nB)ac0(fy9MZ_>*TJ&#{E@i8E!!3t8NUzmZU ze6i6&U;p{P;2*pv(vSMmdWAFIZn&D_!~)t_9kgy%-17+QKJS|9LYQ0hbj&ywST6OwIw`CO1;HNC zSrq?8q*C$7FG7`y;)ers0jrebr3+XkBr5amIRKhv9{CU`RTMv>6;@4YcGfT6cpG)c zjkrivyC?E&>-o3i^p1Pd(}HJTi_Pv}=oSij-kl4EO6$(GT~^<8LClunpjWbi?yW^O z1Zlxs@OS{wi2)`Rwc%G=-J}=EV+drCx{! zm!4)+2PDUyY00s6;)n_3lP*2h2pBT)Nu>>RWgD5I(Lq;wYDe>p;`?1JYMxAPW9hn5 zv@V%akV5O3Q;=O73C(cfpg>NqHsp#!8=$A2(&O3zdy>XWDa30jJ!Du=`aXI|3Z;ca zllg)r!f59&CGzlK^yg_QNNhe)v}rHhgvFzQ&wYDyT3=`-U4=|6-y2jR^!xNzzVA;5 zq2GA4d68J5#4`Y-Xi{*5SRscqrMA-N+j6wIKWP@%bioGfB1^3a|GMN0W zJ(zq(LQZtplLZCTVPCiX`tN?@nPkiciSw+(%(v3g^d%j3IBdyU4~GdjJavQYV&Sf3 z9R^@LlKM>6ZHK9~tiuif#ES!n8CREEo86&><{2=JBXY zKqGI_k=#p`QpsTl#&3~i;hB~*t(ggIEQsKm=uPM)vxNa)lhM)ufH|PL$+yQ4n)uZL zvm30LZ4B^)Kt00sMMpWf%DeN-H^_hxlmrJ~k(|`u>fKq1#Hr#&O5@_(H65?t%ATtI zi^JfuyQ<3n;!H{v@syh!%l>KDTM%w$eBz1a|64V3t*pk!-&MPMW(SHxOie`v|4nIMSvXsuz_S6k2rYV3SKQjh6I z$)~yCiDa%2#6=O;P|i`@kM=_%g{NHrh^ZB^AD1l!JC_8~g{_!a6KK17miK0?)pqJs z4>5ojY@^7IlAtuBY#&}vD22QrKi_3$v)q_W{2L`N8|7tS^mVW~1@lA-iLYs^dObuP z7a6qc3t9FYPb77oJZGe@-o)}_IinAJ_7{H5FN}Cgb6b4@q4Z#@`yH8Qdi1vS(&5Mn zT`V9x_a1C0Mr&_Iw~_`ZagI4XeLSOP!3Y7Z)udWy4p1A4p~rFo0V5UJeZ#uIhMh-6 zP!yi^A%hRw4!uWdzY|o2XOaygfOyBqoZ{H3@Jtd}OOlYX3wlreT@GFf2krtVZsvDJb@EzaP(3@IBhiEI&J%rP?L(esX*1H9i^Y@&&Y-i zRI&=>m}wxwBbXlcQN{bLkoCZ0$l_43@kynT;I|JwmlYEHdaz?YMtr|h)}AEuzetWP z5QTe$C=k1c9_=Sk*7^=kXw!kRUZSMu7bTg7o47^2!CQ!11W+={t#i$=7rw+L%iGDj zhb45SV2%{}F-b|av}WsM%s>4VbLzWPs*XX;y9Eu0&w2Sj=H-7t5d|$&hlnXE zWJSHWVLYNHN1&o~1T^RETc}#%R;W}7Y!stXqg=9vv=(vSHKguo>2`xC%+mX1{BDapTR{|FkOjW# z`9Bx&eC3nUA59ZsQwh~fT{&wI3`(6 zuOQ{0o^TrxZolCyCcfYz)6y)wj1fiql!GJ)Aq458Um^2_?T*kb2l|qRXq`c zl<(`@`uP_q=pg9i8|ycTLnsZh3YrChT49@|3*ZO;?|EXdLY_LJ0pbf!2tms>vap7P zruaRhDsr;_-k$4_cy2q_b!B{5R+RP#%W&_Shmm-xT@{)>Hjn#A2+s@rH8M^?)LA<_ z$^|kierculCn#}9>jF@i>~BZ305-|inSeKfr0sj&x==c+x`Ws#-e0Bb#oVEMcO|OkTZP1$ci~JjQpllNr(bw@ zD-PxC443-OudgcMTbv1YTgANpTilJ6|FQBpxp@!8j~Qop?`f02>A;Wn{KFPFJor)j z71vVP=q64Q2f_t*VO-vbFog#1Xoynm=K(YUvK(g;={iDzwe)CjZkItNK%_Q>7Mm_E z7?f|E2-7gkVj2l<5O9wH;3+t;Oq52eM@-FK({jj28}N9xIeTDS4~G#@k>183K5s=Q zxxw7rLJ)VAiV`?SB3MjM&xw=MB#knhi19UeQ5`BEePp#F`0@$}-cJCee{u(M;k6@AQ(!N(pMC=v z*4#@M;YskSQD^|>L;*e<5whRUKgTO&SZ=ahij<$lTF;Awzp@RW&XF-SJip`b5y!TuR6V0eE}518TF&IYryZ!2!}CJW}{qD zoai;b>i2QAE&D9WX?M{2REa8yOT1U6D4(IuBrRq?8E;atu-U}~V9U3{+L3@egs z*F%ufyW^lmj|9q{#fI@wJSrVHcf%A7z?E`Jsx`~%oF{)KAE~EmO>>u{qRTI(tQzb>^5=cm=j6r5 zQ6OfN`q;l=bKc)9hq7Y6-H6Zg(A)2%4|b(iBHHYtk?YSMXVI%l=1_hy-(C|VHTdk` z7vLES%dQq8E?m+U4g(b=H5zncRABA;`S1iQ?L<@iQyoU|Y0O^&+{z7D0H{gmS|D*Q z1!R|ztM$B_PYUcm@Qw??_8wy;=P3N1&D;{cX%|8NJ7D}bfdr4f*qE=7JK}W_&e`>M zw(<$`*_-kPY?KdGPmgS49QF(T|wOvqGhIS0`&ETPoRAp z33@imAB!926PvWkjH~2~xliMYw=PbvL*;_o{CI!v!kpkRH8+@!&NWz|wZs-YqX0iH z?G~`El`Ky|@1X7yJ6l27MRE&=>*DyJe&?YfG%k~RqnU1ls2eou1U7rC8e@oixcA|; z{4*{iF5TAOTL@5jdemT@dB+KGyydyCGZk8z#WayXu?aIB1F%lE(@hDDGj3>PXx+mG zZ0OIu`7-|!ZytL8GhY;^aQV9V5xAAp-7uFul;Xj36n=B?tK2N_i!(ccB+`CALE_dQ znjC|Fz3|QN{8H{{&zE17LfUefhH8KIw@-X1(uFKYTwwg3sscy=$zn8<7!yD!g)zYU zh6SIDpkxtp*iDn?QHUfIL)YQJGAT>uKt;3g1rD!kzv~(G0kb=OGWgLuh_glNuXPo};VC1fjjWm^z zb87DPz%gp+9zU$y|1>NJL4ctUph*A<_9!xZA5bHUi7j`!a#o{tA2=;m3n6DvBvWTV zpH6!NI3}s@G1bIoT~y0yF}4Amzvm>>@#h0rm7YI# z7D>QW-eWy}f3Vh5pxHS(B@j4^Vv3f7zyoaZ-m~6#h5{hE)hs<}_> zIoCI`rv5$mrIGJt(I#;j9WRUTDN0RU06I*IBkx-yp>D(E*kXH3(RKwVB(b5H^~riL z!oW}L*1rHEDh~Wn21n@Lql2Qes=Bh&F*c5lGec0Qta!-mAH-GQ+a&tHeZA;e$Us$i??%Bl9we| zAO2gr@BPi^JOF{^-5T(| zrr`SA?R!N3R}fn#o|VwxMS$QJ2#$AfM%iyFoPiI}Y47M23I`k*0W<)jvPKll+KSul zp_meEMswiBh*K~Jc3o#wTFsNrTQ-7E12~s_Um$U}lwg)=sWJiuW-w0kvmu=m8&7r^ zmdTdGmk^sgu$W#r(ZkDI)Zxt}GqRx(KC6Bt)6m<1XOx~@9*n(@{WnD5l6G>a~K**)aiVHATqKg7T+c5lG7kZ;ATwY;{L$~7MRK%>MQ__6+2=HqlE@yKopt| zL*HCyvimflMDbC;#{*myPZXL<+Rhwq27DfLf`{rD^-R}Uwt<*z<2Ks65|W3(cMZC5 z@ewr`9QI)5dnH}j8GunCS-HKaj;x?8IFe^1kDjZYd*Roq|Im)nj57T=OpP=clBf8Z zVNagqQAI_~jfcZDl`nF3j*Ts=sXNd7Yh`4Yrk?=svb{u0>gOkYgIf2sj#7|(JW&z} z0f&B#TIsWh{As5@mu^OWjz05Jm-bR-5vY?Re_m#+?s=9y0b+Q%GTua;sG7GP`S*`icWP}opo!2*@8?#eb}jCX3cvxJLB&=-A}@V3z9b+M7VhweTb{)jt0}i7w*j#Ev^=f z)A8o-zuIG2&azZ~4->faoyDMs-%n=7iJ3!f`Rs3YiSL>C_h#PFT;_bHDfZCh`sATY zZ3s(uOJ3wwJwI?j9eKnAq?Ou2(Da(T-9czQKH<=`h0xk-cb`Vzv5ic!{i$^Z{PbEO z=8aND9j51;3+$yi+w}ArF)rh+PK1S6zisqxsIF%M8U>yt^7V&T4!ezkzX|dv_|J%>yWw*=&QclYeeqc=M~;~ZE!caGQuJ&bOwb49zfQEn zrgJf{Xwek3Tl^@S8Sq2nWO#~L%}FHN{fk3WDHaH#%(NbO|9kKCn5JvAlgK{?lSsxK zk1dY{!g)UW_dQpyul*B8W3vZqWL(m7?emgNvbJCUWs`aWI#*;eJ9NnCOii7^Ip8p- z_)a9;S68C3XL^a=F^#XA~g7buZ)<0UA+nZm;f~z!$$gb5SFpYHk zSt3mbhKLO2h8Pq@9pzl-$;GK}cqltVh)twr>z^K$>~I>3FkwZSYAx0NFr zR{%z$zO8Mbeb;cfB2fb!7C6@+L?toKDq53+v}yxKh8Rd;3OkIJr>Vp+m|(4vN%A%N z*v$pUx#A^sMq@IhW~me|;a3ES0g%ZKHo=Q>qv}!;RW#`tT$T=}v`4f&I&B$SzYQ__ zU-@^ld1O^S9@FlM^Hn8!KXdmSbDP=y&AZXgvX8PjUDO{J64>7}VXeibY&*9_t<^QV zWQ5~@2WeDK>vnOFn^UXs1ZDL|UFG8#J#VgElZJC{07Uk7jaerZnZ)d3g94h~2_;x3 z9wOj7<$4+hr|>&(Hje0$?C^-Hq}1aU>!6PNsS9A<$u@b~z~-i#aovkN>0Hb>06Y{A zQofP9+wk|uEBB|5nb7NgluWLay2s;Lo!efw$W|Dtxa4g%(^l_0-v)Zs=iJKviB&@1 zR%U`Vu|=K|OihnO5TMb)-oK)%S9X-Ihcx5eQs$V=hv!>wW8?eS{p|I5{UFXS;Mzf^ zD!E(d6uF6)*)@|^TcbN2y^J*iqePTIz)9~FT)w1cgJ4ZS1!lXyjKu>CQ4sZbHgvH+ z{TI6ck17hK2GoCuZ%=8&MJ%qLjyNbQAN3nqimGbH}D3^g11W82c2h-Qrr+Ad*GB#sm%alVXu7;lG1(rZ`2da{O{VZTkm2x>hZrd zLD&E)ouAD%;2TG>Ix>iG$}>#&S#$yhwkg5&wWA1djJ_=Z3!V3@I3 zEH{W0N?*H{p$aFRo%%Pvo!jH+ZX#H4+7Ea8Pjrr7rz@dbd?TZ)%Jgxym%gJh2tQ)w z(z-&g{%6DMwQj&Z5==V{IkX7ROV*&{k1D&DfWYxoXhL#G)N1388oQUR+-PBODq#M$ z-4+_mysPYaFrXF?nPMOre4mwNa9fhUjA7H8|4x0}V3`8}lGO^Fmis;ag zIB%SjsFM4nUs5Bfz5~iT(jhjQ2NAbIcchT;@AlSmtz+J)&jm)0UWo5 z#Kr!_E#M?)t5biA9dCO_~`*t9#j4BJ5vEd z?|#p@@1$<}H*Fol)Uqqh=Y;+S6&WxUY-TAYO6eeVu?qt+9g>uZV=f>$Wd(aZ#W%S% z)Q#qUNqSItG)s_~z4pRx#ah;O?R4J+E))-4a+VUF<(X z0Xw4I-T9x1M>yo_+cfm);FEC9mk{5#wSE1lW9!+gks1LXV(G?_Th6VubN$FPZ2R3* zy#^oX>Er3$^&|6d&rj#obPY?OK%P(TroGpvfx9w(ZA$2ze&t`I8@dG~_A}n^@H8$_^_->xcFcBAr#ZMNghS&dDc%ru3y@xY~yvT5_u_x@V zmMsHzWY|bo(Yy`@>34g+H?V7u0Qq&^pHbg2?|rubrp)Z3I(s%sfbFx@-&FfCnuU!j zU1g2+Edo0IWIOie??p&=B2<5S9{KEiP9GZ|M;?a@8>HKq>D6}Euds(ern{)7CnsCU z_U$eMzuC^c1C1@71+C`4+0N?jxL5zry>oOR{xdh)djSuUq*3_5*X_b#U|s!Z`k8tv zZ!)}uuX|-=lP?m}$9p>2qwgM)zMt6;0T3Q49#M*f60^jyJ#!E8;Xwp}frR#8jqdf1 zvwK9S^8F7nu%iXLv1n!>^m$Q#mS8wr1_>2p0*wfmU0i9Bd7-3DfAUx(kF`mJRXa{N zn-0=Q7#$r+KWtet&L(SZITPo{daGqlawz8FTE^|kQDW!!ck3pvwpd{-<5JXRJMT_O z+u%1Pv1P~Jz!o*eMhMS!$%$n;D=tX1a%exQwi{QU&szZkUKBuWW;66s*TRDdVv{o6OH!m;BJW;AT56t(6Hb7JKWn{z1 zt8&;Uey*uxw!2C_U*4kYCVoTjYc^BEiHb}H8~zMgcHsrQe20>Q-M?bnG?E|JqMP3V zhLI=RL|T*V2WX_gwfOm-o3lK>&Yj@Z*tgDq)U3Ne+T9;VddAz%yC@*O`>~%Bu0PS9 zjmsunHny5?0iFKs=9-xFEdA0ctefYNDakuswP9$wTzE#CU}C(RmUWbIPO$;tE)Qsq zn%1m17~?PwDBd?@DB7@I>1scKhK(u2+_bYW@0tNO@a+gdV=HD3JE?){+R-$X9F%DW z0Vsy#>CnmKM>oTuS7V7uq~wd_EN(`+%MKZ;H{Z@1S(TL2WxyZk;0-+tvZ6VRn2cA_ z;y1hr-@GQ`H@X`fMpx{kHc58gj915K=z(XgVJ-HISC!x|e>eCaK8bVhzWh5@NIdi( zp<8;8&#y^~YYf|tcFxuv=kS@L&DqbaFj=l-6#&C1JgoiuF4Sa}5!rs_0qM*o=u`mn zD}Ibc7B8qT(JMD$#{)LQD%gN5T4D@D*zLgW2IOf5gg8kT*W+6;WLx z5qv6kb^o3@s`dz67v-1;{3@%RiH3gzy7aN*8pYuR2>s|dCt)gwACdGLhB}CithQ^O zpqN`*L$;rNQZ~0qfj^5BTg1{GlaMU2S6HA2?e7UWH$ziJ0(x%k4=t`!W;-#&n*bn~wz z7r~35)+zUzy>FFZR~7>S2r(L9LBESU834V$F8*aMLX3JC^{w&&?tDVg$<+TU@LroR z3X}omzQOVwAqFE%IelH^NtpgCdx1ujdKkE9$O|BDF$TbhfDWS}CIp>v1QaEVzx2$= z`7dCw)GEoCr@(FQP zN=`57nc^rT+L`t8sjw`i-byZ*ez4!E`QG?`us#28V~3pYj8dh9P@eD6SJ0)d2uD9F z$+&_enyVZ5sjUbnmmS0Y9PNqB)DWu!za;;6bF<+pgA6gly7)1@5`AuOKpmOBgEQ4q zC25rOH!=V*6A@@aqWUIGk=7JTl_l7fFrsXQDiz#N#s3AppYcL_>XGy!bDHHJ!G_}q zX;?u=q$H(y8^mTK+{-Zjb2M2Z6pWTrpwRtLKUo7APr4pc=Gg(Bix#OXfV;DmDLE8Q zWJZ=3R3P1RoT(CDS-NZ33d7p`7v3t5SVg^eGjr7+2K6&<_+FvZ#0|a6aNG9s=iYkq z|L@n*@!G8LUY#C$6C!w#heJRKoLQJg@tAbjPngn}dh>zKbT^`;1NAaF=uEwTr9JWA zqJO`_e=W<~@`54Og&YaAz-}@oFOEFLW+V&qFSgpR`9cXfnap*o*jeVcjES6|7FKNW#n&MLH8q$cL>HrYD zE~%0*#a)HHCj30Cdar-gsO?lkTICl0^24h5p(IWHlgcj0h^U8d*W;=z)Au#@a^go+ zk)#dS!4y*R$_h$zBhFvd;vqWrj5S>#EoTa8p1S-k2Bg|bES$F~ygKmLqbeRc-DtN_ zB5c|!V`Y$QGWM@#V6!#n@7c?4su7aDFk9X-0E5!hrH(ilk#sGN<#1pcmHkKs z6vx+FZaHTca*_3x?)X^cgmxFaYpUGmK>zcG|KzG$?Z&ZUjv=f}1DneR(RkU7AQbon zS8|84O%P%pGGs$wDCm(G1hf>c%2k)K2~4@zD#jmd0mdX%kD-Z6xp=O-fv8OL!x5%> zMun1cIFEkT46r1{j}qLkWzz^ z^n3l}rxZVvX1uj#owtnikoEE1j`p|Ed|fIU3TgQrBPnl2WS}P%QAQ#Zu{jIER5jzN zQ}p(9$8I!1wxFp$3O$SZSSq7O!L1T^B3^JRWp)^_v<2{%=}plbB_>IObIN_cA#e) zi?oa$!xRg)PUk+D9qq4=%hB-vh7s@N`pnY5`%^OEuplrW5{*vRL6YArVNm0s$sCwaj!k~9_Q@qtc2-Z0hkZ}*>y8*=3@THm|HpFREbfpGu$V4bI; zBETmp$Pj8d>t4=4?$q)KVhRuL{yMiHHTw`OBM>}hwj)SO{lkFV-N*T} zV!v*b9k9l@7@~({f)!F%F2!dovJ3}`L&4d0A*5M`hv^Oy7A1tgYjO-$*H7uH=BE0@ z8W7*z*%nfuPktURa!6y`j$CfabU?7bL7m~@+OeCp%+U{b*N}4`%~^}>31>8vux$5} zMvRtnTNQiRXqzyeJ{Zq`o_s&8_@8rf*CuAAzAuZA#XxZt{>l_%D`+xDIrksDODv&i zG>L~)&XuwM!n-64U~DS-S#YtO(WCM=H({Ag%K$g&(rA9`p<6XlU*5vLJdijEd$#JqKHAmM|2COMv+XN5%si8qAkcNI6IiIJM3lOuXa(e`aGE7%J7e_Z2jflA!~zH zC)^9mwH443$YHV2dxM40y>m4Yf%PtMs9n?RfonrE;Y#=}zNc8lT zuVPI-Ufym=2J_|sBX=PsOFK7O1BHBg5~+jns+(KfrAorRV4L#P{6Omn06M zj)tM)Ke?6)2$lT?bcj($0^=H;hI>XGSr}9=2Zo>4CQcYa5vZeJOx7|F$Uk!dAOj2G zIIS_zSa7H21vmWIv3b`3rOrfVv7>)(K{ta_V%TEn)Jls@zb-5Vc?QFJ^e92jrlo6Z z(Y`%D-%!czA`sQ{YdvkjR9VC^GG9ZWX1OM=_h9V9Ox^)F;FuwAH zDJ&LAmOaUmfM!##a1;i@NazYgI~mA;mLfomh%2CS$IRCJpP z7VG9JIxQxCZQR|6^kT32D5Pj%o4i6>d(lPe_&X3jx-($}q~wu>&d53VyQ7t6K%3l7 z)$B}#7}5`?Scbo;#|cRAFZew-X1@FSv0L>yX11UkbtTBJJ}i8Y#SAu}0uQTJMOCIk z?f6BEEfnolCPMAD0EM(2gA%A)P%KA1f&Hf-ji?e6xM#<547^ZwvElTA6JV0e`^HB& zk*FL%&Ey-XDD&M0^+5Td{yU2d%syjApmMVCj%)g^c96_hkqW&vq2L?K4x@CK9vDfR z&eM{-RT0+KYKx2JhPuwzX*}v@-|SANUJIxEqGR}*%)I$`DYrI0mv%Q- z(*MDZlTtqKz7At|AIkZk{^}#mO;M1GZ6n5u5YzGjoRX-G#gcFdKA!pgo*r3xEL!`t30eh^m3Z?M+0V{UckQt+5zs@LAgly?RnG>E@2&IIkX zlspjn54lc=_fgR4bRgL(z2wXa=q%Mz*)%c@P7LNP7k--k}YKBPCj0`?w0-~h6* z8`LdkGvs#LnD*V$f6wNAU2mc=j71UH=Gosehx%LE05}@lI-rxZg21q8QJii7u$_UD z8kh&jt%5DcZyhxhfWXkX4Rx7IO6Nt2`s2WPRnu5QA~YJW>S`(if$7&H_7MgzLQC}- z1Vl5z4no62)rSj9|5q`>W|aY#aKK{dC~+R$Hso=w=(m8u(6t+e!uFGO;zFt|9?4kp zyIvpJR=k`(*TvVBw^ZE-L6fn%{%t3xUyE6&<`F0B5jq#nugMz(Gk>AZ)K_BiUe&nfdAJj8n|myqiqXW4huMRqp1wjRa3qkP0R z=9J(q8Pxb&iGYC-rJBldNELga^r*c?iZ_n4D%z{KUv*urK>%JC=6XuiE68*#WER(j zZt*3x1D%hv5Hf>+=_so)?^fdldaHKd#wDaGMMHL!<~86IHL%_H*bNm8_#=3iLpJiX z4^BM^iBRfJ|Jpoczr(!W%g2+3C@;yL#ruSOw?u%;Y3x2?@U0|E!;e<;)f>zIg6(t6 z5~VP}ng?8J5R{h&dZ=#@q_X{afa2!SjSKSn$!hNI^u?^L zMI0HBMOQOkYFxc5j6KP&w;F=UdK|s_S&cEmG0AKk)LtNq7)hF%+#FI6@=ewbek|bh zFAu7)T^^4-9ps3P&NN;dq=@16a@g|iBqz}h>ffk{c+#bRd!S-#8#K@2OZo4t%uxsj z?vjnt=KtU*8{f4fmTwESz@T*g(LkbffkgDZAYZ_9kxv30J~LJW#t_d`Zm3H?NPawrm+R>|!lC5cjdg{4Ol;IDtMh6{n-6|^bK(3) z1Kem_{Ei~6UHBe5Jenf(kEr`T`+RdaIhdTpYiD*ZHcq6skt!a)mGOngLkdm<)BD#N zbkx@KY;z$?G3#%mM>KhYuKAmw-R-SSH2D!u^Yi-QJA9QJnPlU3i`eD&YV8Wbf?I+= zgcg{u&?NNEN|o82;S=T8t3k!Z5s4!v9*c;lG61a=)#GE0QD3= z*>G6TuHB7Q6uvAqx?D7dl)6Zz-XdvSdi;U)_s2fs64Dd_ZkOCRz{jQ^g&jod#f74{BIw21bm|j{;@_V zMPMI&E^tYvUHn3|q1Yy*fdCTD&1eKipZt)mEf`dm(SLVSQv$gH%c3>1^C1t2x$nvU z(?gb~2+l&h>I>$fd`M}GiT)TUEbD545i|GYgpx;mT?zKCn51Q1-YV$fO$A5T8mb&<7CgxqCmx>o9_t$=$&^>EXW~rd_xh8Gv|) zYZ|U3;M9x9w9oYALhl?yoG17U<2deXjZp_;fa;%uI!-xr{T){sGzf8GctMyl4`XDb zqV9d#_RMj;b8OXSbKW;o1dS+6udrWU_zAAil$ekLl(=={R7uqFImEFNQ-yJs7UUZ` zCP&x1N%gs)|5oqGlfQ10FRNvtT68Q$2JHcm#B;{`8;!@d_Zm=67~o?5tOXYe7hC}7 z^|0X8qrigD#gaph0_$GYJ;FcGfijMJujC$%uXiXqa6#d?yW7XF2r3JF7uYHHdHHkJ zyR9o_vFq8@+vz(0tCq?S{{NdV<2qG_gBMR&Z2NtnIn?86Dk=!pB0hzr+=&x(b#=ix z0wdH*^oLYq1n$JD(O!LKSzY~aXgn+x9IFJcO?((w(KP}}hC+CEMQ6#BJ-NklO#Cjs zVrR+a+Djjq(%FYT=OnwI=it?Hx&*tW$17I~A z4WG@mr10;;NQS>&Illnv{=dn>p_IXSM#E=YwGxqfAcILtCQOZj>NpDBJ!*(0N#Ry( zV9E&6)OiF0!3@PyVYvc!VNJt;iy!Zi<<1EsiBaDxKI2N{oPbjKgk$f0O-k)J^T|Gr z3=iJ)XGAiHL!oj^ySRrk0>=H&1EKH8vL!<1zxV)4w>YwlQr~Xmf)f1>nbayKdYm>q zSM(oGTed6jlURe3#^NK#bXsTlm{5AVXjguTLLKNBU#(-(EL;Cpz&d-_KB03NMKUGv zlK#cIb`?22&x2<8ZN3D`A&4V@SkEU^D!30fIhr9Q+;;DyBlyVg(Urnt2O*8g|BJ_Z z?Y4Ov-0lHlFP^FO{a?pk&&RX_1tkeT9sh3lxG&Xz?qJuOwTIfZ()%T_cH0MJ@{QKx zifxzZ+SA!-HS6CBYjR@k>+J5c?vHM647SL4ChJB=kr&`x7s(0nE9?QFJRSrj2K*9d zT+8CUD1;anTmW?(#KJ@tg8DPH7*`C+1UD$GLDIYcgA6z01I7%s31FOhpH034QYhRa zoay0o%o)cD$qdOmWq{#EJrM>mY-CR(CRM#rn#;guYhTcLgzy{}9xgv}q^jFG(-0ND z=R#Y>88Z=-meyP7W;4jZ`DOT{UTi-~hp70kMRGoH ziC~?E!sfLvfllyVn?oW;TcM8q0&e@=U%lt}sFoG=Q?<5tr$|HLb@AtdyXWw!?2D>k zCJ=dWHUDnNf2Z@Re3V={u-(A;QxI~U;;;2;w!qCGqE6*w*)Kb1N*yNe1q^jf?so|a zdSVk3h$6?D1I{2h^DrayT&BEX5yjC-I0u+{D=<%_ z)u#33`riooFEv+0U0yh)B**5PuA`4ftmd2AkNKN-lU@Ap!j<4{-zF-?WBN%qXd>IW z^)-L)SD#LTx{|4qJWk|?i##CcPf}rShPuQ#I0&J7;dYe%s7nr3HIUO7JO$yai%^>? zh?A=b_t*v^+X84TK}@eUTAvoVxQ$iN{yYnjC4d{tkx`faB!!O-0uIxpQ&0xIz|N37 zbNy72-I(Yf4ji^GlgMZ1ab7?6kOaT@I8$;P;`$LNVGrfz`ZnvD(-RZt@=@i411&{4-LxooB z<6;h{4YY-bKtw&+Mbjh)Vd^`=kuygZmSuZ`gXZc8{*FGrThLz1#kaw1a6t^mhezTV zO(_bTOW&C(F>pgl95wF`prA(2)R`&%xCH5BDqnLTSJ#$V32cT3Y$L8W_Fr-G<)0?& z@@%LgKu@`Kx8m<6rr-IQAUrM^8Y?B3B>J&Om-E?OZQp!7*~a^k+E0T2_0lTT;*lTF zE?yi;=l2*>2o2CnCnZ-64Nx6MQOiS*Z)b6$z44~^>ph|M{~FJn{Oz?Rgz@@DiY91}8j`g4ZTR0s4NuAeA_s%(q96=TG{F%N78s4YD7tRG zA&UAjmtMuiD3I=q(J&kb8lX@SZpgsD1R&Bh0hqp6?0K&>;co~u^$>Mm*n`i|Z;l-q znqca(7Yv<3*i|2F?=N@Rs0RqRBpPkhKd$ODcKA&7Z0emjx-{dLAM&P^CfocBofosz zS9C;}t)0w5z{m1DRJjnaIULJIX6*H!8i#j-wx0#`AD+rQ8f+rK6A&5s;?_#tngzI+ zXpbZoU?xCw5lomsPz`xP{oyMSOc=|VwPAX?w{lAG4FoHY$4cAoj!G{-O{QrgycpC< z-ER>9Q^YZQ5!wWvT!Iy?WNlm)bB-HV9Mz=N9OaQqlK2ZAW7^f+vWSFmbAOd3(4EA0 zhG+AM{w;csmo-!!vCuQW_@dA8*m11(S+Zb7JK31XdT`BsqGZ|U8KbFxzqQ|&Xw@t& zLjQa8K`7OK=JtJ{{tVx z4kCWcx00tYZ?KVLDYGQCf-P-3&lg%sz}2w`#LOGCK1%9sI}7ycG%BNRq1-B`GC zSoXTzSZ+&VfMehW=m6TT`PFb7k;1)R=jzWkmnwHMGp9EoS7!D&#-|+pVgDxhBj!Cy zFz*>J@2nXKzCup=t4}PJX!|qP!-ysAR%M$(o>+W9%tLP8(L#i8R?H`l-c=BP z4pY-u;QlJC?P$j5fAd^^P3^p&vop_cJB){5F%aN_j&>dz{ zxRNFK6yj1e3vtV&l!~mvc-UPDk<%5Z1&^*>Yy*4+mo`l_mrtt^NW?1;lqAKu`JxLw zhCk8F{7IyLnZw*JfYJwPm@}o_vuVSlNHM)ijX6AtwrSCv4nD7Z8+lUsb^?Bzsox8$B1cKGH*!9yxHn3$%NK?AphjX^Gqbh)Ipg5ny)x4|i?>1k!LA7Hg0p6`)>te{~YN zxB(THjn@vq{s{14uXmsdFDDG)Wu0Vn8F$p?7?)}NyW}M=6%JLdkPQ#r7`Bd!EHdRP zoY)1v7hW#-Nw>bBYC9PkZ7@=5jswAlutvkV9NGILUZ@453LVGqBQ<1}#FL|dQEnB2 z`zH_(0GQ|9qLr14P~TC>KSIfqM+Uc|;UcLFs`s=RhW9B$=JKGZh^N?)Hy9q;li&f4 zQcsyg07N3;Zexk3y%U=^Zt?{!XC!+vBE=AoU9#Ymn zc5NZUz$pMG?;ebt*$`tnXa{g(e=GqIsZ*Sc0{`XH*ZjCQ$uRl-gC#M`RJl7^{u47@ zoPi;-7Aj{`9qUG^hN(qI65jgNi1wGioO98E4AUBCQq)93U+|HZ2CyH+_w zH~b7O3$m#~s{FudO)pDhmy1Gx-$ZI^#gAT=epsESfPm0|#BFKB4l&?SKVvU`(JY^T zzl8YibWE}BOYnC+~SR7Tw{=QUa1V= zY_Xrbi9|9ZjSOebWLRTD|bv>lIMd)?pvp{iKvC` ztx3_?auF}9afk)`tLw#sv8mvbxLd>xG|R;~P`Ym*#%u1s>sFt+m*}g$=+u(Lr?yo? z3$Fz4%UI?KHFS<1)H0m~wmHm$#%q|MNSlJnx`+i%XY1Q0PG5-k08*zBwU1uXmz*mQ zkqCp#0jd1`AOpj67C#F74nl44Ht;VN1nJ@=ilw#dIcVNl*nP`xZ%p zt7~i0ZDacj-;DVf71}J-RYQb>>OMcNFeZC_fLWC^DZX>RKQfcc<{qlUeOFtEH(c|B z+h(BA_&V*VoOz5Mh&Vyc#xDw>V>ld3yFcfLvenXVj_pcmr1`0#~yG|r1{fhcU*!*#0ZhGdzE;X(sW>- zQ%3HX1C!Bq7`__JgTp=!7hFVDVxo0Vm!-FyPMjJKR~z|MijKDFgs&)uU$oCeh>B~a zI8z*cte>h>)e>HB$qCO}WB{S*c9>;I3t8hGc%+KR!k5d{K+4UWKXkFubfiD8TKzqK z#^X-fD)0oj{-vYq(7bKiij;gqG8yOf=I7Q)-1jlk45_@Vvcn2t zO0%@$`%zS;Kv(jPC(Ftexeys+j@^6BC%Wm3tg9=}LO+7{ZFTh?b((XR*Q@=jd&wv6 z_vL?U^qEQZJ(hn@k_Q}DG?>-N#o8c#q+~Kd@AnlT?Ry|of!{L_1qbhwMoRa)uik;q zLI~s8|3O|9Cz^@4Nw^G!Bw7&^OA6QvzEMxA8&w}l4-er3-d;UeCW*HQ5MP3fzY}=| zBc4)C9_5rGS_D<+AyO_h?^B^h3P5^(%~z-3tk`=mGDt#Lsv9K{9IEQTp_E6XgicaK zPA6N}QUSDTbO*GMh4j=};Eb5tGJ!}X(d_dzBY=FG=)Pi=OxZk;m{{~5THkh0`3S6& zL8|d%hTC_21B*{U?M3o&)rj~`k=~w=1M6jfyB2Yn9I1Y%KGF`dC&y3$7)NF}mJ*Gx zq1Y`PvGLEeFu+Oe;^MGQSBH^Q6i#~AOmv?(?R}leGzxfRfqgc^PAB_t%e*|lx(oD% zb!Q^piiOnP^OXnw@f_W3&5s6@8c;LJx?m4S^+Fne_5>uW8bPw|34f6MFU_!yo^$_W z9Mbm(If^=Fs7L1nx8VbIYq-O*o4C&csrBVcbVsZe&0;OK%i_2pV63n;w~} z#CsWTYz0v-B%V2lNzF9!g!*!-kTmcQ&)$cWr>anXZhkDB%$f}ou6~kJH>fXzQD9AK zN!3DsoKu&f!x4#{n{VZ#XSVpz$(}asYQ1MbY`ViJb#ePNYzbJZPSqhL9rd7yuqe2f zxKT)yB9n`CBvDOuIBRCSg$8TcIEFBHKyRef>$cO{K3UFX<)Yx*)dJf6M>#vuBbZ{ocXcAK>JFxOYMptaODBI?!Pg6EGPeRmmcq2 z4>A7A$Ns8K@4YvD?@wi++1c^3`U*9gyZY`|bnlTkFiRn1D`AVO1cG9&6-ii1`bCFo z)G#m??7U=dP7&RG5Z-ZzMk^FinVv#KSjw$P4)U^5%H7PSKS6V1t$CrX{pnRo_X}uv z#zWf2RfKSnLZ|4dS|@jt*|NIGeq5mefbZul@ripw%BNpgU)!#mP(?p#lgw^#Kxl6(unE#}7s4Ji*YuB?^sKV^( zNa2^IRU_9GQcgdCvokjx+jNH6zeRwp-2B>w&;+V;!HQR;XZh<@9_1NB9`#4sTY_=@ zqPZt}ujH6!{m6!O=Tizvmj465KtI2snS2${^k37~GT?B5?}Mi#`6_&jvacatZSge@ z$ycK9bB(V7&XwY8ZQ%Qy%JCU$^TlgwEl%V-4WTXMt)b$)J`hs2SkIZm) z1ngt4$;9%#Eu|ee|Kxx21%EE;S<#$NRij(*{Geci{q45i<7TM-4$f5H5%*?Ff9KIl;QlqEZp0nsGtgQBqFrA&5Y; z6Qq`LL6FdcG7Kw{?n-rW9GcI93xY(7sXHVg)<+N)*F)0|xZv@$q9(>Uo{#KtDCa14 zz$Fb_ies;xDlv{NVQ7;S(g3cx11nm;X1O`X0}e{bEm#|h%odOpA2##F??X7tW_%w=xlvB zBe+@$g20mCnR1RP*#ve5r;tOSG|1@EQT8Q+Lk?q+)#S(3lzejQ)!3sDs18h$lsunZ zcw>>7zyhVTX1+ZqcunG(;68SF@7d0QTKXY~M!kb)gmcw{ zdO&4cR`ttnNb^-9f7_gyEZ6PsHYs^|bjw0QbX^#Ck%S07LVDwoH8}`|L6V2zt#u)p7d1@8w_`i17L!v5X?J7 zjc|m}<+0vqxWteH=5h~5aJe#9Xa__3AcB1k{8_UX27(hrg?5hY!#Nn#G**mYA47>Y zE3(I;Scajfnx+iF2=*bkI$%zk2yR!JcMZV^_HmB89eah4(%S669bGRb1^cM?9gn0G z$Fad4tGVu2G6nmT#4uPpI43BSA4mud?2E`7tl84URPK66X-E&wB~y0`xWdbtS6lvO zWQ)nyjmQq@wr5EnBQ?;z7v?tG&W55WS)+s%cEHbUtX~=)vWI~Aosn? z{znd<*7|_4udtY7jK(BkqCgUE%|)|*II;Iv*2ItA{gj1&_=uvkNsjO&=i9@Y5Zn(sDj+rP*DP)q{5M?_ zKi1$p%MC)N#T^x^B&TaHcWAq3&IL!uB-+86`4%DDp`I6blCO1CX!F%4xH~f9uJ)oAh88y_Z%2Mo!fm62%s4ICY@;752{Me^zdf||nU z4bttHWY97JIfxfS9sf_iGd4Iz?c#H;6*!*2{-Ti6AXnR)b+$t~_B|P1sh=mq3#JoH zE)T=Sc{2s_q!j)!Crh@&QuP=w#NC(i=sm^>aPkcA23#8us&v9?3gh^)9mi*@PJx#L zB!xI5LB3e#N*Ao1J^{Is%42NOiw(nzUkd=V1P|wKe+>vPXnW_w!|m(W8s%pX$NI$2 z{ff`|^eecR)`Q7{{4I?l;w8`oarz1#MlilBg2{GSDCdGPco9)z&ub*g(3pkLaYIfw zthhc~jWSkbq~I&I!HXa(GTc@OiZZknWANf}W#Fk06lK^1eemLO%NU8uP`Tv(eF+8)I`R6{ zw!Jvl+M%UQ>G`!O&AUZQ#roM)tiR-ozH0qh5gckVWtiIDa*8ipP7FQnGuAn^4MIQw zxoL4%FuoGfMEWx4PGLng&k6jLG3$q5PMxCp1yL0382XF*`f|>kqN2^2#E82jG0M0r zR?Lah;~CTvDmWZ(m-D7Z2Z^Oo;T z47+#!rQf>wTkrbujYI-r9uiot9(4e(#2pA7bHW{ZAf>e)95TF`S6A0a`FVqDmUw(b}#(Goq9qL^C{PM-?CZF;ye(rYP ztIXK@?M`|B_rb&CP0stAZ%Vm?%04AcP+DB)EDe%8B}pTt$0`rJa%5HdtQ5*arLRw7 z)BOD|E7OBvB`#U(4Z&QQ0h=3IPU!a^P@3s3rc`E-TvGzL^FbO#%4Lgk z_a6vI76XE{Pxkp6F<$__E#-h;48#js&NhnlcyPE1aPw0Hx1G|{%7Z?6H?-ng)`q|{je%1H<{4e{Z(bb_XHa(M|1%YgYAf|ve zBU%Q<)AugK6&nQ++wIq=`%48W1Q`U{7D`Qfq8lLydQqg6h_%u+5LSxs?<-=k3+h~C z)H`6@M->z=-vv)-nNY#qpgUCp029QK*-(OpPB4kXo|i+5PW2Tx8%1F5@elpQ&`kTq zoKaGg&=&)$ty~!wBWBtqF`b44JvcTDLtS#xq&9O`KB!5Ha8|dUE|;Zi%)}*jhEX8jO!hk2XHJPSC^eM(R8DD6RYvM(iT3{&B_YuB6S6htpg})ij z18i{#*C#L)l0!|lR4i|cc=2liVR3!PYY*{aOJ7`DI`0-Ow)EN9(!cekUwrUnMc-o_W7<+LQSUuQy$c%>`|Z$r z=jjz~*7Lr&VZR+)^rXp7yY-~?u6-B10=eI=bPmWqU-yM+D!A*yz3*eOq;EEda*&1fY+lolYqCXCB{?XD=_pGMRO zMn~gKiXTb(+I>wF)!8P&E?6L3`tz)JND?N93emZ#>{7nwWadC!11pB>#`Tz2+J5yN z?xtmfB4Lo;vr-rN#H^LyX+8$RoA zN6UrAG;S(9_`&iFewcIF3<5MNqbArwKJ;{}(u^o+JmkaRWi>t_I3DtWjr?-RM=CmK zA_DDt!5s2It)pfM)q21%6ivv+p-aezkyMh91-0zH7tA5w$xQ`vqc)Q&R!k5>#Lh?? zi}gX-_|TtZ>YkS;j%j1z%3Tjwb#pv^*lo1?4Me?|%0;#AN1s%zO(#Bnbn7+FM;`Uz z-#qSnG>H7Lb0kNLe|6=`kB`T>X;c$Fy7dlnh>qlHA3bg=79T@xhH8vYuCD!W>>2xH zD%J9|Fwok&=6~VUNIuqn#hW&0joAlvYy1a>WO}M^z#2)kC)^cK7!Gc4daNNS43l_T z*C1LhHw|m1RK^Wd59$kCbGrc{bH2ThOb_-A#)*^Tf@_{_;#oS+^km=c(bXw62@p=0 z)lQP>(Z1P(y8>?&c%=cZ>#s-(u>LE7zmc=lAqiA)f1Z-=bx8mvbix4F?5|y#<6IKQ z<{OAt^>7%xfl_{Lk`NUC4?n9-hqqnRpuZ=M7hz697g@)F=*{UzYX zOD_TT`%A!&*#l6gAYKBT(zAF8-goC)@NmFokAi$DLI{mvF32Oxe@a<@b|+p#L4cv& zFs@NG*qm;*rD`BEz|#R`JIVyxE&EX7`)GN=+mOmE5GWOV*-qcb`R1z07JV&+0%FUT zJs+Rj@qPSYd2@TX!RwGt2bWVCTep0B({ekUZ$=4j_cuE%7?2AH^k2va+-+`lxy-aZ z-|R{!Dg^5HxgF=f+uiIkuK>dEb{@wm&oA3W_d$TK$5eU@p4}P$GI{J9E zs*nC!zWI)~?~;~$@DN*gIGdk_P5jnv6HDzfr{+T}wnHr0u+Svo#Hsn}+@Cg!8}@2dRFCH-nah!pK$z#Q)zv4M`&*=ToW6%=NeSg z0k-8dg>VLUK6f+(`9Qc}r1fD8d%Ky8;Y;Y>Ur8ncP5A@YE#2jptmy-`5Pc-QQDrAy-}YP#sB`N%+3lu59ABzH{!zU(dT=E%@=gj=YHTw z{@-1$u%gZQc~Rg692w)UQU4d=bdU5FdV-{c#XCz{d%!<{5<;hNl`dETcwko%9|;aHjEMd`u1FD1r#BtpG=ANrrXdWi9cs9!!n>60 z`o>jEXv2k8XsG~@4-uMpAw`7A|9xNoo?rOwF+p4*iryM!fJKbZHt;%GC}1lJl3H@i zjv#My>rQxAJ3*R!U-5*wMiPdd0JPI~N84qnI2UZ-bS;k4Dr`bmH!#p!>I5C6{lB31A6by{i zchq*_9i<9$K`Ut?bXaq6q$DO3CJR9cV+}NWLUIc4G6dmrYYID7lz7$AwU>r!Q-!rd zA1}<*rV49aqcwXt#Bq2l6`O2641J4@tp|B;J7hJEC6Pl1drKcOB6b-|?z_xW|<&bvju1zWms z@23m*yFc`eKm7+HkkOmbdjS{&hJj_w)gLgm^kRF@iaoO|rfn_L=r8!4UI2I@I(K2* z3)t-k)78ek0QA;s3NH>l?ge0QiY7TjFna-K%$C-u18jDhk_^G@1)LGd$j`GM5tKm2 zzK3Fk5!>v6lA7lQ955!fp5=Ut-Ap$>s4>2_5y(vVu>DncII&H*0=N6;GLza9iz9JQ zPembSJ0}?Fj^=#fLXZmYGpIb|=kWyNLloeV?z}#QXpj80mUnL4GjI9cYOq@K?Z5Iz z{?d2EJPTc@*La2>K->c)VIR)qxTk>^_838_sR=u^KJEbUHM-IeJMP=F_bBv4v?^RH$8`kHx0526bR{gORKH+^=Wu`gSj9&7!Br$ z0OTr}9^xCbbg1R)15zbZ^nQa*BaUyujYlQ1x7%8&u%olp)t*kwZSSurJ;ygdN3$mw z!RcYO9b9{QkZ(|QWa&>HyY7jf|JVTt;@QCZ*Z~2OA3JQ`<6}p7$>Vs=xS1Y1oKt!1 zxcxMb-38Q1Urtjd*JK_Ab(G8_a6o171?y)8^)bQQfJhGYu2erm{i~oBq=JX6M{fFf7y=f-wG@yjt|reP$c>I-Sy)O*&hJPrJ{b0 zMA78$(=w#{hcA@xi=Jygr#@cIy->)JZvu6av?5R^_^}163ESRScPPiry zu^0e3=^rj0thgEtOwtB!-GL@)f^RnJGmo&2bV9!{`H4GLk(>_Y}z&RIE*M(M=);C_?;MR{xK zB)!fK3z9m_g3?R5;x+P8RjvC61}pKG#-OU9c*UgqX3?V;Kqa=?wGrWxi+z5%*m<|8 zkE)kk?EU0ozx~I*?Q_2UZH;eNZ$@v?V+7TRq0XR^m@I`*ty-7Lh=?WuMr!Oa9GcZ) z(S2alnXNFzUcLKW*`l|BQSbqfP{@Yu-S4Uvea9wHSXK1v^n%5rw{cPX6MA6tij$_2 zro<8n8UKOMek}o6vT1WdK3nQ>nHBZu@jiufY`Do&q`7@XICsC6vUof<4KzG9>MVOa zND3gv*T-VVgjMoRtYYoj0;6cqLWQ7)=-S@X%O1}S#>B!4W%z?SLJuO6inXta3=9praQmX{I%@{SNA*$vV z$s?pNx}6`YiG_50#NAc52?Xl6yY4nYTA|82AUM9;yaUcRcN;P9P~~m32PEdc$#yuX zl9q{0q@8fJ#b4E5t8C(1BsC73En4G{C}55SZJNHx6bN!Q;5u0H+wNvwS<)BfK$UY_ zV*i^;5_A{r<18UOL7=zDjmVU7f#8;XJrQwk*Ft#0bx3(~Z;aM2+HT`6tZu;u0KKd!BoxdQ7j0j`w$p_z zffjt|UblFE1vEB3;Q`YfjyZ` zV9CYly+w3RD%zVnN~tCf$^D}<4^!7k;tu8_=|>p8q9MfDEY2CW9wf$H`me=*tiF2r z>K$)?7kswoUcQ-p-H(0i+t<5xe4rzV^zA_agfYS5?CiHP%dTpb4s(jC(CdKP2mYE4 zBm}chr$Ia{DZ;50%7e6zn5Jy)4)%js1HIzV#4y&%>FKgcJ5uCqIr1+i@)uEc+2;E$+-R$ib~R94C_yz%%EwIB14+jNEQ8E z)_RA(^x$M7>rp?G8S5P`c-pKq2Mzf~J7~S52p$~kh8V9UuZU2D**er(7~TL;Z>a7# z$E-g=iKcT+59=)v43*W0K%|%#aDr|Q)*2ftreG0Q1*|5r-@mFciZ`Pi9UP{H81?fU4VWrTThwLwpkJG6?In!zNQq=os-b-} zyC>ken63l(dMM)fq+c3@FQgIw=z`aejp7(wHenum)a~4XcJm!*{us6$Xm`w=ar^4_ z^MCVy^>rT>>h=uqy)^tHvDLzM>8=_e95h8I0-^v@UxVnl!S~XP6~UqAA%S{YJ1e?a z$)8Jf@7(IcQpIxI32l_1Ii6ONRL!Kc2BKvs?f>bQy_(TQ{I7~$nllQ$7N2}Y{~O=* zeWKJb#lhU_Lu__R6bZd!!s5zyB$~7-JW7;&PfMu_?q_x)U#ENu3T@ZK<8^OI7GPbnhs9VR^ zTV8v9P#qJ2bJkt@xi5B>Z+i0Iu3!A`Iql-#^Y3+K^z7=~wnxZ4E*zdj{CqLFOH^2T zgT=p2Z!n_1dej%*lq$C^^^zIW!+S7ew~YP-XxuwhaXtZ!|89PJoimM`H4U9w_-|@=6Txk9AM{w z7c*MmGRjw^)OTCr3hkT^~CTd3$2g2S3qazs$Nf{2|ff|8A} z3IXOCVnh!GmuSasR%EDHR>Tex*MU8&;iu7dC>JciVIYkSDiVhlHRqCpY+#zCu(KSX z1DkGzi|tfn8NJ;6Lc`2U5@Nc_rGU_E$jb?Zt?&2(=VW3RO3W$!>=__K4^JTSYix6x zQ~IoajadHHtWYI>-tH^@x8EBszrMTf^2eLJ1|5>9M9gGqv`AKoL4Mp*3$0Y*3fkeE zlFcPf>p(=lsfS2W+Q*6xL7%Uz*h8e4RU!x7N$!7-i)!n&StWwm3ls4~$zpW(G;`SWLjOHS4TmcD2921atuP=edA6m18d~j3 zt_}C9dKE)m*gj;o4?W7I@I>9KZ&5=;VYsAwv~3qftmD?EIA~0?PMjzOiBlL*A^!AmNja?8GTk1Oqg0)s%+7P6Talr#B zu~-p6zdby8Q6nKJ6&jzwjS3F*H&$GOp{Ws+3XKac*E><5pcJ$rUa=xIm>(LrN=`Co zv(w5@iy_Fz&IJn*0InLNJ@~|hpj2q=(CFk}i$;c7V9$Ofs1+KMJc5`BhLGeG0D!iV z!nZ8{1iIsY=B=cq;wMOqIX ziGMep+($F08g;q`4o!cSBtVyRoT6Ve6l+U71+s40{-nHx++YI?KWPo^E zy`HCi@ukjsTO7%vx22jNW<-3BQY&&=CVk?8(7~^J1Jl0jX}?s}&XuG)BxcfEK5~m% zb2V3zZl;p-&j0i4-~86-YFDS+O@4_80EE@Ba*gOgY=wL8^{AZSmPR*KE11K|;Fj*N zENfT0ANp9hr8i*6Z4RBoO1$Yhn+xN^3{n>7n1dD!+A-oyBxD1^df^3A|`U% zH;1mmO2nx!TGn{X2O0lC|8O$bZyG=Gzl&*?mXPVP&GrUNs@$s2icCIbbHb-IV9l znh*FBVY0(h@ZJYqv7#Pwtgo)?v_mRrbvxTgtXB<%@naDfyGP;mJO2yxNsDKjd5HLi zXOBYO`0yy?y^c-3y~U%rzYgiz~oBDvYUxI6d4Zusn8 zye56*yC47A-*FX~Ppzst5M8&x->@X{Ap_wZy7C15XkS@I!38noPAh(}Uq*f$g0x>n zaC>4yAQA;2JCUrGe}xrkzFZK=Th8WY{J)1~*3=KXGU)rY6 zhFtJ~fu54(4HiQC3M*1Ug&>A}GaZcXP9Rz9L({!*LHILHg@e3sBPi~LOCphi_D5Vz zFTD;k3NC3sIj@`4J^A2|XSNwOiybcE_HDH-@&Nh2#t$ebF(#vqz#zySznnz>Xk11P zWyQye$$-V?-m{8+Ny$@5&nJ`BG3qFa-6=@DVVt2=yojstHj8-I9>HVJ@rYLMq)=zW zdv}I++AW&n`rAR!EdIh*e&}~b2bg+6=i_>iOSZvvjvOOgpGQHlem~y5sxjnH(K1D= zRC5NCXKTc@^OEGN9{2)m#rCyI=Z#ih4usoHy z=cPtWbF23Wary3F{? zdrhq(&B;immgEy@=Ys25+tE*lCBlkYk`~1!kx@qXEzfgDaAqT!Z%=?swp;LNWy#S{ zg{o5h`{1KYde>&66@{uA)R|PsLRr2Fg{wlq8`_6gN))0;x=GLo51;>wv*`Oo$u0sh zmn;E|&&UnL0>iOLi!DL4b5Vz@DyS4K33MYxOAx4)qv*Gi(IYW=#U6=Z<|3#Hs))H4 zf>4#JH(`W5Q*lw{bhMwiKt-?#;L8mEf9dLhH0UXV_Zy<9UHHYXzxST(FGi#q1P1Q`MoVS6nd0^B%UG?N$j=uecya%-cMU zEk?gLf_!j_Abe8zM~GTMo7-MBpCK1ifHK>QmY5MNO47bUVoZVOHpX{{9FbO@!GWvA zUzZ$pq^N*I+4!XSN2b=|i@<8B;HFAp=)ZN~->Sg+vMPV#p}w%eq3#)2CBL@ zk)j1YAS&IjXKJ9SD$L%43*&yOuy6XSzw#mPxd2G(j!eXZIVvJIw&bM29Bb^;A((@r zXyim{whi8L%o?P&D^8NDqr4omMq536s5T%=&Mj$WtO^h^6wNVfX@W<@-U;z? z%vze@k-6_ijX7owLR~bkXi7SMpDJeE9X6`$b{m<#6!P zL6@mL_-GU5Os;y(e0xe0J~}x!b<7H-obOLG;iIn6{_J&s=4nkl5F+M|x?AkPMDQhz?T?+-e%uaBoNq4DvIDciBq40ATvyo+*R}Cv_2&uS zwF9(8f2xPT_t|ZV-nrfGZn$=5#LwL!eoO;jXguen`OPHF|G*#mgdce}91!(nIx!Ud z1xdM_@K=Gc?)qzP#m z9pAJXvTxobl;^>tLNb7lO`REo*U|85hrPnLwZY3KGQR2iM9CrZ*bG^RZzOel_g(p;idOJNHrBn>BJ>>{m++uap>zn|t~-A&~nb@;et6W_ib$E;V0jWVIT41ydR1MYOd z{c_A}Jp6CJ_v8LSq-Hh8>u!Y=fKWMJDT4=cw1|wU>+P!wl!v~9J+(F6io=Grp-PZ$ zg|7&t8EU)~TqB>^2-2-^LC|rq&Q1SgW41jG9VH)h8EtW|rOODBczbBN6=g*xam66) zIZdrTN4ga*2;dwsY)s7_S+uV%hEG=!JZ|VCL9k~kcmn0EfJFpNlS-~^Iz?QK7)@Uj zW14loBRZH^!Ab`oHAd<+bh7|rKJ?3MkNQ|V`Ya8*ZguZnYi0UDaZ_yA6dAR1gDpI{ z06w?yT$ZlT+*g#U_?{-kDHy%W1A2RqN20|}3s0r+1a{U@b^=45wtOE9`S9_d{nfuQ z1yaIK;YDtz&q0k_K}9HGTLIa=GL7eg;80`ifu1o8!PTr{2udJjBtMf`kaqBrk*5SM z64T)|Qy42sAZ06J8YD;t-~%7wfNSzG1SOF2Lmv>Esonoe zYonjjkqv%Sj`rv_2R(W;;D6CTIb)D-5Q7Zbr1ZuEZ-ieru^KQjeYi%l)!ujB*Gs)K9_|HD=fBuv}C~Jnuqaw=}0ntjx7|qZM7+Z1C?JM^Y43NQG zmG}t2uQmBe1;Y7?N8;KfDnji~o&H!cCtJ}ZMA(|7Z`m(|=pY1hvelr>@Dac*&}Qj! zvUQL78_F!WT+&ijE9PYDZhhi8Vnw8oHFAqXi;rMe4GtpIml+jd6kmJj3b1m3ITuF; zQ?ji4DVEHsMC2Mbn)X9V7y!TeEOROmuxRiU%h8d+)+o={oNUk44qF^mW%Q8G(=Ug? zFpZU-9P2QnmkjtVF%`MdZvVoFW71yk%Tc4AE2exHQ^ge771*W7U6DrN60lsS5lJeT z>44HznGPu1k^6big52%DuH=|R57(qlbAsG23tf-ol4V6m*^(w*0 zFY)HDnX%eIv!DyI5sdtjC}JJ;fEGz>;fO;=ehG(0AE}(OwH(m#}03NF$hPFFWOza5)7bjRwv3xL>jP6jUN5zlQpR*LTa@7gXmDC zpH!EQg+(dIv2hT(zwy5{$~H;gsW$B?jLh2f2DK^FXL`}0FY)W{@QV1%CTLT-?+1@xH*(lI<3PYnaO>hR7iiV4#MhUxT8p z5zHac3FLATzkn5?6Sn1$2pL;coS5P~ZaP6$6%yHHv!t}_{61Ak1a|}iyc{5Yt{Lbg zTbUA<>0f(bFKV-2Psq@oIA<;uJqe|vY0*WeR5aV(yR*I1ZqaOeZ-?#eqrUOI@B4+1 zc+;DZJ0Nk-UD;k__%uL0q7$SwfZ6sA8tI&lMEGh@Kmhpa{N2P@^1-93%b!3yjRGHB ztt4lbtkwe$Edi#igG&*L|Cy4QyD6FasKdz2s8gTG8(Hi?%^=W7 zxL9n*sWQ3A8(Cnq8GSG*j96kMA%r`=#+ z81oIrrrlt`7uy?*FFkkgB;Vj6%;fBVJeC8}>9mo~nVh$664fopl4KE8q8b8qqL2(^fI92_g?6PRnm00xEsoC#WmTdY z0`>lNqFQn%aXQLoTtJeNm`-_XKz}^7a=cgJ-d~!s=auk;snR4FI~O#>PuG-pO@>A zODmUj)?HrW-0>U81x&sxboHj(m43N$!hJt2ci~yvJ<(e^TK@owj1?Ru{x@8f_@*o7PPi&;M2lqA6bUZNiRg|}#CMJmwOnntKZIXZ#4orQ`w};qZ zNhtMBea-g=HTZK=U#B@{aLY91GqD~ner|S}!||0*R=c?nQmlJrcNKnuV}ajydfo%GrZrxvgSrJ#c^^CtF%!xm0PWw843!nDr*zJ|>b zS+}dXKjNLQyb@9qmZ!~`xI9Jk@>J~q^k4q@=kCSeZ^eDNVmA~R`GF0NjCpD;)R(Hm z1rb&=O5_L&>8q#^ypmTpWGrT}lHCDASr1zqlV)qcR7h;^f(Lw%6_H>EjHg;rVtdEh z0thX+e`Kto66H4OS6ERZSznRBW*+(k6|dF)I5Zy(zZe`(87Piqd1wwTk*u$XfiXBo zV3H7Yb^yUt?7k$1t>{3Q6N>8X52=x?tr>(GOO`6?%cP{*C~%(rKUmK(8YCuCmQOV_SNUsGAufOJ@*Ri-`9>IPvC!5d&8ZD(q(G^6>i zRBSYUcr?}Idp_A%Bqg6}3Ti?EHI<|?{{-!Zisk}C5`KIDfGpPhk6ITD@h->rVX}HM zhbsjz*p`i;jYYUy%ILTS@xO?fWaL|fZ^RkFmx%DMgL6W>SIwh=`m5qS1h7@SC)*L= zDViu95Y!eZ6{Q2V0kSv^CEkSg1qX84A0go-R1%E0t9Tay`X%wUa8IPm;k%qIN74eY z9N?ZHTE?IN_$?)+btx5vy#-+eZq`&xTfWa!e9mwCcR&8+D8Xty+)ag5#|fc=u7m}E zXMa#4Ap6Q+u~MK-k`0?M5SRPM_AFnj^v))gBBgXbLlya{+Hvns3YA2i zWrvDYt<-b>z9my<>7lakV8ZTvQtsuecf9>wk?4E*A-11;{#}vL(@dg@d63Z~)y=up zzl6sn5@SreMXlkO@lQ8{e|pm=e%Hsp^yX57)tk{VzC$*Zo|>BsSPTYBu+nR9H?*%{ zrL*xJG;Fo;9WZPR1N_ja=`#1%Z`Ug0`R2_@9Y(pR@L=Q)o7T`mr*HGLuu9l*%%#)Cu!&pp4`Q5y+oKPl^2g|DM1+=up=hU8i~3|0Y~OaR76sDWMa5ceb^RME`gH$fJ1!XYR>aEj zz@W5Cm}kW95UBTWVs|OKl*d6IFVDJibU_tLZ<38btrv~PdzcIhrLl?Mx@=;pP3}A9 zVqn(^uaj-yY4zq{RZ_K7TT4yQogP;4rWG0c<;sG6J|TB09;I%~rQeqqFTV8h3-5X1 z<*2rnA2IFCad47%#il)? zqvr~C&OwsN!BQ8@@NJJT-`eCBak+0Krcj}*jJWicR?hs zS;zx`X6LEy5Tv>-f?6Atp>xAXo9zedg9 zf-RZx5u>gSRtpY6s#_O4Y_{bNp9le9TZz-4HJe%1ClU2AX=bJ{_xB^$53^IN_Og~Y-DQ>NW-mWJ1nA!f$ zNSlcH2yg}=am0MW2bE$**^Zd8;gqeBHunjh@i0z^DALP~@@e{(L=@HL1ii~B%HOOA z5UT8a4h}L9=B|>|Rn+&vT1PMqH*$;SI>PPra{aB3{)K<}X%WpS8o=EP!v?^#Z%DWc zLPFhJ&kxD*_eJ z{ygGdxa5&g1iCgyz}z%8wXg6))_5;4!AKJ~esYv%>g%rXUL5r!&J8eR=CY(U>0Xqh zZ+FU@44m6Z{Uw(ibp?va1u!5!YYUXx?FL2V);)wf@PI55Vs8J<8!%K;zidAwKz)Ti z+*A`nHk&*~0td{IoUobPV9vabl= z=Kp#BCym8wgTvNxG%z@9tqum(I{q4ApiNkeup!3+hBjhk1@0Rbl zgEe33$Gq>mA716o*s70JzVrGaHUdU>Zwk;39i9__cB>^FhVS}UKk)DUh3K}?q_#(2 zmg0s#vSAShwKU{xHN5cbw@y(jf~e=HAn4^=L0Tjiv~~{G(lA^~f{R#@4ucC~pfx*L zh~6Hqr2+bb5Q!ER>BhF`M#bVQBC!cdO|A&y-d2zfg9{eQOtN`shBMAF*V1;|CHQ=C z=);!36Af_)O7!JRg5Z+)BS>NzjHarSWQI^<^fyw}klb(3xYVx6Szq&n0df-i0qSij^>m)q{W(PE=V z?_>9rG@VN#udTg4zy#XVvvl-)i;&LNx+v1topPtNO8A-wK=I|;QJd@l=cUP{NPW;*w}`4R zLRk9{dxgW)_o;CJ%Rn^0qFh({Ul{Ucn5#5#`2v_Voz9}hS62T$nq4;;WW+HFMjI>zUkXX zJAjH+>S^rZ!&YAX0^Aq@A@5deG-}e=a6)6F^|E?Q{LC$Up@xFMTrx98?8K5JjFk<^ z=4*G{Xe|$8965iq63n`Bzg=q+4g@Fk3LD=iVjj8Wr3+~n1a0uMVlmV3fC}B`~TGEy!A(J%vKLt)+*E-vaH!w4_ekLk{PnB*;Xs_GJ`l} zRz7R1G2{WEn+SrIrZJb5tp=0^Ksrv|TfMQZ|u}Jyj&Q%tybbRi_yY6X_#5)t2^}bNQ-@oa|qQ zQqL;l1;9Ao71Gjfzx{8YvG?!%Z=X#38>QxQUlrWkY>UhdmHVdIjZV35Htn-%fB5bf zq-&?NanQSvKAYmezX7ty-}utK7j}W+(f6gzB45yv@tS3k2XnsJv=8Qda~M9D^3A6G zFqlbY+1Z2Z;Y@1IA`fR$vuPj5q>dVFHNubIXF+oq#!)`G9reG>*RrXHzcjz;EBfEQ zmE+$i)h!K|V0;e3pS{ruacHqfE`bWSid@{8JXdwA_N4a>@Mqi%+^{1~0lL&hHe;w@kPbH93Q77P+s1PVa6^-P>*;>zavaELR9g+LI0QMjsRfY5dp zK^@ozWpW~>y%wbHEQ0$D7;2H*!^COw*ia$?wW@WDuq#ATV%pc{z zHdG&1d&6t~juUlDlSD z+-RIYbEwYxgF^0C#+iVQC}H`r<%K)WG)WfoL9}M$<5jc*U>Ao;@Z%%h$xX2T?K8#x zo&UYAOzg*%hQbAJwa}S<3*dIxjE_6N*%Fde|NJx}_VZ(w%^EDd@-Xd4OH@my2YF$0 zeA(#1sqBqpdXg95H6RNCn@7;$(n_XB8Dnn%P8P}4-Wq7*d6Hb4pC{nX%v0kL1qZLS z;poM(%_Kd{3&4kzGyrqdfsJCOIIWqUW)MP2_8E`f`q-I#C}1ByI-6i{MIyPc-_7X{ zDVUI~-xL%{2Hz<&cQj929#Tl;hZLKhlIF+skYWLUNcr(ect{7P@hBT_Le2;QQuda_ z{|Rre!>$X4B;1AMUh#2C?j3f>{?uc$>w@q$GX$X-6wgyWKDAyxzC;kky(82oyN7@d z;SEdsk1!Znf45tB)P=-2@A*q5o2`N!Jf7uRybc6HF-7=g| zQ?Uy}pt^q9j{Ub_2tK8FU~^b(tLukAwZCkq{e{sf9+=H(v8|v^TmC@l{AD}#7c5h3 z3i2u3YyGtx`9vVub`2!u7O@5Z;`nw8bgiBo^1O}pkK>zSOjCW)d}(;d5>Jc7n<{S&Shv60>BnDl=suO0@ueR_NJ}f}s625NG9n~CLT?&n* zF$LntOb`QIB(_?~lsG_Zk}w}ra*5$Q`FbW9b+v*)z@*zLV^i`qIS?*kFoR&(G~ zbYK4$M#1(kH;1wERF3}*i{JODN!Pgb_P=v=_hzfRWPXN^k?JJkep-Hre0t^E>7Blw z`zbeVw&60%!K2Z7i0YI!QqccSXd{JG717Gi-ieiIAYH$WxLLCeza5^XkNjQV_5Ppx z$TF`%%aWcJup%Wnj?Qx_(uTVr{IT;~iWSBH$Yyv29LKfzgJl1_(62na zfDA)ULvA}51T)5Ua1#@q`B5k;zqmA@K68f~VAU;;44KIRED2?MJ%Ut46`JhIY zlLPD%>@v-pZ*BZjm}bdP`+i-PTmPoR)bZevGbWH)$-00znNJJzx#juGd@740rY{17Pi~sEHU1{a^h_^~OXPA?(&t+x$@EY!(C5wJ zV|WD7$gU{-B$=M-1x65(n2VBZovWW}shHS~3ov-G$sUp@?6fb6q!U~ciDs?rfReR4 z-&a!K`co{>setqmul=-V#e`Y&@+%1@!q-H_kt}CBaLFLq)=EnL+txgQX-uyjqa!ur zcGHayuneEF}Jp}cM z3ZEnegLM9F0YOYwxg4#(L~aVz6UI)d9>aGxFW1&n&_8{r^%N8_^0Y|SQ>yDnS))2( z*^c{%x(4b;alt6JpeT|qSPLIfz?1FRzZt-xk5{Rh=(r8veCFwG(vhgRfT`7;d=_9( z``Ve$PdcCNVv8=O(usZ_i*5J$9|jLrxtyi)(s4TxRZlFU^vjh6yW}pqbjizUl5U=u zF;C3+(pS}gABesN_gCG7}MXj#||eCy(AY*6El{b{zv-$ zE{J*3@HyZlVf;sDMk{@P7X-8!v;$C0XqW^=dwtb(kz5c?AI5`lMF7J(OgGHm6-jXB z2uNqY-S5>wv#;<&R;`yvf!JJ+6$W)T2d`Q$6Pv@q+(Tb;k~5`lP&a?4-hIy)49}dE z;30r3w_lda2j}PT{`)>rBDGOkWB|)pV9r1pXIKYnY9pxSV?C*hxai96w^ciJyNja% zj~TG!u)FZzFM=7j963NYp{SSawjv|KZp(JqZ8(Zm)saQT%I-s;@*8A3_8(+O_+V?! z_`z@Z*MIDNA6gP8`r3K%L$_AoFj;5t?e&Q1H+ooq^{TeFUk|^#VUsh?5e0< zcgb_4xJDIV(oaabRyZ>ObJjcLxDe{Dnqqb_ zb05DJv~9#DRj|>Y-=_QTujfd9o1#^XdcyLOKDRe(WcfCIPDI!je9*U)&M1AXfRYr3-iXhJLxqv7*YAyJu zW17hWwywKob$5YMOzSkf3*v?Ge2d65iQY<`lZ1(GCD)i;*AGK(;qE zwFG4c%&4TBi6Vh@Y8brkt#6@9^>ajH5HzU>awH=I<+vECR2Q`B2v$VpPb(QANR{e> z;QrOt4_vjy$st&QZ1>xh!Ew=d(*8ab$QDMdplpnRIISs&7zf0zQ0%1g#k_grj!lwO zseYb7_L50aJSrPtmL&OFxFmie+&)SIL)$q|z9B9N--{S10+IGa169AKcwa7ACUs)0 zOe1;Q3SD*SB%qFpcB_Cam8fp+YjwUw)!wRfSM^Zsjq|F(dJ3+kmndsGY3ohiGNSp5 zqH$!xbNYeNcs2K0Q{5?==Q9<$lwB;j3c@l_<*kp*Kx0=;RfR#>=#nZ>libjs$Gv4_ z1JcWn#6EsqCJt>-Ha&B8bg{J&F~$_Ei1^a>^xu}^pSFCT;{U9dKlW`Gu-IV=v8upu zKPzvo(3`K(711OB`+e#Cy2Etc?@O! z`W4xMDq5d?>DQ4jeYVE*;tP0HIp0E2_N7+J9bSFu>f!S!zD}nppw=(d)DPA!2U9#F zR~#~rh_$vp=LiM2v*xLC`S!ICn5mR4oh_R*B*Wq zH0%N6XD9#w*bOyKmPwRooNObo9Mh#qlq)nw*5{bqI_PvXOfvXGPRXE@=0YKotztU( zI~pq;g&(4hYnUeUxF)7XBK+z^Km?Mxq;+>7s$wy>Im@bX5`iRJ7vXI0Kqt^j=DWk< zf>u|y7>8F$j+%D0stwp4 zlFT-AMcKIWB$;j5oXc2=>!!M@8+iXaC&`{=o%WJQPS~*`u+u7wM^e{Ll1&R{I!UtG zu-_d$?X+7o8}{32efTwh@e_XV%OY8;URI}qzdRlh>@iU@R>5DA(1{r!O!2BJ)XIpU z>!TjIMbDoIu2E2L1S4jL;7OYEBuB()DOIUIVs;eA*Q=eBwNA^8QAF9nV1^@RN4*_3 zRT7b)y(0g5wPM8VL>YMdBH;1bYZxm=%#K4Zg+YsOSs6%Ht(anVNN$cCutrHqX4jH5 z?EV8;lO-f!*OjLy4xZpoKqR1fQh^3QpSRbOVs=V`W=oEaNwn>cF1QvyI@pYO!x5Px z1A9%Rftt<{Se2UI*G*rfUejdk!8{Z7GJ*s#`pF02YnuvXg4n-2UuqBaNaWb@0d60A z@bCYlSO3~m?SRQv_o8aT)*GtS6^quD%7}a^)D^1Qs(aUnT^hm2mr|u3(8oe;4tlXx zwPf9kI05qjAqb^UFl%+_tb0#jgi&p#W>()P`dku37ExlW#?Ww--O7|Mx7n1u` z7v+_24;XORHBZTH2eT$#vTNxwIUD1Rq$CLz187(SaxFteI~S za>|+{M`X#uW4h_1VIsq)G5et3TTfHPAs795sK50@cxqJo!bM%vOL4Yk!JY19r8wKj zA)$}a*1h(&7Z0ERix4qB=^nM!@ETk5|Cnv1@1`3eL=G zY*McfZ&C%zMMFMDq2}31g`5#^Np!OAJ@@N418d;?jHPyNtm zzVBNj6{pIeV>K||0Q!?ZtH3ML%bCX#({1)1P*k33Pp|dZ1&{@oaJ7YxpI>)vR(7pq za9?5^*yLCXR!V8RB;y!V&5Ar~wP4msFlSKhB6uWppt_i>k}x0&CX#}f4BTE!wi>&g zVBo0bFf6NCv9cQIXh0#1ORl#@pOS1r<*^?yBucCXs6snyMwJZ_NF>-KtC_B6lI9f6 zq8jQ94lVR+4dhi#lu-+^R#srK>!oTk6kdF4SB`JuQ=fYDL|RupDu6e%1$@T2VWCE! z(JKt~I@qvad?R)>T&2*{rAYUX=An2WGE34YkEmzE54^*QF0~N7I%wVUwl`Cd&bF7I5q@-ak-W+UsAXMnIvuX7O+_FP{ zuoIC4B+fd9kD0(TFPqN>;g26>pXKczgDCXDqr)($CM-YKAF86^XHL&HIMz3)hN@HB z!Q)C~Y&T?qHjFhSk>UVPYx}xn2Sq1b$F(V#0+m0mN zP(S(!>?0a&qcq$(dMXJoj(a%C;xjJS*rh(Y#5HBj1Bg{9$tS&CQzAl_JZv!gK-UzH zzETy^3|S@x z8h-4&G&n0qmht0-FU=4aiX=+IMOLbkoI&8zngViisaGgikbfXgn8-gQrVbRYaFc|K z34U>TD?Ne-6+G{2+Mf?oK49&ll8YTrcF6#Ms)P|&+Mj93yg#?o@rBDi?GHkY3I#mE z4KGwLX}N~lM5*8N>F^y+r$Ywy++;{@_zDF*#zg|g52W=6g!*9?UE_xNm|B zCFx3#pCEg53{W&SL9<^l(pYMnG1B+3*e<7Y2&zu2^ZT?}q+_ z(0%BxFgEc_fRK8eC9dp~E2zsph8iwSt`Gn*S@}_bW%n58b|tp6>`JufJ>fJdhpZ_j z<>!s>9$r0o&r44t7s}^;;P>snH`@7?lFVZkBPc_u1MTb29@mhiqqf5y z^+}F8Kip*Ft zcl19A9sTwchkib*o3GW;KW+IodBu+Y2fy{#yl;XMv--#mBXTsDi?>vqQ`019m|rb9u`na$8OW*%X0T@9){MD0TM(->zob^RT(Zo?L7=Lr_H=Yw z4L^F!#n~JT(2^wc?E%9bM+YHgyGK`gd-QyNjIo1~UxfW*Z zijD~3)mdE%C13hINAIQ{`bFV zUz1sUkr5^@$FN##X6u0%<04v3ootg1DD>u85A!j~dRW|%K>f8f4}c=86!sXMJI*(! z@Ck~%1jH!JA7Ka7YIx53J~rj4yqM}kAMx9=1NJ(PEZ-Mof9BT-9o+>v~mJb#@<9NYTUgA=hfBXx1q*XH%T6b^R~2 z@7y?a^NmAkrdoQh!OA3-!z+$e#c+Vo)LxDe)p_lvqG`+bY34uuD_{AR34Hb&XdGz0 zeVNRxXu+j<=(dGsNkC~t5H!WAB|?y|z6&CH*JLF!2Zj&{L270f#IPR@9m1h|C{n4J zUC@aCfVY8nshT-f4?Fu#v{g5Ui_0x^qW$whC@YVaj);E42^9z?OF9P?LS1D4nW9t}^{EROifRf0^Sw z2oL{Ybp9Q(kkXu`5 zqv19h%U+yg<<_E8U-?yc(6a5ZHL+&yJSt%{8gM}oAGAMt<<>%D(hf-)nH`y_pg&1@ ziqZ+?*kk}yqZyV^H*(@P_UkB66@FrTPtW}JRHkMHdV>n|+Wj@t9Keo$IrrDxU4PBA zTh#jKGb7#2Fw*_>&wcaTzBf#@ddP07iz~hHI0s#vt$WT~cM&XcP7f>XnK%cof8+4? z$2mRpwY_l;Sn&qQW>cKQ?gpARj|=L-4H4(84;{h&IA?P*>bh9*Oq>Igt%adJ7zkIgf%a){|fZ4CjCz095>fVMm|H3~yZp5{q!i?dF5!cpE3OZIZj8tXB8E`V* z-mgZCIGWf1n8b=O;%(CIdPW>DNi8D*SJ37l>tyAcl^hbR4;yhzI%o0#p+1awdybV6 zKVX!I!aqTl*ZM9^veG9dfqqX*Q}IhyM*IZ!AL)u@T2o6tF8SmcaS86#^6y4jf6k>J zvy0Ft6aPlZl&qYM_GZvk{+-_``RipzUrFjcAIer>owDl zQ0Qgf!M3u8SE<>r>NtD(>K$)?7f$23mv1H#|LPz4ihuYiZ6xlPKs5%yKmxb{5CMRB zJ0O7t_+?*J6-Pql_d1;#oOKPYTti6Z+2bS9@Cp!%6(bVIie(6_B8wBqbdQkra9{eOV;IQ;mYanOiJi0hD4>KyVIGJ%g+F#qY+UG+RmvHRpkm*>HY%( zZJRF_f?`Q+6OHT`M=V8UfS@|~%Z#c7Q4K)#EI;>2lG@SNER?)xKC;;<>FBv9YkyqR zA!3CdbU{_2+Gvk_&B9oP``Epr3=cS>c%fzT-GS7bmlVIiYX4%z!h6nbh=Wn08=L1gbD6{z zfOJK~CqTN4eymMsHA)g7&Wi@Gb97}zgA2^~B?<1wM$iLvdP*cRpmn-vl&17#1Oi@v zo`Qg9KfnQS!%GqH_7X(U(`%4Ab?^x=PR3LI-i|C!(cHz(HuInO1Ap>;Z;Gf#q4Xa0 zcneLL-j2o?qqvP0n%rvxYz@&h2#+x9vh8+Z>e5$)Rzqk)!999@#Ql#IsS8~2gtVb> zvSa3Br*Db6+6D1ff#4wFW&RMMN32L)T?CP66a)|`!fhx-2vS$OAObFSks>_WZpglo zRvZmcijt^!y_D4}-uGKmJv@B=FBC?6%HQ*IeAD-d5>Par{IkDV(JUAFwbNK7>ls?2 zq-R=$BRX{|t|$TY)$*`U;mfz!a!JYMCqZA*bKHCYKy8+vqN1`*)1MyippWjU{6#I zTR@ILQ^@8iz+EdxyCy3@Gp?5VkUg#|n4_Z^ zefL;&vW9JujERky{Vnm?r61^s*I9VJ;^BnZ8`NVbO!a^sOP;#wF&ErzR@x>2_F+Qx zli;Y*;d?1>sVaeHWJUU>hod*%Zu#Vj!2dR1r?qU34+Ty4SQ&zxys?{UpU2D|xQg+= zP&Knt|Jpe9ckAO%O*7bQG!jCz{wdQ8-}Ar!l^^Rlu^}dti@G--zTRTkV7Rs3Um_KMB3~@rIWUj6kw<-=;fqA&gmo|i&}M9 zr5QFGjvHs1!AF(Z>EF9lCp`e=M^YXS9##CAz3kzkL*$cdB>&rdT`k_xO%EO+f2nFp zIKyM-@{iZlP?l~ePEgTM6(!9Ae5(8@!NHA5e|5&j8eaVgHt!s5tQh}R8v;nCXd7(( z2Qa)~P1ex0;_tTyl1!TWn(&O-8jBkVFqu}#lVo~+7e?ya&|mX0 z8X%iz{$`)j#&ez=)G<{8OSV(SN&?A}&jNpWH9Zg9`7GNc0uF zs^iOc9Df6He58*Hke=lKRRR$L_5KocmlFn;K3=P|Kgj2bNH2_a;Y$jal3n5h%@x^CiGw>&f%sGjhydS@ z9-W;v31;-@nD^Ytq$)Rstyz9dQq5@hLR`8`fATf4kaG3oJg>`1t%-pT78rzw3HCQv zCujHW24N83Q%SGAa8IFl>IF$sQRPiyCXR4V-Y}SnM_>JW{_HOXWzQa?gxo-eDIkT(5|hJEP>O*j*4D|CV6gt}%R`E^-{2ioFPKj_5>VV7uAUN>zfQ z*o)+nRInn6a#btIP~9aD;M$V#|Fqp2vLg;Iirppm2>Ufu-=Lt^u9@#nl5~RIv}V3N zcEkB&avEm7qR{|c^CN9ROaHYCS5u{K<4`KrK;2{eVoD9Cl+C38HctZi-^l00C;!g> z#y9av&$mXodj_ZdJF^BpO9UO>ixfK3YfDfPnLikjundAsn|yI2ghOHj__xcouRY}> zx!xHrGQFetw7jQ1OFq2p<%eitdhYpmMN}zGQguYvPu?h~ziGFqO~=o0k(+^w{E1)t ze?9-}KfIJQ)N6O<7Wd&}%Gerqu8CiA0C6bcos>p0=2^V}Uopm!Z4nWv9(Du-<_C-w#BrP z=E|$^E~cRY=3bxYWG_JNWdv=yy|U6uVsRG}Yc}6`DuHmJE!KU`!(EEZBeam0je=y? z-Mzcqjl2I1(;lDvJO3Ns^nIdK0=djyz4;_XwX%eJCS3ZYyWh8JRm^}nEb?AgHu*G#6%(91Y*l%4B{qfDu zQzd|z_f^(@%x-VNtQ8F_EHjKaG77uR783_+Kc@B&0Hqad2C}=|^mBE0Jfpos(zuh> z&9|qdw09T@(@AM(4-R+FQrcT&Q`+;)H^@-QNNH~|x3QAEGq1z^5HHD3uagY1aw1;5w$#8qeT1I4r`DWN%m9rsG2l3pFsGV(Uxtz@&kE)yvfqH*= zrb;=RgI;nuo9;tZ&K@b}b9_K9XR{5jDrZZ^Hzy(Fy)Vb_w#TF-1oFk{<%hq4UpJMc zfwe$oRF^vn^yKF;GI_nTHj}NmMC&YNH|u3O6ZLqhf&+L@h`I=YlZ)n8v~S1BPY} z6)I53;QS6&3t+RG6{(1PMKs-@o_wZQP!%dL=U9PtJr|P;6-R&yimWiNejOj_lnpN{NL z2Z%->#dU~~ee}V3YS~2}oTrv!sKLg;4Np@O-_|p? zk14I=Sb*AnS!`0dqsUlslba~+Cp@YeIv|P1#c`k|a90-raXT>O?R#G}gbVo-3A&Ljz zQb&?1(%0Odj+mE(UkLq+U{h+2P6OtWa6;J+0!jFo?T;>nD3`RV9wj#hv9zyn9|dPv zB=?*v&j0`^G)+h)$$W!KcQ<}_%i6i*sD~RGyj$3c?+onH*J7gTKc|(7W#In)pNcsn zlWkp#C0R|&;Zc;w9vyP6>|h<3dJKFOK5#j+M493W-v2_e&q=16Pcr!f*(RB8DCcC$ zU+O5&MX$RndQH1UZLl%JVr~W&^GBAy|6Bfk8sM z>FhxlJd(|eE&+NfiJw8vDFnqE(b3}YRxndf1o5{O6mP@@k4w@?GTpU>v3xg&T-Z1 zjhLi0I3qEJ4utk!kY0I}pgW=a-`NX$<`5%sM0FC23{IaMHA;82cf26W{ zrn2S?6|9^NVm<+LuW5Tb7?erQPyy<$BdtMF3$%Zo%E}okP(Yy?j>rZxQadD1RfcMh zp=crqwuH7OpEFc=rWqn~MWXlWL(4Y9ZqB=?W8?%M(XN-O!#NJQyEw!Y(a0@o zP0AdH+)NzutN->3?|)^ia1>^FJH7k**qXfbIzL6wy-*XO``VIz{bo4~{hFL)wQbC1 z`G`uje%mWmf_bQ;o$?Gj}&GN0;|~^QJ&W^DOurnEHY zSefPB0bqNT1dOqjtl|0QW$c%Gu)NpTU4!r3&0yNGvt};q!MW5lFA|u*>osfU+j9ig zL0uO_PW5jz*(p%d+s$ICwSFVP^W8(}35r_!Wl3e`7^R7E6hN1P65z{#e@jhntV3pe znbajq6w$%g0W)?y_>9|b zn2|*+G4m@>R;{4aq&&H^-YNPRC3HZW^NOP?h@QKvpZNT^wDPLBUduipS2P}i_}`g< z61k*`Mr$PZqei)CP00YJUf`Kl9`g&!!&S}T=ngHU+I;Z^{-QGdQ05nwec%1udowlE zbMK8N-h1+$H~C^CeKloh;f;fEub11J1Cg5vME>ZTK4bsKKBDvq8x#&nIwAmc3swYV z@)9<1IJA1j_7wyJgbP9Ku$eL(`pDdy42RyU?;a~MKj?>sg#(fRR+z(~)p-s`1 z73DjGR4<5OD2e27=RE1Hl=IxKPU8On?ZeTZ_0j8lw8RimuE__bk%apnYht>W&i>Q^ zr1hGpUW6ooMLPY5Grv1)R`&?5q_~K{8Z~$GBCkq)Ap;p+1=vu%u7IcL_8=UT_i)0Gj(Y2#52h;aF%YAXW??0Ebquj|q?s$Ldroh6_;6ksVc->D>s12Y^Gb zmuuxp1NTYGzZMPv1ebfwDx+_in9u6aVgGT}X!?RrL4e7D_P-KLJ{m}$S8E&9w?}6} zD;f45mvaE$imbUqIk%Mz`;VjJd%@P6811x@;iK^0N{MrQ)BM_J{i2$&GJUy92p(INtu>)z@ zUY6E)Y)A`ic*gLszFXOFI3<-0m+fS)IvpX%G?mXr18_z(EB32X;2B#*)vr!>n`Nu=vpPj=#AXPn)Ag1! zA_mmy;b7B`wo99O;R?+lF}4Zou>ySuLNt&ncg#pdkcpWWh@yD(jnHn?NAFjsTj}md zl9Sb`>a=Cx22~m6u@mSBP^rFtk(n|9*AqYSjNC z$33gl*ReXCqolizlEy4vYm(+D>1Lv&&-m7#`0=YS>snTDv+i|JbS0Cj0inuqP5MRH z1N+LNBo{1M;=bxH&AJOB+&VuNjAp$E4ox4Y%jJS-DmXtsjAq>hLFG&{2>ZVlU`cf` zH0v%nIHsIt-39U2VxOKdMXyCrD98(}?&=Q0b)WEf%QIbGQQ z_Jt|_o&W8ViGQP1YQVExW{zWKX#Zs>nkNd{KO5e=GrXphE{p|d!+SG^_fJ3l6L0!J z^wcRVt8Fns7aAy(8QhhuS|CpuvDp^YAcuLQ>WW6NwjqRu*99?5m$g3#F2Up^6}?!I zhSvpy#(9ge=#3x^ZxJjk&f84~9ZSROf5TQ8{nVk%rd=%k+#L z@(EhKjupl5x+IFx`a>f6H@*Uw9F1sca>M{tA2b~777yKw@vq9{YGGNYE9R9#-N|X1 z)y($wj)YB&PkB{-j&J%tQ6ja;dGP9g5yZ|!PM5XWDb_i1f|7ysy5N0ST4XFQ&k5R- zI-;nrofDk4d>eo12>Hm}Ds9sdn)D1I4)##U|9q z6QhKJU+&(ssF0w^HkVBJFy@j;Lk9q;Zm;-vx(goJ5m4 zLDFFlcp$SY;P_T-nVmkAl%!$Gil>tR+m73H!#kvaLci+c5)ZJhxUxo|SWz^o9~yMt zn%LP1>FIgCCt&FmP>7-41}uvuRg>D9N6aWebp>zverG_+B*|j6pC;ywXiXiv7(g;f z(h+b;S}iq*u!p9{^>EbV)$IYBxRANSGFrQ{uQ{rnsl8N7J^;Hz-bx+Mj~*1&+&co? z7jPFA^4mvcSNZ$vQqj}6K6j4u#vY~;2a!Cb3d=t(j?3}}J`J}r@7ZhmAW(T(vK?L$r@&_~2l&m4 zroeA-z5qnU@iCRY^70^1AD`QCe3=s;n>y<~mfNlu+lu;S%QrbWecSC~o83|jeBV~U z76`OE|H zrM6Uu`GUEf_UH2yn+hc^LAd@}zWL14J4*Wte;-?TIE$BwP5jnn6HDy?HY-@&`&ew3 zBl`P;2dli)FM0Uli~Vx|aYFD_i0pteoU$Wy3HpQpA>{vz6z2B>Y5EArGJwQceiK|>3#MZB9HYTM*2f|PHF**2-Z z5oX)uETX??h}kwdi!ky-%(nUN3^Uv2+k=p8fY~Y$4L$wMRrV935ed8j=O@YBp>3*PoA$2IHtIIy#|| zQGt_7fJ~b;rDM&{^LW5B$F~if1;n;jN0%8;E_nh*)uSWpZFAQGy`kxWUx3d=2frh~ zKwN9RW_sWk`{Tj*uJ_E3HN>g7o!ANf@SVm^XymJcMZ`{(?~h=nKH-k@op#mrOSqFxShnMWcR1f1J0sLW#FH)<0@Ve} zcI=NIEdPGmhUvl9eMFMj**6h;C1>H@eQe?3EWs8w5yqBHEVV;+EX7_MsImMHg9n>q zuf$j}KxQE!n@5`1NP96h5`0z(Ww`a~OIHt{m$*g3N=7jfxSU0DEtP;ng2%~sr9Yh?!dC4}BdM9OSj7tK)(@P#3`2;J8o`-63KSQ5+a`N<2!5KARkMsEmgu%sH3cyJ84SRDSnLLKVqB(etqnuatt2 zEYu0(s1`5D%!m*L@YosHX$K(L2)}U3kbPFCt5kwDX zYksmV9h?N8ZRw!B&$e{X-e+4{IEyeH!$1>Zohw_4?1Q8XfWqipOl3<4?YLk&+~Ona zbKa1vuAF4av!^p)$qn1#N5?#SRN86tQGD05PF%Y7mb=3Na$Ujb!85@fy~sOIyL=%= zPi$~D)?t+8lP-Gcn2+E$Wl-xWCS0Pk1wxwKn7*dNZKD{L{%|&WQKe@$AoWhir{5} zyg#@1u?0M13E7)Xj79?xAR_oG_^a)>ng-?`oyhE93?x!+ z+QIm$SwK5QbbTEqGnq(KSiKxJK&XEp=3j%1%dJq{QIfI8LF;=9C zazT({FtSD-5oH#Q>O+tYh6|!cfhh_k6gOxUYE~2n!zD>uD8V|4SLi9Kk1Y;HkvuLr zZA=&$&BVvS#ldh%bUbj17*CU+xn|9LcXoStO0p)7uBn2wCjCEO69a$P5++w<^%$3& zlvGe-Y_3C9WJble&`K|#%ScwbHN!tl^`8~a%fD-L=a8E zWiz3np3{i}h0e!;8(@TEwgwvp1TkgDKA=t@r3G*#V){cq_O%SG8ng@?kNo|BnbqA! z)A+JN^FLF9>nSp^ETvORagQmTTZ%7BAWTWxYs9^~_sr9ZG13PVO>&Za@OXS`yls#B zY-XQaGdt}T&1P1eq??Yn-}FNd{^MU4W>(W*Z9+e&JCM;=>)?4ZHM6!w6=~ERD-Ng5 z>0LFmF1X)fAe#hqOORMJf;pjoSOc&~L3BQKlI1y}j{yuCMq^w=!-}EJnto`U82S&T ziVmyS96BfTcj)B8IkMaijA0{~6Z+_(Tf%TtkOQ>yRZlXWVsc89$q#A=M@^=PJwjJi z*KXRsbQ`F`zQw00c5#YKz2dO##0$Ml;4Ze0S7<)56)6nukWeBlt&+!5XQ+1oBBCUZ z5vW!D-e^v}+o{($-;CyC1{voUXg&e-rt_EWbpAD(Pk){WL!}%3@-Wn1irGFsx%P3| zEt>7)?bydp`0{`B*`FHrQF8(whU!*fwa4o{Miq^zsxT?zRMEz~FAdyGcp?_GgCTN@ zd^C9FeKL6;19ar0(ZdOP89|wW;tI_}^@@>?Cj0%C9n8?JEASpPf{~9VrTvB!{Se$N zI~4tqkH*il0f=8$#4w>|#gvcc)j09Mj>%F?S0?0zz^WA=W|ru4}*rPFTFY)Ws(l>VD<`|h7z1a>U!SykGV zk>66WMTjV|lnO4}(k{3#5E!y!eF?JUy3CkshiQJGli9?IVM+l7!2n$?#IBb%Lp=n; zltK_A&$R};S`L?Xw`;QF^pEfhQ_7xwOj8g+#LtRvi$jMgMZmNLUyBx3F>Ru`>SRjk zE}U%II#^KJYH?NCJ;KgQh>ey!PF<)9&{b*ou-j^zAy`fBj_)Dm8AM3N6WRJrn0+FT0xh@#ff{BnDJpNU|Tq3rA>885%i%TtVV@5kCP|m7P zut*OlzvsCQH#pb9D#iZbvr>%ofLDs|!`lSe>Yb&=Csd|sC?+DoTbm;Ms$cNMAN6O( z*!B9ulv3{U7*w2DAA*%0UN=`9>A{I2;AUeyqkeDde%3)%ll9?%rcr)X zS6-N_r$G;{+8A5o&{-cI(Ql+>#T^+*vK5%5yHvFd#S$XX$D`AzjjY?wsTN(!fTKkT zJ}PvFJ!FXZZpzAWGL-HrE$I6K9L2LZSL|-8Vh5#_Y$@O^_;nLu1j6n&7QO!8{jY!F zn?5b-DEd~mPTUDT89WN8n6bve?V2s~s*75et5RB95F&{nNNcrIAQVF7#4We1;rF-o zXD2QV9a$32SNV@wX&pA8mlfaah=#~UFyjm`xEQSZJTxehTemPPEi~biE(}AwKnX<x^0U{!{+nbs|qxRJjB!v=i9bIYJ&402Dug4NSrHDBpa)SvGNqIQkoFM7bTZ~Wx z2@(HxUsFa8bgtXmFp>kOaeOVhp=P5zc_L7oQksqO%^2mMUH*T^uMeZ#AK^x}4b|>8 zaLsACC{qBA*9ztcH_8+}*!(KO9m2im2zLVQ2p38SdJJNQ&Za*uL_nAD?;D^^~bP|Rxr8QPhmJS z(S)3?y5V@QDr%%%_V7{}I@mq7B%YoLW%~+Ibq78Z4hxhGSnEZ$f3>j!LOd7(%d}0*HQ?A{N@A{sdX-5s zJ-!PJ+XZfukH(4vikqXS=a*}eZ3vQUnQ58MbNWMsnE-t==i(v4Oo5)6ByqngNq>m2 zQ~MBc*nyMt;p3e=L<2(&I$en~29qjpG6r)kpvz!0!pt%jW42k7O2cHs3x z88Pjk%=^m~gRbrR-hJ7QHcSrC%Wa&TN`V|=91sHa0VP-~7}5=fK;(gRoMKUN7($@l zUqZ9kALpB^@Eme+sE$udkS|ywwz5BdumKFKjO(i)t(aipj0~K9hyfP9`OMQYZ^HG* zea`lCVGK3qrVC?J=LD91RULidn-ykeXlxnNJVgZ;LFWt#`ov0Z^6K=!rmUXj2*`PH z{oH@~*T(>gQQOA&+m<6WNB)Dz9eJ1xe;2ug9>`;k{0EUc@_0F-Z$lP1KF>Xn$13tK zef~WVpIqBMU}dF^Lmj?_A?4aO#B_$x9?Ss>?)NC&sA@`%Ub}9ACYQ?P9ZN50-2y&G*hpyB*teMnK zF+Y@1BFW|VApcF)HMGKVtUCudv*u72 z5UPsWiKC#Igatuz%a2TzXc>tKlI3&y46|;XB zW8wB3NRv|~HS7=PXj9?9pIB#KCMz!SgH*{3wX2Dkdr|z`_)qz(p0CqU`X50Yw*Sdr{E5H( zt&!PQdK-7(bn6mQ)8m>@5&C5Z#*6TxYz_E4)LFh_DS;j_$XanpAxNE71VKEiAQ|-N zsP7np)LAZweqkyO6y(wQuf9`$z znb|Ia%e_(!pn2EmVdBu@z_}#Y)j*FTP?|3DsyJ{(a=B&wm7p6mB{c_6Ol-V4+r4D! zk2gn@s>&-|y`<|5dZX$!N5WK*x?d*~Jw=n=l#HIjAYp2D_dxxfF&sJ)D`jFkRN_Z+ zg@?ODU3<)_+Z7+7%D2_!yRbiMvKdlzlpX zkPTckxKj%t;qLKWP41qI^}M{z*Pi+jpR1!DXXNtTA(yA!qSh^*Q_nY(dVcG(|Ko?e zG-{^7dnP#J;|}@S#%3_IaN}Y$6ExE@&Z07bm6-+v2VhokkE0~p8r5v30V3u6Mq*ik z2Wk$T%{1^yI5i3?pILS2Y^FiwYTV;sSZzIoY^D$B#Knp*(_2`;=Fr(pW3J~0_8ca4 zv+oe8S7sVu=#v^>U}M{>smwI0$;j<68?@yl@9ZN?4p-D--2{P<8s*oDU8B$P!jj?t zz6f;g@;4WK=e+Y}mU~K~WwyL;Sjy3aN9{#L&6an1U4AvGF27o`!g22lKJCNCr%-P; zGy@zi&0Yznx7602rz!{QoTj%zFf1=j^-l6&SP@gGVOXja!}7xU-Y#LHV1Y1&+JH^5 zVpv`XZno?hA_Muz6l%~_N!&|Q-X1zEFV1ldzHqL%KcalnoMTvC`W>jMh#;m=w<{*g zOHj)}kByEPLzBhTB+JV+!8|T&Vn9lJ@Dw1UAah{-g{KK3koGqht!jrL53KgY^v=M} zx5sMoSQC_rnj!V`9JTuqC=c0uN~N&7jkKFwWwHGBq3M^3MwhD1MTPGqd+D&;_Ag+% zdrt345v@enDIx}rw~dJF5PF_MokQ?>3iZ`14_}?R$Nf#4L-3mk!T<9Yzxw^(@R4u` zo_RVT$SrLpqT2H$lexNwc3d@Ir`-}0oEbOjLA~tW0uRT3RLUX-3R1PU|Dvut^ggHQiC*kZ2ey0jIXyLx{&k;Iv?{Nyl2SdV=vTJq6cX+a^5gmE zlwHq{e61(F0h*G)!YV!5WDS^Jaoax_5c zbUF>lXkfmm0U76;Yhi3au4-Z0>6;p;jHi!Fl1_=mxRRb~Iu+kExHb1#c46OC}Jh!sDt2zs}{m|R)#)t8^^_{?1T>IK?l z`G0PpouPA#bGw#dKV0WU?)vVbDL)Ud-h%_!^}YMyRWwNGett<yb+x?n{rqB<;n^7{j}VLXKnXHZ_%{7 z!d-bCWmjI=>;A4VMfZ6h{Tc84>A>B=wPwiyh!eiCx`j7+yX35Ql(H~k&)~Qs=qb7) z2mpx?${&xQ;Sq1fzA_Ap3lr&5CqoTyVD%APH>3 z+6ULiinKj0SZZEqdo&k51gT_;AX0O1WKf?1BDlR6TB9Ne@5N9g?#IN6;+eZ7eiWUT z0ooWFP?#jCab0o`n4z%Qp8zA&tf)&)@{PpR1{sXH+=fOmP9gm+dGQVJyndgNDE2Ql zjnDfcOET^eTH3?Qk53fH|HU`)DSyw;@lD?+O3W=8l_LeR{hR`%BXv{)_+n)O69W??6h1H($nvTPEFKzPG-G zu1$GM4}_wLVqB7)40(ePWbo#Khdp^pMUAbAhpPlDeTRyLpa8ALUkPS?hjcue6GS_a z3aef*>$~G}i_tt3#L&}LFzdTu%Gx6*(X5#D9Xjs;Smug{Jz2!7bFB2;ehEWB$AMq7k3SIf9hu|mHM23oQkdF7Ni?vxYFVCU|Iw{sACkuvFbU0?`Su)f#nNR1 z1Gni&=KB+fLGY{8Khh+4{LJ&V1z zwf8Ao6*%N3_(N`jKU}3%ukcl~h$(BsFZAIUW|ongXBmk=X@WMT26iPiqD@}|W&QcT z{f~Uzx4*fhjrF~5ol{g&QRJl#-mTfsR%wo`tapGoA=M^Y-BMV0rK~X}L6f{dm+e~Z zmX$RUV$gn~)t4x|))$kN^>K+<+_bu7gX3bdvOWO>EeR0efHiu5| zi?I^LZCn8GX={=%r}>qH=){i>l2iSaPU}r4SVeL*)`a`SUmjP4<;#nvsru?g<3Hs`K3o$Q z^S|*;e6qtnYF{+0iWkXGs{5kh^!i@30p?PWK~{Ni5@A+(+)f@FOqVoa%+oA3_nuuN zkxXCsgqw<8?>()#*OWNsqn8r5NHpUaE&C&pOIUB(pYCo-93wMb?GNV6ocL#^yh{8d z6J77m?R0#cZ)Offnd_Lk}8bp1$tGd?N5-oBDWprvAQW{eAP9r+1Y0m;OGs1arQ^vxdYxHt}1R#66bUp`|MF^jK^M5MKPl z;K3?ywdBf6Mt3YB@2TuFa+6nQ(x4c^avI&$vm6r(Z~M)E|9!tc_|dgE>b|O#O5Jgq zFqzYxS~M9DMGuo3 zD-M7r-NKwId^D%#MMv|Gs2py$whnQjR$}jxoD4yaC=g@`u)w&-04~!mhZyxT|pn+a{Aw}oAJrN^S|*;-zQ2X zns}%$nl}Uz@^?7{9iP1onmg6pLM@TrYqV)gZQu2Jr8)a^vXVtGjTFjrTFUoq`7nxy z=WCHsiL6hozx-E9vQ`Hyk5_f3{ri@htQ7*wzJsM%mTld1)a6&@wky`HhulsItTP3k zX@HSiL~CpXTK$O3M%<#I3i^08NjJo2|CX=$=m|u)Wp+19g|*=qPu^@L%o-t! za3wH|LPNtd9>NZ-jUw1HE3Nhr*36I5Ltw?AK6K?FV0MdS+(3jo2!cdM2Rj=9-dJus z{I->cfY}^a5$H0czglx%dkAbQ6uW=00&`roWV75c(lKQsiSli8HMNHTYAvbrB0*@S z*0d(GS-$4+M6<-xJZvoyk|gupIRW-52?i43YSJP}RvrRou;VupuqJvj^RGTF$VP~* z0cp3``^NJS{GFaXg!@SUfIT4s+1v0CB6gd-06ICd7vM0n1PHrXM~&v&P+IyiTkJ2`nC7HG&VM)$9q%wx(hKmPXC68(K47x4exiq z@NfN{aT*{WHwrKb!E#dWU=tNH`3&&1QyrZWH_p~KNW#bP+YdcR!pB6O3+^^2;`B(` zBjl#l#W4JK!Q*PJaqVft`h9)XG`uc|Svf={b44Io8bJxaU2=!cL!|-Qp1`|YFDc=- zO9rCu<~aDokX6yIZuCGo8k?tbuhrlewd0cs_-4!dBv@X1kR#tXTV6?uKS7D_X}5?L zFyqB%%X>53|3CS0FMsAIjg`h@UMC#c!Nm7aK09YtV@S+yZG*le2b&Y$s5X+RkBM(2 z)2kG$4m$AsFH`MSbv|^MxKjxY`QeSu7~gG#B(N&q=fwB!bkwN?yUm(2#w)>`_%1_Y zkk?*z63T+<@FKd807ZLscLp0V_kKAst`lgW_TW`%yo~GAta^Q#RcRcALCWWg--?K& z>VoPCZ~!)FGJSi(ubL%C6Wr*DcO)HJhmGVyO^PD4(g8!T&f9XqK6=p%n{Y;tXr@Vn5`0;=K zldq0B421?C*1c1<&9&G=jEvoqE~qj|*AmryIO(XVAMz}nH>g{CE!s=O`W{?^)FdD z3_Fy{QP3woZ)N?C@l#d~0|*nXrhdeDmv+s3dyIJ*ZW@|O>PopaEVyHXyT^7u)akd| zE{-rm8SEjVB$`R8qmMcvnksnw>btJv&PPDqr}-u7%y_$BxFuJ&Sq*5tkdk!fr0a^~ zA8i*P98B=)6(7LI^)5?I&@M0GWsQIA{a2?RCsP_sK^JDXQ~jXka#BJxmiZ9 z@Z8cok@uJ9C-%qrR?Isf)~WFDBSbjOj06379Dscvn`jS6-bi}I0n|(1?(fYd`ng1Z z_0sHq^kOM50qXsYZ~VT0`mcRNX*;##k#VBv7HZK7S(`vxtPwEQuimRou z6^39`3UJj2426_b$q57{%{fvx`8n=3$E|?s;ILS3Ay!P40-WS}31@7y{8s#w&z5prw=&NAG<1c!_}O5Y3Wr#8D0T8^vn`nm}Gm{PIhlMwXO* zN&D`&k(6;0yA*fMzWb<~)D7w$W@=m(L`+psA=PxYGcYf(Ga#u;t4meXa2~sCkLCX!DK=srL((0Pv9z1p8aloLLv{d=%&LmqZR>qBL z*NFOOeFjv`7SM*qTA9_f*XHATRQsH-SCvs4JGsmOPcweL+OZD!Xdcm;jHCE!ZVk1& zrP@jX<|-NMU2FnyIo~*zoAg}cIKlQ(TifF?vV5!9*?Ijx{qQIJ=a0Ry3D-<1slABx zTFOL*=eT^-7IvWy3*iVT^wwLFnPO)-kMLFF`1fRt(`9 zzD+GE1Jx(G#Ihv4H2cZDstlCi2yU{ZhimvgwXBR~W38lzYdCr>D`PQbD;X~jhRcvh z52b1}3awN zUYhf*MtO8b)H;FNRCzVkYD`&howB?2~xp6IBkXEA#;*hH7 zFzrEAq6<KVBB(Sr`?OdkH6uk*Sw23dosnPgh7YrjBAXWSU34hyF1@Q$F3u&U zD7)X33YxZjp9=b!Kl;iGZy7Ih*kV2)RM2Rd!%P{}k{0ALhnX^{xO+2Y5KP*g$LHCI z^f!WLZVUx|hYA}!@wn7MSP{=%Y@yD>RPkL_Z5*JeZD`8`|BXJMl_H<3n`hFXcV0Yu zuQ$hZ*$+K4Hm~4n6xf$2Gr|ywXX*?Y2~$k)gh?-i<~grGGxC0p5~dWva%eiwNAw)z z6&c%>-3S_K=Kh45$P!A`LyM14CBXu!0M7v>2F;SQ)=DRW7&$yr1I9k@`nr`u62CdbD^9R$nlQ^0Wx&&^}mwR3YrIVD-xl zKVnj@3<^zKzO`6PCH%D?{(|p%$;nv?x`gM{(Q2rb6{kG0zyR~hRqv>vO~Wc%fVG#@ zCagq|v}LNqajY6wbC2FFDcJ$bx9Ub;(V=R|`EbPh4%KIfLkybk`F_1tikT9d5ShOE(?sxpquWq;DNk3HUbKZJD}@R7C?Klu(miFhZ+EeX=&!AFg+g(dPD zILx~SSS2>Kdbirwa~q=EGWt6%7XSGt{w{vb_x_2$)0508Xp2S4D?G)S8I8>boK5mb z%%Uv!@oab>^{U=5c%zp>XEwYyQ`P&6U-0z${T}ry;M-m5Jcyg(~NTj zk9QfXRXzeJAV5$#^a*8i@@4u2Qyy}dbw$7-`z4QS`Z_o`;$KBV?ck$^w^}f@z@K$5{H4n-svq?C9_w-YxKZ4k`nz}n_0;|2<{c$MKh11;*$;DbQ=owY zh6fy_bpR9q@1VX@#y~M3W>?&t`@1J*3k5DnZO&BTGgbJ-yPkgPnfKhQe%F~aC?9>> z$9~^edVNswW3P-x?{}y~o`6OpKgWp_z_^wy7>r=6jug`q0VIqC6$r;nbIq%jk8qA2 z5Cc40t+nL^hMZ)e2@>{z7-rY|kl`FOf*ue9gGZ}00%CH3tPicA2gFzr{38afmzm@__5ym&=3sKK`UcL~WzA-_{x_H1vUau+{zj(l0C8Wc_Jm1N( z`E&SA&{&-KUQkGMR_Ae3PAXOF4$c#CV4?K6zDRj0XN;iQs=4zQZrt?wa>OQH&tprz z`@R3hzx?mKxoNU9jKIttNYl~iMHgee?htfDYd2`;qp=HoBV9Z1;5_X7PzpVnx+UAJ zE=i$BOIIe8i%l%Fac;Y#FEW@ykG{xY3O)HE!#VVr86VD}$FhY7$)T$?uG73})x^-% zHzr^m$M^b7_I;n@&A0b;4cj#}nqhkPSXTYs{ghY7rtVSJyD&puw+o3!YxWz9-E1}n z+`xT%g`+FkVzVDWY~YRx__f-$p00FuR7oYCfd{)ZvO&MSq$tNE4;zs3h(C@I?@Fl~ zB*VSE*d65PC=Wp|VaMSMH}?XJiG-FYiRYnpVZ+_MC{LgS_@F0hoZWDHF95!g@&|DP z8jV`FSnRZYBT^Wvt4(5=W=*M=GRYGdbl}2bO?n)yWVpQ-$Mp*1^XR@q6Li)L$_F#4 zg>VSpeYa-EWr#*bU0Au9Fa^F8+SU8NzA*vwTzemc&Om#)>1@~dAaIQR$b$fp_#kjI zJP0^cdJwq%AP>UODErp4L``@qy^{*^=vfMVU3Mi?>D@@jA~<1?F%A36X!+pE3TaF@ zU_&Ca5tJy?Pjq}p$G)>{y7xcQ1|)i=VBv*B~>KD*bYG!M>k1gbDm%^%70HDwHlGj&)aF zqSyRYVb$$>zV9D>$Ig2k)b@8`3mq4L*kW_&fQ`Yt!~Pr22_L6*f~bIsZvj%o6^MH1 zTL2<#F~k^K9CoPW)q-C<@yTJxIA@Jk;8b;x)8Kv~n@EBWqG;PqhaoU{UjX~I^nW0@ z*^?3^_$r!zv@cUCgdhke!S>6f*aBS!TF%4z-1hg$IOlrJABZm165wP$c)~f4>m`}9 z=_I05JV{P-sm!5LXWg@vx*Zx8)k(52qh$u{ao(f5-+b&|7dBUv3ZRdL8o66Eh{g3j{>3bj^{`m+ie&)ac-=m^q} zGC}8gTSMJ7ho=5C!O|&(FSF^=xAD%ef|YD-w=M)8<)d-3HB|^rOO@(^qM&-1OY8G) z8lq7O_Gf!-KJM&JxvR?Px#ns`^K05yvH89!8Z;0=IgbZmN1;#8*D>Yh z98m)F{}Nr!+$3;&O#;&{5moxbxI`LLoU{g|M1c0J-$@pw8=iy+L*L>aulq`@RxKB{ zysY8QKpZ!PB=#I`_TTkYU-aj`)NP%%`dO@CjkIvDIj0RJ{d-Io5qGqF1iY59f(agh ztqQry5pzuyu604$Iuk^H8X!$r&jXs16yH?X*O8>ktCHx6l)3iW=YwmX>=F5`t>Xb@SNb-zZ z$#{7%5k{HJPmt6wDI7grA8^geg*-ubx3`8gzuGrKW28d)@KRTuHRBahwa;$^0}=^j~CdM2_6P(P%_PpFwT^GPE)(2bcccnbyU( zkU!p!!BqK6DSYT}!7vxbUUljIS_@sTuNqYMGK|_!=io|mo)HDU zN1u0Awo!*24oKRm;Ibf6evVOv9icWQ&jv+Lp6jH(qm? zOrZ=Qb6tn@YP2%oz14Dj1gQnv^RrA=rqt8HYT_>K==o@6poyX085kcn9ljC48OkBx z-fy*<@3@CaNZ)8wC#wRii9_35c^^_o)_cM&eKlRtD z*_m{7GxCU5_vSf7*UvZ$d~UkmUi(5-{~M)-TGes2gRNd*cni!vov!7wd$ofzwb&uL zmpcfv{z~m;xG%zez9>~4L=H|}hL>=oFZN3ok@3FRuCRD>|Asq#aXf$~RIK_6xJ4r= zz*#w&1(rhRDD6KGlU5Umjy1_0+$ni*G4Z01O!zkv_3M%>80905QrOPX!=1js9{MYR zqqO7g3S?$$?vHRfWzFNNyJoyb@a1@(c+NmS*gD3NB&%!UnH7u}-@N0L%aAmatVI+g zt|>b2881y;hO;S4WIo0Sj)EWFaDKpwyAebrH7vbx$v}H zn=9WS*M3&692x0Y9Kc+8Dz1?9b~u084kvtMM%%wXQtL=)#}jV8|CZZff2j=!`y(Nq z9MCN_Vmv;#!~QHq@J+qFsspLNmTf-q_>R&=9o)H#EjX(+Igd@0E!0gcwTsT@T`aaE z=Z@6?-yJ+yawdne!gNC|lIUh^-C0d?lUJt~I%V}JM^E*)eDddg?rN-eGmOgJfM|%O z%o+(553zQ~!6r`z+$MMg+Z)tASZM*EXt?hy%9C6BB9TQ9!4+BunnTls+lpu!)y9I| z;nX=tT5uCYtAY-L0VK0(zl_|p);@_sPce)btXEt>KS#Mc?HtkXA=VB=ab>oe2~M*& zZT2#FNhNiuUe-A4wfRx@>b{e|)3qLU#u7Io(kxYD??dSr|s?_fhm<%dVd10kZh}Iyrfh56N=P9_Q1GWnHF8oxrE!xB@{I?a*0}m zVkR8A9>SqN^5=i%pZ^87o$7D2C=ZGB6wn8oT^k05=n8N)k_eJ?*pM?I$BPlHc5c-@ zu<}MQ#8${PU?7dGh(erz)Jo)ysg z{AEJy%i1ZV^aWsAm*Mf|w;`GjZXB4KR)qi-fK2;s63XL<3@W(VgC~^75gB9vI+F3~ zAV0u}79k5Tq|VXP<-v4$!4l9?f-F+}>Z9HO&9+8~6Onhb^S)yZISr0yxEaM$5k zm%R~y9p)DQy#v%(@(PpV@x>jk?gjAwvV()RZ`I5~K@Yg3i6SmnwlI$ne<&F>M`Fq6n#>y01Mnl}QyuG8>6y z3L@fe4ow%p1Ys~a2$4!ma_Z^ObOB6o4@zEqYY6THEKY*r0+^&H%HaaQv87SqJ2`MQAqQNps z17fgD9oq}#k)T4cHF*b^+G@%W+2-2fGbcoKO!>LB#W>#x6(cY|i&0%X#PD?ln08Yt z{0Zlq(sB=9VxS!UYfR|`OHJKw57Wr7Dh$QJU;!7+WP_2* zSwVY76&3^~FPP3TsxatGw4rlFOmRjDPZEqOY=;5VdgwLUM;pPU!uI+@;{Fu!^0?$= z6(-uk-S}LXE;zuW1c7 z?a=K~`e;zYnQ)}0 z>T7CkvCmpsgEOL8F_go|&=0lEJq5lA4;-rRjd&oK$}cbPjd&owD&bN>CzwmyoMXlV zH#@{gMC1V7b?R$l)z@%!FEb@2hz6nhP<3y-I>Xhy5fAjBrBmH|p2b%)+^Ix~btu{7 ztCj5q1a+;p`ed;7q*Hm~btnDcV016|zRwZxzfoei#}Ns)SizuSMvP+w^YR2>CytmQ z!G{M4PK{%(24^vjS$_Zj_}xF}<5=Yk%`FhD+%^^pzeEA~aE6+Mo@ida$#-O$|K8EfQm3=h3wJsz98 z4{T0MD|#G*Zw)BCmQJh z-tbWkc@W9cTot^zEW2<`;LX_bt=mqe_w$<{`Axs`_LW{`q5}s+sd=|&I#c{Q5go|A zG#ToGN$J&DZYc9=Ra8`ZPD8g{Hv{J$P@TwQ$tSYDx#ZEeKijK6&;Cx8LBUMQM$`=E zGO1>GAWAI*OuAXr(<0I%AxDmqtMDj^LJyCU)?hhO3>T>a4&(8- z9iCMgwdsc^P@VXzG^yzho@|HxalVm`ia2&EQH95tkI!x2pN7!4^v5yS_ld`)yvy$0 zyV$~SX%$uMeZNw>c3E!(we93rr#e&9mhaOm&wSZ4Kk>63%4(gFIa8(Th;uiX;Xx56 z3LV-?O1U>mfuxs(g5O0Q6)TX`P}WvFu89T9iq-|{f^xT5ZDyVQu}35(fU65quh@!3 z0ijJ+8Y1W;xghn534)fI2shjmjOWy*0T+}T#l8%>B-Ec-p~FMGE*F%0$OI1?j>g1_ zXx3;2<@T~;L*=pGc!wWSCWEDu)WW~A<_>RN~k%wCN50!bkx~kXlCB1yXmJX zDIYuf0ec|z$Mdv-n?aHW)FdINm^~2rQYRE7}PbSU;KUBC2@p%Q!P+Rvq4toturYSGN%U&OWnO|D^97r9z-=u(`bgE^qR08V5%` z%)2z`;ZE%f5^m@CTqtb;`Hm~@vuj__j2a_**1n{Kqmt#h;+Jh#)ua@UwZtfuIg3(U z?1C>Rnm+i9(&`dQYr`{+(%SIpK2yY9e`)Phy9gVVNMG5V9hPFYQf*m%jg z!#OsN{v_~N^v6^CVbFRTmd7BvGNkR%XwVAsp0IPQ1ZkML*aW<#q|qb~;La6O0zTWq z3Q;}+8X||EV6*?O|$n z@31-_-9jPuVS}t2lWMC|DFZAY6@1(T(Ur%(ZMcbOghxn+(ij)y^X`J^!WO|D+<``r zn!p542R8U|MNpcyD^f?8;1TreS`Y-jg?2@nb`vbHH@?hr!`6#*jx_BixZ7aRGJSyK zVOypimyhtRY1*qG8u0OD5PqRhT}`G7N=RptNO-Ej20edaNez;cos>{Jf-zpYn z(U83&Dw48C4^p(sF-Aqa8ADXmhEYVv&ViFU>Bn=+7$0Sd)Vt`eywcFdaxagBCRawh zrY+wW`F!zz{r`NzH#%jHhENvy7yuFwFY2I-CMCpc1s-JqvQPxA;fEt75mJFS&kz6@ z8k&d|Aa_A=lnPD)u2l*G$Xc&Z7m^Ph5w9b(B4R152zs>k924TT*_7dOaQ|C`C?Z~a zq!}elAvD$=Iz+>;9?+kPPGQu0bB-DDVpdQk*PXWRgm@heU`?`HuU4ItPsILK z-+>IaYSf@M?>Vm;-fA|}_BEVp@-!UV(Xgtzea1+SDH>nSzkZvc3}>P2DZlpupd|rd z`X3-d6cZP&Ot{S#pj-#=E4R5cEM&|y+~*+8VG9M<#FK&B7j8gUGu-G4bc^ZaO5PTo zdoA4Q3s6__k-(ZjtkqfZHKFZtvp>I!Kv^1pEJl> z%>dtq=d45H;}0h!O9_=cNZgD$zIzwxIm1HuxIPu}Ir8Vl-^I@_^Q^Kop0k;<$8lUR z@PJj&JwA+knJIf>{#Ph^g2($1DSODVv$KTi4&!ye!DAdf;Km)60`U7fxdmQlT%@)6=* z3F4K47u9ee2B6AES)4_)m7Q0DcoVGj#j8^x*YOo4h%bU17z}d*14=qKF?KE}LA7CGxb`;}FSYE5*)D4qfa52Ev#gGMSTkOpN_dCKrp{lrrY2=IV_=FGhHFLIQT|5Pf(lZZ!~JVY1q_u zP9!`~kJU_dHq_=0*U^xz3DqNIqiiNw7d{uH(7pN!0NphAz2ljMB(C5|%Xi>DsRRfO z-4JuCa4HA*4CcAc_MMdsen*L7F=gM8r>X2g3*gV1+2k zNBA;yNKA0I#4!b~hy88^yOtM1_qBlgy; z!f}b8G=H5?Fo26w+ol?Yf&ifS^jl*>ubqUm@wgYnYua~DN z^z-N!l)rSEl=bg@txxhvjoHO)mp^qGlrA&s(dFoq(FHSigIWC^FJSI@dK74o$}@JZ z7cjxepjk47F|vGX!C3zPpFa7|{`mjt<^Kwq4J0jw%XA0JQ2BTlNRCQ+JoDr-#z%3FB2` zA7olFd&#+BrNS!2K9Zsdz*UFa6`coXbq+Ma7IVXAal1C-U{>IEU8P`6FsM)w#qAKR zNT-B7l6gz_dlGN>1Feflj_+)OtWcpm9W_ ztUAffr1cE4+MPBX9Tl}+!QZIN#GgvZuBi1;^@{pgYP};TTII}8)Osg;F5nWHw*?2e{;R%aWjU?4_Z;3$JLva-Zd&cFkpu?D9ZHl>!KF?xI)bvQUp|qF!*P~LFExSS0&DH(CxuN(=JhK zR%STpdf=cR{S)8&SHH@LmI6AE$>h*qs8tpyIOqTl5pO_8#vaBV zX^jywx&3OT-5%iD9wd{4`+#78NXrVWoXt5#I0)4eVBi!%jO=U#BOHYKF7nl2MU;+Z zOhk)JE69VAQC$&Soa$6n27IsZW6~WvA>I}^1XlJ@ARbl z@fwR*>~$_OeUfP^CM-di$Xn-*n&A+tsaejUiU&eE!^0z&D;Akv5wDre^zE7HX_sg= z(>Fs~{qFmp`Kjl$_m31iYoUVFFqfhNk0nzCU1Rc|(&Q9D*O(lHO^75@Odca>^f0o^ zo$dW7pG+aAa=w-mLC>niX>zkt36hT`|4p*TRrudhM5p<2o(ca&Q@>2wjDr0uH zq6~6touj^Fil6~3f_6zH2}NfXH>=BYN4A@%_|RDlHcOPg&Ojvg;h#RSL| z-dQtVAIAjn)jF6!yg-f#V4PYmY8`ba)Qk9)+1_6Of{Ka;A7gIspWFKZ@}1j9$$ZgQ zKKoryf7p8fixCDO>Jb2l6p)2S>X_7bq>ZC$QNd-()}xG2+CeA?Qg8(uUC_fb6l3l< z+Z9@xilr5#UMaf`v+hx?nBXAmo`+`y*#}tnJUoLSayo*Xg15X>wfmRxY`wIIky!w3 z^P6qqe{sPOp5bJX{X)bCplORht@$BCcm~PUmOl_7DGV5HCF8|`2{}rn3-GGLlO=fo z`-ujKvXIw`muHY3P+W3bbATUkvNqRv8M6|MdszxkD~eTx@`t9RGt!%k=(7Of7Cjm^W!0Z#s6ZC#WG(wP^w<^pjzGBRW!7{O}i8=dip-6SX=*`3H z*J3TscWVzFz4_f?&GE7D(Kk@W&Cip)c_4yC(wI;E*vXqe99VgPvqWKfDKrm~)aqpu z1qJ9h6G=47cN)*))j0vTl)5gA*C`CGVa<4Xj%&!unusdS%*LtSvxX{bV6+yh^4i}> zRokdWYP0nav3)8xPxS+Qt@3uD&fiUu$*mDimd8%IN-KyqS*2bW!!xIlxjbHcR zsv5$e?mAyLmuKKy-8>_Hw}<#myF@eMcQc6JkNoYgee7F2F{c-!^%3@a5{`?ZZowK& z%#~}1;Y9<*HPHy6T#xK8H2ubKR@Oi)nS2B^6Kh ztpjJ?spa2p!5Go3nS2EFXBTV`3Bn{f8hg@ura{QUgzZ8Ba)n(W(PWDg|n%iP4)9HymP@D zqs;oXw@|(HDIXd8%YC2YoM7EoCIHucUwHoFeV8Kr)JswegROh-d-1)ms6Cd?p6mT+ z%Glr4@{Wqx5-&Y}@vf(zdgeWT2pLCAkoF&c`S1A8-uSEE@CLNK(^4p_Y7+r9He~qTv1kuf;)GL^~#M~2dZsnt5s!ec^e~qTv1PA%oXsS){C?&cytYCf7 zDBTZDQ(XmDq=ywj*5cAyx*$!p2_9fgg=)?3i&abhYJ|TU5aR zgjqNFM};K4nkp%@?6{(EDZw4pT&Qp(FHY#C5a$%YHp!*Z{V8~MT@!$m_>yRc@2*L& z( z0R8g7oPf-gCdRO4xSbbB+yb)`NqDvCyHCGK!9Qb&PQ6Km7^mKG*fI=0-=ycV<6PGb zIAxvAO*+JZ(==+3X?(cRqJ_Nyo1X=H1JBq;*c`BT>P!*fI>P1>!c_1?>BR%=4%k0+ zra&OW?h>%n{XwgesoBAa1K81FdkCccW!np~l%UF&TQ`(C+XZ5~xE!C@@O8+!6RF)d ztHmb4{t#$)VAAe{#N&2(=Po&X4&nFP?9Sux*)A8GQ{4Zs*rx9ffpmP?_Tz(xhT5T~ zJvOJsHg)zuAnz~1ou9u%aCK9M7a10IcO$=6-NdKcHS0BC15u=oPq$!PvCS<$Wg9cP zPxxtf6X#Kv+NPCL3gWd#dh<8G`^CTWals)Hb{4}fO8Y-*YnGg$fxa>Ho@lsVJ}Oyj z6P!+ZQ|D@48|lq{jp@*QVvd;Kp{7BpW^j&TLkjWCX@%Un2!j2v_&HTjOh^?(wq6BE z<|xLd3W^0Of`{eyDA>huEA`B@N>xxDB9p8$JyDCKC`XVKkJKc6sOPG~xT99SNP+~j z(BpuQPLoezO|kMO3G=Dv38JC)o2!*Cl0MZF87 zc5^?I^V!=%rErb;o@CQ0f9bZt>j##r5mQ|LWSyslQKz2A*wj$j{eDTg`(B4mAHUmu zc}LNZ$FZQ!UXf{-ZT|z={%pIRcpRo2uB_hVFjn|=VY_O|9gYI)f`GlgW3ie(7^SRt zNj!h)puHI!x;=1c+9jIvI5(5W`OQD`?O*u0p2yLe6a$BJ3q&njA~^6f&}hU|0bKs_ zQSpULu(Bx}aaG&qC4xgHSQ8px&}AJ(T9LNa1W{!I;vR|TWt3SGq_1y+AdMsKJ%TAL zh=PkGNMEQ5q7O;ip}@=2QvJ{us)DNx0W5~oLb;z-q@6WEbUdTT0_O;Hf(+S7P<$bi z+?}>?E#%uUp%W!XEwUQ2z+ecrx41j;(saG9)FW`K|{(I2*3uFzZVEB+q;dVc{ zAkCxcqmfHAThg1cr2p{aU;Q8ct2fp(OL<;kAPL#%7X4ccqmf#8OsCY~t$dU$DFiW` zMFsaLC6p-71>KSYqd{Mh1bK+?+1i9a+y&i|;uJ6uLRZ{E8#ID$NwMO}hUcLSvlVnp zN?2`2o;hffH2{WYMYp8*G6zg-rT>5$i&D7g=jfIchu)HX5B3o(M*B?ux7kCyXB5GBdo;Vbs7~?E&KsFEwSU6^4hJMkC*3!NqkZ@ z3CTjG8;+i?&k^(e?{6-*Kz^X5QZD+*f`(+Ee8 zm*}{b>K1kkAOvgIjMvDA3}VuT<|BMfp-Hu)~oI%Z#?OMK(rnb5Qt`? zkIqdE=(#jU2S*3Rk$EsGg6!lO$sp9cLqyNlsC19$Ig9wy2qOsK(G0v)+;;Sv*@K9l zBUu4oIh^oF_JE*3^o)?K03(0gzd_FhQ}E*pjJP!;MKqI-+b>!yp^lJpszA*0B$b0tC!#L*DPDm zOg^uuC^HwNeyoB+y}neBCg`<iXQ@*=P3ZpY*+>R47C&b^yAn@*6e* zD~#|k-C%1tSS3H4wx(tbic=T>J&Q>w9O#EZVltwB`F$`!B!u@BY@0I;*Ripbo}2l~cQn)Z+aD*E?ZY zZ<8S(x<2gk_C)s&f+%rm5jppK!B;)R((RE6aL6I@ZI4W_;u35_0^Pw|)jFJVRtU4) z?H!@DcCR;bRrj%4GwE+%+xf5iSgq-xR7w5Gne)3ctF@{kSA?QKRCAU2Z@N*kbf$6m z+UOdUdsymDzemOdO~dtQyqVnaJdG{UYtVSq#{m-*eSW^iD>w2@X}oF6_i4P({*3?N zYky~}@vOo_mrW20s_~kTm(HvS9stFl?;beERt5wotRV*bKsjo_TM7fp8c;i~@$l2H zRwPkmDTl^6axOtQ$4Rkv&>IcSntW3A#ef2d zA65BYC39{Lf6B^!AW8>+$+U>Q-nn~P9772fz@inL8+Tp=X9~^>o}EM=4R0&esL8XH z<)gF2^g#?-0ne{e$)A#xdC)A|;aP24`;q@h&W<2H@%R$tRA&p$PR^eoJ(7ZU@rL65 zIcTR93}++tZB`eCigGuSD6hj7e#;s&x;Lb;fV` zl=D$6zFWa~Eu;-Wa+m)-1{#q$g#P-kXkYb}d^Ak`la>qt`(%eKh`RCG9*}Yc8sH1zwuwGD_u`9UVp1 zrHcUIX-6_%ANawb_5#98+$dk4Q7x_hS@HC&@)`q4gXS(!s1;pXUqDo!t|r5N=XTBO zshm68ES?76H>c8U7Ah9Qu%zg4m4%?aQnb+tXMH{~vtlO0*U&t3eIZ~5}Ww~waol<|*VpiNeH=dRyfTythp zbj4zT48yoxvtI*V;Br%jJo<{!T|~J!OICnJV`QbOHy4cV;t_)c)Lq;Fs%;J(-NhqJ z6DoR;?!lX!Y#LQW_1RT5&}blejH?LW*uUlh*S#NByy+*y(;G~)%!sw0i{U>9g<}-w67Q)-{Xp$wpHTghes83TT@l86}Tha<6!KD)&BGT}x3%IL~-}&`UyI z6|4#WF`wtCKX9{wNpTa}Qj2~T5EVYRK*Jmqdv)KS@7<`s06Yfurkajz}FQQ<6Xd0GlkdluRQ&^VZBKErl+*e4PY#T5Vu) zfe~iA+4u}>71ff_)w0k$`>~VHa5!u*^?++GF`cjZfwZ{4K$L?sNfVekJd`wD9;Bq? z=)FTp)AiY+cU*mj2OCPNR(n-yC(CP?)Tf`9x=u8<=yq>8Ph+snqT6fpv20eK!Gy{m ztTxD)oL%^0-)&s&TiO5C_zb43?G=fW*=IPf1W1xz0o&x$8xq=QfSIp(^zzgG)sKDS z4|=j&wTdOXi;j^*^Q9@I7+pBIGKQtK37@1WhEhmTPdF9wcGnOGzwNCt_QNpJ!i2ot zkmV;O&Y~V4Oblf`Zk)wEd7-Gshtona_QPn^%hE!lkrLH_s}baGMAbxEr_#bFLZ*-S zs)Dghu_NMQR+y+e?Ouq->`U6Xp7M9`;C%0&^u41*z)(%E*(>zh+{AZYOgGrXhwMy% z4x|wPB?&b<1Iv%f_485IqANz+@hhj@r!C)?pZU?h{L+6pA?-fZQ(co2oqi?eXtZi3 zYPdm{Hfp$s=&244x{n&Jwr1~vmyMuX@X*z-+j4+)Qp3qp1-%H%EQuQRNQPS!XvhZ5 zX_P#X!@gNF*&mcI74LV4iJ6ULygX=wx|D^9-(Rdb~x337-o04~}VUIQPyb(jGVF$lNynj}ay5vYbg^3jCa0{c< zojd;5NudG&Or7BnNGB}YVSg#g_v15#dQL~Ag6&>&FF%h}$=^k_@aroezyDcZ|8*a9 z&rMmTt)sATPBXlw!+~B2lo)C$htpA099lsR}eI0?V+jhOt2Kpqdx=X7ww_xxtSnXG2k@8#Y4Xi(>LkR)OaR% zT<-xc?WkMb;hm@OCNzB^QfPA?HWjUQeTXIy7iHd>e zrm7}$dFp3Hn>FNN`q_2mSWGS1>t#yGKIdznjQ@=iGvbJnq^fhE(Q=>BBjL1_8}+6z z#c9j;VTy15$*=7EGq6VKEChY z&_&R>8>~-51y)ovtY-r)dgZXE@0Vr>h;J5;FOI$+U%YwWlwZevC2&d5NS@2|TW;Q) zQZ&<+?^85i{fU3@2fuf`%tm}A*1C)k>v${R`q)BEa-Wpi5RAuDayHPub?QxGbm+79 zdiZi;bO=gU^=wcqN~XC>OLKil-^Lii3~5sKg|hWn9e}sTkiPcIr0B5Dzr(Jbl9(7B z`usbT7j-0KbXd625W}J-xl_6mlNTpY;cLMyhW>WejIsN1gOn1LHq#uPHRI)hy#P{3 zNnnO;1g#yw)`tiX66q?Q=eke^+OIiT8;i=l_MSmi2^2X(3teH{7otLY0LL1_CNABzUc2g;fh@?gayI61>P78g2HnS z>TJY&&mnFa=rA zJxDwzm6aMeVY{Mxkib)Pj0l_+m}_K))0c4%5^T*URLM}g0oG#!NfM-Dw=aVR3bv$> z4*_4R5tJaIPepmXxe?l|)N>kwm7ym84=fNsRLX%*i!DRn(rQKsqL= zrDga+N1-5!N1v>O`(0wynO#Uxm1_*Zdwk%cf$x`+$cd`h)U~}fK6O1$`@HAC?tEWl z&Yl6?+wx$DE{<+8@5$^o!Y>VeCWtT2@iQM76BMRwKmOTm6++Yz_6MP4^7BVn(pnx6 z`FY$=7B0`L`pK)CQm5sC8Ckwho&HOo_QfCni=&eWDoYvkr6Pdkh>bdRmNIY@D_-Y< zNu3%?*%3}&tAV0A1(_L>BhcjN`BF^LS4`^E=**7$1G~eLri$v+>C85V&RB)0PAgP+ zLcXOvbW*2FOruhTw%)XYNu8obpWy*i-v*s&%}FM8S^!N*u9ux3NXpd8&+r%;*G{TV zS10=3>5C_IdO)$fB$@z+bWD?kzqaPSa-<1*5!alocvZ!E>5guDow+MBE8XcT-|`nL z(3zCpxvE}`diNaNYkqEz-`he40s%zb%lk6uUZs$9-348Qs66Zdfp&+85p)jD7tSURl)in+Z_)ajQ~S@TyvTL%uM;H_y8tY=M!*J zUYjnY4`70xfLyQGyOvg@VyJ=#{3nM7vr8*Tm0^OIi3qwrK;_y> z5-YPcQI5toH%e|0uf;X5%?hhk))7XX5Tr4sYKGc+toG^7}vIBW`#-uywc$*5KM1(0tSo`lq!mL=U}$ne^bB zuDFdFTA_?tN?=^jgKwPU5T}|4-^<4aIn_M)23QE{6v_VVfjnwX#}DnnH=>E{3ZpK7 z5gt%;gy0)xIxZN3Z=B?5qqZCc=H2l@G`wX;T4s{hkogVVZta@!;^5pc-xx;+qg$zJ z4@Y;TnQNEIg8fEl$HRG!8uJ>Css(Pi-^_&ThvOOk%guOW?`2b^ORk?MQvT3C$yYz@ zoBJ!DTpYT?4%19v9$>h@XvQi&kO`8y&NL5X`gO4ZfGvy*d>}x`}9k+nlw6c zwd7!@f8yEC{`Ftxrd|E4Hl_xb8j@-(nC%Ib`)hUA;IL1Tppu(f$aq?=$=-q}y(d&o zG4+xaFHEiVM8Gko-mjO)pb7wQxOzEQ8&hxAO2ZG1xB|etV2r6T+pC1iK*5J%>cbX8 z1QjjE1jFWArovBs~f1C!vhSm07+{aS-{jL(((Y!5%i-w{&(6Xn$7IZnAzX| zRUdcnd)&-w>}6(Fw^$9dVTKe&5uF}w0gcCK>|DZ#S+U1EVQT=0Th@TBi|Om#8UV8z zMNZoyGV6jKLC0z!ZP`nL9znAji0N(GW6Ua0Tjwi!1dVfCBRNY!q9RB_q08BDh5*edXHdR zQ{rn-*tJKGR|jKlb*S%gyCE78e#=oKul71O!0AWK6y5u%6JYS3&CsK?B9$#HZt7$z(VV-5~%RHJ7wwYFRuc z4u-5Tm-0z8gYpOeU;Jp2g{+6a56(|L52GCMv$!%J=s?~W&ogoq-eV;V`JsMEJeN?g z1#mTYe**DL1y6#$<{fY;z2K?sj5v47+=Djo<9u1s!G?{+=FXGoIXkQ1OnJ%t>o7G^ z3%1ax5ITQgn(^zd;U}+3$8L(kJL(QG`YU!rrM z=P&%OfAskK+71~rp6b^i8M@hE3?N&lVLaQksYhK*Kf@x4;2xB+O)k`9Vg$fwc~n6R z%4n0t9uqTTy9C883={aP%P7KfR*14aCWav3VHL#4Yed;0Wvb;|^~)SK8W|#ATgZES zMURPbj_V^4Wl0uy+Pgg_W;72D3krg2yqha#6|Noov^?msAxS78on(lKxyN2RIS;Ef zQr3-R%qoLpQICGWV6pZ$4<+6BiPme7eDFLu0J2>(UY^2R1WEV}S@N3Pfoj^tV&8AU zarXz@hTlgqnMzM=qETT4(&bIt1qnAnKDpSx9H=a&I3Rv{lny!Qxvu-}qg>ZLmf-9- z0u!)zS|)qINBoS|h%xu~_dfC6fB0L*qL=-C&CWW85baRXJQnJ>!5Akh;9XBp5~G*F zpd>~w(EUo`iUx30ujDW%MlXA?AgUHzcIQXM=*3we@m<<5Cq^&M0=dCFrRLC7z!v6I z7RVhz$3{>&9wEGteRThEa5!c?<1TPUsKSB~V zDE~r}l%*(>8pVKWT-8-y+@znh%2JhBCzGhceKd}`gOQq*tuu%jucRU^lIl^B2xL-V zA+0bmlHv^+1EZE61EJSB^cbDi88nOFZVIg@H{3cSbRZr{v2`ZRD@Ot_ePP)Sk)S-u z9!bg1<86m%1fu0Wm!6~C&m%glqatUZ@%DhmX_u%qGjr?9^|Zb``^SIaM}FA(sPtl3 ztW>SR7IsoBa1U2Y1R4jqTB4~&q(HZwla7w-)@CJByBoxX~BxsNR;vw5F(MD92)6_ zN;+jDDIdW%=Few>M>e=o8J>>&4tFPYToqK>PzZuPRBI%BMd~;cJb~L&s~|UmVIZ&X zg4A&)2nqleH=;%Yee7BQ;DXd~CWyEOopt;&%Vme!lse7?0fm$*NT63*(BLal$5lZV zHA;;H_z2oVr&2`ByH(<-6PgSWI;3wS;fSqyTy0RxiLV3JDo*^!lEi~dau19NaTqfT z#@Aw}IjT1`Wbn!d-5zNn(D)%UEW5svcT-fH9_wMCHAp;E_Nh4(c@#pCa>Je*icCdo zrY+yP(L`&0?ALzN*L?pQ-|&VzPdx5DK;{A($_r?l^iPD#nN`i$E!Cq5Iwk2EbWM#Q z)ngT`{XnM!2%GbYRF5X;OrrpUQJ$3m<^-2lrcsQDYz3(vZAE7q1#F)Czed#wAN$}u zHF~6KhWf_Tg#5w(XMeU=|HR)_?Lnck@q!ddt9r7OaM?No?C@i7=|R`)v`Az~xPcO? zAgVvY7?Lq|7yzh7LIDK4&+;iB+~$*oAU&WMH=R$$`LfKf=7Ry-oP)whSm17#KrZff z2}5bQ36cLr?wa?crGvr!PK$Rg#8K8eSFg+v$L&EJ(=Jh~n`emQdLWL+{{FB3!2jpj z2)!5``=*46WYWeX*ch2;-^%zm3LeTBKYOBMi8LPU{gGA05L_Qvr<}}IvTvIsCrBYD zyG9115lr@Njh-bDTpc^-80{N;0UhOx3aFBe@N25O|Zi9o+xtR@J`X=hC{q%~9 zU;#z@3PU#2d_6ieUuUO-XGdUm1fGB4oeL(xR*Q>wp5U~Ww@~c%wBJen?XSG_)Wyrs zzw-Qx7x(1vy2Eq6?{n{r`@Zn}#rv@GQ!j}@$JV{j&c@H4>;34Zi+4T!9^db!=du0N zGw<=8@!2_bVPE%I-}1jrU{1DPDK;9VCYzQyxwJq%4CZ9(l^SDCuDwziO{7?y+Eltf z?g+$QsWIkc6k{k3cv!J6Ec76i@j>MP=r!Ab3zX>I0Yi*h$$&IGhT1l!Zh-FX!1dWm zdR-Wr#7M#>lKA2ZsrvT`jsV-qtXJyMWKQ;w9)r^B#>LInFR0Jb-z#Mgy1l}zx3T!l zoJ{;GfEU7~97-4@ap}=NefL@nPA>^upjVCW$EYDYB@9z>!}9!GqUfJ}=X;;}ieeAm z{qoCIin!q?eel11`v>1taYF?l+q8;v9F8$O99Cpc=qGN7X%#3kmasyw@*EfJ6FM!X zRdfu4CJhP~vkS(w$_}-zI5hRosxvVzrd7Z*T#_IlaBqnlVp;{`IQF{Yc31S29eR3j zasM7hXH?aIt(9zrXyKj_2UE)ax#ONBYnJ!k{kGH;OWW`azCE{a)DCQ~%?UJ{lnQ{O zsT+I6H4JH|MN#<7${Dw_{g8QD-Qu)E4W8Z>;KC3i5+rGE%H{&eB-ld6+Zd|XX8I)V zG|3YhjHUb!a|Jr&mtt;A64^5?Lq=IcGb<108E)SN${h%#<7Qiegt|S?aQ`ltkyEn> zGHNxT_Kl|BL>K8M!d80|T}-}-AZa%d-?o*K(hSVtHrNIltUAZIY*z^# zZC8ercV2kv)fhfL^{PjJcLqaC?q^>Ki?qAdk5WL&6BSIPeo1b<^5Vtw&&crJOKnW+IQlLTWYYZW2L>1qNgmyh7ph_jWqOb`XJ~F2PeJF5a>Ra_dw{eI*yq6^0(xKnZ9aY=e)p^H!=Pr@T-OA8a{*! z2eE2`WN|L@0np=B9u7A;3FdV-V6}+R|7~TaX>F<}QLt&d&Bg#}?ps}nV!!IXgSP8( zuVD%xJ@=Adax-jg~l|IiFBmI(TknG|sji zV$0SmOCG}hxIUUI2+=)s{F8a|_V9j8_HIwt!P!9^$zL};i~ zCt=DUM|Bsr9o}-o?d-Jy?84DYodE@Pv72D0F(uu;!k=i#Vubu9Knxmm2Z%$4dOIdS z2%aQ|6;lv?mH=WXUrR;sm9y0M=TwnY%{bE2h_-QbEr$KU_`(`?KR$1jczn5a{P-xW zh$G$~5Yy40$Djg&5P*1sKM@{o_!HsN{hisLnEi>17adFqh={`+m!l?*nPgwI-zRuI z*C4$Ad;Zri{WLE|RC8vSB2T%~1&2RK4Fy)@)b3`1#&7K5DZE6j<)0@_a2Aday@@hdkZ3&+N6&;@W5S+9%_Gqg0@5>9L*gup_(;#|Kx!qHvKAdt&!UC(E+~k+NrHWW$7YS*ku`dVqJyLqO_YN>AhBG^ zn(^ys(S%8^0NU%CtnY8uj92HNw6y%@WX@|Omg)p#;B^!w3c7!M5d5-!U>M|@N%wJjtX|mO8@ZkLtzr#hN z%Mpb8+6LS?@OOGPeeD7+S5Cf7~f)Y&8Dj8iV5!w}A?-IKVk+?r027r_~XM##4f7G6f+0TPI@xbQT3^ zl!UR&Y7RAQ$rzvwOL*i^bl3Sh$rylbR7w6gS){XOygJ7nh&SZ71kGyug|vdseszx2 zP<3n_=Jt)QNgcbs%?uE#=%NlTe<>v0tdHZqb^rUj4%#Z}QI+Yfz^PE5;zE^ITHD zx855ARBOP9f~yke6eOkujD|t(!80U50rIZfHt(7M)sRj_sZvg-MnDyu;b;@r@~BQx z7&V3+8upLu*hDqEq_pBjmJ+TLI7`HtU?Gz_6aHnyU$mmIHAHDBk;m;IuMtqi+$+up zgJ55ZG$v>Rxwz=Rf&GIAYBdVT1=-1nH{aR^dj2bj);r(w@@XE zTQ)S7k)@jd#e?&G^Kr9DiDB?{rms6M@u~@Y4}0h+){^H(i@t8Qw71tjUDVu}k>qS? zuZKtFTmQ-9NB{B|RV=I>Tc%KtgdVtD@1oGGnLCgpmKtcxV;HiuUg3mbJe!SfE)KjFz^L!oYxn60$YP(b9T35bBllmziWg z)l3etj;L+hsL6_UtjA~#d@Rz*Xi~WR;Ra?>Sy99hlj0r!r*o(`vWqy*5}*>86KG64w?n^n`jJQW9E(EcrHk#WrApSR%aevCMB)n zf>c^2SV#QqF#xxvYNFDrf;NsvC(sf?^cAVJOt4Pl!T6pMJ-MJjh$iXNc*e+|d>RkT zME>4aSA{!nODoiwxQkWPI$onN8P>f#HL7Ld{yb1F*XBA=!4W#eSOh>9- zS&Nbjm7m-W=g$BlY%-Fs@x)#HTF4@upEoIY{fJf~Mivtrx8;t`*xZ$87~R$-dc9+F z-~Ppa^&5ZMBL;n5EPrPGnj z_L1~aIFBwjzIzZViXdt=vY||bOHgRwJXD_pxL|wi#Jspe@=!>eFste++=syuHvGqG zV<#0fG}hIuw7oVT%cgy1uYEFVmr=A(-n0f9MGJb8hsbl{D{<|>Ptp^Q%*OXMY<#Ci z9ObDUvF%jg(Y7<H(lGN|l|TV}wa+xAuOy?zDefqEY#HZiyu!%0zDzQ?(Ng9h&2f~d?Y`@=N z9s@N=FwRbA?Y;1eoSp%zn~(~4*&-lI7D@`z;iA*% zf>DW;Qeg_NkPOX&QHkNJf&rV}<#t;T8(%SEy+y}Ua95m07fed*2z@8+C0@|kl8>E; zF))m}9Q?FwxJX9T9X*?%r5-5%s_G2Aq~?m*Yt?hE8OxunMyjvs$%Xx$t!S_QN#8q4 z1-H#KoxP#}XLj4J(QN~a93F7M$oO?hsAsb*fT+ylIwLEkMk&U*s#|DFs|=|-G|Gq-EgvOB1pqAg zrBHLr33Z3Ukm`bts6cRgARiO^Xaq1wtj~_9kal@pS|#AO1FcAcj;Npp9z0aaBfY{r zy++Ux6$pX=qX?pSx)F3lh1}2^OcI5qKW$LR+z5I)13%B^#AXr*u2`Ct&oQJk_-(Ax z7)?R>+l*RUv3mErL{MV9XszlSwU0Tj(7!!kuEH#*y4b z_uRfIMc}GkHlQ!(W7g$qVDI`H5%TYk3~=yLeN~^wE2vy z7aYif7yV|v2Qi$dK`^EbH4ifVA=ErP%F`g`D&^a&Ql8EF#k2Pw+K{JD`u;EbFBaZ2 zTrLIzU$-16_*=1*n2CQ>pDEy~g3A;kL$DV7^^86C2pN_JJcPPp&)8!(>&Vg{)@&|j z;(v+8++4-rW*vgZje^3MK>>r91>LOU9Jg!IDr3bJxEUHjH|s2OWP>yX!SL9Y61Z6h zP0&{6oN(Tv80%D=5X?FaEV7AQat|E7Er?7t2c;7jlG|lxinC-_$`!y*xm7m><%XR~ zMuo5nc6nv?PWV_RNFO)+K>=? zY%nz*Gv1)bKqG-vje%HgYCL7Uhf?Dy<2{fYAGNY-)^4P1$84V-!c1tL$`}kK zJ~Lr^b))F->@$1yPx{_bYS@_0*!rV@t(OO5L{rb$`gBb_PffVwX6k21e$*!||AYVV zyPvsmW2$2dElpj97_vGafgl0y1BV?D=(YKVg~d_G^^pQbhFD^23|xk3%YrnfwjxHR zZKbpooL2?6_7!PNtKg9&hH~g5>r2z2X-rKJ5hy}XCcaNJmRXR-)C7;9;*b)qGb`veh|8Koi!n9Hy)rHWTW1S&D@kmlie?+{}-1qc{En9*jWR&AC*x?bJ71@G}M9a`BCe*D>v&U zx^kvZegx=~r(L2sikcy5sG5b4u5%pcZ_1entXY<8>84g z1~0=*0#`<~t9W{G&jIDfD7Mlk1N-i3C+k<83 z+FMW>eg^B%1^u?Ld@z0&^xGr{19(BdtK?t=A1~0&M)2xXjP?<#|9~=dm5=pu;oUV9| z6N)33*`ofFJ!2 z_$jPbqg!$6OkNJUi4_8uGlpjWV~8>|`5$24Rxb%7BpCRov&{a7u?V1Mt_+VT&H?m>x?;vwjsyEx~-vg^7#KB~tu%g2TKoGv($bf}RB?c5Adl;~qx9VY_ z=rHmQasC6qt1uvf4|jBl#5n477gR zTNC^bkRF9_P*7_WhBY{Ng#QDozo#j_6#hRhH)Z4!SbEwOBmBPysEQccX(_s& zV}k!NvQYB`U=+;={M+72FQDv(^1tm0Uk2I^rFHE&CitJ(6D^oW_jo5!5aEBYdjrsb z3j$(5ES|r&cmZ~Fls%G52uBAXkk`Dj#TG-S=GC(Vy1gl;IXA(%Wt4YQjHxbHO5Vyw zH04(ECLdkw&oJeFGQ~_=iF{8Wzg?_iT&-q1SS^8Eg4I$x?twIW)zerYiFET0(XMsO zyad+afDp)L@|w*&&UYVy000T+jAc@1(XF-^bz>~OOQ+>3&E(}?p3U^5Yo@1NqS;K} zjG6w7uUdWQi*Ba1{?N>{ZlTEvG~H5ncHHedZD_H_s({RFCYSNOscDR6+E#?|*}+ya zr@q2eELx%R5h6@J`X;zrBjK-Eddq9OAU%5%1StxKVL|6^m!L<K z8zJ&LZa2*jbUns5SmJS_aJ8Zj3qMywotxCm@>*bQuQSQZlaCTH?rOHYkFMn{T504G z&6f9OEbnjr(r^166F4Hb?CT=E8l%vR*(R8LdpfA#{!qqHI%FGRaxXSV=Ty6*AZM0@ zUUFa*3@T<(!35_+N0|I@0@UW|hCqWP2J+W4XoJi%LeZXa6{b50#7Ru<4LFr0~JGs6aKv zneNHsPT@o4q5?@pOAisl2j`;N$eg^iVhkUgi)sTfra5#BADoK{ELZKJQ}|H1sJ2Ho z6s1F_@WCl8NFAOeCo3^^roD7mR9DSQ@r_Dk7*78dYiokaLtN0&WAbtHzbW9|6d664 z91ocP--E=>D(q2EVbh$=PQT1l6*g`8)|aIU`|WT1p?~dDf7Kh_aOa7~9Va&xW?1-c zkMZ90aZW4b@a4%X9~H6y6U4k79WZ`E>AnETKsLWV%r2M`6^9L1Bvb+#ykO*&s90{uQ-CkCTyjWSS}`Rm)+e4LRzwTLV4~tc z?m!|S$j`Kg&WQ^6pOSvq;n4Uta2EaBNV!7&I%{ZRfnVTNwyhyKQGw4jQ31y?Cte;* zEvi;~JtQgy)Z?6}cnv2irmDyCP@Ng-Q$7B^4?O*?PdPxWu3}rwe)WO>C z7^a4xvfIHN!&KCMD@6)}N>wPuorPwOVJcFnI+g`R;$?`63-*SoI}Ar_<5{Z5vK!Q= zg{cQjk81+o{xEgBrS(V=@+Dy^qVO}r)a1kk_ssQI^zKx*MdFdVzq@V4t?Tjc)CZg6 zR18yq0lo7i5|p=_u7xWDyNqmpIX7;Wtyu}^`7(T&`EnP_WayLAK_DyKU@c(k>kHAMu1 zP-F+n)b`NX)*cywh~%z=gy+g>M14}Qg@UXOLRIsN2ZIla8|%JVP0 z6Sln!q3E~d9LIoWC>r(I3xI!Fel;uYwif#qOq)r`uZNWUy?^DWp8Wy`Bnz^MXg0Bz zYRfa&OA*?u`B1@@z0^rbxA5=9dbl9XOxfaGE;cfwHwgp2HIQ~e&#AEH6g=MaE09tt zXgfMI-gFcTU13ws@ zt`nNewoCkee0qbfz9JcPd3tV2S5I5MPgnn%U--X&;u+V~c!m*zn6B0>_)lw&mV*xp zntBY;<^x$ivV6Skh98Q}cp`YTgo+DN8PpZ`TekVZyE~vrzgdyGzywjcNVnnHFCqm2ag~X;z9MyX z72Iz1(5L+xZ}QJ0y4obcSimMfwgU>UV)`L9A76gS6X+7<2Ra>%6yFany4u#{R3m6$ zw!gtmZ;wv**CY`^6vjlbH=uJO9DTB071g7ft+>E+7v&Fyfl%MyKta=^p-*Su_Zod) zq`tz#4vrxFx_g9cHq;iL@%{>)ak=4dO509bzE9hJQ8t9r`8E{tDmF_eM0q9 z?Y>4OV_OF#+Sce?m3&pIpP)^136fj@6I41^=n@c{=Gq=jIas++ER!Pgo{E}8+uDlC z>e62(CEB(KI=9IZL&j7&=|`|JqHT-d9_fGeO)-3>7^5nv+SVj7l@yYwliD9j@n|`J zESajG_Ul7oY3;OWPu7g8_uS*uZ3q2z`%ISB9Kt^=dUQkgd&)iM8Q;5>3R#%K(=F6dh>OGGOkjPgb;?J@ zy{v-U6L}XPxJFJ?w2lk%m#l&qpCH~7N;ZHuQa!mK6`l$1)+-ERfb)dvqM|}w(9u<# z~z#I-NjJ-3U6mikZluyV7#c z1H9@+FrcfD#Gp&bv7QdgLwoFiuF9H)>Kd96+BThKKv!3f9rg!ufxu-2c-sEvayQ!- z+M|k0)h*m*Ep+wYGR%fThjW!g+O{JZug`uZ&Mj3qFI01$@dAOqlxBgF;)LgK6t55` zYUB5$6V4yDSud>PMKx!L;2 zTQ8hH1k(Pp?fc^gi_7WHQ`tSRxxq7t8go2)tLapn&U_%Pd;)kXRvqK{d z3DyOuFzB5QK1&T&1&#fA2geo~jJKh7oEERz1hI@F6SysQ8D$?;V5)WQ-A@C8?%hu* zvU`|Q;M(Q>z5C;+tNFh6D!VuTvqN`sWB2Z3uwMD}!Z?WH30z$J;620?Lh_@(@1l4$ z4C4+&#`y%-pI(e{*<2jai6$-ssGo{44w3DLXtQl_O#SXJB#l6^}K&w+kMMY`nxx+Y1cvZaXxOl#ql=#oS`yQm1l-z;(8;(f2 z?G#}xl9&#uhI0qZKR<2L?z@Y8-(fS}ci4>g9X7A=zQbzmeaAmpp7mbOeRqLBPMc%p zH#+Zs#b5?|(P5(!3+e_2DEC?PQRIzI1{SQ9w`yQ< zzLAHUyx57p3l=jONZED+DZJjkNqc(=_I4u{GW>oU8r0w~Y_j}CiIf6^Tc z2(&xkIjUjbX1{=^>c9VHv)CjaZwTc5xgGY0LlB9}H@n3qeg94K{bf7skMjkZUmc9i zVX;XL%BFEpWIOCHimrYgy}hb~p}$t$#HU+^Jp}c3ckbfTEy9Yj`NZSfpy2M@#a0#G z>!t~FQ~aK~@>VX3ZKm#=XPK}LY0P#f7{y4O?{(#XbdkDE4 z*;{{P=5tp5(ThRplLoe!A=T;ViC)a^ctkkM#Pt?aAe(P3uCrPbuUP8iyolDB@&4tc z7tuPSV|0l1BHX;VCRb;@ppqAr&o>$#IdmBOmPPaHcPl&_w85aQBE`voDR_ zzGOlZfjSmG+&!Y6c1@~CJNjX@LMDbs-yYCK-W=U)HGxlna|1e`KhXoTZ&c-~0bz^? z5D%!fEwA?@oL2YC&N%;U|NIkwr$;HFLD{$-N?bkFLENl{2M7!1T=g~PsvodUGdP9U zt4_NkWkqq{9V+I%PCh5)>FhisDMT z#X*vhOxZ+%eyLD`Cr#p^EEz8jen>))Kx8&hldYtyQyg7Kzd~}0Ub$8>ULIgkthnNu zhhyjH@%mI1jn==?Di^*{yg;~++E2Jg7EQwzlN?2PsA*O2O;3-g{G=KrQ{?ulyH0Dh zS6QHo<;}~XieCP7A659}jg!u~q3CR`fJ?~C>35VfJ-noh(^3bi}tH*N&X*pR>p#} z9V`jbe3{_h=(fNJ#sp^)r1dhvU1ib2E^YN3jh6`?j6~}M!#G&$Ryni~2(~NIyRa4E z0Tc?YE$GwJIZiT%VmH*T#AHWGbzEnn!_pjjNX+nXn{25y`RKvMdl(+jz9NuWE+RaS z`jk~-Uy)!m!1I7b(l`*LM-nREOBcB6@&YcODNI%c$!r8Y@@5I+z+{mSd1J|;(IN@Ryso%G$?1R^VmxpvjtIvk_ zAsXIkmuNP;(=X9E)3QJGGoSL|Pyf}mAECdj#2^i?bz4S zu2wG9NExUYno?d_+E&ORcpPNNTcA+}!^fqvXjw8IelKgCL0Pj5U=zv*5cTEy9;G@d zl3*@E<1|wVnAF~pl%TmtmN^et^9c5$cFj;Uv;Y|p9Z9Y!bm~Zsg!2qH^kR={StLhD zcd=wjJWGZCzol7{uODC7KzLDEU3QxZaF4e=Wnl zdWubPbJliq)Sg2B)lDq5MUuMq$=tu;8D;eUWUXJ>iANaTbkFOjp z4v-2@Ee^oU(wwR0jjG-*kZ+8)h9yAa9l97eK}u=I?DO>E04#{Mg_|l4Xp`v{UPL@2xZ$dsSJ> zriepP{$y=TeT05}zNG(+YY%yqbKl4V_Kh@s57>lWbNi4iKz~83q)W=fHKR6<`ta7V zYL6MUxf#^vFMZpm{Mk@SpwEl7#+YdWRRFrN#KqW>{2;j)(b|{&QA4OOX4ye^@J+S19>=7+X znD2z{*%w(J zQDs3-2xE}i>nJ#c-5Q`jDrJ)R5n5_wN#<9{E%@ft6Qc9feD`>TDz4T?j*JQb_kD-i zk@Gbow+MeFrUIIyi?OluJYW!~dThH*=a-j^zDW);E=vGok^_v(H|kx9pW~RFwJ+Vb z)Ypam<-%(7FV1wf^ayRQu6OF*h}+eIc(#qA3Ss&2ke6Nv@}1s2Dx_#3#z*%m_$R^+ z098`E=SaJ2+uw?cN|Z%~0}3V)4%lE6Ehxcu*97WF5FYo>K{*2F#HWE4Pz2iX_${cx zZIAKsgQZRC-kL0X;&ExVEq5{cOY!UOJc@5N@zYXc)ENGTeY6S7OF2e4`w(0}8$u@JzCLML%jG7`}?B3|V*7iwul zgpFXdjWEW_8E`;=+p6BEdBN>O?jkb7ZxD>;Lr2A1>E5BD%j_PzOO#TsY@ufg0JW~T z>Tv8Q+lXq2GC>D>bgWa1)4?&M#K>SeEtB%>;8)V78DnN>SO1b@$fzwvM73Y3A1E7I z!snr)ze~EZY}|0cUt3myg1@<(U<@|T8dh8=SD0GhXt3v9Y=Yk5b)@uu;0HhNfBvaA z)ikaavswLADQ$zjM9(C3*=a3Lb*coW(lbHu`*JJc6TKU7I!U#t^Z@G`iSrHcEYWTq0~qKV{+iDL?w6j6p*ng!$6Bpu41QO>&Rqsz@EMt*6HsfuC%}w`8_FOhIa+h6XxiPR>yp6aSZX=TKl-Vam z`oV6afi&n$yAP9-YUUB-z-jk1plk<=MP=ukZN#GyOo$j-2E9&*f)MAd5T#-CVTh7? z1|-M`=P%oS!Vk>oBO#fGcx3cRl!Kt?Nxz?L`|%|L^i2UEYKU}Ke=YY1Kp%80ArcsQ z7|yX7RS9~KBxMbcX%KSmRAHsyslsK`mg;`Gp=I*ZP*x#3jT9SQdxEsPVBh#T;_tOj zPB&0QU-z|Y`^$EH&SuwfSE;{$;i*^O=M(R+buWP0&tJsPp1+8naX&j;&u;ajv=>iQ zItpuAnOm>Cc=7x*GEz^z8mH>XSGu(KaEWtAnA2?_s6Rwq-_zC%Mk}UK1?@b?r<7GV=m)rg)W6*xffEVK* z&bvyjDxsZl`d~^i>byuwSeqBcJy0 z1&2deD}((99FL|vH`quxpsg%2#=^rHdix2-`p*o{E(>&lFVbLrqmc|%}QHG?v zCzxig_-0w-&Zs(}hEO%I3=P7K8c{u9C9t^%>IzX`j0&rUy23iX3s)H|nmI0FDh6&- zYR#p!2@jpND!Z0ICvS>M#6DzsT(zns0NkZUW*DB^?PSLJM&@;PGN-7d)rzw1=PyRO zejE91%=^D9oVBkb9q`m=f9%Wu%3Lss%rr(=D<*0AmAPP&rImBRE0`=^BK)pOP&yNBpI3R%FjJ^cY$gC+VyJ!*9I7%?kb43iu@O~p6F3xM zsjH2x7%ScDIE|NrB@UHbj=VVTlFL5(!Hx<*71X2NZ^^yw-?t)A1@@@>4niK6gH6gZ zQPG(mnhAlEu9u9W*ohIpp3qM6>u>221vE7Oz^a^fvsrx1Godd;w#c;t%9hD(*|EK zlp`EUg08zbd23bXX`$*vQ-!`FuDLIXdKhq>Yksyv)ElZR$7m~v2H0tqj!fo!_vGkI zrx~g%@mpfru5^!Js(5FNS*WhWH#%*#!tl7|b&6|_MuHmTm2ogNEYrWPON>}v2l;n( z4;1@(c;<$_mk~oRB{UOmlpg?XhePS5ItFsL zUBVN|z%9K1gINz72uS@t#&2pNj-jWeV8|pAk@#DK|{y z*vS#Wc%79a!uiJDc;<*wZ@hGRgfA@H4!>&l(M>6zP_M?ouZ4`$`2lsghez~uC!kK{ z9yu&F^Gn5Q57Wr<6pdzp8S=mLxlg}+vDYiAX>=ZmLqr7mxKD}SJ}70O4! z6x&w+QH52(1OAiv1Pt)4AXQiuL}!!K{v9#rPhDz1Gy@qE1bZypcxVU2NNi;j++&aN zS&X5m!c6c8Y7>c1Fb)|E_*5glA_Ex{M5DUSyu~o#z4EKLAXQiuL}j4VnPMzpY1DT? z31m$2uw9ps2WqxcOUP3ADv7WRYa%=W3ycPEVNC`yRdT~#aS4t<9h1KJB(AKPq^sK2Nmc{c`ip-JwbP*HZD z`idaX?hw;c53JuFGzTukyng;9pGkd1NNT6^m+hb*#S?M;C_j&f8ZzY2%i^Rf%WmAe zIs(ziLMA9TDnz@{N0i%@b!R!dk>&ez=d1tJpZwEb;e*=Ymcf&;47P6JmxTU;x|hK%8%(0U)YQaMe%q#qR!$W}b;IAa2u4*pW<4st=!on=KduWEPi zadY6<=d_~ePCGQmYj8!}=hEwW42KroSp-k3J;xj2e!+A(=$f>m=*}v5WcMfpQD8sDsV{hj9W=DZDq~f3H9uh#6bB%j1|67x;`iNL&=Y{EbYZX zGG3r!fMvdQnG+Kv;}ud@Mb1;~M!G_xn(S9XSDO;8oIozyUQ5YAm?Xx@^OxuCv5|SR zetVo{VW^DN+N?sc!;M%MJxo8QrS|I~b+#4{9j4y$V^qMgUSMYb8tJTU9QI5oh0a!S zqx4~v$*Ga93c^su=lk#FQ5Z22SGPfWx+BZ?*`QDQxnKPFukl2L8nf1aFN2IYV~<)a z`^L$CKfww~``7-&a;xM-Xa5~Yfe7|A#fXm6jvN-tDMjKDMl1KkC#8*6aL!&8j0R02a2^l9TO>?5Iv3raLz`WgabwoQ|CK^o<{VjUvB z*{?M~azVx&Rj>{b2YrM_kS<80Y=V{92ez~X6D~-jY=X6d0YpWe`QcdTNA%I#}{*YdYcd#HQyDL zQkz>Ed7HoXS97aHkC_HBxk2#5cOzz9m0K{huBOpZNaKcc|y;A2;tPG2?2u zUn&rWunl>V8^YC4^%ov=vD@gpF(8d*JZ`eB<|=$W<>nuWLOMatY}em;@$9|Zsrs9C ziP}JMw(Hkp*Z02dob zQQY)`C?56)z;3|b4tux?jbH*44+qYv$BJvvw={wgPegM8tTa)=Epgh6rA9ar)PG3$ ziQu}-1Mn{s0bk5tRz3}|xBybkD@MQ<05WS52;;5`U!Sj-0N?#~rJRajnnDgRFPQ*e z0GMPoB*%>LABHs};EM`9<#)y|UC=WEz5sDC9h%_mepT24!0`-y3)|nrRh?-a`4yYmrvDEjy5TkTDO(+cMfA#xEOa6vtT+zsQm^sj696Wx|F0WvIVKresOX9Vx z0jDB{_DJ*|l@52s>iQfu$Iu?WL=jw`P-&6{V`x8E8yG|T!P>wW+7HwQPBNGhi`E$R zQd23$@O4wCf(JTg34!{KbVZ}>7)}--5hhdaJPFqdF=8~RylsL(74~P3XHhhs;#n3B zp3}yR407U1K-u6~1DR>xCEso54?bT!IDzn&9U|fUu|tf<75J&)xi*b^or+Y^oSz4r z7Jqd@ucT9{oByo?SF)@kKc5qBgyf{Am1*uR~$mPVK;w8yb&lA*~ zqT>&%Wy2#pNq?$hyjR(4NH%DHtM80)u&P&?7T5_t@K*~!_|`y2yuBm{fmF9FgMYMQ z>Pkl#j!fF|27#{2C@o~TAk>~!62@5y zc}Nwh2y~F7)pbeXGhq$8)z1gXaCc+I!Z@=0UCa17wxWN}Y z@Sq}f4r~zYPg=y}lA=HDJTcObJg}663T?i-6kwPn>R8LnBIF7*MG)2uH~50X2L)3{ zb0V2w)9)!&hPEb7Q%OYkN6af|CF3<>wn2&kfQ%HdB^*6oBYez!0)F`;e*3iM^oIs) zn3k5W4-NiS9vY|VthX*c?CT})hXw-n(D3ag4-G!*J~aGed1#!&GaUI^ADRK)PB7yq zrh4|Er;6A$@77?c$rBlU8897fQi;Bl@Z=q$X$LhvF|O*`pk9bLjk^XA$OjakyZQp; ziHxohc`%b}1cAIix7{@YZzb;CaD4D!rWOeZ}x^|f`6U>}JSKhgcE!>-*B#dT5y`{8O$^W^aJor@Kp$>jx|^G( zPanIRn@-{bLWBwwAVEzijFKXjCXo;zgdk|Cq(mrVp+K;OB83QD2CRzm2Sh3G`^Mup z#`w*-=bCGuefB-~_G;>K*4%5%-<)&JImh!G-+0T5Z+TY`VzwVKer@{IbMDHxTw8GL zu5gzNJ7?ldWz6!%PyLQR`F)W~QN!)nMcqR8y^i64zB;amekgtV)ax#YEIh{$K@jYc z+EQd+!LbXU0fq*!Y;m6t=;!ZO43{Cd^RwfyJ2X|A9~$6rf*c78T)}Os=NL{M=n3E_ z!U=)k=4cGzagL#<$lm}cq6lIXWWQp#bPxooJ}yR9j7_NG9bY9N7OpngXS(oY2dHLo{kIu_?2VI~H z$T^g0WOQD>J=3G}!ona1njD=MCI+SkkA}eEMn~s`jlsjQS!#hDrGUYxC+PsPr;n{^ z*N@JV4j`AD9-UY2(Q%DdGlW+#b;P~bAivxrjAE9qqCG%}HOQZBk5rr2(z)7<)p+?_ zzx0TkM9lUV52XDs5tUyXlaEB?9*_G(jb&Z07s%Gt0jVAjPC%Jz_0w!A!B< zfbzJH=8-8c!I?y_DLC(j=|s$=sb< ze$3qczuQmz3$F^Y04;_%{>f{albrVa^;~e0(_S(T&g_n4JDg#VK+A9;>J(c822@fr zCOAocFF6Po)S!ap)FJu3BpXa{lKh?yyI%|}GT}l53=g^QXC$N`1*`>U*kE zmz<`)r@3><$DzKbGHZUeYF@7vK7E18P}WQiWd*?MWBDfZOK_IA2BD z^L)Z(r#8(l`|OBd-tuEA@_+qj-}tw_IvA}Ltm7)upgYhJ4I;X=I*ff~5rGQ^Cji(_ z)JFw&HQiLJ`raEAt`a&=n%OMw7jQNjV6$UUq?qg7n2Y z{rB*Xdr7KDKf3jJA|bBHobppj9o8km=E81SmL5PV)32Ft4~EgP*N3^O6{=<3dFJ~A zk^`HchqBt>it-JzVjj+OhXJ(xn&l3m0~PiY=Xn5*s7Rjlv_ofCFFXEjp7xE@K3B>A zsa0{u+O}+Jp_+(1s;&;Ib6RWN%V!#=ZPZ}JBxTlJ@@2Ti=&$l$mb{@P+X%{wHcJ@(EE9oV~_LARVP&KrptU% zDhW?o0?OEwa&z>lVv{f0*}k&9i{~1GOV7&P^{mXhMZGb-^sL-Y&&r>A<6nRMFP=kYXnlHf_ZsCFe) zL{bf_VGlZ|CmA4dxRL=< zuF0@iu$TpAAZV*9k4Uu{EFwm8CWnyM0yB8ROq8_n8D>I6Y{6xSMa$=3Gg;!PrpsZ( z9tICT7Vxa+8$5fIF9z@F?a-Kai!KK5$#iJE|5x6<`QIY~*PGF!3XTUM%|v2&i-Jli zhUc0b63-~qqn<%M0Q%uhFbp09K~4-ogm@_P^@VtP;(8)L6|9!zhoc~B_uYz7^1+I$ z1HhyVgO?k|^rf^P1`ikjDd=;>^_~O>MKBB=S447>E26p4sFUohkawW+YUj8R9w?#; zR^WTCD^^s&`oPE*lIsoQ)pBex2x(1YSz50L*av~x}^?2buFi#&4`nwvV+m8+r89)2FT9&cQoj47-6N>U zVU*`p!V)TP9`NH5-QQhwZz}GDKYDR?o{Y2e_kQxfS$|d!q4aWt-WmiM+B}L_9JFA4 zK%DB?&+NCLXOf_OgFvMO#2G?)0*w;R4&t3DFiO}`3Qk~A!r8&0K|(7je{_*_iG^8a zFNM>_%%nEQf_9n`5y>_sV&uVBO}>+Pa)vAp#ABx%_0WnPuky5Ncw=ZGO)6a+xg5Vr zPie&KpkOF%72$H72T}N)TGkK~7pC+|WDPMJuGdsqui%$w=?&PMr4x7iy{TEl-DagZ zK1>oHpy9G!F^*ip>lh_YtQa0TvWC08QpoI}Bx}O*qykc{aQ9h5(?)l|1m`Zb|10mt zC2N?qAoWbvLL+)7{A$gqI{xhb9cK-nyrTK~pSkzyFFy?_6Y_l!(62bCfQrTt8ito} zcoRsM$qfyp*a)X4(*Z7M#RRN)5>kfRzSIcNJFk_II5Zs?UvadmO3NJ*Ia-rTrXS(gVr@O;14__pfAIfZ_(YN*pswo zzCD;XV3eK%$&)&^d1U+`iZ3NK#CcL}xX#G4a}mM1#Ms;~J)%Px;&|-Uw*}XfU#fHYnW4Pm^%GIm`L!V)~e9YF~1e zUQ^`~Ia^T))B*ZMBx`khjCvq9ILPiI>Vtu8<9dYD9Q8CuCY0G}6?SRDdm5VX>_L4} zDs0~JeIAjq>RbN!UwYp=gOycPt>?f@@eU)3rt%+_`7jg$x2U;8A*?E4z@bNQ4pU-6T|1VSd#hQOERm>YEJ;dEKG2 z3yHcEFr*^5-D*%9KTmNXQ3XY{iIRsEeyGmb%v}k{Hrl~4zN%jLEM?b}Tl;V`EvI|k zS{qkruK08#jRzxu|GfznVfi-$ZMsAp5@#onGd)=wRA#|;sv8p&BC?&Fo({}PukjqH zOJ6P{wiV^(Nc~B9C_7#9pfoQF^`QdwAsVzaZRwDwIDgqr=PzMKTTX#R5j4w`lk=-j z_6D4*@h;V;yQ@CUyG6a#xL`|f2V444U+@oq{TojuZ_KnXC$mN>r5cQ!9zcec&M^cr zmQl0BVS{pZV84NlR>8exi9=9o3YMBkt%yt)*F$H^%i+Q>(Ks}+$^AKI%Zr&Mmi%3< z$@Ec@9XWZk^Uf6IT&#lG@?uaj^3sx$*$fg)#qwh8Clg0Z-mC_Utu=YG$M_Q3=-p!1 zSzZJrr5_N~C4varEhS(p*CX{F`(@E(001nS`C}bqpij}x`U@~Y|FY&=2-YSGSz38hTHb| z*GuZ=@l!WivfJLnM3wYjqfcJi-o2nVBkwJp+V;qw>mkfoUg~%AmhY__W1{bV{@-~2 zM~7zCN|vW}bPIT#<&p^w;0DoZthp8Y%3>lHJRUHOT=GBQYCvF8Kxx#>O;E!KxNhKT zs+hEl`iEJoJL2iOY)A%)TNPWQQN~KL8kZg1mz+XdnlyxL-42T~>_x9YK%_ zOoN1SgqC9SWf7!i_7(A)sIEVfda4r?&Fqo~gp8U}!YC?Og|eh*<|etoPz%W^tv5Dc z)4pP=h??0YsgOm7gRTOGdTvBzzAcg#=Pyz34j+ zGz*^MkpUDzbZbB#*lTbOb^rkj0;aS-)Co#HDFEu=bJG460Sw}q(p(3$!C3*&6P-SF zAHNo8F3u02>{)(N>T%xkW9so={;JP-^^b*mR6X0LjFRIDVy4d5D~>Bj-^?Gyw75>N zIIeJk@lMmj-LCuGNp)N&3ZBJroho=H0|$u4L>)6bu9J1l?6_jO{PlIr>bOo7GK=H7 zovdUQ$91xjS?20Tl{HYUP|fG$mcZhJKJ8HL%ypGEwgH2ZJX0+h#XjlW#zsb_(#8gX zT9B0IC-xUpl8z5$TylHj$mZOdy!UN?LA`~g(Vr)BV?x$^zG|Q6n!W@D%^&#q_xQNjX2s810fimFYaHXz0~i%nfIJYRSj=xtE|#_BlWRS(aX&zSYAU>y1YT^F7&AZ`n+Dft8V zvl{EiRVUAvEwr$bDhWTeJIUnv^0ExE2gddeHdj1f6iXel2W@OzCVupjo^SJ3F;T#? z2aTy6fAb8&gPV>wkodiKYE{7sM|=C2XRF#D$qLs#1{N<;0`aLoLVPp!iP9;R;C`_G z%g}-r(SAh?Hn9BJ=wO5NR9_(>=YtKfPk(#UV-3lv!3OEMJ~7xJJ=hp*up*Zrhb4>* zHb_tQ6>#e)Zy_~?C~%{Wl|M4L_Vj39!SB+3H9}JRD-x&Ne6;2Y+e0GjtNfz&fx z@`&=kzAk9Q>yIv#3YWyO=>Wo)-W)A87UwC!5=6aqtr8paT2;Q5sEr-D91PGA0v$vzK6MP=SOo$&yOWC%Fy- zODx7Q?EqJxl*K@HSWUM$$j$UVHkaY!4muEumpFe8Iw-EonlF2D9b`Lvg$^2pu?Bb@ z(sDAmApL%8zP)KVon(-90MUPmiXqVNfbZMyHyCtqyyHO!7!;8^C^+b#bTn+J0`mn+ zkkHOw=0L>d=;PH~Fa5QA6N3tpmc*a}xQ#nnP3RYA7~8rK`}`Ou9=Z0co|f%kU;`c>21Fnx8C#4hc7&j@eT`}!9dFYko2Fe3cmF% z@TlHO!P$3(DUCUIWn8YE@MTZ|?%36dyTWYx7yTFC`hUJZCvH0a<|^@6mSZ<@BYfA&gqhvAWb3% ztO2zvfW35fPVf~eK%+%uxe5$x@DwWH&_Lm!G zs=^nHPrBk5p+LyQCzUp~z;@n`_I^V9hY61_!VmFX>~B7(>t?tH_}`lnva1J5F&Q+S zjOu+>Aft*4B0gGjK{}u9v049m7x-K{Z=7$*o;?-30`_8t5xwvVyyG(FI{9psoqgyi z(X+C7PWllR%-{NZ%fd2rX+hb?BwtFh(ON>bIWa#ny&>#BK>lc4p%c3ec+wlVi)kY+U5e{@@ zkS(N1N7>uHa*xN`LJlaki{J{QOFKdFcuWv!9QNRV}j8FM{evWVA(;S&9eIm2GO zu`F99nK|_}k6Xa?^l93#98e|GlZ>NpKr_WPk!S25Cv^_(=x|82afzuv<%aT`4>vMB z%U6JzXtJFx3>G-(B6K*@!+eE4OJ+_1(g$^zIolzbp607PTDlavvP4T(e?{qWz5-4W z030NMzz5C>N4oa;59zfTNj?hdP(65P4=Hkm8I^)fcNO_DJ)~H))ij@yhjc0nDa4PF z6uY>9LM4@4*lB7hCNVS32XdAYeuA!z59K`_(UOsl64B8r18rgx z-(r^R9+vGuViSCeQL$~430WFv#5MeOxQX-dgywx@OVj4;fBL~Q&{pV1nIQ+ij#wp z=(D)sB`g=u2tP=CC8v-~A-d^I7J5^3qLYjc>+>+;qWx6|+g6>4yWRHs!R4YM}v zL$2`N$es77x&QY9;(Z;Hg-onB&qfde$+MB|uMqqPI= z0DRbF31_$077Gk$0{2bL=a&)z5*~=IP(4~9N74!A3c-Gf-&g|6%^tC6U9l7hINDSN zmxH2%gn)iAOYd_)yI9ToD=W1922f-RR*#VRK(E-KjKNaAOTspAr~@8e^q34JB}%u0 zha~LCpg2LqudjJHq9I>mZ;)8_zj-O)pmL&(=ZAH_=1I+~n(x7*QpjLFMHFv~QjrW9 zq*Ai_vt?Rbnvy4egf{H%!J`w}I4{i4krIhdzA9=L?PKV8KONLB+Q+Q9V;!!4BFQ3Y z4!wO>Hj)<*EhNqQ$2Ql%kPzY2Ts#sOWJ?0>TG>iZ_!Y7r1ePPtSg)*MG~Pl@bNJE0 z04k5f?m+g?Zq4+JUjal#h&~?OMrW1QOf|5p!;UF9kz5T1qzORGcD!obrU!Iz_79{0 z#9Z>=U@nl@461q(kGUlDt#|#Pjk3EUQTHwx^}i)5tG#5tNAMCgvxzLM(Qery&}vdH z@->llWqOOj=F<8TTyoxvgTzdJaj@CHILOru(mVP2mdA5Ep<`c>s-}I6M?4>o( zd~tB1{^Iastm>!ZFAmNO-^LN*lf5`oi64QRMI5h_aGLp}S4qF$n(uLPj|T8D zBwk9f6$1SZh#uMjHyg=zwBH|jl?^mV_+t>L`-_Ja`vatv@p6QZmFpM8S3Y40oML}T z6vgicv>8BO`S`T=d4Gwg+WuW0Y9)`C`-AuO*YZuk&yv1GY6lD+hj0(Exh6*5&}dj{ zlc%+Du`Sc&?l0b-JlG`{lrs`fN!H;4KP$D?r{u0M7xbg+|L2YW)2Uq0af>M=3?Sf^ zAd;Zw^>l-hG20dxlN-&(#^699C<@F{JPpvsREK(OA((SPNN+I6;h~2~qnr!cslNst ziWU&91ILOv7liyW^D#otI=C3>sdh2&^(7<$I|Xs9Am5U)WTKoIZ^Gdzr4d`Sd1 z>HwmIXR%$JWXS~`R*b6HPUvo_aP8KjG$$Wd@ zCTkrUm$vRNU0iR!A`}4`je$4>>bc&?d2St?I(3BXU-#04wPBx?p4UZw;St9fU^3 z#<_>&J2nsU;I{F246Or&hev@()iEx@3;XJM3R?|-3&JEb8AWky0`U6^(qVt|TYlxM zUlY!$_Afe8P`3b9@F|LT1=)289cEuyV%-JXa757k;AO3X)L|~zJgUQ5Qh#Md>M$2X zw*`<|c;I5c&b3xeZ_dKL)|bY3b7ECi{;ToCG${nivD8myipbyx_lnRtWX zYC9khqI2qkF`N)So%+RbXwhLqNx;hnl1!{O$u`Ci*Wdr-@{GDXeY=sG>!>nDnF0s ztnSm7zDbqRp64g!5YAhkaFs88_q*FkFw+0z*ZhUw_`+}q6$k3d)KH;DybSkk4A@GC z&;<_|8=@Fg&|B*3YF|O?Qkl9S8{8#0W+g*)0Q5i$zeNaAnKnU!qeY{^EA0h&Z(I<( z8Z2i*_3f7A@`O%_6{$>J5bD{c!XA(2-G(5QX%ob-6xF)qoG2@v)IF+Y`msyRn}P=y zpR3*pM@c?WEdQr(KfE^kkV^x4&@l`}x9I4~V>0nKp2Jj?jswYZ<{kPTqtUXS=^%qW zVH(smEZW% zfBJ8JXzT7UeZ^`ZUO3&ti?>>$$d9ZZcrdJJX8!l#jAa5@w(4k8es<2#4^4TD{LbHlUf;>|*vvJvlBL~V-VQ6SW zp!ZwX%r^&>r~{ z_JY&Hvn%Hbn!NrVWwICaNiZeAD_UB=_w-?s1wGNU0P2^Tc0Ha~yBAbQoQ@*@-0^+wvx4b& zEpi`Q_%&PFwA;kK)Mu?WJ2!1=%RedeHE;Pb^YyXM?mqW>f{sBKaxYo8;Oyv7Ce-`4 zGM27HwV@#C6|Kn~1>~Io%xd@>`VoRh_9Wt`Jiz(wO$e{tYBoqSBXy&TgS;2CK zcEpN{jb#0llj6~qH=~X0#5%@Z~)~eFDVDG&J&Ks6bgRJe$9M$ z_8=g$WD925^pg4Z&`p7|XSQWe%8GjQe1F&ps=>(;3}d}yzCj1vNHHKlPFaU*`jyHZ z0=`TmBUr@2(eo|RTp&yh=q)&8JDukfF*Ty4$L&lg@Fe8$s*Flhn{jTOqkG*PE3W(3 z+{*QdYS{Ba!K@}HX>5Vyr5HwSP^fsg4rk>iQ20XjA@+x!y;BoK{1~)WgC~*dq2z{6 zu#<@cluV3-gl=N)KnnaB0|k z&n_X6*6tx`;dgJPhG*mZ%Gv0?(!$^No$NWf9!oN(Zy(H1Gy3*>{;2v(`0p-(qp7eH zhP}7Em!UbgGc@N1{@9Ov!s*T~r|1OMeLyKr`{?D*7+3f77JbotWpuJ5<7mX~_NKC_ zX*3zl1FiM=MPKyHQ|0+DxdS#v`?U~-YgidoaV_fkX@VXXk{rYXUqgaLmz-t6ld(Y~ zlc|=pe=^lTev~o-^NS^#>rpqdoorj@8zA%W${Ek_0p8j5=qvyBAO7VS|A}~lKn6=@ z>K3wLLC$yS>{`5uH0RW({_}j`bav(T6o)ZJ0?!h4&O36B_BAyets|ii<5OOcpW~bO zqzidF%CH%wwW3TnqIjU>9;*Kf2;-#)eO{n9S%il6Wp2UVBxE}A>n7e_mZ*0(2%~Jh z6Fxe_nNmBtgi&`ldvx9{x`a{Bz3nYm9>O+OjuGxT#^rM&U1g}dy8qApo-h0GQ#DKs zZbF`sg+w2Oslo4H&Ij58km#eJ$6Fy7Sw_|{0Y8xX;1bhy98vYc z0E0mtk4+JF%BY5}wCjA2@g@Vwz@6dXE28JP<`RJ1?%*j2%(w?k}G~jyXI?xT$p)(oF_gE zt|;Fj1iIj5qa+a4gY(R{sPzu-ap{Aj*Ly^KBiH1E(w%3iVS<$jxpXemaTX)phdy~2Jd-K&rQhbtGKC=S4mJ7K+ZCUhJq;YCQS$>kIhiGgMPLVJT6j6PE1=$-yiJ$0#nCvoZ?Vi4v1VfmXI-e*q4rO}^U! zv&OF_uZ;JjKH-|@#qGK~x672+3D5ZAc0C!l>&t)RH$Uu|9y)e??Hvf5zG$1PyRG&P zIRBt8Al$B8dk0Ne56%y>o@(##F4lduI^3>Ydq-OUY;`KbzTx#@)N}294>F%lFl>6R zy`zZM7q!Er=i2*`{3Z3!*VNuIKB2#wYijRXVf!hUliV&|kJsMOl+a&Ka=VuB3cdD@ z_Km@L=GzmscOU=;Yv%hCwf7C$4f<=(Hz;cFpib)H7703rD{8g(?GlY`-S3%iQPkcA zP?05{h&vO`L*uS>j92&uN$660cM{hVeF2x+yD`LjVV9X8i`LGrz0Yut9;0r|zJ}lZ z@BGi7_(ub=rPVUe(R%?d<}I%rRqAE6j=?}!l;CZGWQ_Wgs0U+K)V>B^G59ezq+zRq z)JiURSYo0tL+fpu-4iQPDY@Woy;Q^&PL@$4)NBaSYPeu9Zej-w)^L6oY}To(SCJ4-fAe zDizkGi!?pr^nWi52CpOT>l`St_!<#uYN^?AQcpF5!6hucyRg*s(IqT>N#@o3$-n$( zUiHx@yU=%Qj!Z+AYQ=7#?ER~Qp{WH1ksv5y)^jX~%yCZuxJQD>9QJ_L$H##z2hnDU zde#^gr^skRkLx++uoV2;q(dcy8m74u%rTEu10aY-q(0EiVX2iiAh=tyPpO8GQ4K)A zg;ca2dn`1IY5>PHVD=4j(x@7o=t9RZJ?SYz$54l^KWXA=^HLP`*uCYlmS=eV-)7k3 zNDsG$k5VsS3{@3|IX?M{{%?E}pY&+s*k`xp{_lk<`*x|aiI4yi{LZk?Pd@(oeV_WX zZ@P+cUTUlQ_$!FvP#47eU&5;F;kWtmL;PcnzYgd-haP2E#o=0Y#q8e)iWMBsS~zq6 zUOQ%N3ksRZI_MMd`7$X~&N0VdjqGQ86q1f2nB%X2eL~-Ag)0u7<1b7^aMb6L6P?nE zvlNu-h&rT8-go-~JZfcgt(&eS_;08O`INsJ`MRuDbkl=J0CsW@KPNACwe8!$eY$$` ze}Os6Vd@onfYDFHrvo!5`d>anb20b|wN16h8#7^Xk1{m(>bP8??8_c4jFMBUm?YCf z3`S^FK}B+pRw%J{Niv10AU3sLTavR(g{fC~C^>8nPv=pl(xpelYRYzqD|jG29AX31 zaekN}nI2>?Y_mKqU}K?NNNdi2>_A)W0dS*amU|7harH^ID&&Yr@e!e&yWA%e(P>gcIf$yT1+T7#JHM7ydyT8$3@<;U9nc`oT*PZf=j zwJ`q*HF7>-d1T`FLh};ERi;bNDGqlmGJP{FO1US9sAVQsIzEcSg--%h&?wiY;;y`K z?fk(UjVC_#NA344=4dbS*}rvY0S$D4*v?*go}aHI{jhtV8zwoUKScWxy ze$HJPmum}-{j}W0Cff3c)mt?0u29+r+Ul;nMKAli!n(yj^O@iMJI<+FfQq-X<;mp$ zhRT_jM;z?raez{U1RUxabqz*!3fH8AUF#N3BFfpJowt+R4d`Si5gqZwv)|mBy0(n* zyQ?mKvp032_-e!+$ z1|6+r{a=$IgM!6}onmLl4C;%!+ZGVIth6 z-qEAzOVMbej))BS)Q`CT%>gQBI`nC{gSjR8G4DG$2lR!9*NtGE)31L{7sIdqjpsi1 zJ+}vp0H90#1`PY|ZE|ri+ThR=zzEoG60CtLe94KxHBXTp42(4Zj$KlH%cVlf!^&p| z0}U1!>V;?!1Z!O>{|&D%4hCpka50ih8O%4x4hDJ{I4_KXi{Z$G>|hWtA{4({Q2Y+E zF4@7@?$Dgx>x2lv%fgR zKDV;#QV#Fuj4#h_>P}nV$vu>CgC62L7xsU{Ak*+5larZPe zZ_k0oCiH@s7tXJg6zMs@gflIY63k+iq{@@V%t_OHIzEzI=Om@No_xtM1!AAHGfdYRzaFK7c@dt2x^O9S&`Pw1#JWsNYwy_=nqZz z!v#s}DolD9#mTnJvLf9N7sOO^q+Vcy513`E^&$Jpxf9@HZ8`Av3nxJv8+u7e^2TOe z%%PgyVTM5s^;s<0W9C(tKezqPO}GC$wsQP!+=Ro;lUXN=3vA*kflb)6d`)2IHeg_; z=SH~mmhVjr)4z}Y$mjpUKMHCYg@?I%bg-BW#X!j!yq|ra3e}?vB2dr}35W!SNO)E4 zD`*s|$0q30QV!6ls?0(#qsqW8$|wbg2F_bGa|lvB`igC=%7Ohi-Jz)-T`-77*XZ=_ z1gRcf5YdD&V{SK#{?K&YToCzYQ&5`~W0`W*q8^*%`oR8nsIoQC=)EMPGnb4}EkLyO zKTv6QidikoBUoO$qvyM`N1!VaAE?3yZCwY=js+UD1tIu4>gTl2RRM z1$o^#H=1%q>Hmguj8FcZ{~O=LC;!g>jc?+UUPFbfxvuU1h3*y3uZSiP9f0C1)#L>Q zk)t6ffXv8Dkk)h&Uqb&@lO2R#LZ6uq_@u)x5X0nAF2oq%Sx+DYzS{YMCD3o@uhD#L z^0iIjlOP773_L?QQla`Qb*}EcAj|I#S#DbI#4YOW*M+Y0cIZ0)tN(KQgWne;dGuyn z?*jmLRymz3vOFv{oPpkQ6(3*)hHf!3w>95va>!Wm0bn#GOA7Lj!Hlu&10b170vE;} z!x3ZE2iU;t5W$fNV^q^_*~7M;KVeKQWSa7$25A{c$6FZm)0;cbOFGhx22xK1Y#|{W zpWK4_zwu3cnzE!Lh0)7|#;0LI<2*He<0xj{^1T&c6!Tq+H+|Y4>U(1x{H@C(?Xg4k zgee((7?3fApNuyZjKnI4**rZ9RcJ8yCXLr>WHwJkF~rF^uhqzGo`_;_j{CK?h@hEw zK&cfP4Ce?o38@I7&T`N?k6kAstHjdT{QaTX_TQe-~noW|=a{*Rp)vUliA$C@hj`~Uo_|Nj4YGBLDT(}iPVNUHHEPtZAq`#qZP zB^^E-RTC;T^(BrCmI;-L6vfoMm{6%$vGpZxFo3JekAzAExeX>niVDJDnL&CaR4U1B zc1IH&4wea(nwtnQE~n2)C6$_+2sfJ{Urwl0NMdlBDBsa}Eum6zbU3$~Y+6a-yxg3^ zf&(ROjfv;aYf(iNh4W6u=GKceK3w<8sh+l9XiWDX{onW|KAAEd85}O(bp03S;P!O? zn(Hw&+VbnJUXkm$sMDuMohAhNXsvbNp`lFYba-4&TAk5ZfApH0w92%6ueW^K@!@&)p9tEti(GA3kH0y1}HX?c@9gF4AH0v(7 zm6|D2I0$fRo!LeScscxVROB2ea0h4sG3#x~HcT2cbN!N~93Io8H(O&S@XI+F)u;^& z6Rg`-X?YkG7qw_Q30f?u8`crqt80wKHoD3<`%hkQWYNJvuagP;PKh)IdL)j)oRr+h zriM7x90qfpdyqYHOOsWZo*bv^v}Bd1G|nmND;npzPDAa?ELEWuoX=Dwp}UuhB-~D& zb~xYMI0#VQSr|L*2#F#KU(-}%5d@z0;t57Ay~R%9>z#UY3o!N|U!zB_jd3nU`RPsShsZ$p`eQ7fonDIkK5GE8L49lh2S8kMLED&F2A>yG@ zBuSoNu=FbfVYC%N_9|w6k0OUsQpAd4lx@X5Y%z>7R@B+7M0K?l#VETVDn5!R!^liw(ZWo|%WnK_4F(Vm_Lo?R)i;0N<3k)O2iUuwF*-qr%_!~TqcujjDQ$_j?z+= zoNegEJi3){M%B%`ele21RDldhPYaDT%!L__PjgJXp266RZ9N6d_lYAv+*=D?Jw`J6 z?tlBgmuUNSM0%V9jGs(iK3(&DW_T5}L;iN3@G5$f#nk5i@-_E=W*6#KbEK|rEn^B* zcSPs|od>#S(t6>Iwy#Xxx*)(*Xo{iWdTDbkLoiaC&{RINgqh2}tQ4tD_<9Ivq%aQ1 z8?lLW(o0w8VrNt)|8mj~8^5`_S5AROZ^*L%cjzQ_9Ku4mc z%XrH3=x($-KB6YRuPthV0!=Tvgh>d|JWLXlYi`6zVo%c0`(-4E{pO*s|~TR($!s}pv%zLYeOTW6ykSHwV^T2%21%WHZ(HN zAQWh>4FTxWV}V0~=GqW|Ku|cPmJ$jy*M>$0AA|zUwV^SB$qX2pCYTHbnrlO2YmV23 zpq-UO%3uBOFTGho;c+{qsBpfSo9b4*)yFKgpIsX|Po17xeoUSIuHX4}|L&*s_{-iO z-L>(3$kk}XYzn;dM`J4A3G`ApW~(JS;H#S%>XdWjVD}i@0-S`xcNGkEng`Bd>R!KM zs8a~WytyO0XDyV;#G@m zvH05QRfO^``Ec|clvh`jdzH_x?x2n>+2(va@qFUd?<31TyDq9A@6YETAD`QyI@lvY zI0UX9R`%ZOm|ZWleh{epb368@iH$A&aT+TfHlasfL5|pa{>cCJ#ordyJnb}g&0&4V z2>w=t>yhAM&3Qz4+3YLR94X~l-^vgNAfDKWuGmM?jL{3N%NG+$Ds zu{Eb@zUYYgniDi%^EDw=oW_h%>`O|{Qh}=Rdd!XPcYRFT)_w#g@V3F}A8~|667IUz z|Lt_HZ2EWp@0d*djZ!I+b+zdKUK(7Vk_K0Mp3jX{FEzfqtMSddMZJT1sqx)TjqfY} z{qKJNheXaqZ$@vbiNi#$lzic9G~iaFB{7y67|b+gp$#^D#XM{k%%&RkDuw?escd5l z?Kyz)&!!q3QNXfr#l?XwQN>Ls*&*4JT}Lt^^S+s_-sd9t~7hVPe5;b^^Q&&V6~{X z(~hNUjR9`L7{(`+eyNklU8r$^-kj*Kz5(>cpYQt|>4gZ~NU~=nC72g>H}hPxJY#v< ziJ8myCWSS)<@bE_tG+GNq2f9(4$7+tQXMwIhC@UhtY4Ap&;=uVyWM;1CIyrigG1|TZ9w$81Y5tPR_{;w`Fj+?w*orlVgPrjo68{dq5qSSN*)u(JE z`rswLfIGAS);60*Pv#Lg&&A?ZSHL+wxu@+U}xb5u%Dz;OD zSyf~HtKqgmcm`LmtXNd_lxoYWdP1|E)IxkOT?55uZRMBuSe3#Ej;njiRc_34)aA!j zjw=uUw_8*;{X746OeX$DscA*CxGL;6($t^z3{z-H&#>&p9t6(?LoXG~lbDVwkHlKk z=zIDdxT|F->Y(f){7LbaPw~Ujx7)QQ?wfYs$sY&1r%-GBSAxw$>|SV2u?Sk-8wlOyz$^ zkAem4E;!2{k~f<#yuR2`&2l9a-;G6MBJ~Ii>!BgqimpcZZ};`B%ABc^@Fe2rYc7sf z@3T+DVqx}i`aW}5W89a72jDYPcPLI#AMBl5pYNeb=XSs0e6vr-XbI;R=dZKf0$(88 z$*GevYdA&n^Mq3bSUjP1=OQibAw5?qU8+}iSG}5di+aU=sb1Yq_396Q|Ign4r7(`# z1?AN%>xn{h0SLOKO>FHT4*SZ}wblcLG@o{Zw-(v7+Pv zd_|>?f@WE+Q21f>Dg-45;430Oj4~I@EqJE1!WM#(12Dk@W+7`U+h)ZCa9L4u0Dfq- zqBOUA8~zq6O1jnsg-aKQw$y4_QPZ_1i6L>AZ9_?f#!rOqihAWIjksE1tBC1_g%e@9wEYFA#;h0^l{&Q~wdCk4s9^Ll8ee&mj@^Am}5Bea?Dx%vRDJ^>4x`mwM zYDuUC8ztd*i(#>^%<4Bmbg(1bq~LzCQ4+o5fPo^xm~P~D?sFqUue+yDOTUt zJS+*6!Flf1N8}m0H6tsED+9DfP&hk)kNVpqaByF9e^}tR;hIRe_CLF1-(3>pbl5}- zfCE@c`=3(?SX>fpK05&m@#uqV&$kGDQ_SAV6&(iWneP$$&UiC%j}|fTA;C_JF+OU~*QMY7esBz2`8xAH8B4qXyp-q>0bx|U6f5}p`&@G;#Wv5Vv|10Vxrw5qGPw9;nYR`oY2ZfJP)E>BZS-_zn&~e9?5mbVjm2;RuyawxKLqwp%)e#9pHXIE03bmLNGidHa2@z zaD?G&hDd>tYEDEMbhDp4?WLVf~mn|tg&O3!mz|R6aBAu|zVofJ}qA~!;1K=TP ze+)k=mJ|ZTlFD|NPVt-5X0@dFwZO7>Q%icPEBInb=d0HuM) zHECF8sH-e+>^Q6xnd-b%Jp^lI;pykT+b#Cs8bMf!tF{LHxR_E|KygGOU4Vsbct!}8 z%EAFC0*SK$LLU?>N@Zb(B!k56#1&~iAg##+kZmH^0V;sr%P5~7)u>C_2wO-F`1H<- zp06|GYI8>rlWIB#O|IGE#+vh5TXo4N5+3(qafHX(VUui}RP&RK96%cTay`oZ=U{*o zN0<(XaQPgg$_aoO0|^`c^e~Bhpz+@Q@=tv5v)^!1)oxa7D#1-VrD}Hv4vlyzRP7FG zPIc3E*HtLr@cLZD1q+Ez>OiB6B_KnIF=%O;X#vkqR4qDb`a*M7wYw$eR+~;96q<{w z-C>Z21}(e8ury0wv>hDHEK=o0Y1U>r#aWgjF2XwHQvk8+P$_3sYn`M*{b3D|Y0Y8@ zG1OaHNl_R#%0HL9Yo%;z`mH4t8Y*T9iBuM|>Bg0~E9NANX?3#GBgUT?1Y&i&5AkkKQ9L= zFDvHYe+HLK4_hgU+1XW(;C<-@i((Fc6`pN>*~JGAf0azE-E|kmOb;DqfvLWp)!F#; z{I?ALXN(@LYo1iMs=gjPD)^cQ=v-_{$|Nua)Mc;ph95n6=>9N2KFZ~w2agIHG&Z#) z)M>?b)U1NXWc*x8!RWyw5I=_we(g6NGpUJy~a$h{*g$J_!XX4HfErT4f8*cOwafgGO%ok1KbNN zNrR)Ohx`ih9iCW`L~gk|y5z_FJW+2|F5SZ(1LS+jui|>&0gH(UAg%0uV{g4bEz9-i!0F zzBt(IUz~>z{KdhS*S$Cp@)w66^NWMM@{7aGTX=D>Onz~=nO+>6LwRwyeI23to3D>7 zQMW|hGy=U9vZs@EP}CvWFVK+du+e7~F4t0;jQvp;l@!8JXGY(976K(*E8F2QthZNu z7TWRGt1Ffg0!R*lx_@;*)+ik3bn|+1wJwEY2-N+#9mmHHmN(ajt97Ql+~9IbpuOgM zoYL)^)zv0fy4QO!Y3B2XK)*vi;AV5Rffj1lzgb>wph4pLAyD_{cAWoaceTms{>}Po zQ?4HZb^m((8=P;x|C{aAri{^nK;3`vgXPoFGJ7jr>#yaTuYGot^yR(#*uulv{6TEu zw{DwQYLi+1?qjhnoS9Yyzdw1f;_iLP3vYd^O#OQJmgn9jQ0f?0Lr1ZtW}2X9rBBJV z1&4FQfEd8i58pzsMgC7mlHD5|kiAHMTF?|k^e^Kw0MX%Bf`O|mUi1m!~H0%qS8`qy*r z%D7xR;jy2VyYQ^-p6D%_cUQP8ucPhCD|^}B6~-<<^})aX{`bEUT5~5mZmGMhI1MGv zCO9!Rn*nz=^^^-T{F0>75zPkhZAyWg=D-EvpVGB~`GOw`SFs36?9wDr*3jZQp!skB zt0dhzmkb2J=f0E9b5&{9mLOF0@v+KT$5MZ_(t}+1A-**&AnT>^%uvQHFcE^`_qb(s#eRNm1kd z`nUWiU;4uz5!o7<3hCG%<2Ya_$KlKH=td@EN~mPRBo9cgNa%?V1HvVVluQs@1jN-4 zBn04$y!v)9&(@eAa5wOw86@t7cMm!u1nI>2IpSY{p(%*Ovm$jukQ%QE0vn8TgnruW zjRzqF#WS-*Z;uR$MG)a}ImeSRT4;p_4;LjdFyKTP4bHk3Uo}n($kOVMT<^2%@ZfZ6PQXNml2~#NPr5FH+zivOtV?~lV5?+i)9!-76{MFPmXkm1o|e~%E^56 z6^<5nQL|aT=AJx^d@x8q*RPqL-Yd{6DsM9q7Z^(}LAfr1hfZKjs~B98Umv ziQ^&A?~nsu<{fez&%6p%cjQ63I37Ec{gHRcaXj-XC5}Il$3Wfz1|nTB@+u{chd@35 z8plg49ccx9ygYEfufJB?#J6h?+_$Lf0POC8J2{MyG-*Z$Q9e>AE{v*=W>i{~kAw?O ztF2rU2F1r{VRH-a+`2Oyn-?vP%e4i^qQy%LufhH$hWD&X$&pA#re!^b37Pg)*{kJC z+M~xj%j0BL=+VCKV}9@to@V$vViKZwwCoEnX1N;*kIp!CRMEcPTl(VB0+RtZgH-|< zh*%ue~IibuOza>6~l5fBS#938$;@o1M@ z6oe=Un$a6OBiR+{9g(?ih&v(brM{HI7(7(AY69$=w{O8S%{g^Al^EudY?=6@DG9Ei z^e{dbhQDOe@MD1*M-AR9p5gCzefppK17G{05mb-DfCB=7s$pOLO!Y_5=WodJF%DuA z6=Yb6f*0iVAW; z%$}Bb9@qkQ-V{7Xst!N1N5C(E6KB`RSQwqY|Y-m&yV#@OmHP|mgw(G?|sU@6-^yn8g0dn$`!#HV3V z6o8ye(14=9CzA$3S**R+p#_AHY*q(k8x+pL(_i8zDHP}ZyZA{8?dgQ2E}c)f3pgdD zihzp&YK|WfkHL>h`%A-dI=*bj{#_T8{yZL5z4lpYH#P{yz5Cb#oI7H$x`}Mv*5u>Tt8)tgwEkBm&{k>oJ4ZrraQQJ}qGSBoHAsHsH2#X@I2*|gJUu<7lZ%Pwf zZ?}RGLl>u|TSJgW$pt~bq{Ib>%>s&2;&Wl6BqWeV$pwuf8;9Ow2ze(+o#%o_9N8*S z80_5%@_BT@?FwTG)ZNAKg-(zfuL&~qrw%kI8#+Ngu`alSrBQ_k5{N1|E1M^JY)sRs zo~UF}s#UVSv%d@gzFaZ?7df{0l)vZa_$EHhk!jp)fuK_!>9F<;Z zvAXGn9yEpEO(_~o3}xLRXOIRd!wV%O%1`$Ew#v`F+f_>QmLF3}f9t0|`yW1ks*9s| z$`&!JPi0EUlp?~7HRD_M=%A;d^M)128fzU6wZ#dW+=R1VcOQVo=LO0 z4~E|kLEZ%y+@Z$wc}~>QHP7k) z-n)O9&0R3!eQjB`Lz6Hph%GU*QNY9ID{D6QYkuG6=ib#*1bI`Jziied0?l!XJaOkL zW+StU;4JxDnJI$s18=0*O>w>4nYxeq7m|19yG!=Ko*SHA`1vmUd@sBMOu<0C zv{nZF2xaPTd(CtVp)K6OdKvU%-gmN@gpDTSxQ5$iF8;BP`uU%I)rYlmqE69u<7+c@ zfwuQ)+beW+igmHC%x!nU{pP4(-YrUcYEwf{f+rix4bK@lP6PtTqS|zU5R|aW=XbZU z+!(j5ncEPg@%2Nq{3c0bOakCgGZ^0xr15pZ9cHWI&@h#NKB!F%K^osCi0MI+klihO zN<#>Wi)QDz1(y;GB_?BIkN&E~`1+Dtq`oB?jPXcJftQn%B#BG5;o<0BFrMEyxFktj zvQ0`O8T8=jqE+oYIV3@x1XNy)yCbccZ;v?%xFYOYf6SAnU(N4WIz_)(S>ek5bySCb znKROK3goZRMmor@=w7J;r#rkvlN?8I9v8Zc^QwfCbQeNs;Yk!hdZZT{DHdtX^`cvW zHcqrp*eh_2Ru2SZ?QgiNc{#K|t-;Yu(Omn(eOrg4nWDDuWTWHt&5U#G&#e;=&bvjl zvAG51u5OX~1=6ypAG4V+#gLb$i}2a6{-SSxG3qGlQoD=bVP(YUV72W7P#U2o*y8gY z0fRM2&Y3+x6ltv(@gw=s3Fge+c0<|-81y}mB3i<+gTXt=@p+p`$O?s8-w@34c?9xH z5J_~0F7des^6&r*NE9pP_#8<^i_bS4*-)=qTm=7pj+o>i2~7-tFwZEK6c@oITXKM- z0Q%&BxCk!UvI89B+W+P#o5tOV>;U-v``=R9RD8|I4uC1|as?MB;r5+`JIfW!@H1!@Tmhc&GkPON)cF_x=4X8DG8C}l zuN_@uXl#_Fk&9hwT*1rbOQ8#<0-rvi4t18_Z(_XIfInYkJ~T?*N{1?qHbf!jg>TUEXZQUUvl zN0itlnF+M1*U+1wC}5XFeTbk-07KA=uFdH770ihivhCog^P_iDB#HR1Ke-mNT@wAJ z+U~gqb77IZk+Re%r&6=4DzjES-N@0D{l%N{a*kD3!!%e=!}`CSij__O&i}osOzg*% z(ySBrQ2k%%$8Nm6>dOrD;nSGvv?!;52CpK6&Z)m3clme>>)mh`^cJ3#3k4Rl^d_?P zP++(XYRMNmT`+bSBu`T=Reqkxr3#QU!R6DtEOqJ?Tq&avoT1zpW%z4$Lb*}2c<3{h z7u{(u;ECnObmzzYwRiuk52LuG3-!8l3o>ZYO9vpG74;Xde9@h302kefp+<1Iijt-C zYP$hvD7q6$7Dc9BC8pn-4=J@Vf!lyYcPnPyX&7tZkB%GqTjkJ2ckVbOg4!4*Avk+Q zFzZf2dVs}-q8n-L$+{CMC>nxmG-7H+#2hkdy@w=b%J-LDbm#7{BIO*{1gS?k%aa;W zRhhYt=w8-sgLb2TS+^t4L&ElkS5`x_yWell+1G@Ae_%tz8NFzulsPgSImn z6KvAc%J4$Eah@`j_$DbszNV>~e#64jJdHF^c$dP`-4&MR-J;%TT(U;DGYI>?`_2FR zv!4sYt0_Fs8d*XQy*&~+une>`*n)l46_#Mr(54Eb253CyG}5SrV6I#omf$6)J%x8< z#NQ!E!|R8J$Ie)UWuOiFRZA%*7naZ{t1KI28qp9VR!sS4kpIG_am6i&b^Ah0E-ayj zW?i}n*)(+$E9SzIQI=rE4Ss;`97|#8u!5`{d&@FdzM7Nfv>B!LL2Y0)plbcrW*>88 z(RK@?rVAJ@%{DTg)p2RMsE=6WLixW~FFxh(M-Ci<@`)1D*7P#`>AX1=T6$a@QDWUJ z1m=>gDdt27s6*?Gh$ZmrVp3_NBgc%3n}9g%$p#h)a$6V4#269@a!qh@42cA} zCU}?{Ln1-0A9`vGi3GVWI5~wxf?StGxkXZm=yVx!pbL?hpQR$ik-9Z}Bx+24n$b;SPUBS5Sth3m^Vk1!mhGqTOn=93tciNBd$?n@yl<#&m4pNc3~ zALMJ4<+a#|*=fC5%l8o)OCrDLul@Dk`X5Dfp`qR|t%q6dnp->aMJ<`In$~m1o|9?4 zHCL3h-hd4!r}b>`ykzSDBCChaX+4X8n5|oJB3(JhoYuoU1LXihGH#k$62)MgBUaoB z{eM}pr1kcDbj(QpZ-MGWJ#;OJ0Iny|1z9lqlPqaHk zS47UQ7|+WvT>_JrFff^Si+U4tNdn$Z67VBF^H2S!-xSCN4dgvAv9KN~y(4TXjOuo? zoJN-~$)G_&K*IWTSWW`ArhaDHdcl$e+;3O{L$+|WGDKKp;&Ku&5|tZ}j+Fe`8)T58 zUreMGv0|TA%t^qORs=nWAs6D%ISB}qoN`J7i`}L6fJb0p;>X5xM4~2$+FoOqoCGxF zf=jkkB~e~|s5WJ7@^)q^+C%@OLa2Gm_x6n;)XzNs z)1UZ3r$Q+7r?ST#0nbQeR6?i*78}7aNYcolP?K>?389?J6jc{+GUWh4VZ9znG%i!* zV+Me>5<)dDQ?x1dVN5|rG%i!5Ybq$?q=KT(QN{9#<}z(P{-^<5xJ=6cGoZZ+I7_w@ z06|Q4R8W{OOn8K+hs*SWRk&akJ}{bKufFf^{Lq&~m@-~JX+g!|6sIm=!(ubR6t-7K z*t7o1Kw(?YOcq*l{UpW;!&}$teymvPCsPc#xqdRqfScQp4O=Eq z#yDW6xVZmD1!>SQ=S>CGfDW%dE)b)1g1ieZ2>vhDJFwy!Z6lo^Z-5J;G+`?(18X(X*>;u@e<##K8FZ zE^M)FUXqMK&njyqFiBwx&23Xz_mL!AEm6$lsBtu_+?!GIWgWzy-zSw0vDf3o@?+_c zpZgns_j^uPl=o{wKS(2v8SwJfSr)>SlIJ9#570O)c@s3uc7iz_5^Z~{C5aAG8PwQf zEpL+gKR}+?35pMBf(Ni{tKwX(pd#vuH64P%3vw|da}rBBWW547S1ZcoSS;r#KA;^M z%^xg1Q+urk=U6Ms=(CZNJfe@dW>~r=JG`)X#Bgww)UkLycxkdjW4Sf%tz9Mh%{i$O zRiC}$E6Nk<@lsK~RFo@A$`A`l4gLX7Mq)bUOcQgdDBn&+`Nx0Zd;k7-eq`e-JC&*d z%~r)-+5|RB1_Y@)N03X!G!iRfraf!WNZofjtmsJEP+&SqT%3ZpXA&lMxrMDnTS*9( zRLx?Awi6mv^rLGW-qWR+Qr;75L?njnw^sdUkhkHPa>;r0zS`mg;FrMcEDkF4G-@AtKq9#sK3-pJ~t^ zJSse74>Aj>n*0Y36SX_$99Mjp%Xp0#0+oWSO}sV9M>cM5EbeiULi7IM5!l>Y9ESA^ z)jPMJ2-&+;~8;A(@_U%v)X&V}Py>j3VqGTOsdiYXUle4qy1n zm~ag!V0j!dN&`?peIl;F^+2LMN}_eDmrRfN)p5Bnw-L|!;OOZYzXER$;gWD07b_zW zk1LYMryU(#()uET_n}YM%Fv%CiM7Q0$M9~$LZmfQI`Rs^`$kg@BhR=r>-(C(elRHk zi1>1aspa)olB80TTr4pyL9Xb?7P#_z<^<9e8gAI7j`s#L)$0|_dv7qcIlnj99HcqR zdxI&?Bkv9V>hBFdKGIx)yah2Sx^3!PaP518J@R|Q&Gg=2{qo*$`*GfziMi2(F;+5` zDv?pF_`s&HnsbVC;sLk<%t1~&$mFQHLnd?JoMKKLp|!bM-+LX#Qt@#~bB~$c&iiva z0{1oM6ys5d{V{xsiKvzbfI!`!+i8E9vz7M89OiT8Cd*i_v>e86Wo{0gR-8|VuW`DZ z&FX5C=SE}9@&IDZKAJLL1c#P|IHO^$+l?0aeCdn#EmfTJUw8{@&eCLx=f)P+oQVEw-Tftkk1S6^$eL8SF!MFubs#{S!J5Mm8 zmVqoER3#=|^(*ECW6MN>;u*7{>p6;FH^@Y;Wr$0;7|~OH=mWW(VWmLMMW!*jHu@E! ztDIoOSQyMp5J7;#I>C})+$}l0MN*Ng0oJRutfWbP8%7!FEa!PkkLWF#bKlr#=rZ}= z+NVdW_BV|>2KA86|FIS794W*c$@M{qP`c^4eTM6ki#7b*oj|uqiK!V<2o}T*)|-d0 zVof9jybrVm^;vKY^-K~2d*P|luURh{Xr`Osp^8gl8mtC|fNsMLu9E42zQQa=l5OLG z2IZz!=i;f-P{r2-9KurOn6uHZnI36`KN~zvydt2o2S-oO^c6^zIBy8g^l}B3xcW>B zB+L^@VAy6S6j>hDY$_{HzlJJyp4MFj?`_FGw0i9ts+c6oh@3GDNf1L+Ju>g1hJ)?d z@CW`-<8SsT!@q8y{GrC*&UmP?Rz_HJGdfhh1$vpRg(MvWc~fwtKYX=!lDYYNw#Wb|LYaNq6+`0d24RY@VCUJimm3S)#(%u9<>%C zd^AQtxPQRGxUUy~WyY)h^(o<2h00?ZB*6P{u2NKS1rKBg=ZbC^dht33L+HPo(*i$D ziVeuwvfFFQ4LdZ3OG#n19&kKd)eTc8kj@nlTK$^Y4cjgDI~miBxWcBwB-gIEVT&y% z(!jY|k?*TISH%rGtfU>DlE7AVlEn?%??7a%Yl2z5mlOc2xnXFE0d`H@Ft#?9UrD%< z{P)}g_vMmEuy>N>9xd1KW+k#Yb^zW$A;0(Nq-)m-4RENMd*^Qf{+8YXY}s1?-z>8V zW7D5(eso^Ucnh#+{I$IW{Oe2n7QETh9fQ|^(^=usg~Om(-sCVyZ&-2|R+9EjJAg;B zbb&yiSa{hE4{4AUKG#Eg+^jIpH>Y9Yz~LoH7rgGWqOu+5F9nC#RF5ux4)|lp^5*6E z`ljD+;Gw~5xl<4AakIk6svpt=!>n*|Y1MaHEJ^phS;5nyFI9f9ys3{@bG+cMCdZ4d zh)6kOx+E5Z(?)N0ADi^ya4YxF?fiDQnU~UJvma=-)5Tnzzdgf5UL*^P-0anF>eBt< z$9>tWKI=nTN=-*oczd}Is=yQxhQc#u4y#({z>(>h!+TIVO9}$xGzL>Yc$@vuBtaH4 zwO@NfwtWTDN4LBQg5_S)3dp?=#)I%#a6zOpGy%5TfIme{kFPjO`Aa@Wy^@>G9G;Ug z(j(-8T`kwuV%=kv>Uv$?p3=+A;bjS#mr~0Kg(TadkZ7)AOLD2L@blVd#Z&Sp?LM}E zrEXCpgjcz2RNniFDGN<8dm;u^%8f|l?Q}zLm03)FCe%H7d4`H#`Q588fAP09y`nx^ zpQ=BjHF|D>R}T>?K?pDNzbF0NYFi5 zel!Hb90hn=GLe7hiiyg$S9^@5a^$fEv9e%lju`jc-=~tK#{^D8cSASSRB;y_0(QbQ zIWl=ltCDUMB-4|OH2FbCXC46B9^Cx&C|?0#rsx~=0!PCG|7mVk$N`_o<3{*mD1CEg(;gT`7oAR3cjsgQF@%OFjExyewC!*O_K8;Qp7;F z7In3UbP#UIGjm%H;GA^^59w5(CH?IYC;$v~Vl+x0nZei;M_VG16j^kU$pEh-flrD| z1fP=k=c5#sa1iJT947C7BGAM6=G-?R6?k^i{>ZJD$Vj%+@%dKACgZe%hLO~IUare5 z4UZP6zB$@e=st19pDJZKvXKzBw`nMg?%7bbR1>%K6K7I)7FwVpEbX z&9S+U!a|OY@GY=K5ojT(1JcpsE>;CtP4qx(u$h)Dna}#9H^2G^KjM@FzMt@`Q^u5?WAs_a$yk%y zGVLxWujLs43$CY5O->79qzUHKyi=R_8H~=I4Pdbw3D2Dx@pfhg(z2B2Z-#^WKI}vi^Y1s zd)l9^;26x`5|0vmc!M?5^9z3#p|*Iw%e{@GO`dOhfQim$tp)xOkT*4yg6Er_U|__L zNEcEPO`x?hG0UHg2L(ldoJzuGevGhri;odj%a0K^D{7=XM(~Uu>oJ;eW6{4*CiF2Q zGMR1R2)~R1M&S$n)5(ny_)d01tKX-7a`KzSt<3v(eqT2da8Te7gh$?=Pe(pJx0Bxl zHoRlXcLQ{=DsJSUKvLaEY4}LX0hnCM54fDk@=5Ra!fQVZk%IC?g`kLAnXdmty<^}; z3&JDsf5MH%`R4miRQ_avL`neV2g|oZlX>o4-q&JN+r+mhPBgz2-xBuiZY*mvxRvh4 z4mYzK3wJwhmdsxB9GlnaIW?$G_=qhN+XUUkJSEo_yv`TBxUmbk;K;j*A3po8+@#JD z0m9^6;nEKg6yVPD-d`Z!%p-r^Z~pwJ{LT*zH&*)Hygp@pT|j-Vwy?zTPIqfgPbU0R z8{^xFb&KQrA<)002AD!fF*glJyNS$B#ITq32?zZKFFal$fQ5CxUnWk zMl;DKa(XgXJfw3JH`Z6g#05F@@pyEAwtj_+5jWNaS8VlTWWPmmth;J)(p>Pk-Qyf7 zxU^wuaWM+{Hc5bdO;WDE{*a|v6P{dJdSRU2r^$~bF&-J1FC>^Cbm-2pm- zraOa=v@6%-sGTPgo`j?@DTMrFzh=HayTj42&b$4vF*4tvE%K~VKtxL~@xJ9D%FUJ_ zog+C0j*juK@)X6boaOk5JC8IbU2XlGK_d>F%7Bpu7a>?AcJs{owRv@2z2bl!1*}0u z_64gaSp7iv0(CF&qP4Slv{(NzLWr?X<6#Ayc|lWg44Sxq$vYEoFL?(8uAL5142yl% zgy+Y0=X!whxmi)1Z%#wP`-4u%7u;n9k@hV93t#c%-7wf{Svl~bB@KW+HDGO0xe>pm z#9!W}R?Oc-xzW@{_$8zDKw7U{53EU|@#SgnTp^xVo}zhqnu%|E1mG84gRfClMaxnwN_*q*`|pwtJNEt?69?;_ewlse}` z7j>1*gb}Av|85z07s2$9z=|4z0!1p0s40TgOrUK<42n@&S4pX%nW8>ogs2)b;d$%U zlvv(XI&gr0SHKkI9DKm2V#I-kn;BczXH2v6bU*r?u_&YMDy{8jL69BdF38%l7IS<`}_j-hq!& z-Jz(bK6n@Hm-c_s!8^`3N6Ty{DPTQq5D09CY=?T4%um{sP}QTw4>b14k9pO*f9s2( zZ51WrPPt)5sLV-o0W8>dbx1fk~@EFFS; zMO?7y@7)FyoV!Ddwr!GoOl;q&}J?vluHDr*H$b!=`cmm^NOOA`C07runo z-AmFIy5x3uRCEDwbv7}^zGB`s-yRrE%np$A#2A&b=1ILA+Sef{IU(xlP)doCQ`PAh zYIll5|0SU@wXFITZn1sHidL6~TWlYmpn8V7EUg5|8NQ4qIS-;B<|?UYzPrsCz7Ok$ zOly!b%yoC|K|kS--q_upxBQs9`&VD{uYK1KpBg-Z5l?)_S#BTB>JWF=&|}fDMc7YQ znh|%m3BsMDN~bF2Y&!xR_J3 z8ygqVso9O-pjXV?ZZXZY38qq|B07SO3C?@DnhY6xW)Dc80IV?c#Yl=%nJJa7p4kG5 zg%AV9BXF0&I;J&GDofQ&4uiTzVXA72WP)y2j-gIrX8*FO+D^u_qQ?JCYjdQn9>B8( zp@ARbyE!YzfhavJLFQb+g=zD=T!+}{q#pUMCiN)zFvTKo@3}LpFP>1T!&7cZWlfv- z=3Gr`Z^5~m^fEu?GC$=5V}8n;|Kfl6`ZMOwZ}9?(haHLhoWR=Sduc))D_`UU)?{8P z=g~>-DV7amAjW;^#@|@o#hfyvHmS%VZ;cnLmFDmraK-S!KS#X_N11GSVn7tZxv* zJhdtNZ~NG9y85 zs%KMA=8jb|iPlQAU9P35oLRqA}5^rUOtmcSp~+Ct#r{Zw!u}?@z;pZoy<=#?XGGlbTue;4p$xWx6yyX&}6& zI8hDI9s9(S?l!0kaL4?gin*$`Edy!l!eiCgh>PM=UX`EYo3T%nn2x6|P`t;2;&o6m zR-*SeQPukRo*N|1h{3eFI&1l{bkk41_W4(TUx08lZ#BsGLqS7dGCRLFqVq@;QyJLS zGf+k3m4+Zt9DP2Ux}XUn9VPzU4jQm$Ubf6M0WmI3j-&WOM4;pot{i&=NGwqb@Nwk$xfzd4GP&Go_sb2MP5g4 z9IrBq18fNzn^R#=%up^2c7EaEYFT)_q}E&Ii(AxJE>~Aeu`5Jhtrz#6l}cUSpHE71 zt9o50g~5Ejd=B#d+)n%Bd^2nVndfQPvM7hxp8-p3>5t=eM9u+5RrSsi)tB_j-KAGd zvz$=wz4pD#Jh`2jC*S>Z|I%0d!YMiebX z-C+g0ERX6!Xzd@1aIhmdB>;p~m0rQASTz`{WNb{&qHV zusp$7wtuLxmOs?|cqb3_g*iBULiA}}n1fTF9O()QbMmQaB^TykkIgP^V`-8Fe+-Fu zS{D|#-t*3fFFY?TSJDy31}y2mC5;s&GvOfI{=Tn>ti2*scT1EHIzh=! z*oxM%zr~@qHmfaG6o22Bg#SpS86~A>z8qWpeV5#$jw4aYZs8N{(wb5av!k=QUR*eg zBqoz_T~kVBCV51=hTu*C``u}-F0Gkw5Bdbje}(hJ9oNjGOU`r5np@_PhC5>R?@XYo zdR~Kifrb?40#@e)5p!e)fre-JMoPOEc*xx&~M3&^1(IE*{UwoP<&Fwc&C{B5vAAFEl_7b3so*0(A3nz zK_xgrrK0dvNKay$2@_%!JKm$VdoFQc<|pGL=O+ZLci z7!ju{F4nyhn_bR5{3>Z-+F?Slro>{!>~ccP^erZ3^)6a=IS*jQmHI5s0X5?4%Vn1n zDQnElL*5RDHh^Osy11O^7S(1Wxa5Ol7nc)L{zwi1($lS51z&Tv(TS#DAS2wVtnt@DV6KB0ex^rWeevo{eP}L9}{S zpD??6V5MXKIaCi0tqRu;hGal?^^RbK5q+{-ZwK&|?CNb72NJn#u_6b)=R==VJVRZh zsv<$sL{aEpGak+U;s}r&WXe}B`3M=;Eh8S*;wtl_p_&DxMRu_0%VvM6YXbs<$h1Qy zb~F5|K8l5^D|~E&9(b{mu|JR?xuu*AVIlBX@@dHDD>gCq$N7?Cf_V;3C1(%*IsTp6 z!&pv!oq&=^!~LB>N#=sk?43HH$i_6y$N!e^{g6+Ko<~(#u4znxA*FW&5|!yPus8O} zt(-Ih9u*)p`d8~c>D5bmsrXmu=rchuLw15WrH8`2QKq9sLW4>>D=3icUm-WB>=|32 z2vm24VD_&-QlkFV7SBk3j@iH3a^$PHIOsX=4_*8#Fo3u(1Rt+nb@8vZ%MJ66n*6Ij zYj$rS4^;k1=h z@LrLKlP?#ZMKj&g1rm2VkhpLC%-#KujuM#~X79hzt>rV|#N%KY;L_la!(agPVqaN_ z%mp#48vtAw-s9fql!st=?$8UHJu5(j!~tX7tr(s=1Th~Rwu*;dtouWU=f0$=S84#j z%B}S$4jrC5E(XKtb;bSK2D*e`c%j zYFF1&+$*|Hjy76`#7%s&`;p?fRT({ngPtW1Hr?~m1rN-w;_igi8{o)$cOT)xIqv>r zn_0ku3h*3e_MCJq*sM!B8dmu%zQj2k0_U4)dzMewfPtAlFWg8(%Ck%iYgoVXt$+NP zbJDqMYt#!@zJwc4Qd)W5Y`|Pv9q$rufZhb^k$yK*p;a)48$jbSOG`m^$yC7{Zh*8} zeSS>*-S<&M2{+K_2*raYQ%s5KSIprCU;OQV3G(HNi%=jgCLforEB@o(mq(ku2TYV{FdU zdM?Pua%g6@ph@?3IY(-}5Im5EkAiChSjC~K^;|HhGB)sGyQ>zh*CZQP29&<0V`53| zlQ4GFM3%9%#7&YE*uO~zTL$Wenz%`l`R)W=27dGY?kMcv)(pA~n6ds>7eA?8QKQS) zuYAsmE`oSL@~JL))T#39cv%j9PN|H$q`q$O#=)#;y_o5W@-5neqeRkM?91sNBZd9@ z@3}gXr35z<2>mK~(u&YBs13L=g}3bf2y7k`*2N8w|Er?jJua5Z{^XWujOar}##y=K zyGO?5-LC47v4xQ_HUlL?@*E2fr7WH=gk|4XC1lgbYD_`&(zjmtNg!(h*OQ7I{+I6jy zC_#<+Vi?hko-`300dnUE5I3C#CnTRxKxSpILAPN-O9Z%(HZx$z!QtD-YdHeM^bh5l z1l_-p7kkL0rENdQ?E&ql5>u{0O5DYiIReDcUu9+h^x6vgv0{z@k&@$dU_@*Coe?gC zV2J>aaH^#K4&aZ0H0?Ou$IFT7O zf1@rgz>DwvviCgqwzpi_Kwd9!U20?`-)!w(!%`$&4;xvgAEDA)vZ9%bdPb@SfwAD_%%2HCx&Xdn^e13gOn>O8k#R-C%Ym9{ zzzZcVfG-KmGch?lHip}DKcu8Xn&kR`sRfY44u)lpvlJJ=B~i~|%NJpNj{bGYS%zI~ zY_aOP5geYneQj|}zecDZ)>=MgAAp~`uV>5X`eQ-7eDiSsFfDC=$|u8PPq9ker&X3B zti#kUg)(}M$&cyg#6HPF*>&pG!4i*IC_A-$A8JxZzV<)+)SrEAuOn}aBbY4ahmJ() zeYLasS8H;*<>I%7N&1?fsQQPF1dafR1gZKXcTBu)DdvZcJOK{~9eDyC5IPcv#vE-a z<^e{*sWIiyNk`&p)<^P{kXq*e=A2G4;|MUM5U>eKA~Z#cv#yzO1bcw}Owx&c?8jr$ zmJhrCmbZa8XqrtvsQMaeQ`J=05pH(MQWqHumUNj$XYxeIO!OF&xPw-SLj~>4ez#;wASHW_52UBOP0(V>yI#x<`;}y6OvU*Xc zer%AfUC&m3T?NjG7;F(L$?*ytSVjkRwS0G?0yjojp9`c>fg2;NFZZWa;83;hAB}4& zaEApcy2{UaO$Bc682EJU`4&Y5?%^; zj`QeKW0wltE2jcCBfCdG-SG$~Ox&1D|AlY*CqCwrKCGcYH1X~>$kXuT&)0&+3LPz? zJ|baHE$4!>D<8<7P`{&=f`++%#a#J-O1Zv7lG3DjFD6PP8T&R_BB6rwiw-N=ZP_K zJ>XD7S*xZT)=MOMD7h!BFCz|5YHan;$L8+pH^Ts+g$bT0QH4J!l=#>FuZqp{_*Hg% z;I5t*@+$Tr=0JAAN4I%8pVGJc2(CDnN%&yq^a6tV(w~EIUAWVMR6f<8^SHoanLHBx zL9{KU&+^3RTuclDP&)08j-G@vx}{hz=82S4Q$2|y~7a2O2DhX&z`L77Bq z@hEDHumwa4kXfmnx&iwoChK%7Qis_3&wwmMr#WCQ^7MQNHN~hF>=uJk4i$^92&Ql) zDgeTrdGT~HCv71ugcuFAEO#;=LJx(B^3_a^n?9HEe>)4;ZBlB8222^R%Y2A)+JwZ1 z3}eSu+k`h4SKy}Eu2b3lm!Np?g@+$_&o4*uK%LTIq|tafr@L(SOK1WKAdtbY9;yxj zYfdkkYtZU~NCQKrdZ)KU8YKB3P8fNQL1%rAG$M9E&Zm!El1l>3B4cu(V!$h)*)BUP zsKFd*>{nahw^_MdE`fK-?zRpAYZrrA!zzfb#eT&aX-st?l`b1pVy|yQDj2KDJ|yj) zu=ruJ5vfEP=%&2B6G`yqe(gYwX@?OAsD}8me1nYa710Y(aOLMbsj*diIwkvwW=ZP9 zq~6cvX3=AfaYV^Cq-GBVY=4?JVA<8V{~ODUeKNIfsimgQBgF)?RYgCEZc1T^0Pb@f z)5jrj#?NYCeB3xhFj6%RDLe}RQPnu41|AF^3aTA(SmE=7K;2*7`_Sd`cE=_)eNydc z=RCl_AgWv7UMZP?z$JaVyZ3|xml?}Tg2`UO8zh+C``XX>7k@dRwN{LQ0*taGerY9c zgCE#5<+#IWtt1p2;zqK=2xZR<2DCZGLQFY~z{ofm6efxl_fEN7+z$*gsFh=I;~cZY z7-UcY!BmG))xNJ7xEkce72O&u@|kc!f?uV>X}?6bOLvZ`W{f=?tvfbHt>S8?2B{TX z4JuIAPf|-YV;uc4Mya{t6$RB4Yx|&ITag&k8C|pSUsa7cU1WdpZkaI^JEjQrAm{eh z0V@sh`in1prgjtJE0E;UiPJ< z=I%Ob=G~&cCUWVhxt)%hzx**@^#@-Ub*1r<&u9bT^dxwOm<0^G2HcVjR< z1lQ8tQ3R!UZiCBqOJGo?*>kbxjHe>VXwO$PCT(+b2~>gsIZTSXsrWT8qztQ3{RSUQB%c1QDRkm(?}zI zbEFBSGfZ=gm#;Q3j1L+^Ek>dZ8&CPidGSh|6whZ0qgsYyU)fKIe&Nl+fNybg6#bz; zdW;@Bpa#}I7>fQdNpNS_=x$8UP}ZWfrl3DuQfrfVhL#HlspwaDe8n-c9j|N#2qMz8 z&zMbXrU&>6Sqk)R@!>$X8GOR@aA3{!1YgDTwq21HIZ2-R7|Fb`c#N=_A0uqu;$wu> z`p1ZW^7iPl#|V?jZu%Ha%o-yF=Ps415W-G#{PZ{rx(>j7rrI3qw>b!wdTt8>AG-w! zF(@AN#vw{K>73dcLf%qaL@5uhPd@+Ym{?#!L65PL$=7i@09`;e=H(>l4a#`TI$LK_ zBZSb@{PFuip!|Na9S2-*t`N?p11_&b*#gSThyUKQ5UBfeJMF)_!fTcGm)T+Y_}%rd zYffN|^UXPd_4aCAasm*j$FDg7{9yTXlrgr|HWBq>=eCJ&*GLgy2ID~*zmHdI)KGkL zjc-vVM!2=$x5Lf66lSWW%@XjpnPIK(C(w}eU0YDn1icP;joj?j>2}RoJzJ8*8hTr^oRS%MGWFBb%^r{G4S(py_iG znYl?g0Wb+`)Rju=v1f+$tm}FMaC=Sp%7bHvj-)Gc1bqu^Ng_M>6ZY6nC6Qao6-Yxt zYv%D$C5hbFpLASqJ;{T3du+E`uCj^RQf_*_mEB0^Y%z zDRjp5>^WJ3IS_QYm7|}u?V64lmS`h_ZKJXcYl;9?cIxT|k#&$y^HU*r!oJ};wf`4M z>r0#N?ep2Da%_5)QI3_cTfZf&v}~4mM{M6nBt?9_WsLk@R^IoEMX!j{In)jq-F&+Y z_497grCgxgDRXYoIqVzn`m}%HXTRwTaM)#tGkU>bereGyTtKe|{0_-IT!uJ5XT2Ol zFF_rFrG0;T$QHc<-6sPKlCSYn^`eLOVl@*tF8#k!q&^kTl~SLQ+s&zK1ZtN) zlt=(UXes7gU2wZPa(F%Hc+s$@kxU3O=jwuuPY@M5tAvFhT{IU= zWFFFejz}g1d8b_Pup~7#gN`+_kli`*7P}wR`$5@fkRuj~=1Xk;Y6Hcg` zT`)0pNWWt_$AsV_hY2f$Jjo3h7rT>82rfu2C3u7+eV1O6(Uu<_d0xbV5|<$|?Ip|I zIc~Il;sC<`%1&}JF6*+Zr7|5&f1yujgSfa${!i8MI679o8`&fAsC&7|K+Ax4wWCqYXF9>3-|zf*6iQ&IPRC zuEj(`MX{LM2=$x`SUcop1V95ZBZUjWWcGl#*pg-reHEMIV8vX(+M&iKRBxc3CnSee zVl$n!3j*B|YaE(g5PPGE55el_`as7)VF(E+w$a#rD5&~G$IaRZ>0-%Jz-l8M*$t+I zBT16Vw5{ng9eKWkQdcf79ydFZEwK*)?QPwamo5l`QdAOq)QaUvwW}KMZX8|eNIl+G zM>DE&bX9Cy?@&EDN4d_C2~|w#gqc`Gmy8V9(edmo#Ch@{L|davR4 zrf**XZth2Ie(kxR3pOV8oq9JHy;7W7k32latM;B@cBHJxPH5whlC8M8?l>Qyt9pO0 zxVi2)qb|Paow)4ghU2_kQ<)TBGrPHLXcbo+=sB`H6v6D~f(y>P!VNjTv*3-@lf2cc zOTszS?c0Hy%~36LWK^lm_Wv$}tqTgQ?+laeq;SMqH)m2za;vuA$0pja&JU$6?zt4u zpI)--dAI12ZhtcA_7DH0pZL|k8wGTAZTl#Mk${k0)5Gr__w47+hSBZ8l!S8k3>Y28 z7eY{Abc3;jISK)lA)2~Ha5%;yc`Iy;Qw~|MIt{$`45Qo1czq_EOREvL03n?B_@n{`1GkMFPIxDQ9&C3;I1$I-iKIS)kx=1M zM8Cx%I&$JE-GJBtzphWTVAZ^C0%Hg0`uTRrck6|M=H@^KYNQ z=I9(NC)pe)9Dww?Kf{@yQvA6^HpdBMezxl{sMmW6#ja0z3dOD?1BebR*kBEIOTMz$ z^}`xNWw{F+r0c5WWq%p)d(*M9%&Ef$-GT$Cd76Aovk$%bBi)_?F}Z~W271B~aqkDDVXnu%sdzkZ*1&N*)|?x68ZKkQazI59gN{iGK_+Zblq+v(J}M)sakYKvdS&<;n>jbsci z<6LgBmF}*g9T%g1cSik^+h%*lN;$6+KU+yo#-t>W!*Yd6dq1#y^5)D?tUCnv)z z#AuH^yWnilPd6xO9*TNjuC=T*)>(qZe*-TK)c$FdTbyJ!d_Zj+sfxN%4%sdv$ zOvjRf%~uw-{=fgTzkl;%eE{Z#ST&;nKq!wiS@QR$g6*q{v#8tL}Fa#7~kc|do z%Ob)?WK4Jkn~CF~ga|vBD7J8L921CTY%n1ZOh5*LL6}z@p?u$Z{A>Mx)!9}1-hEEr zZWpNM?7Dl^Usb!R*7IB6iU14*I;XfyBLKt2oT4&~01Ov%lF5_+lk!6zHy{g=lf>}N z=CV@&MzH4|ka}1Yq*mGUT>U^P0OL=2)RHY5v)kW11z^TE-7lnPVUZ4`xn3|K|n|6_B`D6 zQHHzT1!pQaNY4kJneLc@XOA!NY}zfFot>L;cHa1gumA9miJY_EjGnWDo>HVB$q@iV zd1F(uv%}s~{f*!roAAGU753NOVJ92JgRsH zoF`lhR;F~>F*z*`hcTGhBM2WRTT*n?BG*8{t<3zb4xJ4tzt$Cp`uQV|0R`4|Xba|& z7#P-`WXfZJZh60NN$KM(Qyzov26<@Z7s99N!Y`Dam|h+h6Hf8`pBhfj_1c!$R zA<7$XU~uIjnNwzZpfo&#$u9^dze{{L(qv~-s7$i~Bq6PcQzx*v(QbGIG2#WZCWf@t zfAahOnG`qaS*ITrq)4bSiaKElBm~f`=m#dm@P3 zc3YRCdUQcd%Ro0PSA;%o3y~Er7Y(=U%t7}MLczN4epHpICOL(76>Xtn!#y&MI#JQb zjwNb9iLDo_Owg}1lbI!o4`E`7Li2b{D}_hDnkb;;$uR<;?KW@53X-e(ocXC3hF-H& z$<)4d!ei6YalUz?0(w)=n&_YnS<$>IKTkBzWA-`fCTA-#d)`O1Oizi;iPhUntWLW{ zts$64OI>EP)F*!1&waw`^I*W@B2MctAH; zEvf`7V~VIm%C!hOmJxfI$X$ zRSmEadyws83mApcM$8ryZ)Da$y#xH6Feoi}1W`scai*nhl2#P|Pvj0VBRG-EBm@)^pXu8hCzqLR=WILgzwqt_ovPRO zu>bb+UL3QXWke6G5+RMqSeeITcq0$VCKEs8u#w{nlDVnr=U&D6Niko(L$R?r z$I5nUoX$XWYon#(=cxkGi_A|Nwa3M1Rv*MtUK!Z(ngIwZli3{XU;0xU^$X9wg;73@ zv>dYKQ|x{0N9n}lFkqF%3MV5aMmHHLX@$*3wzaAVdvDdMHYGh;Rg}b&Rb{n0oiJ*E z*(-o$tfFohVZ@nvf3dR>bz_ITzZ9;MRc68{S!GNG+{{ty{THw9$vg+7-C+{unML!= zqN^`_=d8A+xW{ z@`m72yE2ZeL#J?;5ab(O1a)Q++<5RGDB2|inF20?%IAuF*m`Znxe#Q9%msJL75Q8V zFmhB(2r_DQLF>r`dNT5}6QMy)Owa3C~YYUwEiX?cqvnl}}`vIm4#9Fq_f%j=Tp zKjrK|R_9hnbzzbu6>5=O9axlvzzufDlzjpJb!eg!X|hG;#V} zSnDvb4+cRlW~Z-gN0+(k`j$IvX?&VugQv*UEB2{b@k1Bb?pz|fe2FYY&*@!8^gK@~ zy8p`S(T1BY8YvFUndh59xqQaEe(hiU^*226#GR*~5}#aH``R)t_ygHngJ#6_njQI7 zteeZYC;K3C8TVu#WG>?#)=R==$*axb_jH@!e#;0cuYKmDFJf7freKs{Gsil0G^Lpy-kaJaNl z;`Wt=AufpSL3D7lJ#f3GON_TZ1gXMYa0`+$QHn9!Aq1(yTrgS~y8~v4)++{34=Ib) znm|2d;XrTJ9y)+}%zPX;(E{hVSsABl9GWW353QjBRs_6+h`#D#sKSci9w-ow1RFSwolP5Ns?iROJa%ZahI!AsVVLNYY|1i6D_=H?pSn#iup%{Q;aukG@}X2uHJKb%W4oF4av$VkC`E zKj*0WRy7-KY zihmOO3zjGCkBFwq(qj5Voh6lmdD$OX)hg-9_qSv@!B(4Ie1uE*b*Z^l=nf`ZZM{iY z&=DH$+<|WP28}3brWYRpjd*T%A8o+*|3|;^^*n4?8-Y5ZvtyZ5M)kD9VaJFJMLVRAV}{BK<;8!dF{yzsCnzaV0aX`RnUf zI5ZyE;+4;zvkL# z29jjHK_EIo_D#-nPtLLW+VdSkuN!|Qo+lEJtz^DMU_4=`AM=kZBQi-x&-V!btSkqI z?GnLBKKf(}B-&cldg4h}8*q_yovuAKqEP8qsTbdqdHxrgvZ-aw?P;${dzwA}=USQ> z_Hui$mua_XPTbv0;_e%N|1;nFy%F_l`0O5!C&i-wVvG{)6w{fw!9LF8R4R}b)en6# z6)29euXu4vw39hQT2ntqM03g?%AmhZ1y+eWdIcJxu9n*tNu!`ZyBv6W9N&Vtb9wAF zsAy)!BwzcKXq6|S4#f4rtbT9(CBr4aCXQ^w)aL7S^dav-k2f6DPFXpQW}&S62-aqj z3xO^EMo_9LvXsy*M~01)0T}CffEj7TNTDPEGxS|im1Yt5&QtJO>QGX$^d*$kVp`fC z(9{aX3xRrnd9`DIf!(J45n7)U3b&|UI>RNDy^CK9fpIDX@@so%C6q1S-Z+f*=ChYi z{N~&L@j5^hdYP>r-yC6nO_A7q-E<^&a6i|9|cngJgfu#peNRL557W<8Ga zk%UGFe6g7^H5wK1dR8N-@M52$PWZz)+=f1huCwjqj;Ygf12SGOz1iksm5B&4r3gfBiX*Zr;3Bvrr zouqv+{BWyC{ip=dcosqB6K0LDPfAMT>4N*+fn;A0l*AovVys9{$puTf3X_dcHLC?_ zJd5CZ33Ck#hVJ*?InsE#AkZ=zUcltp7|A#^ji(EOGmV^Jr27PFqd7E7v;YDBT2iFazp+i|4(~ z;{H`M8d#6P)w}J>m+n7eE5fII`~Um&M};GRx-Bvx-in}Gpx$&Ni+Iuat?VnS{}#aw zyWpfAC$JfG3x*&a0T%=$Q7z43y&=S<5~L&Gf+V#P`;MwE(I}N59RU{vqn5E-5i8gT zQkl5m7GsnUz_T6T2uMu5A{~Ju=)lM$2*p}KIsz_;TDQWFP%l1e1BQJyK2xz+J$_J& z)XxOQcbCora^?JA*xvY*zvt)pCO+wz4>MWLG4x#;_l%%nqV62yKBas9eB)>j>4@g- zfl_AUczeci+AW%+TQ2+yi|Aul!po7Zq;Kqt5VF4qCzBUfn_9wbBY;! zEE?KDj7*kQ=2+?pUI^1ZJs$cr#y@ur5J_8g@#hpXz%gZdQtx7NiaB-hgA=?t$DCpY zY?v*Ah<$M}3XY1au1bZNY@$ryfeTKODNPtG5&jOCQ%$f}-XzCGJjM4t ziOaXfji}X+{R2Pv>xp7mJK;QP@g7|?18^uh%DXF&YKhXbuN<|yWv~O9L!dUL_J^FB zQ4EJ5-+W*3pbgYWt8Br-)vQRx?1INVsWV{{5IPuReyqr-#RV~#0j2<22lL}ewh6@? zg1mz+h?#C^hvvmVL$`;fVs=5K4Q;gR9-#YXMJnbZ2%=A1HR2$o8rwrFYSn%p;QUF! z3`qd~k?5>WQcS*lakd$4GNP4lI4zEsdB9aJLf}E4BMl9Q|fWr@``E zEk@u2K0V62<7_r+iIpJht3y*g`k~>DviXdH&Vdo<7}Xt6o1;%koHw962IKyuA7;q{WKy2D`;d#XoBVlcTTv*Ij8J^J-*kDa!FLF3YI zO{Y1k9!ri!Rg^*1b;I-_xS+z@t97F4$;<;as2f!b1sMDAa!L#7p@tY58-+W#@{o)k zWO90GR*#Q^dbDTxT=h7omu@e;H0>6(CT1otz6^Qs_ka9*zv5#bJ>f0HQ21W$Lr(M;PZQ_d@^tqblL9We zKiU1pwxBeu0})fSNM`89PH*+;mU64dwnl`sAWzk93% zb+TZTOHhYX1*59QajFiKOHe1vJJc9ni8)!_Nx5pwH6WP?f@DxCH$4eDOwCu^A}L^k z8>1ibI*d+^b-_LQ&9w-GWNfdrBQQEE0YvwQt zRBvuw0oo61j;`R?qsO^+>`4);%z`St`g2l1-pXECcV*t|6J3r1Vv1FH@Fwl|DyROBAXISB=5csn@9eRynKK zC6V-cI!1hD8;UL%QvdO9!-fD)REg-C88*r|atb2fbo&x^$4BSZx_zbUo-px15S&9? zwU%)JX@7J(S3~h2Q1=9IJJq@PipM5UhzV1Vh%RXWM098vU_r%+ua+!2oDV9rm+V|K zF=u^mFY7z)7PY2!&iY;^>wEv_zvFA3j)+cE@NIF{VDH2Xi{Vc7zqda_gXo3AqX71a zG}$|l-o8SPmiEvEOBHu$jyBDq3+_53ASLU&-x%UPR-`p_!ELGH4$RTc#aR%yDWl{O z6AfTCtBc9SSzM}CJ&&MCQ|w9{n##%#&C9i-G`?!hQ8z#>XU%(=%ze{euV#FxJ%DC4 zjI4ZWeSqfcbd;eYt{w_GT>Fqqzmr$KI$6xkM!y}LCzK({hD+mE>Wc$KBPPd&(L{3n zG(9GEsH|j5F(O7d9Z)*f(*d=EJz#>3(*dh{p3{Nz-KXkT3vbSWTx8h7*RqJ{8R03 zByWhF%#$E2gH8Bfujw-NANkwQzb0JA_$zn3q# zv3imQ4<*w681}bip7o+cJ|}ub9hwG1V35nYPSD<5*T?EJPOq&PlHy zESL9oPGYu0Ul?>%bup5@@`kKJVuoN?v*B?uqP$EnNX#&kt^Ro)%7&)!rwOv;n;haa zHZy<4&&}6q;hDtjmItlgWCQl&MPfEJzls+J3sPA$CTDds6kd*Cwo&R zwDtEKlUAfW#)(#1eF=q-mDc4k2`3Q*S!taF5M-rw8b6RR35QGJ2a@`+fS_puP*1;w z4>U@w#04e%cmU$1vT-$BNt&V=r^v*~P`VEr$cdwQ`qg>dxQbdSA%G+@OX3JRPP$?B zT|~7Azb;DOUM+$!!l&~CglS5sM?rD(I_|Ij@aKQer;oKzMV1~}o;D+p6Bm)CM+Z=w zxTw-fr<$^=v{FgF-+Bub+TKZcz$^^L|5iB*#a)#&$mYm$Ia4b#A1Wm`kOHEPbIHh) zpWp7J3MumBx}64eh|UNFHL2aHXgT{3*^kgb@o9?Ynj#|yo1Ph;72E|C++C_L4zH7J zh8WZO3_6q_RPSOp_MK;X*Lf ztjMuY=MSoIAqL>G{9Fs>Z~@EM0Nf3LYK>sdacs)~+zsG_jbP4k!~on4XFk@4&f!8C zfQylstRhv(kQ^?Q`L|ot`P)N_DfJ&RhGQTX+#b7vFz*176KXvi+%Rgkh*xNQO`_dY zQrH{n(-c3^C2d+L3FT2ht1f6#E=FwXum#tD4K|!?P}Okere*t=*JkI^T=G7z_;Rx3 zjmRav?TB3P>+T|QX*M}fWcLtWfV}|MDDLOp{oZH41p0mU0;YG#T)dHK+AW$Z9n){o zYErM+YRRPGw|(vNZ~2)>8miaa;xxbpLX!lr1n^%<*<|iDOi~q4V`z%tG>&9xZ(`6Y zpa!-akO3e+fNQS{>^iO`LQv-;@5hp45Gao=NjIr8N_MJw?=~s?{9tL&;8kZqhU`61*TyS zFin-etP0N^Yw#d}F$kJ*3v^f;0OYU-I!R|g9k&>EFFL~3fC^2H5c({aWW7V5B^GQC zU4=bBJ87RK24l2=R1SNNm~8YMrV!{!v?1yhKRSB+#&Fy3B*aSko6p1b#|B^dwsFa7vG{KSBO>&<8rjKDw} zO*dGkTPv0mjDfZqNOomQi=-I)MkQsrY|UM^v;l?Kta^gk(gqY_yQYyxAP}}YTiToi z?OjZ^v<0|;K49zXjTN({Ex-lD13+Wfw!5;lfn4ZtzO5v2qjrQR1GxYu+BUITaU>u( zJDQyzNLuE*QwY8B0q#q4p858mN5}}h;pw!AV$B#Cup|h*J0QraHAj61bs4S+f%MA& zTj=)qFie#@mzqKMGGv);WMqc3F3DF{mz;Z*qoOv*nJ4=44bM@LyzvnR$$K4}e0x(= zB(U)Fi<0&Vo*Na-KEv!Y{Hsxv{M`TWn(7L);plwA*DPkp%2N#zgcwdrT#fOzl#hdQm83IiKZqHm8T42+qEU`W*AR_ zD8?pV(PB&I=-gl?{=nTC!IrRCDS#5KTMEpi?E3;)3IkhG(G<#ej#WgL4S5D zNK5NQH3RXo0JcWvyk3!(wg{r=rd_>T$y!%sx?K3<*V=qco{DBgTH3N=hr!xXA>>Ik zg0!?Qhy=V1x7=ffKqFWc{>U?d--lQ8(2NseqG+8oQtao!_1|kCZP>N4dp3o-%W!jm z8up>UwOMp<zX=z^H~MJ8YdFJSm>1nDEVAf`QX#w7&7jH`&iSdl)03z9=YKs?8t zkfm3LrjOu)D3g*h7^p=g?G&{bE7C_Of;wIk_798?>hOdhqZ}6`r7%{6NnLKNVCaIA z4W-zVVvzVj&EECHOu_<{eY4@x-8~)=5&I`^85p8yXciq@c}OPy&CH<%A*Ee8nZxDc zm{VYa&`BvU@qkh}iS%|1o1ORv^|sR}L~$`AN7hL_t=( zMJp+2Ems?)#W_@0wrfyhg`!0Lu;MKm0X1rAI!;FtgoU>VKNdJ`&o7_Z^Lehhn;MEt zTYd;s_|JcB_u#7o>Hx4G{8|r1bPHL7HK!`V%|8@3-@bA+W6am^b#?G4*uUC3|Z! zP;|-Tas`S#Uil8KZ0*s-3iy45wU8?Ubtzf->hlyc;F3DmgI9FmEYDi9xQ> z;S#iWjieX?|KV{Z`on`suRhQ7^t&kF2dQ)0b-aG@NJB1kiS6k=ZWV&!w+~aK!E>(C z`;eA@xY|1`6yJmah)-q;T=fs+r5eDu0=SbcP(ts}t?Pz*6uS02>QNbl8@7b3d2j?$ z{e{m`GCtZ_RkW{88BhB^PJHKgNyLg*{p<#Bdmqm=1~Zo8_OKMwZc%GcXA-;1kl6j| zQy==4&rD8<;3nJH2S9#c0O6EiB1Uh3y_h}OZG}h%?E_+X(7~X!4i6X1DKSA%e`_fS z$vs-qDx}G=Paq$bVD45^rfa|-%CXOG&zv74l@*e-jbM&_?9kASyS)*-#yRHLXSd!1 z55j1wRCru))HaqV=fSgu{v!g3D1Uf!f;&n2#T7= zLZT;kzjt_SB+HE$+gfG(<`_k>OM>hfX=8aXFsi0`FeHEMl3N+tNy$Pckk(A0`+^Z0 zt_Y9Dip9P9@fCckuZeJm{yd#FX4|vm^oQuGdWf*qK15fOA0kM$4-x;#9wIC~5LV+K zZ}1^{XX8YbxLPJt)?IfqAeAaFJ8|4j5xEqC!l!G9i8ta!WuK6*X%ukq~Hi$j9Gw3%iz4C#%8y`OO^KDEH+g}0|NE__4RLXzRSG- zcCo42G$By$-}}MxGF{=1>bBxUmzC|)~X?Qh~d z%2FG-558HYBA&bd{PP0#DGA!h*c0XBZ_k|~=;)nm#Nq%aT4$;&y$l@mWi7Ky&v-6m|&1rVh%}Lc%)0=b;mMD6D3zmHF&kBExGz2 z%>f9h=FPkTqD;~pz>wA@S0AN0)K5~CTu(QY^|+1=b9;yjpzG%P)u2oOHOoq{FnD_?&dO89teR`0qUX-S2(l z6HnlMV?uePxvp7X;@E0YVT2l1Pi0AxPcgf?L*b z8C~vHOC!V&K_(qsaKFW%HCb`J?;V;Rf(wGs0d-bJj5tY6w8x6nBrb^5zH&w2(3};6 zWM&A`LvTS*=&`KRp%An*iv19zm*|4K|i6kHw|09PxDhfpLnj{!+!MzqT$mJ|=c zB{9Q|quBr*1MXI#R!K7792R!)n?n+dD}X9V(nIhy!DPXCw_8Ydy$ecne{jQ>qyv(p zqr;}XqI`c2NFzvX2d$~bewU7(Z_pl(hF%fk@7{UlJA`@VWGLtFx#ujQ`uD7F5kbj3 zPu~7kGT$RSz{<}9ev97YA!gTqrQLA@M6?_oY$45>u$b|C8a5jI%`5z1mE_reRPl9? zP*9)entoUPGNae*Up#M+A#C#1Ro71$=obSC22Vdc7^>UrUfuv3xvi_6XTEG)ZMm8U z`{xI9T-WBWJj(IEF#Jt522RL`)6$NMh1XO?p5j4S+X5&5dwR7RpF%}Rd811j~^^Y&g#>-m-X~ho1_vD4CB|ui{=F6 z%_jDhm+Rrke6QnQo!zd-0Ow}vE_~iW&v?ClkvYGP%vrZ$~EBJ(A4D)*S z_&~0l|7#ZQ9kiUukYJHcRVKI2{ulLuna1zDT#U9$6sJR34&6!{jh~uj$%Ul17%q|I zaolK6&4t*5JfiV?+`1E%rN7Upc3dAFH8jS}AMD||_642(n#nq@X z^ffVQ7iyCQ(={i`R@W_AYTNNi;IRShKXp_;?+Hg7@XCB4wn$Kzjg@@O!J&+!Djf|W#DgL@l!wdRe$MsluYz>wdxL8 zMx;XkWa>4_O5Ve2oJfTlBQR#TY7`OWU3vkw1yj9bs*`=hr)Rk0=`cp%0#=I^=>_3;L@&Ts+<{tH5~4_L_vnr31-M|45u<~-z3RGF zUD$}bb#MMlQ>*s8?Gm^tvF&l)RjnGCdL-0gu|a;=`_0wgwJS$ZX%xrcFz%gazCFQl zjAm=i8vD^FdI9$yEY&!xHNNM_c;B=+zQU??w-B^>?c0hWZmOQJBe(^3k+BRxqLU0f2=m)ZP+Bn5(q_SMw{tUl@s zyt{PFMY8uwYJJ@#$;~bGCiIl_Xa%%Iz}H-_I1+)p0s`4(dRo82-9)Q$I#2xcxSKpW zid5~j=X->SNY;nH1)X>$IqgLQb}_$b*t8ZDdCsxv1e;oovI3O4;k)uZ2r8^fDI*|0LhUkO zg`mQ!tq}tC@kJSw<4dI_E~i5!BzJXo>qS>pR=TFL(shfj0zn=ZT?Kf7Ky`fCP6ymA zx?GCsHjAzTL3VuE((fnRY5&8b+o#{Z+b+85`n%@(WjpPU^Ue3a+b_DR6xC5B=HrWs zEWaOqFzAA^sgGAlGU=~XHu3G2#myDUpW8ck@$Gh1HlKcKoAlqEyVxqiz*KV6g}Euu zaanmQx5YQBgi?V@zGg6$pZx3pW~6kE`d;_i%mHF2abY4f9;LQeL{?VU4K}k_SSw zV_S#d1MGr3d@Z()x4P~*8MPrL)1hurwjHn>;?C$dW-_u96wZ!(%3qab8yQ{8QZu%3 z`z+6MlX|7iF3_az>I?8eDjk2I$<+m@rKilB%}OJkKoi<(BME|6A%p(ofA@d={%=X; zL`mSb8FbXZ2o$0{A^0jMR81#Y-gmcW_L_poCAVp8(McwVb{-T5p_BIeHHhcy6>|oC z59}5&HCVA*TBK{q7C5Y;lYB*Bw*-81076Uy!w{@8=-SV&sdiIdx&~Gho#Yp@M#npq z7cRyiX|W=$rEQ_~2d7^JI~z+Hf?7_rCBf~1);lbTA)+>jAW6z&XOb|z>{5r)Mhw#a zKvf3a>Zsz}vG7>u+N%t@R$L*8OnzIos50mWgmBQ?aDaq&pjorJKTz@dBOwH-@AxAs zZc(izvL4XgDp1^eckWvqu3{cF*RH&&Z|@-AMG(*^ec4p$NA5RwFW>&=g!|0h&*7gb zN|BH>d8rzr7Pqt=&?Y{ydy8Z`0etJ$67CahftEY<6(6w$L*>p-d+(3`xnGEhW(ve{ zW$h@$fZQTM*1}m6f(z~-^cGd?ZUs_wQ5?1g6d{$huV`JlNUZ=>(h5=;xZn|qHYhCu zN`WV7R;02nf}m7aMCTffJdGfgwF?$V2Z5*UioDw{2vQ~15sCZ+ZBnx$m9-19z$)G_ zdOoeq$G*Zu4 z_47$Z8)!iQ&>W$ag4svMNuAfP2bu0lH4=3`^$+6EH2Z#zQH@-lravwnA3$nar%kL# zv+pZL;SkIrZ8=afnszZ!Hyjv^TGuz%*Um;AHN3^dmJez)y?{|8hx9u)v0k@mB9CHq z?L&kp@(-mPSO+#de*UjnR5tVX<$Q+(i*zam4g@drDAV(7y;i`(*77ASYy$JJlzCW+ z;qd163Jf-V-@+L&>M5sF=Gm;4cT~)m7?^s)IXgrY|Lh-m`pZ8mY%LI^@VvdfLbpI8 z47w0a`0dI5%hHQ|g&2~raS=qvpyGcSmNtU4wJwO5l%*Hs8j(&@L`1Aer`rWlD6xDS zr-nBx($>15p)mx4%X!?@IWoj{LF3p&)u;1;C2?rl+9HS}BgBT_2B@QEMcP^y+^r8< z9y%ySCms4c3#t~b-_}TLrQcZ)Tgv}5qG~7+ur{`AioLs(jGkRR|HQ*ouj>0>L{9LW z@$;2pyS7B6G?Jt(2;+pw&|=<5N#}ofh)ntKSOX2l4e;ES$8$yaWN_X%&S4&QG9}q=-9mEs2Noo zm@>d>kS;q(OxLWiaeCaT1kO{etsZ2uC7pxN3kIRLJxOd%>E3oqH<1I*VdgD{nICxK zzkkoq1{6>&K+lp+pUEk(5)!%*T%IjOuH?d!A|Qjwh2XkF8)+?AS<BTI-E$6{l-G!5X_czyE&4U2$mEU@RTJ5ij+)H2wY*LYqO{Hincy1>4|ZmwIw|@ z4>VPBVVy59y;Cig5gk@g_H*HgYE7-;Y8x49=qx;XL?4+w#i~w`(N$~9>oMy<`gd;Q zzkD12`7x}$9OuTcQ?V=4mT%)PCf45c2S4urc{+fGy3oyQJSaSCAR16)S>vIIb-g01 zG@fc@!UFr_inGQ8fDaw|RAk7kwP8z+9l+Q|mH-H%w;!O8>tlygIJ5EunPcvaxE~`bUsU(r7`mX_WtBxAV0+#(E z3piQnIfa=Xa+g)EQ<&+2@l`2~<<;Yu@k#d>R;R93{V!62ZH8|~t}rE#Qj*#M7db$_ z%qc_3S2m>tflUE>;n|~Srs=yLHs$8k>6d)y%l^O*L@Gw>;VmWr7&3Bzu;-a@YhuWv zjGi$8m>taQ1q4?lWvT@;CIFp=Bv=$oKo4agcfjiHC&vx*-gpHcbe`&}7uRI9UUD$Inw?0)T&KuMH(R+oJw`G#PDYSsX~TcfyTr zB&kmQ!r=$A*&A!(p;m;qAAK~vQ;q5s1-H zziAIHVUkN?N-CS>pqQar)dNUt=DTy)f}YcIXGR5)B=hY-VM`;#1A5IAVd;{izJl87 zOt9cNDOy-H(={$JM>6`oqbkUtVE^(O=m+p<%Kr*P9abzXC=5K{@(FjKgC){H&(`G6 zb2oBSC4AZEM^*Ohp6dlnjjE1Ux=OWJll_rkA#1CM9(rF< ztod3tb>UlOT6BHne`f&L+in5?mKyp~+>OXa!Z43)CMXrAcRXyrDV=3HV`TXuo%Pf^ zKI~V&KQckeG~kJK=hwhX%Pb4abRb%l+^rKF)T|;gB^K$6R#03LV-g0MEEc8;}8^&(O1+-ZN$K?tvDHi;xQIN6Pr7W?(~Lg+dBA7aEm5; zN$?!D2eJ%QhZc{~1>r|S3$i$gTzn%anIONK1JtSdf$(azj2S1X9;2-Zw-#v~dW`6y z*E(jBTEHzP@QuY=z>`w z)*Z@J51|iFud;M}mT%GVsRLEc)ui^=kEps{PJPY+-Cho8+AV6W(VPRiOb+OO{@6eB zyMJpe2ebwIs@PwQ$1v7KPYKkVJcUr~0Jw}sAt9KP413{vfse4qT(CB^BWl37X)xT3 z2pw?pAQhsGp|N7l0g*OHypHV>CF3T&QssaSWYCqI2|0J_)K1O;;dmhLg;70h1w~XP zi*gPK6&>Y?J)kAEmxUq8oSicM9!+wH>n3yZpipCdEpP(b>#lM@Ha3LWqNUZ-;un%q zxgUMst!euuTV%mxlFYXUff{*<*)~CD&iXf!T)wY~5djDe`6KQ2Ms=Ll%r^)V?~qBO zk8(h%uwApdL*POcoEI25fmz?3PnegF&7iX&4%% zM>&_fP4}_^WwxQ1fW~QRHhI#if;E7dYT9U&JtC!}dTlVxL^Fm>=Tv~yU`PIop%C28 z)OW-8JQvxy9VH_jrJDA~IFTxUHZ~wl=rGd$D9cwBIM9^V$CngD6!|65P&W1F@e0;m zY*HVbUF5fy<6MViLgYvb>567#`PP^+9rgBi{a=6TtA1M{HV<4*z;d9+wBG_WK4?Ku zy`X|iOGnuj@RE|jfgsGgQgwwOHH`}*aieoSKpJk@<`Coq;ezPjpisPd2?vzw>x0AtH)o&z*phzBQeRxGH&UoS5k*+A;Aka0D3ktR$?4#`slBBq= ziN+ds#NgVoM^7@}BIFCzDg(*-Uck=4)VRex@tNnu^PAlBDl}OhG}^Jgj#@H@ zCcy5JP>*2*tLe>}l5Di#4NGBe1||2Xj<=He?x2ZMIa(2t+2G@Jo>gdqE7s5iBs?w5 zpbkw+f5mo-A0eOTB>gS=)s=VJFpYgly3knt$~!Ccz(e7K2si(u(nDc`+Kv5H0ybam z4N4q~SoqMUJVRM4n_cKG;K@$o*?R1BP~Nx7PAAF5IN`Iy51|=I`@=e&V{F8ciD(AV zQ&!dtre0Tcq5tel-@1G*@<^)ny_)SFRnUcEoRPk}_Ej4`?6xHLWcWaU3t!z3tiuPA zMAJ22?NKtW1*`A@3qln!d^q%+&g`8Q0@9dT5{J&=!*;)>%AyO8ri$j!**8V)Mh7Sn zO4WMs*)`pDYZlJ1;u^D6niZ??p%8ZNF>|*)c4{)iBUk7`2M(TEE+ARxLZL3(-#oQk zAlxZrr3eD+@L^Q3s-kKTLaL*}GZwuRX_%pw3ti{oh*xyqTPef4LLJD*%uN@sTe{Gj z_l-aH9slP~rk-A{=)1agOb|S%>u15y`*J~~%sHuvP#?^z62)U*K`&F?x?pO_z>tkb zP||nal7U&Q(97tw=+F+*2vXhpiU13sP%nZ62T_5?p{Z_*AX+Vi5o+6U(pxP^b?bsh zkY|V%g&G^7X_N+Kf`^3OsycMtl5xbaD=KE#1WpF4CFvXc!IAFLgYTAy2Cp@$8maJ# z<|`jmH}u4s+^p!%D?iD*ZvPB zPE$J0J-v@ZPp>`5Hv|((#@-| zj8uA#Cd_zCMy!<}m7WV)es2oi(gw(l;w@3M6E|-ZZ&@bIA#;fEyE?}TZ&^moAzjJp zW-TcxQ2&9-tT|9Q^wyLVs7c1KIp9-j4CknNRIOyH@v2dg`ei>CDgWLK+6av#pQx7d zf6dpjX&Nyr(+hDE#L>ppZ4Ybw8{rHa@Ti~hK(t^WP_)>Ut&yOUX`Dl12>zE!Sm#Bc@^&B+0Fn1$(RpZi`Owpn$+iCxnf-n~Usmpc2*I;<=WQ%9o|-dj2yx@ZB2z>fvWjM?0UE~N?;1*+Oq)M|P7gnm18 z>9g;@_xMtCPxDCHh-&T`xJ=K$8@~E?e%n`%Mx`i=3ibn`RL_)D<_;DDiG4Kp0YR>W zqIP?&_~51_+6C8w8%(A|XGFQ+5km}NKp7B|^}Du<+1%MUTd1HT3eAmRHg^Z0HMJFB zy=JYqI&?O7ptS?B9c=e;&#AVxpa65sfbOBEBz!$$DsdY*i(d1qF_tH>$=Ib{vg!id zuD4oCLAioh{B)L+ZLONdh&6RPO0(JW_H1io?nHJlA*ZW&6~ea8;J14yv+L$|pcXr) z;Vz$sOD)kUw-J;M)~T+7`XJ})r+sZcy<3>2=~NOp^u_=J6w|_bH#A* ziZT)|7MbBVl7b~1#g4`Ic^$-{|I23`{?I>;`j{5lygugT>3s*gL|codjI9DP?JEOd zx!?{x1QM)n*X$RsE8ZCqa1vusAp-VGZ9E4epjVcsQT9W_b^#=-h=3kHWJUzUm_;4^ zR8{3A&~Pw9nX-uDdsa=y~0-(;pbvq?L+28)MEnsWFKP0V`IE~#=V@;kYJHcHGY4){4dH~ z^TdqvK(GSPO93wZ<`UpOUSk`lVxH_>yGHju_wM&T`z5BLzv$(cixfN54x69)k(VOu z(5jkiIIFQG1VD$#zp1YC=PQDxL#^9`nU(LlePv;X3nm1>(qQBvIE(;T?+xu9g4A%n zB0PJr)DZ!IKxG;NUdib;Ngl z-Gmp@Zqc0cyP0u(pZ@p%#QUCoQ)v&^<}5e9)~fa7NeWoW`B`I_byOqqwp;c(;v`$( z^dCEt$s?7AEE!p~V5RL~u+mcEazUi}kfFnhfLS0*RtZYZuN?YSp;fw~>ISX%tU*e*WFtAroe-}dtMB!()%d4jbhV$cwe+R4da!q-_Pr}_>#L53 z?`2#5hdZ8%$v{#piEe$I7VMOi8;bNb^VijDB-K2-YYRNWpyuS8xx~}jN=mBR)XzFg&^0mv#MeBc1wmdGj{P z(__Ebp)F07!(nADU1`mHk1+6>1rw}^mabMOuB95`ce8L39@f3C+I7B1XeZWR{?v{(L3MD!sG1K|WcI=NItU8@; z(LqTSG(xvqbf~(;@7M9o&dcF^GoM7aS#%Zrq2tR~?~o6;#rbBqN4H;W@qHW+0@ePq z9p}GYFSdEcM|WIotLukAy+60p{y5)!|F^5f7H?}hK7XKe{@dOUmY37Vt1u+#ujQLh zKebJM-JQGG!o%rRAa3HfE}K|tn@1?!#bVoCitFy4JXn>BdhY)7&)3C)2k#BUal!2puyAp__P~8h`8Wjm=odlQG-J`c%( z`P|}b`2}oXlV^A>;hp-Lu!g#GPOI6!^bKVgxcHP^Xnu}w;?oovJ!n0>4r+)fF-$6#zfffI zcZs6H+(>=FM(VqO_&wCLC3~Pl;Z=F5P`OP8tx~4LYMScN-|La(+w2du=~F-QH~->q zwA$1&7kbu+0ahG_KzfMny2)WH!)Xx&$tK+2^Oz~j_qH`GbKxuQL2f2B*)e8{?P?9a zQs_SPXj>!`D~2|uNd}RSoFkN=hGroc+7wqE#CD=h5k-YyXj7~RJuk4P-G;$A!>tfZ z+Ely+FttJNk||c>{3Myn279P*{N!k=?l5Y!U6Y=Q?FdFWGP+U{g_F9#fbf+*iA$ok zY>nC&E!aDH7&~~K_}S&hBx4y%%dFJx(0xy-wqEWmG3;Zv5o6{E^9MzDlKsrxdu$j31=L6 zg457o1pW2EJIsrzoZ*qWg0j!00}5GNa)u=Xkep%J4rdy{JoIMTAM~jemRh3yygwhE zw7(4aOZ$Vrs0zkL=uRa$6U%|02`^)K-;x*bH}NjE@M{)#yV>Ndz=z>BwdF^2G=1r+ zh;p6@xDK`B7G}rizmXnt}w^ClPl@idK^r8!<^%4_HA6o_b&Hv!v`ipP+h*JGi43GQJ z1wDt?8R0R&g=_d}@{Zb9mXUBl)E3AD4?)a|ZUyU1xvr#!6cb)59&F#CYVa<-)N#zzW2a07xzkkJ9GW2VDAAFX`hhr zFMh0i@PGGo{)2lJQF5<%SM5|Ggzh;@h#$1_idodV#xD+UHa@j}c6>ARiPERMU_>|R zDPJI9vc8tX#%LMRok@@R0<{?ysAR~W(P1aa^qeou>p|KVubSqxl4N?&7f1^$)#4VJ zwchiap7aH3a_sp;E*7nS=norg?`#k!B-i)0+7n9g&$7hYI?#L2XZa&roZ!_Y75~^2y&b{F}XJkQ;o@ z@bCVf@#9r6>|o!LE|l7uJm&HyK{CH*+|2wsQDZadn}5$v)Xq>01r>NiUz?RYwNVP` zQF}MVHiS^D$fg~rQ&YIt6q~d-mkubkth_&;s=U8EWuidOFS?){U#iCuZld&t(qCK-Ds3aByr$TOQejsWD?3`6bp5iO4k*RBbU>+P zrQZ+LyLx}okLCPPnyYJf+w*F7sI{Tin2x_^EiWHm0`RDvVh3omI6g~zv8j)jhwFE> z@L4wTEeeAgu5a(~Th#r06P2~3{!m#%RnUboH%*I#CDhlFVp$m_Km1C*Sw-Td99EiY zJj7NMVu>w^;7Hx9$dv{AT{;eMq(3D8uf!2|%D#S#>vk>e%}g_B^U$;Je;?43&%Pwh zujq2lea-i;@^|;I@^{?N4>zz|`KsEBCo04zam3WF#9k#_nlvmG$+A!-7~M~k?@F#C z_J6Usd&6?&gol1w?qc0j{9zT2+CRp1yBdLq?#e5>bF$m~HE>s0S@=gk@PB{&H%D4Y zt@rg&QO6$QIyA|CcSJ?ZD>Z`I?Y1#q+Qij5V#yaF6p|1v##tPBRv$XKi$qmjPZXOx z5$NL+M6tMM8zOd1$`TIh zDPq@rcTNzy!b{J$r~H^4c&62gbVb$uLH~#Ob(?K{$EW#q#jEouYNb(%}d;)vYZ>j_^ zZF$0W2~!BHr!@ieNw4sU5)xCf^WpEsBK#bAoNhBt9{NT^;N5| zvaYtFomg>s>~-c=x|-D6NV7?7+oS)kboEL8Z*70{4Avw5c1-c*skI3O)uyJXR!B_&k#}qM}LK){s#a|A6#7t!> zeohfnw=x8&_+1cjh60Mvk7PO35TxQSg1{5$p@G$De;!f%E(szfEyNrUrKl~5B}MVO zq)iBAGt~N^73w5OC*38DrAe}DyPPD{C99`=iJne-Xe^~Y&o|{oPg{OSnSRbszTq3w*cq)?x5)Gzd_U+&mVef$ zThuUV#?-nSJ3Y|FMP&+78lv?oj5d{N5yaedQO|&|1KC&$(o1tebgva;x^aEmSJ2~h z(p(UjOQ5ZJjw8r)OvOS~7oP0UIZ~PWiU+ih;T-w!IBRPhn#$A#!Mwv-5>~{RtsaUT zS~Nf1RoA#_YHv8&7pJN0Atv-$purxdgb#fZ-D8qdT8KU)M<`$tn&td-)lw` zkVu93CCP*b04{(q7G6#Vy6omGtGoeQUsV#hhBLFQbjpe+r;4Qs!d1Op+gcNzWY0O& zJ#&e?E|A}>mh3r&t`f~D#BW`k!WlYvLFnK^PhqeZ;asP1p2Bc@Qy8Y*qSmp#Efygj z_w~$x`9FTwU%q5;D2r&l?hc=MfSDxuHg61;X2u+_o+GWY~ zm>{MKv5$!eI&Vph6=|yd&;Wp1C;_Hxb>~BnrrHI|9HK22v;>YG4Cg4B9y>HhS9W4p zLBC=Rsj;GDdi)&mHS8)Q2{bQAB;kUS5+lj|uc;USyR>YynzKO`hOjlomga0n^L2Hs zYW_Jm77~IC$V{&++OeX0Zb!qGbJ%pqrKmn3$rybER>@`APKG#jhNG#k_&T599(YX7NbAZi%XJp zHT~#FR9d$ndaHYq=>aC^3#obVaFhnQD1|d*^1Z6u82$Bh=hzcVJQK40KP+2Gf|#$HrfA&86WM($VwXF=!pHd}rgklVrX<1b1n=_kcc`_B^ZmgC++} z4lRX=r25&VVBqJ879LF_fg^9i-1XNo;NMWcpToh56B)D1Jmk*sJS zV=Cn>0CfYv8F`JyfXk*Xo$a$*=j)}7N=tX$XIt)kc=FX1zG@a3cJ*=r3=^qx9{@<_ zFheSv7GHd&|jm5;U00sXl*)%>&d4Fz)iGfLj zNlp91#8xI^1S>$BTA4_+u~&TnVng!r8=E4DzGAVYyQi2Kv`Ho{AT|ZRX2GIESiEPT zG~a>2V|OZ5KFkF|)$dv>wE1O|#r8UmEZ;`)G!@_Qt-rqi%)lB%{fBObZoxq>#N+Ut zwW-m*vIx`#F}sM015_g&Qmyimhah#43!>?fnh?2A07~0tZ$2(A7{nk0o$E9eE{HQT zhH{W!Xz&n+rXq5|S93-=iiThV!DS<1ZCHai$y6T0>$BP@zIhLvt=iHgnQxAj+>lPk zP^$LAE2qSi`b1Y#l%l&u75cU=(91-on! zN^F1#YXrsnc1iS;ldzA}9W*KRlC?_hR?20K7{DN5ah4Tz$F^H)8f-_upk0&RQ8_vy zBK?VwWUCF{s7h5Ocp;Jx)xZv)^)^ZGs9rtS6w)`*2;qTj`*)_pyDWqY9&CEs z;laXO?eM^^5NRU~LbAL}OFGqqwI}}ZQ@5Y=#ozfo9~nd+>Iz?ExYT(YIy@ZMBXAnF0fjrDB7?)Cp(M<0HGCf?`*;Xj+pVtV@E|&H4 zE;&&#lYk((pC$VrT9QoOd23VL-TbefZ6Lpg!(WBSTNQJm97y{-K#SV-j&P|B`Kyb!ui^&FhIbS3e{xxcWIc0>Srw1L( z{tU?RRHDLV9jkt~A(@`^MX>NMLBP|j0Qx`$zbUBz+YvM)g@s?xkttyXs*UudqrSFb zCKXS5x9JVZ5Dq_I6HH)MHQR4{vkEF^f9%2d5APX>8QWJ^5Wc=|Ig5N5R6s z!l;Dy+LJ0;fC`x0qPQZI`PBWg!y(|8^o$=JRX{S!;XL6Z)@x3C&rsXS?-@3GslDnw zL$Pb%J?oKrs6Q0%8P;u^MEOrA-ZOk{A!m8d_)p#<8a23h&sd0i$TrcL=pzz@tkmQL z-%RxJ_!519%0mDIT89C5ha^agw~0-Vs+3K#f~-*Q_w=dof{?+u{KH9Bk;+#s{2GM? z;+k?>5p>pyF}bqf5H|!93T3dFZeWye?-6IV<+CLWal@<5WqRW6VW=aMI9K(Fzj#c0w@x2X@Wk7HQ6Cglqu|eW`n_zZw z81Pgpia%n47E2J~wMUt^78G~H1=YEv;ELRE)r#UV`k}XLPELX#>Zb5pDnW4=P4EDK zlQ=ji6B(1)WLS{;?L&DRbvjZ|(6}m%Pfx!h|EIeAlhTcfrmkMrZSYKrj3M!PWWETvJG_=?9xj=|;p_;deA_g)Td4E0} zd4Fz)|A5e-BI4$_g{nZPsFJ|I$adNv=UWv>_aj8!5@DqMX%W*VV}(*+yo(U|`5fBMVk~R%F1gU0R@PK|eWI)z$VB;Jamijqvo$GYB5{xf`%@C9X zj7uURZJG;xCmI+gNj_>W8C<78lW0huB$4 zmg!HD`S$EU84J6D3h&U-^ZnT_q1LIiw>`?-X(8l|atf)opU@1bfk*a~Q-)2dI=A_2x=dbrZ0S5}eivK#HA^(pY+_#mrAjO_Rk7RhBbxW2 z3z^fFA5!c;@Spzly`PUpLQVO&Vz&ekkPHqZM1NqLD$2RrfD!I?=%KE&c{z0(FhX!- zw+X_O&jLmQjenB$TdjL}x0KGpIXdXCBb2c|bjmnB8KA!olgAptlyJlg=$OCl5&7_X z#guP+5_(-Bf9Q8$A9$5TtTXE?)E*?~wq5t9lcs#*lL7hLgIJ?ov&yV{cJ6>##68J; zcW_HIhlzZ-qx3DNpR9z%qf|B6%i&$`6svahEO{ZK&~+XiB64x%>&muO!gC$-M(UWJ zcfM2|(-YTz8}Cd*;>|sYx7U+6?H08bYwk%Dw&0iUUwz>_pMBSRf)-fPm3LmkKfkAt z0}n_sGs&#c@A6Zfr+)KqVMvlkbsXClHtU97|h>b^Qpcu6f%;CaG zl0ZoWIH#Ul{UMUT0>vQw5YO@E#g7o~R` z5F`A$0N@pf5di(jCA@wDq0jg)-|~;%9&OsH4m=QY<#0r9MEa>fB--OYNk12Wie;A2 z&bpsM)8!OO39T@5s7Jk$RpLpGmaGzi&jddT>-tEPYqCEMomCnPB8B?F;bCM^e%5YuJlLFXBmEl?+bWrpb$Wjf%;==V=ch1%$CXhbTi!2$&@E z?Lo$rU2Mp3p^2fjSa}K#Id;&*B^fRd&s4T;RCTMO9^txDZF>p)eXX}`%bJq(RBk21 z<=%Pwcg}FV?V=ejGS#B_N>%sv4iaAJ<%VKU-?G8B+PvZX)*Nx&Uc_Y@ZX^XcM_kW- z;ky@03#AGkVIY**2$Vbpq6^9U`jkHGBm3zckh-=aXW8~U7#W8h3BZy)YkbnTv zhka{d(riy>dwTx`*e^ik3Rq4*q7LP6dz}vNqZqk^jmFZCdEXaKO~K-Kj=+Rqp~ zPk-;{-v0|93f$YUJ&h(&Zpdm2%AAykPKvptRAdb8&R_a#()mj+CoYExn_?ajil$T#Vo&_K>y%>z=|~2S-*7NGVR@=UuM}LP zP95S*(RSL?XMXBmzWXszcF}fA2kYtO1G7;ZuUsXgL@KFwe)8gZ|A!A1+ zNTuZ~7F^zO4XxD(QfU=IbR|kd65JtXEvpr&v|JEMQb#Etmy!pq1gW%K&FMfKWOxN0h`BDj=RO9+C;ANsOdQNR{1iTV|(2JyCmj#3fD zv1IBRM;pqrV?L0QEKoIT@{#p53EfjlM1HCyM^&aOuzr?L)#%DfGMRAD=>~PrT&xN8 z+Y35ehx*^ppz+DS^S|*;eDd%7Z+sJ<^weuod-pQhzewvfkYU9DCtcFhg)PVz+V&if z?<2T%eoE6Qw(F6I_)Tl;k6Y9}NZ(}5Je75-B8>Gohs!#hnujMb711`{dSKb7LpP@~)nOQ(5nIHH*{9pDNvH<( zxLctOwmEe6>Gt3flD=^a!vn2veU73Y?Et7~V0?!aEPBlQq8^JR8btvJCU|#4KHuuP zs}c-=a=-_Q-%K#8)L4O#jnvbPS}o9`pD$ zr9auP=m^uH1)!efWz{&9r>M)m3b%jpGJ4kIs#Z@DeW=JS*WO!|?%Po9jiy%3n^ij> z((ER-sugLQ%8{0y>UxLl7qdc={Zgvds{cg#r}O^uOch6T4GeTuJ4d3XN?SpoK4E#m zV}D6AMcU}1!|?9X(7di-#y|Mt*ZuI%1Y2qNVc}dMtlI8$+#N@Qs`gO9W##$88!Hra zf_V0gat??}N({R-+hwJISPo-bC!P&%v#(jrh_XhApx z!}GTeEW<-^a|AdzU(94(Br2xSHA9Z1`*KAzT)F(!z}HEM z-D+{zLU24L+6DntGdHSL?9u`%N{X%l8L7=LdQ02*9OU!RjcWGHpX)=;`RUusPfxo= ztsg!kY%hbb{iR>|d;iJ5_NJKnA$=BJ?=|obCwp!BpS;wrEwsWX-y5Bhhu z2|6k`cH#&weSTY#q=Q!^m9-er$_5Qs)vauZs|>mcf-SJ5wUUxp_chVK#pDJeo|Thq z#?d9I?vjVyLFdeo=1Ge@Ns{ijOM*^;(|E8ZlE1C)kQPsuBww*6Yr)6a_5(`b<&tpd z!BdJg513b~>4PJ7|&S(ahQzt6PGha+FO=XH@Y})GximCF+Q^5YN z@UJ}bJvOZCUrdPli^-4qMX{yGf>l1oYZk9jd~z{=F>%`Mi;08yi^-4qc(IPXm?t=~ zIMyWB+Bl}9N)hzNq+kw7hH`*sh&lbJRc-jdC0ALtBfrYICOKWpIV)AIZDg(q=8Ird zN#q2`X;r!EI)EfNDP%j2-{E|7P6HfST~%v?Kz07I9sA=4%PEp>OilIp_QwyFFGnA*5-RDh<(uHdO1cxASeWUPN|)HgZ(X`2u+$b> zy>v_5#bR5g27(idt3__VK3G+mETl>WoaiM-JRL~dmq@t?IwIp5xyh?DR+zGSmSeZs zXMM{TeCdylVKuwAzviAKUU0n{XK}5V0a+7TpL$q2qK+tIb@AJy2D$)Ys-?M;?n|XA5&>q zA2(%cNs48v?2^m)-)2$S^zZ!dkWBm=rA`wd&B(_KA|C{Cec;BhZx-BsvFlK8}3zeV*lum|*i76T;f_ei!dnG9DwFyFXX=U!%^$#r( zkguqO8}OUp@j}s5D~faNf@N+fMvbZ-j*AiB+62qoP|VIkem}1`s*qG^+&B-LsiM&y zs8A>I$<>eljcHYV?z-CKD1033uWKi3e>@cjRx$Y{x9jRz=E4IIRmEJ~Yn5CjO z?V9J=Z#Cuvv{CxSMk5Zlqx`FO*%Q$HzAD`@#T3_>+`QBn_Q%8?bx8I!5+};K_3gBLOivdq&`Pl?;(AaXO%EM=}Hn zUjTCA_((sWgXg2%rd-1)m zXdmng&%Qsk^gR3iGh2E-^wJ5X3F%YQ)jb}3ciQzAdzWJG&B76BOqOX=wDn8cogjq zSyi=nOU&&SR{}}Do@7c?qq2xm;8JEgtZaf{{N|O}M=5;``gBwbK!MW!=yg?FvlW!w z^oyqX{s6u}R{{wTKzVykN4`PPXwhMgYV|9PIvwFTL~ojU5-UkQ>SwQgPj@@A6A{O7 z46d#U5@GQ#)S(!H%AIsS%CZk0W`Ohw_hZKAo;QFR?ozG=X%-@iKe>1e_XEE!A*eQf zui3=D3_nV(XM(9?X2I3K?2XLc2op=Mym)p0U8wNMU>eRr(KhZ<1sv|hh-AkS zkyMHE;e8+X9`Jwjsc-xFPY!QH0gs+@*DXXmXd6-A;kY7T8~JSe%5v^52!q5bAd~YT zMr#D=jkq9s9F^ZKSy^$h# zgcl-$a5mbDp~iB-EhtrxTVO{cdUBd`q&HFomupUeqoA~(SBI7)giF##!__cd0oY=* zqIyrk6KB3BSo_7I06`wm?_-;=HiXGBpe{tFRnRyzCFykz{lX42Xc_t zSCsD$=11yaUtsK-M`MzYkqmtX@}z31dI9EP=teG=+P~Zo<)*BDGE!sx)c`AXVECOC5Aht-bv}B@ueM^GlDe*sc2c#$Q1aqXX(6XKHMA-W? zI}wks6EW=;%_)tWNoo9!|LNEM_*_@<%4 zE-k_vAb1-=8Yw??5XqqZRdfIL75o=!7#GCs32>Rh+rS<+2OnTtFjOgOj}Rwu8^p2v*>vXuR!7j+$JxmU)nDg`b7l4I2m8teUS)fg3Tj zZenj;@v)({c4InFJ(#gc=T$GXD?O?Qd`F9My-W1bEfUMjuz=fx1(=c>@poopdNaoK z5C7l?e&LxmB6nk|eh%Bb0i$I$AL(sN0^3(rM2lu{r6O=_6h7#{BuT1KUlSw2IMRJCQ0V| zgYtl05hQJ7t4mIH57h22Q>K4q*kjB1dvne8tu;Ns!8w`#VObBu_3V5ypgJIc9rW`4 zji=IVQv6g`+Z>IPAEfGC8R7Fd8I1#OkluOZ`;qIo{ER?*(nn0!3_6@{x-O1Bn0AY1 z?_&BbI)_93hyUoWyzuuTRM(r)%lCIjc5)KBbYK`0ksBe8t|i!dIGeLDHU){ZoZh@W`Mg|=15@L4;0K_4$d^i^SYAINRAMAR`e%Z~1{BL9L)6#W5-cttcUnGoYz~Kb}hj#(b z1Yg*ke}D1no>Y#t*Oc=FQIe)lBE@2V4DSeRu#~2;m2iWP8>Gc91t z7ygTLNIy5dep;H1lG`qG*AQlFI37uG8ucQGE?LPf0R3*WkV7!%-*@12fx$#teZA_< z3aj$(9hfZXcx<;TxB+$QKj+_14!6wt_cGFYXt-t0zn>g#S>@jWyW^;8QhB04(VV0h zb^n3Dnu?siNRq;%z8p=jdYM3Ysznl&hgPz>JDX0soylUPpuYIgmF(8mL>dQ$1pMmY zC^a6VB$@9Ia0}XPBQgBvF#poeD!?`Dcsi`QLGpL8Zj`4mVUfJAv8+#mp z2nm7@;hxF+%PU{X0LTH%q@Q*hCnN6dy*tx9vM2h*FZ%E&KM=eR+Og;Hn)UAiZ3R_C zwRyx}jo0ie%LcokH9F$8&^T@Z}IgdYKp3>;UxB2|YABDOj}$sihm zvQacutVqpK1P>U_DT0VbG`b2ws&*FyBFu=94r?+HSLaC8?t)N&xCR~?u&VkTA2Ga1 zie~`X+{6_gZusBk>$H{=1U*Q+U8=*7*|ZNYr~0a|=zoV+j(?+6pPT_Nzp5Olnwj+T zq-GU-awtl*`9<%EVNc~xX$?#BAf9h!IthT4H6WHu&Vc2( z5v056R}BD(^1&m0W%$ncdF0V^Np!Xv`5dO_woA%W>5{uWdPjIUP|0ksO`3C(LR1wL z{T`xQs8;j~EB#yp3sITDl#hJ%V8|H@?G>L~+xg%4CO-Lh{x`lE`b4SIno%YYg-@h; zpX3vXU!8oS9#9k5l8WU_N+I+=e((#v>j#qI9VA446&WLp zwgKTKvNxJXh{i|>23|{y5kZKI0VfLGjH^+@YnKB}XoSeSt<5P&l8nD?^T`7sEN~=P zFat72@;pgW+#*|Zhx@4XRXDn4nOt(zn5)4ZLV3~@x;2l_HNdlP$;GG^D{dcxgl@>@ zs?e=OJSUPXbN%MLs;Da}URX|-LZHkF9u*bQWlY9}PZ;txi25Egm>hg^p6)Xv8^8jqjCZ%;`2UnC~ynU?4ALTQ;VQj>gFQ~155(Z99u z`{whF|MWX|U-bns(^74fBjU|+Ip`XgHNc))Z#_HKL4`W2WC`}MnF>|*W=$Kg(>REHDd_m{AEuh z#HJB$7ZqwBa&1Tc>A?_LUMS9?PdtZ&TDRCIS9#``=YlcM<3*A;S=H)mHxh8Q-aJb? zU-#6%_a|SCPTn%OV5E~5)n-&n2oM_UwQtjE+VYE{uuKV{57hXPb3IjZbbfeht%^qWWMu_8l7 z7mQ9`R2bBM4?(7NTyVDsz>LNeESARG9fC~jxFBG=F$*5??#Hi%X+aZjKM zKvs}jtGXB(Q(v(RmENx~uCEakW9pJd@_}P-HvOL8+{qjLxnKj)2rw>3;uc>s`g6NA zh|Th8KAeG8OB&6zCC1P%jB%rayVB!tA_4wW{;&C3Hr0q*X2&*Oh$foCX{87DX4<^! zz=4|sQQ~wkGG}%!nAt%vlHPN)(BjwK;!QEiOcZY(p}l4~5-s##7gK`~dzVI*9|}gk z{e|Cu{C&ZMpvKJeK4#j`mX9g&+A`1)E(%RzUzz?af~8vlX&+TNA;{m=1(DuR-E`c7 zm_RbgAxQn{g6PB}pB`ciOr)*d_nKBHItDOyvpq-Z&$41^7yutY8#?4*1mVI`2o69} z*u(bcU}W#@0@wpOy#9HrV5GDQ0Mcv24C1mSE$(NDm6U1`e~j26Nz%Bv%|?dsOhPuvcaSg&&doWAFP&K|jQ0hzHp4T94dP_RkoFM0HI{_?U%nw>6D zT#iEgoX(hR5gmbC0fQ;MyEITnvJqHN27L&{e>-UP3KX zaD}-6jo@IwwL?RXn(X*_s(=dscN`M#4;-)_5tKL#-jnhxZH@h$hWzaF71qf$RwlA+HZDBxSg!HxRzn##RYJq z{&)pkvmL)ZJ8qh5w&Mx1c>gL*vATbi*eG;7RiUbQ>HgIhzVq34y(c6j6F+5&)oRHq zD$fQPfM%#Ihq4bqadQy?ko3G(%KK}LRUoQ$wIET~%4u_@+ij1d_Rgr!{7 z|7*T3NA>Ud_hDu9Oed55z$?ekR~qAyo>*1ksW>XhlJwYt5P4Pcq@bzm9V*k)vi-}n zzht^@x`_wJ1nA^HgX9+&S_Ht5?3(p19f3&qr z5vb|t(@nD)*hl8&8#K6TIEO)wdfcJLu3IwGb4l@nB}GykJ``BoUclK*rvT|O8}mEu z7R_e*X3X@Pzx;3i)Eff)qlE#_MO&F5&BWJ(96})Qb#=kFQieiS4ZFejMTHN z;0mMa0NDc#e^ob^N|ysx!TrOUh`Z3@{U`weFor~9{e2kV3kVn;m zRq?u9Hp1gougpy|?LT>xk3qnqIe7npMvbr9KFcsOGF3xaPsELXKiQq7YfU9ZDOT6x zV*3ZHJQp;N*kC;?u;jY1{~fZ>@o$t$XdX{8`(GrNXPT7rD%MkIQc}e@y~hIX0Z*TU zdv3TyJYjj-34e)3tbS`!n9}?5PyejH``y7~r?#n$T6Q4TgtLvL1fmVR@5wRN7FRQA z?D1r?Cj^nvYyI~sY5_Bx1|t}bsR=k+1K~}S=IE2l9Cbu3WXo(09i=%^dZUIVZaxx_ ztzeE?42Fq#04uhULlw0E42e1#1v>zb>Z{IC%i*x$ScF#t z#AYRVt4hulkN;K8~4qXM)yw+a+Z>k2H zw)~I=d((rrJ@;{eMuf)!232?HbPEZLH6X*R@T1U=H;^8SePu-n7eu!aiC`cIShqSX zAxI78g8R~i3hmIgt5Sm%!TlcnOI&fiUa4afD^i2GAZX%j_Hu{XKy!}NU@o{v|GVWW z*1b+pYA_c(ERpljl+OyV#QLhK!CVmi^k@`?-iE3}8KV{y4d#+T5O4snyOk8OMei+z zs*~~#^sG&fvPJNdOWwuT#N}}E^EF4WdW4l30rq8kFoa`TGv6K{IY3${*)AUh>5}JZ zT2WR$jFDPRwbyOH5nYG+-_W4(Nl(wFES1xHuy+S^--j&XyV#$*B52ap$M4NSlUz0GjiefkPD`L&UDdd1ma~7h+p|nKIad9 z=^LMT;?C1gMbg2Y1W!SLSK<1(2?9@YQ2eR62`O5neYKW_k*rU2A`Hwf$XTD0qYHA@ zCpr-@mjLlll}E~1pOZrda@Oa>i~$&Q!IXOr%Nr4f5=m9d!ih}MsF|ke5<{jo@E(k` zeY#4Sp9l9a6S0W$ga7Bk&Mg7SGR^=W`)L~A!Q-TS@ED79uRj{EJjmD5Rj(oNzhHWzBL+KU1QUL+ zyt(NXOv#nsZ?ju4tCdcN@oM@xHaOpX-eI%Y9I74ouGKr_19mvy+>x}|FFJf52ZTVi zzih|#ck4x$XQ^zC=v%7xZ>}FZRL95p?$Y^pt3_9Vk30TA>HK9oj*lNKFQ<=}gOBfS zhh>HF4pam$Is?boSLuR9IVQwJa_;3=WDiw z=idF^XTL;dmeJKOcA*G9|LjZRPF*86d3B~?rmUXjm~8%xZ~3t||7aweRVTJym~jkeEojeSe^gg6y1sbSt_vm$S%DQ7g4pq?dqdyPhrMlhKy zOm12;H4Fv6+7r-HnS$(v?e`!xR7=qH+9#92dUCsi?bQ|6*52VJL-C`2j%Dn?7JZgI z%T{?|7{)EhW-?UPCpp~;6|Y2{pCCNs7xHfyF{$6VnRx)yQ+5j%Mun`fW*fQj-R+Mq zy0z>x7s~&|dhscLKXBj>luwj^7v;~dnH?yQlJS@m&KFELBjX{;S)C|kJn-vA{fxCs zl+(_KquT2bYN(=)2?e)We9_Aq%= z1<_RATy-j_!B8TnE`4@TpN21pV^_UyXo+WVk2Mit*FVrCZK!H#pgp}PmGZ&$k?v9) zap*@8G2LfQ`GdsgC0{rHqtZjTK3)FiMpVH^Eh=$F7+fAtkS#@ihxkFz3eK@dnlm@Vv#nP(o7>4XpQr6 z;W=qZWRQk`gb1}4l#`b5E|G_pHMbbm)?QJ*KWIOIs{`i{ ztvOoMXYh+Tf<6yPNn8vpXd8#;fZYa{4)crVfL+J0O7=lo`QcK<#Z&=1sC_`mCz4JJ zm?T*i@v}tXj%SHP#fH~KTbv}BQ*z1u0qo-z+8aAxlFaE8Br1sRV-f%@iS3#>pR#oV zA4F+L%r-}_?@xyYL|v1l1NC|4jEZ3kNeZ;?5f_Q}_bUSbDFm=4#@jX&KBImJvk&3` zBp*b#y*}P|L-hN)FGBwoVmh(xq`|2ib;QJJ84QiUXAR3^Jcjz~DrtBObjhm#LqMK-5Bf?60{#$Ji z>Bwt0r!c4cx))DjPP;{|W}H))mq}s%>G%DezxKZ9PgXP43r9-*u#7+sk2gEKDID1v zUM~c*zq{W8p{j*O*!jkb&F=2DTcT@@t|`brTF1EZb~l(cAc8Q3noEmAQ?u9>I`_QL z2uxvn=p2reLL)jldJ#eLNU$;nV)D@NqWgmc=<4~gk01sWTM0?hh}6z|4o6DylB}91 z!;wUgnxtg0#qvR2fT>^( zl}lKkt{n z^K*YD+j_l3)*wd?70Y_41h1yS}iLwI5$QR2u9HF!u z!Ab$IR%|?kre1MEO|9Fq0*+hWVjR0_Bpx?47yZBz@XqG%%v{nutDTG2-yKgd7C z|M)-q-}ojz>B3e5DH@Q7Wz|c=2?$@&|HgVlpD1-Y?XaxfZvmF2tV1t)XgHKmF#)4IG+UHUas0hOTxldER0A)Gj%d( z;{RvwZGdh|v+|(fryzhMl2~CdLaru7fc9k0|Bs|XGTli?W=P@fNrpsgZhC$iZVmnQ zt?srNh?J$4u|g2R6eIz|2!@D^Q4B1Nzi#2P9pj)95>O&K7DAO@$T1f$Qh*1O)d z*1PxFd!O%q_v?FmHdN1d_C0IAd+)RNUcb+JR%Jx$8>vGbXBSf$k@_a;i1MYfpa7?%78J_CU z$hJFm5kBFuUerc5yiq0S_47>4aVMmwr3Qz`x`UNU&2fmdkK&eM-baJRndU^I@vMEU z(Ww?%KCu;PullG+}O1*Lvb%ONClDQ(mAp zyw%YM-Vnmlf+dCSRKbzXxGGl{>>F&%rR!*8-9U1_?rX<5w`-kj%w3;joU_C;hIlhW$hPf9&g@ z^DZSd>aEW|h*p+DrFp+YXyxD`#ZJr>Sx}RQ6dWWZ?yVCOM1hk!G2zO0yq@Uu58|JL zcjTRzefa0#9Ko4G_m;S3eemb#^AEtEM_r!kvD6RhH#>-jU#Jr^cVe~~Fub(4nE``K zoFKq(&@UR@0Y@1bzmS0gvL@jTMj+4{;&=v)FKIQAk5?z=S^FVoP|Y9APcU)87`Z9R zJ)HgezuM$t2&$n;O`ZMwR-;@jLEU%I3Or_v;}&+-lTR0Jz7Y*vYL>mFTg2lQ1Fatc z80|mP?k(aD#X{@HxbL8gbc<87KK1G?U*}CpNC2UNYqnOmP>@y{8l=y`LZisk@>R*N zncxnjJ^}{@Oyi`f0~e&NHNgWgu86bIYYp6)W)57Cwzdi$SW-qU>$nmg1sG))qqEdc$LE2gqM8c#@IRQsk zyP^P$O%iBb9jJ+6A282!F?>lWxKv4`bL8MBP=a>WWU|O4QJq(Eh7%@=ch;nmHObQw zwKlo(J^GE?qsQ9=90do433~;0Y0fj=pVHz5Nn{~AM^86sx6u?3=!HvFGF*GULqLo3 z=*P{W_*W)5>XE4bQBp9vQO2FPC(_^qRjS1lsM8V=#vyBnbd2s5umw%#CP?>T3eHOd zVXSK(UapxTJsHqtmJboP;4AS819^n0Df^4AC+Tu>3qB)_1z8-5ezNV^oI#{9N0svh zz8E}Q9_BN4#4H};!Pmm#@wgxJPRX=eM63Q<+#>ZvB=pyh(XmMG)063x{NbPZhHw0y zF)Ai+fZngc51qsHm;g8ck}fU;P=uwk#p?tq0RSpOfY?Fqv|Dv*c`*Tiso5ZSW;FwY zwp*H`kTfLxfk1m;{gyPS`5a>c0Ob=MIRLgn()p*{Lree^=0cWSRvqTTm;l(pDUp!_ zWU9(5jv7%nl|!IBwNf_&w%4^v&7y2X{w1qdsQuetz`xWnj|Fp&Vx|&^*k71OyiAc9 z50$ogm}kP&XjRQac&P~cCf(8)(T9RD8gcOJu1m5V33Frvz?*ov@thVec8q6A_U5?U z3@%k^ErQmkBB|3T8WlgYmjC;o`TigH;;~4oObkIdb6A#ot+}?^c~iE4JLY&p#y#70 z;{z+Vk_p~C)julxI3nHe?V-T3{T!p8jz&#oCq07SNfV|n7$YeRfdYFeS0t-N6HdfP z3Ud=VI1z#vMBIr`n6cgzyw{D+VkC7sY|xTKNvP{K z%$2gc9la!4^=5RFvvqpV^yDmf(j|AHqdAbpjoCjW z-E`p2SaNo^f|;hl1cGAUCjVmYkIs(T^{q^8PI=FuT96LL6gTxf1o@T(qf2oB_e?}Xgf@E|l4yPr{ zu(Sm0vE(d9LCbG>=%%HUOQ9aNI7w}iebiA_4qG?)6^VCKcW0f0hV=OYJ(uP z4n)lXY8LLfPjhX|r8)BLUqm2nJytplg2@&HWAqW)0xGE90hA-J_{s0&hGnBZ>5_GFl@{R(}^&58_MO%OJleDiEKJai6CFTe!%2eb!rMPxxb z&4Kg+OtAL+9o9&-HixDcPz9}?3Y*#KB4lF01P>T~s;$%*H=SFQfxV~NHpvsplX8-5 zrB(L*I>%|iD?^G)laTJB&tJ4_>Ovmi3L zmoy7LG*s$gExj~H21^Y~e@Qk${6%*h^yjf=MMU%AJx4T;UpF9`Aq=nJ^2BU;*k?Qb z_}cMlw`h*$A5AOchkyFN|KsBWW`=5zv8oBT`TX=g@%ydu5V#v^*lZ*x2+UJMnwTl}1NT}> zxBm8LQ+gFF9Kvz|09TnLTFwfY%a~q83RF8_`ZyqCdUY^s8q=%8Nz<5KJ%IL2!ZL)0 zoz!kluL=?tF(G!r)Ex$oemT-hXuml|a~G8D22+7r$#i#4zzS<3b_G~gTyuI*s8;w= zj8$Wyb&j$MU%hh0wO~ghAyc&(fy`=Ig30OZJDWq1QEDOh*Ag3+{}mICErEw*d1Q{@!X zYqc(j9BpgjqG{i4(fvxh1#;LmtSQ}GpfB#vD$cr#n-)yuQN3!~3xHixr>wwJJ_e)o_6 z-f#b19?NPi%mBky8AGj&ktYg*t1Z|Fa#b#t7?0~MX(-VTS!o}rOrWsEN;vG9Zl)r5cYGXv@=^hn$l7!r4{eaR!^q%zRQ(A_6xL`!<46+qQQ+mO)_QA>Op^u!{Vf8h7C#y@tgb_auPF9b1=V2zR z)8MK7ZnzTsi(=6G<`U|j(UON)`r)&~y8P{6bmyG#&F6%Tniw#m@Ja)+D;Z-SYMM4D zP#w^|8u)G`H%ZagqPKH)0Vdy~Ieq+S(#QYTudMc$wBLfMPl7;$aAZc0GGJS%KTrZ? z0P2Et0m>G7VA0LO_#7mOrWkv*79B#h_8>99TpZ43rfK=9CI}Iztw&Z?^35&e!(-FQ+bCQ|S z1D!eOOd{tXNHtnXx&XFj8L7_J3DmM%NxA@464NGx8}YbD-%~3YZx5K46()Tot8I48#C%HZg_6L<;xwa=F*PaRE@(XU)+#Wm!a&Jx9yY{VDQnJBoENt#JeynrHj0@_RZdoWj+1Q$tAyZ{qCAgeAbVm5Ls zC|*DjWQH6o!nhx{^a9d~OazoO20!mwr+tEXxKtASVwec1f-7R&up%PuGOEc1)e9)c z1~)UEAJ7tP_vFh;$5t<(O0Gy#MoF2%kR{{IDa=}sM29l`g)ABG4$2qgC?+YwmbN`h z#@hpLj+AC7C!lercyoGU^lRe%0aHo&k(L;jrT#*Zoa`^O2?pKvf`KFrJmGL|)PnBT z$u@Au_@9d11kYDom7l#%(D#WFVPt8K%P_NgE!L^GO40(+Wso$1*WD$*=bLhoR@)v!tcONOB#ZlFT0O zc=@WR>MDo{=ukxn*uX`oYS0C#3#uT(Dv4ZuGeW1Y6->2Ho6Z_p8fSW|u_vg_va zjCTmkml!6bztX02Me!B|0!WGb!Of)RNimf*G2=e&i$-F$!EHCbeTA$O+)1w#+ zyL;*C%is0Bg^kR6={`1}d-wZ%5}(YUxii)BPP;{|<((_eH&b!``0x0yzBqul)XOsO z#UiO4#=60rz~Ez!jT$qLq+%#@JX-t+kZ~&*Bbg&enbd{A=-W2hi-8RIqbV)n zBds_ZhMN_mDP`TV*j!A6Xau7vMYLRo)vbjXDH-QzN|~=j4uUC85XCktMpFuttjKEg zCV@aIoBL!+Q3u8EC!si?<*g(W0YzbfbJ9X_q--^1VCMN^qpPN~7~C`9X)$dBHd5E< zo93qG8-Z>45GSt11^L+xsDGLwlchuE(_&Zq3sxo>MdKkIGR)|}4Kb`R{)I$fX8-~$ z_aW`Trzh_aW5`a&E~>RDhJ--gzlkB+c?y?y?BaZPp~aZ<6!2aJu4Sf;!uc!DQjK9{ zT!)9m`t#Hn7MtKx4Ct!h5DOt<{dPYkcoI0(Y?L2=qK(-ogA3*UmFEZU$QWA7ALOqz zw@}B|_aqm{(L5yuv05@a|1W&&-~Qz{`&74*Y(VVj31C=?J-TdZLihV4rnWJ0rGm?p zokt$HcH?f3w6#gl)4vqlYN{4&7oE0U&;CN0z-CKMmtbuLbNit^`3u1f;86@Rkp#o& z)qH5r{f0wh+HIM^W-E@GyJ}d>&WW|qjX?M-{~C>r8%O~^JqLszm#N+YF zi2HLp*ps#rDFhL5{}JJzWT4c>JSV)Pit!|XASo86{th0Id&YKoZm{X96`lY26UKgxbx>V zMR$I%vYHK>HI*#6vjEdb^)nNhh?U|%qRg*Pc8>;$R1*`x1gjLC0%TuR^rc6`9 zA-tHen2*CKl=2QdehT>BpZlD*{;a!h8tQcNDSN(#f`J1np-BUz(gd*J;^RRpY;zW% z$)_MSj}-~k5L)Pz)Sv<`F&EM(R>MHDVlba#HH_0{i8@M7YES{!6}Mc7r3r9~wdWY~ zDMt2=V$+>?-M1u3NIEi z=6uS{=Tk1n1eC}6Q7OD>%eP5*#;U*i&+k8Tefu6~n7MNTYA8EY(c^TQ$sX4<#;SGZ z4%=&xn@x^n^f*g(mosX*qjaLj2|Y(_8b*YGaRVvowBi%tagM4+st-|zsO_Fpi=uX1 zaBNUs73VJYpB|%MDe7^jQa;*>_P0+d`oB@Cs~!Vniu{ZyPB_7%_=hpP<--`m<9Xmt zN)O1-V*&Z&HE`$AhwC5s*WU9x-s#?=dbMr1jy?#^cp5yc^d5=!d5ULWepaUr_=7Oja;a>=y2Jh@(i?lxarM`QF-E;3+)~n4YGnC z)k>-nJ_cy_q9U4U_b#crNf_Z-LP^#Hy#MXe?mc=%^VXmHin}W>^DA44DVoAvg4ppy zTD^k(eijz57PDAu3R5W$PA@&n08WV); zTRN_G$mccZDB0t}lJYRzCrKmeMnj7&K8Jp@ak%ywFU9`Z{<_2BpLDH0w@ALJwTiO! zLieCt-Ph~;M2X-YS(?oLq8cyNiQpbdG#TBarZ}zT`mh6%=tN)u&B&nr&ePH{7X2xC zjJ%rtu;qqkQU?bpUZPhBp-=uGs`+@<{QLzn9?rjkkc%P;dP#mBbC2#KQ;fODp&HMx z?TxytaDC%CnV?iyps?L9jVxz=v$H&uH;y(hcX;F$wbA*EHoqCP`FH=dzw`2k-FB*9 zYbo90vV*{SrBo!K4JpVs_vYP6M>%)Bu@<;)XPZ0e>_gk@NWf!HXPZ0eE0n3|NuTL# zb0-~#h7y&s{c*d;Y(S=T{T$s%$DzT-U3#{yfh69I&6A#yd-D=3LG=~l~ zHC)Z+#N;m|(XXe8T0hB9Q-kDj1K_%>iN3>jO=?EFIR}{TBDqDeFkg76sqrT=$`X)$ z=bz{yqYgE*-czRAeMt8nvn#?6UMuvSp6Xx|8GdbRBI{UivB<#!>P!bfDsd;aYxoGQ z$wf-#B9r^D06HNCb(ezxx`dEGS6WKp$hO;PP6d8kM_#N(Y(@v7Fq`$;GwVe?johNy ztUns$`$xZO_rc%jE%54NJ^GLsecNEcfVGBADtHxh;B}f+*#cjf)K&XqXPR{rjGW>f zBGeQTFPCgf>nB2uAu&7-4$&a_I9sxF*d9Y-RHy08pcJwcW|0)%Yl_7vMpwuwNbwg` zU{L&7l9gJ!oWTfRyM!zt9}4lstlOG{F}@(4P4dQrd^Mh>Sp1;a|Ep{I0T%Du-@ce! zeT7?%`wL7aIsr3G=H_8CA?^>aHBk6MXg0_Fue+I8MJde=Lg-$+fAwnZ;(xR#{I|aU zzy8v1a%6*Y%b9~a2Gv}*W)kKS8l`rb&?1ZN)L=`?d=;` zz72qhI{Uic^?U#7XO0JNg_aCC*8;jP7PaVRbNYdDU`sGUEg(-7aO#45`K#b=3oS;$ zEt#jO_gpa6GXX~>a95)|1(Ug84Bn1l$I_ZB0KBbW@bZx5#WboCYIOpr7`&D44!~^D zY}{6HWAFxgGjvuUe~QUb%l6PIc*E3SQmM%3DDs!3%hrlj3CRw?li-)c!I5L`NQP<^ zs8OW58j^=C=~?ocvD$pv9dW^26O3nSL;SbQ!P|Dt@y0k$q`#m@3Aq*M*=phV_jEo+_;K<16A;k1dI)HMga;w%-Bp>oZ1%J?;*`y&4(kJJ&~!T1Wtwu3jOpFOVWa(;=t^gWHJxQj z@1kpwe4z9tj5s>189f9Lx`5AHBYwix(rBy{g0K1t!YXk+>NDN0RGH@auUmhJlJ{+i1+#f6|m++b* zUW=C_E$soFDy*j=8ysG4_!HsV>6|R(*&Z=gok(vk7vEmFc-k#$qvhG3xEX)q?|;ko z*MG=cHS}g!Hn`jxBs5lvusZG0VP>@KxlqMIh4iSO`%$G!Dz5TDb{8pxL~wb z9?fq-N8Z@L=udbs4+79O@Q(h3$K43L+pI`XIk1oxcB2iXbv&X!f%y&`ut_iB0DAYv z`f|jT{g6jYs*r34v|e*Hqt=(?g?BDc#qC_`tk5$*1bo4SmLH*5Ywv`IU(bl&+F?wp&w7erDrnr9CzP+~-dz&`N1sOpTbe%jIb?2!AaH@L zpsQ2-{_x-g#Aa$=Qz#A4QVA&xlw_wL*wrakT&+>`reC%vpGscQ)hR>LBf*O} z$CW7PbTO_@AqWm$tyWJ&fZ5{vRA_Z!s0T1n;u z$`MHTk3^YB9!omN4=yI(BzOCh((2>b-J`oY#nC}%EGZ@^eT-?%KamunY|T2H21T_} zgbGKG_XoF!JZ!ikaQf#PIax)kMjIy9SDo9_Rj4~Zl)u}0u(~985X*te5@0b9ZLozbOWOyk5ayQ!W5HWh>DA?6HYfd_3&Px#O)_O-D0KQ=YSZ zo31=(`*y}zdgY}Sz)G9^{CiJ`F7SaL@f26xAyZ`Pq?p{mCg0u8gqe^2@t^+Q@d-0S z;~$cJ8yf$R?OO@l`obNl*LQo-OFPcYGcNsSfCH0Y3J&kF zb~Tl+3J%Hy(b}VPAddh}t9$B#)Ep*wz+fmqkui!6tyOKuDK$qGJfLSy4!tsNTVIiy z!vqVhE|`8!VB!#m*9ECLOb~5SnwC1DpH%Z#F37Z06+}Wv>Q2Y)5&T(np8(L({grArseMq9I>)FHV$a%4l6Og9MFIQ*PoQ``e! z(n{t#gbF5)e%#o^9=oE^*hO`0!8+(hPfxCXQA%jK(E=UhU$4$5>2$B12P__N_$L+W z3vXP;KdE$IK}}EQV8IYdZN8U(Y66|EA!w<&)`H89IBe!nBOsswUb8xM5tr7(07)^BM*_({9n6%$t6TE=lHn$)EhC5B-`~ z()4C@T^2MstIGld+E|-t6rIT9u-vs~DcVFQ@&K+;Giqj)G?`bno;n~Y2r~KRJ(4@ssikY?!~H5{D(E8%Yw@}z`dYk&(Y)Ef$Cqu{e#nhuCb0A6mJi5 zvEW~$)37}>&dsB68Z7l#1LG;Y9; z{ZGIAC*I`U586gyZh$o&0o0H2fUqAZmz6SD`KsyQ!pv=gOvxFu>Tsga&e)2(C2W?g zxB=W$91CBOnF|x#?^hbZ@6kftb}`cpsDc}CyhzS-b?P)*OFUx)nJ{cf!;lYU+Yyw& z#RS1ghO4IFy3;yNH^2^!wymY6TQQnZy(GUFaRW>eO(8(sz`TMmYp32%kfa+>C6R`Z zS|(`*q2QK0@h*a)U!K)sn5a+*Ft6dBhHMBKD~r4bzry z%?umFe(Hyx{-*!eRisXZv<5NVf&&PAy|~z?704c>v#5M!$z7f5inPcMAO@&Nv?(AQ z(d@<^m0c=bam9<^@rdp$5!@Y^50x~C2}*L;1Q9BUc0Qu3pcRxz$qucvW^w4vh6us5 zqC`qX5comu05~4DrSHoxMj|CU^lpbJf%s{Y3KInxiIH-!J2XHyzX7ni=ftXF-)brV2{cUOk2Ls z4t&uU{P_2sKDEN%G;T3h+0r*C&v4`o(pG!YC+12{%E`bDh0_Q@3{`1!GBG9N8M6`U zThEwnm&H}~%u&pk0USqn9!VYy3~nn}F=K|lHdZW|4Z@uA=3-*TY`;8k(mDiDl~Q-! z4;?dR&{4q8Q}BQ;vu4GVF*~yNO>$N!G`6Y7ROH(uQzlL|4&IQ@qWw2!%ueW_#`UoF z0_NTJ=;`k0oB>FJi!$dkNA)WejVi`_(5`W7mA0%|_}+u!UQ^Wmvjt40KDc*fjEN>< ze@)a>YTZ7AgRt)tY69!;i+uV10ZM2*MBO}(qCcx-&B?aE@Cww377Eopf*h?&!-f}Q zuU}CzRInEy#?o7N++q$xd+Wl}y}%33&I%+u(P`K|w31dTkLF@8ZV)7a7wxJ z-Z2k8()>8!Ji`ONz;g}SP(0x13N3vd{w7G}tF8$=0Nesfu1NrpYQC^NH6^@2=&OCB zr?t!n%97y$UmTVzO>v)AD2=ykh6j9s0vn@XIXcE#G)E8mXTj)KBGDt*+1h&~luvd= zg(({^&3e@&xu?H0SLvm}R(NT!RbHB_^DhmA?4{wyLNjVFi~W_S8sDdvhTB6g4Zcq= z4Y%VafLx3nReOs z^y_A`plPaHUmKKRsW$7xNeJZqxgGZ3EvV#u|Mh|naM+(OcPJTexE=OKi8*qGZMKU| z;tJdFm5=*#+xM4>wr_5Zi%pzHwZ-M6X<8e;!(qAYda;cq@67>Ix#Ialpxq%Jf4g05 z`RApsU$LWJ*k_`kzCcybfTU;SCa+HaaLVdYj=6+i_-mi?Km3AMT@{jTK4bxC zv-VbIo3Bot&IrC|CRlR`U?}Kx^6`N(!97|r;GZFZd)n+&+n29kXZhxtAjoahKZ8y$ z1k^6bN306MD-)~0ilN$L7v!^Kf(ZB#oa5yO`FAn#F33A=g8S{7h0pC%pa|$R8}juu zK`0ftWVjea=mjX|D~bsJ@oQS~5w!MGm6Orf9A)xI0< zyKmU{i6N0*ZUULWW97B+t0oGeJ+9T=FXBc z57U#`S@H>ozw;fxCzKE*#x=8F!YAOv4Q#bFR?G4gj5H0431W-`U`gViBmLXNZqyDY z==gA0>o#bnA~3-n!bL?DuZ@4&4^0hXf`|1^^UlZJp;$i`jHx5A4Qc)Ih)Qr9H3oMP z8ejYas<>lm+VmAeWc`$rh$G9x71JyvLT>FO_bb5BB(?<@Z7_9&5(1-OL^hyNYR@vI zjzFf(vJHLuRR?OXB?L`ekhh4KIp)Gh6doh%%gnyo-yYnxTlr1R7x}+M%kQR{bCRpf z14Oyp=(EVl>X(h%>9whGFAUL4GIxVkU+g*@@XJ&2B~4e|v1xWaMN$3n8RH^*U(aZI z3(@*g@xS!aAN#sb|CE}FSO3HGY4Jvo-3A3d)#M&wR2%d}N4*ROBFP+nqsFC=VHDEk zC|*JdAl@qJHS_3m7yrj%rFoscqWUd?P#V~5OL2XdN zD5ULj)S)F=`*~^?4Td&#t~!NLgX}jsj9RWa>4?Ezm;NS^%pFlam1qxyF{N1H7alk} z5qNm_M{pP&#VsT zRdZI=a;n9eL_>u1sV{B;rJixhtfsu`MOjtTT_v;(Mn>zqo}<+H2q8zeZgPBxmhu1u zR5(*oQ-Z6IMU-ui#gLRlcohzKX&;VceZ&5eB#kHBWHF_)#*dFAF8Yqb{>aQB%jZc+ z2&B+bwtasoN`y`Mc|5d~6tX>@cd>gj=& zNu*f>frcXN0fE$V!%}KOLrJ7r1hr8D9s(j)>vYwx5addvX@WqrDQfB{JVI$ji8M_R zT|IEh1c*gzngk`%EP~+hVZoJxY}<)~qsFuvDb&e>DaDqSCqtmsTbXPkEwzOA7?jnI z-UD0yiM7f4G4`tRWa59#;<6dP=jT2d|2IkmY?Qqa_7}HCB60m87nN%no?93CCXslN zJPE*rQ8OH8cu(oxL@?jN5hNgQL{1}1($W}k2VGBWW+LWr0|br4*LpadW(4ysz%Zp9 z&^z$q7l@5ZhR1h-rfZHU!K1N4Z+?69@ceR3`mK;ejr+O}5$eX_A;MPs5cQY0{eHG>>7R3W{JM0hGK`dhvR*=fr zBV~M)-JuA=1p`))%GeOd$LF>mUrNcosgIX=tZX&M&UF*tZcw-0Y&S>JY~fqht!aiAmr=CW)A|B;fv;M3Pe&HKF z?8$2d(p$2n!0Lf*wz1Oq`80=8zADLU6FjUARLW=(+OG>|ybH?HV^fp2OIDaEXf*UL zNR@0W0_TOuoPs?~M|3Gn5cy$^rkU(jE$=JJgJYdPII?&%yPobOx)in|3e1Imf4T1r z)8^An&Rk4ohu|RNYdf6HR}u+%rYq8xgZ&D4+aiszg6$i z?9Qm)rT$MBtL_F6jY?_=n=D3jfcgw)Z$eyGy2vcRg)Au(3+~fC=X{dG_u)DYPUbhO*L(g`k5fpb^mJd6)(T+QWKV^o}Rco zMdR{R>wn=td-EUqxKFD&bS<8lTDMXf@IovM5(>z9^Wtn*fNrcwVzdbm;uM4@-=c1* zH*Cd^Q8+ug0km)m3_46k{vjJUJJ9wLN}tGA(MU=pR4R=(0%u3e6mJg!{95M(I$Cqn z<<2p>l1RwvoUmlpMNl~LO>$JNS52t;{oaF8<+M%H6;x#M%5FmLwa)CS5 z&9OphM*QM53*y&K&4?uGcEJm~N#buY9D55jLiueGd~>)PymB~F9f)^^x5FpFy9-Ha zwr(CI$&8fx-Y{fdLVuGSg3M=lJ0OV&sSz#oK;{#?eHbzy9{9y+5HcUs(FkNdJn)M> zdK?7^kNQoS{ArKz+n<#LzWwr;b+l5jz#8?V=EW4VW0J#=d0Hfs9DvN@=FRV>2wf%s*q z2l2(kiIl>TzefJa_UMP=+o`1&6Q_#z)|Ze^<;5JxXD}R2;i;hJV|dJ`H-^V8EFL&? zq>@4fh8i~S0O!26S~DJw2^7ZXm&C(}OA+FRcpC9}N+4+9x|BAP?HXaO1Tppa#LcIC z0KnWhKRV0(t+=50)MWdAw=@15E2_o+d%so+^k* zJrKkjg#mg%ohLzR0uu!GfQSJK9ymEL2|8Q>=eUM>5G%0)$yp;v!(%IA%pN=UvEt?c z@{+tFA9@qS;8*y8v?f5lw<}URm>__B%BynPuGx~F&N1Lgz?HB;T}g827?BGPH%sz~ z4{Medf52q4wo|^MH_FG`BoS69>JCh=w-WL@Mllh1%V4B4Q&MZ%>evW{A9I zyg%q;WZML*0=%Qmn(+qJ(T<$|+qt56hY)coWdhEU$di2Zc#8@ilvlK2hhCP9_ozl8 zXgX$ha*&+l8mRFs=@|G1x?TQO=fJ%8v#c?)+XFNtG!|F?y&x57e#<`?zknrXWH&wb zZiqBMMGRIF_L|tIp!@ev#)VnJ|M}oWB#fJ4#f&F;7(B^cLPS=<03{PMkZ>vQxu;T6 zRsU?lWrm2{Hbf+J(DmM;Hfc4_P`sHLiu)h=im&}6pI8%r`o6TzPO%hp_J;@FyH})% ztzc4=&&cfV$-E-iNDf^}g3*{(X9rjk0#lP*#eNtS3Y!lx(rHYQvJMfm|92*sQYkJ>Go`JbW^v({e(GC( z=iY0^Wg$52?z1CtQu~|%hiNHh#diJ2e9PD#OUDSa}qe+2@StThfSr zXm{2bu#~xXD3}+D4i^jo6)(FCzU!M2E00>)5d@w#`3_~~_PRqrg_~1H-+>J-OHNjW zRXyboswt;-FU!8Viy@sN&Pl#*{zs*eF)SZiR1_it`Q~bWDI&@bM6DY-mrXI+-O!yX|Qma0zHQ? zwpgT3f!_bhZ#(_$*sM*`a-k-`5t{2#tg|0TJ4Wrb$9y0FUPRD}2QC;DXlOGMPboDn zMFomqUipK-zSU7qQGr%k2Q;vBm=)Yf!>tA(nA8*ZJ;S)80%c#NC{QHLN*%^7=9-#G z)kGiTZS<}D-Iq`CD}HXimd#sKM3#>ze`in49L>kcQybF+9Zzk}O5AoR%6iU9 z+)P&D)8G6H@A_ZITYAwQAa)GkP{sb6>dywx?HF1VS|>Xiybv)gJ8pY4co?#xGo&9!i0Qx!Er#M-1>X;AC)E_PTKTo8&~heDA>s z;}KCnYE$oB^>7~$|KmL~)}mT=$be7uN{mB$BIW?lp4hC4Ls?zHPRJTor#J@gEKqs` z{^QlFB|23K55*1y(CtGLyP+4Q!Ci76c7wNf8m0G|49+G zZ2S2){pV=5!3!Cd!{rR3hm;Sk7LiEXSpAL)W>6TeE0k?{9C$eZJxE1g}>GzXu z|NW#p$v5@!s!3bzJ+e)(UO-fe>ld13Ctpau4HA|g3c-1gNP4e{ylRC-Q8_en?`j)}8PIOp=FYCH_9ePn} z&m5c*GB72zQ`0o+vb7W^?v#aH`*O~Dq}o}V`f7FQTgg2SqQ_+Q0u&F` zDtJ#QXN4V*PfeO$+^f7pOxD6vN+W_%4Fmf}IH0&vaeo$qf@+1Oi`7Bqj+3PuVZDT< zLpjgSpV*tE>BSVr(>+%vnbW?szuuiSqfP9HR%z1D4JD9Q`>uL5=H z{VOLXy)%Wn^pYXO|Iz#Ysn7l&=G!#d`)*S3>7DvD&hZ~#erkNxh<$=a#`e`OP(AYdJen#}%Is>}BD%qoH z{($ad8KpW(LM?m@qAi!CI~Yo}Y@Hb>(Ks8j`B0gop2E-U1>B$)fbL+r{^cPB+!w!Y zi0J4e#E341XajK7vfiVrKu=q~EouGRL7c;8KriC=Bj0(~|>W@ah#IN|d`C2x0t(fb} znZA_pez+{3xm!I-3(sQgsY5Uc;9ZC$#QX$LzQYxaP$s$l(zTp-z-#PKyp~b{$dZ&5 zYhxwZ4*NG_1Bp~jNyZUmAVaSh`XE-jDD+ap?U%#As)jC5TGByQoEG*d;Mc5aDEyWP z(>&|+#4D}8@j1WtpWJiUjP|{n(kflBnP|Q3u&2^8!5!PJm{~&a{xH!xTq|U4P?;DZ zT0daGsF2#A?Fz*|Dy?!bxPLS+cIZ0(4iB!doJF>CDlHQPAd>7i09s*OwH8`@MJg>9 zJZd{Qa5$Zdp+jkchvila9q<;}=a$Y<9Lg#Qu5-yOf#ki6v-V@lb6F+f!wR?ukfjc9 zyu8sSi62_)te|WwN;s?;?+y@|%Eruw==Qgi%u-zwRUYkO!?krJX;e%SLws4hB_aG0 z^L(3Yk2h$CA4zP$>4>+jm5g_YV;k`+!BBx(fsb3fl~M|`qu1gdk)Q2@DQd(d@mG1H zagW$=7VgogVNo?-3QW4uLo%NXi*CexS@{?1%!*F3e07uKX3pEH(&AlB<%5HrkhOQa zVY|Y2z7lr{@J&mlnO&N@x!%TN7wn`LdtQ@nuJXV?D))HW@_p{{Z~uXJ@BgH`$I4D= zT{MNO5_V%?hPUW4<)ei1K49Q8EDf|{XEZlsV_UJzwwOS)s&LBwS<<4&%Ap5FNx%JB z(PKsMaKdbJdcBx$feucY+uJ~4Z7;e=r-x_0`Q;HYw{zNsphNI!x8Qh%HK zSSS4LmQP$N{?~jR)+#P9h4rxL4^=H@F0Tt;d&ZTim>!pRZl$@zVb+|MJG?@fU}f05 za68~WtA{I;Ui{h~ztW>y{a=^%?JXTdAz|wD9|!^L~XiICyJ? z#=w3`_kKa6)}E%48<#70?-%ov+ABZf{qEQ%lz+@b>E+(l&ckue`(2e>lHbd7-tQ7bo$-oB4U8)I+54TnU)TlD;*d%?W?`Oa?|1GR zxV^4{qM|Oe)^pdu&2$ZX@N+-z{XgJQSbveiCkL(pg%)$+wFi1Uwf3+kX%eC^)E+u{ z{aB&gZ`N8VK=a!Y=@$mdwJscs6s3!nxu0$|gC36^8sK`sy`(mav1QFUrXmGU&_KJf zh`T8$dp~q6QlQ#F5^D;Q(vwEg1!DpEfHPOf*>czLGs8O}p;O z!8b#`Kjc-!t&8=EwrmSm|2C-(I#@7NHt`I2B4`W?hVunYWo3FG7A#RiNJ%1Gu$Cx1 zpv%xO{dqjT1G4LNRkHZ!{?dou{dN!9^j)){&E7o6z^s`b&o6USVFx(Gs=}a9T3r`a z7>E*>k*BT$V?ncGQehYY1oZ&H8ZWvYi7ITrSrLbe{LpSq?U0mWRAHbs=6qJHh#fR_ z?kh$Wc0hP1^##mx?UZ<<3PUQs6l5_fASdTl73uQhU zQrzK{%J`pArEI~FYSS~&S^cshb&E>Um7#pnMIU3_tm)>SOk7(FmfCJmeG;%{)dk%c zbRAItm*_g;R3ohnRO>~Y37wW06m(PZdj$7N5KF}U0%i-OYq(%-LvTV^#lX0(miO8> z`S#Z0^Iev*4I=Y+(d*9<((Oe^MZ?Vz(yK3i`}FI*uUf-Z zb1yteN9+JBGC0snGl{3(QchUAfgy-cLCvQNN}Z~tCrbw=R|Lbl*jX2hIbk0hfo|wl zFy(}IoMA7edCbpkR+KtbIW&wgCkzo0fnr)C7<0lHZU{60d;t(qbuK35ginBVsNkxb z7iENGKjcn2Q|SZkmz{YTDJKkTtz(T(7zotC56}g!Yl1MZ_*FvMnzP68?jW7TDk83Y zkItm#%2Q7Gh<0|7M7L2_GT)!I0+x}9FFoacBU4WJgqC1DL_GQmUHUOA3nwhzA(upl zd?$MxZ_yDA$@t}wnZp#Q&K0G5bX;?A1#af1UGri$h|Z0AJ*!d>ycxi7@r;~~s_~qH z`*djokuD89MET&y&?=q;|VIC%C^hzz*A zW|LI7*NJ({i#lR?S<_7PfU|RSd(P3cThtbN=k)Q-q>tb6MgQZM{`6S-7}Ety0;DG^ z9CI(80O`pJ$Kd|B+JZ9;R;@299AkTQ_9Dj%qlUVAsaku!Amv`bi=#PQ@LH;KD2zKmI6HWUh)~bF` zLs!xg7)Rqq+c;t@P5c1p#JZcCJYC?@JOvku|M`0UDSqE`U>B56ln5E*o~*>2LB1@j zqS-mmO7JDESqVVmCBa-F@%VMaAQa^5kb!v}LE<00<-IR{XiUe!44og18g(3_7FAt6 zqfw)dt5F!{f)oNEd)eRqY}9ecZ|d9-#CM&dThwto0NhK7Y~4v4M;(XwPg^HZq*`kL z=!cFvuCU=E#f#i_PMzw+^RgRInLu(<5AOgqZ&yq@4&knbiflH@OI}0AQ8lRB?&(+E z9;=U4%@TEy?s_S2L8GpESMHPXe=8c!f{R(hNgvK!Bb(G~&tAk&|KL}B*2~@}u1)&} zw$?3-3egdpMDg6v$b!L11k_>m&~=(p&wv5yFcU=e2^2NVQtUa#ku|dN6)=O;VJ7Hf zmrhIMbh03ImV4b=Ih1(ulbeKu{G$Wj>;>C=pm4ul3x7cMn2lk{mu7#PtxI!%m8-sH3fswh!d>J>InbJMx{W%Mh{zr@y( z$qG{UeH7qxJ>S8>MYuWqzjrQyP-p=MGAkhzf!Yqp4nkmNi*f(*A=zRc!(k3T{=+}` z*01&wf;vT3LZ~hhqCYr>#1mkoLK81W4{{IWGTb->zdf89(SscHNuvij=xRm}60{a1 zxI)*Vy9`FkrgaW9-G?XWRqs47ygu)WkXM#`w z8E6jCM}1AzJ&9+s{1aeV)$Ih!X$Y*bmEBJF@Pg_Teo0#%)L7<#Ho|F-n(YR+r0-!T zWaAphxf-+AwzB>0JA(fkrACNWLtMBhbB$2V0P~C5)$*v*2!;oGQD^vq_p^|q2FdV5 zFA5U@9(~LR$u|sZhDUmVQIo)j$V*~mqhZbPOoQf?Q4>QG5R|r$sGuzD*^)f z^c&T2STj7;m`S=S7{i10wg$=YST7I_X>Th$1&)zSAVa~4l^r3u;s^qACM-K)o+7}? zd7>eW`8Gslh`RDyI_aI98gZsr3ixvL#0QCmEDl&OFDg2d0B%COJpua(-lTN&X{OQ)rMg-L?a%|v*Y8VV1?Ez>V<1fT z%AGCwWPX|bZN8RGU8wqh9Wz;tkM7#@Y!A@%V zNu){pNB_)k{(ctaaXM8)i_ zN|*zoDLP*HSei=isSM4z$;%M^ z0?Bk=TvNB^1ee_8W1*U7l-cc}%!+;<0Rqk_Gezg$G|Tmef9ofG|M$9!pyjK!dU-;q zg~}lE6uSfSc&R`}BV<)C#2ajiW7iPd=&~SPges_Vfi^XEO4aX zT}(l}BB12q9$`&D@j7e9TLiDDGytIgB0ol>t_&kT^+3G0P`4deuiK7q3I0jz%*a>% zKe8vMS(Oh><>2a4po^Z#^&?K*e`?jWEuu2ZI@~(g%*bG0^>7|n0*0DaMC%@U13fLt zpzo4izqNGph5eC7PH9aDq_n1NyDP%9Ftrw|&Wp{Rw=odBBrUAv1izfj`-Kt$p7zUe zd*y`~F^w}ue!6KqEz6vU=bwA&98CN9_d;qy>`#}(H2cUcn!T6lx9E}?9iQ;czv<;S zzVQujKr)_hoVt?dGta2qwaJ4RLLV@=6`lcM0vz`p1LKAVeuI><2yWIikEybl6C4Iz z1r&;!#m;dF&mbo_khqYVDtgM=T4hL4Aw_L7IzWh$gZFbYI+jMI=b4b4;IJ7Tpn-2Q zAvwWOXLO(wv%Tyl!GZF>BqNT;Zaz6DIQ9TiNrJ;hh52d5QZ~C5%Z!dK0TB5|&k2qV z!C71renkF_M!fmNa4A+&FUZAGl;+KVtmAa3_vKj6nXk3 zhPsw9u(o3w-hsXGwd`#S51BDAQ>L?1^iwDNZ|MbWT>G;kPNB31pt+F0+}3K!?SN5jPR&0&?^Hkk!!-C zS9j6ZOo)Iz=Dg@5bU^UateFr2SQ3yTK5R!`T0VM2^JAFop1>U?oeN&LY`b%RQywge8WdALIUK`tG+9$+_hAOeamQ{0Ks!nUmWB78HOGE(ZvL z^mCw64@5z@ehh|9p^TRD{rqLyub()87|L+4YYJrqHV}S)g%Q;2-yS)B)sGLT0H&|T z6K+Bo?2r%|GRQXU4}?YvWwum_@%W-5{rJMFRyXzWs!3Y?wdy9m-7&qfLVgGc34FU- z)y-#~-UU;C=PtIYFdz}!G+}P?xDLhGr4TB)<2R0w=q~umOV3@s+)Nc@oOShHdPMU7 z+(4jE_qB-P+^)~ZT_whN;koy}ibYB!f~_#kPFOhnyEN%e_OrwF>{h=@d+|giukEY8 zc=h5dFJ9e$w_J~0TD_#R8Sv#oE~FbI)gPj+|DN}L<#S(Meyac-u7Y-+V{&!DzMqz% z70E^8-h+`$>S|5K?1MnP#D(TO&7B5viVeb z;CPQ1#O7;{4m}JDXl3;I*dnrE{v{MhxoyX?0=o zl?7<_SDjh&Nd2f+yD|sj!KF<)dKP`-Y5GDz|F;qpT0Ok|3-pX+iQV%cVO#P%1-u$O zk51MXOe4uv8ew=_KV;Sy-V5HAaKdn1@mdF0m#=v^VR44s)gu*3bd}+H!gY=NH@+oy zh_0H3ht<{6pQrj(*n}f*z7<$mLWl@3YWNo3Q{GJO(vyf>`syG3dq457ds$LvWLR0U zOw0f{M%sELoM+2|k~UAvShqF;CR-K4iJQuqH#ESygf)=XkG+Vrk&fP(;~_|p#Ay)0UjNh%JxT6 zX0RfOK75j{LQ+}L@_EKPgc%WjXe{q=A9J~bwT?i>niP=fN8?VZhlN~G3C_?(-D5jYMbHYR5`9-5T2?LUEyOWBRF z{u2Q2KoGy2Z_IAc1g7X7HZ7SP*>;no?vI-cF;H$Y#GqYXXe`h1NEK@?G)}K#-FTsK z+AW$3jni+@C56VX{phQk-#pf4e5_?bG%2?AvEaOsJ@Y)HjQ}G`bT!yL90N8l3j)^M zhG8+F2Cj%@6^)Zo0!h(g4A;;xq9r_-#ZFx#Mr%7Xu1Kkbts!lxBnH&bQl)?gOmi!k z3XLeflme?{Q>!k#g-iBzoa{1sfuhU7yQMy-a zO%1LgOTF-QLSP+owXvqw(+wP+W%l?MzPNQy=WckI7hY3CNv%_84o~x<*3|ICbW;W4 zaSrvbBZuSW$2oNvd;LpY`}E+)^aJX2aPH|5f(ExUlRc&%7APW?`WIi4boA>!rzl31 zG=kK;_z7rk$jltpPknBPD{j^iqkI%Yd%Dq4! zIij-djwq^dDC>p&Q8rADECllYVl=Af+ScVz6}+sG80Q;vFB_D-QtqWw*UPz=wy&Dn z&#O=RYnfk-R^Ol;QRYIT%Q7?U?Zs~&So-j!qJZd5ICC?oAclX?XW3Tg_u<$ncT;O6 zwFctF61w9xlB$B;qDqpRygEI}DXT|0mL5L$o8J3J!_+HvTieV{q4-9g<%nc(lN{i$ zQM_HGS1{N`5;ACOYO@G2HBfra@I(N((XJRXH(+?cgn3rPm1!cy`!RE~Tc3DntO)LK z1&frkLP93y1;|4ILFO6`1y=2XeCX|BK-3P1rU(O#bvtuW=)YNBy8$yr(_@C`T#A5J&i=cu zjPJ*l0%xf`#P)Zddi6FqF(Yv9tShxLRaLi?pIldB6WY+#TT(Ft$>;K*x|Zps-0LJA zCM-{#WN+Qb@@>FO74}O%_Rl`|r#@{2RSKBqK{Qj}Jig!{gQmbZrB(n~CMPkYNN;ky~;{T5}@X) zn_$maNrAr4mD@=wOkR9ZMTo?#bt6&g)QC?+P0{dg*{xRMAZd`yC>BMnaRZ_tkaDeK zXHe+^5?z$b`KR^>{!QN}N{>J?W2iR_v<%)%pom(5uVkxA`0%r2;82kICr&5J#v*YfCfVTmc!Lw&@iqjg7Rrclqxq)>FpRbd#~@H{)2 z6bthi8-C$aia4x1rHJtQh@a%{$A?4hEFMiKWg2`+iCA>e?o*00u&0zCy*26Fa~Dsh zPsabPJf%Z;#yQZFyo(2_im-D$VN+yQv~e}QbD%c|dS@J^6zJ7}uttu3=sE5(V;W~n zV|_?~JB=t*B2+D-@ERgb>6O3u_nvrAnI>fC~-66)#w=M@lq1raD95nN8V>*IgkRx zKp0Sg7&Dj6W%Yw8TsD{IL`pesBx!N&oXE80`*I?m`|i(s!;7Chk`r-Qch8jV_zfec6O>j>=48j3xy7;_?} zs}R{*3<7TzVAQ^jzwQxC+Sl>d$cePaPTIHPuhD*pEOb1}QT<1qRD;d>K~>SEnP1)O z#_-D?QTnGCh1OH|ubWSl2wC7Bjkj5>VxJt+606kbC3YrM%#dSPMe14DwpD_DNfILO z5YfKKC#)j%Ff<6}TZa;zBpl-Y^6=EiPqA@caYH8KqAV_|POI3M27Em7w&D1l604+% z>hZPfz&H7xhAgmvy>Ct9o$XKZ?xge0PTuO2)6;zsQizXAqfs@QDGcl1w>Ev1PNTZ- zV3*N(uX_sp(~U#Vu4gsoIgsZFMx;Yc@1(_6FWtZT@^?M=?)Q1@C2e+$dtUiJf8Qtk z5ucHUp~yhETR~N~FkeU!W$aspm63vK`KmzSO%MoglnjV)U(3j3ls{dNZ?p*lqXqV5 zDX{~f-KUy@;@ z6=`{^AR7O*M7uu`Clmxnjh{N>g^OP|EG_Gve`^cH#zZ^RV{`_-?(BZfjKww1$>_OF zWpsjj5j$OIyAoPLoQi0BwwyfZ#s;=)*f@&U=Ek87Ctz$7xm-MLxveYD?W6MUr!C*--T&}M4}WtM zv;hwjEgwWqtUwDVZ<}Eaa=XoG2~s%GF#uyI%+Lk-oRlpfD>&PpT{Nc+x(NCHx*&Co z37!-X0j;&!lz}HM$S21HL1eCti^Sr#coFIt6ZCc)G!DYUPKV~RWr9bb0HrSn_zIgb zU$Gc;&`c1NLFfvBdPDWL%wa5FwV;g?x{IV2aR&|`&4ZZaWVNMl|1!Y)x>}2xL*)$O zYQVwNjQASrFh4ZUHIz4d+;!1dK_iHQ3f`-t61&sWgO)|m3>55cgCRoNM5qGvMm@Z$DD$E2iI*WRQ9fKQ^i={(Tg_)qY?e$DoqzW^^ z!Rd-rVO4Nwx*}Cr6-57?AfM4A(BXL%lW39$fTTr*p&C9shH;M`21(2yO+wUvx^77i z+nO%9?NYtU+ijA=0~_P*86Mb}?vGCB#Eskor<2ZeR4G>%O|)&|Fsf*7PpF8}Q^_g% zSS1UbuB!A0*8a^>zpGJI_xO&2@jv|n{GqMvzANh@{z>;A^fuum)ogN`Dv{JjRMw6A z*2!%EO`ZHxse(sknGtH_3yuCBa~s30=aPfIVZ_-WY$B^c*GZvZ&PHkuAE_dm<~^QipKY0LL1?@#=|w|?L)W7%bdcx8gn zdeiAhirHnKAhbP@WjU3@Bu**qRnAuelyRH6rE(~l4|*eohNLH7Es;xIaUfqEv&)F7 zp$+LR9x?K^IY+)6e&{{g!70ely%9{=<;nvxmR%mmLyxLD)kaO&ENVi(IWwF#sv=h^ zVZVpfZ_T2z84t?OeKP)Uln4o9Q)c#eh7CU^u;FRh$WDoDP8iQ49qzyIUT}TU02RhC z{Rq#tzU3o1eeO^w!}Md^caXE~{#8KIB#_ONoc<-f${+gufBo4B31hh1CG|qo2Hf(J zK57%jXq<%q&X5UHiyA>1Q%lBzYnL=03|aRZ4RCx#8q+Gc1#7?Lk(M-V`Oq|`CU`oM zjf5*=kgMise8rS7KEn2CY=wGgvm%YDtq6i+wYo<%qvjQ_XZh4E?xtSU7#1R^XouY1 zOg2$*_j6`!ij1CXcHv8&rMm9*J-CYpJ7q8CgfX6@5P&E;+&y+7g!9eUdBXUg`K^ET zFMWnP?3%u`Y>Q5|PM$|DTILPXg62|9AeVQ&m zWNpO{KkdP!EIOtf3<{GvrXG5Oq1>e%5Gt1H%>+kvOntpoe?&55Boahp+@xmFwJzn4 zo1$x6%~3kI)vdyTTRkh9o32bbXPl%>?D4y+Sr+{kX@tBvp|6g5a*OD|e#Of#yVNa(Na}P8?XG@|KJ@Fr|Nh9Y{=^^n?|di;pc&*mgOwnh zO1I!iuu@648tES<(2=7mUlsq`1PdDp1h+!>mjuN&%KAv+@0sjEf#HA&XPV6N6~#81 zAX4{I1UaIaQz3&cD7LW(mVpKEzqiLi!{UNcOsy-PQ0Jwi4*uWvV#GEU!Q&DodKFw1 z3Lsxmim7(!70j&&f}XFGNcAiHJa}IGhr|d|z*Bf^6j<7;5mZAt0+@l!>`COA2} zBZk7{M?-KEcAkahkiWYn5pB&&U5z|>0iCo~uOM5J`U&?y1JDUt%2%~FQ9EeszjliY z_EooR!H!zvw3QA;b2RMmC{?&$;h*g54x{b82Zy@e)uB`R!13_CuTwmg)_r*!BDDXT9}j4c{v60StZdkBd_rZ92xBu2|2AvDjPRmI*^(c&ad(n z)m(V&7r0=IMC9uU*39;?7m6NR^R!))p&3=1jj>aQHG^IPk<^MW9bfrv8Ke><<2^#O z&oU(;E348$6C~q3ay-a>M*v;^J>xw(pj4pB0k^e%<)wGit_b;h-c4RR##lCM3Kg(P zVpyh~CqX3f=oh}o(p@aiw7eGBEU&N7N!h=hdyyfOn~cj2S7(9U(rWDQkjdMNtgXiB zMdm-n*RHekgZ4$n9`+*R1iLRX|1qvuUgUu$WH9c~^wx2II`bsdCqbW-qW#WL6Hxvn z?DyDE6EH(Z>^Iq$lGhLVqI^JZJDv?>Mqoz@CyXH>sXjWwc0`Jz2!;Xs(iSN_Z$cJ= zqmbT^Z9l$H6!~U5BSmMP#O3I4#O2zdCZI%0cs?0ol6Q#LkKrH~V&d1oJ#dsrIG|1s zarBRD`w8o;k+A=1u}jx4lS;zzWjpLILtK1+Oefi;`tpumLEK*ok~JUH%!tv)%j&y# zG2#U~+a|`Q1PzM8C>Wr#1xAB2RuHve6Z2*2CYIXm5R`#&;hg|DfsJYh{=E1Pg9l4d zU$)wv<{JyXK#kV}hD6<{VOC=hj zcbd^w^r0$*N?GJVT84f(h2EC0;K9*_GC{z%h(Dm)80}6I zK-(b)jBoOi9(9M@!=lJ=zL67?D6-TwA)!(55_Fbh^%5iv;7*7BJmwbM#U?OmZt0Wh z&W&ttjwg>O?j&p{EYI|vy@Ku>q!gZ=NtuNKjgPR*-f??)8#vNgV7Iho6FJIgclnH{@Uc$TBf~v1k7);x>5V>W&5#`y@&9_vk zNrBni{an!PlkD5v{d_as&tLx=zvw5f#(^d$aDKr4qHm=$-%H9hW!Mvx!+AuCBwb8! zyJIm8soV9s^ix|E{q4_6F|7y=B2px2T?9SB+%w-xa@Iv~aKx6RtW9ue!WMmma>h>$ z57?4oT3NF4F5!pl&~0lmtwQuXRDsbRtMceO!ZcwDM{& z>w=!?gdhevNYqRGMSG5(>0~|V$ZRZe;z@x*ww%$iN?UU@CnPFq$4x!VvG%Jke&s7_*M)`5YPgx- zcS={%jRRN9Eg!i>Z7z9^wQnZYe$$t{;m?1an|yVV+ole5T5(P_c`QyFSe4M;kXe9^ zAng$YeL|NPa8gMy#o9Vc8`1j;WD<>FjI~Sj&O-zF)drd_lY=!5C{}5EIFrSvB0RbGWPdc#E}><}MT(TXJdSLo0CABIp&;>#`)f%Uel7 z@R=lfRFI6o!BI5OUcGX-JEP`VEbfGixP{#{3g!p<&n=;R(lybsT|PWsDRBI9B~f=5 zPEKY4n<+l&y~hG1w?oc5qn4Bb)eDe9@u_xrcL!EFyv67y3E%GTOf|}L9Denld)e|n zZbo_9Et-w;^i;_u-P}L$4S)T&f4n1(^k#I8vb7-~CR9+1S%}6c!y;;?{0JaHV|2pn zOsHkal<&42DUd8;a1>@0{&jir}OThRXY$@srfDkQ*5tQ_movr)eJ1o&fNlqcAHS_!L5T^QvNG4xyB^pC$h z%2NsoWYck7`q5MktPu*vv9?ZqQieOyN-#|5VHJ(akg5Pm0m$&>rhp|~(L`mq+pw*T zEB4TjMrC*aN)ZZMe1BvoqngQW=bS!+6)7mtqM(nO2t~YO2tsQzlwqff1{%oOCS{01 zB^f=iBu3z7t1_zMs0K8qa1>6}Ci=ytH9pzBXtkc$!&Q)fGL>b2{Tu&e-`QXPrtcG_ zpx!J6*rVQNp3=*x`xH>-7)ZXM6?VfA?)5cX_P_bDzxd}?o@7w~P#5$l{u}^>>s<$d zCox)q)eTOer3$M^y)C$g>3u=-3=dLSqOc(4l9d%V4D|H&XQ{$$#naG)SE?`*JZ{bo zcx^zBXp~gYBl-)qT;hjLphvXziLTpi!SE+4%mhajlPZwO1a_hWOf~RtqZHy-JztBo z9@0i`#(|rv2*Ju(K3obJ^poMShxHOnZ|oq`+(lISo64yO~ea^4~3 z5?T&W0Y}IE`H;l@<)Lv!(1O8*Vl(c~MW zki{SPhubiOOshqf3(+l%LNOm4oE3=W=`okD5+(uNvocAA2?>lV(6qV>(stU4Cp2e^ z?IiDX+g#+BgcYncn6{-hf)OSfQ22_powgzvI_Unh&;P)g=jj}&22Bucomhx2_y(DY zM$j<{8~}sy*dhp^MSIm$jJ6^w2&AqiUE@V<%YJ0BDvjlBxCp04upP+mfQ;U zNVuHI_E;@o6D+9ZypZ`ycY3YL6M7x}EmA$v!ki4b`DDngwMfk{{M+M{E&6N3Ihrl+ zqp`fN{@w3=`Ct5$I{s4=MrL_S`Uve}Yh>4%{Tdk%G?<}FiMOE)T}-@@8y~4ERw25R zgks_iBur>3lPbhU==3X09^L^huU!l%WzXmQVif|_dtxB_^J%oaw&FlKtxde4=}##n zK@igc5hQ2;l6{(@+jFK+Ob<@9y!Q+o06HYIz9fTED|+v$elj8%$gKq#Fcun@6$r+! z9~-CuDW6L4%a50azf*$mvmvs<1ne)fpA6#4?ON>D8%22n8?tW;TLPan$%(YX>MXEO1oQBY-u-BMqJq zHfc)IdEGsFc%~QNDr5BzeVwZfIZShoI6Tw~B+lt~LK1ufZD~yzt2DX1IIdZ7q~p0l zmuz#Mk*AVtG6e<6wXnp6RQ9xo8iYaRQ3f?o{bUa{{;fRJkc;d{HGdPID}R3Ele%~J z>O+ksIxV37P~%Xy^H2|P9d$;d$f%Q;2jLSM^C0MDjB{?8%t%~EYd%zYhnOi@V^CG( zIzp=?yM06Ag%42NpWB{k0W%ivcI{<0nR$N5ICBXDw2-}~`5lFD(e zAldf)h3U*UL7&6d(7B>O-IVfRAdgCyv%&dB766h>C9Wd~v^&J>2R9SAp~3+VuT@=4YID$X#>3m;|O!tbPWQ~R4Nc#ll*Anf;e zDcC!q`SbD>R`kpVcUTfgiME6j`WM9xxS(e~@N|NG6JSP=A%bL6i;6DjnGZZR`(t51 zKv#xZ78mr)2jBmVPK$;4W6~w`cZ?c=s>s#v=%dlDA_KZUepTiB?7@S=v7(3DzaZ|B zS_+%ERkmKx`oWZy{XmoskP3T#?C+hsr$yAafThRxPSe;m_C&pa&@GxkW{%xwf4>O3wmqd z0@f+OhZQI6hBR~RHl(juhnyct1(XEczCrK=Q>alEnhzR5w{OhsAAvB0eM6HVFfn;W zw{KW+xn-0i(O8-OP);AF)$JP+_C603#NEw`F|!Xco-%j>N=lmDX(Z%K(%4bc#G8Os6Bhd$Of@qJA zq8>@jz;r@Y%f93=Rrh)nfCatMTpcM@k=nQ6eho|bF%r_Udhl!{Z4f*a?LqL+2j=@C z0yc)KF?3|?e90KkwG8I;RCmCiKG&(Y0de9C?WmXdCa%rybnpG z7*%YFTAoA#xflMw&-uU~9k&{YT_odsV2^ctIUB1{0qSc&mKwomH88nTM{=SCZp3P! z_pMlsj-HK532;$zpHHwF2*YGWqy>kq21wK;FUPS(?Nvvs0Ts+dBZ*v=T@BAngXu$% z+u3wz)VZ7ACs_@2%WYJ19$-IONe@|3V_t5Vj_1*->hsM>R>SMo$TW6rR=hnxo00II z_66N|?V8DItfc)9l1!$ylIaFnLKJ-grEenGf@s%|80aCjaM+hF6KS*>K)V^V7d3?T z=p?X#c`tCotC6tC*$;8`5VNWWjLeEL zUC`NrIE^~HNf%P7<5tv!Ae8P!Jabg)F~3Hmb*uSRnT;LJANk6ZFOVSy!K%u3Na$r? z9E>v3I&NgZG!JjE@{vFBM&|$i_AmI+_kUtdy=mFSLX%R2!P6iE9FS(ltV-35;Tyf`(%{-`<)FGfd3>zw4<_{`Oz+o&onf+?9d_+a5r{#H9WTS&fu1 zkA5!R-Y0;I36*M+N1!saUUrz1+=;@)EY~{z3pmO$s!M;KB^0mwP!QC9O{|C>P}P1e z7_uXHs0MkH_?(p;hj^0;n7}1Dr3u%AkwI{cV>JVksWyYQEK%p`#zx7}T!L!9rnf=$ zo+lHkYSS+Y!(vJ#=sI0T&y=P8b>-)ubl*PGc|G3s-8w%;QMIO8TihZq#9Y_L+lrr6=6}4 zl1VEv@~DDlQIU@+=m1}lk%tM^JlGDXH#L(kD5+8#Cot4}NV9J%4mKaEi&>ULLFRn- zp?IIRCgP`?X+NYLQ>&f&BBn4Tp->w@{=FymxCL!3L*9jKSEL{1E zJdAXKEN3j<&0+EG!aYOA@Vcdhi_vyd^LXX08!?cr_GKRL%fI;pZ+LFZp&uM3CP-^@ zST`$$8Ub-r3fpG-vqKLI6zRRiPaov|7#vR)9s2#yc(Ulw4~`Ox4*lRHvFOkb4-#X& zy8H-GZ`U6rR<&0Bpw6~qarlM#==$9wf#UEm@jv&q{Zss&pZ%M@Pm~B~V$)=TOG1WVxS4i!}CR|*BicG4CAy|H0}0ON$3S@B7U`^%G48xC5S5QlN$ zG4O^DfyIRVyD*tmEa(z7L=YhcnX)Q>=jjg2C|5uQ2Nx<8d`WAi0yL@2(%YL!Y7qRI zjwnX8d2f&|~#XtN*|JEP+M(0h{_r<()GZC0=OnMElVqus@o56~<7M(SysZnshD-$y8jc#nM zl9zJDQ%5i+&%itmkS?=q0M2Ud+*gdYwz%`K-XQL1&oSCsU}VWYf*R8rZ9PpoF4|hu z{}cj?@hzkPNqM_yYj=R^3J_oiD3jLA{2WvAYzLHCk+hg2NQ$~FUJLrKISB@53AjR= z;!{mpB>7WoB@E}QAyim1-W?}`#ffTDQIHHd5$TZ?pdDIFf&7k_J{rlWm2awRVqsg~ zK(`BYq2D=>f4$lo^A~)Fuu_3i>7K78n89A^_Ae57t<4u39&DySsk6;ZSY8*eP<7XH@M}k6zWmtt3$NQ2U0h;p!0(6?Qet+{}1M>?7vdl?>zGpjB=+ zfilw}-8LOkaJwgI-+5Bf%}h%Ak>B}UpYd~J7A#CC>>8$M!Qf_-HC(`MjdjTF_&e~A zOH&6hZ0tf9w_tr>w`jr8F?doRdyN+UX2od1(EmU*0rPT8877x53>z!t*PU~f7MYnipO+<1K77sCg zWiu?-iF&(RJPKlFuLvUlhPW~vn*O={Jb=@c2FeX48#RKGPBTd~cQV}wy}sRcT2aLy zm}L3MdEG4L$AgcWTQ!=-kLK;?V!UX#>Ib!<8H`r!YRF(!OREd_H3Yg{^iR>=)}#8@ z^(3NP^R;a1;d5`fg_k{McSfRJmOzs(h2TdpwGu*2Nv~bk@CH(>q$_7;oIDzE3c~ot z>sUqAT$t#aL(Q8BHNWdy{?@PjiW^?dx>~Zdje z5s`5tnCe(oNkSt%NNV!Wlj>NfHCS|Lcz0;;Zcj3Uz)AKhl3*Ne51#5+=$0ixFxK21 zmKqWJFQi)4DqgEC>GnV+yxoyyC=}*KjXABOTEHGdu3t0+GSQx@zy;-~vj+Hfx$ufz zM}g7scO7;*8AB7}V?LCjPQ!pS(dQw)zNAl;g`~(I0ION~nKvgCl88?+Xv~OxnV~E* zltnCRfBgJ@5YqRBCFvQ-FG>-NIXy4l;dx*V>>02rD z#(r}|>wV6NiwjUMe2LizH0 zt2&bL9%16LGVUKR^|1Yw1hZ-9iPK}sh0XkMuF}!3-Bx^ng1KlrV9ZMO$_uQBE_!q>J9lMEpZ;4qEuCYYmmGy z*>MX>fpV^|wdkhDse zjM2XPQ9+*2lMIayLBwCJGK=~#mJu;x)!4%3WYF2 z*4L2&{U^TT$NuuC`|z!E3RLD*K`$bE*6PKmKuhlyH7$OlM(2dzmFX&YptMCRxSEY% zRG@?7B$5KH{y*65TD=$*s4Mx^vJ+86=W@3>Vv><)TF~D$=NJ_zpyc2z6_4@Qx$2}q zL6T>KCzk70CuaqUAV$4<(B!rDAS=)nZ557=VHV)%&L&1ufMy4gAp~P8C1DWT3ku}e z45|iZb0q(Na5zWu{|BdYB>#V4JO>D^;w=JKJ3}-W!zE{gG}oT)(Q0FH3JG?j1R~Zj7s|0KqdX+ul+lJ z@biA%2sdvXOL{zl*j*qpPG?Kc$mnc+EGgPG+DVG^jH+NAOS)c_-aTKDo>3L7V@ZMM zX=#D!8JS=mOL_#sI7}as7rr7rBNOC2a0ymX@+?EkU65wi1OXMcu_2he*sMsaTLqU) zUcx?Od`Lk~`HJEhnIxv57sB#7j66tEA67{O@mLesDa=i2e@XF-OcEHYGU^mVLE4)m zo{>p{53`Iq-R_u|O+S%%MkWa?SixBVtPF1}OUCI^F~sH5 z8A9An-4rRrOS}w?9jL)V z6#nucw!8x)X}V4paCRAP&t;f)i`q=;jHkL8Jk>kC?JxeszcE@&Rel@fNXCk(b>t@Q zs`lKMNWLwmdNO6Cm|ACZqL|vI3i^{Nqs3IqnBQ12wPszm8#MFBKpnw9dk`(1;c1uA zVydTIdJ;6GP^oLyw98mA^;pv`;6`unPEMxOv`b$(GbOt$?Q&Rcx<%i3gFNkmdDm^V zJ0(**?Si9sBx5qg(=K3(Yo897T>q6k?Sfg~?LA7xR8-|l+GVqAMzW4NLGIT$>P$V8 z^Jy3T{-*pOaZ!phRAxKK*2Oq9-Rq$;- zAhrr>j7CbEL!pUuL25h`ERiGxF$b|dG!>o+I&n1cKpJ=XiVR{+kdtbp>0eZL~ z)tw1q6ay#GARE5i7iH^$)OIGg!}v)}o1;YAUbR>xlZ1zjC>KlaV4FIUk*Nlgd~{6! zp?0ck{ooSB)-^$xEx0BCeOGE{f@Hiq;2T1m$qN#tOUoG~Ga9{N@bwR03p$nGPL zVgq_OyxcI16601Xyu3L-EAc*61mdns?{NIcyJYh=0ku`l?+cf4&ZH+ig?MC7!f zjZ&&A<|b=`@U%h8ej^xjlK^d!On{S$hv$ZQmh2=ENjh}QO?r|H^Dc9eOlg8G?}j_6 zJ(xIII;Uwx-gy&5iCPP%_`?!w5FFK5)G0yB+(30akxL4_M`0-^uN!dHDUQfU8FjYDb2ACgde-T%P?CqkWRlzciN_hM!ODJaP zhTY+$2-xEqBR^ZokiA{(m%M#2Qb&Zrot!K~FTuG zd8Qxzi8p=iXS~tD5^%4U@3cGe1;}@aQBUDS|r?j-bR3W)@63 z(fo2*qf|cXiWpQc0m)&%WrZIp4;Q57sDi*qAUA>)(OabX78jI&q#SyG;1n_Xr(3iQ zG%Heb_=-RmsNlNfzs`R@DNpZJ}ukMU$SJia)9wbiW>Pk~t7KxfX>h+{e$l#WUpDH>6AIm`a2lp(c z6c&E4>rA8X=yHmmSJ?O1KV^E|F6dB?d3Cr7JKLG!dtZ?|4+m@-wdk+9C_gx0)khE^ zG*7Rfam$@rAVbN*47x*>%hIVCZen=Q7p36@w|lcjb7y|X#Lu!dOPeT<&W6ZFGCb;w zBU%w9rFvR|%CC`>0+OwHL`WyE8Bz{~RKm|wW+#{=D9nx5Xj9U(K{7n*h-;U~W%3H~VJf&mX#6z@?P5sx*&x}Npmv=jT+_GCWf@r97RX#7~3 z2l=r)^w_thZsI@1M?OFN_V)0vf8(FZVLLAxR<#!mhwr{<{Kt6I@}dodw1^Vck;{P* z5<(6qN9?o#N-@bp8iXjk>tP2zYB8kYb_mH30^u12SqR>`&7HR~#7iKe4IitxKexmF z2&p1!iXq-5Ar%9k7*aCCOCAse^6_&FCP9~<&UPtbRlS_;da+HItSt>!xSZ{Fu}vZA zX|YWqDFoUb;`ML$i){=^x0}T_g`^P3`*S;-@Nuz?qhq$a#Wr34wz+=U4*TPLNiJvi zZ+lp56ZywhVn=7wlXGYxRE;` z!+JH$b$;&s?P!sDIv7F{zvF&(xXh>}(@N@BX)m6r;NpDMFiASjlCF|kBemb(B`YzV1v3Qe5oBvDcD@RIj_38h@dNK~B$bL^OF z=48g6u}^G&bC?-B21-?$6p*0_EiOt`K%f$+X;U=;HH4W$f8X-4RF5 zZ7~N?qhKq{Yo(blLxf8kgaqAA>sL8pd8%T6LjoD@U7n(O_%b!v@|!;J>wh*_ME*X# z`Av+fbPK-63h85Mo`43u8dcd>hVB%>0Fb-mYeR#hI2&Ku|&Nf(=-j_>#4NSyMBW)lM0zZyEtoVIFX+hzx&S59#Ah74DiS`fcmWY4V7{-7v`>=x=Ae^NX=>B?;Z>0^G&Yek z_Lo3-T%G5r{tP`AY#-G`!PpcX6@p`38Fcra4*U8A^l7W~5KPKeXe~)V|e=(#NfJ{B{ac&aW#AyPYL|`KbR@#)P%#|tnF{C#gxjI~3A7;gV z3@Gf`Us=_jUHy8VsvTRtPt|_olb`kH-xACor3g8YZiFHDUryLuJ5M~AegY!+Ks>M< z&_#gsN_a!^GKV1VimwR$K*dN3C=qpPrw|la!B!lcAT05-38ICLvJv|x2-8{gLjy*K zx!|F(gJNuPXh9VG$Dm!C^kYDJpfjwHs@7K=ocAlL)+L7r{$|4Fz`$QPpj5SGP4r(E zbP=SO4zE;HtxF=yq1_-rVClAw&9{fHtgeafc4}p85xT$m{-DHDyFu)Lq-dW5mmF2! zsy1(mu&Jx>+SKK_9##8Q(mpvlu~4^57%3lhe01&o^{jCvFM z0&P9thqi}(#K|SXke%GyDu7dT-nYk@S3@c8gl$ zcw4uK$9*L?e7*PozWkv-84iZV3oRODeTslyqhIZ^@)D+s~x@Q6wy=O!y+gfHTx3KEJ~4l5$y5_bS-6f^aeU`C@*X~E2P|G<-o zFRJ8gEz?bj0sDZV8+(K zHC&&LWW;i~Lfb8qr#L#`y7roAWh zE$?XlMWYS|BQ1%f)EB7O)t+3mzn)@LNzWuc@a*CPM1&EOr8V2^B6ExCGW3UvZSYsz zi&)+MY1)uC6|R9dixK(U;Qy*varQ8-(ZhIB*$5bv#3z{1;T0Pl*gJWNY0epv*@;-* zc_dE6v|BVg5z`y{Bip8T(i4p@-oJSJv(LQsossNZ(ElVL{I#F=?CZX!1%z8CA||$t zrnG!w8{48+CZ%yAwl=X1m5!ZsQ8rQ#!;BM6k|DgvM(WLP%1#6tA!?6z_$St+C)|?k zM4%Np7;NI`Y*+|cc^lb@IIXuESZCPW6A<4|IVqhje(aR;-)|G@@k3dP*+g z$<)VAfG`Yx89=A)hU+!66A=^WHoNYcIpG*1=+?Wgvr{_}GNKQh6;z;}=^BXLMCwiL z=LmeP!bU>Ujb0By7jvH>_((l)a+v%0)cOYTO?;Xnqo+~(1RlBd_yp|`RcY6oXhYK8 zA@O87{dmz_5pY!SjD%2E?||=1_Nwh6aCSdl8P66x7dBt2cshdj=Hm;r6~_mpn~7Cy zzQku8FFBv}JBk43{RQwU`wOozevV!q$B$Ww^biQ~W0;Kl%}(801s_il3XW zuT|zuEi+GsuDE#8-zMo8*-lD@6qB?mRK?IS(*O2+uyUFcJA;+eo!EIm0OEL6XS@p%6tF&-;gkl1JDF3 zu20NURK&apLwIOT0tT`J9&~58NrWMA|Ij^KayeL$flakygdwE*37G~|zcuFPHG%;F zVMG(UYe{Ab4Y|6#8H3dfN#He-?7}!eww|jj`aym5w z`9{@fXulDtCIrWre!anvYS?o*BB27~(-ieuODEOoev$u0YGIzbbY4qrX^EO5D88$u zApY^1x->VezP*OkrsYTMTWf%3GRXBbtp2&zf9bD$S8K#uED?>cP|*xa3Ni@hN7e9K z7;&Vx3mF6!rOmp85hodBtK3kGnHVI4>|kic>>V+@zGY;D5l7+!G<`%vfQ?rtmP%iW zC8ALoO1?!U`nmr$FrW}?yK25RUx_+H%8K7UxM*JF$xC}>x zH(APxCj=o9NMo+8V)4*`c_!UH+iiZ0OtW^!R#V({QJB0Pd-&QaiWmNlt zmP5e}hFmp=uEK2en9(qMbLvcf$wqm<?o%H~__pW5M9 zLYVC-Y!A16droOT_0bY$PjDD$V%*<2(;AT+^evz9o}d5SaZT#I$yWfbKvBPFPTsuM z1T>0*EK$o5$%RHRIY#WY%Fg7XNx`D56-Cyhrg9Nkp+$?4v=oxz4EbX!!798#vK7tmp&vm!Vl7uKnKda2 zGT1zlfshx3$H6O2iY`E$2}#L)$JU7N>UW1xnubi91M#Tp5{X;ulGe5!YZkU_I(oi8 z*2kQZ=!@B|nQstE|JffLlAyzOj-Kxjf@tHHE~P1Dx=L5J4Q*&wVkP}zV=-UmjjAOn z{jf86RCnqrPSY>?3)po%8*Ruy&iDqyJdd6MD^eJ@3!$*uN<&(aO9M^)ZIL%2*J4i$)Z6CxLqNcHpkoEpg>* zVlu8yKmb3r!%$895)e;;C`th~c6t(VD#RgaP0NpCDR}3^}T4%(@ z&CuA*Kx6wq_fy~Z#Szfy&G14zw<;LVM_U0y4u_%Xw^ZI}c_JNj8�)nBDpk` zHdhAo(q3LuVfUf&n+5Ll{9p$H4VgFe5O5k?oGCq^l22mFkBs z7#RxFsDY|;?8V4{01Rgv3PyGUSDx`sJcOsjn(y5c~-}BA>Wo{;&D^ zT4iGGaTPYt1v!rtAfa=FQesw1k=vE$g4k;@qGLMn&diU$J%0SOTQo-}Hxr%wOTYeQ zzw+y0O4TNJqLVV8X1hITJ|$e`9GxI~VJ(@|qO*fv%*5`81fb+D1lIbdu)Na5`#z zB`cV*%%|CdELYRlb9jzRt}!kFAenH8 zM?IfrHu<+_@~;;8&6VrdQ@Q@0{dfGDx(aOWX~Ev@&E?Cn*767`*?-_ zl1=_@d1O@@+s}|;mw(J83t6H~`Jln?I+*I|Umup&5TR&%%3&}+$2ak5icBq?kUF=F z38|ZVVV=XB7iTa9xx$k#90oXm=stXFINfaVW{Y?K-OtH5rC0Xgo?m?W!>|3N7~G>x z8{Oi03r8>kktaj!x&xI4`F>av`^p-wxge)|svvBH25})si|2xd?qbEwsv}5?=Yrsz zqg4qk9y*mVECgs9E7Ib*Af})YSVqG&^xkjJQRr6QU30rUYI`)0xix%@6>0H&MbJmJ z=RVlyY!g%cY6iJ3xI;os3c+?8%x!H1Rj=8xk(XrWI`qQausvM8qy)LXCJB;~|3f1d z;^fuA6%h2cqHDFufYKqgsQWABbjQ|@7W^RjMdAEo`v8a;SM~V2q!z^lD3fcL>DVMf2+O|gJP!u1hdVw5dY(3~pIEJgz_lakg+!uFS z{4YXIAit-~X#^*7xRR}D*w2Rlcx@Ajl22GBG0GEHYSq>tJyxY}Aa(Am{rNQI{pIP3 z{bejqB2l8`{2UVH8t0oyq$G7#4h#h979}-;}%9D&Jzl zP`x=JX-JgbSDOL-$G1G*ZurP8ngRWr0rdZkf9*f`!7mCxUvI{heVsw8UmMc_qn~}9 z!+~@EXvvQ|>q6@qLgx$F))QbGvETxND7@ELJOr~P9klq_kPaIB?CT8Mdr^Vz>s)T{ z&$G=mRbs;WKe&xmE7>u@^3MgC2hhtm3XpdpLnR`An@4>wbPio)8NDH-y_X+&U<< zZU^}{?4EqG72F_GqWqK1)WupJA?IB#FmpFA+_SidPmzg}qBM+gYlKT2TzA}C>;Vah z=XF45qMGmr$wZx8?1&oixsTq#@dV@o*2QkKA!r7s;}U)N8(yM-Ew$ zc)TatL+m5|uCTV`pZ|rQcp=So&^Pcg^7qjii?A4LOS)Ynlg_|YgMqG*xwZtwDi|aqhp3rK;+A2z~8H z^Z*Xa$%6Jz)1rkqnI;l2-w9W*v?ZD6I-J*5MWUQ=0VI@3bt~d>o=@qqXE`+gw9>no z(sO&1o@uv;w(%V=y%aa{mTpn9?fMbUS9!~;hpFoss67|IKtJYv2feG?8*lq@zxwpE zU;VLTupH~D+5TQnl5FeQR&hui0Th(slfcDyhH(dWJ}S!JfneshrAbkk>~Xcj#eKNsh)h)Uc|GJ>%hk@Jh6=eiyTF zI=Wm1G6BK>HP=G60o?_0)bYxn*e?3H%ZUy)&9-|rA|ZON@GN+U)^TtdpWXvpUwC?rx!o` zGr_>pN+U;*bPF>w(VSHjH^{$WYV#7PnD0$p!aY=r>t$gHo(UkT$~wL5R0mqu^<)vuj`B9I269@U&WDXeo6pQgZEzv>8Qk zx!0D6=y1MNKRZ_BGvk7I8c4tEgRaG{_ExK()Ih6 znjN|>bRaWzD!q$lkMa*pJ==$u8a3%IIR7?_%4YulG8tXEo+RoHcl&p4_Vg6HM$$dO z+r~!?T_z=+lg6iTyMdU}CSTcvI0?i2e06H?(}=$7sMA}5GkDyRK(efbKT3B-;0*3t zGDw!TDEkg3k}gjcJ(9U&({52~lIF7M>nWT5iZ}l3Q~zy*g38MCP|!GfEZ|}TK$LuK z$qu0xZ(o^NcR_URKs-Rf{f>N?N>JYSQu#z{E-Rik>g~shH0!=%6pq_#B#sUuu4 zipYeMQy-dU-38I^P$!olE<-QLT99Vl1rO^3Jqol`L1U5j9BI~zAbKr|x!f-?DYRKp z%(_dW&I?2x7;DtIb?VZpBC;L2Ov3q~r9!}%r^*wUeRTTmvgy0b_(dKJEUzxadfl; z)!;U*nQsv$#t@_6c^>G?RnMDx^YsJAqaUn$XRIl_CfkJ3K@L)H`_{UTyX1M!k9sL1 zS+?ZZWba4!y^Wy&>elpU;q)x5LXCcr{X>pIFLS55H2IW|Yg z4NrohRJ0Q~IO$tfs8yR&<5GJC&UK_FEH7B*wB=hTfLP|A`pS1a@!ru!#HH02;?lP1 zRYX1r*bnR>g^RfdR;iXw{S%HJpoX+9bSy?LLW1;0tMAH&(+Ex zUomy=Js3I~hmN?EpaL1BTyc$PUX)%4MqCQK6&4d3mmQ1IU$YU6xD-DMCPdD0zul6B zfJZ%6OmQhBF*j42lfc5a7c#}AyilbJva9>FCLd?pByPp>Z~PuKR#wzAN#?tQacDX% z9Dx8Sy!CnJ+k;wmVwq6)U`y0LeN>4TMRyrfqJ)f>DDR#KQWa-kDU<47#}jR@6R_Anxc;Z~3f%W9&>%Z~3f%5d*>3RNdcc z+nyCLYC;h%i3-H1n&wwm3fP)3L$Pg;C}|~`O!7;P{*CCmfw*A5*>&1OC14PC0@IL9 zSspqjN+Jkf6MZ7UJ)>F$U9B}el1wU+q5iu~@P$s~lEYo_s~faGLYLdoJ7y~1Aw(r= zQueUU8f)0$7!&1NG~8Lgx<{9H)*m$~s{SoG1+x++#u8PfZlOt1>5e%vh+T8Ks+c5x z?f+fBY0=Yp=#~|&u|f7knnZ=p*CL0kbE)HNom)H9aL~_D(hoiN>tTx`aK6ZX6jDFN zgq;;oNP$fl88wM+WDo%)O(0DvU_pI6G<#I!P3$ZB_S{sJy-_13;}KY-kA45w|Lb2I zjggdw;i)R8G9k!U)&lfTha5$VR0K=pk<}J;#CvW)PgH0rWTph{x@jt3dO667AOf~zdoY20$*qLkWi~8SKH$M4y z{x`nq`$VZOXi*$u+-zC{yO5|6Tmi)5&E9Mo|5Z0zEVfHxcna(J@Ur6tge|Hi`JTEY zc{V@?ffKC2|7azt09_KdnG^E(U@+@RFUh{5eM?X8!frSu(QZO*W|E{sD&Iypby%!&H5Q^9L>tM{iF=YQU1J&%UW{~e;xm;@Lt8H>mM0xh!K9R! zH47>5aovH`~lrn z;p9m9V5eRX4WFuTQtIRK0r^(N32S{}9H01G_4^@EpD?%6{sJ|o{dX)!Og|X)g!TU1 zj{V_T?-25l;QE;7gy$^TvE(2U8%ev}+$6V5eMk3$WWy$GSjDxOH_$C~v$g?~t$ z=P&EX@_jhqcYgQ}{M_FN6{*poM_syws(+s$hW2JQpUb|2=A(*q!QC2#pyFRIJvVqFZPHY4d4hS+IhE)m{c0$Y5j$}mt znIMR3stk%a%Y*|$5^O&`P4ELXrb&|d?tth|yM$kko6|XZzCF*KqCJR57a`o&8bm{r{yclu()`?owEPI5u7S9#l; zbp_@9iGSg9f8|G`@K6_Ol{Y#lfd@?_1FUStC*obs>H*auR8EYtR@Q1M1haNPS0ZRw z3ZmIit4C_(z%mz64y%rq&&vCV{1UVXu?fuUt+YtZ?naj-6f21!sv{f0T6rHq>JsJM z{V`d2A5o$&=ZKmdo46Ujx>DXdmfBoV)v1PyR6?ckit^wG)i`xMqyAebbD)d#IiY>3 zXo|X;BBLkMuhLAl!GqU)G~T(nwdQ%ikFo?KEzLJHMfw5@y@FKOTfgOX&;44cFfB;) z5Z!AP_XnW)R5(Y3tr`VJqDHjH(O6inva82ibq47406`s_RBLoP)s*p#?xF_VXgDpd4 zR#2gMIrR<|HrNyllKOK_8Fib*rJGcBdXubWI(|2cSy7EZ3_6SXqipBUczjQj#uHx9 z`5Mn2MzRMhpNpTZ8qd~* z#b6br#JptlRKHuSvj6bGylu|oaWu|liCbvqe0u=gn!kDyE>V5-e18t0xQbcW0lqM^ zU>9jrGpio%x}mCNSH=`iQ{wcCz8UDD!aIIxt59_j54de>lq?x4H$Js1$2WbS##Qy4 z)w{-c`^xdPy;nD;s`c#{S-wwIzvrL6?;Cz5RJDR(?wlH=o6D>~?h{>PUl};+f``?C zybzXIK_NDR)CEOwyXF{63X0CEQZ7^lE(lG4N*fCHWTdF7T@coaW3Y()9jpnSeT8$Z z@<&6dE2?T=5!pqg6R{#HbF6(xtVmVuf~d=ZI}A&P8Y=4w5`tC!2&K^I27)EgU8qxC zR_BkjvW=1(8(p2&tnx>vopH~XOBBO3*(-()ex4W?u15!-qf;#>s=7$}#RSi%JAJIW zKWHSV_6(W8`i@^&Rg0n%9eNr2Fe}MME$V*ff}*-tUr!{}w?|V9 zU8q&-sEf2(SNP2urrQx4wH~#uyEW?`;kkuiR_lNosMbLXK>ob=@JFZ+}ds*6{?Wa|tD=RXy=zvRa22QDvxL;HI5wPkP|C zAMB&ouWq4Yn_p9_^-{muksMW*)E}Zv%7<1*@(a~heeSGEqjWP=l6=yCKUZV&SoyzZ z(Q=|=GO-^eXV?KxRlx~BfWA4{0d0ela{z@1P{$jjGm`{R05eS++r7|~fBl{h!H zxV=`Y({52~R_4YQ*VEYID?k6&|LwmT5m#j5=+x^L0I11z!F$*{#z&)d!H|=Pi5^)ttugHVOML^a3qI`eK(Q%$&2CqgOBig+kxITCrlp}CM06BWjn(!R1#$^|j|L94qEd|01?5EQiBQlrso77YYd z9fctEfv*S!Xsu?~>rM_;k{Oflw^h<8Yq@n02B#Zb#MquGQ$K@tJzY+ zqhH}yOESX*L0HtJHBvbo2v%L5Dt&8>ypa_;lFZ*oN>P%pS-Qfa7R{0b<=~pkFiHFz z>k8X<^qgErN)xkhVONj}2am8hdcHl@M;r;Ctw)i5jYhSwsy*vOs2iq+83M^aU`UvL z(NnIb0y!mN|KhE^`$D-0v*J?@&GKFzws_;Rk={r3l+JhITg~fWWE!2$yVKFZnOz$gQH>g}btk!#5mi)pp&E*ZF668@bVgJ$&BMA{;77HIrHrUrYZOFID>T<= zeoTd^>I6|%WTEDv5v&qrJJdo5rvX2|(-p5mRMEAC-9(tB<7_LM?D#p#H1t9%l)r1L z>a=G0L@k-HFyOi9bI&!=WsublwPe0MC@o{#Df}XoF>5I3N1yBls3DDjOt*WO3SyPj zAm^AYoQCWZBw_diy4Ni?CJrxxr#KAl&R)Rd;04&Td#)ESAzenZ)v z&R_q!KM@>SMNM4$I);MIY6w>Z3j?h{UR(Rhf-n~ZW6H5G1g?!BU+*G#Kpso*8)?Br zMGz}yPzG)s$CR?MIoYMGTNhKy1JnvH6f;>_!7KZx;A z*8htaRzCSZ``_kk+0-N43WVb^LpO1LTI9B9Fb^waQKBuHSe8WYZ0W1$8;5u^$U7Aks9!yc42$#4eFGRl4K`{JgeI~|Vv*x)q(5lX z*&{QJd@A_(UKN*?5ScPzpex1S@c!Ie`W$<^-$- znxo<{3O?Y1=$j_*`Ef1v-<2SrhhSPRLE|Un&@&EH;2T>JY5>JM&>Tk7uT~WJ#sq`q zS%x{pj}iE$2m-$rIw*RNwm(MT8xv$BXYrg+Hbo{>b!dfed`ab^k_?VCLM1s#Yl%8( z+gnoq=of*C==Nh=LlnxAr$1c4J{J(3N5{XJ0-`H&H0R9q?Pac~-J;fkoHN(glezwv z|JmRE&aa4usv0b~6K2g@b{aQ4p8E#Za@JrQyz?KAzL{|eE*RLfegbHnJYX1S6F+ta*0rTEOcs+-#Tzo#T7A9Cqny5s zjecW(Nt?CNFDGI(9%cDo^>9#9VjuG8C|wv)mwjjlPJynhd+ob>A(x|j9b&I=R{B1f z1LN^m`xn$P2^u0I0&i`kyiO|KVh488t_lc8W-@iAE}&-koTduO_Ko8+rCxAk-BRs7hTa z2Pf1`gZQ-i83@#QgAZ`Nz#XFA8|v?z^68t2*X(tA{B+pA^UELno-Yi@sb7c1=(4r< zp%49#by#Eow;VoQ>xWv0d19}poFnTnuzSq?g}9CT6J8W${bR4?UVI>8(#bk!&SJ$b+l7d5Xuv&kP>M(VLhWt9wa4OcX%o>MDMIXD2 zn<+8)8FSYf(#ke>o}$nB5v#;z(CPL-CsR-(c4h{hZiaVr`on+s&wOLWF6VwXjO6+(^#flqn2Y z&pM3S8puxP{LRr@gn+iXhC!=Vq%kdr_7)-d&BQ(`!JNN|4k4SBb+d~@*ZG^$Ap}20 zD?MFu)R@w5SD(GhWr^=1G)SiPiV#U-du7w32mgCjnb?mj4U<>qc;Na?X}}*+rsz*0 z%M{a$m$HuVk_1GQ}wbuNSSVcV(Vd_h=@AB>vcig7FX&2;`vzEcZ0 zBE2D(Cn%_rJx>0AVlW`roW9*pnI0nk|1=DzSU)P$& zT%I6H$Uj{4Q!J*eT%Si`hB`R!fA8F#iS6g4ImM2JR;h^XZ+H@Te|ZQ|b_^BB%@sNa zv)d6GIulsCCEELpE8HHgV0vc+`e}9Z%o)8N&gg&gJHPyvzd35(O5s+I?WpWqDcoA4 z>qTWdv~1RmOfg%B<;MC8+E)PEvwedP!_iXcB(#EEYF5nl4V@v_h!z%egAk$-tn3>K zK_wx8W)s%D+7EqO_DxMzUmFOuUCyZqL)SMLD(qBzx{`f!d)EG)?b~@Yo+0~23wE1@ zTeAh5E!h2cKeu4Wv|3y|cY?QNAMqsmn_go#FTeQA#Y^{JzW>6-J;8Kzhv#G8=by{_ zzWe^gd$IB}FN!6?*1go5gWvh=-TJE+FW&y_J7d2W?_>L!x4tuW#&@gb9ToE>dZc(V zM3vt7yRUiQtFAEtJ&;$<3FyIeF)f(oOVB9kpoq;012x)oF)f%29)SIb1w%Vq_H(Gx z#k6297{ed7$UrxOIRPEBAAm2_N%BdiPOZ^0jYMC`=depYxi;IKutrJFjGbA&JZ0UD zoteIyp0MtZeh*BvTwq3ZfF_bP&Y+L5z!QnHnQM!PrQ*m-6-EMCv??RwpO$nARO&WK zr;M;NN1kQR6-QnIvV8tM_AMy)f*A!z9-9c*5=bPi!L$k$AW`~uI!H7v14*s*j+b5v z9XFf8+cSmJZqXdf-At1B3!nbL;+H=0Rj;}OG^KzkEmS<#Uec@b@%I_Hl~FSpbTUBdWIIY0MJ*&_d+4!*y|46W1F8N$J(iW-Zb%>KQ|MdM?G(PDi^Y56hdv9mu>&cE+4@^6$F!fCB` z(k}7>ZFw=ro5e)vNTn5#=@zFadw~gPtaGF!-j?>prAK>#2?RhY`3|7bO#6IE`G_AK z5DA`CKHK{Phv8O;z0BDwk{b*TR>?idkhNrbx);b2pw5cm$6?!jz|!NrzzX=k7h6k6pQmBc+sD{}^sHDm6D1 ztzW7qlbb2q;a6@p3z(qTf3sZRNlR`y1gc!DY^VKkzK6X3dVyy;@6Q)LAD`Q?KQ36_ z-0T;4DAVcS=TyysHZ)IZxh>8&hZURMVq4{6A<*uS54c?~wz-?o=Cs&yKK2Rf1|i~| zCm~SpFX`A4W^A{MZO#R6SBq`+`yo*8U;qB?Zn4Stf4f<1t6Vq)>is(xEdLyRyh<)v zuarY_QI`dyxO1SwdE>#6QoQSQ982*5N+I7N6@I3KMo zi{o7`hd!WTk_w_zf!LW?kuQ@A;wgdCh?f^VCoOp%f|*Qn#I$+XBbm+CsR5QC*4r51 z>PY)I3|7W<62+!p;qr*D=f}o$BW+!Q3G8OL{Me&@S@B=04{8>!mk=0^*TwGkhFiL^ zvAE!$zo>u(LwU1t#ud%v7&Ld`*K2R9zmSid)#fuYl63eFds6`@Z{`_ho$g znfC?6d`G@>6^@Pc)f9XhKWhR$4XMfu^cxr|cGq!X3929NHfF|6ZU#5`qWAxYKl;;W z^p3u5VrrQ2p4q9DEiGnz1I(c@XxWx>z9}pp+hm|>y%Ef|bcbR%HRGV$wq`urQq*>H zY#&!-Pu2ljdJ{ud%N6S5&&tRjx8wr^TAPRMlKcIJ?H3UMFZZ4P+Gz0*p2_}*aT6-p z_P8GP4is4#DsgW;g#vldpWuHg;HV8lRr{CcOXt{H)Kf0mU${&bfoVjwy6)ZwS@eya z2;sg@JdYuy=5D9?s!(B5xYM@M&{RSMWWZX^DjU~&wb&mR$hwLz1(rwwoorX};bTAT zC#7N1yA3el6+L712macB{nH;4T3u5UZDlgbkvN|XcYK8brcExD$bu(b(XozT971n| z7j1TyA+rlc3tB`9tsu2V5yWI5XblACK%`s2oEWwYG7i1ju!GyBiDAwz!a1VWc_TCA zDnZ_PKQySoL@N;?AqAkwqJ4$Hhg#hQ@z;9tuh^Z9T0I0MLMoDgO7Uv|4FI!8EL9y_ z&~TSTEX77|kVJcWG`H=>7J0hWIV?WFrWHf$^pr`(VAzaYU% z-9o4;ZFHS=V*}-Mlqpi$6<8&3bJA_H9lDJv;*9-3x1NAp*x)4#p(6!p|F!Orf?FoAH<55D)?e)78lE>f7?m7#9o z&Y;USoIS#=>_EhK*uFBj$OYj&q764y*ZyE_8bXi{p$neY$a08AT5*9|C){m^@ilN8Stj;Zl( zLXgVP1rIwk$dnb4m26iOW$2Q;PvTy~rf|-7^=ndUa0P7&Vymo)4o<2zi{7SlFQAVO@OFgw>RG(NeBT>iAo{gLkim=q9IdqCvK|@$7 zg9k-moj%qT614}Zl#6@y5axRbFC=&{xd{~5)>2075ubduVk5gW zf!pNE08sZwU{R*8;4!j^<8d#=o3YIW#IZ`iBLzpowHR4V(F~!{#PjAAi2$ zX_8O=&;GahS~k^X2~f!kI2g+l+x2NZ2s z*cEInzE5r*qsA)2hCqG7^2o&g5)sGwgRyW{qjTYCht~&k3?92!ZUeGQ6>xXRHe0f3 zVh50Upo-H4-!zbdY^MXZbQrL8DmoGPGS%;w?XlKTT59 zMY1eZ3Ca(VA29i@WVTNCipAaQmn$dScWJqc)pPN}>MiOXWK5Z^zE?7T||6rSr{Q+%A)sH~JRcyW;41ig{ zTXbeHdY4`<5|tgEdca#$Rnl4ryjMW<@OGfiV@28y|1p?Gr_%;70<&}IfVZ&28iQ5j z&__5k&58kUapk>|#rD7fTfqcxAv=Im(_eE!JECUE1aINztij8dgQG-1ouc?T3EslZ zSud^Q2{O|44~nu#W$Gl9eQse_dYze*Ruib-x=b1>RKT# zO9%MF%5(q#!(!bLO~6kKV_q4Cex46CuD`t_=n6&W5;o^p6>Hd21T5zJdZ}1;%SUbz z4ez74MalZR?Z4zqyAA+%F!Qe;q10WE+!^%0Zm*jAC*JtI%Qr;QUT;R5r?P?e%$%>u zR!*sqT*8LPM{BRimtP@kR14-j6)S-RWB|Yc451bbLk@>t3(kl}>}e#cLx&-kbdB1` z<9-E_aV;2z9OsBGB!ZNoBbY=nZsX~`gds;l3-E_z_tEK#wzN8Q7;*+3Th0$b9E+l* z_8gNTha}2uG!?%+A@f%+nG87#5Oy1Z>98iL($&F}A;+4>6^D1@=)hw-YlfS}OSH!r zKsZH5xu|)~$tGX%3oTI(K%>G+N|}#&01uoX^#GJ9Vj~SM;&6%oZN5%NDOlY#T73OM zbzTczAp=}oG5MPr)@`Rk={%U?UnxCH@o$T;FfmpI%z`M?JHUO72w5t(BVZ@sQXveI z!cY6lcG|xIY!C#W2iV|zb2$&vUT00FQy8%P9KAdq;orsPLzwlm^I8+U1}bXv8pf8V zXf{cVi$3(e*ZMi*g<|kR;Q+Sp(Xd5W4mHjr-MX- ztWF1s1X-OzJ)x?SpwF>hy%zPP7kxHL8-c_E8R$UMxJ^LjNQzw%gd)Qu_)D zx>BdeOn?nR)mJ8aC&?(8gp%9<02j#>=hf8*Z`A1kJ3*MOexAehgnWC3=?VG%4AT>; z8?@ZoaM#_&X8xt$UfrRMm=atiG~nv|_I!&Tmh#}nL8G~q5fkihVu|VZ2B_=Ch>cL8 zzW7kSxj2^vp?h6DPSpKttKqI)`xm@V1Q;16rV<@i;zEW>S|4nL3MLlrRMz@@+>+K8 zy&C(IbX&p1TB#SkRb)Hu4=Yn?{l{<~=v9NkF||GigEJdK$OZiYok77g{sL(G+x|*u z?e+bi^}~NSoA2jRUSs@e%J0D>dUDF=bju#O`*Xc)&47&L6-!=a!h zlu_f9v&S9}6%mhZ%0EPqlfUZu`i8@w`aA|XeRK2WDpQI`tjcuCc9_sQ?=w1L2bT@euZ)!2EnK0hV2Tf6=}g-5L0}~zC$c_IvG?L zho%K9f~S=hjN)94(H?@dU@nLh2}U3YiR8fkS=FJbqFfNDCyNbm=shMgR)VAGU$IMS z>T0UggPJOZ&1$KE-vFd;m+VAVU2{?OMN-FfaT+GToK}(u zg-h<2ynS4A?a;Y>Mbv{-z9PBCJZs*_-4YWqB^#V1>4CZAPFsDjgU8CoP$$Xs055ij zH7Txwq@XcL^NZ3GyZ|SW^&lW&otO~Ml4m|fADxW5c2vz=Z%eh8p$u+^AJvq|X$fs0 z8_K{-0DRjXODTD=0|-u0hDhE5aBV!c7;p zO<$_}tyo-=J+#VJn+ zI2|g*kSEcjT8uz<^|lhWbz>c)^{a5y%$G8|ZeY8DL*xIYd^f^+GUtxZsb-paBMb()O~PKO-}P#7Je`ldO@9HJqO$p#EixPU~JND}9mL$u=tyci1ZRxG+E z88rk`0D>67`nv8`==RqL<^bdgl%#1}K$wTlg%rk#9RZRk5fVj;V(Z$muLBSmke%~g z_Ewi2rzt5?UvtkBRprdADivvx%(rKU5y{G8LDsh;nePvdt>tDX6lT`}@2GMXO@@Ze zs?jcQXCbI)*3r6So21lbawDL!W7gOzc5aF?klFZ@SLNsUrtcFa1`*v(zlu2+IV%`Z z2-w}$yVyk5^n6s;UWpN1+Q~~!Rn^m$?^D&E{2Sl$p|=E@riFOU^0%@)=+iZo7HV17 z8|&^J6?^n#T@b{1PVr?KE!-+mS|LbXPz2F%6q*Qv;Kf4&r^-HM`MV&xh^ht#1%gDH zN>IW`tG7i*eJ4w-nX`f(ibGRX`-(BB9Xmh`RVzv)Wh)*)BNU=N)R93eu_9HquZT8n z*3k!JKvh+Tpr~q>i~;O$k`>oUlJsO>JD1=E5%Py93;{mQCZ6rHMLYvy`ke<#3>y5HkN zBMGhDud6fja0ZUf{ZjfGkARV~639V>0sn~O)>j|2d+S=cPa~1nMK z%3dM$p)*v5;z6`M6u}+a8&q&!1(l)qphixJ9$W5j1(l(sfW2jL>GHMHi{2aY}aUz8lq)d%PCU>~dMgW0U#o>@0dKb!SOG9vbW|1baG&p#Eo zfdUY2)(wtuoK*nX&iGZDbr(bx{SGEZaMsTF)r=wTmn-d(iIAK91}e0%f)Fv4OJPaW z(+@$sN8p#Rtq7l&Qd@@r*3Jr~j12LjqQ!DcXoIOfN(g3%7f1!UM`Yo!U1mPVQ7hOO zzFTME@c3aR!N&2v6y;*Mt!e8F*Uih^v` zgv+akNcujO^W1})CJzUwHJx9So?wiC6G^&}ETql9{mjSc_E|X9V}!%|W295r;<-@Y z=<1Krv+g*x4iy}!8BBR7FlA=p+yV;+B*Wv=pw1Wz$2UQp;eb0d{#)|f?q*`r+HP>->_JpLGG>#^=H5v*N<)mN3eCF{)~59ryLo+ z?l7Mdv3-KOb=g)FgPkX z9f4j3*8a0cuu4Z9!6Q<$g+`(6vBhZm&q07z;&hFMx!jcq+~0IUwn!opDW;1R19f`QW$ueaIon77?b zXU{l2pn~lhh6ff1z(2=cz)r@Bfz!h?+G7-t2yT&%Zv+FU2jO~u(CH6I#Wypyr`t3! zGA#vE3jmL)-^C-&xrquLY7&;e*K8I@fXI{Ld zZl0F2G`6*Fs>KPah@;(_d+u90+gd-hvab|>mIF7PYA z3M6eSV)`Z1qY%^~LY1HZdM3#3c8H-c0qX#x*sMqk=7+`%JJvgJMM+6lD+(m-E3V)( z(bOH0qg8TToTEU}E_gZ^j=DXe-lP68!a6oVm}}O9U`6ziQ{q>wsH|g`L`8@m8|4}8 zg;c=RB+DGC(*d1&n}aLhYLf8!k^RRN0#TS_?5ZEGfU8R?F&mNxWV&0)e0z+-4M}t% z>>NGcpW_JwIFwr;5ORI=>INNApD9-f0Kd8zew8M94jP$uxydxc`rQEEO$Ba|k*p2~ z29w%{m+CnB;^5<{4tYG)rOt(GcK?eIxfu+ly_8bK*f!A9=1NiU2dww35K{-y#r|w_ z3p6Q(sCL!t6fO1y z7m7{3!6ifn?=8Lhz!q_JQ_)_tiA&4p9BtO{7Ol>h%BL^lh^gzu(-UZQG>@(dN0x6b zDdU(=e*Lqb^}63v+Vkmq=?J2|rI;rohin5@~VIY~^Ko^aFT3Sd3=rsvdRDMhl@(CsF5o2_4v@!K1k)tZnK8~j3oD2yUMu@`t;TS+N?w<~$T&=<+G z9+52TNM;kgN5MU=5MD@xdL7ApchFyf-A-vQmYpA@X*WAMhbjsZd<2uKwUk03DZgmV z@RGj>UEUQFjjJiWEnN~*xrqhiJf$UWbCvQPG8~#JMyf2+^*qa7gnd{=mNsFiqH|SC z-)bF;nxy_#dzV{}un>KpEF^U+(f)K%n#)+0{Ew z-t<`N$7`@nJu3xPBWVE1Na1Q~T{sg|sQkuT|H?5Fzwhfl^PB$92o@B3bTeT{WU71F zu27K1H{8CmV8I0s>n#fkQO*KqxUK6D-@$82O5F=`I7$?V6=^1X#XTl;Y3gddCh4*| zG|hwyg6}|m#Y!5o6>33o8@xbfi&i02SLhOB&UGAG911^2^a&CDi%}gU9#n_sL*j>q zDFfMoD=yK>rV*?XO)Lk}w3%{et0k*MQ{>II8}cJ+Nty{iI_HdO;;ckT@q09h;6Dg| zQN_vg+yNSF*37pDa^xZN8tp7tNNeW%6WKU)25%n?F%y2Ck&f#~jw*juo@W#@USa{v zCRi%0nmeny8yPi~d2D8OyTb2ck#o3ko#6uQC_KjK03vq4pL#MA%D2;_1KWMXeZO*a zFm3t1=-{us@mqiMH$O3=1C$%=o^EN2fuwbCN1q|7eqkyQjodTAB8vS42V zuS);v#yr>Z#l`b4y!hOEpL;PzNtTNkcZF_B(PQOmckfESTv@R1u5g!muH{mb%N)~1 zfcWD-_@}=5rHK4BXX`GYZlNl4iRn!00?K@Ds3!Z$B7YZDRLKHF1TAt z*IZPt04hLGTb&~vo+7w8qOz4GE=Yd1=U5e_BJL;f%3>J){(4Dqc*>efX$eBfH8Zo- z!6i-TlE}!ikq`l5o^K;bHSLmUox#W-Yi>^*UtX`7Z;#z@CO%OxTuDxIw^GZY;?$}? zorjDUH_QF$jMJ((LhCgtv?1^w&zgC zFsmA@C$lU)8!`sOPB49m-ZrX8t&dmj)VE34QFmr)? zFG};!k#XmW6&1HgFHf=b%xvzHCs5h?{@!i0zWxG)VW}uM+4$t~R(B>WPn$Avd5Y%c zseQlv4}8kM`T3&+{{nulSRhdYcH)K^vKQcIPj?``-VVYImJDQEZ?F;|y9S`yQwdPvu8wB{s91LJOnE)*`V>49od_&_>B!EgRx4VJA5;lALa?^& zb;?53QKQOdl7juq5Y8AnCqD7u`M>xkKIQNEIlk%pM2W=M?@?jv#fsAz8DTQJ59fPT zMFtW&B^B1ud3f-vQB3lV*E?ht)&iRB0Z@ff5U4^C*-k1DuY8_8MWu0;3dQ-t@Wl^? z3LTzZC7r55X9+AHn-VyM3X`;31TzzKjHs|_ARk4uj=8P2Ej;c=J>PNKEo#lcZQUXs z_mw=#=_mfxuYNJr%Bj!W31ob1#Swi+TTeZ^%7YUtv!`rLs6mOr|Fu4K4rC?|q8ywr znFE=@VURhH8Jq=~1DQRDh8iGj@M|i!-;i4mC5A^qQX#tJ!;DxIE15zG-R_$^rQeK? z;ZV7A`{7M7sZ(U+=okILg9j1*cLs4E7Q}5}LTp~CR?Z&ebAyMuR_^v{<)+=D+49~@ zrNI~c=<9y(HwMR4-Jq5{6r3Pr30sCp4q}7q(-ZCHAa*d?%|Yy7-FpsV2cq3c@u8}B z9Tl&iBSe(gORbOR7V8+X3C^aNlk1X+LvzIx4Z1Dzzjy8)7kNr9u+3_;JAH{9*fpUe zdXQ9Dl)#v^d=&^vq?rOS3Ct3fqzXQ;FxiekuoH-)_WZ0s6z7`<(J&CLczb5l{ zo;a-8a)zm=^~r`7*&@gw<~Cy}%V6<>NUoYIG~Eb4xOd_8WL+kgTH$-8QlN})v@af% zEY!URj@e==V5xs0Q5v?Up}#*e)M5>SbDS+(aC{f8+Ij~CiO*;TWs2pBl2PY3! z*}}!=Ba13~kRX9=s#F35ssqY)c#t4n!AiyPLHat2m4!)-sH9B$gC$0_Ub3+xqZ}n! zn@(p>W>#7bKc{kt+sTYNAu;W+TWqUTjLcU|*H5c6*4;ExyIA^d@-SO`JzrYD)*9Y*s0zLa8cLsYrj*2QNmW2zI$w z{c>f&KJHbOAkvMQ5jQj9-u1FVQUf#X5lXyw;m=?lSMU)Pn6*55+c^k%ZD=ZT-|obfY0RNHU7%`o^A8+Z4g$R(m-^ zT^VcMzJiBbCz1x{qf+6N6}JbS*wi;4H7Anxa7MJ%Ll4I;Cz8;QVy%$@;-WS`L^rD( zdb!tTQl}$+_fR5fw?RZ8&J#*JI_$NaNCH2YrQ+nd!F_7iq#^L54-Q=wSJ)+or>^GP zGdy)Q-=E>BtN8|jHHh|_`irdhvYi-%qI`!iDV<>>Y!8axTPmXPAN&`USyf=}U1gH1 zdVd}~8GZ?uQDdW^y6Cng+;qd8K)QXq^yTa1zLt+?vZqlN3KFYl;yi(tKSVrBl)n(>z0J4oyGWCnB^v1dl5g=%6uEnF``pyCRn@7e{oz)f>3qTeHC=88HE}&j(a3bIk)< z11g-GB-4YtIASDptUZnb-a{aC7E_zW zDV2+hqT!0#KGp&W=bPbG#sufM_>w_MKd0@ZCmwtbF{r#!#Ly`k23%Ret2bRVj7r@M zuM+OAe*Xx(jKW`pBys-;cUSN#1nM8m?dpQ%O|2`45JRq(Z@%G40b~75zKbn9oTZ}^ zHi49vO)LduJB&NWp#HXf%k$FR<}As_F25iCsu zS0|g?9fzhT;DRUxMYkxdcsioflqmfWCBx&u+nrLYyss1BOZAX&U&LwxK zsx_=^iAg2Rn)&Xa4J^8T$R%2J8xiK)gRC)2cJYFP%C3e!oo97_Fm6_E8nyIQ z6sa3#>uKCI@-wu|$F!?dM#=17+*Fq|tIIH%sxp0zs4n*tTYuoP;V!y1dfB>nYX9IT zgkH9B5c%%nkn3@|+aO)Awa{vDvq|Gqn@n0KMGKQ$nS_!0QBCQFNg)bXr6?g#ACTM0 zqyY8GCW$Cq<&unLe8q)G$483v9Fr_4VmckUJjsS7b%4ehD9&An(SrNIc&qfusO-c* zb*#O`VJfC!YM16ygvQ7I0$SSCo1BqiF-gtTC)SrcoJ-4GuaDfKnc#ji1otocfd`-P zCt7UR{UP%xpV*gSFsPzx;fcry0(B_EV8)OU9|i+tgq*nw@SwddhxTDG2efQg+vt9- zj$w1b7zVTRhK?afMd*St3}(A)=Fp5<#uD7BG073|jl{ot`sV1`_!{klE2BkGZ~ zld3p+f`Oz;^|xYV`BwWflKP?F{?t|Ku5(Fg%up9xY7V266Ogk3j>5|n&qP}r0Hvj? z?t-b1#iv8rSH>l9!D!pi(}|XjdJ!xG9D5qk$`j%}7KZxJ^3m`WF+-TmX!_gG%2VPi z4tAksR>+r(0gj+&C>m&AF`q8rzO7jXIHCuxO#n%fbh>;^bV^qy19};Ed*J4~V;$;2 zLfsuK*z`58s52W)+Fv)MZuyOd4tj_l81bqgqJt9cU(~$L7~(@=h&3#QHs*qu=~Ius z<+!>D^lCP-@0Ggi*i$~D94V&>}UqNZ) z_Zx{SqAzSM&dEgs+83-ILB=ozz0(Lh-H#_uC$hIc zwfhcI_}_pg;*+j*D;}XMuQpExC5DGF)4q{j8Yz5iwH{uU3iY^Yr>;%Jz^SWHkFi7y z&Nn9ln23Q14W9W(*D|%ug(t0bp^kdo#U|1^ip}rtK&K`944sy~z44aZGv;x7Mg69a zM$Ag9_vdyxGLrJe`xkG2_L;Z7GpaC!4LyAT?yr5t;puOWzMy(DF1MXy6G4jY>>2b? zMJ~m5_RR0dwsXIM&7@rd8<<0xh_afSQ;(hxBij=+W!s6S#FxyU8YYvE0ZVbVRC9BBgfK-)oBbICQWX<*% zYbMS31Sp2em&U|Fq)r;i?Ae@-;Uc7OZ`?(g3M$!qbEbJCsPvm3_s8Dxd7+xMg4C(TR!@omU~|&EZcmXfUw#)v z2%>p>Oc`bEtkAMK2ZYLA^1oW*OU|;5_t+f}-_(Ls&Ay_|7)7Dp27?6~LCOC5ip!18 zU^;GuBUl}pchFZv#~4fzWRiNhu?EZb70!{W*#$u@(?Z}QOVgV}Q#BVsq|l|H0+<>r z*O_$Jvm#Vf)wm=05<|1YI95wMhot1=%FkJ{dm0%qhfXEFsAfMpNR*uEhvy#yc-46M z(I=}>)qqi`k@TNw&{E*u4;+QIl20C0`QPU2w7g}PRo9~8BJ{CCM0#%L6l28EOm((V zRZ^Y8TTTeJsL~W{bb_U3pen<$b0F)s^aBX_S<#I~DCvZyvLc!drz*MY!VI;L)YXE@||oU?mKz1baGdid)NG!%E{gB&3lB$-U?Pu?h2^`bqP1{O!MWL ztjm&e=}Q-?rnzQ-sA#PRH>JW{W%YP}GeC5n3jK%yh;H8dd)1eI`LBLMlqV~B$90xb zd89-_bQai$>zdi7ptcJJITIYXmLVzLpY!huIrA`mqBHB5D>|cif3{*FXTtIgPIL}( z=8=@sdPVX6Y(?}fGIA!|tM6@kTZeNw@b=X=~wa)GDYG2W# zWMJ-+LC!?+LT63!&FwscoQaM-?X?uMUe+w+Ob|~yl48>Rw-<6IqNxszGvA;fXCC1$ zG}pbKoQa<%sgXQ$TL=Jto{5}^sVeQ7`5s{pCucgJ#umBr5O$?#{p1`c>*K`B((xwx z-DIpm{o9W$a%SsL!s5QpuFb%lu9H!BkLE5VFHAY;mDq!3)A zCto92p%55Ag64z!co@; z$_iJvybo-RXg)siVEMoJCO+lw`8mGn`$UNZvbwwNf02isE1u6Qh|~N*0Uo3*G9d+5 ztazRlpLfFlIoEo7xz=g7s5Jv~uJw9yt-tWT#23Q}|S+7@|2UrQ_WFBn)NmxbT_@KKG?OCi?!BC(^Y1VHG>Ql2~hM~ZbguR26 zVxF))#|nn>Nrb!Ya#^#A6wtR5fvH7#A@M5k)dyiH6r~~16~PvUYI3bdq&qExiWF+| zYpPr;njPrDF%I!i=Wiz&T`^i}C0AxUyIn>^C>y@6wY7ig4k*+wyxm9~?qcV4V^b@^ z@(E0~ylqI4EUz%0B2rLVX9_JOcukOZhn5c0eHJbKVHr$KEJOxV)u~eov(gE73$?0z zE^-Da%}PIb1mhP|o6?(nPmARRyx-WajMtuK{U7i|ROk2LS zDTL*}@c;fZuX{RRd1d!I#8paEw&;aP5PiQn7&>8J0dL{uazRX%_f8}ZV}u~DvkR8C z;Cu9_R=gtwsSjQ70Lr8=MGmVC6eBfk2vQ%qATkE1o`F(A`|D0&4)tLOF3A+(T?Fl| zS&^ZI3*t9}Ng{&M?K>TMR3)h~VcaMc({+m;zci-sWMQ1em77wy;%WaP1mJ{< zq=zITNUx>n`}A7lU8KQJZg6|7JLWih4z} zDd4H47ag7&uI>&G>k64L&?n#qqnp7iNP&Lf%YNbazb`yBg%LU+a3PKz@zX2p(vp!* zpi&SRkS(fQ+R+fat_D+x-jom*6jx>hD%AXL>;6mrXD8N(M_UJ+`lT{ zhMrNa($_phm+uc9^MXB#b`E-(Oq>TL5>(rxhGswQy8X07=}Ig`Py4w}nh?z~0`wQ< zrhGVihenp~^WnbZAN{8v_~V~Y8t>{ttqKC-gSQ&42bu@bf_| zOK~t2b6b1zA*$aaz=+?S=p?)a2f3BZwuj0 zSv8-vgBnLwuJ+esYwAG#!hFgyVaFS$>$e@Rk~`-AR1B)yKD?CjWb#kF9Wg=~$EUn1 zKgTzHpC|z(-YReRE#cls!^aeT3MiL-Nj{y)muwjbtUx{sb$i$bjxC`9wS;&KQ{7_Y z{7R8R#K-6kh44ClFuP3+4-{&`eZJPQ96-k^+?M{2=X;CObZH0IoAYgRzU}_IpOZ

D5)<@~Sylw_O)^VDB&6S9*b!ePxzQ^aFcTLn7btDZlzhziNy^iOdwn z(*eyn?l;!y+WBWSg%S)GmXbhl3z$Y=jSv)@UJ()_6KCp)=@d$MA=*3! z5v9RCan03ba0(?{3h{xE!85=sN#@%V{VlJLLTM=l`khOXkO0@Gql;5#=cytp}n@_lKo= zguaZX?$w`A(7pP4T=w}m@o(nsxb8BqCzWuv&dc1}a-`jKH)Wc=9VAFG8}SO>j=mNn zkz>c(nGpBVl=tFZ%y z86cGilzoJ#zgx+SF6gH9vO`0cz|<5DDoK?vfjG`9AoVLK@T|j*OGK_3n69iaKF+aH zVW8(r76PTBmhKF}tieDE)k9+-06sMOQ_3{$B#|g!Nu~4yu#SbXWMySeOP(Aq5IU80 zeojTyM;AoSCZqO7qPIP|zy^MFRM-orN@W+ZNS-WDpuM?8B1m%#|Zk9~RT3+(4X?+xi)mL(|?o%z+(9=~b z*~k>#7_R|UIm>w1Moy}_Ybjq{U;v?be61TAZeVeyb2Z+Km)#y-HtiO*Uii#UzaD=2?|#O|{K!`XUZ%uOk7No{ zTM5|GCZQoqtL1gU=%YFJ0z-=h4$f2Bo%cINk+Y=O&@*kg7uay$F4Cm@nh*5t!qMp$ zxn#5&MLx3TyhBnPP&?1)HF|>2DAAEE!e1o5sYwUbH+Sth?5kGpj(W8|y zr!^&8;zwUESq=fl>=JGA+coJN`7c87ruBX3je)*x`RJ4V6Y&Dnn`jzTsKp<}!XlLG zgler{VV=pE={?;G5SV@FCItb3$#)M9FO_s+csG5YcxGMJMuxx;22}Yk>BX5G7=e81 z2nHrP5@z75o;5M;qhTm=@HV8N*q?t+dyz=NxMcO_1UQQ!o4*w2`Z^Pq7qrYyc4Yb1 zPLuQhm%sTdfAl>;DW|w?{k#d}+64A9&n~ z5R|O0DW>Sz)6>*BYq0(Hpn3_EvZk|gT?54-S^{mMYuI27)ZwsEaxW?#4AF~otZmG0 z&*T;gwKi0^StmkwGbdv^vX305nTVv9Fr+mr8&f*}qY0a0U`aCDn9}-xhonqLcV`=e z5dq%U=)g+q+H&OkgO=O)$+$mgVQE>Wbo6|KFh`8c=03^Wvj?h)y@SL>HVUf1{VQh^ z7X$LZYr8Hylnvv=y06xpT&CEwT%Sz*TfZ5=LsFVqHk4qc_$D*ZwvPtZ51vOd1L&xB zPPG(y7TJt26;qXI2n)BiJ9wl^nZ-k!5$OOI=g+oiRkhm4lrbTC#OdheiGJ5IgDlK| zj2!ca`7X9Fq3m4xp6x!Om^-N69OK?zj63ZXwYGDPajz%Fec}7Q?HhhHnCuETbkJq( z{0!9t8`2`THM)FYHi|lJ1;DiiWGi$TW=-;c$o_GFb%h02=<;c+g@2f8Y3$HIZZo=! z+2=r?3EXZ_GTp40(Pc6cq-F^1ssQ!YhtB9SEGkQ@NsN=;Qq?(D=rY*$_|*{Ht&El* z=UAi5qU>OUQ4(ZKf0vwWgVjzpm@#dYST250iO0B?iJo8$7dySOOE{R@nZWd&Ll3=~ zb|&_qo@+{{hEUU%Z_NNhs2}=EuleHfzR=EuKaKW<_IA%>eW6Q_r_sL9=yxYdakF8- z13h9?RRBg*VNUZ#YRU))V|}4L+c%*vv{B|q`$Bu4r4x7p%{ht+^OFqT_z^>STFHtD zkIF7zNFJp`ZC$qaOQPHKM5dH0cj~$*ca_r;USA-WPhk>0s3r+_5^W z6l0Q#!WzWLXh$@V-X7T7u0lI9*a4JWE2(!Y5TqKxjCBA+w@%ZWRcFN-j6#bWh0{@5 z)2x`$^!*CIR?ri)ByA5(XVAhJ07q-iEE9-{o^ADGYA_0>$RwTm>d|fkMjbFDUJs7a zrxvYKYwsu=G7W~AZ99X|>V!ur5d}4(z36qqV~qkO`RV9$RD)($*3PPcdn$!Q_o`ma zoZ4_}Gh~#69~JWPpJmLqFDa>f<#Qw+|%z`;uKp!dI&DKUoy&3Y{$A|*;fej=pncuydl!7 zS@N>C0c9bmv6h`=X%!C!ruBnKlDULvkCr)NqX{gvzguH1Tl1vI8y)5&akA=%S0zN| zM3FGQB2bnjN9}&t>xpGFIn+|;pkwH>NUZPKAl>OQVxxZRl`^(vBuLqMV3jhqq14GR z?tPzl4yi7<2e_J<-RI?}ls!|@RYH*|%x)X%>@Pq&)R{HZd&EBMevP?7cP8LY!VIwW zMxv!ohG@d_jIjKrA6dRH82gRa9G`k^QneC9IWq6a$vp0C9(Q(guvXEsH#RHCVXYqJ zIXCFKepu@Y>QTEvjp(ndtH(*p_o>I%e!?Gl;!S~7c^d7_Zz8a)TX4BB>`T&&K)0fvUtQ+YLX)`YeJtWqqq-#YkLY#XVpn zdZOsu$o@Cgk4cG30U3w^p|A>to9YF}lBp~PzZ-NY@t5}-M~jkVzBz~0mX{Jo$83l8 zQi><)Kd6*L;@F1Lr8V>IflEyiG1lDpNZ%xx?~l#aME}Mmrd71RJ>Q@`TF=Taf+PUV ze3j1fgVnV(%0$k#mGIjlwsq?kEy%XnZ^%>{7J)CRWEov>}OpCf6 zTGUhD^V(m0RTSN7xYY(4hgamkCB0@BVc=7gU--+gR&%Ag&rttNj{xhHbU118i@@ksu}8KB3|B;QSP`6Cm>FbARvYUd8-fwYVa3ztfI~Au1O8mIV#IN% zyTHH{rRf$5V5}H{_6i{^dI*WlTpg@yZU|H<&}JD4TG8@%pSIf$g<4WRwj-_(sLXnWHiRM)k$IBEPL}zS zDo~n1wYQU4$JxHduKk%DHSpH`%r-91&a^9srH)T}qW$b+r`7r2nO=7z^t!7?tg8_l z(7_>RGktqz+SJX+Et<{r&6w%${;IdU=VM0Gg^!K}A2BfOwc5)5h_&>C2Xx<|%jbx7 zkS?DiR+J{A;RI|d`hl`T6^RTQ%sMl}W8e}jvm0p>EGsj^B}?yi7@rj)0E>Y*vHI?2Q>e_@m$-tiS?HSw&eH%tJe#aH2&HlP2wKK0F1W)m2_e4i zHYoIO&XLNn2oBAvr7|pnL$hitBKy#+T2Y3+`X?rVOv0=&?;2~S7`Ly2nG_x z9rmi#_a~nh9xDbCMYhS>rrDyjyk-<=XK6n)ddsnd3K|PQlTvVFMc#QAgkNuM!9aAW z*$n3?EWY}o8GMG1YKI<86z+vIFH%V36>Wa~OjL05nfn5k)8Mp>r66mYUitd&jIrbLc9J*~50y;KAdu zikCQaa&=hcsN_M+0%Ys&%9pRg7*rcd9tl|xEA)vKt1t$HMP_qwY|Mb}1QJzqIQRjQ z_rak1rU~cy)>hqhucHO7Wv}_%6PG?u`&YBL0YTOE7kY=v=fa0SUlvBHUr~QwdikD7UdV;6@ zWjk7(Y-ECQ?2nOr(5QKTzW#ZCNj8+@@XuIpJ zXxmLVUGj3BTZn9L$n187Z}qsjUDIyS>~`IZ+w}*38VGh4)PUuo23$_(4e$a zkIGQ52%_bgG(AOrhw*>)V+8kcNi_7=IYHz|08^+Xt47l&bW$byfbRNC8r6~v^n6Wp zrUTW3)iqo6v8W`^MjzDpj!?VQ>eodvV({aCT9_feiBEd0bK!+4E5|V?ol-Y$y#4Q7 z3G+aLqF zVbP_c2SDv{aY(4Ou=*0{OUEN&z9@=a~78O)dKTqTW6!JyWkE9W+@xu2p-MH#)=X$S~uuDT2NbSfTJa?Lr_9S6FeMH z@yHm9bJp5(q@M9ZAD2j@sUTy7OGJW3qKiXI$mr*|TyaJR`%uGl zHY;k#=#ne8@?#*l+jV}8R%MzbW@9TClGUc2H6>(pNenzfYMUYO&dLPhG^>8uyVI5z z9hV5bl>nN1f0BR9@B?DmMyLFQu|WU7K1xkYo3c{4%ge|7wkANhMRH&rA477GRrtA_n_ zM5o;fZmhB3;{jvX=>f1)Pm5qySTM5D3dn*GHiQM+@CK+-3^{ENov~o+ZVT&*iKOkJ z#jqO|OgI}}3h420E;Jf7oCD5B#)6M%r7Ru*_+{YeYcgsNei;3pj0GP+@)sICNMRV@ z-r%<6m)QYOfxYGY59yx-@>-UhWLnimc9XFYC66-3LNuG&VwrR78zwbAZxZI1Cv;=SZ}alcsYk|~16G-7+30JX{T(v}~q1M)G+2dqQV z&b%ubH=a&dIEQI}*{)1^?K|AS+|*QgE1tQ&9LT|f6Sgin*r}zq(}2QG1>A(?srUW$ zInzWiZuzc1^!oRI!K)`zoYD87<%cH598`;UF5j%Ivc{-X7Pr_I3S;+ZdkSZpc z4nf8(E_gy~7*R%$2k(_SCItCZ7D03w7iENo;2oYZ)td{l@4GZ!!!*2OyCR=c7sNu^ zO^py@%XOuCb3qOaLNo%Z>*ipUNA?wdwZtth38l{du%tM9jFBYiZ@MJytiAW36UE@4`kNE@Av=-Gy$6MJd#{I)i$ml4D=A@9zJ-D7N|`sB z=G$)H0@s(N4 zTWR2tKs>PbD!g>`^o%cdTky$M5|w-H(bGe|z!R&FF6#DdJXWlkIpPZp?*uiR59DFj z(H5B_zQ6zh=7`A=M{z)Nbi_yLdIG)BhkU;`S0f!gsi1{*mBN~s3)bo?39)R)#c_ub z26CSKN$t_|J=$&2B1I&16mn8R(_Wm5u@?sdj?VYKI2U+Dywh>KIQ&;9o_AjytU2`J z;Oq9q;Xjr2dR`nH@2W4(vzNX(ch@Z?9oD?^CWHi)ynzmfe19f~d_&H7MB?O-SN@W) z!1Dq5fQ9?9*^&62_FpgPv4+0|fy(`n?X7vNhD5KHZ@%HlZPJ%_ z?qUlMXQAL>6W6+IVySIrnWUUYbg+~n2iQchVt%h8wIfBg6V*pCMWu6ounaMQZLO!pWSiT4jytTVGF zDe~k?_H<)Owl+_}LGP?ak0&(_{24Y()Hp~?ZIKlpF+WGRh&rYoRbw_f(Y}J6PllF$ zH{w}(X-l%vYvY}s8-)9CCe_k`x84XQGmBGL;y2KEVwa9Pz);yw5>SKA$j0#PPPdw> zTn<;9t(fT{uDiu$$;{%NMt@_yLMQOS^6!~u=*Ij*H*!6`VHi00h2mSPfA<(xz5C12 z{++2)*GruO|2e(rQmqBtqG(&d4p+jw?F|YYCu+87I@;t`00c zXWM@Ms`?zmkmDSeTOwQ(+>cBG&#YZ{m|4t!~!u_SIrXcq&c@YZ+cM*>Bi z;w$?K^{YhAkBk=fga7WQe&7qEKee(gJR~;m#S=F56p$2bss@L_JfbCaL3BW7FErA^ zwl>N%1ZhcK@UUkEGl5lDE6dC(ivYHnmb3_>BPuK@R|H%t0bi_0OIifcH%3h^M%=VS zGg{Ij$d)T=n@^Tri4|!{T@Wo?@I9^lerXVS2-1=kLFAP5&}gyWUY?2;W@&i1B?4>GHo<40#`gE@5;gDGB}KDABEI+=PaQw!OFn5VR}G8{?<>1KuR9=Lge6cfk8Tq9 z;N0#AOMncc?WT3v+Hp*1N<7t*z`?-Lj?@@H+L?bIVF^RDf-fGrEvYiTXw@r{t8TKz zNH;D;XyN~7?`?psOS7`DDMApG2_aEJB*>>G zQPW&G=j`(vqm0!Jm}HRfbr)&^^QC9#9-5iC{n_1_nz9N_Nr*ayNSQJw86fs@QTd*8kHx!=9Fziu{E&prD)YrlK% zv-e)V&wAE_I%xZWky%&eDaB~p!K=;>p)x7cD63X)Hebu8EmkUMbu-XxvdVlJ6Peh) z393zVaqKTk&*8ZarDs%@+njVNQX_FpfCG!vUGWmsTO={Mz)j00iocMh)b$t1ej<~?8$`BC13u}ZSA zpLtShhV4uwg9V zo2WbEne^YUlge^jj>ai0r={kw11hmt&@15(Xm^MQ>{X&qcU*}^CI1*Mn3d>M$wz59 zpMUQMiT7D zUiEaEc>iir-;oY6o~V?3eAO2(Uwq}o%NO1z*CUr!FX_tS&`P@tbBRNG#BnLlN zjCSd{ECr9U1rR%t4HQI{Msp=DNQG>IK1QtDG=1NirZK_Y3axYMRT+(~uSl(8f|$^y zE(q9JSQ();+p?LCE*;g82_8EnQ|MFe;MAzE;(~O(OmL4GTDWQ<%kMGz)Auq#oFm8V zVE7^?(`YKmR-E){s;7=7cJ-x)8sqevfdok7q0e{V;kM|adrxAh4>O$ln6t8<45dTD zW1xzGH!1>|;Kxris<#;uyobqNiPs(sv~0V9*Cso+*DRYPHzc) z`=gN{`AbthcsSpP90U6qdh&w5Fai9Rurpka;MCl}ir;Pz-Fkpv`%ZY6?X?vkf|W% z;WVaB+;la3sDYH3G_Yi)(BLaZ)2sK6*1^Z&OzF@-_EKl`>{`hw4x3IYP{ z^!N}i*JGGArD<5#Ixz@vJqCzy8&(YJv7y;ogL-UewpI)RsvaAftrdfSo$0Zm*;>PT zY-qIB6?*K^M{8BB)&F3+?6s=L%ptSCz!)#>zUMJ_+z$4syv^t8u`3fr;)!dX3wfrH z%L-X1&pBlU+jkt7xL}!{^^y#yY;vJ@M~U_K{`^1wh!0QM)6t;|ESZ@r4OS{L6eFot z3yZ_{6p1emNE#wjY?5jRAa*2x=+G;gJn1~f0Zh+j3MA&vw-!3uQ*@|nmnw+9dJ{UM zJw-byd{ZXX4%;%o$}c9`Qw*BeO6e25s=e4H#RY(b6*3NFJhXAhm(;vTiH8{7=$bnY zB#RLQVhyHL>TiyMt3b4NND1X6;bg2)g9(ikN7%FREi(48ds z%rsl*VcSbP(5yIxZ*1TK9gjot9@5uGyabM4v<{$g2 zzxi)}(DQvtZDZX*M&z`^kV~<`Fo}Q;u}`LaRf=UM=tB>{y9nc&1O?MF~>j=hzvmULxQu&)?HlO2Zd zmTHMLdbnT=O-?&Zx6^vR@o2eV3QeHHRE2>arx!-VR3BIcbP5elqM$N;$sC%1HwkA6 zROSjP$#mV5%C4%P^ug4dDzLfnhVBJ*$F<^r`o&%KM4qGZ1Ue9M{elz-m32Y>=E^=e z|H2N)D&8p+;8E##alR3&2;>0D`{9BGnj0=ywq0WiW;$&0J*`;1`}nmsKs#67mKiFE z88?fZCsYzelV>_(dC_>~wV7CcNaMZp`S1GPPne#miDqTVv240tDIz9gNJ^)In3b(9 zS^h>xjfVt+l9aeZi3w<$PF=@Q2mDgZ7OS&W%X+y$j zT_#ogic#Z%pN;(F)K1&N%I<j_25M z(-Sx-=rXu>VSl)L=O{mJq&NsBx$jQOdQ`mQl zqr*4qooBj1@SgPN++*TryC!vgy`l~%61}412H{{ndb~wGl7-c+BsuBG(8W-{#KGsf zeYGDW4v6|5wV!mji%x|Alq(;+YNf|OELlGEd+cwcdZh;&<=Lt1#xS;xqoR&SbX3>~ z91VTrSuhcmI?{yEE$@&LSu)2YcqZ^H5;thCg-?Qm>REtaGj+tl5g8|w6+%vr1KAN6 zxnb#TB!v4!N1!-vVLADE453a)I-cS4!^-kPOqfP`5!VVU=Pf@JR(|OF{^7SzGli|! z%0@ED6m}%FG&M4mVyl;;T0&7=3++=(VIachp~@lU$`Tnz>jnPx$<T&R$z(01ZDTq z3zu(y>$7irhfklBfc~ELLs{qUfD~b$EJ^*vU-yIm=;tQUa>~Oorv-JT1F6u0+H{HJ zE*j8WX~i1wGFEY5Qao1}6SOElvw(ym*Lu7Kbrkp>GC9D$Sp&}i@I98KY6H&@@I5AI zYXi>^@I57H;h|{<&k*uGC1^*X?+GpEJv>9$_n1D13sC@t+q1FnZf`wW5!>iroKF>| zM*6kY&C|BRF7qSlAqT%iA}tR^+E!r3O`+f=aaYK>N?4^CIfQ}X+kLw^qjP#V+Wg;Y3uPhC(6CPS#Gg-P(y;=x0MXQZ;r@Jt;I5_BPzd7Jf@7 ziU5-2`boO0_=_!m>Luxx5cjS_+^Ji%gt)geO8GNB_&>b;w@hP@I7ta+3kAPk$5RZV z(7U9AqzIO5ku$V!C61+i<0J;G2y4`Wabk#jTm$?lf*8`=B5Z;`3<_KHph-b+w;|JW z3YuexJKD6CLfo;=u^8fxc8=u`7gI7M^^Hl>g*(<%>rWIGg&E5)N8OKF*uy5uj_0Sv%Nu!aVQ=vn?#^eJcZ(LE z;dXq6-~Qe||CXH(&`_Vfjfs7xu(AprD6@TUb`r~i^0oR{u%uIh4 z(-z73)fxB{v>}ow{XAF1TnM__nDmO6Yqu?$w%iSZHHTv^jJ9uYPU`#cnCpbH zZhM}?F&739s2vPPkM}3UTxiirYtGi*s+XH`rK5rt{Vlr(!?UruOC<|1&Kcg&A=>}b$c7v&kcc}EYlT`i$ zR8eCn<1IQK*Bl;9Neds$0L?Zl!5lQjKQ%ZF3`Px3i`G|BW4O4y&g?v0_*|^M?se@c zdS+GHzYApl&9?#BcVJ8>X-xyhU`s&QYqUiH7?U?=7=cHzF-sC~Ndo@zm`DG!pY!hD zdV__-%@zcStOugGtqqf+G4&vJs&KgByn3!U;1oqu8p#Vr3x`fAGr)Ek4e0@zNPQhn zv};Um3}PXM8Gqswq1~|&OumjYz@UMpErVuXr&7QSNk(7CDPV?Z4x+EKHwqYaxf&lL z-kv(cm-n%C^Azo9Ne0a8Q9=YzgXSM;wzr{lI;7GWY!lsY0WlIpd6b|n#?98I(NkZ6_W6CPF4xB+^i7=K3#-y~KW_UXfh6wpBDbOxriP&touL;aDhJQTzX?8d?; zpW#3nHVM9Wr4;EaCZ7S>VYQneK4?9q>@#c-@t1hMPh%89CgfzsXpExIfD-KpjZwTi zk3wTq?PxoC&cA3931+ihpqD-<<~$6=eYn%n&`mbm4ZPWP-St)AFlqt6lB|wpMmn+q zUqjSvLL{S3nL6bKWSe(LfSwjmgbeMS0*Y|Hads}L4bEXIP!H1~#`5!6nejfVw16jh z+Cb1n_LeREG>luV?`3#+#WI-AI)=jhkDHwlLNDd@+)wj4AN%Y7;7@ozjk3`irIBud z&*6ArKMe_pHZ+Dv=asKYKaB~Zx~eRu$IS*IcNSz^YJ$!djpovJMY;ec2yzOw?4YwK z+(&+BsuL4L4=S_eKVFalwZd!quBlP zp|a)CohX$q?KSgpm^VI$b>1y%VMf_gh4rxR_PP*$7E6nme%Vfm9d~?-9dgmB!8w&4|~o8iSjFQDqE`e5V4q zTu}6yNghy?*9!K^xZHyzRh~&A<4s;ZT7W}gu?v!ncuf+my^ObEzA-}`8SQXAv#qk) z;F)=970%O_+%C$eIi|G4tTEiZtpK(pq=&}4Hb!^B$w~)Ja5I{Yno*qWeT26NheH4x zUS)s`!nY)ZNSkx(-sQ1B*P_o@Uh=Q=mT#>QL9<`+yZ-i{d&)JbBH2xo>ed=<^&L}Q z2pqsTisTqx*78+q#K)7m!2k)>QhN+*$b!_Qw&KZ%F^?NKdyOD}eiPgyF$OoE%%%H- zy7_)+YElyjCV9lz3^_@V%98p$9b4*+CW$r&C{WRln^k)`i3@eduudd#;q7mp zxKJ_CmRzVX4f3PLqtDj4RZ+?Z)rNg!t0`Okz6ByRYFCAZ77xZ^><`(|OAesncKl&;ING?Yle=Qx<|6pzotw@PTfe*JKY` zF?q8O7|#O@l$yO4YV<Y(to@U366t!{5|>A1FD5c$Cc%l8j=PUG*U&OgmDk#l77 ziouK)XFTHa7M|<#@B`Xp>86x`l1dSFA2A~40Gw}1%Y!agL@GwwXD2)IT})wGoV_ zbQBK|P3b5eAez!6W=r54Q7AY8Mc5oVno<<`8L&bSLtWbfNiwCU(;5PlMBPU-X0uJH zTDoFJUw_l1NAg*VDP2tI0&$-KabHa7s^V&eSM@wF>fi6Paz1eMO-g$z! zTeDk#-gz0>XBXtnZXg=#9X;M2;5JIL+YSt3sSV&}qh|?DV-(vDoy)(Job$s{&Z?pK zLo~H{i0hx!^C&Z;TzYT0>l@_THjtlr1Gc>k^?frLJ6XQP2v7Q=_ny47p}u!J<99Be zpuCC`p?w=S3JKTW*!}c}JbkFSTvOB~vkN?du$GWX5NU^|xWwc{6|5wN+e6Xl!1D=3u z7h=Ty2|-%BW~@#+G2$9%jwE?4rK*Z?U5av>7D7EMB^gz3Rg#y(z<{Jt%f{6WN$tw6 znTI}6I&ck}2DQJ?qzf|Zb<1G5kdWLR2}x0fXBwcT26!_yz(4sjzx2Urcr_@nfDoAj zuSQGlEO>P-7EZ#e0Z)+?yAJbY31qWWUjwhMRmCZIwax9F0k1Aq#YuQIf>5a{o=&~~ zq?EWsGjpc^J^=X?z~@&ZM!amoh_BZI#0I>&Ko^4~jkrnf*C+Kg@P|%G_=P5oxY|rl zhU#_$&XIo%^8<7{8}r73&M~!mtaAy*=B(@|Q;fKULFI3(FD^#>295YHYk2h^{=$#{ zz^i}_0`mh8?|#jm%#?mh2|Y{)hv$xS zTz3tk{h6m@La+4bFh#iOgbbx}DiF)xPq#`BT{&%nFReY`Kv+ilF@-M1 zBOKv+6180npSOmydM4R{C%tWkB=WLUXK1eM&tng{qWwS2Nb_9$0-#mKR~@?heoNc z&_f_e*djEkl1%Uj9z<(u?!hD@o)UD3N9l?{RuW6+b4+Q;!}he5awTezn;!BqvOXNP z>q5l@_a-+nz`e<7Nw7{xQj~z1_ULpJ?Sjw^vc(0VD31Xca0b$EDXxe~a`J_CU!$3# zcb@V7;MkZdBx~YMr8OrV47Jq#q^KHocQPcyK+~^ZTs5IhzwNbNn9pE;RczSLknc#P zSUx;@n}%mcc>GZ3EPh5zkuLLn&ht-ncu00PLJP(2}JjK?Seg4HSuN|0mcxY?<1L2gFP+4wmo+~|H= z1XmmypH~!@!L9~iQLSXcVJZm`UsAFcRdNq{N19?zhH8JHG!qa;ol_quxgrrtx;bek z;Gv^4MKILrP%vQO=<)WPjsQW?Q6p7MZ_RjrPTdg*EUd{(YwwR_FYJoSLqy505GL5s zXF3-T9$N0=K_zH@U_)RZKDaa|9Z#m1qSYOTOVDJ{l(V!KMEz$3Kn(pW3z95oWf&&aoi%wC2I=34?R#{6Zw?7c>!;qY~;uT zf-P0CbwSeg4yZNt=r~V|QNhvknvzk`xAOulsd(P_;DN8GeczScsz~nl2gLxA-lu(! zk(TlEL{5?XuUr$X9nG~1;VrVL10KWbdv~XcF0#3I`-?7dzCs}HFOOW^f4jce##Cc>yx1l- zcL=2YW!sOx#rf{S1#edu+mvdBK;B;zLp?rzuy{G!?Zq}`Ubp*;ZNjo|Y0$#&x5fEJ zHu!CKu}yVY2(&xI1MYCXkt29}xY*%)PxV3|?JwJY{kzS@E~c8dr;A;>ehB3K^Y!m= zzVZI=))zZy)Np+MK;eS1Lp*={U~y9)FH7~_*I%n{;@h2b1M=Hl|Aj9+|9o!BvuP{%Wl;yNFHaRTg83`tX0OgTWX|eQjYb80O5el~2G*xpUon*$>EsJfdkTu#_WmR*z}X^ zXOE3PNq+WWZ2n31vquM@#JhuxoRW=!O_1rn>_Vm6vw^H!`flIMP@iW?;Sq~>s1E1^ z1TjdO{iOAD6IhKVz7E2#7W1I{y~W$L7q9)hG-$nw4O&TcUZs@R7Zw}1_Dt{`*URgR zFM9c9ms*+%?yjl8q8od^bfQ^nI+s-3?WE$qeD!m`;^VzDQ1hZ~^=`D*Mk8cZpV1N; zZIB6~-I$c*@Q`7G+L9Ap1QQ&sBhf{uf>)Q3a`g^zE;!v8%z#3(txVBHuoaQ8D#T*D zt|>)M+DY1uV9XjD8NTUMjPmxMTOj#l|7?GouftkHRVNKIhjA{pqWv9O+5e4F{pwQ< z9bpcaAtfnbZNWUU?E#nijx~N12Qk#1WJpP1KWFq>o=iYp!nb5UPn&aXV_D6u-eMb< zGSv#eXFQpl%GHb(?PHl~lV8u{yFTy>f8qll`pC&L)fVtg2D?*bsx64PQPA@3&DxR7`2 z4fn!poG_hIK+8MC%p3|gX>28M#TdgG57;CswbD}!oq}FHvy74vFrs09sp5p=YgNbh z$N6GdLdCQa*qIW&u!bqoDBHpA=Del;JeKggHtpuTD5eJ!onfn~WoO2*6pe8#bATUw z{%8K$J3po>F~v$+4$$D>g|dg>dMi(tuS%`m1W}r0xri+V=oJtJ;eu3RCRpZQum-d$ zy2%Bp#7yw8gD#O3LH(gB#|5dxOmMgESjL7X!AZ8=3{+w!SScBC=!PmIcM9yb2jT&c zNZzbUoyreQC1xw`R~uv;tg`+0j1wN&v=J++cg3z`8^M)5I0As`lwg5U153(kIviQO2&Hx&MDNG93OF=47Jpu%X@#Qy3R0~JN9H`=&o?w|*lV7F2sbVZ~?JUk3DXt^z;ct36o z7K}7cvDB!uoT5SClN${JEJiFfu}dPA8l}RWcZe1Y#p+EgHL}YgMQ&2fj{A#8Q!Nk* z(|`!L1wyAmmn@J}uEYLX$@cwmzOmGZk~lPKxM0*y(*?`6Td-z~mY@l0ydGAvyYH`5jIJHG2* z{M6s&)R=lRTDPm90^v;|7o*imdvCQXqHI0kPSO@HdSkMpU3Vu5CEC_nN3-ru5-^kP ziqWd?w;RT{bdpGjl5KS~>Tt+mcWEp;j5BHkqfM`V!a+Q`yka!z?j~#iNNiTjZdWzw z=uc=(dUm_2Nf$6xKDd-#?Kg)Hqj;yiOlN8&qub>yZU~C=(I?#mT1T;!YF?W}v0CxQ zK`{GUcXeB1GIKu@{(POmwv4 z41JRwpUA*!+SKhh0@br3$)4k~I**_Q#y3UM^kWjnN-aBvmEd*SZjk5YtL}b)bo7Qz zzP8m5xQ|~8UdEj@JkIbOB$6$!*O_LCk?gmA{dfNNztc-q!)TUKNJ+#?j6zD}cP_A( z<9f?rg~_vZ3F%6(kJvb+RvAGlTNd4vjay=xEZMkN16#6j=jl*IJJrzW)y>8&u#W}y@xr^F6Ex@RyR`LR z{D$=#f5IoCYALvdeE{gMT$0D*rq}HoVIRjz=r}MYj<&Rvno+14nf^vwS_NzS@D}O1 z)D)bXwn1%!Mhv7pVaCdw<+28>GsBa*B9JE9hR^-%af4TEU7xOG2>j73I=J$XjQ<-?H|}oz&r&{1PhGk3bRMx}Di zwgq4k!P=pNM9WvH2#QQUfP=6(NZDidn;It<^laQ3orfK}5r}d`|C-nw7xZi#4!wmA z5J5D|A;|{gIGpwUU41lf@a%qwX#~Y6Jw}`y>$5MhQmm7J67zf>Ccvw|7`#HH~vW% z8nWc_&E@rBoVx$f!KR}Xxg`_#7-ix@>(>I%eB1zgJ zY!QU5=J<37?ly&gzy)d6?a+JBs_LP4;1_5PEoR*$tM36u15Aa_Cn;v#Bw_bC!WQkn zfbFzDxtR4TdDwxxL?mSreY!c44D3q+aN0Y1ygf(IP2e}8ABS7oo@cs0$BpucfT*om zbJA6)CSHv;nFNBTKsJ!_MIFcRzz{y>0`RX1S;`xXBGv~P#iFP|Pa%pa@U8doz{$t( z(P67D=b!A!oP7Q^<`Y|5Q*WuZXWeLFc5uSFmUvHF|16aZWeJghvZ=^7Y zl+6op&l08v6d^hJ+FKc(_X~KZp!DHQ?>2=kD6APCc(7>5^hmBrY*~Jc1t?}mKkbfW z4n#?=j%M^V1yyR2$36UUmE4dKFg?a7>($ro;spO*)&whFp%M;jN>WYVU~r8Pf-Ogv z;32OW9{3Be@`0^~?>b_FR?P^5h@B_cO9+x9(+S{m?Rlnq)Pcc7*F2W8e>l&elEBPy zI>|jk$N}tQbM5gS)%gdwnFU4}){OV)sKc^Z4K`L1lRWdq6p9adQso)OW_d9|tx-Q+ z`C^j2qcVMrznIG3Q4TWjV&cf=*z=>mX8s!aCp%YrF|kMcV&ZuAV)EnYi#f*g(O}t> z_ahYDdss9f0`a0b!E1@w0!$$pyvA*>Qula5fIv&&z1!vq!TCZKqUeRJAk(&!S5l^?vM`H@`UW}m?s41%lDG5 z7Ad946M{hAe?Jg^r1O{U^n=CA(Z{Q1K*4%~oo(}}CxvvxUj6&nf_qjOp0NpzmAZ+g zz&PUB)B9Kq#2?`wMh}(%43)y8j)CxA@oRB?^cU>+Qz?x|oh-rQNFP?+*P5cQ+O-ar z;I7ZJg-hb4dJWhL#EUX0+P}*~q_5^{9GNf86P2X0ulnNUi?6(R`NG>|R))8hRY|)f z?&gAWA#wq;?+RnAT9)YjVt)6A5EO`IJh6?bo;0<~#$@CjQ%@pVj4 zX`i{`5tCr^isIWB!7>0A(cFoohFMTN9a~Y^YM|3FldTm@6+}#uRhJ0thnl1<*9o^( z{2W_xv!j0tzY%Vh<|)t~-{q%j}2H0HcpMEe!n3zuGjo9Pw!@ppXZ8>XkTzXpamjgDUO>LmRCvC&I3rJ7e~ z95FI^iKf&9#|JMlq^pvngO?b*m?R<#?GN3b_Jvrwe8oIfY|*Su5*S+1G&D|{XE(=2 zG+Y`4gL$qNR1*UkI;8(r^Aw}$LvoC&{6Ol^@)J|pi81k38|+cA%p=7-N2Rpr`&l$@qm6mTeD#(KmpOd%s}zAtb6yY4D5t z(^dBUWza_0l!S}N%+e)b4=Q^G@N3o}8NcOSb_FaDqq~C`m0LdHXDvqjc50Dt{|(>% zfBdAA#i&Qsi-!*#!#KR`XfDfPTr&mf)_hf}n;O@`j>dQxZI0S&2xc2p zFQt@F1yej+<`=?D>^Hr{g4QBS#4N)~#kPC}1%*Vfn4w^$P`OKH4IUEAblibYPu?M!wYHrPe!w}{+DC5Z z!}-RxeAEKZGV4;V4rZCJX-#F{$FBt=@5$(t*p!I4<{)FT5_?F7Y(lFuFPTEK8OzfW z%v`=T8cYEG^qar+linLz2&8P;f-eq0Qi>h}4518Ruxx%&cm=Pp>pJC(KJLL$)l?Bf zv6Cnc6!itAVQt2~q9gzf0a4)`oIKL37)qUhQfxV^mJNOi=QtWT0_sT=URe=ENy0fO z2x>^I7zIP26Erc*`>`Oq+w~3|>YPsid!bVej<}Zg95eU=C1?SD0M=2)z4&D(@P!9q ztBurn<5?!~1%7E&;3v$r=p9|sv-Vq_aDrmDPH4<%eoN5<UKQ*x;7HZZp(jY4XM#v0apD21 zQlgvjg!rLpluQtv1%$gpk=51#7o^6kg24G3BCv!MCOBJ<1&wgE7pz*TbHzp#!2bp` zgMBsdwMfVBA4NvB(`@|v!eo4o<1LO#aC`*-VhZU#oD=Xnp08ud!+EZbSu##{mvNeR zi&{Osl#OntZ1l>r&wtk+_l%SBcvt{dSUq6t1no+;d!Sgwgq5#~tusOAL+QGLEO$ZL zIuitJwG5tI%P4Q)QeBX?&IAve1Do1_z*>XtUxEe~q^+xhfVztH+{1Xbg0yue2(0)T zaTTXdqT{?-k+!Z19=Z;Z8U?!^Gcuj~D#+ZF3NjvG#;JUDZ_+4Mdz3z?r7`q(v4Uz6 zqgP-4p?ZzO6O<{L*`zY0<^X+4K zPS3TEa}z`JmTz@C6GQ*-U;3)wvvy>dF4XEJ^pG&s3)=Jzko)PyQy;t>{|Qju7TRo zYFCVUX^(~m$$4NLEhnO;6_Z|C?Ma(X1=h~&ozzRJT4s}>_tc21g5FnE(={@B$f5eN zeDes6tA3!Omn>mzurK=yDvCuf-Mn7X%)t(my0wU{JYt}t6Rl-=g&9hP8EUhw=jyD* zr@uR&{(5?U@#$~Ir+@0@@BNIw?6k3(#xvVll6s+|^$1+GSP{$j<)Vsrv<{R&Vo}9A zTG`FjtQeE_r3AtrS@W0u&@pH49j%xIptjQmX*=y=01UT|R(7n?B)VYC*n3AShKIF1 zb}3$2(g0+kSInx<9p}b&D`Ao#&+AP>s7<^VH93t#VCV!(Hq@we-Cjg?(**RqQ5U zXnLB~BG+$_tL<^)&2i>Xl)n*}))urSjtG7~fM}_p-K#)@f;II#1;zQ8he+iN3tB=eiU#JQl__ri&()9}0thar1fK`;o57uL^@U8{{9Rr$?cXK^6nl zo;L)g=Exz2LHIpN^9dpdEk$^AlhQL|L!n|A1io%<1!gyP8??kQXt&>!0h|e+EyA39 zjxh|vBf=U!1X1}_E#>E!!XQ)7dvK4pf|_F$ zPUz=}l9MV@RZigdQ*E~P;wYMmsp<6JGfvk-8Tq7Z4FQ1~!61EZ@z#{C{T*7_|BX_k zrCQDOxPDk5L0Wtb4BgD_1PwR<+-)I2A;Jppv5+ilf)VR zIyAuw;fG*vast(%34#|}865VVlyK8IQXN*o1DL}_%XLO~;D@FMj$U)JS}1mQbe5$LW<5vEqKUK(eg?}>LVt@S`|773UU;k$46QzP4 z8gR^(`s}M;pDmB*getf;Fmc}ULyp%6KIh?o{w0n9Q3ug9O>q}R9VjpnUOHRA5d+evOo>6-VRtxUMW}29v~7r(Vl)JsaOJRKcR=$qm0UTil7F^ytXMjf79`G( zNWS1p15J*Rcs%q82?5oHzG94_Pix>XMG)a^8}P9~zD(BmwyA5o`&}*49MijEO6}@)NkGn%^=3 z6ER2}{o=AF39s_6E(IuC^8i*#xsfLr@;1>BiHzVu9@P|_jf1HrJ;EM35E1tX)y{ZDlV(Ge|4@y9%2)M&6YJ|P=hiTFuh-~A znl_%-_&mnLQpyYNUmJig&_sv8V=`S(2N*?{p^2|MEaFFe!Y4oYVC(T37E#R`u!xm( z7^(y+1USF)Rl#SN;OQvLzbv#HAkYQf<3+j&gO(+waN5AMH7mNuTMrF=jMORu;dE&C zc+u&&#avPPry!{)Fi1bNd%O@_Z8!f2#JQ^(m=AJ-e& zN`bHR34*p0$MER#wBN2P9q5q;2{?va>5qQ@&wu2Hr=T2Q4$hWPZA(DdU{c&F^9Q> zUo0vNh;NKY&MQ)dmEE2|NY9bKgmQ4ONEVDaOsH$sOuNIjkXZVmV-6D_IS@T^MGz0P z>C=?ML?e=7z7a_j7D-<+UrUj^M9vwl1MkTi9q*|<+PqkMm z2sQueH0Y+5_*d1?)jF~0!x=1yl{GQ466o^Rr$cwm$&(#Ny8&#Lz+VeH0pIC}b{j}? zh7>5@*P8Q05h%RqlH`o+1UE!hP@eE}_2~jLSzso=JjQ>0=r{e~*YCYH>E1l!S=|D{ zSEqWRKWre;&3E1ePiXHKyN)=bm$moM1eu@%d`KaXfSg7Sqa?-A= z@eNhX8s3D!<$89#+Wv>Tt79EK{+-k^Ez}vPAuM+Nb;~+5x0+erm-$}Ss>5M5hU$A`VuI8dFvz?YOT@tFus*Dz#Sj?nw*?7iPWm%X2Y<<{7SLveXUqj- zV02VcQ^sUQNalhuFpB*_CBfo?F))h#LA{HKfzbg?`p|X+cK{>U#3nH?;x$NaXTRR` z!0;(B@;S(8{K-KKh}ft=%Q>T4)&?PidA5y3b6`{_AtSS<1OR^FO65_)Rxq#XBxL03 zN;M)##@hoUQ)0qB@cqrDOO3(KbGJqZlLW57J1O?h)|{=rH3(|5RjONO!1X)?3QB(n zjiQG+ch<7*HB2cG14VvrPNmswA~e(J_7}MS1*Ug{FunT(;vL|TtWld3+<$nv5wL}C z_wD8kz56I_05g`St~O-Kh1~!*R%tK5^%)^K8 z8MCa`cbx4jxhVt4`0ta{I#@LoB)&t*wf)JHT0b5;lKCLtHKo?;)D*zU3+g5uJ*jo2 zSiqVC<~gZ#u(oO88hN>%loU)Q_okw*i;C@*NXzrm5Ac>}@Yo>tQc^XTtWk z(c{e~rTU4tSesbX`VFe}g1)vVyhUH%5E#2GKcRWAjR~5!{E)x?!(aDtzvi2~7OM-j z{yHIo>aXuNfbxte_S%yQvaQz7i<-lGQg`cK*&!-+C{3p60q+K&rS)*5V)vd@0AAWd zN5$?vsZfONiczt9Pb#VkEpj^f>$N8p^KsAgS^2iBOL&F9>Z)XD7}J36FfGHs&DUYA zr6u)_IT-P7r)tSfw#q|?g;)>HC*JhHZ3RC7R9EMBkj&F6uY%IL|Eb^d4L|-db-bl! zD@aWehTF-r^g4z*NxZ}6T<7l#W{Y1xVxE2-@shmN`D ze2zIwTR7YgXbZ{MYNQSvK!ObMcBAC8$j0;%zv7M7gYVfm!VJgGJX}9Z@kUJ&*ioHD z4ac!zke}%fK6scwdK@#U&e(%W56_WyqVlSe#bihu-}1l*xZvZZIBAW#jGA;@oB9a5 zhiQbJoskt}aeNH8^TW(JLY5BjZwvJ8FDd|%o+-caMFmX4D)sa5w8kchB%1;|k&o*& z4TvA_l9G_)D?o&2e!K(%S|yQvS5DAw0}`7?GCbRu=LkwSeXTH{w3Q4G_XVH_C4wSN zbSoL2ZcLNhfKVB)Dq6jIO$)+8V&{28l|rA{Jz~seO;M{=5`tQ-SeqAAzQQjr{Vr8< z!(fc>0XSLPD-sTSlRNODkjedw_i( z@jbu_=2!5egBaAq!NYGu7H;A_7#ZG(cFxR%Da@7;pGqwpOZAvka12Z~Z17B-1RFkG zc?Tp{Yl9~sn) zRcF{A{FOwBqfM~v&-XnZU%c^p{tXjox99B2upBNYF@d(TC@~ANJH;I^d=bBoAAe$a zWIHXgJ6&uObrJ;9`OCInzfPC*6W+=&#(4bZ`msa2e_IUijQ1ZC61S;A7y|kD5~S4M z4?kF3PTPU4!S}xYTDJMrlQPNDbjN*cp_$nF*|>?{x^7~r?IAdCTx=Wrf$xtVETsnP zWWE7LZMt#Ql2k#PLg>ufNpAM)3_a(p9_2`x^i6O2zW?g4{>tj<4G$0S8F}z36t7#z z!3$KlY=MMy4b&W7ER4}*5d^QS3-W<5K?ffLhTE>lJ8yz0{;;sYM+IQ_W<@>|RS^9e zI5Y&|Z7KNJ4^3-W1;HZ?w-th0CIRy~(ioZ`I2=%J5GFZ#oQ31g_5qUT zFxSJVa@A!SnkLMpm+{am8KSK7t!Lkr=yCN&H@n|AEH`>rxXUsgI@}d{v~T;YC;sv0 zd%;EDKx=+0?<4A|l1M`YxJGkC<32DFG(imMVBH(7YTb#QBgxMQE&<9Xt1^=cZUpjz zNice}C{D8%1s(zNA+3)bJzA8Bx55yNa!YSU^RPz?2x)tc(WAwImD>|TRakHd=Q!z% zsNXpR-BizEHv5b@9QIdtH{Ufo9ePkpdBlW=`=b8IRVcF9}2?ZoD_xcJL^=&|i!2N})N9S@;;-%tGZKlIE;*T8wW zu3I}|cN;@$mzqxroXZxnsGL0oUyDTJEhbPhDFqTZ+VUnTlOl*QM8x@F#ctiBHW#m{ z2<|(eWvJnBvP8{5Dfl^3&Dc32ZoaySP8=sY$FWW}K18uNazz+8kh~8`h9Wvb6(|L?g*0mmPh)Jubqj#@plK?HO;6i}&YI+T% zuB~5gO2S}fcvyqOerR~EhSuZPg!H4AWlS@-e-|9)n{SjZ@iE3>E`4%KpWH8xKDkeS z(>K5GdpwF!n`H=$YQcJRC?>gZod$9{ys zNTLpg8TErjCTbgB7ftFIT^looNRtDG6*7jBVGkKQU>MS@TR3l+-5Yn{)020=jq@L1!33;H5Pz z7v!^Pg3d66u`%scQ;(S-loP4ukTJs8^Y$DiOfpGOZIB0M=m)niz*K-nszUQL_Y->b4b_C5a&$>^%X z%tZsi#xkA!ahOhCp4M|U-V#CFT?8@j7PVGm8R&8|16_XTr#|zUOSimN)Iq>v4b#G! z?S{MT2gtt(>mqC!H5xNfeRme&LUEm34P zLK31})5VD8HOXR6X?X=_nkAW_G)ZJxJ6ty;cOdpnYtD`})MB~i)$IaD1sGMgwItIE zJ{l7!vdsI@JeG|(X8m?;ub&JLAHdfL0f_vH^_a!*J`RSrJjaXSefNv+eC64~na$@6wfA!Mk+u!;Q6zQLR>1wThTHRAITjHe`u-CJ1dxx(xfH{ytSY6m~ z1hl56Y9?;cVtDoL&ACPES+{7tV#xayAAHAWeUdj%Y3$sl?tHlDKqF_YJ?+6SC5;w0 zHhu@hjpUV=37?~_{IE}eL5d(orKYUd0MI}$zcWv#)ZLEhN0boJl(c~tk*aesb%(Es zu*9KJm28W1F?BcEY?>;ABi*Kx=ClTcYW8YtM}_H@e=nnTdY06_wnQHG150^N%nj?+ z7+pJqigifs9d0H46IYG@`8PwKC>gA4FRaZ~)QdtVfJ|N|Ec|e1N)Cwi)=)*gI2=$H zrW*%JokExvB*T+@foTV9E`sFx*yAk~c&(+G&?iJjWj-c=$TiPXq&>L6@Fmh7h-B|s zmUgMS=4nlLlai}G>rQx@FLrSDw1SMLz?=-mwTH*~0!Z^R?3<2ad!FHWzCfm$vkKVO zg%nMaJo709YPa}#6$dQO4z{lF_v~wXN+CJ=loI*tZA1wKT$Z|`3F)fm?(C;@1QLw& zE7G-|eqD(10qRZpa@h{~ZUINs^m&W$Rm5&cfA{*J+D;`vdQ-lB3X&_GO@6}&$xZos z#lCvJUbg-G6)79`M|V!j*9*=z)C*)g>@N`Hus<4~QsWppnbPkk+rEE;aMrO~6$7ll zmTdy`8&o4uzS0+7QNGxO2B@1@Y72!>=MLV-Vq0nLErSR->KLbHtMmJ?IU9g_Em-jE z)#*i=HJJ-o^cyX>OnB%`_f))r;-|D&CGG@l@~8xc-sXWb#0L|9JY+T_b=G;ax7q!BgA8woh)4gE-)UNE`41J<>XnC@*&He&Nzm(W+ zu*3$|xRC#Zp~kOE!UFOe!!pCM2ZxTw|4GjeS9ci7n;!6}dtOV_mA2;j=kT6APm^@D z;ga58FhHXXd6fQ=iK49rghxe7Up`~2QIr&vek*yl3TxEOQ3UEbx`pKsYkF~mp zmt2r9SJz0-DZqWoSAWfa{PGl+wl~rZFkgq)mN*2?J0U@8*W;;vAD z3~$_Do|#$&;k38dM1Vv)OmVni2&AHbZ2R%G23eQWpU3j_F#F+chuO!k8|cTHP3#MD zvODtvW;huFA-SFfV^s91-ABIgiBsBlzu#;HcCYyRtSi&|UE7%J=ynfHqW1Ofar=f_XfXR0Mj3}qads^CgbfNofK=RHGouHCVZWzj}`sl`jqdh*FB+a?=n!jeHHnc@BI7! z`(OX(DtE*Y+Hm(4Yl_k>G(~kQbOo`b3D}JCGL)~7l%a|=K{%T_kPn>y;JRf^$OWk) zO|W=XERteMdLzi6ybA7*9J|i>?8q@C!AS+6Z}b>XOi}>$#__MeVe%<{HSqO~%BaQ} zZUO4Q--nBRaDGk~3C}=@2E<>~nR#E1Xe-}tmM!dN>UsH^cr=oSDA803Z^k<9hmgL)t3 ztMXP?!AhDByqea8U660J308K^Ju1QqEptKMOcQj{{0+hJ&ymNa;fj5p^1fQGt;TM(f)rztO*t-0H7$=3tl5ObHb zwLGjDZx05$XbZ`{Gq)>9#{08JN?neASZ&ynnSOS8&+O>?Ri{@3Npjr=$w~c8O{&^% zx_!hnwaQOLz=H}|cePY^*}9^CQ@^!{w`>(z*?M@0T`GsG=e)Wl;pL72Mo4y&LqTYc z(NLDLV(NHD19{@eRMeIO+GN``I=NbC)Y;u~(cOD9W8rgRPq0yMB6T=p;iQt4t~wk) zt7LF`W&|a?t3*8xfn>pC+s_|ASTaz2eS@ziJn!dg_3~(+tJRmJ_FcDgt|o3#YZ;fM z_RS=hM_tQdjtc?FlfwRg6<0p4A6<@E6f_VS%qZ{802WZ zN}3$?Ele+OEn@O6s_8_1x;1mryKu{i`8e4c&$4o21k`SHirfIG8bbK{co)}5(+8JGO(-}bkEc;g9K zU8tuyYFq*e7R?U&d(M!uY;odF9p=?jF;R1PT(UlZlq5Q-S#yl)qNq7)6frf-%cBSY zU)lgB#w8v^bOQ1YjWtk z8s;^kTW4uP(Mmw_nljYwCNE1JqhH*(qp!yuQjv|Go2_(xzeY9olQpbOMSmNS)I4zpe#O(skB3m=nIs-0)+Kn4IBq>k-iR?$8|1vjW|P#2%q$f~*V+x+a2o1r>!gPs zcHnidYbT@(64(BPMs3u;=8J-J+*99eLJ0c)tb`E27YPgj9iHsnhL2S6re)g`LONB( zqYn;^fw%4@hKUYU42eMkEbNbdhEyV%812{Q*Z4|TcmAm-rGeAlx%=3HF|78g*~Cvv zoqJd2Ysp?M*{fe3bjE+@Fa2N7ylJXc!l_Qy9ZWVYi(`HGR+lYIo?f9W4W|cf2$(mR z1?fhaV5y-ay}l#km*}NBHh^xF2_Dy5Oi9MOk5G%Go$1iD(k2Lo6S`6CfWQpuMo_X8 zx<1@2H1De5mi?D$MJm*~A{dgTkVQ%qwWsAPWY{EAQC39JUK@=u*Puj}E+}r4Er~iH znl5-X7=D+RoMobG7^Vi$14Z4wden=4AAvjNUkaSD-$#4}EV8xV9`E_5IWk!~6oLlg z#D&TDJP@YRz<3%h*(HOpHN=IR{(Ub-YInmuPhK5b16a^mGT$s{&LJ# z4Y@!gIoJ>q3YaE@l$wwBW(R{rU7pu$e>*uuKWkJB`=t{)+fX(@4=eqT7=!~NAz+2~L5 zvn+Gv|4<@iQA}CdUxKu0nM=C;0<@?EDkBfDUlqPz%fuIAvnq+{I>>^-;%?Wy@?@;2 zU4XKm9KtM(B6B7tH>?>dY8S}z!^(>lCu&po#zfb zgA%mt;cKW15!MWkHb~t-UMm1~wD+{-(O6NtK*5c`Z`RFW)SIJA=c^qZLmIR%8m&CY zF6Q%;I!KiS$+Ol72ateLK{Ck`a)t6M0ob$3YfgFx^%)bQIJMvPCBVOx%pr*00_d)Y z4ZQ@|#a;q_9D51yy?F|@V0sB~%=i*;^M+r75&k&z_~w!pFxM%Z>0z`5Htq2bi+%}( z4wA22gOki~jbBhAW80U5%0U8lP7MvzqIgSvf0XR-HiUppxknvTF5967i1Up#MAX&b zna2I;hlltJyt7Dw*Ynqo>ADF{S-cM6bc94UF2}bwEZ1X+-JQu23oh1(C=f8mvE&XUr2bv&q*~{ zYoPZ#`L(R^3M{ojOM<0VI?!uL6r0__E2MZ*w}+6&70CP*jAGZ8#ia+$Rb>88NGP^3sYoW!}Up(el`TjhV84 zw!h8SvRSQI`S5aGO#a~#Xw35A<&dke747fP%KmSZ3Is0Z7~0=^_m_66bBbp<6cvxo zV-IYipZa_ph@~g$O_^uGQbaHuYe?F6-tt3f-*5TZAA8?R9unw6783aDYh6+U>%Z{3)yT*t^K zQ%Cl<`FggD>cSzgxt`nMGNgYmL5$EGs3<&h+sj{sNwwD1(#(h(AMzK{{t)sXy$J~@ zmL(Pe=~&1+#Dq_eK@eS8Dd8pE3330dW!Tgd6JDtApT{7G^NkrMBxKKOvXaO#xb5QP z*Bl6bEuhTrOzAD)x4Q$sUC(eV;J2FrzdilZ_domTt?@PHv|@^%*snNPnb|Y+GQ+ST zGhV(b`8X4-rH>=53!LmE=*Aa`bRAuY?AaRWvqsR3?;5E<^rdO`4EXOx(2XzH-3}*? z^+b-hGm14oM>oDnaMe3UH@*;DAJ7QL6=BX5^5-kM@x?i=wlMc1$S$^g)xr2;N&O+2 zG$h?ol$X*g4*8&HS*@i1Nv4T{UrhBqo^M@lKgqMs13muOL{Yw^aKCX!*Bmex1_@`^3g`C z2*E_YS;MrUMk`uU1EvSSWWUjhmed5nnuj_S13$=V;OBrzT2d24^h#?FbmOV(4fdra zt%7^h$W##hWfDsIinOFvaIDcv!jRIDRk51=KC2SO+L8{|+-`dlvL%eIl1S)D3p{2I z?=(YbXE|wes|Bkc#C8FEp*AcxZs~V1f-V2jW2)DGAF4y?y2F-uj%}SI<2ISnW9Xx0 ze$9=BvCI6LWqwUb5Dg|@7t=hye0a|Mnzwz&!*BTuEn!z1401swqwvcU@Qv-EbC8ReRaXRPtywVzxd)(f1VRIvV4`=@?-Mf2F%-wh zXE+d9(ustlr-to&adg7b=erPU z^BW+Gn)}I2xb6!8i<@rwr2qb?euSQcv9kEWmq5DA@h5cu+3|eKRVbrxVrHDrXGm1{ zGSh%?Bq*jJdh-tOJu8$C;9O*)9STU3o}idL{ugn?eg77F3dWNJB^0b>JifTyzQ1JR z!|{>J9>=bVH(vMeb2SDi;*-*chew@g@Qy?9gnZ_@neqVY8?;b8fXjL1!Kvk214zW+ z@7(^4^)Gl_rTVT_-pCCS8_o^_ls6O{^0AW3l&<6~>E%JZfTzP+02QG2V((v;jLQ3npHLz&-~n+O zjbyw#umU8?XUY{rI$Fted%$KdM?Y>m7AM2eCsnd)&C+91=CQlhGi1F=c?X_u&x!74 z>r4aGa|d1i2C#*)&}G)fBXvq4Z*=7Z^)X&{g!V*TG3)^C2yN@+R1nDOo7+JfH*8$Y zZYE&3bX`Pk%10^eFO@()KCMFnh6@}p?$5_2>@SVXVSiYMbF{hiP5W|MmGwHM^d;Px z_Fd|fcU`AE*McqC)tkw#e%<%~iht{WY`uUMBvfWsqC0MVD$sOw7gniGNp-Me)I-5O zC8wJifZh)nYf3L*h?3L20IayhOgoxlByM}8nC=BoGwwL(gkx6v?3wNb@X(lV!WHR% zz`~_wJNE)mip6jlF|Ye0NIV-s_X1e6Em+At{DxLAcmX_i0ZU?sriHBm2{~GFh`7_e z06Jq47)kAEw)%`TFd;QHPz7ot2wMqaIoLO)FX1zeZll$JIUA0|ELgZ zpyh*0>+-jNp)4jFzhH$FExgO;1#Yh)-sQ!R-?brM`UGB`K7s${L!bK{f6SR`_F&KU zQH{EVzEN0Rm|aHc+pWfy;$ZjFwg~Q$ZbVT$VP-LNm~Mm885mZ z4Y>)T^2q!lLISjLYhdYuG~`tf-Uci_1QFE2nx++L$W8FL?f|r9l#Xt5&BgkPG~^}- zCL8wPF&bGlS3PMVt5H-7)ub7N$t!bW&Dz!d+~UQr2EGn!y&49w^2#99waTLugRSACGuEZii6u5h=BZ@Qry)B8JaF_=<-Y zPKU{y&{iP$Uu|06-9x34U)ytfUvsdvr8#fT$N@5MXsewIA)2u~^M*5*A4)O)>MwcU zKU#Zmq3{3;E*wX2LgfKE3G7gOJ4q){sc$7?Y_zh#NU9(dgmxOapgdAV5P3j4?quCv z_XH(}Tm;Lw5=0@WJhg|GhpY%zSS67Ag>>EzO`T*HgK!2lF6bnDD9*SGQYV=po&fYV zQE&$$`{t@6bOSXrYGRTP3R*J!Jd?^xbyk5k-mwCshSNh9It$rgSClKdOME3;b5vti zq@gRyl5oMJ$HSN~_tG>FH3|c$s8QNxV7yl;c_q!Sd55He+S&^=;+-}b;Czu$udEs@ zR^a?*Cl3|a>(ykfDB5FY*_;lg81`AFfe~?_G-XfQ2cpYv4ek3O9$qU!h!FC;?EaB5PE=r zUIaI#d(Q>MpSMHX@Evp}lo@9(NZVPqU^1=XhgoRKSLK4@wwWYak}dITkj&;yNtEDqu)!c^&eo{wPTs|CKy zaLQGIWmeb)Q%tPW2^lGlAQq>@siZ6u=y zSI}CxB8&>mUdL=-BDe^BM?y`#H&^I(LG&hyDNegr+9RTBJE=k`^& zQ!(vNw2A$tse*5K%qqwm@1+BIuYHqmZzzUJ6GiYR!pjYRB7D2Qw^R}s#l9{w4n_4Y z{zTxoxVwzQXa9u{yzS?_lAt%E%{YuE5>gd@wm;FWwJm4J`bT@^ll`zmTMCc<#BMC? zPyWPM)E`vNc+emHiSd|UIx#Hf?*IZ8iw@$XHg%H-6aa71nfDmKfsUWXqDOxMsU5A1 z5G>G>jCThW6kw+4Pe4VVnMa^8RMYA?1TmHVh1>l4g_&~Q^Nh@aTVelHZGQRi>fnKw zO2T=qKXaJDgUt`GG;F@`hFcDy*<4(J#Ra&0(Q79!UcUIsi4(5ljGT10I^FgKbZc`odPkP%9+o zxgyxaHC`@Xq256kpbD-yxCDj}(Qrx9yC7Wv6Fg$3mGBxI(P`AK7{LTU5#xwlkwC@f zVkD(tOCmsL;h*JvwCJ{yOevUT0#|^ewyMzOh z0um58`a5j{7JKf;CrQLPqztSnMCc|5lqDo31akgiAmI?e*nERS{z0afo!>@WUW-yQ7@ExC|Arg&>MV(94c{_KGLge36~Co*;21pYzN3TmNC z-U2{K-5&5AJP8r@D-2M&6Q*{$hjOm>AWPh2_XA5{$OVxln|~t)VyRi2=W~n_L0y~p zXQCE-FZj&y6 zu7bjZES_>A2FAIPouJwQT;V*s9BuB#$oJR~*P8b9XAadeApyf4MgF@zjq#O#3@R^e! z-)Ix`xyGQwMPQKxCCz874_jchC0YOjZ^_r{S4bU7mahn6nlsVl2rJeApo>AZmiCf{ zH9;Mzj9%IrPPw3@VNGyzKq?s~8gT#gzu=^L9CG z`Yf$zf8B8TC;QI+`Zq(LC>0Ez89MvBFf<^-d36{XW_aiH=&lI}-O#9D!y{?;ZHq)ZE_bO80x>Z+)MP&FvU6A;TlvxL{X zw3SvpuvS<7ghrHBS49eGr+2`Ve@4hOWSP!Kw(J;$hm4j3X*w4Y%y z?W!kLkf@)BVThEx)_jjuFu^Dv0=- z?%tbkgCyn}bqRgOC-lIppk{vkPyXbmeXZw%^*u6j>GD<~C&^qNE0uVcm6h0DIPG#X z!Q)9-_r)a|RWqafR?*Wzfr=!{;ybY&E@_XbW=4sylA7sOia5tPlwoy#F;UGNI}H0% z-)X;Zoh&Ih7sUmfy;5IdtSws8q#mzdv5-s5nf*S8?P;sJ5XJ8Hhm?G&ur&>`EiCq4Z_5{XT-BhWI!9!Ge5MR1R)gunEdbx~$imURoe>3!n57|PNos|-f<;&m8NXe(;Pi^wPsW` zdV2)GF@4>FRMx$X_8GNtn@GDcor-?Ejb}ug;C+sO zO|8WSTOv_quq7}6pa*v2gGhGa+6=}sKmyPngI{+Oj3>~&aDKA|Imwe-&@O-7-jMjL9G@l?va%goueyU_VD^v9(eig&sWJQ!FG#6N& z1Elz(@kFMO?JOX^*sOcJ(hP#l)cGLv0ibI%o)}xMw48g;UbHByWIPSTiO|1m&oLTL z^rn(ylo-x!PcUJkc4&){EF@rCy^i06Up>HKkyaYa835UT#D(_otDINIk~Dsb_B0jm zVja4m_(Vd1J+hnri1X;%lp#F0B2b;2*-fifzhoaV3{SU5Vrz+>RqD0L%GK70LJD$W z<$9g6a?PlR(`1=?I@7wbVEqZ7_eJ0M>{M9e@cwSo;{}SE+2Q?|AlQTTIWr#Uj7 z&!jYpEF&6DW~79mMpB9~3TiTHy`d*~O;Vqn%)^XflRQhFb8AzOh^p>11&Q-bQ5-t! z&KVx9d|7@u^7Di!h{Xqcm^oqq;|)B8h@L{!4VEbA^~X(IZuyyZVo4$1ObYQ|`&U2e z&pqul0h-UXD5zw2+5XP4Tdc>i&7B6Xd<7HA*VqI#UZ5ZbQz}T*1w)Ad-u02KXLzMo z7$e@SNLy~q9Bt5MG}Kq4ps%~x0Q^y2XhWcJ-~sfn?lYI&NJR0umtE8 zL6W$^aP&#5PE zo~z75uU`_P-}`~z{8?{y??M4lEhh9>yI*zy{xq;ddKZQe48TkvFB?IcFB2^03;2dr zM_QunL0}GvQAf59eT9YKr{XQ?U6|kj6-t2xp=;e{BvnCb8WRNjFNdRY80LZA zMLIOS3ljurisOd>w(QMioiv;@CT_HpSPOG_)-UwEDLzsgT}0TwGxi9~D)Ziu#bLHw^OsdSQ%giF^x7!fsl z;nYuXec}A2`xsHP7Z{$wJUyNvbTKJntQ-!fDgKuo9oT2hY@^E=AT#<(K{7nR7f4f+ zm>UmA2M4S@dU%2_%JT+E96i4iXFf)k`7y#~tY2gE1|K7=79S&ShR5i#d5pOID38%w zN0K;;*Y!wV*GBl8JqgyW_*91^*oH4^$Z2((i!PE?!4pF$7IuI@y93ffHEYyuF9_kU zV=ue)g(%w-MqlG4z4s&p^8VZo`|mICT7~_)i!LSMI;#D6!Z``o;d~?Zq1#<_iL43& zd4F#E@$rMjO+^h_670VIT6GiOcJNvONj<)04=46?(IN7-%?{t5INuxnDfqU(iSwvS zb#fnUGv)BV@P+4}&uocCC84$Us&%WNO}4s1ZuaV|6U^b?oe6;*X9va*_DcLRMglg1F(`KYGU$HClNKHIJdzd&pIgBY zz~BMeY1J6Hh*A{wfIKEG9O#UKtX2|SFeNQ2(7BTyxe&OQR+F+Ha^>mPM zDIc64+TF#WPsN2a_0qZkg0srBgD5d1ON0_c(&XeNjP(y7wgGDnrV9e?4pG;`)PWb# zO%G7gXYm|D{Ub{e_6P6csI3)i;--hTIhszGBABvp{t!s#FWbI9fNAM+C z#PwZ-H3GgopxByC{IsHP&jq!W7sHLlAOlh_;gSr}u&JYoF-^``j|oVNn~ftF+%Jp~^jPCyDM)hpoxmhMwN@E`l!NLOi{s1Ju^{NIX>YIT$8tTxQWj6_&M-0Y4& zef%MFbLhoMNj>nggE|>@BRxOY40E9;V5sH6z~I8>2})ruxxDldk}=R!QvR&P=Fp4d zdc*Nb3|>3h;e4Jk%mw!r2$*qn@Sf1N2g&&l5#q@35Mir*h-L-3YOMMX5q7SuAwv%l zj(3|6(GuhaO9k*5BBL54($c0N4FPsmc~y0u0D8elG(h~sI) zfB|ZL>wt(y$Zi5BQ-yqQW`i5`;AYX0Px=xu6GB$R^(R zc|xI{393Tjh=TpDT{6VHkVI50)(&ui{+jfW%Sk`6N6J}>4Pb64bP;|%A?D>zL?;c_ z4kY}A=F21}t)2QfIqnmWL%)bQU1W6CnB7KquRB*MwL4r@k1i8GyNl$X^i+>tvJdFI z;z8lpuKbW~%aJ5{L$K2W+sT+ew;6C$&LW&*Lv2h9vZj0vI*zRQm~r7YOQN-K!qSi% z5|d4XE_-fcgEFTAunJWZqY%h8kK4iK@nsI1Vj-(4#jo`UExaMicN+oQ)bc|C+aG-6 z`+niGofOMnuV-(L9?zpC<$j2@v>hbUD3q43St0;BAvI$=LAHuD2<*-`Crx`>Gu=zH`#hF3{U zMR*mVywS4f?=FD4Fqr=8iE_{K>0N7e6|k=yN>gXH5mex&jA+G#D>)*i)5PPsV8Gb6Q>R}OOseUHvE#%n&;zs%ac2isd*I= zL0|D_-}p~|izk8<+-jwX0(C_YyCEMGy2>_P$*3FfS@ntuj`nVg{w@tpqrKbmv=qV7 z-fij?JM?~RmZLmB)?0Ntk~In!Gce1MZknxlK;=R-6>?V4ZIZmyHA*{QZGfYb67tMpX*COO)RE^o3)jy9t!VMh6lM!V7VZMI+Y z5!%sJQ@ZP?+l{hTmANTub-Jdniy&iGK6w6JSGvhu{~Y_!)8gjywSN~HtT#Vm-lHi_ z)1y6HLsysA98pd{}OB{}aFwSM%{gK;xG7{B(}pZSg7?Xig7jMiuHHpWV;UG7>* z?UXI}d2B5}#%5?rQSuqQ-|<+$2fkwT8AiLjqt7tfN2+gUfz)jQ{CDD7Qlb&Km9*HE=(BG_^g+n z7K|#>)8NBx^REucLfQ;W;K+U;Jq_5CqHjGz3klrQ__>A)IL?9f-kH@>YA*5v;J2E2O+9wVitW6Y+#-6P3KJ zU}BCcnSoPn0Klb=8FP2+$l37ac4S7T$}l%L1)K=%<3U#o+=yebnash8;+s8D#bimwr# zU%Rj;mrXozXiAqWg3r@FNP=QDNsN9}SS0v&dN6ds|C(fRG(kxMFnqrDNk59diTWPJ zmqNduZkN9UeeU}GP+?xadAPNDSnPb)o$yub4e1wsVB`20N8QUR_U`CiqTr@yQTpKP z1wK4HKUll>kdvYaG#j@IZ-W)?nX`nK?sagfJO?kRhq7*3kXT4h)hlVa32u{Yd%a3q zmcjw0!zUbY0v6rtXhN4xa+{_)nsC0+ZDdDNsvdUK0O9;)+s|L>`eBprwqv01Yw^`S zn>eRD_lw*0?ib(riV{%1>)H3b`@&kEu<^jZdg=1*Z+*wb<#W%zbhS-Ct?sFqE%DL| z*z4K1y~EeJc>Xy^%?;M)-J-?qx*ht^&;H3D`iL7W^lr8sPJ|*Vy2{n9@LVkIx@9lt z7kW2a*i)|9^||L_(sp$0GmW|(8hwU4fdWnzdQr<1_!?Y9!q?cPGFa$^7~zUc+8#P} zJzOv)ZAYgxr$X=enC4jMtr))@jE%Yp;pkMlcI6{uno&HCw+G23O}Fqqwl(fl=q=+_ zaP8X@W?#o2Y0{xk<2#X+Yfx>%aa)X)sg*jjDe6CNE!PGIz{c{xKaxb;|ccInA(qh>#TjO zfnjG*ff>&;G5j4NqM=xz!=TmW#EQV~OBUpa%tb5ci2$5q?b|x7=+~qbJr95tS2FLB zut#Y!PlBEXfFSBPilT>a(H=UaYEa2pADC9bk~rD6Hk2^@yVaJnttq)713)^s6!PrC zS!R+%(P_`~Z6rNa!=H$Ek85tpuiHp^ss`t&H(VMb$}q)pxM^T+>j}_QdZ3;dTt?CU zx(+f2jr}zPZGW4u!=Y{iv8yi3aKXl`zx{<5uuzVl*N`qP5S}@Zt~bvdU=w+X>t+t7 zF!{ZL&3RGp)bgzbV~P3`|Hw#e$|i=p;4 z!9u3auJ1$1t@?`8zEuztZ)HUkpbN)_3yStNNz~=Z$4dRj>~=o3Xx}Oc)-J3`$u08B zjU*#BlLWSh+LwB|W5kwjj+7rw5)dD@Pf&8*A9@>a4@?>1K#)8MsY_ln-k%-1l+~Vq zyH?F;Io$brTJ>!|4J-d?5)@T!E8^H#5nxOZDzj(>n%TOdsOlmZhfm0gQBYL138FTtt~V;MS_bnK zMOB+1i-k}c>LQ6TJDRkD?@ioMx*Ap0CP{E9*F>``)oPX$Rc(^!JCxHz zaI$KDb5+$v5)KH7Fkx{4f6`i^Uyvl%$|n1KX((VI)tu#{=lfIAaEMR(=NWHM;S`mE z3!U%0X1qf#iALz&6~$Y0L_$yEEtnSLP|=IU^Cs<3c14Hd27{R79)ZI$9sTSipeuZ@ zDpso?ABvN2tsF2PzE97VsPItVhwFL&q|%51%7?Z~D4_cf_JJUj< zw8=Kq_7;vOcI$ZzAl31($yd6D1cl?t-p*U%cq+@^no-g>abo!)qx9+T`r+=c`B)-7 z&(|-q@?}wx&ZWp%IKmiF67QksJU`Z54i0df<$r&kLgj(z;gRN1cZ_ zqnHrDUfM%Pl?S$5Ga>8Jt!y{{nWv-1gNLuf7hsC9N7kazDD5$Op;#}BKxhP$QF3Ju zNK(%%5NKn+WUaXz!Qa?kw`6ZjGN^F0SGPx(=+2DN0Rm}|!WRBYI@k8*x4=W1Zr?L(iq?zo5F_B1d23w)^qjHAJAv_0K?VXNk4 zZtGh73+2h5&~`l!e?iaQ9eQ@&Eou$Yf}Xt^X|tY%v{|p16#VA@=hyw%_j^f3>tklr zOSO#5g>3ZzmIA=XwyGb20*5uYXGIXRgVb!4HAJh_7o<@)!Q*yE>(4{m z-~kt;jxa%oaqNX57rY$q(PEysY!xf(m*6UU-}+FGTt39 zPk|na7qrG)+g6h5+15nnqOf3s&9^5R?+@~zbQEM>EIN_m(I*`RG2#zz6UL!Oz?>uZ z0}RGdJcu;bD01>=Mt%c<>Ryk;#%~3iB`bIzw1YRvQ#hCvRH~KTJxoLjFE@DhBxhKO zSn}qKVhnu-dtc6VFJ>%HdpC3W)-+PB|NIwx{xAKMw^VCAwbzb_s;@*=V9O(#9xBrc zuWhCMg!$4?!eGmzT2F%18&)1>rCAb)P)bcHSBHC>V5HSUK$KUcS~o$miAw?zRIWW* zJ*ssR+^sOwTk06#OV`>+`3k0ocisdKtF3lQpN<$U*BqK^-30fw9T8{So};MsDk(U7 z)=Le$5Bp@M*2aGe=gJMarahcfrHa%uo3W zN`kJE33}7kzyu4|c-R4bWzbLTaCfkiTS7cNN6 zVJkY^Wp^yB5iTfs?Xsd{Qz~_X3-VF06%Q4ff*A(wp(U?fR#bCM4+zs;5HO~5)V#Jy z0^NfQI3z*wslKEgdop0;Ypz~H2^wwipMT-0Wfh#oLZd2bZmo|8i~qSA@=x)5e)eyM zK2aiMlTB^eUqJg7_L;|leWpC96W+;^O`eY)zwxHPdAF!_AeU_N&192*;?v&x;Q;X0 zbfP)omRx)lv&kSA1#XgXq|K(VN|&>O6_45E(X?~SCIgW}BouP0SWzPiUoj+{V8u5& z!3D7V0y1*JlubU6C5ai;En`9Zarn#RZR_lJVNFSQv;tEV>jOSSRIWq1K6feN6e*1R;_`jL(CAyf- z=}$j7)|90*;gO5H(!R+THv}@*1o)R%+4QM5U7 zzCR2hNY5Aw2Se@*q{xuD>6z(fZf5%JYj@iY3DHy~5i?~J9Y|>+QDUq}g83l)Vbmfq z7Lte>G-&v!GL(=~Cnc0|3PBX|JnQ4P*81)Jp0m$;-}jx{JqN1iJ^P-ue|w+(u|A*m zEW}VUakK^rIgkPT6dWT^s>J2@F;os@kQ_#z76nfM{5Km;XCc79VPrHQf#T1B3tFQi_q{0M_<_@I8*pNSE6V5oEoi0if zq#_Z)JrsnvQuY`#AutB8V0x%{0fa)?AD&X)27+^JNFW zhO#RdLr{D|e-g*Pkfe0L^G_N^+QETr8L-Voqds%m3J>N^)a%mIOxbJQuWrMyLb=YoyAkTc*EtQ;%SWphDf z54wRDU2=6n_!Tkpt$$)DaI!bS^1<;CgFa zSn25b?%>L(vx?e@f#Z^7zCB3vYeN!HbSEacg9lm86XbzpYc5w)`kVbK;YQ;0U}tt< zMy$X6i8Q!6py96Swz;ZTlhW_K&QK7$DeTSr4v3e5SF9c^Kd_of-lt05U7DT zx8wLyZ%mtfeOn-I$Si*sh|EHPF(b3`CN3==@;6cW@fUpmFZhzaHU?) z6nzd{EY&j#9y`Eb( zeRh)N{s44m0mQ=CfYW*An1m#AxJtP0Fsc157xoLkN|yenBy4Jb^n8neV`B#=&J#AR zk8?_|XPW_rn`t;@KhKi}NR3KlfoU<+N<_Xyyz=JO>mKXJmQI=2>)Fd!|9}(|()>Rn+oo#nW>c<^~$xrtce!sTwOOLKz4?d`^4Pr@s5SZ~EjjoFk`tb<0wFj$y}1bI-)#)&l7e%+`0e*)g{dwsQ>P=^c-3 zd_kM9a|Mv*1A%Qd)7kcdh(YZ+ya@|Z+0XnK2)_JlS;x ztpqAA{6V1Z?|*qA!T=8v3H`7p#v1ikT^dR4+CGa~7Zba@NXtP7x5URTiQZ|}#dx0R z`|5vDzC+CX>V@B<)4Z3=w+M5l$<;?EPWmRQyO-|~&O&EDfL;pxTlvaQc8tHQN!?vd*+t3csFlso~9)h?Iq7PE=0USLUv5Trlk$>Ztuf;Q|3FpEBEpX&uEd(wee zp}BgP-KVu}hu$rj&_dpNC3L{$$I#d|L4ZZXd0}sPU;Lo0b;0d=3CD^ng6y{T%^1YP zB{6J(jFfEd*$he$rCtCmJGp0M&B4J-y#V~ZX#asEQkY}}F26^TMT4WG_Chw|BstM1 zc!=ieWbYsP+?~e{8_wjRbL2+q;~}#4YK|X1ZY}~o8X47Nd>qtQxp)3AY;}C{H3u%i z!^dr)&ciG3w9eRqDsG-0HZ#7?PNT!0=4EzS_Py(9? z&%cvQPdFrn3jt5~f}Uk3nI7>OQr%>e=EUNqK>+L-V5TuWpC-C6Q9_eamuqGo7A0b3FuNchVAnvx^2lbJ}ca$RqZ;N~#)d%?(HgDjND?@@E*@!Q$Bd-jWSRbL!zTAJ~yy*T){ zr9Ojv)YM09FAl!+7l$96#qEh+94yqoIQ%CcgEjWz;B0UD;=Jd22p4NXd0x{J1)0)Z zhWbsZ1#zHLDIRTSD=?!6iW36;4!L-Qc~1=4+e9q*trE~R6zGWoQ7ah%mG*~oT2Q1A zsK@7aRI64fo*nY>*R$2OoIhXtRD8n@d4H*mrR9*O%*Desehw%*(&gZLoR(WJXX{)% zT~texVHz3}M$0LZYQM2Ghe_Ri)g+E=hmROBuggPB0tpz1jU<$=->NCJpM zN=6uc2HZ08=9wViZs2F2AaYIWOU8=wh`Hbj(+O!AFh*%`j`A$|p#kO)WE}3O8O2yp zo-z|`wJK8ks7(w(J_EMJWD(m!ap(iV)(g978G`{#_>HK9oG@e8nX;ajF(@D5mz?P^^6Pg=-?GNDtWMbO4+bN^< zsH=SUP%EQjawuBzFhymJD?=)wiOW+oFHfEI$^XJ1S$)|_yxdflht}c%{?0+Pn&JZ} zKcA1g8v63d^-SY&m$0|R^~@7wU}M^J+>szO1qU#E93MdU9Ea}R-el|WJo#+g)i7A4 z&N4?Du7!`rUCHp80Al|LjOZl3trj;>Tjh3*55Z3PpxqGKB^}*7>+y zT+_jtDKyCAc3}z1nkRKDl~9TxO|N$UYC2VQp*!r&T|Y5mR`(i9hRZis&NCKg!C|vg zROu9%Yt?Pbxl$TU6?_<;PU&H~y0E|C-bE z7md{d6DyH3EBp>p#4~yaq~1uG4110_(Qt^0Ag{Z(PocYt)4O2TQNlwNtq4-3y5M$B zZyc%xZ1PBxR6)9dE{J9@4h~}{h16opid3mCh|%Fp!NG-CEm-C(f>fy?xFBK|f=dj_ z?gXh)T@d7B1T{kt_2Pa-QK?O`javcv81fzI*prl+Dwk-a5JffAk6=Tr@!aNK({aG}4r9r}y!efeL1 z*N=T_tIlaP+cPRw+a|voQunZsK+IYrhJ9rj6&FO}o{4z~q6@mm!-y$0^z#L+bZclO zjLYHFO8OAn;piH1bnK#Uftr`N49G64BF1gJbF`90u5gMl97AKHbaZic>^wDj$zOys zJ__Y-Ws^|^l(;+oz+I1kI?IU(zvaku2z5%%4bOTHMBStDpiGa@S68C8GAv25uA+O5 zA(q0k8T-UD8+tnkkuOLkW=vMkyd6n*xWy?y_9RFpX24Kxik$w0B$edA?0q_6N(VWx zi??%+-j3>{6SwH%?M&xmI|rNn#eeI!|E7O0ydBLzxVPhpL4cV_%S|lO+Tg$Tm3cc& zu=Or8m@e7AJk1;BH!|1=24a2~bVKJ{Z1gU}TphzArQYR*fp6m+YcAG%mlr+bZsr(A zUSNkb2+t9NGss$AQmi=_i-~@Ujz*IARPQoceVJk)ki3U!lw2%e66o%N#m9sxBM^(9 zQ?N+8#hRBAl1N4z&BfYSFG|AO?0!&&(!e1B$+8|@c-YHN&rllt5X^+6-0<*>iNaj0`}quj}HKSLKrFPS(3@EnT$P2L5HPnX{10zY`u_AXysk^6H+Odnlbk=I}T;A`q{++&;AZ~lq@ z>PyqO6LsC)6){KNjWWBx=7ZMw9NHfxdCG~Gqa;sK!|-ImF_I^#VR&SHjN~bY^+!pb zq=w;#Ua(*z@jnSb=!!(9AP4pE6>KymhE%GF4?(SAv?Xb;u_QXr25tG`iufhpFZLwh zLi-iq3hLDPnv)E7Gn?{3ZDN>f+B&tGr)Wl03b5sI6MSx3Ak1xi>Q|0$#y*`k(--2X z^C(gTI-fu?QsWJf4Cc)PiQRyGcbo*6u)I`l?PWOAY7hwXUw`XQ{qyfT1%#R02vSIy z`gny`vU%di+BS0Vh0?0w&sVzvg;DnHF;YsS0B|zx`?xO$U#-T9!m)9Dco5J9U!X{l z94=@kI;JD#`t%>eepu)+f>wMEaI9E>FmLom5Ca)f>xedLA5^7Itrj;ms=JMWU&fT3 zN}roweIkYG*;M~~fx*0;NWcR7KEW9!v3gRdd}$jJ8k|k(ZAW)4Kt@|=iN$jB?yWFY zqetL;b!)HR34J)oxlUEL)0Xe^g;ckHtXrt>}{peRnO_b?-cVM_KiXx&`J@ z@Hybr8!+Hy+~Ed1(&Au(h5#U#>vLUrI>^2t-E>yZyhhyy?r;HK=WfZ8>pISV8Hs`qv(dG$Ev z*e6OJYnXe0BwqzFV|M3p5O$YnBFww=2_X{&jdJY}DuAHNa8RJQ&q7Tr@;RZ#(Wg{k z<`5{ym+eq^Xj6kqi~UI;Kpu(Kb|igl>HK9o_Lr<^+N8eB`ZKvz(4V-vo1{O%uZK+U z+3xBz1^02#pMT_+ebUc8`)Lgsq40DM&|5P_>or-T??PUC$FX<4COVc%heG%58N9B( zB9RkFF|&n4MtCCljTL!AToBBl$ow;54~?Ul@DQZsb3xotO_^iF7D!pC?Lv_H(*=?F zCl@Q5QZ~m2gRHiyKXJDQ^FA1$w-v!j3PsDoAPZ~$^g~b7V709gjl8Abq;)i^ntAy6 zHhWH5_o6S3L^pMgZML@GwtY>`d`x%6zn#)zhHtmaHbbF=7*zIV2!e!FXYBxD<1O*+ zE@_bz-hVtOcs{Bm6^ToNavMEJT=Os|&0UpbnyE>`cuDwydKT*+RWd!s=t*0$ofdZ< zB(54GrSnYB@eEI;MpJnFjF%@#rU!Y33BBIAv^M-ol1xuBT8V|>me1YF47^KDd+yMu znr$aG^K*wy>!g)?kIh?r?y#E09lm&e?${t(X!o%FB+uQEu30o^!}?Wgf=3})6EJHT3=WqwG}3eZpM`lLB5_F5eHd_Z}Qf^xQR^F;>boU#c(9EI{04711Egs}rI zNISlCv&SYNAW*gOb5?@tNY`)BM2s$7zSuz3D5&HxlZ<6E-z&ah>G#WaIv~1~bJr`o zkW1IAbou7}4y6w3-t7*UleZzsK8zr8hO5KcA=A)E2Xr z57VpFHt{W5biIe{0lr1Yv2UWMHYqst)S@5Rg%Rs%dvXi?(9WFvoCjz&PMalAYmKm1 zFFgN>05GEqf-Xvn-J9Tvj@c&H795h^;q0)q@Z|BMZeR*s+t-hA-L68D=ev?V@0pjL z|4^pNd;UW`RbD%t%9SVk`Qfn9@7BI5fiq1=+Fj&6X_Kn=%ibil)gfME(IR6)W9Q!8)07=!lntL?L~ZCv=nl7NfD$nbU|b> zkT-?4+^-Ji!o-TS5-tcR3dw(oEk!A)TaoI}1P}S5}b60rq}F(f#^l9Mg1{f@uc@ccT$|o=BYN`obf7@dapU;w|}`2 zVGfU){|i4iKIQNEIlhTc>iX>Cr=&oC1R#R<374-Ko=bbS+RjK+$aa`k4HTN;*Y5RZ zTD3j<{7~K=($KfJ+k!o9d8*dF1^d0<@t^*$zbnRNYbbEzP<{*6PvHSWF9c$o8c(Mf zh(&7-L4gWE|1w>=7Dz$Or4R`G5*T|Vq5K@J#@&i30%EH&d_%0b*^^GR9y$d;P+A8} z3t@8Lz~H|Mir41+5{Hdakl~L32|ZHM%9CwjKFdZGC+O0QZz=?(^3){#XCpgcob5?6 zg{T1D5jV-7GngBCQqifFN>w6N85NaJ^`ie?%k80P zt@~U@P_b={-D@^o*zR$nBDqFT)1PO)J@8lvap9VK_CS;y zE){-1I*!e}H6(EjD#OEM_d&gbASZAyLS2FZU*dHoQr)f5CL){?$D$8)RBU`qm>zMA z=&JKpMpqt_iGSmHqy|3M)&3Xg2Vq!A5tQV9Q?9bFeD>VrAf`v|H0>ZXoGI5R+Yy1F z@>p`k2vF`fSBzS4PR`QAmt5(I@nSN2D1MG!o`|53N#5aQc2d5nE`vSUC+rcuiyY$I zmp$TfKjB@5X}9R>coA-)nzn{>gtTTn6>uu8-u`E9;1l05p{|pWU^hJU9{i%6N|C zqbLv7>^?xxk$e>8F&U(fyK^KD)nR>X2>JU%=SaR4<+tE7>jiTpkJdRW%EKxvAU1yW zHAQ(&_sqG0iDt7FM=wSBR+IlX=D?ceLXcjJ z3r4pNra@>+UkK8Ralu4G01vAB)%0Rquni^IE#Y)^f~6D23bX`qgTJbm%#^SID=OJG zDmOM6HqNrp5Hxrjt`G*))+;2bW+SxrWFun@na|oaAbz=$z*G0o}QI9OwibhV?P^08@O+S7eQ1Wx~BgE3>krBl9 z6|*JSxqLv0Wm-wP2hV}=fPQU&4^I#*0S{KOgutei{L}z^Cxyv&{2{VFVZt6DdqwSd zc7Dem_b?illF$)I*~g_MH0>5$@;i5v-}xv0oge-W|8xwC(_~v;656B80=NV^W0sg< z)>R0o$Gkw0>N1#~+X>S2x}fp;pvZ)2yGV&Z)!0{t)NnzR97u49k^|B${ffCH#A1~| z+z!B1^tGd05&~$6T+yiXY!_@XD~F~|^h0Ct9l;Vf^vY3TevXq&WEiPW$b?NQn$2`N z3$8hsGdcLBT=QHsn_++#X5-N>0p4a%wxY?fdoTk*2cHZ`f=|4!Q|6)>niJN<58*~) z7;pcuiSO9f#2pu(j4rHtT7JvX?2#Ve83yyw5yzS%9Ok)bHnZmqIB{Tv>VtXaV}x|f zCty>=rBHUBBiI$ndcRQpyyVwmHd}E-wQC|OlXL`2Pb38T9dZ`|dL&9y1^hlL+vG^{ zJxY$~Z8uej;~MABri#*(f-Z@Ae98WYBU=69uF$AgE7u*-u>q7Iv>N&x@GWPD`6k*o zunF~qP#xb6H*p?qsr#H8)>0=e$1;XFD&sE{eA65jzjZi9pk?Wx2L}JBA@Iess>=f$^ zCa(U+MD~ci9}7-ffv1ARnfhL_VkD0sIA4;6m4d>`SuRgX@S*E#z6Pr*wfY{Upxv*L z@|#~t-;vlX{q{4RwBn+T@P?+SU`h{&CaA`c(w6?R-qcujY=o4` zdI~JbPMrO!`%mr;xwvdk3r&)% z(i6+~c^oS5zxuU*=~Lbo%3BG~ygFm_XBfo3MLY;k6wP5Q&m&=NUpXw*m+b|5!gj&6+YL0c^@r97+aysK&>j$j z?UrLJEY&0rK;y~H2Sn1|ghZGUr_+`n)2ENV?kj%LRdnBJ2Fsmc%V&Wmgad>4$|B>Y zj%K8~a!wbRaPqUlYd#6{w^>9;i46wai9U4*z4im}&?jL2?yw_qM*fkKHWYp8E3P*S zaS8WJ=$B3~=XBAs;L4AzKXt{Fv3wTl7A*3TxL9#`PC0bWSQ0isca%=%@In@t|7HQR zAdU7q$Q7$bsV& z+9@Wm{IHk`3xes-kJrfI51iHNFushHdZmY0lM%QWF?0s4P_G1c_L zxeD*nUw3~L^V4ooZ#3?!pMl4<)RlgOB6ats&bl3@Be{byc<9Hxuh7W1tXW5)^5`nH zKo*{qDfRAirrmtSSN!z;2ZD=3Z$@u;qnHZnjNYx1W6#{)(@ef`04w@ zit}@dtty5WMqlF-fT8;pb1{{bQHgkv;36?yS+N*iCC@`cIe^&a`0H6AD$9mx7#UWx*06A z0{6IP^qkAh;|hK4u2H~&zE9na05(ei;_2zpEK`^y_JGPn?k7p|+4b{8jt#I-u8EYE=4p~7t&dA?fU>op zjyZ1qn&ti=g{3wC<&c47xj`5+B4q;t{`y;I1TwzoMIqPp9i5J_q1QnFc3CSg~F}B(XBXDCIGi~`X8}s(B|GY=9M=c;qfCQcB z79e0sctk)j)Rer+_Ejn5LU1aHe$0Vfi)W0x87oqU`Jtg=ucy4H3TuMLDDP=wTyQHD zN9d&;<`$|}j6+j_xgfan*`ESIR3YG$mvf|zaluwyKuvW(%P%f;lLX360s@!=8~hZ< zPK8{qeTD0FdQujvsixmN!?ACSaoT*`pKP7 zj1%c!dnZ3U>?AZ+G-z7eKD?UP_L|nV4^e7R;ae;oSPqRveUjVa{>b0CjNK@yB~%lS zr^cYGigHKt?S47-J6i$mc%H35vaql!!&V?>OvZ`tceXX+vou?y&9|{BnZu^5;f%bw zrkH%mH}2p1QYs!uajq}MZr1FfXEAnzjx>26tz265ik z!9xLupyV)I5c7=1DFt}l7}i5ja~OV-^OdGW@Icw<<0L6?XOjd6qV^IV_Rcook~dO; zs&b~W_o-}7aoE53g!?gkN~bbqGbcOv`KB_lpCvq8zq#J?ZRop8?ck}X9q40xuETk$ z9o%E>VA3t>o%T!Z;C8tA{=nz`^l$xevb&O{>uU$*F-y_@4bRO7DsqDYEz$j5^7plN z(55;bVDkI2MXntH`ejg8z*1|0-I8loA43l47>{0RGkW$2kKReUD^?N|Drzkw{Lt-% zC5s|?)J$TwlVR)RldgGg=#dv}N9k6cA``n%`ckwMn}*kra{)nLW-j z8|(&zxznn}gDlX+DBq(|zEQR2Vw7*kD8Kf*|M#!@3t^Ns9py$@x6rxZ#qvF}k+pX~ zN7A_<%@^wQ0P<{h#zkgdA!?3v7m_w;)Ij_QGmn}^-`f${NH$F%ZWO^4l7eDFW5viu zvcJJ5&FxVuLclH=Wb~!In~{BFBayTRT@20<$#`_)_A5p<5`yThkdz!5QTon~$VRgD zg>`)5y>#mlcb!e$oc2ohMJP*i`o zz@%IfEg<@X;5yi-HDB{=L*GoSTI)Q!>?9XTm{|EV#VYGj?gEvpo=R=}@YuTldjTdt zCBS5RY%j6)>o0%sHKomXI!R9wnb{~W%_Y{p8`6^x|K82d{J(+I(`u#XxUF`{jzu!U z3y=k@=NT_hC(VM2p2={5bPPZhQnxnr#Rd>L*j5>mIpC_5qJs;f;Rc7M;M^$8?5tp| zGfrZM9i$|>O$ZIzPTvmRJ?n*tx z%{wo{8{pEHfcw4!?(xn&#P}6UlUx6&39( z=+Uh88kP5UzOZ^`2xhIf+0EHp3e5~$TK}ty*4v;>U9G|jD2(p<6s@=0&5d*jgpi2# zh$K=&fU_*{y+$`cUeUqP3w#fFY=zpb*XU#F)+|P83D}8QFKd_eqfgYil_YwM>q^z* z!v>FQ`r@G-P8HJ$I`%K7obD+q};^qpD`^x@-82c>|t&-~!W48m{??SDhT z#wY*I|He0CpD2|u*MqPKH!&Ia69dK5b3QvhP&_^8!CgK&P&_^9WDln!z;|ak921@% z^ z0e>vt`3~T!lO!O#SW_eiL&_yf>T6=^AKn@s9azx8dFFd$J$*dSl@a%*qvw0H1#P^& zKEoFc)Jbuk8;mQJJje#=h5GVNd(kGavCFH0iRE5Ws4p58@E46A(~E{({6*u({Gvh9 zUo?K~UNlJii^h-l@}eD?piW$7#`-8YGGToNB&NbE8bH(~7@I)*65t?=^e*5a*^Zn) z$)&*l(gvZDJgb0~b4bZ&RL96G8y#+lXUjELk8{TnbfSV<o>jLdJSaCaF z4hB0VXA#_{v_N#N4nn|CRxEK5h72M}M#+t^j8#eMF*|1@zn11Ov6ZYl%NiH0K!&DA zM=;e(CT9`tLhO)|^F+AWOXl0NU97dS2)AdDTFVYSuJ%p`4s3uasgd3^$8H26B5{TW zI_}HaU(}D}OOH+Xw~I=2Klj>(K3ntHiod3N-9-^8PR~Lm*IFa!^q#okG8VCOfMiT! zI3OL{t8j%iN5H7U5@;m7+yIRTk(ho9pQ3ISCt{rTi?-R{*04myzGrKd+exQ1!#-iU z>(4#@&j0>r{_zv(E_7pZ;;d?G#eRp%%!*^XQq<-NGr$OO7(kfShJWG0JWrC|?ZR=U!rbOSBs9{3X&OAixE=V-wkh(nYi-1<$Y^w z0vas&HH@CyzXuzwksyPF5 zS*tnl+;jDW*7qFGe(0x1BrkUPe9zn-(rXmL6G!LMmZw_E!9T$$yT9}OKlNoXS6+>% zzt!&iAxo(gQFv>QQso`sB*IICdpyE`mp$_~cWjKjfiBbVhYZaDQg<9lwuRtOz+qb1}LXC07V-D{--;p90%;*Aiflmb)sucSlw;At5>v&tCapWc&a4&yNl<>(^PK(=p`@Q4B5d^!5fA|r)6oheXb%xL zGu5!b2;w0kmf)@*q9ZT@rdYDkQaa!ih4fJn!;EaVU0D-xoq%!Rf)zhT+@cnC zDw$Z@#KZSSoH!=yT3N^{)mMP4NaDgYN?#H|sSg zHkCRhb#g0HqM=UAHS3kf>bM&eNf9Urs=q^8cBuZqhM|q@75ONNLMIpBnq{;Ljvqddh5|UdGUk>V{O!hTNN9&^d!G@rm9YS+c^K`3yBivwjHc;yIaEfA?BmUgeF-&8(PLv-Y^Li@Q2p13 zPlK4|!hL@{1t_jnm^R7iMI43A8*$&;6B~-@X(@Al;0J%#f4+zkho0y4=#?e;rmCXI zS}#B;PfUiEMnp%&~Hc%hhr3`;ux+Eko4$IM<0h68#mkeEA7PGsc9!UCfKBpH#>Jg z1qB`?Yb+DFa>lAh766%0U|%vqtL^~v-t09~Vj1>zHVyHUQq~KSBHRzA{EGV{&1U)h z<-Kp`-vKrB^0@o)*5@<>)%*=yT{56A;5Gvp3Sp^#peOI$$c#<_Z?Z1!xq@?pri%k| zaX>yXunj-Rs5RQ# zS9Aebk!g~vJ^T*3N$Z^vuq4TRa}fTq#t2C`HR=Mm(If8ql(W}2%CzkI6U6ys8`By&coBvYi$m@bMr!7IC z)TjDNf-|O$EeU%r0Z@(tfW0t&lkINc+vgw+I@$P5Kq}B5I>!LPZ-TKj&}hJ@MFf(A zwBR=Z=l{_l&6z{M!8GWQ%RyQYhv0~VKqZ%T4vjbjjV=Sp(w^6dLr^m6&$0w*2TV{F z9l1Fih?ywYyaZ``z#BA7!qluP!9LphHGVXYX2s4Knp z$nxUq?x86y>gqNTz=7N1e8p}$=7i>Dyp}O~Q(`<(?9-MXQ|#~iH~+5(-yM}JH9%gu zGIN9hGeqCg1I0AN-VLN;cfoK~!C>2apxOD|?vQ^YDhz`QtsrGB>-C%-Oc76d3(_9%U;#P)_6|?h;vOe`7hTJTCHf9KB=XbZCvylep zNE+T_&hKHrr7O=RmxJq5o!>2ZsASE}(iD3fTok))qL{PNZfbz$&`_RT@5!oFRB3Zi z+Xq#y<2{M;T@cp58&3Mziu=01Va&oCjZZ3IMKs&Uh%~3{(}Qi#K%>Pm%=dNudGUQY z5w{@gZnZxL`ZT@h(5HwjHla`H8RH%0NYS&hSoXA^t3WSVtozGiO}j%%jD6z?cJv*j<|#nVpK ze_dCtH_=_Zi@PD~|LPC_ci;2-BL55b0N{A{E_4f708i^;2G3yFEA5vHTD6Frk9)(` z+gGsMG+!>b+Y$iFczFk}K@438GEL9~!SMk|4OVPq{UJ#2!UeZG(mTV*BBy~g08M8I z(z|d$@Wi6qQ3U4})Q2Fw3m1edpv=*jTx%@PA;>g=3m%li1D$Z_AXD!m1jV~>N#KHX z^bm4d!Vip;lg@?o8ktKT0A)cDQTl5w1DhmSBXddo9PO?fJ$k-7fH#nii8Lo$Llvhr z^X);ZWo_4}pFlj>XAm2!HrQ%!VY$1yTQ=`%+OxM{|8f`8aLq-y!}6Py+X7bt8+aU6 zt4N!)1H$TaaIjBI@$sZU9N>^MM=*Qu&gqpqIu9Hvi1f-M1%a!(ODPC|cy>2PLD)-h zu9GmeI5%zi-oUXq_XR)w_m)?Y!`0r`zKt7jKEmXPo?0?cD{MdV`fIl5R_w-O`@EA zMIaLemw>!AElv5=qMS{zb&x|#4k(*cIh$m(kguU1yCo&`v#Y@A4c0@BIRq$5rh`vb zkE+$K#~bSRO9_z%@lqGRs2Ur~&FU(SE3~aMvaMM&^K*CLZj%xzg!0U+|3!rh3Cc_e zjX?DTyIUV~fit4u@=nmPJ#kw?-+GKPQQ^!$inUg#y4K@+m|A*%&efjda~0kt=X!rR z*J-z?HyW3m>+R%Rf7>^I=imIo7-6Pa1doa=r|>L*4P3e11ac6? zX>ySQY`5?c8lE4iIoE*i!T2faA_Pm$wWS_6KA9{8bIvs~kDzd_mEe;mtr-TjLmkqt z8L#%ijmn7i@UPmEos1sgUTBoB$7zsdDS7C435$m=PDKJO^hOUMz`fEY^(OS(&OV%P zAq|AnU09|xuXinJp&+FKvOzdhO9DQ?wE`6Wd<$j|@wpaks>?oY`Cj|dWq-#%de>Jc zJe~Uft~o3cz<8?_vmfw;Km?$#uYH9yK#te}q5zo@1^482uSty@u(Rt1l@JJGylyX8 z@+rp>FDbY}V&+KVrQ}oQONN(bY${HA63gQ%d!JJP4F$3s#D7c-IFQ}w) zN(16p;98!puvUz0ys@;-aaAR9>L8`LU5Af+_`jjuULV{0%l z3_z%Q^}DmOGz0A(>x_pVO_evn$H0LcSNsN))?IfQP~t#oJ#&2384j*{JT#HaOsMrs z%hQvzJV{g2P4IN~2;Y@rpSJv%V*ec<{)Ue(BT=pv!WFyO3+7}wWDzbQ(6Fkx>?>33 zP4JlSNX_AbQHEdaK(|1>6)R>u-BBkqyMzE-BM$=oww=$789!FcE+GiJt-3yFzNlsR zsIIRZ{~Rk)vHOpS;yMO}R3{THBJ>QJWGk*C7c(e?=Vp?lK65FB7?8y;uCV zKl@{!`&qs6^hCD4^I#cXk^!HI?1K!?L{`pJFJzVsvi^LPJ}fOu-t zhx?Y+0`e@-hUjX5);l>ne1Rqe5!|e;3E0+v9;JHp6?bc}FN@%s07d$iAxQP;f*^&b zAjcYGFn1?N_2`0VKSoaj&v9fLIn|>JBDhuZF!a`0|8X3e>M;ZlI%@m$>~Lm6*@%Wy zR7W{h6!qv!Za~t43rWe-v%_sl9eOc(&Y9ALw*i?96e%b4Iczi?ohcnZI$B9J3xWZK z2A55e`SyU7+t$R1s<-Ttx2tlSk~>S?s_+}3=y<_k+#&{pU5a2Y);_w;Gb3Gg?b{2L z2|`+Q{`9SA07|mXNBs3{ z^~~GP9#SA>-LyX#*;ws5kehcke@44E(+`$z&NzRmLZwZ)Ji&V+{A%adY3)5ZVKr{t z9zSjQ-YBt7`@KK;k-z-xr?mOC8oTuwDS#Dm^(4_GMZ=Wz$X#_v;s9A`iKdMW$ciLR z$w=+NjwD)OKWC$CSutm%z>lv;agd8}>~#_3y|ML~KhhWl5elQdB3Lp~7cRj1;)2R8fH`-==-VuPzxWbOkSkoF7-z25ctDoRLDtj=?K3 zQtLf{|MlmjbUrd{`xUMrB{Az9O1k`Tsnhx;+HKG*r;-Z-`Kx5UJw#n0e#M#yHwXE! ze1Eo>YN~6lH-pPAAZ9=MVZLs2<4O8ok6!MOr0e7t2`N{V%t^y!BKXttnv+a~YW896 zSM~ZeJ$?FRpNtE=FQ3dZJa!Wi-FJG-@%}#D>n>nDdeoYzb1TvR1)yBuC{>B#g-A-2 z&uUeog}0Jij^}7yAlLzqPX~k*IA=7>QihDD{n0K^z&Q}8CoIX8R+hl* z(@_H4BimsnaKVt;h~?z+G^+t{ES}->t%kiicV#uEEk9;8{=uW4{k(UcPSiPD))K8q z;=g&`My-ZzMOzgV*oj1)vt^x_dLC?9Bl$O44L>vtCkxehR5!%Y^ESmf=0shvWdUiZ z5kLsav*ZlG&X%03}1*Ubs_V|w_m z0nSzHm$rlZYdbK7dg2!Krty;HyPYiG=YHM4_Lk2N_dJSeq}5U>jGiH;Sl!eG*= z}^E!3Ww!@j`2=VvV4AyXv)B$ zDY)RYp|Ya57rvx*1`_Y8we(ah||SU9)qylx4iG3e z^-KD&PgyFjC1#w281QQlLq`$|kF59@~F) zOoRo4b|1j!jKt0-!eS4Q*VYwlM#4wJf@>T7R$20-fu+e2Q^XIwRn=|n_SesMRGf43 z=zp&>j{ZIWj>*KoQQ~-@jvn~ZKqzR)lvI^ueM+h#eGX>PNNU0t1FdP^p$l|-(vYr| zhqb_x6jkFCNln=f+tp`*iFiT&DrJG0^-L!`%!1Zgj7YK`&muEz8pqd!RZFVss!HS zN?q{wJG_n#Ac}$`RZbc}q^2}}45M#9#{kAaIlrT=U{MmLQgou#kyfx&62^rDkGxbM zFq2}~3l=3|NFMD4%Qq*8R!7(lQb`zVf&vL~I>=iGbO(t2^X+MEwitk9Wq`Ow0-ErP z)XD(6`QGT&T=JxIAU6MTB=>1luk#U9c4XMG?l3*(R{7Fnmd$>g1K8SC_CmD!?%8^Y z{I@vG%|dfpAbQXPy94YE(lyCppi7(`262&Ne>gmcy#H;+-iFZiNAc+*TAgYc?d(tF z0eZ_wt-k&7*WUeeq16>J+LJk>MjWIo~BCo>#a>M4M9oT z8Q;JTQ{n{fhXyOFn}(p|>|Ah%4|3=gK6QtdoT>@h7O~X(5;3 z2eY8$R9z5rC6I!|lZ#X&(uE0V_|A7oPSp?Xx_ZA^%(bE&KSpz^CJAk6oS9oZ?%k4w zkYTrg|1Af{1ipb}Moyw(f|w~}H8qc2PE)kH-<<>aE#;zPZtdU)iB@+>=zjuzkVJ>7 z9#A*r`vX7_mf}SM3#uCY^xN|d+N|eDIP*LO>HwKlbU*4nqM z^`(&_Sq*6Y!fkhgfPqEW99I)dRKi>c)br<*qdYlzz{ZMbP#lZL>5cr?VJyjZW$ zB(-^M_D#M}#dMR#W8L$O&BNh+{JU64(<&h5B`6PqQXH?kG^@x)FAo^xT>a2k zF{{YE(rB^0crg&HDv}flbj3heuZ8efv8YJ(#8?>tbgJ20vq3OAC}rfk z18O=+ZkRS~ZQ^-up#J+`leW1{pjC-R21K{J1}6E( zn+yYO-tl7_TBj?W3p~)h?rwM;(q3(F^99~`ivWa?Hsz;h80ZD9aDQk8)37IOZ*Lqg z0LR+_IR0CI^SgfZi+*9(b>|L7%cHM|qL&g2!cVa`p1kZzEZYrvj#!HUr(GwQbNHBI zO-}@rcZ<1=rHK`3!2Hm=jZCw~ic8R+b}Q1sa6u5E5Ul{4xLsP`Z>(5LENB#ya~v6* zO9#VOJUTd+4u%UN&4gwV9D27zHm*CzQepvZ2&^zA7vKo(C3A^|jecU{5nc~w?53_e zrV}>I8IDimEhQFkbSYvJ@hV##;U~r=DkYW!Zi+ZUkTjOtBw6kcdP_9^M8~B%LP;{; zAmsP#=m?hkXG4;4?RskO2x3|=(exEPK?bDeihgs&?B~Q9c&8fLEx9RcXWYI`HnA<{anin-3zxQ#<3cCB`e^IGH zDW)XJWWLR@Bwyc=hcsdVpGa3l&I(H45ot8(lt@{O&FPY$xTk|akO1fbisVVNFGe?EMRfZ_ zro`<&eFpz8Fq|zj?qBh5_jO8=pKIOos@JU?N23G)rEbIFa*`7TSFfc;E@|wiA&qU% z^0{Vua-~mSzZ1*%CWerY|MqWx?2A7X(|hzhd-Zr!>lO9bre>laUFlHlmv`zBRF>E4 zsH`40^Cfb#Op^ivp{dtcF{?+k(i6!cnupkfqIrsXTtG>QAixy;IcD{^MHXB8(@<`# z3iqVm;d`lSWQaUS%3%bU7VmbFL%mEj7XWCkAawb0ju4mezuls;>EHR^F`4)`N*z}; z^I3*vArJ%i0-G{#E zPyFe(v_#i6Do8bp^xfjEtgm-gLAjllsI#I6vWM2@3qx^queS~mvkQh&&XR=Z&@8Cr z_lM3Z2z{hloj*XaKv$JRXBC855v2ab^A6-&)hybU(+<6oA!j)B8f1WF#Yrki-e1)m z50UUFn>K{OzdfyrTv7PnP!93QSA*B%V(p)t8}H$j7lrcqTy0-$yZElL?${?v4OJ4} z6!U!ymuIFCWl-7&IJ;^{%FW3>>d4KN``x+uJ%g;-0Pajhn;N& zej?ThXpmkqJ-;&;B@Q)0wzO4Q?P-7s6{TpKGc>o8v^8}7+uxJ2%Gsa!sabLMp&(XjQCIX7+sA$M*PPcK1T2H=f-^Kc#g>83)_-x#f3eE zYA+er@Q%%*p$S2Kxy=9 zg|D!mt@h8NFLSkjH|b`O!$kGKH&^%;e%TIzA-^4N;yl_?D+c{-vjiXSd-URq!eZPg znBVup2cQ3Xa5nS*)0}`N=#5^dFWV{`oqD@r8o=Qv*h0V^sPh0g9*OQO*P(R;r|qLCEQ@S!_Fb<%vv1KZDKNl6Np z3n|f5`#B&L)pRH{s@8zxcT2t2B@c6{Ka1tC{`(}E?~dw7ULqL~mv7^l4psHa&mN2> z&h^#POgrr=XvD3$&`zI6fcgnWJN>c0_@jUPn@%+$aMYGyMld1hi;gK!2f=t#E$6{@cY-C(?+0K#be6)Q@%$yN+r(2=$V@%$V;x&^5i zl^VvoAqcELh6*(f4e~4z9BFHyLUlo)P-$<7GF=b)A2bK$C%NBayn#p#`x-={`kFgu zFGUg;C7gPe6=92!#8Tq{zISlV1pwn~27M{$N!3EwS044cWYCu)PCk=XL{ky-oChgM zk)`Eq?XL&3y4%FAFcp4k61KhCb#)BZuAVtWGNLI+668+`QU+8XKx^Phi>OM#I&}wp z-*TKiyoCJEC8`rRMc$tol(fIVQ6j2h8ni@p!qT7juTdR3kAY)N`=h4~$&+;ce7p1h z^0v40@0n91hHJ4Cu2vi7^Kl;aQv$c~pp729D~X5_CXK0~ulpUp=Fk4M)E}k@hXFQG zb6(_M@orED!(4|=+;Tz)6-o&59@-Yk2MJ_o7Dt&pi)x7HH*t-MXF{O zY~>{s*Uin26?ubQ5WUWdyW1_-9brM92+&9`fb>~mEPQups%Bpi{=K5v0BalICq*^8 zB|Z=FY+YfLH!b?q3{5dLdMT_Y^$GQRP7AQ)u0xxPGuv`aH|`I!hxUXH z8{prjs>o@}j}_Mcvmg93fBC~fHm6DZzOeqL;-|HaGX%v;@Bno6h+J6Lo_}a5AeiaG zmBNLj0}M?BSQ4@5H1ZsQSp_v6V3NnVWK+$CWtHo=WKSwS)k?#@E7fBLC`Ls@x0Ru; z=|fk8T`9{noz}~2<0Ywt=_=!GSH774?G_yzlyYM-@o$t!)in1L{V)7QRM<y32IbOIbvr#(Kk>HT`i*}yQc7yw z?>=q;dpeT+(tNeIjEOtj3N^8m{ivN?(w)~G-_hP z&wtSIs40h)o1;-vjw|mZYEq-0QvYI<^ABRQzvMYtd`y$~VmdU?UYT?Kh6!$o-~F zc_&@)=)b z{W({KT|%Gx>w}zji+VF~34LxS^!XJZ{K;SWO5`KeCJ#cN9XkdYsIV~7`Qh2Q-hseb z%I={2vlb0%f7CdLmxBuIP{z|G^=0N807W zU(iqS=M1mpB%>=vOAX`AYuTOUbJOU5yRT)_zw^IiGJ0CzFQt%e25Tv%f zpv1AhB5-6_kpNv{66!fh9BYD@L!mTMNERN&2E#a`sh_bnTHN|WOB~x)6smR#E(|Xb zzgpv1lQc*)>x)xo&2oEm=qR%X=nw1HtoLWBnbbXwuE3YHX1+m2C&8lwHPWw{?@&krn>YAH zl4`KuzCU1|xnwzjogAEJxkvk@Hm~hh{hBA88TAjMxY~S%t0=5C&qF@w9yvuC`(&6& z5+1|lUGKS^%iYlY9sAHXYs24>Z?1Nk1uBl;`8z|%-C1JOZqX$(aW@Q2Z~gh#_rEuavU)SFcaGNJZSL$^agH#qL~5;L9ah=;?$B5z zeL<(D&K&Kq%C`4thgCMcM?0*t<=q|Yu*!xP&1BbiSQY2!c#BoihtQM9TCB2jv^&~j zmG92+7OQ-FR7=w@Jioh_KOBU6m>;UAaW5rSPl@!Yk_*iL?evk(ae0oy{K1W# z-gtCd1CzE3mw`+u})jQw{I-K{>v}^Q(yCGpWe7xv2j9l>Ov}WEZ7mTj1k!j7;%`S*g%zCiU z-88KSYre3KfH+6$W?wOSuvX~n>VCE8<|f&Cu#f@hug`c77HF?5{~ia|3Y+zH0eNIS zSUb>I^+%^}_M=A+7O49)AWUmgH@jr?VBsJd5W3{VFz=yr@;!do2zf~@qAK%Y3;7zq z2o-mV;~qY4VIMwTr3CZCD-Zp!G=$1dE&O}4-MM4E8I`6Oj-|Z!f#!(qlf`Ba5PM*xCVPerF(=p7U87kq6t& zd&saV)}#|+n=>#Ekv)cUKhQ+jKSAk9pB)xUvOnTH4|7ZascTAin6J4-R!BPocSuxp zYiiJ@Cj&N~1xR?{2W&`U(M!?`X=@@f(+);>Z1t(cT-x-c&$cU0WMZ!)l2n7ErzaiE zIc%Hc(UHsNXt+8oIGB$D1>?`uwb z&%l@C36=Jq;os~%16xk}$nd2@>xacD$eW z>^;3(1g@kJN3<97*~^<4O6 z+Rs@pXY0cIw`NF@mRql9>zvU6=ggW79>}j!VLbC31o|CtL)!7z+u1sE^Q>31b>ZfL zK;2*TW9+}5tuyi8dNW&>-@opDzig-D<9ze|U+-q?lF?dIBjz708ZwR#>XY`w?%8M+`aC8Qg~ieO+6ZRuZOMOq0L1ce|c3qx={Cyzu~k?PO| zF`iDVT0p!SwPhTd-l7X0R#I|g`3umNZbd50CP=1At*AMxW~@j}=z_+_2-COPz`d;J zn6NYp45AU@b4bc~;ZBlz%-1}C;zN8zG>VhJr~K~JMnLoep&u=_)pwb4oxjJtFg5OIuMqQLIB4?%yzwK=0a+0!3)>ZfG&3%U zA!-J}nS)`Z6Qmd5f}pua%7WPg5F*3I5eB23FgP^502f4+NtsBH%tL0P3QFC|8))Wp z&DtO@!MsU1M|uIiB8JTo0|TQun0|876xIyHC_kPW#apBrcA{Zjc$+b*-Up{P3}1<@ z%(k?h@R(6;(#O($58&@y$n#SU;6!J?Ce2$&Et&BMZLv9-JpawcrT-m2_JQwuDI$~c zS~{W(razIz*bMQ5^nI;fgjD95lXoD9z5vr829~jDM~)B!ag(H-0W=&Ce2Pvo!QB?~ z6&acAwy5l=s%a~VPBOtLy2F$21w|*B;1(3@l1bjL@pHNrMJM^8HH}UD;F8Jdf(m40 z;=g(inovYf0yMNHZ2Jm&Qyx8+1PD?g1OW0adhB8W8n$5r2k^dX7TedHq!3m6L~EUH zFQV>&LC@4f`tPSY>s0D&*3c@FS?M9oI?0X4lr~f-`~hqDkqDl z`5s^saJutj0ee=%%fG||7Yy56)3t)fJH`Ey(un#IdbDLM%fmEGLUISA4A+l&-!@2WFpUrqGjaQdw8%L@unlV- zX~T<@6JGR2h`7W+La}Lfu#ypkU?W6aZUrMgoyLa zplL2Lf|(F;aj@QkSTQn!xJ*uni1EG*mCB*T?)rrcYLA6XIINP95ya1F)E=0DtVTZ$ zo-%^@=pi|@T5ulBm^EkDI$UF4bcX4VCkVJ^LM!u$DSVezxaB;bq zqMBV2X#`d{A&F+U!FlEz1k=EBB+9S=mG(Id0pt0a+vSd(ngcHm6rj!xer$2n#pZdb6 z-l(td$aW7jA`ly4rq2=EQHIAHu|?Q4!XqCTjvO|P@W|(g?I_D)j@XWnEKX7~@hXlM zW%x#l2tW9L@xrFPjm?WPss@~n@EWQ@U(Ek@iw+J-xiOjeH%cV!F$`u7v)r``JGCg0 zg_yYH*~91*Ze&C@B@z&$ROQR7*H1zc=HaBP2B8z5l=SNMG>Qnt3MN#HO+G%Ki?lz^ zH)BE>x)s%NA`+_zN4+?abCfXce9d9+;E7lJ#+qZ=@?)CgBOiU&-~0ZPxgIc=%lx+O zdbd_D!H#HkUso}qIZ%t~vmRM;#ka7?$gL=_hu^-1!=+Q|K>DcMsnL`sl>8_Rf2Q zuYSMW@0~M9_ory|6S*DmB$V;;bOsB`{lu&yQTRzg^R-PCZn$=v8#rV3I-ILgFS(&h zZs^fVF9`U*p}}bx1+MRJ{WEWZ+|UpI)VKY@&x+iTzArtn+w2VR+JI5OA{CTz7!Rb# zmn*U=L2t}gZ09V3VcO9yv)rCJa^N#UiUjfJ z3O2424ATxlZE&L?{KHN#Ogm86+OY@05tMqEc66MeO%NuNf(zz`$~lT}sFxK>0#*i( z29khBz@w>>QO2PpSrjR`-V82KD&z19t&J&Z%hSkf-jcy)?4ZW`6wE?Qtp_dsS9kSR z)7k*1HhzqCXv}K+ivD*@MvqpJ*Z#tKDaW^wGr5#-&NK6pLQH0w?`ksBk`cOTmR?HWJ5xXiilC0DsY2B2x&@Yh2<;);UGOdNXz zG=t)Gs7KatF^QEKapY46u~eu>99pMqqoo;Yue$Z`d`GB9#K>#J66*iYt#?1pG1MdT zY8%o9z$;ts27;j;87dvLOJuu(ZtgBmQjd(!cRF$ql5EaNu?J$LP>);_C387T*rubA z&lV@AzvloB+p^{)^{Dz}h(lA&m1bC|;2S_ghSsDWMN-Js;Ybv7QIEH%9zms&UUGC| z#44BA`o*^XRolFJCjOoN^XLwf^sX)$R2vXQ-HPB*KS8Sz+=M%)Dvx-f?bBi`CpLQoRiO1SYXW(6}bh$P;8IhYYIMKBWt zZ3(Kx5Ja5C36|woOOo3J51`$VdM#oh5Xe?RG2(ub01_C52d<==dq2sO23L*h7>!vm zx4IEsp&k$LZ&)|^q@vEwG34eL4@>fbBa6z)Q)J>~D1F@2UZ$!%4O3O@Q9YrlZybqC zTfR30tloa^Rdxd7yZ;lQa#1k~H2?Z%2V$w>iU5J4y5*)BFx{y{|@S(6$K)JSAWZFf2n*)JAsO=N8YIO1-_5{a<%f?os4&2osMt&xb= zOTcNMb|d1Yl&FCg2O!95N}x3;M?a|;sXj!NHSVy5ZQI|>W~72o7Kw!NyxLd?psG@< zu-Jz74ThSFPq(ceFOkS45_#eISHvS^dFHj3uO7V@3Hr-4UKv+B6+U!{M6TZV5G^zh z6F2w$HO+kT+kf(lzxq=fxu`YmcTePBayb^oZ~fMNIC361B?))5qH7;oK%YV z6eKR7x}4gDS{Q)172%3xX1P5&e3Oz+q?p#s_Xi#Xr%jMy3DijaFQS*_zn!%pzwTY{ z?-My2;fNdoa;O9103C50oiS*&L8}hxr>Rwk zPgMe_VFxC_4|uxPbIAm^$CuWkv_F!Q z1z>k#42Iz6;^!#6K_KL0NXGefB73^ejm|D`j{AdiOuI#WgnLPK-cG9XSN(He_1xot zbLh<&I2er$<3y{^(GH$$!NPAC>EOu*Ec}L%4xVDa8p*{-2TyS@8p*{-2Tye{ppMkR z7f!SaEq2F*y^~S`*%@QQ< z%xT8konLOiG%U8;v+z;{%e#H7dGbc87cdD6*^vD0gQ2W?Q^>|)iuD|`>IGDUtpV^~ z_l`b~UtLtMOum7dBq;-9rhU3RCAN#`YKNx8?${-^1JSDzFyY|VMGyxssyCu5%#9dC zmig{PbTx>>PAXJYmSZ)pP_O65R=TS78QI2@C1-V!yNszW5c%_By1RkMPt$leu6Rvb zeoUeMlfUin{``M=I$1Vn=7!0#zK>YT_I7+aUQ8Q7aJ3(BoK=MiS~a?AnE3A8klF-p z3^TssZij!C717zF^&I;Og4vgi!6OIE6X|qSR;as!417f*2%U?)($5NYw?Zqn`m&(X z?pDkS70hm)23ii&RmGQGa43qT)toOUeoaxRV4OzBwn*-~)Dk?@k}R9ANs7s|+Qs&nIYqnoAn%Ic0A85 zTFJW0S=!b?Wv4Ys;2}7dCfV0U4h_TklbyfJ?|{*7lfggziT;{UxHxvop&`fWC@17(;r zfX;g%VAfEE$Xuf9;F5ZK6QT@Fot+4cdQ*Ezz1>dg?T7yG-}wEX5fksV(CVo-E4#7^ zrbM*pXddA8X%Jly5y?C}iwl@N4L<_@R%sUDI80LD*OHFu}g= zmK*TH&}zntR8~!JwO*;<0{&blSO7Xl+Z0Nxz|lU10?;|$sF3f@@kWJwdyY3MFh2L+ zexy+WZWaAWm$YGo*MO7q9ooa05?5^t{zZ+F!9s*K?L<0f+O#X+yXp4-tTY2bKo%5Y3^&8mEcz4WwF@cHhSS87|wk^psFP&49(<{r$#jAd)(Me^cB=2U3&3^jiV@Y}92dwQNV=NP(oQhq zZcv>Z*yh5#HrT&Vcql(6;%>5ytl5D`UJ|6jJHZrpLlOkEi2le+f>eDk8I3U{*W0im zh)MPJfVm~b-B@$KK(Uu=j`64DyQ54KSQDjMmI=}inrx}n_T7BoByIE!Ot(g`=jPnA zLojk+Igc@84wKB}dP}m0F-M8yLQIB-G9hvKS|^(>P5NQQ@22W%is@XlKGh^mTfR3* zgt2_n*L~#+52IPy7J?7X!k%v7?*;@<)RL&Ib**fb@dRjkO+W$mcBsiBZBg(Q(WtCk z*l^khNykv9s9ZR4Gz2-3ujCR#ozf2zt|d16?Tvoi4%VkJQFN8m7xefbCmZUN&j{cv z(r365E~<3sliH`fyzPT3ghU_`FZ1|-TYb-S;m`hM2$1XalWa~=B~xT#ZAu?6>|KWZ zfkhxRjiTVwJP_L8q-j`>Pn)!+iCalhgXaej_R!z0ra5=C12xU&Ti^T5pBMNJZH@MV zi8V^YC0K6-wy{|P2rRF=ePw9@7ev+?-W62R7~29hybEG>0P+hI#9Q32NKNB{mXUz1 zz>BYLRvcR5KI2B%%*i+hR}d%%%bkaygoG}Lg$UkN@V2AN{! zP=Ai{&@@^Gl2yPV!qib4OAsrH0-_O&XjSIEVpO1P^MbY-dT^fOP*A+s#3?Gb*^kx zV|K-bto2N#IsgI{hf(FJV&mwFyc|EP?44jL+|9GUDQ81M>)ii?V$X4cZ0%@@#0}h@ z`<*Q$gZkq5XE!{-ugwhRcQI02K@^*30I^7~HL5l;a6BLuWpjed#@c|tD(C9yOBvz* z$_S>2PTZp2tG)m%ZUwTo1Vtyf7!$z45$UmFBzj;y=U{=AA2Y&hpCglP!_9-;u@8zO(Ssk; z;xQlt`pc6NJ-9wCAe$fP{iI+AaD+(oE&tVHEi-lID1@^J@+SU`USl)y?Ar$^|fiY z=;C%wzeVQ^dVc@k`tVDCFetD|VISxX-9qhZdsteL>wuX(R4n$DrDn{P-Ck%`L^p+&>VfEn-<9d zIrQGTWg}M2b=x+nf)EdFu?)c+d!yl(1Z(IjLDPu#jD}#&jv$QIVG+Qt3@X7n^4|IE zyWL`AEG@BO$&R!>&>ffp`eT>u2)r0=)kSDBoaRR}ZHGXIGICNH%`dw|(?m1<5QaKg z&WD&)Bq&iCL-xd~1*`N)PsIhiR7L1R`-W03-+|#O@m; zA*%_(A;ux+m1d|AC<_oukJgc#p0D(5&vq+h{B+F&T9dmBRC>5)8#GG6^M*}5prx#r zOi%ah0A!o^=;-ya-sL!Y&MVD~FA{5xy!|<^G&{hhgq}dN{2Y`W-O+Pi30Hox7tk71 z%YYwRW()fD+<^K6xNVO6+jm!K+Iw(S-veyg(|^_81N>V;UdSVeFP%|7-UG-z;d_7; z@_WF|^d8`#^`Pe9;Q$oAYJNUlrgN1nTj* z9mkghQ*5rbGdz>&bntTuBjSoLaJn38obMs;u$iq(z6}EX4*B@&sg>i*o07p0awDBW~trzTh{0|Azvhr*5|co;~J|JPX+` zy6gZgViQD~0m%U(qsfz0(+GSZT(D(DFfxR_xh3C_6Efs(5->5}1*2w?q!F<_IU$3Q zB24k%nc5S6Tvsd!8MtFAi1GCOIp&1S7K9{XI>4mMXCs~CNz*}3LJdLfOKm=gIw~V9 zcj1jB_vdLHInpcxcsDNaykgI80iLHSN)RF`SLc1buE2_lxK8;15@N4jXo)g#HuTS8 z_WoC24XFvsQ>RQ^z7N=`g1+Qie)IqNw&=M~;t7ZD84U%R{SnbH;zQI4KpC9}`^s~| zN~;7+m=t?y>se~Af}(;I6jv`H#^<)6nAQbb^u-c0s}hd1&-U?EA~3IboOd8Mq+5GbcMPqy(FO$zgoi zy+Q)171QwLk{4-mI2Qv^lPVxlk~kLk+1i?5ieevE{g9d#oXj9cg0-^u)~$qwo7*wA7JxFlM?MU z2~By+`c&VT6U&e3(=Yh&`#<@gJ`IKT33W?Mu9lbEk+IrzVO!|V@CkK5<*Et_LGkeo zzl*|=Dgg|z#_$EIix3oN-UMTm9Z2+gL2>ha#npm&L)>cMwYA0;D^j2OImXO8?4a5& z1gTG5FwMN158&F=r!I(*SIC;-(3rAhjH$7r=+h>t&43(wcR>BF{%p~wE{R$uvU^x_ zvjYgcmy~eJuMlwBa`X+1{6LcW)Yoj>p~%}ycFd_d?u!C&bOk(M_*)4~3rKiC(bJZK_r2WJh27 zQ`O{dn&(X8cELX14)%HbW&h0geD4K>L+BC1%$)PNfN*}xi>zj-aksyZy_8WSs?J7d z^UcrQ*B>tvZgCXcE3aJu@eLZ7NM$1cKzCD0MN7Pp!ihyUuZg@e1uF}IlDn4e2sj4a zP%;iF9Z>3J>437G_Fr-N-kmTJ#&Ht}fk7_t(ud?H2Xn>cyhpjz#~>f9(JG zrQa4QRCTXBg=)?gqv(l4>F0BzOgNMtjlf-?nq$hy4U9~$9}oFQrq_>${7RmVnu|xN zN*CLH%&{@|IpjY&y*`KhN2k}9kpKAjdZz0AERT$@2bLs<{E-|upbeXO5OE{$D04AK2qfbr_sLK$&I?3P<{R#Opdkmeoph<&s z%U-(Iy`*Q|ofvU1cGcP{A$_v;y|+MfoS_X&NET>=fMl|HmPf3aXSVKSXal^5v&{Pd z%K>+z_(OtS$j6sgJB|`6Zn zVh&nyCM+-Iu4&8n_KxMQ|Ku0Xe$}5((U;_TT~~YU#ejZN?i!3u$_14?jdw6MiORrN zjB*zkwEE(dxNKIUiK%)c(|E_rUFZW<^qA#xwEm;mjh7?7kZN+0K1(4?3aJ}>;LgT3Zt&xfg5%66##%{YXM*q=pi88WvP(ns@_pnsqEoz z=IgmYQ^v@masXxo6|86a&_p{cgVFgpVyK38A!25vK{-M&6=yKeOeUML?ulR1{g@np zVW=U{BLLn4000VJJjMwcYa{#tmv5k{8S0ECV z)F2LC1271n0R%S(^KA!f=DTxP?Ue`>PTGKYOLJu&R%yhIqp#6lQqFUd`EOB@n)8LV zT^QJ0qn&GKTIknnqbj({zJPfL&SGGL9-Bk(c;h=wo1ojpZtbkIw=pIY|3=Bwcrg-G zT^Oj&3(5rKl7cF}x{3Zz;QIF#R9*al`||@#yXaVxZe~ButY$2DR+hBw?FjmJjA1JT|Ao{e#Ew7{V|o$#cB~UEkW( z!nZiVkd%D}e(p}C+cdwy^9B81%*ry>GYmfH{qcljyUi^mp^Bbn!qD%hZZU`4% z_}U-&mamQcfmYLpZFtgVF-~_RMFH)Mi}B>3I~uV0NKv2(3NI2HH_$#;THN0G4k-$_ z;F|q>%*=y(S)tfg@wfbeZ6Uv~BAGu{L^O}IKx&$^qJXcs+^eNSxQ!XyRZxloE{Fy} z0Yii0iQVgEMJWoHAeJ1==7E2~!bNNRp)YnwqV zfw`EsDJJl?WWt_yrnGNAfhk>b`c|Nb6h@WnntTLPnNV?6X$L5eQWumMweEn@dDI1a z*p-kn=L7OF$@@#%sqO#7uq&J|9{ASsj6&{Nby-a>wbPNpPzu4NYMWqF=>-OCDt)`1 zGCN9;&-Q#@%xsGNo-*X~v|DsBvv*@=Kf3*i51k(GwOG(P5F*MnGp&OjdcDT%3>r_KL?@WSbJ&XA&PI#v zw&YYQzb5e-Q4+1Sa+X`+hpv(lo-dFSSdjS%M_=za1E@;oyVKB5n1BZIoh*6M$g8~$ zqCy%+F_rp7mw;FE@4QF1+3CdN>LClyOJ#XEhvP+0b?U6nOZ6R4sE>cAsp*Py&H7X* z)3oJ#lSC-fNB;are*dchJ5|(+XFDAObFAkUC!#uSKW2w6PZeaMt^$uFYOzD_=Wt|2aDA{@I&qE?wU{8H z8Z^SwHeduXlw>)yMlB|Z(k&2Pkc7)Cg;bE#=vTNtrE?!v1Q0JOeUQ{u*pb0b{rBt_ zs5Q&c0l4dbPk}O#l@=hV{7=S9%RV>!$S zf#Q?t+{dja)&9ZjqOO4BG_R1?oD!79F)ZNnK+FEZ*0nyBOU?6XsCn9}a#z}S+VW%C z_q(^h=c7LswI*Gt*S;H!V1?2kkQAtsPQ6IT=?V)hfpDxKh}XNmwVn1wQ@SWDFie61 zyDF@#eKD+&*bA(<5JKys*)ot6J}k*gr~*MY(5;xYug$83;BIcCH0<(017__DoyzGP z6kHwUP1()YN+d`omZREtw}oG<3VmgShjHwpeRqcy6giCv$Q~6LlO*ZP+9t+N?ulc8 zB>O&cameP7U99JRpkBoHasDSJmq<58ET@;JwJTr~yp-o7YwTe^*UDTfWcODgn|6zOOMOAu z-VVa{?|~;EKcU#Z18p zN*2k!f*`G_3xarvj+Tr>9WVybm^MMMd`tcezK$_-$BOiJnjlhPh@-e75HsC5(v-U3 z0RvMc+}psYs>zQPMfo`%6`4KxF=K)fYxEHr^($nHX zKlmi;oUE?lTR|`0%;J?2x``0~(f_-1TO4s(eDZ_)-}q+i6Q$BH5RdEpFA8dC%ZGE^ z43TErk|7c-aWZ5BFbgVj#d3-(R(HTt(k!QTBBldM<6b@>AC|m-wVZ=SMYQIf)u@Q` z&7{X{R6K{rK=Kjk=g{qK1vQLOO)t1q&``Z|rhx~zmNyy|V$brqc6n;wi*ic$(e>O&Uu z>;A`3ANrxwp z97%ayRN^hFL{WMeHQSiXCuk+X5!eHJS4wZ%@?%Qxy+8L2U-hkT`J_+6(Cp~)>7BsD za{(RI`Ax}2VAKoRrYIUO zb1RDz*c!K1aNfEVvl9sFaZRRgm#YC6M|J|Y7)vAh6tp=TQFL{O7xvKW+jE~2h@sU($BgDdV8&4NK1SwV#X=UFw95>wW(#|JePHf9HR@ue(i3rT#1TQT;ER1wpCX z1)m`>;qXJGR~N|fc8|Gs9_dp*!vI21ol+86Wu$h?3nA`mTXW$UiRBtJHJxO7(r1QL zU>oJ$s$1#7NRRr=0wbO$hc?wUm1N7#bBkw4A0Z4t>{)I#<71L|U9~|HkEacOj-yL5 z$bXR)74iB=#=L5c?5xh<0t7$Ge+8#-}9B^q;U*H z=roKla>RLp$48HjG2OjnQbriivqIsKN8iqY0m;8;+KYyPHJQH~oB2hp`i^h*SRZ3kFyj`A}?OrrU<`<2d=|#hoobsY^`>tQKW0Q5d^d<)zL)9HgPj-`S zn9pS413d41;-7i$#3baE%sP&!kdtjnJ{JNdDwORA!!RyomD%Mm2G&AWQrPuYeECyUD%-JtHz=qdl%s=h(&fP9nzCF!*@lyG3g+X~WE;$n$x$sQ+Z33q z45LZsFWYhc>pkbs#P7!-9E_jIC*1vh0IEP$zwCeqqDA#{a`E0#fZ4=*a7(XrWaBVPIXEECVlVzK4eQuLX{WA}+)r2uuhnp_UO&+&} z?+-R|Tl_%9Q*Fa?uAJRArd7~iZDy(oe!QpZ{PcT%`3FAfB%B|{5%o91`QZ_Zbux6Q z1?RSfo3m7N3qT!>6ZAm{O6|`O@LNRC@KaIU*aG;`3Gyv&DM5Nu zRi~|3O+qyYcWw?W5C%|GupfAd8V2MtRj24ODGVoFD%+vFG<<2QO=6T#G1vsrT4*cr z>-)KGch`-qfa+n>UOtNxBHea|J|QE`?M1&8=HE{ z1k133m6HNUUF?t)fFMZh`lzPl1lDsRfFQV94kmr%l+95Jot&}(Ka9@a#UIq=a`2;_ zZ5B^4g>L*Xs2KL;IPu*yL?aAHvqebIp=qwJnBrK#ZZHK;;;uEC&Wqr5n~8*iXO(N% zg>**&w6}=Ma|ir8k}x>(buz|nVriIK{F779#Kh9P%M*hs-GKUECNRX!wcr?#Tqeup zF&gHUBDFY20>i>+fTaNFrMnX0ekKr_*_v>^;IFAql_b+c9BBy*C?gePT{At!aG&vL zXlU*by0m6`jAs}Jt&E5uIy%%x1iw8!$Fl>VW>Rm#(hLy^p3%#~tCYk2?HUO{4flyt z%aYR{JA~8TM^PU;gyH$I!{%wfEkAN2Ph~tqSgmIs;6FKjckHp_wA>+*t9$OdO`Zet zW=F#LzUHc4MvCqv4V63^#a~4@2e#PzWpjN}c$O4}x2a|b`UMb;%#u@%x!xDZl?jIs@qk z=%utj(ksOUhCm7DWjkD8iQi*WA1@E9AL!NcO$^FSS`pzqF191TZvofCDIPXcZGN8$Uq+1&CdiIX+@r>L=Lg)%U3VI_VU%E z_sZ{)pLWIbYLacCA}BvZe!%3rLjSm#)4>&sgv_{HJK?cQ%UyWZc2Bqg&ZWUIuG^Kr zqwUIH_J+SJ#CQCE-~Q-ZKlK#e4cIJZhSVMIJ;>y8z|}kgV@nlEOgJ2WK!hpoMC3*ILw~yIpZ*+U_^-Ago+yC1rjg87%)LJC`hoBl4w=D zfkKJqVgMz0f8vo622*01~Px8MC;&OV2Cs?L0G?_T}ue&6n1muIc@tm-$T zUqBs`-2(ZVPB8n;d$=o7Hjk3C0k3`bn<0k&FiAEdiP)`}9YWNWOq9@-R3~cDudAV( z#LtUT*crMZ|P<82C^6C$(&Q61(Q7xv?x9xIN-5Q=iaEt6QeJwTtiE;=* zLDfxgHgkSzH(i>hixmY`_Z1f_5&^SA2(Ws0YC+Xa5J_IGfj}$Kpy`q-(Z4kUMZ}y~ z(Pq`dXO2@-CA#2lzhwd$2|`4H8q2=tV%80fgNz!1sB>Hhdtl=P*R#0Tv+X z$9(K$gnECZxsP61e%d+H%T82vF83f@U`zyRoE{0yp-$uyU=({mKVhq|(w<8gDgVr& z4&Ln7tj(bgSbLPd9$iR7N_@n}4)U@R&(afU z5bndQ8tOFqdQkTd!lzW~0m$2OBOsAr@m|H0OCajWk=+7*S1*}wPx~>^ScMj>Zq0Ik zT6$!=e!BWkN2onPlB?2MO`1h%Ghk}9{^lz*pW_s*e(@RNs0Lbl1-^J0>ZLp_U_Ie8 zTnKZY1;Si=$*)oK8Eq>Dsi1ii_HN$tG#Gh##$eC?slWcoA2>-mffSD0nUP@09gQ3E_k1?Jq2Ae&-EGU04$7Cu7+c(M|U~Q_0;>+Y1EK&Xpc^^s)hM^@= z#ETQ#M3h*u#$cPJ%{hZ&Ra=%MOAOX1f3^;FCCL(lHOiko8l^<9CdnLwfk=Xcs)!Xq zC^R^Gxji6pm15)LZbOdN8rM-*+bNjXSmMz@h6WYPebg;^N|)R@u{?t0MP2h`1W4@} zYSN=WRj>iJ>Gnw0QI%^B0qtLg`9a;`^!O2vMYKannHWlZIAJA~ zR_lZn|JHkiR0xr&i-jJm2&w~OOQL~XIVg^s;SVXnqOZAKZV9KO030ez%b&co_N2L1 z(>Dyl)XEtxM2f?jdFKcJFD#*a(ly8MT3yvmwr$;Y$B%2e{_iCxcDp&Tr|x;ShxLS3 zzo4|QCQ7SH>BKF%7~c7}=$z4J|I_ZPe#`&b6OOpy)s}u#hhf&Ib|4)kw1s7{T^9Mf zAmT+5FEX51?tnrTK^opBh{kq-bB`cPRJ#%@((tw<^vnmtWoPZ#QL*e-l)M*c2n*;g1z^t7vm4nZ-z zF1g*pby0J=-1px`3~!TUm@e*te6RdVKDFUZ7BtuBo(p}N4bIKBcWZXlm{)r939R$N zHgaA-KS{v!)RxyC5q@BH_F*(ZKxV4Vt@dcBE3sCbj1=_K6* zf{wnNK4(5skIQaQcTbJyD>lM(lwDAplw?ZDduU+59+%}nu;!(_`VWAk>L)uH^i$1C zEl6HOY6Xle?oKUeh_$XjduzQ3rbQq6BvQ^xf!xVjS1^BKknbsZsm)IH7}OcGY5m$I zFLhX<$&?xooO@k63_2KcUJ5ot3jhH&(s-1gQlDn~LZ}8(o}%hwdD)r>bk{`vx7!KYH5amz;*wyk?TwcNYpV#R6X}hS(d{U%W$Q)EGSNC& z%cjOFYOPz5evusLZ~g0`8uxGhg0KCU=S~rJSZNTT2QY)y&{h+y4a`8^5T3z!hhj!O zqR93nVF!bL!6^1dEFEA*MmNWgR@jZ z|FzB5i4_a#0XkGTC5-$xgqRcVFw=-uqQo&q(qy%6l{x|ELaeVA5FcM@30C{3$EZVX zA74pk&=ax#w=|P0E5RWgh}XuO&3;v zkIcYXsXj}3rExJd-ziGanV4x>y9s({)+xER;Ly<`sY5C^)Qt;W$IDmme&5UQ`oOzh z4u*{OBgU^y*L2QZ8JBAdj@=dYdK2fyg|6cbZ+PoZe(}{$Mp>d-a)$pD?fWm2z2-I?0EDm8UbD{zK%T-ts`xV58>H=Gbp$>3C5hduN0j*6;9RW~&e{Se z97rZ*2>=Ymj^^ACvx+&B3u+YhMbNunTDOB==SeS%4vM-vU9VZ}n8@epk#~lR>GIxZ zW?N@eMh?8JYrXr2m(lWfFk0Td0`w-NR~ks7G*U!*uWS}WU%`)TPF$~qRVkgvSv2>I z-B+rpxC%d2I}=O`ow!A`->=3kN`8ZWgi3ENN_m)uUI!0&*4x9uI9tK0 z3LbXfZR|UgMM~Wx7+?k^E=gZZ_q%5o07)mU0s;q^O^|j&sPnjOE3$cP<*vAd7DzTh zO-*u|Bq+Ih!=B59(B;U9*kceIK#}4C>^90c5A?+7Y2qqNxsYX0x#kcEEagJ`slNPD zE;QYkpIx-+#{7JPY{C@*p}sNyqyr*W9T=axAB~<>Fh<*p5u7e(fKLNf{NEcdnT%m+ z{~E-;?xpD1BVTya1bik-b9`_Ct!Xl5vIIcHiAci+%gu>@c?J z;YZ2wb$k)nH|B=*uC(%;YV}!S86FvvB#AG3Vz2g?LufDY!g`0}VJ?G;8XqwT>*&ar z(4Y(|+OIKXOgvwdGWMQ)9aMy_X+_GB0O;T2GN@>`+Uas>Aw;dvKl>1o$7yi>DzS4G*D?pF6I(R=x7`XW!TF=G@G z>tk94GsV zsL&rPXct8TvK`Pa5I?*xaXct}LD`$Wu;7L1`ei$gm)TLV+0RPCC?m{1%FEH9<>mU} zMi`D%*pOwIQ9U3-(-;zjVMgit_Z%sdPN-9a;`_^XT(Hg@O2;3sw&nZF#G-V5*-ppH zFsC>kQ;N2w24hQLARk|AFl2UAET@l`(=R{7sHX(LVti79b}%3bOx9_FF*fmAw;&En zZ82Q8HJEd;?Jobrfq&+dmf{+)ScQt8ojZ!)1g3FcJzU9XF zuR>5N=S*m0q?l8I%xV)7ad=>nkGD%LUWe3?`iqJm{n9THF^)dBXCG9Dd4%(Bp32t7(Y zAnVFy$L~Rit>{_^6kR3Tp`74ng25tm9V*B{pOJK}IHKwN>X=3v5!ajR%}9Y!Y?ZjD z`FM%a+VL8S#inT1rYrGlfqWz(TV zu+5T=sazwU+a`DfXRZi>8do)|?ZUUFdUHWEMX{;}>J0sLRihE=^`KMJtLkDLUz$$%?AijHs+VOEdOFO3;kO3zcv@pHLE0O%>jlNJ%U~Xm%1Q6}SCF zC9uv9YL}xwPiSVD7!#i84E0E-(qDiR>XD*ZJzf$D*Y}>>Ixnv2s1vuSw_x{mi+JAG zb0zMlyz6KE_795@AnL8S7ht{%^7U)rRxriK<#7c7EBWSqkTVetAa;Czj9OM9m)d9AwYtXd*H9-z)2{fy}04b6nY#~^JoS0w> z=0Wv9lBBc;SvV9UY*9*4gS**wSS{8tBwihbTjnoEX%B#2Niqzd0bA zgMp%@0)ayRQwkX3lE!#P$+eZS`xiQ?V5uin2Qnd&w-VljRH9c|jn=UH0-pNN9Hyw< ztcUaJMaeQ%c}?AvFI}pvAipzt+yA6=B0hRzy@;LW?>2co36pZg>wno(Au2*|9r9_w z1LP&Zq!)t}br&Vm!+o`dsh3-KSZ_I4zCJi&>U~YL11Tu6UI78^B*oUdSu>m=9D!#JM>U@o%tT2W&tyV zDGAJ_zef_bwKbKe8ZQk{oGLm0r9r+fy)=?PY!5lM94WZ|rGb#YH2nBPae~p82K&oP z!|kb;2K&oP!|nXiAnll68g6O>Z}*mToA%O7WDSv82JdhRpAo(nU{x~8DSStc7jAeu z09n6+gh~>)9*~jTL7EbSIB{R2^H}W_@iHPdHudppc#EcteG@GoNmruBL&#d(?c*kX z>$ZudkWUS)<{=hCLN)!vPgIm7iI|N5`{8*dB%N6|C~a2o!tY zuSXH2?s7q^2qp10I#4^olEzsrNSg<(46sQdAzPzMC7~muFoM^@u;B!q0m8TdwkP z&9k^d8OeZ4#yiZYCb*Lsl^7$L(Ln|q1f9jvy6X(~P4hIk-r^{N;-&a)Cm36_aJczR z`t!J7Kdhgaf@*txCn3lA81{9-VNjjAaGPvMeu_k zJ8e>*<_gNW`qW;%yV9rgmLJonKmMa%_J6$)MUml8g-3&^6rINc`T<(C!OAMQFnwxU z&!G~MKHuuO-3B={(N!TB?j}^xVyhHCoVVEvhPz28QF<3p(~4nbs#jvga5oW-?pIpq zvIpd*h5jKJ?j}O$)k>-Iz|3Rwq(d;=O?>OsLIF2cE~(;m!IO$g)yj3HGeud+MphA_ z3I@Bb(;j-dM!_>6LV;c~1$AQ*lnK{uag_leD1Fp2X3vcXY4+SYJqE730=S50Q|0;K zV5-aun*|bseMOEqQu%y*Jo52ke&hHXmOcVRUgo|4RJcr!M$_({IKLR$*py!<95-S5 zds@fsx+cjRmnYf_a6*rbDVjg_rVss%?>^kxAQ@>D#*>T%#;Nme3M5p7x z70iy?euWf-3NA2^x1KsXZu|Y3KBIIxqN}M2R>uv5p!nMKE~SRDc$c-)albb5DU?R` z4r_JXSU{m`N&#nGGrQG$xOx((?~r`a)KC0G8n85HaHnMJ@EUERW|GYJ2d$l~SrI}j z8$p>Q^9?#8=0~JHCOKPgtF}B5e>ZKc>em~cO39-xl-*AI7w>62cj9lh6zu|@!eqoD zTm=s2gktYBr51f>P0NQgY1+#WNUEw(?eg=4YL`^d`KsL>_Y=BzQ2RK;MWw#>=sfXZ^BfgwoM?t+IE=pU(KkO&4pbQPp(cR_1u1!@Sk zYiR7W;z?c9-fmSdnN-gkGj)$4fLSxd+kL(d$#ryL1h8$R%cI^Xww+Kl7ay`@ImKs8 zn)NAsNDXDl^CrDC{y;y(77EfM&@G!Yl!nw}+iEA2#y}@s#x>mExQ2PRsMqV4dhF{< z=Fgdc_pM*`OTYeqPqtCYlN_(MG8|Bypa_W}1*rK4O#5ORwW$k%mWhAxx;JfK7{YYSXk!J=5Plpwa&F;Gp=fS2D#!X94}-Wg|1A=9$k za_()FOch!z_Tq0&Hv@rOFPZNSM=wZtcEH)KZd||XgOkQy4Q}Sqbr#*Qz^i19*M+P^ zj*cR#0l2NJZ-bY)^ABXxZ2l8B{voZ)-y(>FU;+Po0g#7wzWQIFI%qq>GuGG^h^kCC zw00vBh>o9QLe&hW6AH0y3T{qxpt3J2!$1g*&dT2beO~U<7iR2KU@(EFlMA45bp#g@ zB*!M-**vpJ9ASG3AENjVzh<-ffY4B+c77ycFVV&7f8c$e_kNw6D#Pf#Vg(zIV%N)$ zKj$6qLDSKTFJEs`ZoLa@-YvRV{kxfa`#-<)xi9#}$f{`M?pEL8_~%ea&GuzNlVDX4 z!(zL-EcGOYnZZsFn`+P07&KYHv?F*f+L_!NoyK5Ga$TV<1!)rZPL*{c{MUH z&4|Ky;(g9Fq-c;piXz!E!E=)>8CY9HYNhBG0tMNV?SyRg6Bfmo|Uo(s+3^fv+jZ9~5 z$ZYGKYdmKxPi^j(dt&)s@w2pMvH7rfeR(J?jT^6r@i1}~zIXz(YU^l&@s8^Sx+$yR zD2#W+i0ytw@m{=zvcY&z4L#^K3gd0Dp!wmthGl3hBu0kUVLTM|z_l-5W*o*tMng*! zZH?2OJ#|siJ+DI;&%Jm53q^E+@y=0Wnz{=$G?of}7Gb=(I_c>kDC(s3$9?+GcqOvN zntpbjq+3fAn>eWriHjp zxnM&k4oJ-QE7B;sV369dIlo(xI;jaZQXBNbsou0*_||l!Trfy&P$JWpP0OR#Bpa#C z9)q>JbBnEX$sn~sIru;_YKL%h8mSF_{k{xbIyikzc)><$v)LZ{FCiN)ypjl#I@ zGS^~j>(EBLi=o5{&W2zPV*w5_mP9Ge66GshEXf;Whe7c#*o(q?7~vQ!6)8E41?M=2 z-ZDBp8q^%8mXO5jQBYW>wbP zG9aio6gSm#YouaV3Gzjx3ApBF2Y%sBlG@jQp~DKYA_!D^H5buGS~>TMUx6Z?N-GVyPeny!86iWD#XC^sp#e(HV{d!A3| zuuGnKKAd^$i1WN#)B_KfJoEKQfphZAKkbLU^fN!b50uJFqFgnf75m#h`- zYN}oE2&OE-hcVgR%!chkY!QJHz_R^{d1jR7ucZ+c7B~bWP=X*xjfJa|xo)-TAsB%Y zu5q(c@+}COVGY3ulwji4OPv9p(zOI8xEacFl+rL=tYDqY&-FAlmSB=$kVA?(U@uUOex+o~Z9K(wW`7!r~Qj$*|n)u&tw`}Se?=_$3 zn$iD4LtcWP^NM-2wHtM_e67}l?)K7C)Ec=qEN!fqM@EmLKMM^9J>XK%qxFi69w@c~IjbV=c2#h4GkTQq zsL59S==fSJtyC~p%;-_;_(EF1Jh%|7=#h1N!ErY#$q>}Ekt0{F;|uhM73o0(eoADM z{nt9a*5DrKe#vV(zSi`N>u)YDsa*_yLhsJnASKdE=Gzn9S!0s<{&4cI7J#4{aB%i~ zgLVfF>BF7eqKP_c`|-kJM%MgCPN(air)ZVAuDaLCc<~#}vOc3P4#9S}IOuo?NaW zkw>p7d61v2N&zP*0tx|*vZKYgDcO!18jOL%Q<%<=@nH;FLA}8Y+=DmEoVav;og3HA z4_-hNjHcr;KCJXzpCAsz4~EmVGj&MFf@?& z20c4cJJ1p-6b6s0_dBwY4qhfqzwO<}>PR(WCV+hX4_O?k#>}*(tI~a)>PR^?6N(G1 z!6$z6>PR7#scr5$uc!Rz8eW()f%$YSmw?-WXuSSL_vcJB%U%44F!hcDs&z*mFAi{T z!hDNsSbRJ4FPmH=|2HNR|3=Bgr*x@;kS>rsV3aK^rRw(s++(=7 z2=su2l~qYSQ1Q$dl4?u4Sf>diT5`*!S3+`8_lc7~R5T+2D8Y|L8Xo8r#DwZE}yRBL#1nDBU zAbb)~G|)TQ`vmY1q>JE!!2kqWM4I!1@50X`E<%%Bq9sL_To@n}ONxu&lKahy9AaoQ zMa{ap2uYHWmrDY|AR`*P8J2^aBQAnV?hZ%Pd-5mRkzk0?qpx`b!yktE>Dx@(8c)p9 zhZT-b1uw9T>=!s*pnkz^@=WATnM<4L>$c5whEu^v)nA%3gIZ<=|DCV<`hWVH&IrgZ z$oAp|Qbp!~tZ|t=yocl*?U+Qi}u`w9*OoYWM;&x6TU0~TEdsRNi3QbY51@*5;c4noA8rTw3ps- zM0;uP?!gSjd;x@=Cg2k75#A=q|Ni&s_>#x(f)mc!tq&@3{ct`A&HL+l$m_rRhVS@0 zX-3F+r!ETqSxAY{V~O?$L1n#X)uKJ?tBu*Mm=`8FC#SE2$(CrZ2{JAMAWU{0Z6u2o zCE7E=-1|Eiiz?BcuejN2`6$3lYsiZgCE7DVRxSZz#+Mms5|(Js1VPmdP@BOK=Eov_ zEG@kf?YSTZvjK|+?ga$7|1uiw`9&hZtB@=(W(%8j7vn-^*6o^~!!$Ld{TXT=maMNW zCl^52CD8)IxDaPY^J2Zs*>+t6q-zKcbf=kkB!hLkvId3KlutCB`M++rY^s?*H`$w4 z<>#@L#GkwQSEZ^rG8J_(w$6xma zkE3l(uhW}`+ZzZZN^((P`eadLc~=DtYp=hLwg%jy&8D_SuO5%!I2HA{T9VVgteDl~ zVGo`bfqM3PcB2)+tRBI+a*zxh%0{edI8L3_;{hbkQeA&ot!y4t2p07yt)J987(Cg3 z>!KbHM=cV<;FE#%DC!X{b*ym5k{cO|TfR+Ek1TA*_on2wBXD?XrKfr=Dl%Q~H8qoU zPw1GW%n-AvR!j6~x(YH>_TgOC{Cv`OCEeL$lM>SzD&cX75)Y+qN$jIaQ$-^18F9%}aFF8?5KGuB^AVpv8{XY&sS^x9+s!Fn#Z+a(Yw0SQNu-%N!EAVg zT6~0)C>!2JEk5KVnr-{UVl;EY582x3=d(QgX6C{iwsFd`kA!CJJWq@cJPcQSqEP-X z_Kba^Wb*JGtn3IVO2P@Dm**n?Uzi(j0;kY4e zUz9>tGYx|{Id7mr3rcKUR?KP!p@$+1AR!*aAX&`>uz-Ynueh?B30wgz%}O)mfxt;M z!?#l2R(2A=igmi@K=!sV%{yfxZv||(K&@+Z}_4w`NkIm`_fdk7lK*hdb+YZ*NUUA z==#cT+D2ayZn8ElqgRdtaZ1^8PJQpDD!XYLeZ|SjZb2)o4tlZ*o3_zc+)fo?r?e&K z5lqxz=lQrdsljg6t9?)d7-@O#kbiqTv$0qgcxG6YoO)p-2`{rD6Fwx3#u?D!Q<(6b z0aG3HM`_Z6Kz~31w7RT65wy~zC0Xu#LV0o;f&>5xhB93+%9yc!Lg1%~hRGeAo3xlV zFHKqyDAzCB$#B;uEy1@MpoPX_*=;=+53vPS!0xE_6BFm*qo%NQ~c?k7ZjMgo~?{Q7PH0iiA|Q zwL!Sp>b*m-+FI{~-^ny)1gs&LZEbYIuh)YwlWi^X-{52d$hXCu9Yo*7AIP>gC>-%+ z`ffDIGuf43imGuqf?aqSdTOo(#d&fOk#a>H-W?Tq+!?ecjHj-p#X!0Ga=k|BF5G zNf#P}{`6ArphDZBKmQ8|@WM^|I_=7u5$iKLbQN^M|L6lrD&}|o<_F(!Iu8NLI^?aH zhX4sjhx=uK@UU20OUn8%$m?f-5Ph=XwUyeV4fRgJ{YoBUNrpEj!gs65`X~^S0FtC2 z`el3EF9U?=M_~#CN(TvkRe6s4J^!{05biOGTDoDVm}@c9dD^Mz7Jg8@aDC%Q=oa~u z6;K>L-Vl1dGU^r`U3p9<{*C9JPP||85Vs8vzK-$`U-|R@gc?sCYry|C{WZ$o}7S(?rHvrkf@hKD(zl z(KLaA&Fue8Qw$aVZ<1mt-=1lTp>lsVdr)4{ryNiW&DO=Ld1n@Z4pd~QLiwb+_S`0p zqM@5QKSco4+koy;x=qv6?j9PVgqz+*8T6B=q=G2{G(Eth>4=2rfClIsM21cCIhDc^ zrE_XB(PLj}doWH)>J6Os=R^}eScwWEMV_OAh@GG<>MOqZ_BKT6A$~11iJY&i?G-%X zvt9Bt^TGcMPxmzs(|Fs55Ge5ZGFpYEA*2~UxD-k^{yjG8|D%8Ki~q=n1!km9`k*#> zc8QeECPrx_Y7!<}H}-G=taW2Bwk?MyXq({lX0)Lrqtlm7&}Xo)0-?*2LppHkk@;>p zG%*wk==VsEM-EL;K5g?aFowu_D|7*InYmCK&lnE|9w6Z$KAjUIjHyFTy54_zt!%;&!HN=VIEUT_+F_u@cl&vV`r zUw#aydDH*##y33)WvI?W51`-vm|P4sK%X1*$8mRDsMa*Ur328>*)VTR?sk79aW>$W z4I0q8xTpkBLQpD#>jC#_37`PPi;mkZRz~s>*O&vS13Ka~h&Zk|FRp}S1waS#bNwve z0-#S0^DP0?SMuDMx}j=<9w-|RLe%E+d#9b!1W`DOuNRo`_O*zNrixk z+lP?W<$;OYhjV*H;vA=S?S-}E7FkPdVnNci2@`>?6<%1^wcX|n-6*Q}DdV9T%Zuur zxBQsu{pOcm`saT;$hpV;P-sVT64AX}AA4u8K;)>MHTM!NYaQ~PEEu|SIR!=BOU~@P z9wLVdQu1fajTwOM*$;&qN*#^Rdw~fn-HOEz-JG(_O-AEPg1+q>&h)dwJMt zsxJhyABt{Y6yvHNYKUD37C#g;Q1q%1-vhGi{q*98g8xdhhq$$5zAQO}(n7pPr?ch; zFsiQR22OgcO>aJV^w_bZM^D^See`&ls{S7}O6f^8V}AsnQAWcPG~WvYk6U)mK|i3zSC-S>pcDl9oHW z-B(Bn8zmRWRbhndT}$!Rm5uI0e6`<^@4iZ=2m1;=_B!7PVbO5S^kiS{7JKV|SuOd% z)-}_keRW)7#*sX+=o9TjEMfNWZv;Y9&O*XVfRk%MUp(7FYVMLjzdkgrluku^)jvhp9uK@`{D>f;loe4}GV2i@@ z5@0Ihl#)0C5lk&_6Bo9X*MXuO4{bXBa2|)b5|796ph%}hi1IuLl=I7Wl-I3sy_v&( zy}H7qpO2>zOXYz$AeR>kR-2aFUEzsLmxHfU+Hco#> zo7L53nNN5`S8u+42-M>x3(|i7&E{%D=0S!MSL+9F-7K#*<@-aR9$&xzW_z{H{lc5| z)uw>i5U9sX9;BUrEuL4~)W@rF4C$}cHnDfJYm`F|v3Ij?n{RpZCMmoJ53$vRce?4q z+~j!!_1?&B@e>s%?42*Y``wjx#sgc8oZHLO1p5HElbgLdy|+25XE_!@eERSI^}p&{ zqZw1j#5mHZTbRzh0*kczW2>E-BijXI$alsC!IDC{6xuC#Jz`@*kPkx>#3)_~t}%Lc zFzB3j-33v5MM_Z8SPmzm^S-zsCQQK-qKe0S+^Ny&h%9kNu?0)x7l>=*Q_)u3E;$aE zMq`8ecIuuGq-Ju#mLEJG78s#b1;xX2$t^f>#1}l=!bozBB(wQ>jg(gCh0h*8eyIXK zFXyPxOH-5LtcLc&CNh%e=j`ke4ka^+-wkqhMS+d0Mu}19Ek72ce&Uz@tY7=P0v=S7 zTZado4VUvo@!}yBiy~JjCc2;SU6pWBym{Tibe^5kvz#O~-ePJURo!V);~l3IKqsCe zHD17%7ge`C*pz~}M)BnB8lhu>;ZY|dA7PaNHL5)#Oa<|?hc%a*asK-41c5aPPea$13ovsOG->*r}qTQV1f?APlE)dMro1?Lo zt!Yq$I3dx&<|`MDm8Z8B~Ne+|PCu?-GJY=t84Va8INKN<0L^ zyM(WmSEfM1jD<;C4g2K+6*hAtw1Z)V#V+-vEp*Q7Tt`bGUln&Q&TtlmuX-q zPR&}}a*g3#;>)PNirxoMXz5^_dmMmW|uUseN50^ zZHC_{-yg6uVCEvO6Mdc>zF5zmZxAY*8F_FnHi#^H$$W><35xiDlBiu6TxY&T=*3}s z3V+YNRY9j;zTP7niM!*2(w$v!F#qnTxuOMK%l-)RO9ti|KNO=hSC+Ew*>LXc36)aPyGWz zl@T8RY*2O?vEsX)&JRc{BSrwgP%ao>m6ok?RMzc{>u;hxo`SV^e1Ru#1TNtl0XGS z>Xs$_C3%#xVbCp-nPkD=dO(;=ZQv`SdjVbowaG|EmOg+BPBtxxH*FNG6HQCczfIz2 z=W1OnkS>B{1#{{kdn)m>3GUF93u8@8QHK1bZ#~PfhA~6JvoIw+da}waN-bNIjblWD z@+t4)=Wcg7SLw=pXr*XjBmf-Mrf2j;o`;-h zqrmJfx!4*Rp7ku`qo4VE|H*rUk4gcj9>d?F#6)nS!`4Y444E>V$hLst3lagae=qqs z>TpBcY*dAT#E0F1z%vBbLP}l)MT48*euvOnB5b4rdwg7c5EI-2g;1~`cBU8182)a* zW7QC>9A+abvJuxPK8T+htd0U~95ySXsR%(n1OBZKz|O^T0SY0zd-*a2!?%hwxLyED z6i16C3x?mwERPuRSdsZzmP-TqA+kUtr2FmxN1tQy=E#J@Md_&uv4?OembvZkLd7nC zRZ`iq9V%CRu(U~okaVmxG@Kv%+f#iau)M_nuVSC!yjygM{pa7Jb9f-%^7H=wC;XwX zy9&g(-F0{w84qCEOpPP$h1K((05qwHow2IsUiHggL z8UH`-!4M^A`3j98onVIlc|xhxVM?K2F{A&xHO7l5s*c1-hUC7G|t&qx4Tk1RfGUMzU7JBD3 zpUOguk)wSZesCM9hWoXZ;Jy~6;Fu54AV#pDj8Z8&g6%F4IUIiEY|{a-^##-K!%^7| z+je7;NKgRe=!_(hB|1A{YEc+n!f8?*6iokB853pC^Tkv@yEKyXZqdb5-;JsMv>*Ml z|Nc*W)EnOL;4N>KZV3qw-Bf!F7-eo^=4cHfva4loDMZ#JcnAv0>EY*8_%uAL9r= zmG{OnA#vmG*lX>*gZTPOUs)jxDuoW{kL#a^^n4TUC&@YdLCh8%JQ3*uzd{yZs~FJQ zo3j1x;q2)NzrqM`)~w@+SZ}R;J4vQT{OX93P&_+$#1{a+`s+;3_?2N=l*AmPPEuR4 z?7O$}mIE3O`{z+gQS4%_P>u@ko6q=SF?buq-Ec_^7(|G`cNP;%``=Srviz4{BS9!@ z0@dr0GN~KzH3{O$nt0XF#97~3DJgJCd?Q9G#EzF%2xGe*%zJN;6CZ$Z2*@k!nci1~ZNk8ylKm!-9RG0 zv~=xa#jKjQSWqy#?b4gqLa?Z2j5-vJ3qX#f5M{-52T{FZ2r@Vy1|y4#YR3E@=`us~ z33{};Vo}X#C=`YZ=rJqX@@u>WMV55YEfx{qkHgqIimt=pFydj%7=#vPCD298PXb-E zQY%0Un7m~HjRxu9?w#+8m62X9poaj!`GoS&1Y3hJ(x>wafqTB-4kZ#ku7r}{dP_PR z#lNtc0eT4poX#)Xal9JtxE$#ZYoH5$E##h~x>c*V)ObT@rM-8qvn~x<_t&6hYUYGl z>Gl4lLF;xJwEo2>@BU41|L~Ui)tfQ2owq$eaB?nSww=56#9Y8^J16G?X4^SA?yuO+ zscC=3c7h#I8-O;jo}H1cVGRa-ndI6?2HeUbd&i80ZQ?Ho3m8)&umFlv4R;M|(8;8d zIHC_Fwe-~jpy{E6*|nJ-N?7jCZvf@Ht zutRRHe)n7OS~NtmFwBvzv%)V%X8FGsbcI9oc>CTQs^zYzIT)B;jbpC2R$!p2ChH8;{9%iV;ChY4#$Y0^)M#wcE3J8i zICZfxVL3Lq-fqcaW7q?0HU_;qy`+#e3@ir{-UC1^-<@VT@Bz#+^$SUht7~~wvXGgF z7H)4XMd=&m`xE1AG1{R1nzOaMYTjrT3I&XOhVJYXeb(EZ8dW`OR9m)@+gF`$MAyBU zg3p)1&)ubTo8xL7w^I737l>K=5b`pljBj{0OY+7yvm_yPSP8Aaj+j-9PKsI6%Ppk< zFiB0VtA+B-J67wL5cU$nzVzOAN%=;r8(Hl=RxLbbtpu>+{Th@YlTf55F`* zSWT~c2{qAt>&JOWM#i1ZNmY zJ&^DV;Q3}rIqh=oBnR=G#ZHNgYkBQFNG z)6FbEMLmalB#V?XT2`nE1<#^dn*Dq*QTTXu-_lqpP^~due0$Ti!?;__0gaE z{i{<6$;mi2hg6esYz}xQXRqbLe856ebhs)=~RJrg%v6Kd(Gt|-F55pl9znsTVYslFCU4b2~ly5(P0a;8%>8X zTlq9f%P@`;=`Pm7N_X+Rt@7K#27llloQM^8Y%Be?u%R70Spojy2S0GtDzIPt zU@8EA`SItx<2|?{s}6tpC2YU=+3yJn?7NJozUEyMu5>Ao^-pDL*NZ@!*;mV40rPuQrC+kM*Knwv&89PDi17NrB;EWPBYW(xQNp?9=VH+p4_+0EKJOM?YK-oNUE=rr z`Tyi!{+A;Et6kF$&{_}mb1lj}p#Q0F*%phg?U!kY(hj4F1XlDu>`K50DA9%}!C+}3 zGz7(>`-;Ji`C>GtX zu?i5Q{; zQp>@060NXP7y}=j8MK+y&imP3LNeQfUi!p9@wmDM>MrD73HukH31OMt1|vM#zI!z9 zs@Q^+?1c2r)@fYWahZ>?FS{Z9Jm!kTzfmF;>bT?Je-ZUxIw#IUUMD*z;31?p9x2>c zb%@d>iFS@+_;O6a5p}}Z}e>0y^DTx)ISLi zvwnR9QC7=43oJ;kT^jXp);N15jxtCNCAlmeZ3sU@;U0? zZklklHcD34 zgbo0h#;HWX4l@>ktqWRDil>5u7n8K#1IVD6!y&yv%r3+Jut5Pd?e_ja2E4Ljb{UXJ zFp5c#JoYPQmjM7hIk0JAH{`!dE1tB5EhJSV^iv~qzPQT0F39aJ3z?jh&8J$Lp4S;g z)TPx$D$%MuYHM1t%phbJ*5p)sBOz)&o{vj9zGsQvp2rf+AxF8@g+<||)kXS#^ZBJR zBd(vmWV#$WjM3_X9}8Ld1i2@F5&?DEOSZJKIkzTyp5ndO#`%i(VjHgs{9l_%^q>FB zf8`%evlq1J#3A?AfrEJl64RpAYSZ`X&^EeYkPtO&-gY6Aoh#6STWHBijkWuSw$WEa ztEzDe0a&h$o6o;Zl%17f-rg4Q)1|3KTP3U?~U>`u93FUPmS^2#&m%)+I~gR zb9U;+EQHu{z!*|mu7X(zqr)}R5a%u}SHUcV=B%FKqqOw~v(N@P{PM-;oA3x$yC_y| z`|F!g=#ogk{Y3itVXVCf*J#&EcD$0IzAD-AdAH~i#?JSq&Ix0G{I~2r>#s%_t2OhE zeCyT{%z7N|%AgZiFzf~bI@<*cOjGTGARr_T%II`&@oosxRJ$O?-zsn3VTsv)-HJ5T zE{IT*Ey`FChE+@LVnv$jCaBklgf6%%H0TULhOsV)nFhEt#=9T{>{q0zZh{LLcu2vu zLCUcrO|=W6&I}~;jCbLnXkZ+IseK9cfXkJ39RtlK#a8(t#Z>#6mYIBO&lXfw)4C=ziLu;B!+hV;6{<>=4jjXJCzK-<#!X5F6si&>vV zR{pA(^?A4GV%G1*tbfx-e9oW!Pfzm&Zy1Y`YZ6AL1bICvC8iaMAlh^LP(4TBfRZus zSJ+W}7=47IB?4cAY*LKyZqN2*n4%EO5je*h;nZjrTchf}yGELIJM1~oc(BwS5jfC< z{u*lpegJ8u2!e~VTQNuAM-XO8LL2jOR{e@40>^%m!rx5V0T(<(?&NKa7QXndSUpbtgzJO@Bcb_dR@C7s|g zQGs0fCicI#(keEO8(3w>OXFqV6OdEchnO$ZIUwDpIRU-#ztp@nuZK`p6kCe)qeBO`>+^kaJa#Tw;&U0)N7 zCx1Ffk|!w=d^b!m_T+&G(#~qkMF>@cJaF@!6LTq&9$$yAXj6A6@n!Vxww%nuU^Vux zpNIG@5ucqO5j~}byOj9eUy1L$Thv>L`|7>sd2POveuU<1F3*YHYaZ~d^E7?T%THc- zLs(kP;XBZ28U5*gUB1RmaH=3T*N{$j*V5AZifzpMSc5C|V-rLLu;G2c@x*r9uD-E% zsw9?{)>oXUh@E8?)xcF+pUzyK=DAQ4_sq=-@mIkAqT}UKt#b~5sSa2e!>6y-ncgWE)|LxC>ZkO?(^N1-gNRt5^JjIBNl%NWZ z5FKZ55GgI4G01|S9;eDd=OmL<4mxk25g8FAI3pNAqv56^WJ+0RP8_%b7s!MP=(aJ^ zK-&c?T!Ky{Fgeu`;VC<4x`4NUgOhw$wLE8v@T!1=c+)0$j`Qug3FVat``)1}od zh|(Y34t!9zuj^wO0p&7K*zHb{xi!S9X zA*yo6=6y0=vTH_a^V)fza5@sKh^iq}SgF&oM;fuq3Fe#uFa+fa2102x9PtyC>|rY( z!UV&?XhN;?u-fG#Ts?SE9IgOguAKcOprCd;xPo>2+*D)d9_S+Hf(zzCcmff;Tke6# z9ujQWhul5uGXA%_lx~w!(-lXTe%RaZhfVE4>E%XtF%48;vaxL5v3oINdD=Ty24}|3 zOg4VQU;Cav`Ry^SUSIP**?0#-q(LpN`hO&SnbmsCtr=0mS*;&dQtQDe?P%Oep|i4D z-$NluttVjEfyzpE9t(k>ks1q~ji_L$`ZB9^%){21Uzm)>%xUQescQYWvSi~xa<&po zVNIt2(;kbUI+~$Q&om9_YRUe=$%1@nOsxADv_mfJf4fCx)A#w`F`4)`N=@s#OSbVA zvyGBZyhozoyH{dw{1rd(TmQ}}B{o@ymc5@SgczwqE50e}7NEqaa-@^Hy!Tm&pTYPO0~ zEj(6eCVTE8v=_pLo5PiX7TEW>vYy!|fzocwllJ)|V&&ObI9VVZ5 zi!Lzv{9AMmO#Weuzxsn;6r=yf@su=ZX$TmUP-JfLb_6$QBCY7Ej{Y+&1l}o<)LIUX z67mkK$e~EedM`RsidkO1+J;&Hrj}%tYo=!b71@A|7_r%wY|c7iASs<3dUNc7sHUTo2PMR)7AXx=Tl z*rNHj=p0-0|9!*%_$S`?5pQ?{hKw*{Xx+D{MPgP^w@~oAUoag?Jka&L?J7JDH~@W$ z((t)kp=e1gM+j2c_=-rRuSLscf3rYW61^lQ0_cDer3Xos6HQ#Z`|6 z<9(hyYOxhR-Z-InEi|Q&q5V=Zi$#FSh-IuLB!TK;QWy_-uO zz&ojxaT{Q!<-R~N9?r!TW;*SWbVs`jQsDi`#YVO@om(37ca01yot<8kOYYbEm2gj@ zK(8D7d*)N|xIPuwyo;v-%lcEnk9~?co{Gn}@l?Fy+Q))XVr_c5Fk4X3B`-&qE|Qlf zsDE>FFscDPu~CMnx#Q$Cp>wq?#}T->?hkcz?1GQFzY`;H*O*%iMww{JJ#vn1KEA8_ z!vWdLTyL)M>c#Pxk_%;;kMF)e4k*V1R>*aK>-7~$Xwvob2TH%+dhmngwN+|*fhL8@JE!|IT3S=}8(A{hi zLKE~+lBeX_fBBM~*+N-lBPTh0@j{r-$;Jz2@%#h2-i=&Mnvv8{KCIGSN5?`A%C)??6g6)0{-fxjy513QL zyJ|Sm@j#r2Yc2*U>(WgySx-}n1t$w?1fce_Pgm6B8-&Q=#uYUVRjErpY*J`Gy?juq zoRqeI@v@oR)vrr$rp9=w$g@*x(>2;_<9ssVJrg(Czp~H4&idkWNN+*1`M2F`&^uY^ zmM_c#GCnJxU!I?E(u4^hd>)S6EXY>xq{(*j`6{%fKTkL*@cH1Dz25G`P&CgErQ-jszwINw>>Ey1;@m+w;Z*T=R{TK+eWILj zvRFDR{>fVDbnjspjA?PKR5~mE+o+UInySDU9roTdn|#3$8$9 z)%&T4#=}_PX~5e>2@T-&KD31gRw5O#M@eg_eQH`mNghLMFh5H514F>Nx8uOo4xYb};4L8qsRXbBUW5=(pM4<$AoctWYr z5zb2G!QJbuKmSWU{8#?7sI1ZJ>`S00X_RuxZZZ>>oz=-qT-I4ecMI1N0Bzrgl6|55 zYO6lz7F4pmSDSU#ez$Q!kV17nXx3S%d#0ZZFK`X?w-d}d3vE1@#U_H(a@CUc-F(LH)aEc@@p6O7mK_d)@7B&Ba_Nm89_bXrTtefR^4`o~( zRJA0bn}zM}-h9Rj8`Omj>ZSL-OVG!LbkX$}D6)ZSB|;eDWIW(mXM_6MZ~h-X^jCgb zOP68@_-gxtvq9+=Xz!IJk**h@2Vq*WU6w9&L1Z+whY}6}vQNbELy&%e3xXA&IZLbv zg9qbP1gRLBAgJfXb{&u#tEWDh#!`dQQ?-YAilR|5PwwwGLl*9S-Zip&aQIDR)O3#X zu=|tee;+*DU+q%r;&^q)?l8TYq;cVBlz0Iqs<8QqBU0r{VnV`;G^ zq2c@J1FZpPMIXC59S*@qfjl&q5quJ|9gv0ig6(4Dr)lOzjPv={#U9eT^6uv?Pc4&! z2O<(*@#*jUq5nIeXw3<`cW)VD0d3(xvIt@|$U3J~@^ic0mSYSdxWjubo@OMS`BwXi z=yHZ9inkijEM9NAxgp56+6DJmP*1%%SSL>i(h+b$^cv~wk7|fzpBd*JbwNygAg2zl zaqWE4u_AA_3-0!u_{3{mEUdvj1XH4)Gc5S6rL1C5(IMWwF9}jw=rED=%EnkyUMiPt z+;$-B!S|{^ka+hlxj�U)J1sY?0Q?cjvI=P2t%$2Wy{7lI8ZS4;(iQNrX84eUwnY zoqdav1zXeFMbnz)1_26^vx9tlP^BVHoUaMMUOVwom(Z2coYdshr>YmP9}BJfL#ONZ zy0mWUzl}x~y^2@Sc?h-2I;&2^I*)gbfrEV8Pawii_V4by62jUvb23?o*@G#htCHAE4a&f&3L=GisDBRn+`TrH`w;jo1ul}r=d1D00LZqK}25#$5W1d+BA zZFE?z0FG2asu&lHp-VdyHFbit0WNr0V?rXe769+TkYU<@Cb&3kMQI(6Ya5YdyYQ`P z16&ZZnP`6Sl&=?@C{VtP*nlR9Dl04rNwA^Ri=40BQ1IsZjWt)QDIR5NZAiF=d-S9r zDUY7C>>NHm7IotbxWdEH5NhhhH4^`J+UHhfVr`x&DK&Rv{V#L8tc$ZB^{V+3$K{d0 zRsH!1&o)WiM=@B3#g0kvDoMTOlE>|qQ!fyCV4en=2C8Iwl5uuUk;LP400voaDXud; z%2&I^p3|*2;$&zvW2fUcO3(7uVSUsQ6{MS2&@M@)hxuxEMB18bf{xBiaFR?<^VJ^U zEhO>iflg3^t|XZr=d1k=32u`-)ZcUdLyG!4C#7i*DgG_Tyu#UO=s!bSn)B*rjy%YD_NqK z6ECo=cyH1dK2f5FAFM3bRqSa6US6*AL{Qg;=R1CImgtq(Ppab5^~-j;eq3*ETR{aL z>frJTB_52}an0>GezUk*=Mu&B{%TXcKLpC}C)??GDJe|Xf4th1(0julC|$p7$MIdo zqCQ^DbwR-)4sM&+yIDO4Tm^~{+&$R40k6JqZm^fI3p)0>cet6C+LV<`R;rcYwL&pZ zk!#Uj)9Fpn3)@b~wFQTW+NB=Q3kNUtfG@uMh#>W|S*1x3<`?|ZU-H9W9%UhFlLrns zxc&sUK(>zzam5`;ehZWpM|smzU(?g9g2`ux}YH2T-@5*MefaA4f ztmSCIM!SP0QxpcUBDb^4KJ2ABfjNwU0>Al7fAKH;HKCIfT^InvtvhaaKvY#xO6nv7 zB~o|X2#lMNNBr2@9k*X@5h>Lbsgr!g)*Xj#abT5Iu;7lnBcdXx8eo~mQ)g=+NJn^pcLDHC&uJ_z(G+b}S6IqQ`f&!G66{lRb!zr&JlKwcZWYB!d-Tp{E z#1?+bD1k*hMMy&I?s9%PnXPx?#eeE@vU$so>6M57^k;wX-wwSpUQRYiYfQaj)pE!) zp$U^NjE+&4Sk>zAo_`zlN)ud9&>B;(xF9B+@2v*_Gt5P=*e<9G>Xjyl84iN@p{v3a zPzX}5xZosNu|$v7b}`9SEYFY$PFCNlNi)fb^4s%unz&H~zIjcL?{jVDTF$pGRCI5J z5_-Lk@0;P6QEmC#dqRPZX}E9s>hJ!PZ#=2trkb|0hMQD{S;HNsda;UzL$oKk_c7x3 ztlfL6NGZ=BC=3RincLPdi=`B@N zo+7!o$j|*Z4LCigOPYdk;`Gw6&xQSOh>U%r6cQq?r24;5TH=HyC+AY*-$AYC)cAux zQ;=wLCK%nJVFxhK-U#>xVPP} zkf>!UZnfyhPzvD(>g(5BW!`7O~P`w9?3mEjJEk4l)6>Tz}eIe zgCxlZ&m|EAgS~@SIdbw+2ZWYhtJ&G0%BcI|5@7k7ady;R*3kK+a#hXt=!w-z`esf+ z`@bdb5Bn$Zt@}>T?mdz0Ui;TUt&1y1|2w7{{b*pZghIUGp!6Vzy0k?PdFq&LBlmMCJkn{KH<5L>I&*= zf`NvH*)Ha4zh06sn`^E)^`+F~^$Lf?{)7dO12%}71t`3;Ya$?bD; z)m^7GGSMY8+p0!4jRs`6ag=zu#tiwJBwS8`}GPyaX-n_%3y?kC)?e57+W* z`Q}^Ryh-{J*>IE?9-x+%Xo6sJ9KU^PT*p$I+_=ugwi(9boE%)5uil-k#HcMR-m=}1 z6`-T&k-(5t<&<1o@RB|UgU*##9aP?(OZxnoPoICnANkWCwK~GBwLK zL&`T9WlI-BU5Qr(aFJ6#xu>zUDF=1|TyB$8;n@OQE^WP+w%(r_ZN2aK_>cds-y7MA zYiiG0PX}t@VZlmW7mcUYnXp>)`XV)Y|4s0KY4Hrt!A&vfm!R=%f@px#+WIwU&$?67 zc)H+ZH5(m&7eu8BfD0PWC1^{;c-k&xcxgPFpi}5>K?9-?LI~1$x*$dc5PC!Gx7m|m zqx?Jq{DmYi8)UOO;%hmL)mcCZfw>tV~qKe+*7zAuAI?0Ci zG8ya^)3G++P(#-($j=2f^9TDOwvgHIz_vu9uf;O9?bUbvtRIcoK!Lrd&TB;P@N&KC zlM4w`B#^1S!(&;91SzK8;ni`Fg`j#fC=#%gw+^o`Dq6Hwpp+%Afs6}cgDsLYn?c)h z!Z6VPjD}(AK@{;}Kx@TPsown5hmDl~qBQ^wdp+p-y2@)9I7>|{0BQT6F@~eCvs;Dr zFbrShLQQ`^!I;=<;aGB;SLp`D-eMZrlq~R3t?2W=NF(>$3l~!J4#k`%HCJv42lk*{ z)7y?BVf?y@g&V?XbUr_gY;R^@fAgw_FHz0?MK$woQJ;!`a8I|0=QUbx{RlPQbU=BS zhS)FE3O9DSj|3a6d*}Yf``A{AO^+E^sj+UPB!a^uXuofR+U-ZtJV*1Qu;r!;e~(gtE) zFKz4~D!tdWW#jSQYRqiwz5TWEa#Q`-NR)Lk{A4Xj`BE|TDSdd3;eSZe4j=sN3bA>1 zV^AS>Pj-XnZ9_K9gv_-YJXW%4+M6pTq#ZjsY~#fbrl}b(elX42c=_??yyHE%BFj;| z{1Ucb{OtFHgjl%;D&`5k5h-5G?53)Dx9DQp?`CY68sdoNlnU`_1BqjS7z;+$ z5UCjHh+woatqG!r%-U~vsFSLv&Vc0}{9a<(w;(K2OX#@HNlU0EWh?+zi=wR_`nkrx zowdsLk)QPtr^m7_Gf%SR5wC4rZ!r6nyAyYtrwtw_l1B9iiYZT!9CkBC(Wq6-#a)jW z73#rsy|CBkG@-GvELS_BQt;MWWzRQv_IzFwd_)Dyz4yO<#EakkY5(faXa&()E8xJP zRSv*2mQqYi)In)r-z-Ko(*(iHgI5@W8*prkk7~P+bD)}WK_gJbgGJsSdDlabYQ_a8 z`O$Mx!6Zq!6hwKM!W2n4)l56}6i0b3DnQ*Qq9BGtV-)2qi1sC?Dasi^xFlB6YHa+m zT2b4DU$W4iqX^x|%#RB+lQ4ovlKJkmA03(A3&6657lwnsU z5j(o%O;&iSICILz{>3YtpJ9}7B-pr+o}b6-B(P$XPL7hEr<6{5uK_wrVXdchy3M&6 z9(&BsRn(Wb}!M{hN&6q z2iPtMN?am`p{gAn8E71VAgC(MmDgS7F!+i#tOO_>!VN1@Xo3=#*oqjKM`Mf?mq(Od z(8$H9rI5k|$)?g7UY9WP50u?k#0Z8kT0y+9sZc$GlLD6Bq0qJKanQzW+$(hP(zT34w{UTK$$Wz_&yWp3xK1M2 zy=1vV3S8ql!4O`bqO;tU@KElm)I^<`bE8B(%JZVORbtCAz_be#yG?%A1e7{L<$sYO z?PgOc@lVDL`@Yi)oihCQ)^<_pl#wA#8UW4;x_+21u*M1q3(|6KqpiKT7kA|Y@B6&> ztHBe9)iKaIm-tnIQRm&Fi@S0+?#d^9!}5pU(hq)c&Ot@EG0tVVBsxk$S25gp6>XQf zD=vsZXdnfrL0m|$XAz`5biw0l2UmesZq1%gzTB}Q&7ljT!IOi4NkF@_{RJ}^lX?U{ee!B?uvi& zEzYVSH>YeC$&+q?7*+3DrEL^*ssB36kg5r`I;la~X=iD55#Sc~;gwqW^cdcNuD5+9 zWivA<(ypgld5(-OJw82W1hBYdN1vVSXli;awJJA;i|vIu;WhLoi4?B?)sKJ7OEb#o zcVsI=kL}4adW-(R^}6eGND^M4z+?+zKC8E%QAR(N=aS&UR@^NJfr=)VsW;F+otY}PC3cu91S%`Cf25Oid*^$PsA;kGWtsyJ+;PD zsJAcvTmS4|`GgN|*)Vn8uLrkKTHa$F89oVcYa=r_3LccvlK{8&8xH>~f*CvsaBGb* zznx&lP8zs{{Fx}DgTqyp=iTVQDGzqfdc7G=SecW?{k{yhdK^gu2d;1=+u!FA@_)7Wh=d2xU}>f95D z>l(O)=|O|*%r_{&tpkWPyPs3i9_>0E+ycjxB9r zW`g7f2$~@X?*AU=&U$FS+bc`~dtseSrX--$vzef)s(1#7v3li_wGh($D9IwIfR4^S ztCGzG%DADWL~uE2CbkPC6$DghEK_lf*-W6s3$0~zzz@H_ zEM@}JoH%!qYhvDJuT*mm1R%;zioTIB;P8lg_I!81#HAw~4%?-bhx^%2s$WrU4l3w| zadd_&<;p$2!Wv?lNoTpNc#l1xwa6&B)@R6JNH-PIbPBuRJGuk(o1mcDxU zy|f4@B$aVn>x-}8FB+XZ5?hL>B+2wxU!nSkEs8ihQh)XA^B(KqS#6K5Fs$aS>|c^# zmHYg^cxL32JbPHvA8US$hqMzeNBCn+M%6gN)@zS7`BZQGSWhvlb_FOppF3Bq@EOgW zZaoz%=*|nX=BWYPH=QEdGrNLb^DJgp88{xj5@dEQ%%(CXGkxKHY^J>3tzt!|j;7^g z$Y}cg21KtN6)Tx$ORvV7FIzspynOA1H=ZKeGrMAnX!-u9m|bzbc>p_^T@gI)k!q(N zeDG!+_Zd@AWjjiI@Pk1^w6CL$l+KCpP=BqqiM^nW_2Omj1uLv?f_F9PIuNabGuDO4 zyDAj2ty)M4XWPmkgiR!?1^&3Os$Sbui8-06-SVZ!(M%~6vr^IBIxe~O{`dK;QE3e& zuRut)f}UJiEnLt%q!|T5y9N9Wx@RW}giymn_69@|M;S&@Dr)E+`Ki%y#j0L5WFFWsR0OG@ zn;?pv5b02GK^FQVNav^tE|70BL9}pILGk%r5)h_V|H6yH57kKqg#rqMm`9Q|!R@MU zsV%}df)1>&8Il-JKaiw}bIAk#Cobf1$Dg1(d%itz2U$(c%sc1Pcaqe%z9yLb6`chC zz737_>pbbi(GLqhY8EYn#s_VzgrkE`hRpaXX*gl?2uaGMewh~`#|1pbK6efMugZFM zCdtlZ#@FL#mml>L3?)sI401n#P>YhoWg#6w@t|Y?L-IgmJDKd>Wt6$@>4d@>myfS5 zle(C3evBGFZ;m}0#Bz5ooCGi><@3`m$mbU~p}8EADU7e9KaV>GZg)$t!;Qc; z{M+-pSM7m57dR}Q$T+K>Bx2?*--BKRZ2sZ5|Hl99zlmlPU8t|_#8fXh_M9~Rm?SM3cmVq8n580O_X62yc8mYX|!qBTG-bwrFP&0cS_<<;w zDdwVTv+_oN1M@3balI$JQUtT|KCTul+9ariu)Pz^$~($H(G@CwuV0k|Hhz&?gusaE zp%hWJHIU588+mf=h&`@{#j2h1Ms0+yc`)8nI~~uS?+zMVpovu_vm>^Ab7@NV>jVJB zVM4gh)doR(CzTFbAWov>v*xb&W6DLh-SmCm@za0cC!>r+QQ|(`giH>JAjrJ|d(lDZ;*1)vMNCjd zjzo(e0(Tam$^>_9Ml>>5Y92$7*3q^QNFLZIic@2#G%Q(3<%l2Zlp1ZAG@Oz?F_4gX z0P;RedW|)^6$KmgF9Sj&d}~7ZwB_~G36}@2I+Y#;_lW*F!IEx@Y0`)+2RVs^%cB^E zsR&u~U=@t<1Bv(IzfiN_nBPjJNOBmLhCXYX4XU7q8YNNsAkDMGFhc3r9a0)d7X z)82hFsESY1Am_R{BeG)|iEEM*_T^G>@+wxGm?}GQi!Ls}{9ANR#mT?+N56dc!zWq( z_G^@T5>#FuR|;T6XAxZh+d>3DDtsaxp!w5qHU#McgdoXgDTuxzjZs68E`SRHO19zv z6sh(rQeQMd&;{zLQOnT_(gkqA0|IRcq{;ZvpPDX!3j!eqGZ-!A0&`Qvw%RTr19Smg z(E6z;h*7MapjMK4)0YO^00zKtte#ISsg4!(r1Ud| z(gXUy9`Z%|R>{FD2UNt~J>=O{^24f~#rHQrkP(Ydg9YL$MzDzj>}`+r39UXbyff^&Ahz?C?~Mi#+pmAikN>@|3WcZEr;m9s z3rXkx9WjJifyQvabIgM}IC|=+Gg_~q`Kte2VxA5AaiC0gOT+=4V2*kAE6iqA!G)F1 z$ElNp^c-5Tl(8Hm-H9p}*T2L(h}$HQg`tSMJ}%2K5BsVFwnRhFuD`|{^K7XashqYz zEo+6*N#(>BSYGV%LDj}dq)@dGQcWtKV+#A1VFKwoE#ms7T}LOF_g^OyhfpGQg^t7y zco_2#Wz|zzFLkf!U_c077Mg=r8zRni!qj?ayVq-=RlQfehU+bu=50cnqw4X;wZNpDYG+pojnB^zL9v!CJ8zLoi~BLC$f~3he({8_Kh5#FcrhA5PBd{XJLE~Jo&Pbxh|X{Hx* z<_w4tW6=4G>H{X-E(wvO+jUx8Bv_b8$E^-yaMxu5eW5Dc!eUHL6{?C;8`n=Xrxa=; z167?=aZJK19myAklZs!94>8L4@i;Gca|R4h!}~jau9JF65Zzyb$W+mZThtrWOM>Wj z5=1}t7eDUm`+|JoM+OVRiu1ZnhK5JpkCaUj3wPfeTWf~bR0D$gC7e$|S{ic#JI2Yt0*Z6e|{ zboyvi<$@u6vZ;&SYdQqz7BgM&jpXm*!NcR# zA?5txH6g%BCP|R5WW#!726GmQ2@@cq%ID(tK|Cb5M?N2)jC{O=)nP(z8V})mK?K!m z9EkH26DFlK`TW&{RSTv+ju*%DLP`(fV{RrK;r!x%ARQ2K3wWuVHS2klGtqjA5jR#x_BYrqgn z(Cy&`HZ8mge)9yCeiJt{loYSU>EYg zV@5XqjZ)L--ety7srM6emTlpP^-FaD1Y9DVgD3G+=FT47i@AG-xu{jKow!98b9Xo9 z?kB$Ki+|nkJXP=4nh@@vUIM)bM^pvOpqH2$c$ITslk={`Keu|n$#GYryu5gEa@tj% zwFT%xqu9}DSCYi_liy(4)xg%uKV)hN)aKEC>A#=uzxS&9b__eV!W70Qv#(mCAJXW{ z(o;Qs&sLnn3~wKMMhw~ql^P}HsF03VwnTjxcuDtX(<|xzRFcPE&-2d@*&K#Uk%gr* za`l3;&dZ5iqR{y||JGTb=G~%;S)PB3&Z)usGe76sf5(r8Sysqs=hOe0x} zWHL}xt8Z(`(wtd*O|Us0(Z;UDjA(3Egx8V`2);||_n`4SKuUC3PNsejU~?D81yicf zq1dHVb7H;~?4weWGcQu|^}MiJt?#LnD($ELr&jrfiBw4(@_?wXZ<$SVEa zQ-II&GItlVKi{U@y4jz1i!Nq={w+Gk?EmDq{MZX`ii$7w!QAYdN5=XjcF~jU(YgL@ zmnBzSu$6{^qS#WQA(*^)G?#HACRbc92ZE9^^GLT9!2&Z@+&fmJg7s5J5iGc8`d+yl zjf3f!a5j>w3qh%2{9?r%je}!AsbscCFr|o<48a_YZ(&3QAm6U{gXToZv9*gt?}AB^ z_nC$_mK3w^lKVZNZ(ijV@O)obTe|N+1ZY#L(akX3eYZgpLDmG@fF`Qb*~{%&9ZeE> zhkBhia1hiaTYYWctfxC~Tz94Z#XUCyxKrTHf^Q>-dKrCv9ursOBtCZlr@1TR-EhsFaJiUTO>;{GN@})e;nwYi(%xp4W`Kp zk1Q@{m?IMxMd_5S9+<|`y?Dg|>4IGxQ6kru4u}ioc~v$o0tZ4N1pJ=95JHg?OYeb` zP7561N*T*uJV07BZ<3hkX^eQNF|W7L=$HKLcEjP8t=Nq!$cZjO+$KG~PSlKN2#uS4 zd4+Vz_?jSU#yn9zM1$`>{~-daaC(Ru|8YDTASIsp5GnUD@1HtT^&!I2_Jkf^ z!W&<#q2MXWn)dh#`U&>Qnlq_&PCr3UG6{DKRg>9$;DeFQKO|XPW^V}Y+gaY2BB9N= zYxhR8#@GZ4#rZ7@^YDgC)@VN7aO+v4dAH~S3C+Jn=VXok!&g4$?SJkRe@WDEZk9G$ z*zQ`FH3Bs=LwYiKfPA^jij3P+ko@-vj8S{KTdcr%Qu8e1_7tSf{fguE^oX%y-HPM( z6a^c-;J7^nkfGiG@$;0dQDRL*-mIMaBtY;}$(}k&;D3>Rx!BX!ustn7?gVrG)7b*X z1uuX5ANY-L4?U)Ra1L$j7WiE@Bz+^|NG5dwd2N^JF&DHtZ}MI(jZ!uQsmGci=Cgy& z1A;3I3hY*-9&zeyeQ#~;4%aWmRG4=8Gt*K(L~db;+` z)sZXCXz!d#c2UPzo`U?>*Co52e;aj76U00T6t_xyC%D{lR_yt=QOCI8{;=VEA;Q1t z^elq2bxeEj+XsDlXZM@*dPNVfIS$Wo$UcGuJjgb#pE};J9J*}m6QzdQ(iHt><>wdcNzE9zOXCF-cIXdmU=GT3=Ljabgsk zISvOat+ZX{QoA6g+Ob%Z6oN8*FLf#yn}PAk(VG<~eZOCk&7cTMwTKJ0K9WWo8mAU> z=YoqBNAJ;qZaMd_tSIKr1P=?&fu`VQNaH8w&IIul%p{|>rN2f(1OBa1QNR&XSaH8I zR3ffXt0CHwHg^vtEtsNIFS7KJ>@coNLy05jS9g-6Qu4D$Zwd-02E8e{k0g3iP1Tl}mNRc{8YbT5Z0*tpLYBIKTYV>P`Jse2D5(Bul&#RptsFo+8^|>)lo4GH?0b z95QnGj9>I`|ML$9I-+*f(GmCI572qge!wzNr`J3CC2~0|bjCfp+&P&i)yh?3njI*o z5fu>hSlIMfI~k0Fs0yvPLO@P3RN}^{*653|O5}n|)1i47S=iTi<;VrN3}^i?a@m2` zx?W?BTz06=HwK$^SDZLYOQ{aY6ReRw5-DZM(oE*8mhV>0n? zluVI}yT<+(Fzh9Ax$Vd$b;py$!C#PvTHfY5X$HE%P{j|wGZkcYmhFic4VuPO(EGmP zCqCudLIo+(>)xc{zTkc|c4QQUvZR;=Y}!85C7STka*hYOjvAG42B``zi&9%4g5Z{>2%!tg5*ke;pkN1@eEPq~cTF z&(E=E>=PxDax)Y-!!nl~(-h#$4h0tr-x9 z;2u+wD7e6^rcO`-VwXhP3fCsz=Im+0qH~_4+A~*U)B*bW=BTo+gJQH$HhSJM9^H_M zPjl3lo}}il-1uHJ7@eeNuzgkgj6f$JWEVM&4ipp09PQ+V*S zdqprTDK25R>%;u}05oAC?r+0}yp}@xdmfm`Qx=WJy`Hi@F!u+#NU{29gXq{g*r}u&RU&;Qdv$ zfV5`5Irt$FS1`cX>~{TSO7X6*iC;#W_fWk%;PaP8JC{7{cvoc2Ejv%kuP%*tF4>^v z{c6RQyegS*5N;$c4{Po*qNjfMS%Hw+-za?1?O{BmmVa%|#i^J`e1P8k>(W4@?L&4f z#%0H+6zin`i?$E1v^XkUkFifY2jV01DwZSOMOR|3CF7|?ye}7FM_eg_M@{)TvjmkO zFgnBtiIfgA2=MVzg#N9FT!;Lo<55LkYU&|SkLPx@gaH;t4SYHt9e<_6 z?8KNFX(@~4^yi71c2vke4a#gU!MWbW3_~`TB@~02qcnac)`R_n- z^(A*?LgS+bc3^CvsDIF+@c!Z*4?(%lPItOI>ZsN+EGR=fG5>vJx}w~9TQOR0MoNS; z#1kzyBPGHa;%N$t951T=<1{AStDG^Fp=*uB zJ9-`C3Tn>EaW+#+6G0E2#r&4S`e0;hR!cNoNlOg)-r$KNAdOC0u{HI8tQH2H%CDzU zh)9hkE@7xt{JJYB1)m)Pl}?ZB+}T+6e4o%NgOF&h(wevYn9};2-}D84{da{cstfhF z^l>XQ8wfXZ+H=)KxuGya~Q*nqFwld7-BS-%bJzeZntLf0&wZo z(k2MSidku)0*@XUR@^QzjIdr~R$7?nhY~%yS*vw7ZdHr={2F&_5E_UehRmw(6sOKg zYrB^zM{N7p3XJ6#3D*f)Gj%Xs@}%3SO3_sC#Jp@#anx=}N1w}@ zrRPcwk*as+Igz1bcq zNjJk?-M^l6^Bdp#Id6Pp=w?lgI^b@JQqbzHI6WFg21|g?f+%|qwc{%~fL4zR@_1OY zZtB{yg(!sBf@r7{5Vk!wmJTt53#>(QhxBOj@Xa6JAF?Ixe*s5o8jUL4yAi4Gi|fr4K#ccG)BK6Cv}(dbm-1b0HD3?m)dM{^-*vTT`L0~o zdCQNvuDjp;(ckzhl6sU1O?O?r26PKlO{%(3UOM0>&n2L{{T|#}P-cfM-fwZZygsfL z=)xT%OozlJus3xJLr~PCm5S~$WK3K*5T6?M7J{N4T@XXXRXw6JrC(9hqYFaO3O+fU zAZ`69CWx;J;tzOw=tmh`qo_v{gm=Be&x5B7)UfI`$2F>Y^d*mo0(42x&33;}DbU@6 zC=8e**IZzfDP7mJrl?0-^SIbD3cyc^lDff~`R?drj4uR|A>*6%HBTzi&d2PEX`4<| z*YOl|sZyJB%Q>1tb?s~aa0k;}94YAkqIwO^8GgaW*rkDdaxMoP8yBMY>|E>5>wLljG3nz4XM zX6y`LP7+>Acwo5XB;j?63{dqoL3mAt>R)D(@HzvSNXT5zcb&0-X}ar7E;LPdoj@pD z?G)X$1aOW8-+=DAsY!lNH5YlFgYjHL;}7c%Jo4&@7w;O0JcJ8~eNal$_w&(Iw-sA+;!cVZi)&wEpVpjsYRDD( z?W|}MgR#16tg+9YtuL(ZTv}m>T@}Df8v0{mR z!0N;lDIRQ(TGVxN!%7O!RWY0Vt_9F9v&!D8%#6iEM@3vAzhbTltY9s<=p-I^z3 zAGOj}391{nIpj)LO!#}4+W3^s^K~X#7aA+tkUfw0UKX;?Wg+z()5RN?*yp_B5lI54 z;tl%O2}}an^gYHt7sGykhTYW83E%5t*ymU8oODZm&WDX5gGNYbHVG#w~Hg+#>? zP|zwAgC;;85{wEeAsrqHS`~$W62EUe{xQZs=U#KIefHk>+}o?GZtpeE8uOoX&Bu6r z;~QhJJpnA-Tn1wmK^k@!gxzQ21!fC_A2mZ0D^f?eU{qtEG@=)zVRym90;LcFEFwRp zd8t^DhP?^S1$c#FXa6!u(1aijy9*Lh)=Um)ti7NZ_K@^)3{23{oKGw%hTSEBX=-r^ z`k1k8V!1%lywxQEsdCyDaz04SX?7^BNw>=-qr`*!sIunChF#60*58UbR7={Com9%w zLy!BQJk0V5Zeu(vvKwb8F@QZZ&6pE_48TlIfdmc`hhuiIZ$a zaKovfnWz!+PRV0Sj>rVDJBBMz5Q?|YIZK>mg2p#z%6UCVc;^)Qe$9@ua_IShI3RJ7 z|7y%Zk~|hPzp1JCF*SuQ#8Uq3wbU=CUo)xDT4XTs!L^g>xkp!CYl|5`O|RjjCulJ9 zMVp*)KilWJnp^)GYOOoU<852-3Z%|Zn0^-c-goi8AvE@hQYl~=Adw(sCCb4J0Vt;^ z;>x0+xhHRw5kLs~b%rB4@nc9-eMU8|)cZQyplk%WKdcFQM*m1jF4=DL3@IXJ3Gwg| z_3774&-0AM;qsV*LAJNtpB|1Bv-CjE;ASeKj&>UyoSB{#NTw%x1|Np#1ZZmu@SqeT zh&9tAjic}IB+FGoTBct!J<~JPj@UE3Mq}k7c{figrVII#%8yo^z>l5+96qU>U-Yi@ zu*GvEj~%}5pH%)+TW{n^#qn%%AdDU=g&k9)gK)liSOP}}6{5_FZ&f}Zx8wZR zbD8(k63Lh>v@X9N0%d>MPW#KKq`3YV3A8SwtJc(g>G-l8`{RP;(@{=i%gbVr5_WEz z_;yW-8m1Gyu)}bo)ohJ0$2Zsb7R6?;mD?Bg4<72K(!C*!&AimQtX#a8HkYOik$H!e z(&JK~uEgJ(;Q*T{xwhcgq#G{Hxi~T;M>kL_+D_)!kQ_?4p_;mZU0>@%avq#~SLiOD za#zOXj@}jSav5DT*T;*4nCOas?63R&kAHh08wxXBpR!nc8ydr-4B4#8Y>HQn6RGdtuDdYoNcmzFn>uE5aiBfKv0TucxiyE@Kbig~v#{R-z;Qx>QUpyRSf zNrE{;O;G$6KQxLn%@yC<5b^lc;rMeE3s?Ry;7HYDrG_cS-qes z#+Gx?eM=BU~lB_{KD3H zjd zz&kXkV||yVR6{UuWO{+38(FuKO}*+Uz?_G#UKiXSh#G?&{WCc4@F_Dn2K(Y~MAOCSHCAOF*#B9+kO z4yn~be5?uId+2}j-D8ewfqLxQhIyw^+R>v@=_fa3vi z2?8D<7B=CZs=K;*VEw!Oxn%$D_ARG#m(3FtDbXK)b0!oiMYAHUc*_wzZ6`ai{Ft8p zE1Uo6qf!~H+LN9Vfg!=Hv;@$%lsYfqu_{P~&d}7h&`011(;-}0z>%%*)=6U1fxN^ViSrPRaIT} zmg=_an_24j*`qyMo$7JNs1DaDMxL_&HzuPeHBNDG_tpOb2e`PgPmdd$Amh7mW2crM zQ;)y>@M~vZ5^ij~*6{}3MWmma3GNSl03YfR>S6;rHBVpyy4{s|h2Rvls=EUnaBb&*^$VXY6YBd4c!7L3iVckEN?+0c zj>*KoQ7YAUjbH*7zS!-9s;Y7TS>F<PRX4&yaZl99 zGSQF)lOV0JxqW4xs0;2}Llz`Slpz~}^hBFrn@qEm@)LM@AxKr*1b65KsN~!or;!yw zs#+Ipom-%~ZOU$}NLA~C(YXbkMtdR~RjmtZ`hqSiu!_D74OMLuL_-3cBUwl8iN>Kd zg{9;kc#Y^(Ba&;(#pr%bW=BE8uF<@JYc@~R*PK*~s*a}HRjEo-Pg4|*Yb5>+4J4m* zO=O$wL$n~(RZffByF~{F9XW8fi>bq24JO}GdIbg%Lpubdl#9^75)ngN2BaM@GomnW zG;R&%jBKZ{w(lqan4mCk`W~vX9s9E-N@;euKW}6Ma!TkS6X%b1S`$I zIiL(kK>eZQq}gxAlONP7cVUp)?rXbU=+~YZ4RY^kNz>*BgvGXh8U|A#5}$b1`M>yP z>=Px2VG(XmOO;;G`rAkA&-VlDIluS;?|=ErUeWqW;iEc@6&sJ@tM^`g>D%57p5=@0 zz23;&`rwq)ZqdaLxSQ(OuYbqi{OUhH)nXr$IZ)DpRM2F%YIL#^`V%-!Hk2eRO*S-a zTZ){>cyH?zdO*MMQ65L{bRLo505i^y);^#5jrEA>_7t`b9k!&_z=n{5b zdhB-<9@3XO#mP(*rcJtnDVr^gQd2fNd5S<0)3@7G^2<+m`ugWS?xX(Lzx*++1Xa;T zk6jFlL~2;DE)XoynO$9O`>Ld`c};zg(n9L*3xAM0%U5jK6lf5zw)vXJia9?+1W;Ac z5>+vsV9w9P93>QY_JTP-0|F0%0VuZ}7>w${$Dwn6X1ChwbRtZTGA$T_IX{C(g&cLL zOO)UDKc?ho8VeKyV2z_5OP2gh3}#xp_D_<0B5hMh?3&40?QE)nOP;7PALU_;qUD;p zQ~mbnu@~n*x*Ey4u(;LuJ6h+O)U4DE`YIExcwDSyvr#YDfs-Y$1%QREucTjONTw%yhVI)&cSB@6NUWttdxl7p)zyIH7OT0I zA*40avpqwUt(Bvg4lz7>dbnp8#={~A@R7B-M0u}d;*tL%JgekK;GsotiNQmg9`D&^ zxm`-32&*Of^AyeMYvS120T@Ze!J1N5*d!q+EaxryQ1luFDz-h|xbkb1>he6%W^!=! zlzznZ-0?i|+u=FYXAKs4 z=_pNfq$3*k#aECp zAQ@*c;Y*GlQ5#A%lX25N{9#;s>U=*22Ar?b-9o(dd_Z|_nsJ1~4NgKBS90(10ETfD zk38+)VIOeA>zD;NYsI+|KRxe10+xhv*2@#tSbTSXLJ5}OYBuu=;Ttg!Y`sw4r?FeF zwI0$~f|dW80dJZ;o1!p8wQOKq`?oF^W(Jy>DQ7yqZ)rX+3O_t4Xc=cl+j@|}AZ*hpT`DaoO8`f`5n z|MPV}_(dPpP&|EK9L2M@2gb=W518)QTd{0ucZ(g1;h0ch?42?A%0RH@49sOX*5R+d zHj?v0$DGUs##VMK(&Ki)C_h9!b$^c8(gF!#%{NmNivjr|TiVtS0~K@Z%gIQzRpDhz zyTdqnVM$<`#!8vsAy_Oe>iKjQ8|JK9m2{F6b?F|)b`3fK&HQR@mFY<`;mY1IgpvyY zp7k_E$=Z&r3)fzIa2zo6sFTc&5IT{vg&I7j1MEXDnLQ!gJ#FHR8KLa9S1ytoF#ARJ z5Rw0YQKm(5(#uf$K22t99)x_*y_~r4*jpM152$@l_PpmDY67A<042H? z6PyjWJZIrR2n{gyCuy-n_Y;jQ5Fw)-TH610F}K~`u4}A9jtxtF;l;(cKa%5Vw}>|S z)wo6KSHOK!H$fc2<|a&dfv*J@31uYwO4{HHv!(0%l2VnUW#H2)|^-9qIO_&Rk6)1TEjP6Eip$gK)a6wdjX(twx z8CVBw`wB@Px)?6VYEUE!$=ipw(XB`q!vz5|sFg?f&wf(r94Boe15~wek1&loZ)xzI zOdyjF%`{Sy|H(hZ|JhRB3Z-qP{!qx9dFhiM_|8XKx%u-K!vErm(*?5&IcrsWW7C4g z1#`*6X2VhCd@R;PWYQ(Kehn_UopTB<97U#{-~?4knnssIJ9<`hz!qZiBb=ZrnVw$s zriBP4nHp0U%obtZFg?D=UZI#;o}u}C@IpvSC_lQPR(RUreb@6m{UJhDC_O~j>K`J> zV4d_3X~L>KklaiU5tc3w5x381H0DpQ|BVND>=UH`;v7j)4GKS`kRv-$d|$Iq)K_Z2 zsW}G)(I&FV36h?x>3|c%aFHT{)0OrItE8kzB!`qwxTZ+pgcTGBJ{x*0oBTy*G zYb4l4VyW;6QK(W{uHxq$&Dix#VbRn9@nSl@G(k=0-!(;5FOZ`);E#BB3a4x$3rInTo~OlG#A(qG;Mv`Rz_y;lAdykZG~8lP;-v}Gne$# z`(AqGrI)WBiO1^BtifLafW77$@iIO2?r-_w7yod8X8H#99_`CS+ZbtTK;jgfOgg)|~Ku;0O9xeP?cs5uO zr@-o!BA7ke4F*35^$jz>2{IMI?9uL*D1=d0Xu0XV?&Ptd4b7V4WJGlnG%G7+j}1;0 z063Lg4N7oJy=>$08~}v@eynRIkL?*G49TU2;as9me=nIlwr4oMLQ;K)<(zz@x@NvT zz!N!Z2y22-*x#P)v4IS^gMh>bDD3Um%r^*tA=83bQ-}W5qvtz>s%+#oc|EtAt~`6v z3si6Z40ug;0FOy`JQrbe-_8H(YVH+^A7}scYIIe=W75ipx~g6RUdJxHO=i6r*@A$+_yi8G*%{bE65x=5t^ZzTx@h zL#8M%2wT($9r004$q?Oo`Os;%=z_4_P5IE*eDNoJ`=clyQmU*c@r*coyk8M5o(r;P zvRD$(*l9)a&e~VNC}{9p5c6$x#w}*^aAHUiq(9OG)!wm|K*$&qU=I^hQiCrj>Xf1B*{4d(F z&1Z!qA}p6=!NlQ87C>n)VRB$HOE@l|R+TUDZ%>Lr1yTve@0b*W9N8i>eu)Pa{Gv~y zb&WeTz1u9FUY%y~unC9Ze2ZsK@3|JQuuLoY#Pd*8!TxegEZ=KuMn3=Z>a)N1`G|Zp zvErJ>^UUzqmxARZ+YJRFfKW@EQ`5L$q6=`IXB8CK#&XAP;x}}Y4U(`mpppdNGQs_N z11cZ_8YII9g48sAXmnl22u-@nL}JASj}^r!vK4c$gu#yyEXU7r2M?SpVu}VGa45N0 zQT!rbal6r~2(*vv=yt$>3F-JrB7K0tbX*doz@GddkD5#F(FRy05q8$(I@Xjlt{wfb zWB@0pIUhDb$ae=(mtG=#fI+A~NM36{I;_7D3osaDK!C{i2MkP|Gzby^!m7OHq&ikL zJB(6_X4b%AX_3jPX^*i18Ww`sR6N@tT^jEA5g=W zP}NYaAI{Kq2fZ>@bHcy0B2F(ipx5**TM?Jd6Z9?-Fn_Nn^e#nTjXX4udtoE0nw+>r zy=lDkL%P0I#W`%m@Bi!n{_p+`eQTFL7HM$1Lz;VS#G0__z zTb(fZdlAqi>o0|pJPQBwgS5`9k$29!uyd>L;(xovWz)a&zhg4-ZY@873P;l#vRJgzFq1VeoW;f^%Vb+%n@jpuOK4{CG7@cMZoacgra;KL3c!sIv4 z;Es|kBUR5f&@HZ#)+*R9GSV<8v70%XzSr}VDus4*l>F;&-=Sw(k-X`H4Zedt*q}C~ z2OC?h1h_re5cUU~AIBeTGAO#y&HSerh&uwE<7vOc2m1)2V!&?@zCr>J1|-oQvj(Bz zEX=yE8HQI|BKW(m85ROYc-5>KK8MgCDS;pa4EPOF1DviO2nPkiM`FJYy#=A6gaHuf zcfgCsoN;@N+{vrc zS3PC*EJvv4?H~Oqf9Z>VP4mSRlJX?CZh`ltg#vuu**~7yQTqy(D?nukdZropgQa^z zFhFI@)bNIiNSo7globP1hTsxCQ^aUuOccyk5e!fnhn}y{pC9%O2F98~$DspM=7|fyd-z4T<%3_8ZxPewaOved znVYERneP!$IGsnhm-aqc@+22P98HiX)!|&|U(hFY+g$FJHg8S_zZ9U!LY zjlDNXw=`NKV^)>tC4W{uT5jZMDKtz`wPV$sheEK)H7a zPxk(V9Vlf5VPQJp>4fEJHC*9LEZ>7BG%_Fj`8WRUS>zM!JYhRRk0F23#<`-8PoHND zH3q>p&UK{qRcJA2$TqtLqn|bE)g($&&9Bwhmzu)+t0^?CcBaj{ zBnoaPQSirp`MqE8E72fGZ$=-SZs#njV?2j3x=OQEL1q-fZ(tOQqCz27WAr2JO$ipl zFfry_Anf3Zt)?(m47&suO z8yGy?Mk}D8{evUDHyVFg1Sgo%8V3g`!(b?ncu6CgoET?*6%hA7)< ze`%$Z_6LvqoTyW?BrR&w%j2LUi5l=JZt4Xj^zO8%?cxR8w-+#Vi~7j-;sxA}7x0$P zd)p_!Dd+-W=EBf0;9js9H(HPbJ<#-~3NqFYF93pI847n0UBCx@z{3jw9<$kT-Zc}E zb4$g?is1#oegap8yTI<}yFst=@B*+R!dyXWfs_uI)ZqnC<+V2H7FD|HSa)o$i9~Ss%aa#?t3Idef%62<5(LJK2_Q#a?$3TsHXBNp7dPeX=K$%RA0^ov@tQ-`0AsbzK9>Fsy z{B|j?&#n~pLh?)mK}ZLLXH~Mh0GRR6CC`T2u|MEuV0USMDPK>X(F7ii^7`6t043-M zM#8h2=%_7@Tbzzw9`}si{HzrB`xE*QTezAn|JrS0U+UZqC`d1W`C7a8PJ-VTFeP;n zeA>##>bO0Dnq+1`#GXbCEHJqTmI}u?UBt9+85H< zR@&ezo@6G&P@&^-+UP68XixmV&ffSx``_;CwCGrWV>5R?$KlDRI5-&4|9$ZAFxw~l z0Y48Dqmup?7cto%KsTkJ3eZ6@kOJ*g`E0M?pVcPAGT1mDk55M4pW9(z;EUCw2y|sG zY%-0_!(PgE+8^he4LrQS<3$yM_{aWqtz%0sj+;By)}grUV!>*ckk>^QV^bd&3-+p5 zus43?fB1L*gMX}X)s)@q7RD;ZUD{l%5Tpfbg2x6eie9p! zyQ6~^#o;$W1kBbx1x6G$k=zme3g<`*=7&bCe*Hv72?1=y9b!hIpn(xJ7y}^M$^O)h}2D-sQSqXA_d~Rf7avcW1T#hypa*ERLE`Fgd z@E`)XDf-7Vh`@}O9%8MaEnqo8fC+j?O2f0i(Tc@w9C-rgO{c$e8m%>dc5EFEfJjUr8qY_xi}5?=QNyli!M&X z-L!Q4#2@;*AN)|XbkzQuPH?tvn_$N7n3IFGK^dx&hwUqO8elg!FR%m_#AcfK0}wf- z`i7ubB@>KZ)R>ad3yM*4!5#i5<_nHXFDOof9~ylsB$7X@R^-?K(Tqcj)8H$v*FZdJ z;#Ygjm#>22H28|33Q63(nWHb7T2B26zgnCI6U1?>E!hH$rA|Rnz?6MZ?c$LBEFp+P1OnZUC$d1Bdv`NB-zIni=oy2@If!hDfZ z&2+-U(#)+Vm9!Vqb%?x)x^Y(|1Rhh5 z0GeIfXr<)x)s-{w_+ijCa>*8jr`}ZV+`NA_wETRXiOq6jGHSwW zm7pj3N3w4hkN#=!=DG)*25@&<4L+q6imU0U6|q8?bEp z%OjT8kB#nrY-C4C^xNx>El`?EqDKM?Gliaad}aZzIZF!I&lLk?%9@ily4gcDt(F1n z{xu5vvx!u5ILLlA8*Lxn*8<&ZZ7MslsWh1Seh6J21#I@=eaUXSjQ<^5IsT1O$H6zS z;B$REu`u{XQN<-?kqOH}fC;cIfoHJw=R}}`h5#sm$MYEnl&ZyaK-muBd|zjWDD^Dx z47FmV41i!>DMJ|4>B&3ZB5382#wI=G*4F3E&uaSseK#-+09UuR4b7Xlw5R|)AE~p~ zUsw?Ac}XpJWk--1yZ*g zv?Pm2h8Xf(>uTAOR2cwq=!j$>C|yFRCc!rB1tXF{-!z;g&JltuX}Otx%nkLADudT9 zQs+^DbMgG|rCjJdJ$VeNyOayP_rP~l6t(2MUCVj3g3S6;q)>Fu-mOfCwCEh}lS zHJQ#bGTY^fGPPLxmBD4E)~6sA){pS;+8bV)(2VbEQ=hr7oLJje=4Z#ry>#{JL8{GCZg9@5e9wn2;ApsRvdu%L3$Td*xy2aXP)AQIO-+l>){3&O~toCpyC%!$#v zV?|o9Cb$E?OIBPQY(iKF(t^3*9&;9?EyV$Ip8Ip81#>}we`vBrrU1%;`g4@zx-!Z* zS{itw2*Ty>el@j~uh^PPgG6_nk%ulQgdoXZTiJA#_%)hbw}bD%5x^y<8G0-hWy%-yVcE+GPX1QLP(Dk}UVc2?6Oa3CM!=l5K*37qPgRb6qI@kmoBj>tXB*`6L z6J6VQ<#C>v4qYWr@>?`8nWtpmB7N?7gX+?ZwzSrDav){@G8|E%U)*L>YdtTc6f#n> ze;CZ#h$|P=80R>$C{V=gWIV;`1XH#^X5fl9yRdy`U3~!PM_I| zR;sXl07^$jv1a`9=qOfNQ$O_439A|ZJUY5Gfzd*bZm>O8#A**?N8LuZhL0ZNTU!T<-oKM;N# zM=9koY6fM%7(BQ4$CEM!alQp*1!M3W&{NUz_&IcV8|e5DR}1_@CF;n0Qhsgk5S)?p z?dh$tZ1)MpJSY;rZ+hOb*-#H>)1 z$1rPuSg|||)EWEAX!tG&_B|Ofi19$mQC3O_(ssHaVp^ST1zT&4tV57WuL-s|SO~BA z(326K+J(MiyhTXI>cTpkov*gD+~^S*EM&maua$nL`SiuLYSu>lr?w@R zriEsb3_L%BWe@4O*5%X;)@jT4nvq=dfAcfn^gn!6;D5%T!OKdMYPlk!FKorbzQHm* zEhT;WzQK{|vU$XjZ{l0+D;g;cJuERJNYT0wGiD7JOzhdIz@fEX~00b)slEKA;Rzpu? z!T+F9t+K}shkGO5q8}ZFPlPF%k;4Fm?uQHh=aNvQNCHxFq^oo38;qF_?ElO)iPP4L zDG734vsL8o&=FZV4(UAe4Qd<*V4zln?UIxFnVM3yT{IQaZ7UgSb7ljH)9+V}V-Ra( z^lelj>#jiF?87lSf{Kh&7v#Igz}xIY5FW-6OCixea&V{eMbm?5^&3Eo82Bi6ZJ*Og<-}*CO`}I?G zzDKKaCD~X$J-|#+;gpc^cctgqLS;<4eOlxUhz?rb)+NOtm8ujd%*4z+9sW2 z^zHG~Ul_|Id^-4M5A$7xN2e`679PFx8~>Hh|Gkkg(1jfIuw{|=Jdg(se+q7M{~BkV zw1-jx=m9}=7t#V7TLX$A>m;Pe*?5n+a-bfaV#qp)Ie#S-ET#Ww(I8nTZ5Jr~mlfN% zwm5XwN!FhgItirZ{v5MT+RyjwOGv?$jcbb)g&tuFb_a$6E)SH~YHnl9Lr@+)+k9rH zO=KYn1zIoUNor1g@nMM2)KCxPs7lO<`VgIr5<(xvC+?pAi*Mpn{+^%Xo3T%nI35e2 z89-^%Ed=Kr3-A$4uCX$n!EaKlD6phI5J zDd|3tjxXDBe2JdZrd*x|@E~GFWR$dBdV!(s(zkc6-tNh-WKKf}aY}L%7qgkt35px{ zeO<5+O$AL@px#7W^76Nnmw(eg`0`JlZiK704k+Y;1xgi^;#=yN)X`aE8HG$x;BbUk zK_P>1Kb(%0L9U~%F=%G}9FNY%qP}#&CuxnL=B-a_=)c}|BV0YoJ*C>=k@9~p%rCA# zn)=wkW_!yeuVZF)(jpPs3`bt2knx9DQ!&I4y^6v1NfTGOcY=FkgfgBRmEF^{Ux6lH@Kwsr{y zes}0>@Y=Xe6vGWtgE{TiddcrVrq>3uad~Rmt&QshJwYk*NiwJ1(zwo%!JauM8sj=w z=#t<4o|1Npah)TzbtUZ<<2o@`q^}e#X}4AZh#~DZ$|U`we1pOxL1{*R-Gz2`-=gqI)?Vh3j-KyPjO$#k2lq${UE9&yxX#svL(tQs`9!h5dpq(s#s9kfIv>}G z2iEv>!!fdKL$?rQ?)sYDQanx>P}MFReo^xWCD5$$?alTv_*^LlK45=hj#Sn0%O_Hft{h2j_~pZ zC~klBe0wm98ZG$cVxl!ik(|`Zs(CH?)Q!|~qSwcQeP(D>1u_b2|6-Y>$77vduR~Dt z!ecUedSjJQdQfk+F8kU)<*KO;rFFIIe-V~Sn~4Nk3WFnqrhGIrXo={kkl4U@IEO*q z>wBW4_saMXR5*Sxk<-FpU((;qKbYGImZcMuHs$g-N(;sYzxJ2wA-2$?qa~r>)_@&y zzH+wpCbZ`zY&|_Dy7jR2v|H30xC>5uJ2>qR{@Ty{+20cs4fQrW9jaU4fY0}&Kd}7c zz|v*pB<-sbwjyP-=V&jcLwAVFI>88AA-HBAM#-C^`lu6(u$7$~7pz+rE(hn3l|x5V zgcX+{A&OmF%^49E!3bM(i$@GT>CZ7@qV}s z&mTUz)tRWm?*kF*SA_Ti+NO8%i>nK_6)!pj*Td0I+0%^~QEU}ZJYA!KwXnfwL}}C4 z651rM zw_Nl|rAgf-dgt+@tJIePTd6Yxwww|E=A}NdnW5sQ9n| zO|Cmn1Uhl_%^GWw`-Fa#gU&h9I?vbKpy9I=XTW)~7LfK8?xw^_E*Y&%mYfWmujlD6 z%~g46u$5jKY}re5b^S{NA%AK3@z_g)@BO9W$NUoX4v0Du@zOwk_|o94yrzO5&wOd# z);lEat$Bzd0zjN8W<|_g%H&WAP-52Af_`4wVavuHDXND+zeA2{SArtO{;T;+)h0?S zvGRjwAyD_{c0|Ri&5YPgqe89FIHUyG5UBgtAbSOjH-ikT^=wrzUI^6vxgE!ss*BiM z?PsgpDszpWQ#_p&-{BPVua~oR=B}-FvvmO}AkgoSkH22e){HaUwOTJ`Yv_dNtqFm; zKeywA*W1~e?`GS7HCvb84}rRW{rzj4Z@&NQ&1_xDQXo+G=XM+)7c6h;0R%tebS

p%I1(`mct5eyrGH`!QV4rUvHb&p}x zV!-x0=;lsPd`K@Pa8!4{U0VzoD~g#l!2^0{s;ObhuUnCinC*r(|ERzdJGW;AKs`q> zvh~n-`B7uoGlu24aY03NA-G;Y3CFg%L}ocstUBUnb-}0lK4So`8i4VpSG}?579I84 z#$@8(xMCW%u2VjXneQH2q7YU?|14&|?|ttJ zsSCCC{@Qlf9gd4XdW(7}-~!p+4rKe~f8g7`<7&zjf{n_)ovb%OAIHwjNIYZK!{7q= zXc&zHsG``+YzkW^P^3f$WhB`YX5(t(XR-fiG;;&%7*?F{NNL$sVV(_$J+uRQ~c#CZy&7NApECnzDV zr|q{O7&BA@pT%OLqF z@r&ObuoT!rgWLiy(1~B3linz2Sy<3c=0bmTfgt=MX|WT}lf$jL^ArriB=`8MnE;$` zpZLv5Z=|2i4a0@ENrrx&lm3Xh1ZNSab(a$xS*Y+>s6XaJGzX=5LyxVh_j+j~QtPg} z_lV0P8&^)GwypQ{w6t-TC6mXx&eSm26TE0?*$_ECFb*aPUuupOxp%a>i~UKg25J*I z#t9CD8E?`x zz4aXuxH)NfGB}{`%f)4R|I1(YiW)u4o_PPv!^X=XikIH=PQ=p&GK`PTdOi2L`@SFf zOW(eYLA=3_rd8A}@CrZ&6xtRjdR9bbUl};yf>B$GVGqi%3PHLoF4$VyV%CFAYYIV{ zL>G*fwkuTEib)JXT0|F&jac&*n-NtWVFcLV8CuKS#C~ikvpi6 zKF`3@;)x|~AJlAGY3kKU9c*UV>A&B9&ikigzN1yBlGZf8-g*v@CBC%rx9+W5V?GlMmiTr^CoeGt z8uuYe!ipHR*T)n&q<9s}RnbQYIb5hk)X8oukrWi(M50B*@P+fq=hinOu1{r&FVF*> zYaW^cO_Dk0+b{NtXoD5J9~?d3o#Q32B_uyu0-Ns-^v;nI*m8rOpaiy4>0R$tRkoI< zy_TIGG+PhvuGY6TEHKn{d{X0ZYo)Lwp!219`FRnbaO9I6pc9l8e*%1<_-pg>n|3R= zmv%xkUqI*65zR{}>@^S5c=Y$Q4^I9~U;StQ;KxOJS2;^w3Tq4|pf=tac%3}_x zDMdn;Zsny)1U9P_O~`h#fAmb#rj*#UItF;74Z)PzfJaEi7tZn3`(AooPV%^Lj<4SF z=sho81$*fMwh{nczB*+R@;M3FU;n#*_Upc73fetM-XR~Ij4W1{1mtHd8 zAC$GOBpJQkpmw-Op5#DiU>&^ZWa_Q$aq|b%nefQ^u~WGoBg7tc70#_$()G>3;8E=# z?qD2>I~2X60jL#aJh`qF26a(Vh!SCDr1F!C!8f=?+z**SzDav2du6T(gRZSrx_dD7EkFAwp8fgI*UDzD;BCMnq#0jpt4^XnU!B7`r6mr>{csiO!8z`7=qhLQeQVo^iq<#6DZl0 zYRq(WT2q(YfvhW099oF5p;0}0zCDn$v6i5+sAf-V=KFI%+Dz=}27M7!vnR=XgAN$> z3#$e~??7@=v#aKuu6hx@eTssY1;^o4J=VF(o(IkUbrz(Z*py7{N6F(1-V2y|`(Ua+ zytf6W+In}T_)lAYEQ|J2ANkBb{AVJIrVCxK_?PJU(rJvMS{6Gp?x6SwFSlmV>_jo= zNl1J&C4h*!6|-VNhKQ~$1XoLdR8?7Jy#lzJ6N;I3no|Rns)wxj!B?Q7#86*Q0eY2M z6#r_?@C2G_kNi`2=&bk;_#VoSV}d~n>jaD9CmbgEHnbBk3WQw|#3x1Zo2_Lf#bz^5 z=UMUN;5q;de~i|e*35SY(UTqh;LW3wWLEs`Vxn2ZpfW_#&VD1&-Iqw8oTu?Nea#!I zb5VY(e=RlU-Dt*AWT7h4e&_0KJ=(oE>F%75q{S{y`qSW~+w*&_uD(=TT&gX|X=Fz9 z^*yHdD?jH;e)X)x7cG2AD$y!=hOCY0MdFK4ToI&EazQH*I3e-nV|v-yuuoIbXYdu< zm|nDqqd6{z&WW!W)2o9qiXeRkUopn?f^hg6N_;WOFdKLWxRjeb$EW=7rMu3n)?Me4 z__`#%UX6Z8uXo${Yd-s@Kli65$9mm*;tTzSSx=8P9t)UJ2|*)UQxhvkGGw%kEvqAG z9|m@?jWq+Ky^CECu_R`3B0@)R;=y<++C~>lr3Z_h#{6-PIq`KgIag`@$Fg%J@zutd zjHTyf9%ee6eY>BEzfApq4@^5q(XonB1T!aA~b_ayM4u|H1J#Hwn{ljsu zOohf6yB#{xZSrh};Bu<9)EQwZjVB|)j+H)2W-RT1JYY#QN^N3JGz#R_Y8uf07Ws&@ z|4xv+sI`PZp$5rzB#b9P4MiwWe}a(Qgvq- zuWe|Fc_)|-ImYn3Ui!An*Kx)9KN(Mru~@m9T&WpJL!k_=Xei}sgi2)D+&OGXt&dOp zOF4Nu;6%rpC#G`Xd~;85md_(i6DnPFW;O|uifAfX^9`{nm#3*zT&>qvUE5cF?%)46 zz9KSmiY#4EE8n1Ysza_u(KWCkz^JMa*C@J%_<&@*0&!8LHi*m7HB9wE>WAjqzJkKw zy>Y>Yu7S(7QuaUyj-zX+nClNsmFg=7x(227Wa!$X$3+J{!g!^mEJlYOblRf#>bkGX zYJ* zz+mh#;_QqYK1N4oWL&s}PsSxg1O)P6*kcWOvOVOBn(W~qra??}R!oDL9(hIvW13=s zG4!Jzuo_^D0KsdOP8c&w3YT(XMhIqlK)s~>5e1e0HxQ`D=XMIBYKW**)#d<5`IQ9o11HuQn8;wL1x>C$ojk zH*A95CFUBrlUFC&ddliqjr^}TJ_yL2HkWw8=Gax?W*;PK;Pwc8 zJHhOO;1N;YDEKyHrx&A?G&t+e*y|H70fsmBhps-z22E9IlF&!AKXmp%VjQ#fMJb1_ zKFA!TNv?<)XT6b278Vq!C8_qXN60SrKPFpPyctV$SizEb=XoKEMi&kiSrku_n0n?NuFcKSWvGgX-x8vtw#XrN=q>*$V;y>G<5nFI=F5YO?Uf4Ku%bm zsVHyX@CVa=|BA3pZvVZq(qcWVqlP{Do< zQHfPJB^ZoO(T^w~>h)tX=&b+JHcw!#`y5RT4<1ZvJ!&UaC|%i5d|_G-Uj3N1(|_~& zp*9dt{@F*>+s5@^)hRmi6q!(xls?{u>Cyt4{S~tV&Zssy-~y0^KPrYcZSoBbM-bsF zDxc2B_|3+Lzc#942K_efUWI-32cCa^8GR0v9_bjrktI{f58gLLh3qS!LcBmtu$BC* zcY}WS5_ecH`KaVsVHT*o^|2yVn6C&Niq0Sj-JCjmJw<$v=B>)UMp;@<=$4Sf4!zwk z0jg2qNaOpuq68x@h-|V7ik7K@bO!w#q2STIo-3{oDE-NTH&;xmOfuqdn|Q+jZMbhI z43;-Xjd-sXCF+&eFukR4Gb*r-cLi1`aApCL>kc{09N-#)Tj%}xjO6|0nF(OJ>r2aa zc4y)AINuzmv&azV7w4~yTjvYXDc4K5N;G{*4^eBSO-Xcmn2a{B^0hv=*cVrKX+9ae zE?mnSDJ^@x&sAC%uJnZ~{o%ot{;F^K_}}nH14+^Mr8l0Z7uL=>>mIlV3v2tTplm#2 zs?20ztxuI%E(bNe^#7X$TcE~M4n0{|i(*}xx-F`Op{YuFX)&J25@;RjVn6RoyRz|& zIW=f7+xr%!zDn&iVh=bOmYlcq@9V2};HpVDg9Zu zG;xlNW$rrDi;$a#-Ao4*np!>}t#BCS0iOy$OM&7ia^XNn(6=F=bmr!Zj{||?qsVp~ zU$_NnQ#=~?5!fXHpvR9MH;syqSE436Mdq5$|8`%? zrk@O8@Ak3NxsN5k>&M@|FQMSRvi}`BLi`)0j=@2d^ff~yOm;Ve>&1Wt(N2tbIp}G6G<{X z+%vRXsr00Q&!8Swy709@vDKnRor%63@u74q`A=MsnoczE;vf>g%w zu|sxFKm(}61aPT~b27mb=zNU*AbA@;+T~*^b}8Ue4Cp zIYUZ*U7RxrlMO+1SBM`xKw;Y@Pd6J;Y+`eFCW;9z9rb z#u`cCw%82A1&95Bfpo!zyTV{qeDDqQQ*Qe@W8%79+c;mY!_LAFWE@ZX4Rs!mbV`ix zN~^>pV|J_WLeD(4xFJHa%cKJQ>(>U)&e$uu0*j(ol%MUpDuF(5!najZy z3y#USTsz^hOUqqsN)Ior-lEg)3Z<>Zv+l}U^qRjbj4Xcoht~h@TM~m;CP#Q=VQtlc zCctXby+;QXbFAzuOPILecE=%m6ukGz6sY`Th8A2G^p!MXijKj+Inw*`bKK84l2`;6 zo_B46biQ0LD54vWr{Y)B8oD6zDO!bxfx`ItG^8P@>=_%#v7PTV)s1-*7B3`8T1;Pa zi(p0)MPSdE-J^ltn_! zE7)|^jZN~?7{wSowsC#FTLqrD+yYjaC>`#${Dp z!wg>A_oxeUnHH%2o;XEQwNyx;fd~kk_Z^k}R;&?LV!Xvvy9vLjHq>dV416LRQ z4m_eK%M8FIDChvb7q5eK%+Gw!zx65K7c4UcFkQnLoC((%)GWz~EDnQIM@`2FF$>9) z^=^ zdrRkUmIDyHrepRi)taae@1X5Tt*MGDgU}6v_LNNO+pn6>lQ1m>^Mt^&6W&aT=9%SQ zb)W~v@N-d+PozKH!|=bCs)O6DI)MI6?>QosS9KifB~rOR!kg*F@Zn2{=5Qxn{Y(jX za8I{L!;^zz*am4g9Z=5nwHc{=$JhVKpLu@}-t=blcAPU8V0FosSazmD+2VE&VN9J? zfgEga(bD_v8y@Ech=MJ(B|fa$|;*cqZV1)So`-8_>+E z;q3}@)KDkCK&-O<>x5IFLSr3d^hoDIo4VI6x(N4*!rabej2GNDvaYNEh|b2 z(=tU32T~2`1fNx70EaftNR{e8j7-zr#>Ov=K4O zx;9ciX8`L9|M@$9;@_U+pdfGoaTL@N41()P7W4v~kpQYHI~@yI#lj5x3Z|IK!3DwA zAPE?P7+0qeK?r7!!*0v!U&cb9%=Uux0(`~Y4o%@?#U&=FONcuoYD9lgT(4mh`C4kf383rx(*JPd?Pgj0P<#IrgA6-Tzgrg4(u1vJU^ zLg&c>faPq8$^yw86p*8Jq#k%JgWpp*C=15MxR%Ik+3>w|^n82JSI1iRVD-V#%l$#u z8YIieYr(L`{yg&yvZg)cwQvGq_lwFM@)5akTfC2hUg~MSez+YG1gg_fGi&NmjI>=- z;ZdK3Yv=z|)I-4Vk*+rG-;8-I*ZVhPeVO$byJ0t*T^>8jvr1(BkzlZ^IR@8!qMk{* z69lQ5jE%w(p6K*4AVs4fs&HJz`X`R8dIQaO&?2HZJ`17>DOmU=d4E1Z;cS2tT?(+k zTRp44bT>&}ORC*>VMR4x$g{I*_PSl%k@vs+Wv{&Wr5J*wL{4YrR(9Dyj>`@3VFxzcz~4X97MMHhEO@7^i5=p1+Cul}Du`mcTMkJG(u)^2wU$YIPJ9J|N|;`D&opgYzu+2T5q*?l51ij!WD~ z2|)dpvkR;o9XR)}&@kU2e9ec01y=JxZUJ^N9wWoHAc?g4;40;Nw41XpAFn(nrS?}T zmyDsba)X~t$;HN8M*l@OLd4afh-A5L2h~@%ugjV1x7j-qcP4v9{H$W*<=^t%DECPB zYGqrcXiN8khh!h3+-uCAihncD$YF7Z%Y7U9-mX%cj>d6EM;eJI&jhYi>2f+TF`k}D zZtF@<>0T#aNGW+be@wnOr+Q53M&YROm5#1fa0^8-pUXG0XZl>v=v1qI+VZ_sCrtiJ zZ~4PN@pA!_t9#%uxj74Xi;=j}aMlxX_7&pZYXf9`??W(0U`ONL9Dr>WD14w=9Z6{+CD#uddm<^b6ajncO*+URu=9krcbo(E#Vt>s`fZPD}J&s<( z-br1ndZ}Y3HRY(PO$nX)c?v0)U3F2Q9!bip{J}J>rOO78_XunR&yE&kkp<6L0$AQ?Zdw_Jh)hEV^IXRASN&9vokv~Y_ zm*hCy14h;O*`Rr;)%PXOv%9oT&E^h2xT#ZfIEZ4*$iAkm?WLCD7HcUU!W+EL_Liqc zFZMEAqhT{2D07Gyy?ojiea|=ip3r0PfI&g@0S&sfpqoz6ge@)5bV;hW>?_k_E@ z=#_zqAH1g0Lu)B>L0HcI1A5$(2EJ@UT&QoQXPo4)XEEzBNdLgY=M@M)PMrXb+xa zX?W?+Bgvt>znHz)pAl_39qGo?a!0sWNWGn(JF@3?LJ170ET`(2)0Q7YNdDYcJpRC6 z3xq_W7Dq@7ctO_vNTF3hg|~Y&&?AO4Qa@EN`!;wQjl_GfHDkgt+jB7=)G%et9-Y-~ zw&xrzNyP=S(>Gtqe@x8w9KnwHEV*DKQ;!u|Wjy$JofV&_w&a2YZsNgnmS)xDN5Sla zn7%+WyEA`-XSP71xE2Bg27{6NN< z;0E(9OUv8Gk16cH&3w-;rc8dYl~+A>Nq(SnI@tyIIY>G8Kc^%=FaQsQbF${rOnW+d zzB{}1QVTN66Y!GKr3ZSN6&X? z>%B&B;W@F6M66TUf$B6&C23U3xPiLSmx;;0UR{qM(bv&E(eA1_KAmTx0Z-%20c54z}W9?n$?JGk(T@dxx=CXkHR*lVdE(^#LJ;|V$#OAV~ucs!B z;?Tt+y32y5FPu}(hIwY^YEw<<6m5QfK)ph5WXD~W4N4}9<*Wq_;j*Bcd+%0VL0L0#$6V8B7^fBcUeH~=zqFo;QfwU{~p$-E;&G}Ty_B( zT@q8`%w^fa59%(bG@W{^V(o|gQSVD^M5IkWJ@t8P3(yJ2; z;F>G0*{B(z4q5?g;we@P;Cf+Q7e#PK4mljg5DefND}q2R3}sCFX1rVk89VtoA_zkz z8&_QIP_kA91GvVD7~(68Yy4_r$7RI;t|18KpA^ZllNiid5foG5$KI?k7mG>$1LkxN zB$*>|N%+W&>JbO8mPkx?YX)$Q%q4i?LaYFLfu^D!J%DRm%MH>qa&(02Q0rAP-yX0V zSV)NTT*5i%CG-6OSHq^Pui1F5TQlDv%>7UjH?9(>OhA zVBeR~UrG>9*+ZGrlCpow7*FX!M0zFN34xMsl0n?lsLxqF(5!MU zxv-6Fb4F4Rei0sxMmS57>G_?3u%oj|;9Ig@COwv?KSUTqmL4J*lh*E$x+Nz+L>O|` zKScZ|Yc+tS-K~p*~XW*ao#v%t~jv}sQYs}?TJE6w29viH}g`M9hWu>X3-{SwXqP+)CHdlouB;e8IHl4l4}bNiz{^L)>MkeY16_c zy2k3RZ3qc>y$nEm&pT({St9NVUE1N@J9<~R%Vhvs8cRn2?zepZ=l;caO!WY^-i089 zu66_ZWh+B0kxr>U;sP-q|iPB@X~a*hc;Rn z-tk~kZNNLw6sWz$itM<6%u;vgKzHzC=1Z;C1;YY*sQ#D$;2`MDk|1cUn&MX{01m$f zA%L(vfn$O_QkSgEQH-$_YCJMj7(LKQ1^~z3Ge_eH{2p}L=(-cYLCkjt>eRYD00OHV z(@A$qo#-wHq8^UX?uSEX$2u73I_N$b{;+&H#f8>=#~M26i8wr!yszzP4t$)~`Tx;uvg(!A6TajF?^Pu<+chd3qzxltu`m=sIi29m1=~Lr? z0obb>fZ#;0Keon&I)UJ7J7B8`VxZY-kob9^dIM$J-7NByDR#b!(%+LE8aqx$csO9a{ZLJPr!p z;OK(q*tHBvlzplS_w$@ow^T!_)N<^4nIVg$;LM!@Y#ajv;Of%k(2uc+&yJZVy9k?qGwd^<&=m=wxut ziu9x;jIi!XRA=0Va<0on;cntWdo7z@jK!M0khkaedy4MCdxCb(O2C?h)~YzEB) z7-2QR14h27pid=<6$K=+b3_kZav>19psSlw!a|THzpaQLD|7_Jm)1`&1jXdLd zYA(sv2ujOOk~H})iJ5PDfndk2t#OiMgyoWmWt9wpYi^{yBuSI+k{fgk7HFGoN$YJq z%jAod#aOjwx7F+(Da})XJ7dF}*|#3Jwsjk`)q3lHDa?EBR;|7j<|*lY^8l3i^hyJF z72-os;>+d<;SklgKmFHe?I(`5?B$wRp87ura|E~k%)7qfC%-S)WxCK2)$9E#VH&r$2U*`jwlFG_6@v-^`C4zP-iA4y*N#9sen^#KXr9CwkMz?rqmTcMsV_W1kXw zmyYw2qD&dkK4mG2uQd_TjBiSaN`g1h5Rst;{+<$|T?Us~%>tF)bp{t{)7;l~g$!=# zx`IS%Jz+5k@q=-`nTE)WaG@b;sx|%K!3Bda+?EqoVx)ZaYIzfuH$S^(PYr+lA7U#P z=V24c^l(h(vo*NJt=s=YEVf2cDE{H-!HOqy>q+60SErBC%tAX24@0w~6ms-q-tzPR z#QR{;(L( z>1hD`&}bP)Q$afYfz83oiq!uuh<mn>xJf$U0VQ2LxzL3; z-%QSdx&q@n@86Mw5Cvvks!m*6PA`w^(udeY$Mn#KMI((TvLte|M?REN!P~Mz%AwVL z(T918jYeZ2VN;*wOozxI_ia2H0}0!{m~rxcto-78g$7(Fp2&BulIvHeAcGf%_cagG z@D{xmz}rvwSAX`KKNQUy^?eyw-uYg8rGO*LJ|x9pRLhI5W@33c?V}T9?Byotu%;cQ ziCzLy>;#MD-J`n*1FAjJr~QiA@`C8Am@MKhBW~NlVDz))Md2|4R9e4{ac)B}TizY| zpWx6CTq0rHonyAV=&3?pI|MOuV_;9|1p3cLnwmZQ;WF>%#!HT47t0HH!&<*=7oFLE zGRxb{8$YN`n`#rUQfCiTJ;kV=A~R-qUDNdj80hN7@VGJx2Megaz@%6J)DIr#;?81aob~arP9WQ&egkjnc$^@NDae`Wooz*hFC4xW1F%wKl_jasLY+{F0Zsw9HYG@D0qw z8@}MpfBkoT%tX<78)*t3kIZmD5>aDIAJDd-RlGWVxv&N*At+`-i+EvAII@m<2r;^* zm_tz1kPB+lG^oqv($J6)6fIkhpn!b~VU3jQDFf?Wvhhm}o2^Z<@uQ#q7IX{`dA#v&*~xh16AgmpVPfqq zz&HLD@MADF;$PcafYo2^x8MlngOhCOs1ktS+!QtvDmCDPMn>p7R5&8YB$br^MCTzE zspq0`Fe=U(Wy>PL$qWt1wUfpYz1I~`vrB5D8CY)zy-&Yu@3pa0;3<tc2 zW&OL^de=5V2uTX>!9#2{Ve|mtrVDeE3OBeVYiiZDSzyw}vuFuFkJq)@zS)o_=-Iz1 zxwhaix1~$BdJN@+WK*|Z$guaE87+vyR=;T(gPsf71E3T zosam=k9vDlsA%l(H0g!}EVt~%Lp%vJ79Cz95rvgKZs^X7Ao?|Hjk~P@|Kmo$Q_R<1 z&W&FhD`s2+&b-p3_nX}SE6K3N9#g0!qS$X1Og9wpN3@Xtm?hgvibVmdsuL8i%LTP& z7pfP;r2$Ms%-=60YF(62jlvw1_}60>;OT%a%}8Ou9HO!FV9g9X;gYklCk+7_3-oK| zyMr__Q_%1cQ1NQsq5gyilU|nw4_yxc@zLqZ?j~}E>@$5Wti4ngP5TNal($kG zjPC|$P{wIgxt{_2_zn)aiy>(LI0TCPt6}v6PR*+$074nyo_@yT-Jo4eAVbamOu$3w z5udS&R7eB>KP~O<7aO2Ydd6p{A|-(Vh853I|JLLI12c?-L{vk`)nPb>AwA`@?P`U$ z0FqnW^1&mS9`o6LhZ7X<11AhTv%HE5<>=#sQlSMvS^6n+OxNlq(_*Fe9@?-xiXqeoPPX@5bx z(*8h%3i`OFI?VfXJMGWsDK_=-YH*WYE#G|evzru#J$Q&MAeXJe2%7-n+9sCTl!7BH zwkZWiM-Nuq$YWRs;T?}K0>f}jCNPmAck=2tK~jha{K#j1`Wu%~aHM=V_fd@x_!SIJN$!KD?gZt{HHKaEtUx|O4P&fG z``uPVHbg0IKuFa#gdk0W3vOX=#DFRtu%4qlw03CDTU0?ws#HOFI-Lc$M!!9pr45`} zHS>PxGmK5gu2U!K%)+2-f%v&|o|5C%*R4j?>WD$PX~n8P?s%_>TEnxJzjFlEcwTcd zLCMNV{|V7CsRJz=3jG1sA5}Jahfe?Z&FK27qV+vj72$j{de5pNJd=UGYgJ?DU)c_Y zCvZ{PWR%iSa0J#;gQU+RdOhIkE@m@aZdl@ppZ~o8H(6I#I#Gw^O%(I%u^n z``Y3a6jNtk8IQ9Gs=cA$4w-e@n-HY&biob!G$6EMOWirEb&DX?pbHwO4=W0_unN+6 zy5L5d)Y5{%zN>+cL(_P=AjUay3?Eip09fx1P2=f;sP92t56%(oAIxsYiZq@sh-v|F z@o{LdYRqnjpa48g(h+*N7J~~Z`q3p1ARI|+D8zg~%j@qJ%i@xtT9E0#;T0l%q+CiN znz$t9J}QMCbW#>HSIK;PIDtcAd9d;=LbpXoUMcqn#ZQ8(Z8^}ZUo+nzjCAI8$B^y? z1Mu$X`3`|%p%Wi?^uce>w`jj#`BlP{w0_Nek9G@S9&(T7JdJvEF;sq)@E~ck8SG-% zqyP3Bxk4HhN8e%Hc6iI$4quJ6AC9=ah2XC_Lg~h|>$}g0zzo_d%c@P+aJ?KVm1mDdD$qR6_B4G`I@CN4CS!0kBYME@)g) zs<9zZjxXD>zu;VHQ!Y;giZYnNpU{WcLUDCOhIJF1ChFYQh@!-1;|T=Da<1vFv3wUd z>ZvKczRo%ETXb=wPM?3am_N`WdIvb(`_k2yzU{@gzx&bqU;eUJWF4v6CkFA#3(tMu zXH8)c2cP)40it^hgTM?;D+k2b%^q6F7(|o4CviyLK|pe?joz_~dHE;(tU z6_hYuKm!=YKk#3V7-3y%tcS@_&_Z|HM!leV1OP4UL+)4Yr{I6bR*rw8RH^{6%Dmodr4&N64T%%3028g(9wYoQ_@|H@+-TzrYeNz4Fq_SC7Pd0A?*QFW*-C zct|GcC@YX{PF&MI1@_bw%7n{vv8Q)qPrv+EKls~zDwUTCeC@4FjCqepA2l?LC^4Rg z18NF=UsArZ8}uFeODm5I4VhuoiXiQ2TX8kFP95M^zyuXRhP^Ime83!(^w4Ea(ALnmZSaF?7Jp zd%+Czw!+&nD7>J&J_-&f?*Ng_ATMSu*d#dA^J)0#&{Xc+1&==l!8r*$1ZnQ#$M_t$ z_1XwiE_g$8r}pS|m6nE@ZfWU@!7HR3Si~A%3gi$Ljx?JT-`$SEvnTXo@ETI46=6?N ze0SO{x){8>F?j#`H-7Hs+hW*-5?kHi8O;^xRIlP{3@c~AKL1vU?~IQgRa`RHo#~no zl=#kx>(V}lImqqS%u@(TeCL8Ye2}^V*fTYuAt>>k37Sy`hDMUGfR-UB@tv=DK*u5m zs~8*zPF6ifiSJyn)rFxlzc2Tq^0M{O1i_?$_+x(1jPOT3AS_a=)0>_@6d*0t$-G;cAI*h=Xo0HU`!1}QmNLj0x4bwv+}P& zx%Pao3O_m29FcQ;(zVA%BI?QP9GKo^U^@1nj=?W2gwD%2i_a2HiSp_^3I|gzX#tr= zpJx$3SP-GJV{}zN7nkMfby-aLP28f3%W^j^%QrvwW1sgKk>XYV(IIKw0tbJw0BM}o z24f~*L+~ovSA{9p=33A!4d*sQj1Ix&)~df3%5yAhh^$y+wq2P*02<}K!-g|KF$z6--fgu1khaeil4}#?! z{G-()r*RTaK+N7ntI6$uW;X{qAl7~(;}#3#QG$Ok=uzg(uoe`te#sL2Ba6=ZaY(}C z4kSzPzeEQ@k=$ZxXm^?=_y-_^)I8VZ8TXPU_{S6xVQ8b(@vw7o0cQ?#`X#(b=)ush znS*~zaKOt#s=UWiaz(rqBFTAzbl$I-Z&7QQct8_|0rXJr5qek1%|s^&DJ6`@W73BS z_hg=2mFmK1;BLbpbtA(G_Ag#XojK&{SB$g<)GtN~xKX~X>z!M5r+W?hB%E5n-FrE{ zEfr#jdm~d1Zu7*Ds=nPIc->%8r1~{JWGT1bwcFG7gz13N%`fjy=Q;1s?G(m$1?S|o zmsG`6_d=YnJjo`+A|7NJd z3A}U~XV1$UtFALRBdeIE8aoT zFfdiAqyi*raIqiy#!5!t*)Bt>s^P3)sf(pX1FDSW#oumC)|ShGx1ZhZ-2xAi1`xw$ zQPeXYv!T9)$xucb!{lLcw$Uj{8dyLH4f2uM*GAWUtyl%m7L$d$G#^PGer%N1uwoU_ z1HjsWB>5jhNlfKZGE-bhu>&rtGl#Jz#u-Z>m=n+?9@?Q}nl=EZKv=(nLsO@^=A^k+ zvpm((Ql&;l#H#_%VSw0Bl|MDLn6XfP4l^8|bj2r5DE5XtTz?uhJUgwAG+D04S+-pU zl2*Dn0TV1CxXvZkK#|H>RU{gl#0euw2Uk8hA<}Fre<>&#f?BR%OTw&^J%rhq zm>R4QUXr9?_cb@@>xi?YBpP9gvydbSbT&y2=TXU}wT(!Ubh}(~hqDk!6g9cVa!Qi< z_CSt9i}}-c<^m+ie19-(1V5RQDB2zT_I!f?G^#^2lswt3Q2!u$cn$1@u ze=gprd%@XoKf?aOLCSID(S`kQxEk@vzw^KG&DbYO4KV}U`9`De>Q=Q5HCgQ5W1vYc zm9;2TEx>`|ZP6t{w!EW=<0U$@-*mxnOUIUXXA(VVq9E|r`N?e?G|0IgVW)ib8@Nw-Cz65pY%gd zF?JB+Fc!n?BQ=nR(60&XpmD5_svLB>FS%4Gb`H)U%HS1K*J1}-;x%M~F-hDZ7a7@U z-8?Y}wQKr*vXK{Ks77~$piHwUU!otC8`AiLn*|ee2Y7?D{YK=;D{YA?&(3SwrYxy#<(zK!1s;CS4qxgVXJH1-dP4 z44NCFS)}j|G-^h)onuqjC~ba-z7~6JfDy524o%VYiN!LcJ~^`{1aoA%^U`4yX&GH6 z1T%DSn6L0XgI%-+?StJ69UMSg*7$jkYE$K(^J|B0{twULi=c?1DSwpLInvUDV`u2+}OOU~6s- zpq_oHko*rpnq?Q{L?f&SM1O^eXq_OJv#gYR6H^12JALzca=>zAI1bS0~IcbYnZhAZU5M#^1z|b zF~P{ggIN$R1c?CWB<{s#ClfB0$4$7|Y=2N`v*9l^&MlJmH%iOfdgmJPQ#rS%E#Kq4 z!QBe2db?j;l;?K7R5BKrV`Pk%pIwwE1_!W5A?FwD2R}!6PJVL^b1C!`V}L1{ z-J037k>F)!1MyrhS#HmK&rz1N8%yZ$Zq0muSi>QeEHDSgvWkl&eQ5nW+k`-=b)3we+NMTC?7xIomhmDv@K;{UQVb$rQ?rQIT8?uDtN|{TCq- z%!!S>N9%#TmQtLZeE?Q0hmLF&qpcK#I2XLcZ%k1SsOjDQeW4Kz*hYmQe!fgk?Ld z7X~0Ar5ryPH6nP-^8VBSd4Iv6BE>8bYHW7K5c3S%oAKZwwqX65G3+*RX{j;J&L`VT z^7PrpAOA;w@X!5l1Qr^IyKS_v9L;W)m}ZZA23=&MU<0O-Yu(s2KJ5+&nCjFJl)K=9 zjVc6!i7NCEhy@3R&@KoC)Ns1_mwGv3#j zHQV_H{eG#ULonlgjaY;3yf741u;6_`tU-jP8akE~+i07t!!!(WOp<|xOJWv{P4B~0 zWNkE**35UOjqk$`vOHdr%(tgy{5IS5@E4W)(+2pV#Zv`EC$+xnRSRjZBD6`lLR0r` zD)R`vIKqgu-?>bmo2mF5JMnXOD&6LIl#_FWJ?DX9zPaWcOQzq+r+;2 zNfbIY=&|>6!fuQOJ%8Z?-}3fF1U>N{oY3 zpwiVHm268g{m^OY+e@|u*BKRi?|LU)uFDjVM>&%s7lkuHl_zoa&KKV+e1R-;sh{9g zB4GGAfBHZEn_m<46H1_S+v&tXjGbi30W!-Q;Bw;i2h)yjw+lw2m=(L1FgyrB+D;eT zeRy_>#r!R>BoMi=h;h&?%I&PvDB(17Zg?UrUQ$=QIhFHqD}LFJQ1OsJN~ zP#7bo;_OUROArbyP#hx0bD%&euL$2oT$w27B+&%Q?QC?+p7Dt&hsOOfr^SauHWNNF z9a4%QPnaPIci_}O^t4;l2cj2N=IyXDpZ&)F=>Pq$=o+MGvO6T6fB~7qSRKIRD#M`C zKZ2|=F{cH6>y+KFMRKR6bac#TJG7G|$8{v;P7 z^C3Z%C?-tke^tK3+kGX2unqI^B)<{`hvFKA&3NK?9p{@(7$=1m6V{`ovK_}4#ZvQQZl*U@gYcDIicz+xei( zEr-;YCT+cj(ATuDUCSXgYvK{JVMT4{U1D$QDL?dXyVC~hI|O^`Tj(w zBLPV&h$0*uUo$!#t=U#KOP=I8XdoKdNZmdXEN34D3yt+uP8_0QP6fL8 zOCy5hT)=u3CM9CL-|4mR1n;3nRPy$a`g zBX=7M5?Fo5SAETQ|8D`TUYC@_>oUI>!GLLTtjrxFLSpW zS{qgEr(2l_Rj)Sh(YRL^wp_7oyeEOf0AkZ#+p?E6)z-asy4RR(ihtut4}sWZWz?#K z(NH6jg31AtMe59A2dc_*I@3LI+Zq6=xw|ijfoB1!;6S1vAkH7SEnno6HRd~?qHt-_ z9Z{?xuW6HSa{^+)kR+#(W`$W}>9Or^3VUp~Z((%m<_SiCK&=f5N(UWFe#;%&g`YoO}nXpKn5Y>!rH(2)AC zK3b$fz2|~x25_WCgV3Ez9|_tzWH4r@y}1E z$IzBsfV=Hx4j_x}mS3PQJ%+9vUOYFbW#(P*w|=*uGsb`>Iqc96rLLH606`UWM28@2 zfBRB1-Z@_p%{^GlOx@(8fa4sqszpzBc3^?v3ZYv$^hpXwUQ*RA_mUoKO71a2iMDYy z9%bHiGQOcVhcmAMi8t^dhC)Odncj+qdX4VL0^J}8*ASS!Q*xa9v}C`-|&%t z{v+S;2E^)t%XzS1aU9C!~v#lHTmOk!t-y21cVv7RuK86$|RtdSrnp+9Qqy7;P=G zFax;)d6PC#P2n+kE?La!BD8G1_&Kaqe9}YS3w7jr+lZROOSQ)9vRdO*ulr^o5xwsJ z@)v)@pZdgUfP*7Fk$M5)YhNAJ>U9TuZ40ebJX&oP=sq%%1*Eyr_1z6x?qshUIuLb^ z>LXjRZ8+1#>qb0))Qfb2umpSvX0IF0wkAI~5}}gPG^Ow7h(V@E`AaVZA4n7{W`F~A zb!aezb3|k?Y*k&2y4y0KIx;)fiqqNmPK{ayz{N1QV?yqENtI>{*oO&0ROlnN3 zHN_bMwh-mk^j-PDjo2lpE_4y^*6?_&ayOJ_d}`{mto(TET^~HOkUjJm_|Ap>Z>%@= ziBc)Fa0Z_L#pH9;nw8qGOJ5@TAsMz1za(&q5fr1r77u{}A&GN7f85@!3FP3_04Dz8 z*M0JjO}TZu^<2qJEPv_y3}m-%yIoQcoeP$WL7p_bb;$oKZ})(%Z2v!d?*gn_nwEtT z!*v)O351&jkEN*4?DD@~63Ad?#zfMP^~n$0miKDJaZD3L#<< z94lZUrBJD8MMP1fLCOdUf-yvhrlcSsL`>yUA_Z3RJn!Xu-|t&%ueJWY|GoRnnKe{% z{2+CK{J|URhIv^=Q z0|4bi-O~zYx9+e$9<|;L)`TIq4n;0PxbR$n@wNSVntJz&86HS3*T(4MCpk%-g{pY8 zG~HIiey9&b$khk`HT`wzA0Bl@Ld*$fbf@uR>{VJRpFp2X{Ed=HhnXvwO0Td8HJ(;< zTB<~L@W7(vqI5|gvg+CwI9AdDvK_U`7E#39?NUY7kb1?h>ujm0wc@u#ztHI#Zkm^NZ4EbV`M%Wa#WO#0`7g(41_tLn zWeqpT9*{L$#@}@GQPyxsq+UH3DhIBEgQ2p98ypOkHQeA_s48&X4~>PQ6KMAb8UCTM zP?0%6Mj7Z9#p(2og-VYo6vEJ0sC;*Vy{2z0RK7jIUel4Bu8Biynl^sa$C;phU`EK; zU)&Kq(bqK1Z15M+qxPq1-ecCx{2ZFN?~`d~_bA(cp)guyD{#!Lo%w7gW!uq?@TpD- za69l#0ji_}ihj!nl!vK!cpY}KQ|C#EH3;iMj+%-NLP}ft`0~1!HW(YL_f z36w!=Xuc+cT=0M#5Udvj*PKMiWQTo)-U%8S7mT)zbIp?8?Kv(2K?HE2ot6YDlXB?& z5mclixH8_gI7j*cE_hhLSyaJghc|$JfD3|T8woA02=uWgZeqo{zheVCa;Pe2T$W+5Xg$eD}z(v1Q>$`{$>*02Y|_)URh3;G8Z1 z0yMh){%GIF7W!KP8v_Sne~kdm);l!?H9H7*=O9eGMYDr&J8;;a|JZ-;LlO!5Zo30E zeFbwaHb}P6)s2b-l7b)y#_$IeIgq$iL3$Emf=uwJErxIqjvJDUSAt@JOb}G3Xh9VF zw_%055@h6H>$B~KB^!hqTVZRj1Vv-mis(JnNldVpmRyZ<6bHdCX1NCUI?VYIiCd&X zs};pTDCdY2upWBNidGVwWF;d=Mcy)P^o7gW`ZWP*{)(TQuhYVJQnYSkVc$kfytp`~ z)(K5urU+FWpcEmtRT_}~RIMV?l3L4Gga>U1F0&c(@%VV;{Uxsy5oyywd1}6sSBir~jWejr*!q|wG7==(~DRIFpYIibYNh}d7T*)~4D zEH875j<-q!-Hqf{RRp9$k4^8CvJ`%-twHKJ**EH{0nQl z#RQBY^cOrQt0(qMXB1^;y_8#2G7%GV-Xkz*17$*ts?uPS-0E%hFDKD=sN^ZyW3e>I zh5aD#2FPU;M=HMpuy-6o=rnpYdd{(9o|1ZhT%1ABZIs9Yn@ZP zj43kVolrVeINeY1f9D+f)3=dBPaXrEUVqw2vyKeAdkj-u1lxVY{>=IH>GlWQe&=NX zoOX+57h(D>I%O{NcYowZeEaV`!9`dbL6EK$I>$z1df`muI{S98c3-&&gSGoyXCJQJ zXBT0(cAs$&!?pW-gKkp0Kbza33pptCvNw@m`5$xw>Kc57@RAgIKH)m!K_4K`fFL=>DJ;c6h*b#~`FWy- z4@80wa*>e;=^Y2)Dt$YTL_q2X8JKw_LeIE^^Ae8G?;-87{NBbrQX-I7UwHAkLVD8e zKjglg&0zb=iIsg{eBtuF(Y%PQ2Puoh?+Tep^_BX5rRlL3f^mxf@$ujMTmQRX44j`L zu04IPTT7ViHHL^X*$$p2g#T~?>?=#(yWsI~L|+>7{9Bo6Sqaj>6+wW5B}D=LKSs-y zAnltA7E5?QvI3RnN|4&A2(B<7PRRP3vDsQWUG^z|C|N>Yh(QEp`LRP$-q2( zEg6`HuO-_v#lW0B2Qlpy%{hqM$w7Sf7k~d(ygz&Ztr@uwU=^gN&>oJW1I;E3j+z;- zCe|hV!x3|I#0LQWysmm1#?R~ML!f|M!XE?$&6-B6RP#Z1D*60gB)d%s_mAA~}RJ5FfxL4?{o$@c~?Nx41siK%;AG_DuFV!fW93 z)$m1CLATdc-(_U(<PyPP#3JLWb|^+i9G-b^b8`;xoDK%)t-aWU~r`S4qX4@ zAz*AGNw%w6dCo)!J53Khp(+&shc4B35Vv(|3U#Sqt2G5MO?PIcr!?YIHj0sK+&IbR z9GgW-{`WwFdhf*d$(=wh3@Z|7rxzv$LXlKI= zj5^^=Bj$p{$D>D$)qp)QekqHbsh_~nu-U_5fZzfDgSD#!b2R{|1)WudNT0KpD#5xM zu;zFUw#V%aqi<)`Rs%MuKZ@Xb-zjZW)qv$f8J%!3wB6OAt7^bvrw~Y#LOO+rsv3Y^ zNKol9$G^mdHA^~XAV-V#Rc@im z1UNqq8xY!HJZAz5umDH|;{ym_W0eUw9M9txnC#q)o`AO&uc0GDYCbL zR6Mlh{2k5L-*i94{>RZMjZT5au;)sAJKK03L%|n2BmLw>k7h!7onwOV@xAH-f$8(j%Z% z?VPY`igQXM*X(sH& zbvNnLp0K=VQhUR%p-G?rf#38^UmX=KU8t)`WjcZYPxgvh44uMX+P089IzmA(-2?<^ zD_Ch#@H@bzg5c7#DY0Vu`JJ|chd!_hQ)!f}NkN$8a8}lWYQd~YkrE<`hIkTAQLP2D zCOxdS)|z`-k?$?rLBTSW??DfGb zO^V^_tP~NZdOURWc)mMme!;v}1+-d@FC9JK9u)hSyTh7jJJw`ZlFavKx7tI1lCX&F zk0cc>+mWor91aIbOr7YgneWg6KED1+I~nhue)wzK26d(z=xgzXG4@T3%BkhA)=AdG z^tZ?85&GQlaHHc>yK;Qf_o;Ee-BkF$Fd1OFI3#8drJKkalvEbA2Cb&3qH*LTm8*I( zHM*=$Bb(G_*R(&T(w@@jvcma-^#%iy`S#pUQ z66bBjb6QSshOw7nL{ZPpxYLt1X9vPgyG3nOGLv1NhwSp*|JuiY+V_mn`UhVJs1Naa zSE(rR{0v1gn1BT+HUlU7)HBMJYiGkQvNlAj|&KTSiy(zm(4oL2+QmX2WsuE-`BdZM7^>j1Dw5jGgJ zTIU@nTUs$kYTJe=veYux8Lq~X=^|jgun(^kY^&}JvuYn+Neo(34CNG=IGJ%{I`jIU zk=I|C`#HtvRAc(srl-F49l!SBt0_IT=8Nma0pm)13h8I0`k_fkNvrRkedXyX*$qAl zvMv%Up)$YM)*KUHr*t=gDwk-t3X?MZ>o&%3?=#t70K?$l%Fc^D*WYj7`2#U*I zR&>VR?ZW7MLQsM#6HJV~M}Qy2i_)(=J!OJuTtGAf{fUhKTB``LqNb-z(t;Ig+NHn* z4~2r%7zJ!cL^Br0!tXWifwU%z11<@CfwAlM9bJMdzaWid33I?~l;(m2rtNE5sKme% z@XSi`2FjMoO0{CN28b%lI!^`8P)f*)@^dK7_#_AGW$V?`*mnm2R7}2HcP1UmUHVMt zSHat3(bQ+p^{KJU$P}r22Ki7-_%QTM;(|seVIn zfq8UX5eh>!MhH^SJC57Ii=3K7trKo#&EG zQu?zu<5h0xEvOkkDG=#(9&{ZqquADqxlhTQ2>I&KPPtJVZ$ma!z($AIMrKm=U__6x zw`0UgbY+Hv5y3GOpZgv```*o+VjJ@M?e)_My zH*%8d!MW35{v1NwB~p=$b3-ICknQ;z=x6dBRyPome(W=8Of< z9Sb{646JWXGqH>zW4t?%h)s{)k<7OTz-ppqcts>T%a=aM6;QL;jHy>MYa>!hEf56R1#TJ(>3fLuK!HkpPxacr@OVm~*eO3ojS{AjXHS^s;%*`fQ z@;o5fTOECpS``JPTJ1^i7=eP2>Zm7Z{a@q{=Z+CVjZ-LzP^(s`@}h?Z?a{AAp+43f zBQw3jOz%JyOkwl!hy~HYlI<4v9#WE6^f<}skvK%I0u-~YBg>&Qs=z@gu zihFfft-wQB3rZo|n7P69P2w(wf9Tq41f`Je6Rt52L{ben2jns86%{AzlF)v*8n)^o zb>2!!A=@OkM|L8Jrdr69VB)e?<+wEW%1XqSE6KoibY7zlbxq3(Q{CAg zT-AA@Sa#C`-R3wdSBLg5USUaLaNLBbzoZ=`g^{#_RP3{MYt!6( zYfeeG%5IJQ-`OfZeH&K!^f1Jp>k+ly2}9=Ez}?jbrro01^4<&JIr^`HMxK(sWj zZgY5GkO7-AGj8bEZtM9~4lj~@U4Fxy!z+!bz)KBsN*Wefn&dlzIfoY$t9LNwVkaZx zmUDQS_p);_Ifn-?0-!J$Kf0rU7b6W^l@yD^dzkvX7@S-yOj7k&EO7EtjAKYSzrR2l0-z{R61bk*p9L zOK^SCmtclSYd3!F*ACmm-1dy1k}us(^WVl+U(ZRBI$+v;I zjW3yiHqXkpHzzjJYmd+!zpl@jMyFV_$($;BxSyOn=Rf|!Py39|{;08r)b*M@oUG;I z(nTYvIc^(LQTSj&l!6E|8o>yu@bg2rAY55P$6z>JBN!nSf)QYNb;X_**9fVwBHB<@ zzai>tVXYBTF=21HSR9(eg{$gtgjC4p?7`8(bA&=90cIr_Ar%h2v0hl@ML7nr60ESd zK=YF3jJ0mG!nBgiU)k>pwY>yOC6zEZ;Z(K8PcD@Um)wF)7(eBfO~75rsw95^=cleI zWN`I)=G$|`O%bz#$k)tDI(l_~0Oys4ogGrQHA)xlLiq*(mu*J}17G`W$SYc|Xtm4K4y z`9j*YWJ_PCmayv4=Yavui z`|p`uNhb_}YJb^I`{R6(8Yy+T)$w9obycnT;-?Fi?bu)P)M-aGmkT#)l6$PR%Th-2$OP0Pg|Pu>DI+!`(fO*FV|JE)l| zK1QipTZ7=mcdn*J(0e~IgKuq%itDZr^r*~h1JxF!R(0}XlGztCsJ8NExcIbCAKzSK zZj7M_+m|JS#2{B6{(&*PEK$@~pWe0tHPqKs;GAuz$0c@xMaxJaklb$b_1wd+$c zgVUC8Z4x_@{-59Yr{4c_ff-c)!}E>qsqacyI@S_e!qVN=$Q*19lmx4|C!(%{HQaBa|c1BR#hTgbpn4#%{7O1iW~4%v<@i4@+V0w5Wh$eBD&NhhO*wAM=9&n^LdLohkFtoX~Q$?0ApTj_gV7Q9=qB30;(~yiMVm zx6`hd{-5Jc9ROgMnOCR;87~w;H1l9Z;HEL&3pTS7WV{f9m_@0F#`MZYkapb#^MEv1 z#Ck=>3od9dFI3Y&k=b4h?YawE*Ase_aQVp3ROd*$?t;Jj)g0eqkCGn1?6n&B+XY06?@`h_gQelQN9h(4 zBy*3F0q2CY^7)4^i}HQ-<%gHAyzpd_SLN_FTOD0=6H%>)o+4zB`4?^8a0b)w7>F7HlKxBv=j5vgL-|;6P$Ue42x8K#P&O zC!`uSw?){d0uf+hgi4`&fB|Gg-ofC-iZsd|o?6O{BWV`wQK}AI1>3NUh@lykvocx> z(kT0iTX0iqZ5g$Ywq#rd+sA`i(Cr$um(7Yf*hXJ5I*?fj2Jb-~?jkU*g6##I6^-A) zTU{+VYHCXeT*BDPE=nuDtLKAtLu31kHU2*8YY7fh7@v56{9k+%pYr$o9N+YPqD0rs z<~qbYBkVL@Yt6+(Hj!^=0TAEyjIcSro#WdN4nng3=xhJxcmM1g3N~HCD{uGpVCz6~ z35iBmLOonXdO5x=?Yx2A7R>Q2 z=%tmv6d7^CzN-~;d<$P4nQ~fjfYXt;t^{*@yIbOz8jS96vRQDt-P2I;!J}%f(u2C) z_Q9j^hTAFT$&Xb)N)H}^t<3kdZ^?#SKHeUe*)wlX8Gws|$v-T1^u?;*JZAtdwhE#H zL<9ZKaK>s$f_UwryeP9iShQ)MHL)f|awW+WJVOT|A+Og=&o7QH#Sb_|tIbYbL0beh z4N2Gfn#ewId?VJxtdGulrYHCUwH_?B-T|GwBt#?~{lv%UvVM%PnY*^JdB(>GtNCNZ zkIiFr`Jg;T{CMNX=$!*qg}D>!G$?-4iPa+W6=|P}ynxEr$oLhZ^9fJ_D1OE1B4GFo zrI#vN@!ZxM;@@L`AnmDagW?MU^#LWuiv4#Nc&*a@z|&W)2y3eSe0+(hVt;8JNc(Ru z))lADn${riFM(FsUpf_1RfZ-Z98vwXyhw8&74fxqvTcDNMB4$!{&55v8EZC-qg;dB z$5s(W-vBpV*fxEs?zijuG}dy{{4}ca_OmZM|GcDOO1%B-bMJZhWgu7O|1G1APy{__ zACoH!_9b?>G^6-(oXh7ho4s?4t9E@p@A^D6)MeO}!HhYQAwNcspA zH*^JHw(TpUxN$*D{jvdS$0buUl^|7{3x*Sf-aPerV?}xlMG!+gQ3YW+X4mQhk$KYn1#GI)q#kd^l$8!UAA3xT$ zhK1U58|t$vKxEbVrLVjaQdjEl(B4-YgD?fPneErV#U`2}E{eW7)Bb&nQ8Z0l_8qj1 zSKGfSd3ab}6>~e;ldbQXxB8dx-<>AJRZIN%)rDu#*W(r?1F0YJoR*)tI@9hgN)}Q- z=6wfUl)EdH{M}D~+b7-&TZdvfavqLL)Gai`uQ1bv`5g?fG;pAOWwy=*k&V$r5N2Xx z*fr}FAt?DBn{c@YBR!HVU~k-GBy}Sw(Xk8e+2g=Nukoo7qz3atV@4>tMOe;RY?xXi zMTD+_bCmp!uZWg%K}2w}2x}@?Q1Ux2xCTp$+B%=Z9V<%q!UPXM1`5*g0O|oP=Y*i> zH9tv^&**S6bOP?$t5N)dtqIhI9vnF)e5ij(&0d%!;N41TW0;ZZHx~j;Kl*XO)5A}M z8jv-kr1Q+T2W$$gJHh@OFdeNudcHqK(L+nMvkFyOv${dbjt8#=p2->%xTrtg0C#WW!{Cl8WWrTRruyDFIV~(kNVpE^}I2R)IQ{dO02{y94&9M|y;gW{jBTY>Yp$(I7F1f|!O2uN=(=jQg!X<}CS<+Ovi!Il zvdlMVc$8(nL&Kvi^DP<}Wr@^%zDIiy=Lw8s58#KIAG=uG%!;N450Vn^>@g5Ry%?7q zHB@1aUN4#&F&t`807Z-~CictO`S5I&>z|Y<-_dTxUYL~4jj&C9pD59_S2ImbvUeXe zG&NJrm%U#j*0Ilged?e4&mR8U!Lp{vDK}q^qXKdZ0S+`in4UY+9y2H`ctk+C)uP9! zAAQ9^^cdBn3l5^ks2yF|60cR86D$maDt|XeEKDc< znp4IzB=Ca+68Igz?!*56GI-V$zTo9i12Y7}D{7F(7SWX;RY>lDW|bZnJGEOu$w_J} z1&W^26wc*agvd=6?aRf7nu)Ttl1@=kXM#6_Cs&C92EkSy2Kz= zF}@Dk&{lRoG`jW?{!?&q=-|U>q>CW>_SE!ZK%yl>^p%;JPxg zA6G+Eid!h95I5!i@7%}!G}Fd-X#%m;VT%>39JO4Dhhmd2Yaph`n}&Q?!9=anyA1y+ zeS7Ouye7B^j4b^v9&r)+g3vGj(I5IZ{>mtv8o{Nc9ib#xPpb4%)}5##@r~zP!A%FM zoOS1J!7Ma19njJpC6az`+!p9-(F}+lYR#Y=m9D^`ImrnQ6|y-nD_fl-LmU^x>?Eid zsKg^+H0_Fva9j|0UG_d>#qGN3eV(M0!l8du<6yCwaj@7t53EE3i@?ER;T8w`C~&a+ zn9DXU1&2y#~ZX}-Gj%0d%FZhgU@Qrbo9V?g~V4UZYSA;c@plBaAA;$2d zTjMvYMnFGR=Xv5|1YC1Q&Pk4Z94Vr~+ZIk!_yPbU1P{ZV49 za8oO~jJZT8xwx=qlFuodtT<*E)eNV;1voV(I2_)A0N>!$z6lI80MqF9fG%P9-`UJ= z$BdAk5z;LXz6;@EnrB8x6XRWwkAwpJ@^}2LfAMV}8C`(_Mee` z0B37bKLo{%^%b=%kl3juz+Uw^iW}>KtF0DYfR*D2@9JVC1>k~6cu3I&hycS3#GxzH z7zV(YBpB4S)|4d0NwYPPr!aUn=mJ_;U5y&k+y~rpw6e9od4(E70)m)627C@LNJr1N z2M!`9tP_{MGFpQqneWf;0JxYqs37R;Tv5J3Hl&E*18ODB&nc};c8I48{=qx6V<%|( z;U_tMn#5S7B*sYz4SMLhL@r^7FW z^c4dm0uX}Qt`L+c)K&yNp62mT-0$EoB-}K?-J0A5l1#%0JxOlFiWyiiIK3w03I<2W zRIq|0)8RNYFe0S=t05H``T>iMd3BTorlJFspu6Hf5IjWo&~YLurq#A=O1xNj~^zCSmaOC#p}QI||Gr7N$%N@Ta8$qDMBeJJ$eTiA!3a@4&% z&(zH_IrGCA6P2E5@_$i1nG;MmN-$~u%`xa~Fh{=Wbd)!t(X?(`T|S`tAcc>y>hckVPUvccBt1oAiCwICih-r*Lt>QEQwx^R#RQ2# zQ#}Z-b_)dcD`i7ml5vQ%xF8Eskyf(&sYshjL&(FXZ=Zl7?SK3^Z~TIviAERo-Q8U*>4p_& zNlA8VwQKV{IlC2UupRxzoR?5{(N~1m#4%OKm!T!HO?6b+6CH{SLDWp!TvSe;7`BEz zkxOZ$%dL(%yLDV_=vOj#BD~5ecVa5Y5==qk#P}Y>6 zNV*d9Po#`ac64x5E2bDM)i%J)E`gApe19-RjFoKuNINfU>LQe^ZV>pUqds77ynum|GAj+OVf|McLw&Toy^^TrUJ)^a^gECBZjSOU$-UG@3TRhzkL^TE+)@(n-pli&KbsM@IVzd8&D zHBlQA_B$n@CaMFIIt&k|WQ3Z?kfsQVR^ANj2FfylW}Ei~62e~B#vjTi51hsh^jLOa zD>=ps4`L50pJv4z;uQx1zz|un3U6~5Zp|=oAV4bE<}<1=JOCl6i*$&5vQrX(5T!P* zgVua^0uZue&vN!H&t&YwnvV^U5L0SKJ#!jd%fhRb_NG(YGMmj)%KwE8@B7qm*K@)X zjD3i^OMs=7mSopE41f)|gA9aKL^qN$)U;nZps?+v1Ku|D7w4O?w(Pa#^NZo1=9e(o z$c~JZ|4KwENt=iW_2==3@IE#XZ{C?*pITWl6*Ce;_62#L`1?QVyM8|Osg`J5pL$7l zdKDb>>qs843f?Vl%kS*P?J_>6`0;iyWWyLl_@RRUVb?8g=PEdK8E8+DjRK<>B2r01 z=)tfe^=VnL5Fji$>!%j1s^Ct5fZl};Ux1`B>|zQ5!ft_i0?mq=LO01EK-hq!rj@L! z;AM8tW(^kB`Ya{L^XoylXv2Fp3-&fJ|57fzB0wn9d(ipQnIv2hAYjUmPVa#M;LxIa z&3u1?RAGyrpH?#8pkpCb=t$l`o2yE`qFAn9o-Y%qn%XgXVG>9&kaadcU!|?HT%Sz* zZCtlE?5qI3KtaCMsZsc|-Lo%(wvnj@ey8aa1imr(&TgP=>5*S-JqWoHw;1O{Z;{Hy@Fy16c1Ni zJ8U?#T2?DCeM+}=g#W9}Y9W~Q{@{4@O7E}PH%X|{0cDfss?#&Ux)|&NO%7@%9UWbv zpVn+UV8M14An)SY!T1ui;(n`wfXto3gS29riW0}{u@JjFRV2t(XFrghCoy~Ij zF_E~icqpw|-5;>z>5sGlOshK2$=Y1iX)v9ILPb-Ze%a*X29&4j`o7_ALt0z+I<=0I zPlKOBXZL+FJ>KTrJQp{kpPr^&ImHeL#6RhAzP$;SaJT5EIrnyVxi?crBj&V?b7sW! zc@Wdzv;A-1`lcYuQ`SBA8H|GuFbtvG20iMM?L0JfPJgLm&0TP}UIW)&nmQLD zNTcL}R&gTNE7$;<6={=P5cJv1l#!Wr-#IjW1{VyjS0Mb z%=ZV)T6T1#6E&(#=ULsL)k=rI1B7iPbV)MbA)A_u^W1FEk=9&MzD4`Rk^mfV72wj; zTT5%^djv`ybgJ>%fvKz?kCS~H8h>>_0HN!rf-$PVu~T9jyM#93fW8A0j0H*diif!D7-1eMYs#n`2*sD$SD$% zz^qh3w`_-ZBl*&_DIvNCt$?T`O@Q`x{JKtsM~F(jiTIzo?BuGXy(c4Pw-d$Ap3&WT zM$>N5>=`}$lIJdbSWOv%)-$b!6L_Y~v{^3L`u=(U;Mf1&Uoj32o(HCgfwpP|^76F0 zwgpG8^WBLs8S};3B!-k!{pcl3 zMx}&IhE-lfKnE^a!eqpuhF-F-yi>#_@wJ7?nD^DW_Wm##iT#dbf0&G#&$KWZ=V`OM z{53{v-zwM{r{1JtjOBeF? zY2Cu?;?d$cR2#4WD|&31Y<$emWlo~#$eos9%wO*$2SuThKP1DD-< zlZ5iEI>X+IU0m$4w$FHK7VTZRPe#{2s2=31!+qH@2szXpQHNDGfh4CBG6g`qda^M* zv8sgF!8P(ZN@W^hjQ-F2dG>=w0g$y@?GPYLv`-~G6+?wOx{`y}}P=SPm?*p`lO;MU(-%7l#%N=txuq z3}VE$Yj^WQke1XBjoxm|J7h7e)N@0Smed7HL&I+AteGK5OIieV%rjP8km0Jnm!I0D^&~zlPwR=0&J!&!pv7p{rCfmmuvg*KC|u7vPTJ zvJSKAhE%ZNb!Wf~m*tC|oT_F}a^v!RFvDfP=IQVMcfRg~Hi;3vU*NKsq1+Z)AQ5Z zqU+7<*v6=N;ujM4*(KpcZ**Q0rZ9Dmo^KDPSm~O`y*6Oo$vRq*$8n8rbU9=6wHbqkLXVjFR76s zz1PSrrf;Y7a@orkwK&IxlrY+w_HLSM$gYWn?@BX9{8X3+t!MCupf~LN8_z6<-8 z#D#|qGQ5_W8NrR}+ovDOH%0AEk?E*rSH(R}^Q@YmP}Mvq?d~pVcRlkq zC+*IYwEM$-@WTqN0Af08S^2>YQNS9TGGQ(W0aD1C{bxwPRd$w=qmt@I^=1GPi0UnT8I zT9Y7`PAV?n9V}#hiS$rTGyF82U8CRpb!`~?y7ZZ2N z%%A*S0Y12byB#w>CDC;R@r2ebY<8!C4{Qrlq--o0$b37KW2t>ZQ0CJXHx&`?}x(qr0Tj2uO()f{#j&+P4TU zw&W}Ug~D;URPaF@n%dU|_evX2+{2QTkJXBjIxCVuCrIjy135I@^d(0FA8I;PTitY2 ze0R>irO zoEoqNeUS1`{Mw(w`^BXzxBp% zdl0B|?W%Fqxo!c_SJ;|CjHqRD`^wF%u@hoi6X!dEt$B#B_v#mqY2 zVVS-Ote@rsLr~1D2_7&JUdVukV#*4Z0k(=~C%fbqNof#1E!9*@54Bj)tl0iC^1 zBg?neniaPX{R2PtNB{U63YuI4Pq#D{hGK%S3>-l^4P)8$HH|D#PS_Na1l0Km7UHN} z9Kb?!=onLg{nQTXQ6`v-Vj+f5bvc~|44hJJ0SnQgSj_3P9iUpmv^9=}SkS&I7NRX5 z)>sG_H(bp$EQC(N0lnkM;6$iUD-3QrKV?&KUtaOnZ)gGY{pcyL)h0ly}Su|$5V0Utan&^3Ha$Ox?GnK^!Zl=c4uYH8np+mzuT z?S(jg%&ZzbcK)wVQ|Ir?x%LT`t4SDn*U$bhd%x0CegW1`9b$I`;78jv;ssNDfYxj; z%3Qo{8M&S$({p}d+&yrVfD*z}i8Mo!Ob_~n&1WLs2ssR~!bvhEjxR8jiBAyL1m!C% zd$p#(G;GI<-C+$C$!F~dI8(ExvS8R1q1zA*XOIL^r$bLF>bI;3t*dVj{M0%f{LI^B zLP3#S6F|iGtnhKi6`^Cc{1g|5C7KuIJP)f*=|89XE}#quvgLU$I-Im1!;Cd|_?Z+N zwOs&iTO&vnmgm=w@l5bhd7hvMtR$!TKbPe_yDaY+{$_6%{#HKqzh{v3_lzIYdxm}e zJ>$pxos{U-qll^o?=|1@ zyuZBlaeSG&l#ai*K|y;+2I!b$r=Q2i#q3@)W4e`eIWx18w-OrSq5VwEy8^lR3jT+lx(g{hQ|cWjpPU z^Ue2vv%lC>oaq~C#C&|wkmdKo4_4hyZbmvyf31STl_$kpZe?z&p$7{K!r0Unt|womY1gpKL7A#No-sp zH+gk>pi@@Qa`aMv`QyIh-~ZJ?R;RkW^->4%wb@G@#MdU{z+nFB28?9CpBhBNCer}H z0WfT`-k20SfLl$*`>6rgs#3C;;iHD4tr7dh`b5=sQhTYO*H_B4$YB}c7NagE#! zD~=va5x=y*U#?`UI7{wc`PXiHl8@&i{;!Kx$XB{{hJE!ju=;D+d@+vP_;0?l|J!`s zY*K2($KyNbKFP2@mR|G=m>S+G@yDzeKfIUh(O&=|yLzJF38%*y3T_2=kw*vXW9N#p zFN&-l=Q&H~QA3%0;!_GIrnpJ@DTVto^pw&qa+1FAko?*4f90`LpGcmcvYnq&oI!p{ zxq0TNbjU-RQ$%AfVQtbid?^N>4qh%euHmo^JB;wivWG*LwDNG)jfW%K;i15RgGZP= zJb0Xyhcn{gwJseT$_?~Xc(~%iWDlplet0RhhYOEs*d-J_n18VBAvGyBai@#J^wzh) z;VeoN9umO*QE=oYe(Qi=z&QoAY)Q{?$|s!9^oPNNP4;6RPcP}ip8MWU`KmzAYf{j? zBuf!W7YoH!cjhakNq7mOLy8&-vK{h*#|9V4hWD+|eEMOqbKF-@Re zTE9>TR#hWp1&Ih`eQ9M@I!W@0_cg%+hv1%)NFB77Q&o*(0yPo>?e&P|_cdbz^&SJl znk%oWMwYyVM#AcyqgVH*OpC@Dw~t0uHG=N3Y0+EGtEqo?vHX6XF)exns@_U+l9g6- z=@~>f)5(>DiB`{U9eKps_7)>uG94)DxQPF&$8lFOS!zs!(e?Xae2qsKyFI#bNe2-o zJ1OuSwHXam(7R(GdNqu+vrKIK)JHX+Zr+a#2eAkq9Gl=C5K`fK1Zf#j?2CY5Hri38f5f{X@STi4XXayPN zx*+(c6e|k$40CXN#ZjxM*2r)VG+)W;SS{^JgV@+BH-7$a^L1J{N2eZ}s>!^Y!Iz7R zoP$<~XR>(Gte658I6z>W3X2DeS*4)GL8|3*L;_!@=A&BQEguyvd`>~bqZ*zCC!m@L z5H*`u;w}AkiowGsAR!SGRZ-7Xd10V7?Fo$p?leBB3CmLhPh7rL*31rn(r4}U_$ zJ^k6?7<;+$w>^?-IXk>BV=qBdqrPnjR@vb~(*TN`w(Os?!ysv(q5*uOr@c65hj&Bm z#Z`6~C^^w_0IYR56;e74WPPP=3H&_be#gb6Y#QgbT-}FUWrqhFk*ls@OymU51r+QS zbgCMo#K9%*u}x4SL8+_c!6vRG$$WQq%Z+kGpt5V+r%5v39uSa&>Vikdi+(K(LxU|- zeP6UCldJ&pZex+|EJNlrjCp!MvG5AdDfYd=rIy;9w&F+ zbv~-EXuP#D>#W1RT}2|>Bb(6}vJB5UGs;}l`@oso20>LMvcVi{iEUO3>&A_9%#p|r zl{?8z?iT=|iGvV=bP)VvN+NH+^dx2oii6;ipm8$j;&E$+H%T%v>XH~Xswq^Y2D{|w zIZP~E^Q8DyUn{Li2f^3Gz&e{th86||U%BLIp_Lll$T;eDLMy86?X&kPiY#N;S^4C~ z-v4dBmQCHaFWIQa^LQ(SFL&K^X5Y&3x5nuAq!{O(;q&(l`(t>nCShA|#HMsG<+Itp zJF`FS7R_e=b_RKUc z$A;zwV#UhrZ&o{rq9JJ2!w{^^KBmB{C$Zdi2DVCGz;*;!Y02^~&a&s=k{2kF>jPOP zrGn2f2Gvg~^-z~Y*2czsVz7?Z8T~wGvu{JV%UO_AD0w4VLkwBr+DSpWMU5TE_{(zycS;-JRqA%p4w{QqxGdQ9fu`W5Lqp7~fI^H>J}g_nAZ_ z6Q4@lWFKxZSvsIVo4S)0l5(Mn4`ePpH8ke1X?Huhzv-ra5kU;YAD_MzL~varxX4 zpji6|#q2qhdsR{N)t4V$zVgDWFT8a5K>n^fJRkc$kIBTb?~5;7z85Q7vkkT$y!WN| zysE*GeCK^Q^;a)ne#txE9sAvQ0P=79i7$Tmtr0hA?%Lxf%VfWvJ>i1`RO))_K>tpz zr*0RV)QbSCZ-7d!r$%nGr!70zQ<2ae=*kv6wf08fhaBq4rXlyAe4s1a*hgFvEx*cr z07c6glxDfJQ z$EN%|?or$)^mTs?pTQpOP}P;1kG9C=#h1SFN=Qu&x~47P<_U>?`MQ7c#?@aP*VQX@ z>l1(iTz3n^$l4&VFT3q}82bWnhbYVy37%@Tk#)fVL;-^DLw=?+P^8w?E0Dn=@;si>UF0NKkMoPN?(YjAh_@dDHK-L)d=#yYQmrkLr*$7Fzf35YHwTx z%SD%KBkO9E-#GOIYc7r*UV$3>;uts+mQBs8T9GA5fWDSD#;3dv82~ z!Sr$?7!*zJ!Qcc%OW)gFl+e(Riyr?qpYSV}|70wArbI-<31Ea?TUp4-v#3>|pSI1L zr@<;&t7;Y2X9YdJS#;8nRq`w< z5SYKxIeNZ3QU8Dysf#b8I+qDGRiWV<>vjMWMIVRYSh7ubztx^7o=pC)@z0x0N)9#F z--iXO=k1E(%}z0&$mAooEmOpYSDYRKpsshwfN*WG3a6BAWYzI1Ex~Dj*$zPVjh$+o zZ|?Y|Q(XbtB~g}--;-C1(~(}j)M6_73#Py!i07oWpte zTF&9{yOP7HzM7K5IWF$0m@mEX#tVI^cN;brL&YuJVzGb-^ssKl@SmQVc2H+@X$ zkJ8xW>NFdMC$<3oDs*!;U@(bUML(jQoX6R3oO-b*BFmv$P%kdqp|xuAZT&RRc)jX0 z8RXC{s27nvYXg|%-Ju!GsTUXBfowT+Llb~eFJh0j2SZH0pX9bsFRsyS&<0O+=w|pu z@Ftt96Tf5?xXX?ew#L3ic33EuUm^MMKm@>OU zp9q!e`6RL_g43vMlBY5AehX70@-T^MXy{;7daAH0mP&8b4;WgMP@)LBzdt5d7VOrY zHB`A|xmo{DOW5~1$GB?O67XpBgD7J#(iy5`UmXPv6uEh#0>LO3$*oskx_seXaz%1! ztjfzJT?y7$Xyn!nlgbZK){kGC5zv&o(l1vQ?E7iCi(v`)!*XM(mr!LUuiDk11iCA4 z(FgopVNvTJ{@r){Uk@W0s{TV884Y$-XWx6Ut2#L(!_Csk9~o|zPA(%7kpn}UlgEg` zB1_U9q72hBU^zLAPYupj&XLjZcx5UpbIZsGW+c?7k5?}4c=@0)NYv>0g}N?%HJ`Fu zIPtoGdpTVn$0Lb5iX;5JZ~sNPXC5?mS{77e=i;qNZL^qX)ORYPKWu@*qZnWa1BMXx zwz8Boh)y61HQGH_lO1#))GX(yieb8hfO%ILS zBHG5+;}#_Y?dJK4Gwt49?qC*LKR$+Fzu*0aKloKAG)aM_sjY3%)_ScD89pQ@SfK=s za0{KMt#!dDJT4EN6=`c-5YsZPHyRWn?V;sx3y;W;T5t54v#;u! zCvB}CI(nm52jd#CuYjVhtv1>ci6x=_?9leH^bhQuK*Q20bO39NK`6)g~EiL66sDk8@}PrY6)U1T?o;wq=qW z+5ib{L`+DWtJaA;Zw_s?AeJ(OF-WGGbaW1FP@mQT1pv1-V+ZO<20)hRe1h!}Eb+~X zRne!^k&vh9VwQ8!2elUVxdOAi@eVD&oQbND>0nWgCE`J?BN5eNOWEXAc|K^GpdtWD z8dj<*X}w37ZO{`kReMxaeT7Kg59;(0W!q@zxoZBeIh|&cl0yiM8je0vV@a`k8hwCz zNDDyCb+SDA!0DI$hBXzQ;6MX+SO;L4o@pMPE(D_R-MU*NOb<0GJm{4(XQT5_R~4QZ zXaM|t`<_%4o-)t?&$l&i#}!o-9*lc+YO{^m@g$iZYYeRd@0~u@Yt9-^@cwC!wNyFe z?(?3@Q-ktEdaSXP9&2pXaHHnIu?1KxOzVw4);Np&SaVZf1V5qbvBpW@>vGa3Kh{ID z5?XY;pxqPlr~|~=6A~H7AtHCGX--m<%E%pg%u0~lj;}(si}x_N`ej^G-d~bHWq%!a zm5wjdq0;^ksGw4^9Z(?*HvoJ;_D3Qd-hJMmQF}T*cBqh3@^;7R$ZUkPoQy)q%h4F+ z<=R;Xn9g;lvkqk3RXSmr4wX(=#uKCy)^P>pf-$|Sn&yQf1SS;Z{rLms{X0Kc^>fmw zmz>Fc866gzc=#~wKyxOTCWUV?Ny|4eNg?SvOj5v{Ef>c4C~mqiH|qmoh*mmo#uHM`_|$*>EC0w}3?gh~MlkJdg&YD^rEXz<%<;gi z1a#{GJsaYo+gC<}T?7F%mJ|yHJ9Gs3))v9tnyoiz##)nqmWYv9k?*q$V#F+GbwVvI z1dm(^QfIi}cD>L>8Nfy8NmYWhTP_HU8F^bU_7J%i1;E6i>GOvmU@a;Lv{kbrEtv}z zY&TVT7g6S+akjGLDjJFj9RrjmAHk z?6l;A@^jxh@i$5gv%Jo=j5F3U?z38v(E*jgtcwDkETGQwr+p-^K+&96n2h(eS7c=Q zzO=pU@J11cDAK3^DXDR&}{&k^zD}?P)n}L4qhm)ss8OQX$@oLf*~5jv#^k9oh+X4tuyoVLLH9j3h5>9;|qI)_+I+5*=gt#-(f zcQPhb+Tw^f6_?GXJn~}KOI8%y=-D<&?9qvrQ$Yfl$&+CXBJQ>L;0j~ISln6 zRK6izHRPI+k~tKu1JODRy-^VAWk5cN_>mE%&25G(!mRH-jWB0!34hPSFEjp;YzmlS z{%7C!C2v2xv80$)>37`C!;vW@hVqLAG;8I`F$8sY3ZXAYeL-*uf2t9z+)edMF?Ivp z)V1HGy1J~Wx*C4zYIST6o!w0o@;HeUEABRMQ0sHd?&e{&wd^)B!$=5M7n9vhjI9Uh z7!(!)@Xm_a-8>$l1yyj(_U&rL%H7WP=t_+%K;~&^HNEk*`6-s!-3`(TfA6X|Brn|%9r$PUw}mb zk2I||<{zog5R#H-_BHq5e6cmXvYaH-vwdO25qP$jXaH`mNPsEYeqYvA_M|%sfcKnsGp1cM8 z>oh{{DNpzmna*4APInf%&O?WuMe@@rAK9T-IbN))X@fAncbb4k0yXo|Facd9P<37` zUQRqrn-#L2odhZp?kRzbiLq7dEXMU#30T>V1i19Z#wJGb;)zVlNq##0ei)`(E!P>g zi=-@Kj!58-4conG0vb8tJPL~m=<514(;L5^Y{vy7^NWOgTrd*a$P?%Dm(+0DU;O@( z0B>d&>*H1Uc=XpQoA`FK19|ZVHxo%{4kq5mCR5b7l|Zp9_-%JHrKs<3fxggLkK(Rn$BkyCFl30-%!UYSRE*R81z&wT! zE(jzb;VghAuQ#1@q?_e}g(|QY>PG;+OAbT*=w^lF0=^x8AQt_apS%JE-UR9wu8{{2 zDGOfw_wvDA6!m_JM^yYFcbB~AUzUG_*~;Ov0VUhfeoGnMaY#D9v;DRy6)tfMrI zO)!?6j^DQ@eH9>fA*kGaWRo)ArFIo1|620>2_Qo5qU_MmvuzU~20s>N z+Xm!C=nm{917}?Nb~mMJc9Wvq+(LABeBpM7N32Av#%Cg<^AH)m{1-mz)nD=9QE*sG z!OHP1vW0ijOSy-QS7-rBhviu~zQqK$Xv&eA@8N(^e&XYXpqN5m5yR7AX5fh<8qg|f z2#P6m!96~(gaP&t*hJ>9LQqVh31SQ}^POaj-4M2d#8(K4DfAUFwOtNJ zy5MSSh?(u)VJrF-u3D0^E(j>QSm6V>P}H-J6}8}Ck`~f1hjU=%rn(w27PcdLu$-h9 z6C1f^z8r}billlKToXCrc1=l~`+1^SSV(oj0%=sj={)o8*}`8C_|XyNp!PnBcj!ll z$HS@&fA*EI4p-+{-5{KWc|V}IZO=2`q0;nyK!8~Po})g9x)@EXlh}3|b9EoQa8i{` zJmjg_TyjJttLXZDwdXzuLZ$m^&R0wC6TJG-K0~GVRb3ljHH(E%za+7I#Z1-XgG(z&;6qEnJW3f`P!U5XdXO@cDwd9SKy$_?Ngye;&_E z-p3|i;KCzPB`SxILi#?ASiEb;MfPfrEZ-VbR-b?EbGzU6{)me-!1B0Ax5%8i23s37 z@^MK*C&V1~l^FvU!~g*VFHjAjVk5O&B}i@Kg2%;1dx8$=gwmKJR*clq629U_=feUr z)Deu-5dfJWE*Hqk5kqRVOdTsm>Ii~plv1!G`c5^{3PC;#evZ(`ED$0tTI2U^E+$e( zpq*K5SoNdey0fAfgR-}2wQ`3J*HD+bmpJdUa@F&hdz7HR}oR$gNzeWV&Ru0X z6qn5}#&NoE6)Y4BL7Hh_F(9=l3bp4bX4)kSq!u3#KGi2FX4)l7HWu?ZI!&Nrrd={1 zwSpGQYhKe#7YnGi^LoP?xrpvR+N<0b)2Ow*1stuLX#W@dO|z*!EfO0v)i$irp3cs& zMqqZ&F}u;0ABjskVb7e4y}MlOv|BXW_1l?w|D(@*<)40YAU8BI?%}tk$IPQJx{WI(xN!hlqktQ7aVFztjd!6EgL~l5d|NC#=v$~u)>n+^A(4>7bVkYf`i?Q zwCm-nhq@Of7i%kGXd)a02GvJ4M&|k@ptMA8lqE4fOCx%uj@#O)=3@PFmcYGgl@(-C z?V1_<4ahHk7#@9(oJJ)%YS-29I^eZIZ|Ij1h3j^|m2YBT8uk2N^R;a1a*~xPbVCJA5pf*xDHNDC(@#$OOG3(9AiA;S# zPPOP0mZypFH+5wB)*3O9`Oc^Rz#sdeh>$cR>JgHcF3_~BUE7%Nj)|+1%hk-RB{E%b zw}*z*8WajAjbK$aS?)NR3K`Gk3M~rtit_V&C1$bF8Lud)5nEE#fpXCV%cpk>j;$l!G+iGwYf^}63 zx)#3=Do|T*j!cr$YT(z6R4+6sG2qB~HkVUXwG4fOpAxM%3MWi!=BietJcX<(|3srY zw`eWZdritybQeWb_l@N{Rq6u)F=wey+?kU4oQd(yNQ`%%gL|(t-rgSZ5sf#8NYnlA zv(Md{c8gl0G^ak#llpwy&;DEYUx^S&3uGQ5m2%l;%~`v!9q1X=5Ge|4G#xIuU14Cc zm?O-PAFfc^M0oVKv;IB{3ZuOznkzua*pOJF1@U&;)Ty z{6JNO5_l2BWekz<>$ktT^m&%w638H}kAmC2y&%bFxn$IZ0f$v!tsi~V1yIYM=pR!+ zbalL?du7as{iTD7*S{NJq&O3V&&xN3tRN11PAsb)qrU*^*5Cg{Ru%KxbAue<9vEGj z@FAFzT*D<`iYfpsq6*~Lqd~3%D3Rd>0jK~&9WoV2!85GB_cZVp;9kl5GrG4`OF(0tW|Eg-X`V;azz79)$wlFVf4%@J7qo#~jl7;|^U7*jMOW}wy6bEI*eNaM49 z^7nu2gGeE2Wz18ERwsK3*?#8x$(qKh40BKRm8B3}@cd-&U{6@*~FrrGF0;XZN=obumsux{s2hnB`O|hT<=Im#+A1d*b!Y*Nc^gwDCP9{ z8zJXtlE!qFuBf^{=r57PCuY?!=UT6sZxH&&=tH}73HfXJHkDl+*ezByph?+buP3z6ErX_+gJ&2EI$^Xfd~uJSwhnAJP*5kBHRZx(=8pbsq*nGtSrN*@}C)9_TMiDu9$H!n0g|9x06imPBe? z7Gsux0p)yllbrWdMtv7Ew#vrNfa&IlIqa~!O@JE5jyIy z-664CuSoUif|%X|l0y+(uw}hEG}WUE9u{!zL~tYB@|7UfqYFZd9z{JKFb`3~QTqx% zkEq8Yxxvr~S#k$7N^@)l*Bc}h{E~&Oy-N#1_2_FJKw=M*1n{V8@#-{{A>7sk9F+q> z2{R>AetqQth!(#&-?gh*{;L8xp>2QZvZLu2{Y+}|ohP-+x{r1pa7#K>qb&*Py(3(l zwj_iv@m*L2?vm2n-Ed7|C@Fdo)Jckd+6rnL-w}v$r?8SXTm-D-q;L`EyU5`h!o>=Q zGctG*KUj`F_2-Fj4bfaK238I;uN>V|pDcgRK% zBU22lROi~OTeRgIC)`V91Q|8q-Slf8H72UY`?#?5n{Fa7RCF1iFv5pL<(>bl&5CV} z)=E{bzjIvAwT~4mOi14+Gb^6;GqaL<9*}aaz>8`%l39`BVNU8bXhW*XlhB4YH48V) zB61#a9>)MH!pA99Px;R03l<#^S82XT;#EjU<>l!Nm+(x;xO4-SClHH0Jj4}6EKigC*{B*P@x-uIGc0K>M&5D zo0TC;{3)m>-{Q$JR469kwwkz7hXD)4po31Bmet`7kX6YeLUDE_ne+3XatnM6B%wHa z0Ji$1TPzFU3#XAY=-mntaRf>TzA9@4<`g;l4*e(f6`iJxRX^T5M<*(=Rhz2KJwIn# z7D)BW-b(m1pqS@ovGX^JCAyCES|dp(tz@p4o6QM|^d{lcgynCjr@!wHz4Z0}V_@x- zp~w5m3SZ9-#O;!R-=lF=oJ{-5Yco#Qb3_76O4r~WR&O)}sR(>U&P>6IFs_*H3Pk|7 zH3X@rT@X5m6p5rf-jJuT5~QAXK}-6fHq$d6mp9Y}cc{o9t;1?UcRVijvWT44N-G4auyh`PH(llJjb7!5n!VR%{UGq2VjEX`|$# z0sf6XJB>e5zhAR)kAe%-fNH@i^1{!uMC^koX}upw zL}8K*&XST_v=26GR*@Il^Ko=auDS%t)!jh^rfIMPknQc7`Sw5#K8#!uP=l>xzCRcn zZe=gDdvvZn-yk6MbjCX{AC2U-Jr8v*0xw?zwB$4Na)0QKz4!}tWL_^Gknnvh;pPdp z_Fp92g!CiwS6U`Z`KvbnHKQ%yex!sO-{Itqpy-B~NGIbdECVuPzvf!k^g_zn@$S=Z z(Om1Aev3}QyMO;*`RKp&=13Z7^MNM~bPEvi0-Fx7THiE z#5%$@*DKP+a6uHXh$Y2};6H0GhU&=$F}l`(@rc^n6~)DHNmRH%eag!QD_4Crlg(@~ zqi$bmi}dr=bA`fkmECh-ue;+U2|x<$kgH;MHVK%{9i z!w&iQh~rV43)caj!bznsMSYdik^FwLq(D)kW%guCYLD=2N#Fa@dtOytJkJwaTwFc} z1$X%zyn=dE->WY_ynN+_S6_JP@_~Fo7t4qd{3CWOZ+S%((7*5VILL+W`#cX?_I>e% z%lG0G9=?=#Z7s1kizr!FP3GzZPEGZOUG~gd?o=^yeCB-R}2K)`QL9=35Qk`vs%=&bOG@SJo$ZL1HL8yl!;Rs(zPXMf6)M5M?z7Lm=i!$@#dgBsskO^#%eSE% zF(%*nFaPq>FSlWcL+)%@wlEPONA?oV{LJrX=ES51TW}OA-5j)yHiB7sVi19*(spQ{ z(xjXJk zqs9Qua;v|x^4x7!M0s#UpD!L4Qz=iIegnykV{npWR-T{$ZMW=N? zcsz22B9uQrdW=DLJt}bq-JKtFciqS(IgHbk-dtw9yE3C`pAoy%8jiWlc%CxjSNzT& z`0@WEjIVa}y7AR5xYNk|!CnEqgHAlH_1RYzxws$@HY{X8uxB6;jjs#tcN?X+-h@q|R>DmI)gz&ZK|LuVvF)5u;XSVux zXZ5GuqS@--PUY`SU;SfW{LEtvE*iuP(dv75LvHbvCOutvrgw!F7bKZA>Qa1ay(1t(5@ z4qF62QQg)7KTjnElgzY4k`z5|SA@Z@Isy?XT}xoN)JKj4oKYqzpV$ zAb*Jer~93X4br`=ycs?SSX%VtydNY^?GoSlDfqu}7JZ*6m4>!@<--33TBz-|lkT}x zGHgqZ#QJ7#6I#iW+T)}O7&S(=$5lmDC8td7b@DisqM}YZP5Xnb5{2?qZH%$ zFU9O$Jig^n(?%n=Xm&4d$Gv#h7ypir`Ibm3Xokm=3YPByuxJk^Ny+FVRo^7Rsd0)R zNOll3GxguiSXUYS1ycF6iEu7EbyMmjUlE+p>~De~h{oEQB$bT|S_*)ve~vn@6ZJWx zU-Iur{Tp`K)_{?v2J#ibszU@4*nO8suQw~^jDGa*qvO9l$2z0GSt_R-u)|I15(YkJ z^b5!NjzsIt!NtAs(}a09o^Kf;m@jtNL_Pk`ULAeZKn=xJWXl#Zl1{~wSVdLn{_(VDFwWXj_w=%j$fJbW#~VjjNs z-irc@slJ*5i#a<6*i_DJs*^WGR8zS{6{u;-Ejk6c^i_ZR?|j}Dj%Na#?*>cZ{k>nMh7r^-7|44X8^ZA zNWW?Vm<>w7Hn|}Io}Xs{X7^4U%ek82iDOl=W^m$I&ejZ19LwpN!HHwi##^rF1{25B zRS8Fko`O0l0U+0H^M{t6#jS;1s_r$7fKqerllGQ-|t)_k$|GqI5@5hos{s?8Oq#y8ifi5 zG_n84xUw!IVyclCv#>q6LM4n}vv@{e7S5Y!PG|1*noVOf^m0zUJRq><)t4?`co*6W z=B6=b?b!G5^CaX9P81>}Ut8P({>>l}?u1FThYH$$bw6bX-z7M<2tsUoJZBbFDmqd4ICwqc%;ujK54gZM_n6HJX`gO4&TiVL-J;pFPrpT{nD$5i)z^I7=eDN(28?Mdr7A#2 zBAHPuezP#`z;*)-04u{GE;3ZN5)9K`$lAy;Hq4j?0`mhv5J-tGCBUv$4Aaip+n7VE zf(NoBRDxmJF?xG_qz`}xGU@I_!~4YXoUZU2qRtR2V*3NH7?I!l+u2rnCrRm(HW!pa zji8uPmyA)t7;T~;LHmkLfW!s3T;n*t$JtcU5XI zmhH2d*{1UIb!B2du99Nd9>o95_%l|N7uafTX`?Mr@FA&>PsZ$BBR#De;nWy*Lhm0f zfxjjr%eO{@<-Q;NgMaTW|4S%5MWuOK&;h~$px!wRp?zfv&jm3+4^$&WF|SsGNbBg3 z27{RDu~?A`&sPM`3fRq{On^An&mi6I^$u_)+2cilKC{WQ#YZ!gIkGPrlm> zPEn8PW3Ta)YlY=6&8T~Lxjme8I%IA?`a4x&^LPaR68q-z|F$OTFBSLW% z^X!{#jgI&ZT@;`4!TCA9>H9>9VI4LH4`&P>fIv<_eIStW>!R@w0r2)6nBa{_0~nvv zHJm;1BPytqrJJhZrY+y6;ePY_ZSVix!GhZlXh7!!$Zwg;*kOXPR3EHdeSe89yL5K7K zCAQy~E{rABrSmnN*Ws{R8Ifa>NtUn#fl`5GD0*sFo8-2Q_b)oc|JQS!fS_f$PmkXJU$uucoKF* zz<9&q9Kh=@SwnG9HJNuRPF(ULG~~72xoOMy>6m}_ zKX~*hZwYr!7ix9Ppz5e}%#iA+bj%Tx_2fl|R%$iEwRFs&>c~0*_BkV!2XD63T2-;@u-a-=4KnhL=JG;gCLGK1Da<^e z%4DSt!|I!}OgI)w0>2`X813Gw>x5%LsZCAAwUlPKTaoosJrvz5qR&*D-U!k1xaiW> zuR}C9`*UaX=dO)Tou=+y2W`6jsqgs<+g6);`cKaqq;Bw=gg+U1{|+%xXj6n?Wy--0 z!K?(Hwg&vG(5A3Y+XJI$-|wn4snj5P1)tRV0a2a@F>@4J5(`pAEePe za!Joo#*#^!;^3N(0D=#puU1BqWbT6meWJ}+z_10)Gq~hr$1>@`NGqydTt%)>wdY#n z(MN52U*j82QHgqlzBz7sC?`6!ElWjCSvgLI(uv-{BVGR&8nW>o0YJxGlkEQSdCcx# z>+iu|ywhQ9AU3R~&!MT0F%p6wTHSk^-b*K}>AvD1qD%4ihO{H%P4799i%Qk1yc>uSCwG=t`OXzuUB%0sCv}VWS$a3 zm(b{7G5(S>oU0W>e?oADSuPsLE*7nS8~PJI87j*vsOidT#n7KP$F-zXNmRcz5?obG zG%uk)A&AkNqG*qsO%obd`jcp`B@>(CV^Sqo$4>f_aIV8rJ=|5-XKuYworir~f`GnV zGv6KS4#&|KhtBlP)JKl3EINz7<6F1eOZyp#0B?SvZSEW*kr+6VB8~DCZ-+JVmgP;1xC~t!4k)W zJ4%-AIaUQmOzvch8uTjW;Alh`Cn-LFpXF|kxK%G_C4=wsS&9!(Bt6e$m4@mUl8jW5 zJmLho<`MsEe{}HyToP5yodl#Pgl;~iR#OX11mGL|HP~Sfo$golVUW3y(yM*)0?KUY_@PxfjUmZ^u+WaIcn3P;;0 zQ}^lAS>?}@A@C}FYTT)Nq2^l7{n1`w_IgtL%XH$G_$q=>`P4+B=Jz0!cXHmAL4T%` zIRl-Hy%4uWCu7TxSl(+V_NFc0S0?%LKl$eG`iwE7gdmdxGx}{!Wt3zTwujCdZ;uJ9u&@*)op`PB zvcfwo;9HwfGG0`FoGdW4O%lW@**UZ+W~kOn)i!&$49aOhRyKz=9X;P5#47~3(}19~ z-L6^PA@AIVH_%9q8jzxN6CyUhsUOMDkAahKujrHo}sA)}OgheF>;159Ak1jV$2(l zS-B~N8O}FnKS^O$WnaNbR-Zq&!GG zmD*0v49ZIjy8Q@GP_b%%o+^+TMm0+Fiy@pd>(i_PnLX4x zECByC*cJM>|E$_w&yd=sB^p+1zPd~*ryYacSU zGWKt@Z`Wg=tLw;^o|54l9J_h#>iT1=P=E3r@B2^xzqUH$3e~E#!$or{R2Lkqh11I` zf<62zoLBfPc2I;j8x*f&X*za3a&LD^)mw?hRhQdOg z5X{Jf3riLPPtb$RNR=}{FCFLxEXP72^l8n6Jh(WlmIn!s0Q;~Z3rR9PxffgH-(Wq- z>vm|)bJWJi3ji0?X#7f}827xNM#ZZr|joc&8bbLMj+3q1nLP%)l@@ z*yf6wOjgX{5tUbpcF1s?ONa<};NzzqMp9C1&=ObS$&ob0d4Ik^`GDMx@MOKYU?g3@ zz+gS5FBv6F2-N#?JME8>XD%VG*B1iwEZ~qe^?yD-w_|@9av7VFeU1{MjIJn~AZ$)H z0vR^cYtxu)Y^EueH16wtik3L)VvUS^VS1zlPR)mK^ZT?}H7`8-!t>7yA4C}@_3U%+ zdH7}E@#X&|?t~)fV~ehko4h(xZRPbGSUtFhLlb-}Sa||lbUH9^w?nI|MkBFeP~^>H)O|RR5X_FZP$5m`eNi4M5bxoti<@z1-u9L(Joik&0?Z z2f#3%f(?OUudp$IqMUuaap>|&pI)V@!CPSOTBy{Dq`=k4q3go{QaZKDuseK4Tdv%cm?RdLd{sKwWcLr2luEr1W;jIJwT zWT>=OrLVoL4rKP=tXLW`DPVvFrejkoeLZMYDt$d@RT8d`K22eNJy<0wY4&VQgZ>qO z@b*Wq^>yKYRg$>sHat8`Mv1*|1us-$p}$R36vrZAr|43 zGD|0pg0qizGQ}|s6jS1=2s~~aN8x;TO&nE~xeyq^|5_|bP_F&kw9njq#eebrZ}{%f#_a-QM@J&O>I!`w z3N?ey_W)Hlf)Sno`Mn@K8b2kdcIc;9D~7ovpjtRdA;^ZIMliG~(CLRY?g>L)K$Hey z>@q=|f*TA{ZB`6jO1jTAyU-y>!m&m$R4HNXASCC-905FQ51q_ihKEb{c)sjWwWlh= zYA<~6RiD&F@c4U8WY(iLDC+;|Qm1&h2vr-PESH;{=gN-fUr@=n;lYjj1W1+#6F325rBM(BfFEooX-x)78a}xFy66<0B zFxGhS4gy_QC`v*;s7^CC?Q#_W%gc$)hPr?yB5Wfk>|Ld)%GsWftak;D(lFKfrsI`@FxjnB@KWq~!hO z$tn9I1gO#m02!awQiJn_)h_vLz;6KGNynGSAst_~)A`rwas7EbMf}0WWcD|{@i#vI zOOZtG$L9?84^YQB>;_zffE5z_c{muop?w8qNFC>blN${NfjoRpeZ|R`&cXfxKB>Oq zVE+JhoD1$ys#M$yaPOKLwy$v2qT^f=-ky$+K~0>htCsp1f%wa@JJDT8Y9gH=|HZ*U2}q4v|OkK_hy9E(ei(yTXWaq#Ar zGUL=(-CmlJVEWpg?zH9mJl#L~?ngiOmp`(!o2g6Idb$Smrl-3G+uGHh?tX)?l~w?S zM5Evi=dkj0H)y;P!L3ZvsC?(_=@I~`F7bM6gcPx&JSOHlAJ<@jqhAW*Amg|Q!R+ZC z)?l4fK{%ex#mJN5Zo8oGxgr#pCc9(B?CIhhF^mjBJvy0;?$ZTF{a4i%4<1$c#~POM zsK8QOxJS)}{*h0v*8Jb*YuWVGpxDaCSL*OxAxrk{Wknk4EBn8FM~J^sY6yC6*3y2q z3uD}ZGrQa~$Y8cl?+WcIt))vIHb^BiWWxQo`7%jT^qB5=aa^u=mms;?Fu1DMO!3;q z5l@;n#tCUlBbgrK3p~8!@xqdLNl$@+%h17o^l8r>ph)?-!)6A_VbiL)@&I7-jL#ib^XHBqo99kJ zQFhofJaoBNFcm$ z;SCU!R0XkuBg*^N-ozSX=5r7W3=@z;`S>&fdH>pdSPKX%9UsMrieV7~_5NZjiZ|2L zChDG%`}%8nOnM*RZirc-H?ozs!wo3!Z1b&e*(3#b?>@GQFu1q5>B8Ksnp5s?Z|KUISiE%H+CX8)YYvZSOby?@@!2QMXAar-wOH%9Vr2fGR15+V_ zmZJVZV$8!PBsN$!5hf1IAS(!Az~DhJ zfdqwMz=Op@iX4Qni9>$B_4wBMzN%AI``mL+-);)jb9UXm>Z__#(`1S&5EY-^GgfnU_~-6}7pLuZKCvTfHx04ux> zbZe}!g4NsNG@IWiTW4G@!2sH_9Vf2TzoaDC?dl+~A%~kxW`G~pNG9tHLyttStO=6H z7J!rlyRV6sA@UmG=y+p#lGXk3DQqAXusXE(*|T+KI)?rz)`W)WooBv7M~tYIf`vWU ze|y)SZ_yDgm-2M%0X)<eA)1EP7}vn$y+d!MTg)e^m@H zsC~%&F1oOr_P$|9s#^D|oJrklsJA9u$e~Z>R(fh0TAK+UC`I|ZLNwPPjv5LlW#2;# zn^>0tUuB&hb*$T_%B2K1pKxQHBzg_&$gVmNvQDy{_NSFd zo0XNgi(gB!s%nlyL2)aFjpn12bMH?1^pqn8G z`rwa$)BYg+!OWr){fT)_HHT9yY1B!)J@POe+jUas;7X%0b)&dD&PsS?~ zE7F#_UkA>t3xG^`5U-3e`IFtEy)ZhfdWM z(3D#cyVBlsYJmDWNs{W%*8~LuCe1_g0F11+CLMK`1nCgR!=Qm_yYDgJR>j}l@x`;xRPQH#PzmuRpOTy?`FT9lzKiNdQOx_- zO1R)fpdIkZNw;o!FZK6x~!w`QY}NovLf1>9=7aO|Q1}=BMu}7_(+>of zTA7=ymAQ7s-@MSFmj_*#-SUi=ge6&B+oe}A?vG23h zzR%sid>P9<{enbk*t+-f^Y45~=Yq?3-t=34^}^-bzwjNg-wXG#{q)=35j)E%-cd1M zVnB)~!`9yK{0p!9!S_y8o?1ds3WlP8E zL@QhB)6w<=^KP>(9T|746!4~85c86_jz$+jMr|SMJTqL3on=trjMa90(w2&GbL6#H zsNoO%SN^94v1dhfsiBI~uyFB7rH9Iqbb0RvvaK`fQ{xys*}gr6m+QdlVozVq_Owd( ziPkKd&)a0FEK8L|x$l*R&7!%ZmU`^_SKs>eX?P>p9Ff8F!D_mNZWs)I5L!r-?$`_j z`^qZlUC`U{idaMXyWTVs-omM-}$*lyb$6WBV z!O$`)6R=|1ytG)6ddvlZmXOp9g>oGj1)3G9$BH1x-z0@}JRlud37)5PR1sK%B1U)X zb|0^h*Vq$QnsHUT5jS1KqX zy8R^ej;`VC?ULld7Y<*{(L^y>^{ZUh?w66^8sn{Ez>$|BY|rlP>xSdnl)iOkISB ze_cU<@~$KZ5V(6aI2Cojmr9Vbr9VzVEU}52=<_v)J)7rhjwRZ^y=Z^l zEo!yzlFPlBT<%Z5@#{bJ15*~vN}OTA)~6n$NM*rF<`ovKwKv&KUeN!Ah?1 zfSM}>#NFQH5$EtKJXLtW5MT|YLoiz~BoZxC2vb*Eu!^=4P5f3qg=&3+xqZb+Q>XSP zwY7_Bs!W}3++aa-DQBKr^W+B9|AzS-`b4RIK%Od{prbR@pvLDZ<)zMGq^z`AG;&FJ!7G9%GUAKidesWj2Y0~(C@>{ejEOT=s2wZPJuiajPEte)7p+D)1jTcANtAqZ zjJ1@VTcnyKS+n4h9bho|B~RVPTnCq&w6-)&VzSByx3u!Np*r2hK+P}82)CDcq=%ctM<@`a}ypL_aUk(0D^WWoFg z@{!E3&dFLrYA(<>?-nhgrskY)I-InkByAqHE4kEUzz#Hh50vFF)**Ik<4YoCo{IUWa-M=NIl~7vP3`kh~;6 z>$0AT7gxgm$)~v(nbSP-;3sk5#1S;o6w!?To zG>Dk;O>^;|x z+Dkv->a=#039~o;`LF&%)3kOVQh@403+ezhha^3mA49YEh%V6Z0nNn{>q62%CFAut zXh_i3k^Smuu~G<9$G9M72AhThYn|%C5TuF;LBNcnw!~oZHie)hM(iBHatu{T{kA&v znnmSVFu^_AX(d!5S&`a+SW%KACWxm)XG>FIwt`{<{3H>*>XK-kL9IbP$ywU1#2=wO zQafiTnX4KRWViSqQ9pxIja4z-GOgW+7F%fT&Wp&jkvfuhqO)C#{Z6B``;>qFr$6bR zOd(8roj?YJY47}*4tJ=ZYg?%0S+OmhP}HF}Nj@V?!B%(BY4;fqst_ifc8?VS-l$fH zLstkBMy*R%6Y@X3Y4o>ap($Rg5#`*-XGYc zQh{3)!i2d3Y|;X-hAA@Iq!mkwpIZ*Dbr?8HbR@RdEl;pZrU8{}iv-e|e7O6PI}9?Z z&U2QARmHbTrJ0Y2RL(SPZj`^$VN}_hY>#(UYkzansI6KMY$`d7!hMkZr`E${7U@>O zr)J_)VK}=BwDtVuJt-sA8h)w5_m8OS zFVPGTt6Y#@;aBqA0&T6(TmS&BF5n&Rdw4DPO#Iq^@Y2_RUNZUMT8&y`^6c;5%F-y# zsD~v-v_eacXvMzrq>>AsP{1pKu+K1lm7th>7wka)BeoAFT?yr4MNtGUh=h*jiZ&85 zR4aEI`uc$E^LND}8wC5;EB^O+=7ahLA>4Zl1W<@diCWz4~ z^bJt5wq4njg}4|s`7XI*i#H`V$9;X0XBl0wb0DixdpBZuRq&czH4*>Br`GhwH}PqX zOf8KpksDgqVg47$%o5YwU`%7}hrxp;u#`WqY_LW~O23^?JY&0u0UGReSZX)srzdVc zgQO~G;uf{Y*HWx_GsTK;_&e|T`LBr#lEPe`L2}S*c57m{MpeAPjF={>v74;{dt9N1 z8_>g|rO-2z?QH zM<|QJlkswX2Sa-V`}`*#qX3S2{NN z;-)$h$t)!gA-&g#%+t5?Jp_BhC8LCWi0q10buJk->^-upYlTX+9xMtm$11ATa!Fm% znNWkK4}))8zHa_Uh0Z1M%%@S#tywuXDbX*iD@koA#HFzAJcayd3hV4yepE_t-tt3A z@8f>q2Y&Q3!X4F|fGa)E5I|3;^N2)`QNu+pA*T{%&o7EZPq#U>84p2yz-z~;Z>i=O zPH~l-(B7GHj;jrD6y|ThKCG2BagJ1aE;u@tNKhX?G=^)4JBoadu}H^?RiekIFS81X zg+fO^7}RK$=oz0%luL=nrxLNCsa(%ta}b9ez4}o5O`0j+odbpY#zlot2Wnyko^2-a|LCRFSOnz^X-C zgkTQu(G;?WZ%9E9z&C;^3_vEpOL=yj9GnYu)QR+qiAo+U*+%U-yvNhRash-LAE4_!vAj|hCPTjF z^pjaGNqlTP8pZN|p}~eeQF2VHT{#U1k11?!^)O{9Hvl#twlsh0++3yB+Yd`WY2S zz$KC4frn#|O^@{|p;Z?!_IM?EbX3J@(Qmq<^gLhSG1f9>Jg!Z#+nG-(hWvP{=U#qF zG3jUQDaF_Rl=9=)Q;P4aru8EJ3pcPJoIeFzu8kdGG(Z3y3rIx z221D|r9z8dWi1LF&Ot{M;YjGpxs|m*gED^xD{HGlkBK<~q~NV)24d!6BNAo z!607H9i1u^m!m_3@^Umj>G$hR3BoXfih}qNPYJ@b9ZUsEC){y1PdZ^8#}k#!vK<$U z@jQ$ON|zRf3SpQ}-k(2Ex?q{-6Z_)_%fFv65(helnMv3=>Q;Jlgi`*DbA+HLJ)K52 z=p9-m$_T`}SZpT^1jc7fiL^SuPn(q^bK{eS`V02UA+*>;m?Qa-c%76{lzqLhgu z$qIKRq2!&>T9q+k$*WtmMmQ&VqS7*68o0Ri(({-1-zL{1msT$6%I5MF&K*99T)^zR zLeJ5`>pQ()ER#VE$(0ix`f0hem8tNDRdUb%F|OLxm_2n@UfHYut`ORN$J_tlSG@U* z$yT7eY@CGbmBWM9+7`I)+t%wzt_;cUY(D39W8(zEMM$0uWBIGy_sT1WM`msmri3=< zn7wk;)w8`B-pLlz1RBBQ#=v{oq3BZty&o#hF?lg?#^J-M;MyRY5Ujj%{3sv;qz|>* zZF)YGm?Te-0RUk9x&Wm_1cjt9@x9*rO>#&J9V)jpu zvb(Bn+?H;uWRlTIU76(8=_6!N*>G`kk_~U19(5AK2ROUf6&bgvaAfgDH(gSC|OJZ_b< zrnV+-QHxhCB|SG&((_UGe)&f~&?b(ZrB_okVCh{QIi8Tw9PKFMIQz;fXI;>yHgmK* zh9xzEG+#w<2VxQ}u?76n2-14FAjU^xz$619^sclkM&cONy&&loK;^XU2Q$y zLq#q|yo(boXuBdckRQ4O$qEk5GFK*3>J{l-xFBFlw%;%~M>N+6ig)3XNF6a5g(8XL z3cM`!lBp@Y{N%iDz^9euY^$vXF^X!$N){}GA5>yn!EyR;g9cl^@&>}P|AYOrgXl4FG=IaCuy7_z7J= zJf_JG`+h8@sr~h}CS(sQPsoP9p51lKICE7B#=Q#p1J%q-wQ zrI8BX8;0a|`GgxP3B)evHTvOvGyY3&zrsHe%+$dWw*$Y_3fG7oYDf_QHX^COAZI8J z;zCP6K%PZ}0Er4}2?*Flhu2F0(>j>!LyZbczIMkCeed`FPw)DSDf@`26~w+!1hCom zNHr?^I5tu~+sDy~@|Ar&t(m%Hg1v>aw5ZB$I6@Vh0R-0nHgB5k2#^pVF^XMhbuZ&33~*bF65?TQt^hFUspA3174UqS)T zx2I|>Q$gJbk#R(VJ)2A0t4r0@NmXsqgP~bD&7y-V56S4!YQ)SUQe-eSBK5yZXZlm@ zdpjp9_h>AsJ^B-Bnn7%yw0B!-n#U~#GghTh9-#``>xWF-w^Yp70M@eaXyMG&#W8cp zac{i)eBLcuQdRQ_0#Ktfdob>w_?0ht_xD7qO5K_kxsAwlw>1uZ^MA~e+SU_M2m`}5 zuMlQ{4Kqikfdy|tOgw_zvZNN~43)){=tm%H%#9a(3Gf!&k+_K7U z_D}r+lV(xb^vKx%4#~v7QED9KUBc4G!I;`xey%Z{fg(%#Y2Na!A&^Gx-}8o_dG~ZU zum%Gy^X;h5*CvK=j2XAv7ShPz&6YI!9z}CimRNgiieR@zH5*whPtur>{NhZ)4ligd zz{ugi9zMIM9JE)nKCoIbhXW|#L!`os*{y8OZd^9Qntqb74gbMt{Ur-4UU`;*;w;{~O=LC;!g>#y9avPklJn7|4QweX&Xk3Fk%5 zg3+R;f;eQ2K!TC7U`U%){N4~~cgR_!9!CavAI`}_v0|>8s(CI)2F|zQHwQ^i^u|{dGeF&cXy1f&HIR}D}$o};h^_!ymJ?4o(l5LayKIPori6JGR z0Oy*A6^5ZSSFZZk3;9o^@fS(@75P4%hc2pVZ(<^~q)iQsn_m7^fn1p<14;j>|B@t|qg*oRTJ~!rR!EWzr(6=1;*3n;6C+M+e{<2(E@`BVlthVl zb@WN?8+!2;xc)^IK8|{K;eUA*^S>;?*X@mnHkC6GAGi445`5iE$;6ZY$H)HjUzsxM z!RrQ&pVk%;BXzS8ylx!rT$zn)8ui5Mwgv`Tn?VL&erR;_8n4?;8Flbz8m}A3JT(Hb zgIVz9hqh`B7)wwgwStvV4_-Gg{r1d%ZPYOnTTJy4AZc^mk`(u&k2?za?u>oO8-MUi@?4*G(5nmiaiyKeqLc1DR3enhs#}Xfl6Qq2%VEwfd;a*t97^DuT%S zZLFda%=qn!IWu~|#AQu7VwNe)WR-MeDDNwFXni)p9ZOIu!JHZ8U=kQ!q%lz^+U8nw zX7sS{$dU@d6-Wh}6`MlIoeo+>Qv;!nbnNr&ZkXDeEtItQISf<& z-DbD8oaC;TlcXiJq}~%?AH^g(_WB+A>9L%=JZv{8&IjOpI-FK&r6Mtylb8F|3Uugz zd;!ecjE4}cl9$Nx;n2+CNpC`xH%(cNC-&WTD^wjg*IwymmAnM;22ufBQHqhO6?5{k zL&^`9RFZ-{nq#q~{`)|Vy&I5ROAS`FWHqLzv@xK6qSxB5MdhAoJZ=zR>(LG5k6)-t zUSep4+Htg6^oA%^$x93o)GBdwU)hn%TK;%`^Eq| z^>y^@rR$fNr-n4%D7B+cx&Vx~(iEb<;0kp5iZT6cyY2}NE9x~gumFCsVpi0tqJ3@C z8A32C>N2SeBqt2ZDrH$I>Vpj`+hfL1%K@1c^{LD$+ra=eD^`j+=9EEK)*SAW3Q!fz z5Y(vOG$os=d*)ZP>mn%92z@%Qek1f&d~)UJf8(2>PmR7CKo^&a_E&L5`;s-hy{w_B zyahjeMexJ*um93deoF8pE6CP1TA!SE5Xf8)Nz3>ldYwVg$F2oTq-&A18b3U$`#*&r zMjyns>os*`{P48FyibXv5Tvw-WX2ECK1MEQ_+p?cZUr-bh`6njT1bol?*WY&KMW?r z?e;XO2y39V$)QO*Mh7bS=zonm{I67>v7u2=(=FiEVL?+)%Bya$GwxKC|^ zj6^-YW?_ClZP85Eu9@-Ok_QDMiec^f=#%aNji?w`HRN*&J5|!@kRNze=K};qjhQy* z;6Xt%?H@eqaZe#m;1YdtZvFkQ>~wkk2ub%JhDry5q=hN@2APtdlqMGpjFD#@WXRYC zbMn&n@fu`nF~zkw5wD07@o&HQx)XMW3hW4rp5n>KNNBn6+)UMUF`IcgM060Ht=Y zrqJ_bTzp(g-Uw6`&6>Od>dO6EdO%)ZfspP(7rgIC%XEq*$%201@) znlwkxcjvU?>4^qk^)5(4HvcgZ^)l;+^AvW2X3czmERo17azrF^C9VJ@ zrmEKehaG++eRXmC&A9^H>_ksn4I=sn=i99Hr||>9R}fKSu#UCzK(4 zGqg2JQ(TKoXlsgAG-ETC7iJWD;U<=EJ11xi{^_s&!r%UXJ~WI0YTov5b^MMMaQnBe zLHgUB)DK*v7EVAK`wD7N)Qt(E0)dbhCXrxtuh!Oupcn%a1P+K~5Czcz-3p4b@f8c# z%63a*0CXx=6l35k!s*w>J9Kj^G!}xQYD^FX1JE#3iL0$mA_+k;1}2EHVcMZ=K5cbq zalKvez+PvTH>@~FOrH?>UF(@Yq{uZja{fe5gkrZQKk|2~aT9yvwh0S%VG4P{H zIS27b+$Kd2{d#7q&U+8MzTnWe*Q+@O2~kJfh0>YnO}k+J5sBUo$<}7yBK^x8*!h zWz<_UJ=qu0q`Fxj+V>e2qr77mC%m0fG<56^i~y@E65Z%m1Qn!{fZz~$N2&gRcnM^{ zT9MQd<#-9UJHp+fQ2lk08Ky3|N3f_+JCf|ZqbJ3KvC{s!?7=Hgo#(ul0Aq>m(Z~Ga z@~L_WAYzX`M9L>n1)2p+am5h2rG`uglIWLn>yvNxL#ZNwaO;G-5pTWzsAkk-?@u#cX_JeF6p~Fcd=E30pxSjg>BQ98u(V8 z@^ai=bsCj}R>ty{al0`Uk*-}aFh$UNJg$(Ny*fR+Ijd(mdVin0fA)2MEXX|#1*gKs zjnOUGg2SG6Wy23fl3-t%_veBLa61*m#0EuZLy(V35d?dk#G2iDWASSU@|kc!>VGk5 z9eCo@UkX9q7Z=308b2c6%Y-BJP6+ajx!`fLB3!|_*+dz#;H06TJ{B)Ros}lvDBlzj ze(?Xo^C&X@RgrIG^aw*u7iw(a2-$}m7v@#3S~(6xi4;5f^W%T-+&x|7w;9MVMkyt2 zAf9b{n~^JCXY~sXxk~#=F1eP^Z+~y{5-Ol7?I8|S-oMr!n0i`qzQHpChh0A3M&0(u zq^`5}=}Gab{E?KXoX;ec7ZZz!D(Q29^YyK`h&$DLUysHK zO;HN|SMlmsl+ao9T2ZB)?!@v#QDyggKl)q$WF&O7M8_dUE7*ZLkzvX}spCg~ABItAB zKpooS=Msd$&#{czMgu@y4_Om=#h7gj4_5@%4u+pc9xp$3skQ)yXAo?Xq|fA%-59m8 zM3pW%%57ZL!jF?1=i6f}(Qy3FCpRwYj2~3>tt}31sz61-ss79WpZ$xw(HZ5_|O~_2w-ogcx+~Pdt&_|RCe@n~bOWWRo(rO~U416d9>c-QK9iQXyWnB9(eyV;?T)Qr z<=1UEEf`){2e3euU>rL8br^iCELngTdcJ4&>zGd$PZ1Ym*`-*K`q$49#daKRyng~H zL~}9o9YDUI^5UviF%8uG>$%z|>xZ&bqaJQbCYI%jqh26P%_#+iuo7^SvRl;z<@CF9 z6o~{x6+{YRmfg5Ih@{)K&&Lxg-+VlY$0CStY5H2~V&uxtapRaU$zCko$_m5~PcEPT zfa4cItkhE^)<6&u-=e3t)S=&A9l9x)31iS|>xI4QX4tE~=`(-n{ofoBha$+mJeDSE z5S6Y0t5+y-oyR&N2`;AbbVP$^BS?K^2sO%`khRx57RFRIg0y%=5LEZDPf(1fJ!mm& zAe|b`1yLq|_<@zu>m{?>Oqz1n0{g7Hh=6S5$T61G?W?1f3T~3w9vb-EZe&9^) zFC-C%AN{}+m7^;iSG{JwJ;=wfVjXK@QcLgX`Tig$NbCxds2+qzlGdDb3)Bhlq=9G9 zEIhev!W7zDjV3&Mmi>hIHU}tTty|Ntiy$Pi4;cv3Ga7=A!tlqZcIEhH=o6)r!vH*& z?5_X}nheI)*leSS0*X@c~71$hMsDj-A zWajmXSydy|$nqu#?vI>$Rh?s{s*gL80z(i?>aA+as=8yh4$l!~Xsc`G@`nZ!tAe|1>=7OHqEcy5}DALCp9OL zN>Vd2Xe`u>#BHHwt{Iuk(*r#3N4U@t!w+@^nd&XKNxyHBnM@*ljp? z3K^x%+FBJO|C3Wss18KYDFRF6!daqTRSMDE`Jrt#B`SrG{?dM>STU!b5ZocC!=W*i z##%I8aMFqu!=X~XitkLt*yfa43Zo2DFW`p_&C)Z_LUV46~WR4-mSnXBdE8`saio$ za3S4dZyNcau_8z;4IVFof(x18==dpdlwA<%bU4ab5diQ&55HB3n%$0b6kNyz4;=S(18vKhHIax};MA4F;=b~n-cl7H1V2UyV8A|TZ%2?lV$rAe&0cy5n%$~%gjbwF)yikGZfqKnZx?LlO zeoBCPU%&Hi8tY8V@q!BEM1cKEzu`r{7_Lu}?;gwzT2$KR50=w>(0Sn$Iuavh(Zk}q z_$Tf%Uc0n(B;H_0BIahK_aB1?(SwmHOWRF!L@M5k;3@*Nh6n z?FMZu^#w_Fn@f&{kkZajB(IJk;W_0S)Zx*P8`R02YL1@o(0;d84-ZA`><6vxkR+M? z=%^d-B<+Y!AM*w6EBbBu9^nDjh8(od^nQ8i2lX}KOQX2~4-%SDjS4B&lzvc`1n!J# z6N$(rWEN|as{hb5I=sFTw8S-N8`y|90AKj#s;U3x9N>RAlAU*Td6 zeKNPpBk%Sv9D}wK8l#FKsxr`-3--Ib10S}OZqy0LCBI15Bli!X z=mAe)-k%Rv-k;l%u0(Sue3f+kbGt!tzPXT;-Jn%B>G!>sD|Pf}u^(?uF@7h`^Ejg06&)#1j{Sp-dsTLIc2~p338HOV1%)_@vUMt`Qxi%Ble^Rl8kXZKB_2^(t#|(fpr^-Bx*<94GRcwpWQPh485D3d!RhXf~4pCm#r7eq3UF$*JUG+9XH&?n)Xcv+$ls6VSVYO4YuK;=v&F1_LyC$KzRt#O#6z_uZsYwU6E$m z1xZ;d*zO(_> zVjkf#X3rhbGg_*WwGvMxSsNGt^oWJ45ASEfp2|~HLXBR<`MItC4J1u_UWF zx#bPP^;zawe7IyDB`|UG2!xl}7=JqNVhg`!2{rIr!jzwHJZ-%R&D@JE7UOw)#&g~+ zYU8uTc;1Zh{P2(Zh7bRd=qQVbE2D-8@yKMu7jOd$m9eWF<09vREVSf=4;WOeHc?mf zbhK^39u-IzjNi?Q5#u6Gg$aZ4#G!XWcv!)E5#s{lSZ_cvPE&`_R5Rgm=!kKlVpc~q z9dJdZud7y!7?&3V$_{~@SF0XY8Zj+d#a{ZpWchj7uCw=3SyK8^co6!Y4^8 zK>vXX^)4*^zJ?0{R_2?7ACfUU3v&*}7@yV*1Oi9bid6|hED@h11A>56JMgZ^n)@Dg zQ3dH*8wml>jkzLe&3uE3FVdkmy}tHqxfp8dqwh?&0|3?SnypU%?V+Ob)<4lDhdmDY zZjkqO$g7Ee<1xmw%T1)Mk#qJv_9j}fNL&K5}M&Vp$r5s-Wj`FY&;AW;!O-1EH)d(zMKE*?E{`5iz1O&|F$ zra~fMcuazUnF!H_>R@-jRIA$-J7xj1F?QOn?ad9r91u7G)tiDcEAUaxcW&ZI_j+6lJPSm+FcZDH znTeL@Zi(*hKljW9!~XTf@#`a z=k8y=jFq2$L83Hl-FrnwPW_jk^tb<$9}GW0dqzBiX&ofNdq35cSBhZ}@ElPRB1Lvi zX-z}pD+cd9+7#efRD$#aTu`TQz_UPI536P>LHYqM$TDY%?oe#p>wl*o;DUwsK4xp$ zS4hXw4{*WYy&vctq#sZO$NK!l4{*tmMnA-CjP6`Ah`d4Ms(DHKilr#@16zo3D-xOdrPF-ZVN*mV#RbS=Q1f;228POUJ@WjkD4Vggej=dTQNuW=&pyeK`at=QCf%^@okRm(K(~DtvR2hJ#-z}gA|jM zM*D4NP;so-MD}1#R!L&P_0`moeFv08VrvlZwD^NqbpOrw0MQN7K=)F+X1+UM=3&p4 z#Or+wAm1KNO|d+$4=eL4y0({~cDf1jY4($S&jEe_13i3IeG7~0ZA1BU^dR2RV_U|$ zlUo1*BLZzPxgcXT5`Jc4bY8~6U@6si;pn>7M(**@KsOz`1gEFqq?? zg4U>SoS1eO!Ti*;yFw?r2Ulkk%%@NR>P5zFA^)`9%GCK1?jriFqJES$OKS5tIqhyu zX95>9Iqi;Zz%Z$k)9yft3VRM+c*1ni=3=U-9|=;XQ6R}oY%5ts{l_&)xtTZX*fCw9 z9aYqSSYtY>ta;dg89Yl~+ny)0ZAnre?^T9--CWeKM*i?(DRx%VKAa}KmJwf~{$hk- zv>(H|<#};p&rHui3TQWGA_c99VOz(Wzi<5!Ul;08ZB;*n+K^5dIZxz9=Y>#Z(!0Cf z%D`Y-PcSfo>cNVKjw24MoM#n6>EyuSi80Bc0+qv&(=%DqO2tyIScOnqRF+claL8jD z##ABH793?Fxb7Ff)*)1<)X1n8H6oh0>N~^E z4&HhY&$Nyv-+oV?6h{;3r5=HMPFP{?mHLlES)=T_g5BNR!ULJOvSU`euHhB37N!x* z2`d2R<`f<>FPj@QjdZCA2L0-Egp;+Wo0*nFzdFVv zC-d5!*Wiw6#=r$)e>U7#m8%ouj(hWsF@CwKT$Opg`Go81$H-p1;OY1nA6yX(=)~U` zn;_fO50-yFxKjyXB@t5I#J8AD49-VrHB8*Zw_A*e_02851(iJ5Emr)tznPc9Smm@? zS^hHEw-mTUVwUk-sh}x>6BB@oTv@PRZlg6im#nhU|JTZ$J7r%###OsYfsXIW!ks(M zJ^ij+r1SK<+9I8DI!yr&_w&PHk#@K8RaN%G6O}x5tor=r^DjMrdH-#4MRI8@Mv_aq zGNZjh(Gh+Fxq#Vsg|60|yD}_SPI&02-q#*L3y^8J%VgMhbxHQ+CqpHrr zt+%AoS5=4hkW-xSPm*thuVm|5POiVm?O&87xZXhQ=j_jhPtoHSlv)lG*8Q>()#>w|Ak+8Q{bZ7+~R2?-2(SY ziIhQerm?qug^+|w%LOstjLcYUGTM^-8ct9MQfav$CPlNpLvlAZTrLEuv|JFx)6Ct# znL|fJyCRjA3!?hP2z0>O)(X-cbwP00*dU_Q&O+)qG?i8nTpbiZ#lUE)!RlhDv|Ny( zlqQ4F+0qJ1WEqkjunVS*P}QOUK^$A2OP4HsK&X-BEZ6Gb^2oX*Mvb!q25atxF|L-( zcL&r#dO=4*sMVU2QJ`wKC@U?|xqeY$2~kg~U$zA!5y8*aho)Q~xRz}og@^>tjss=o z%bFgiRkFSfW!tv%%Rsmk$w+NP(mm)h107C80tn#G>%W)TMQMM8Qq_!F>7Y*s)Ql*6x<#+wjf8$3}?Y%IUx)10a%^ixmfyUsrl-4@?%G9X~0(jI}okz6o zX^&Y53KC?T(;XV6p?AUj4+X5wVh9QlWP+HJNfiKfy53k4B?NhI{Lq+DXIc0i#(Iit z7lHz1+KQ!T8HMnj7T|@TK$(8%4aV8g2R!XNY9Al~AxL%Vhemcu4}IG0tfVgl6{xc% zk>}B>It(7QX6+;?K%Ge1LS zXRFh24;@x3t|Sum@wraYtIoQ*;!d^YK9ppmann%?PTPam3b=N*N{yrO0{XRgXm!we zcZ)uKiapw|ygs$JWR%%J?XDdsZc*#vFN`TS z!k zJWCKTFkxEkx(%%!9Wb3!l%w;d#je|mH!)sXEJg__!t7mb0>j3XeYuKP>gC|l9-ZJT~%KS{wkBPgN!Uy_vDSWuy!LD=B7}E!5s%oM!-~GGZ`vdWVlleH^5R{ zp)n}UwWxp;j5W@Y(HJ%hn;_zcMlho>dlbkax&j`u1R?bjGf5Ho?ESvjr*;jz};_=OVJK8c*c$RS4He41i)@Y{jd`ma~l`Rex* zXp9QIQf)hO^ahRbvNQX;L=UeKG-in&Zm)mWbkLb5X^9?gCVKddFZtb{_>WWcAOKQ} z3dZ<9Si}~?%eWI9ZmlUl9?{U!0BIQ&jPZXvp9dQ7SVje7{2#C{W#$UOj0z_B5begz zp))EN_)-n*bq)F^4U+ zmt=sCEW)WGi5$j&OLwcOVvyJOf)iFa_(^u4ax}?xZ_rDXRKCdsuxKk!TIfcT1Qyle z8i#)yU86fe&Dn=YSI9qH4x=}D!GT442fa#0k1?G0^@ttQZ;(JAffoQqBjAme%BJtQZ;(M!O72MC*w2%pqc$+19;tO`JRG`AQ$&ik{dp2_gC$oR9oyWkz{1*GcG7r|EaMc>XQNG!aXG1s zhSwuSJZLZ6t^i%tHzxr%knF_&W@Dv~_DE}1w+E!x$U39K0o*ga^UU`r#wj8r&^vm* zL70Axq&Pq;aK5k^sd|XSZL*VjqKs2KAU)nb98yy5k}*yZm)<*ieUHjGMLa0Y(WTDL z*NkzB2tL{eNs3flGR7%lZb@&=`~t-|#WjAg>gW&Uud4w%2RPF`cCU?zihTzkMLjk1 zx76t*2fxP<({y;S?5LUoh8B=2B9QF>_O&An3krAJDBM+SvpLGYQ6X(bi(LuE>kdKW=8=VCREiN^jbQiyNC-LmJ1Av(g~{OpK+yAg z@L77r)8V6Wx+Z40$i)!hYYrVg8qRU+C3hqSY6QdnLl7od=o;X6(T}XII{9cgNwkJ& zMM8&K?^ZG=*N$s+Lu+5_8)UaPpF4bNe&ooj-KyMcSPB=NDe>&0>7xbWE#%r zsY-4$uQ};cs231L(Yn2UWK{V#75mOvN3P|HOq|EX{&(TVe@wXX?V&!`2bhZp&Rc#c zKK}cE?Bl-te-A`Z+iN@n;_YN0wqf%Z>38}>G~#dBL=6ma(q0b|h%1QZ4Lddc4n`_+ zPCQt3R^z>K9HRtH$(U|~0%=B7u_AS^pCiV&>u^QTG4RlpAa$<`LWh&h3oEWL1G*8U z?sY-5)L3ua2?fQCAa$<`qQ65UKLCO(EvODHy4NKE7=rl%UL+*Rm60STby~s8$_LeI z5gqXh)ehF^@nCLAfv%M5l69F!3-kr*$P-V+S+2eOFSHn7{@ixXW{oNe5F8-qHCo;q zJo{Cjc&{Bqo~1|xG$WmuNU6dm?fi~bHrY;b2HbfxcbD!C#Q*2f=-_-&g&6x|CK8N% zggq18C4!*D>FCen6PCaPO5<%0B^uN5>!L%!!yX7D#ymgHvGpF6j+?jqkdFJkzyFzk z_5H7(!T=9I;tbmB0X^Zt5V4cttiS*V5WDa%i3N(Q14RShtOiBi^5*a_3B+x5ay&cA zT9haJO9ZZ)4RaJ&5&Xw3bQAt1noa@K!3TyQ2FTXP&3rwhN+FYqI5SPrK>XnU#p`?? z)c@cW?sPa^RBd2*fbeR>izfOzYnCSA07WsbiNrpJVrl!-B!QWaCkRDSEZk0Svh=kj z#UO29d4E0!`FzFp#qn{zl_rIvJV&vK-HX)({0Y4xMWcpyKx?DLj<12iDflI!1JBnh zw%)mVWx-Dt{N#7WT%nKn?%)379|#|QxCf%7g-!#+!PHUSED;RDdoTk_EN@>S8Akh7 z1j`hl0X|@AEf*|PfQI;hY2REhrT~G@67~%sMjV=Y%mw+iVi>Tj8fSzcefT1X-g8aC zgVaNTmJp;aD}vh_(8At@3A;Yz z(0}FM`j+4S4+1dO$e;x+kgh$SGuXx}duUXH7SKA{^^FL?Y9~Wb+%$th(1d{yf_TXQ z6>tSw=qPVAIv}P{^%N_L<7O*jRJHQM@3$B*S^)=IwrRVOUQ9uY!>Y3y)L5|sEfgka zg#zbgXD9-V*YxXr$kdxiI}Mukfn_DUEoMy zWYm~xe+NiSLL`CIq;JV6SZ&VGyVTYGzD(%dYpZp8lO~oQQtMAWwf>w>n{2Wx)v5c9 zjlDGVyQ$i z4bpqy(XdXpqqPyoIr8@VihID{=Et6(-|H1~9uPPVic;yGBKg^#Bh|XEc*HOZ!OKr* z1Z-CnweFIn8WMGht_5<$rK_2(1RIZZUV(jRva-8lNc>xCJliscHrXOzR0JOwuUoU| z;L1ZXy8dvrspHT7cfp~b0;F?uIP_g2&A?HwSy6K~%g}-d-8LdLb&FanuvFCCOhwI) zeE+ZgTQ9Vh)B_|n0DwN!UuH4$4jdKq!R@Qcl0vXsF{{frb!C%HLNF{TJaO>)Te21~ zO0!~EQhGfy+3Mh^QqU>hZYJO5RSQ2G0i5?uD5n8Q&)JvNX3z8aGE8lGcq# zqe(sSRV}rzcacNCR+`sdf(ie=7d|Y;_qL7iQqCI0Uw6P6pIG86D)>39Vdo5d{Fi_8 zd;Zqzqvc3pPH(a_&=aK{Ai1SYolM7!&2i)#T~w#wWr}AZVXz(s^cbLLVo_yv?*Z&=vD4Va%`QJa zm`^h9w%h*>nPmUY{|?E-zfmf6j=NFyzj#7&=IX-8a!}ZSVqxu?jr%0EMYeen!+S7L zPl1UfnevJkr#OO}>*&a`jJpu!J-vP@K3-97gLbE?{fPZn)w~!8Fq~NvYujxA$#OgG z54hXpu=gRo9e)xr#L>_ zuc|uAsd+m%-^^0IMGsX~ZiKNn)%DAET>ow*LvqUXV;s+}x_$^$`^$FPU*-#?^FLke zsyfP@5ZA^1lkM1Fy3=A)A1|+Wx~sisWfR}-Hfg}m_F{*!N}u<$!?(DVKJTZ$iR&m! z?Wj4+W`*pRVJ>A5jQ1zurvScRbb1kVrqe6rX0J}PX3pwaj;s?u^y{Daf3G85t4eXi zAp#&+sYva?HeJexaV>?KplM@8c+#a!eM$$yYITVAjnZu#A|2Este@7lV+Vs>OhzPV zX;2w%O*SEzYzXQv_m+x8uMN(zvLV?a0-Vno0WdZzA_KKxc8E@(^--&|VxvzjSUW_> zcBriZs?)PdeBAw)?AAwS$i>M5aZ&wCW-E_rxk!|X@Stjcn^bCs=D zv01cO>TI3Y*c<*&d~$!u|He1*$-ncz@y*aDN~P*`127PZ3y`rw)aAk04#io|X}L3Fc`C!1%eQDab>}Dlwa@%-rfb&|Kuh9LsGEQ) zX-RC0QwUIILL?V-Vm1D#y0ZwPhsNO4u199T-`fRkb`=0xG+VZ~YesU*@Bo0M`&N*; z(^ov=Bo%kvdN+-IHIMsCUQyK+w^F;#*Iy6!s76egMj3!am)iBpnUv_P)}z^(u3c*} zn#IL>)00c>x(p61W~MMn75(p!O#Bv6|f}cEZf2X>leU=pufc!a&+ajTD9znpU|aCZt&^1 zKXb9NIWz^v85l$(1Bh>UT#YuTOx&Wy+|9p5=i~x&<^<0FK5a<{o7tm6r>4W3g#nn6p}u;b%BF ztqOBSqf>#x{OAu8o?dO0#n9>3F{INiBmb)1bsVfDYIGNC9Iy=?bR4ELKB>S^`K&H~ zgJY3BW*sop3mV3pPJ;gcmsL3o*-o~ZZ*tn?`&vvjIt0>-4)_bdZlbUQviJ~lJf|v1 z7DGPYN}Sx@dp2gk+@Cdf&J@yO$X|Z`oiFKqxWyu$KlO3{>_dNYs^|JxYIit^w23mS zxK&eCz}R7kTEQH*TJnoUK6|60izqY4t!R3=dXilgx318uN3)BZRxj_CB7CkSCqv<|o)49O zie-96INND{4ucz?Zc3(Jv7dG-Ao0?y@<^IhQraoKz_7d0%JP~?JALLie%ufLRH!%Y z>GK8x>+pM`Lj#_~DHtVV?9}#^H4wNU`r#O-fbDIw>68c|1jV~4{Bh(pg6d#xzP{%n zNcH9`?ok;g3SqwklbXaSAxQOB1i_nWf?Mk}2tleh7et|>hn)(GZpg=WZQaV{diOQEvMBNQn(vz#`~Q{3isDO=4;;f8L22~aP(J)_9USH z8+82QZI%}kT~laJ@xc(b^#r^UrG_}7z}bpMi=a4gx`hn<4pcBiIWVlC6%+@~1Y@Gt zW@S1d4lNFxuegPJp*}i7DWU=w$|D5Dfipo2>avF4{n{8ZLr@$zKlFyJ!_>myy3=xk z5EKW_1yS52hd!ZPyFIiza3*;`aj^J=Q0&%q6-#RAu}Pj#-6cN|DrS3^qeV$3iJu(k z7=FqlQaGwL)0$$P{pjHD)Sn0@OEs}ePU=@xtCmU84J#|4E>(4S&M>j0s~^MeE6@H8 zz&0&$o$x*+6r;ze*>dUtnK&my(boDRxqnvcv3g`tb;Z)(b6^)DDYsr8!J+~84e-D_MU8tmQ%*66-c7TOSpZ1g_eB&bvjeiCOBcZl>Pq=l;we{=tulut$>!Zl-mM{W05(ggpp)$fO24WM3Ju6#U3rd{v|t|m!9V|X1-wmvk? zbP)t+g`&*cE$5w87eh1cf*3Tw#uC`S{f3xQB}g;vg20|QlYoYjO@+0fm}!?p@*DAn z81$X-``K6Caakn0Es!x?lhk?D!BYa7b5RZqkPz>kKNqD~Shbl=eYD!+vxAvzpZQsr z8J-0eg=V=aiWO2T+0vG^3|R_$bsP9G^V`BI;3)aatQ#2!?uu3M%75 zcSjo_5`v)~(c{%|+%Z?wR<){-C)6Wg?d_U#4?teC#blJ`978=qutU$j3ib$`w%l_1P*s z%Exs`KE+t~F859gde3R{(k>4U&t5w@8SxeuOr^N4T>URCTY9!Hkma>`v50#Q;&bMf#v1V#SQ~6O^>_4cE3cID0j{O`B(jy2CMi> zgCn;v0{`g-Uz#y)gO+MQr~@+I$fq^ojqJs*$Pm!0U-h;_pxps#ywF3Td!3n$=&eVu zedQWVj8VRDZ5JRBepWAW$yTipUb1R_de^*^U1nT{{ zo%R=Z#Su)9KT_UiNx z=d7OP=tI8mz3=&(Zfk;gzTOMkOOl& z_=x$62l#QK>!Ab?_81T>gTumvZ^GfqS=|~1t?M(AO!Bb>dFoXZ;$ZQsD-Ub69sz(pMC-tZQo=o;* zO-e5OPZ3EppZKyNuo&}^7XByteA%gK_>Y7SDs241|J3-Xx4{1-UeF#+kMcj+COoKQ zt>HnzdjwgOyG-g##-_NQ?tR^TP0>NU{+ZwRA5M-dBOrz-7x4(@o-4d>$`md|GArBR=&XK-$S#fMqTK11d zXNx6+!j;{TYDbH~^iU`Gv4K@+rR?b-1+Y*bagy2S!}sSrWEv^dqt;~#lb)2qRUXtw z{$Imx3+xfr>$avCMEmC$y)g&6=D9<0@`C)_EZRHhRWh+3CC2bBjxkv0Kzbpjp5TWP z7&eTQz=aWcViPHf2o|e=?bXQP^vxgi8E^kbA5xMETH4(f)5o~7%@!@V1OUATTos-d ziv!>$vd>}cAaH901!1uI^O)McU6bRYT2Y{wQg!cB+u7?zy)8iOl@EFYvif${9?+~cJgerodHyMF1Sa*m?)?H7RY0BF{1E_B$^V$E9Y#l zc1clqE*W#%F|J23SNn<>HZ8DA#@zNDO1Rpi(;T@ZG$++9qKEF(yN#Mm^V@@vK=LVj zSpD{f3oh1W*w4bb-C#bh|-pB{7%^t%V$ zc+$FOI6TO1gKsnq0l>;r;#p!^A-LkcCj<|mJyc^reh4zps!1jNY=#_JBU?d^GOH{f zP@b*=83VQh{cRkdWP23@m*RRsrz+c_={G_onDz(eUDX6bpn@!AJMB-;CT$A9UA$!c zT2eMa6q2*k1poHu|E|L2#lx;Q=h_%sEpWk#B`Xleh9_{TFT1_^vU#_tP5v+SWj9k_ z_UC@<2mkaRnJNR1zG&?RsbZ2G+#xSd3SUH6Ymk042wN50m3HS1201r^Ik-dZl(svg zXuCH#ItO}GVnfbZBE!lGOb6C&#G)JbE?)OE*n-l1pbi7O7 z;FOy|yBcOzUE$&cNc5J%wQCOXGZbPmrHQ}d>35|eHBY}Q&99j=q~^vcM&{k3#gxux zfjNgO>~H*$KlqhD7Ny$S`Q@;I^??BdkQPk9PneGl!v}ZTTN@20wI0Yl$lwL6JRVtV zSPP0N^|nf6s1TR3=T+vyRx64rHNoyc`~f&=hd#`9MKPr=*mW$lqVya$YgRYtxX~Hw$KBR|5dW2~g!KeDj@QA1^?g0p!I%LB(Biq8omjp#jw}%R>@WD` z_kYh6xeAgn>~A5=%Q9uYy$)qGc<>ktON9q(V=Gtmfu^xy#)FO1pEa}F-k}8$atKqZ zc%Wgxd0_Miv0_FWQ8Wq$6sTeF0QAt?3^tNU1{xEBYXox5Rr9g1_3?LvuBF0*NBCMM zm7A)Hq2_=6#_CjcW0<%x^oD#=(ILV{`G(BOA$Peqo|UXG`MFtCHs{F1ex}4cD(YjC zOOyn)GY-byMwDdF`-GP1HMGuEV)K?CQexlxIe+hU-x%JhF67>+zoqDu(^*a6O6svM z<+Mp8wGMl$Ahb1*ipfe0I8R}SGDIi@uTo;x2NHr=iNT#hxj)k0DAw=sIb|ib@3uMw z2?;nOsErjXB?jseDPG(ce>ViP62n*}bOnf7^x-KXSSc~c*<@{G-qh<$lJX$BPDV?D zrhtZ6r*cvYRQZ?_sz)~`I<1-S4v1E?PY1WLfAoBNju`GD`WvY#;}7;VCzUN#k}AO& zfK*TA>7Ln*w14r2n4v;~(1-C!cI)*4unRyJbdp@z!~Ut?ZxHJZeHzxj8KaUBQOP3| zmomGMd@^&Qrb#7{_!ZVNit(i{rQRX?Ts;?5GBtUBK00}Sd6Wt$^w2IS=w+%wi9nUA zW`c=9jlw>YAS?NK!iALH3eEj6Go-Bp`oK9)A@hARC zAoAL+hKlh#FgvoYUJx%XiyX$ouVrXhtV76k8fsWSN2M9EYZ&E`mT2)Z5$u#cu?u zs9kWsIrk!RUg^JZtMIt%ry+flwHx-9hcP*2vN2IemLKV8>--K0sq z6KhcaUZqL%%0n{oZ|*5Mj~ zy*<+Bs@f$9e|t&zdAF#IE0!eu%_QMJ?_d9vpZ@e4efB!{qjI@B&Xj@{?G17z*m*u9uxbgfkY7dx`WV$xWe zM8Eef+gulN)2|E$vW6d_lmpy*upcj<%M0^!81MMxtCo_yd$}mjPsee5oX$0od{lwb zrL~)Kae$Yo2`{wZkELGFKL?s4x~d>5Kn|vPc+wMoffTDwy*X}=R)=YaV-0G0#4il_ zKxH&~@U>zyNv3BUopvlJg%@~2-ES}LlpgYnBX~&!fWRc79@(lyjDGFKv&56x%i&3x zqDz8|RntyLKlPG$lE`pLRN@#R?Gej2zmhN+xFi#d(%Xs#iC!8{m<)=f(|=%`0ofa? z*H*j{mpp2l2Rqo?H7B78dBi{skY5~Zwl5B-1uXG}FRivUKi{@YX%i)(!Gnzd;%%|2 zQ!H>(FAkRS7l$9?b@bEm7YC;^{^E>v>Pbu;00t{RM=M3O9y9H*uo>h^+l9^G=GhEP z6D({7Uvw{5E(hxYla>G5@A~Pl{gx@5Kblu|0Fp%OI_%pfD@PIn8Au*Y2xOceiAB;L zNLJIBq2kaP=O4`+(9qg$Oog#AL(-qU%t6NaN8$U7^N*wVQqyke{b^689++-Sp|ELr zaW!@G%4wq|FoTi;&d$mRR5<@=Mj+pw@r*!qe;y(upq`w;QBE1;R#v}s`#Mr!5`JTH zNr$olYX8nfrF5@HmlZ$&Q7Tu3b3JS%DkA`zCy-tWDKbdk^ve@*IVY$2&>ZSQ;~OW; zEW}!1GcpNMSTb+<7CWJ?{;@Cl zil?3ns9)_{8}}nA$$TfO@%DRb3v@%My4tqVObhUwwP8exi$La%218j9gfgukU&Z}s zb(1Q$4u$QlbDp9iju>OY5v6M=sc(bt6cou&QGv!Wpu=d>;$l)vgt;3>MiB7!!T#Q^ zm_ni_5W};*l7efaY>O4EioyMgjhYOz4l5*5>rkVr7(}#xP?}t%5$YdkGSpzapem*h z%g1-;khbb-;7F4Xj4(VVv46Ne-B%Z?Z5K+_x`1ngz7% zr^BtmKO|SA7eL7Xd?M{Uu&)Ti=DUNNh{9A#qCG;PU6-7+4m2+U%da){pT<5$rr#(P;@2I{_%9xwDRG7pXHHsUyj`l%lRCe@Cw-|wo(_K zeCPb4^;fS*gPv)gIQc<3g2n7Vng+cu|JT0j$xjcnuW`GZeT(9eJoJKPq}dVkqL19Z zf@R6zTfmNMR3eK348e@OVI&KSyx4WIHcJ_T8G4H$xm$4eH!Egn6dmq1Bo{4ZYI|eF z42?$D1sHQQfDge8jYiW2N`~7NGc=065gU>V9_98N#q8SwSoNos-}nIEgARfpd(!Y0 zV_1C2R`|S|3dUcD)WHPB{LoZ5OM-)CeVBu;6kS@bwQg_A6(2MNy3U#t?f3K?UWQl z5R}PYslrW70+Gq2in%>KPWk8HnojM9BAsw6SU~D9F=>y0u*0VEe@?5PVcEx) zLE<^FjjGyyk%R^z1Gr++)Pta+?&vThD-!Kr#xjN~;sH<`g0q$8==V7?=h%QosV)>8 zH$cIWtU!9jq4uS=)7yGx=;g<|Ve$>%{>Go1=3Uxu={U~dT>@Gmx)<#R)?Oa<1a%cf z;$3RX3B(n(euL3A3voqVaIDRuuA&6=QX?iFm;RTQhE+8ppM*|f;`B`e;g!wKg{gzSX>Ykx|~=J?TV z(lL3L&_^MPH?3LS9%WAp!t}Z^$YF_kftB7mGZd=zvw)9L;dd@@G#&fZ8iL&!#H#$4UqV!YfAoR@Py0cS# z;a!@Q^PoTftxtaN=cU=_8W^+~!z(y=WOSa0=nX>z*pR2%bzp*9#uyH3(Ef=cTy3e? zuf!O@c1vzr=xanD&{>tBD0VwE>XVhk7GXD-nnY#kS4@%@a3T{(8lTx6hvRxD{701fNhgFQQsct{!!3 z4FZfU^L4<>Ku?GFABw`hvUH{k1_ax!QT$p9(y=Onhb=2}fz@u{UN(aK$%`P=gBAs2 zP&vH1>d;h=E(l)=j3adaRzOG^L8?a=JaCq>Tnv5cMlh zme;(tdKA^=qnUj$v#ZCXI~^vdMqox~Bfb{lIok53Wc1XJao};-cu|j!gL<@QIdI-V z_wL&2ao+MnXz*`6@ueU2d{ldApyt&c9#0-RG_DCOus!u+RLM|zY(CZsk>k$MR)`#S zcB>sHv$Jub-wKhV&e2wg3Kke^g~+hL0h(Ld$d6#qQ+(Ou*qT(~fbKRuM@0RK@rIy! zkM{du9u$8_Xk1{Y=4a|x^fSeK^k4GCu|(AN-Nx!_ea%UwM@>`IQc`BoRYnQbBd>O{ zBTta|U(|*y?G;OVg{aq3?l@d4`8&SO(iF;sQEIKm(q3^h?G=COb3WxKo({{aNi(;+ zoM%g zg{pm5iaSWJiS8>MC}rR0SZi7QFl?n_OZl#}om5}lbRFi`eC+@D(SLV-9VSN0D8Lrb zzC^j611R(RP}I{3N|ft@XeSVZcic*uP?dj>DAxqb{5}{yOyMEK6^G6_l9=Dup*w^ZPIa7^O_rp{pDTG9v=m5n8sSvYtL;*PJ7Xs?s9{_*F~J zvbAdYA^|MsDniyEMNz@25LR{<(ZV~H4Q?32`1HsPt-m1tcWG&OOj;Tq+dRha{({f` zwl9dXO+)IGB|rLhLMMW7A?XZYq-?Z#ALx*G%!d*bvE1Xp6)z#MrDxGu~b6Nf@w zpfQWu8Wt}2ia~h3JFc}PG6W-!fkSt6)wv?j{8ljX7>EY8Yn~$l5F`~8R*MxQj{!mS zfoY_&b$Y1~j64RjfTqHrmu)sg2&Oy+ed@BVk)wXzO5IWi$9*?T`vvUhx?A%$sM`a)7h&L7_RxcmS%XFqBk z8xtfiCX4aisQ70mNZ4})0WwcU$vX1@?4b-iW3sOn7M&gEm z$Y&TWCQpv8eZ^%;%;SCEJ6exL!!rfURnJICQyIspHbk4FYx#|+7;>k=s=G#7?zE=? zWbZju4fV}Qx{C>(gbw}rn!nz6PVT^QYziPgjB;hZ$@`Jn)uKDzzTYQyfbibhZ9-Y{ zK@=^d?7?gUalaiyd6Ly*Aw+WU^4BegnuP8$1`(+E!s^iLQK;#{vz4kWexmBSqtyFh`@`Ngi7|!kc_Fw9o`v!G#>&g-jjYjo?_#NN=;^!8%AIaV? zY04mP^j!K33fqe%_(C6>~P** z%&07ZTft0Os9+2Z|1VI{VE=;gKRNg#IyupbN)BoI{WuW=w8_Kc3U#a8t=6uP5}9#} zF#DI2DPqDWdrtAcf6K&vS%`6BYYU5e_le8 z%>PnO2-5;9YnjSZD(@4}Y6uRR{MoPY%Ya=VDnrwEO^wYV3*ki#zJE4Ull@lF2w%7N zOKDP};5)WdG3CE}MWeZ3XVFUq<Vn5trAHRTSzabgh^MWaUt? z6&*QZl~wVNz0l&G4t?B@8)`yik`1)3ZObQp%#{I8`RljUMb2}H2bw)xE7_U#9_Gx! zQ69aa-n%`WH-e#pmA^~4ZV<~0 zzD{n&67x#mfJ~U+1sHOdev8g`nFYnm*dunqj){PB6qjnzv;_T;M@?9{rO4PokA7)t zJxR#u`(vfhAQ0})(fPflfZqW|g#R3frOMokcoAG;3`A=0sU5VwiMQ!rn~G!@Q?)S` zmw(5?hHZ*ix3|du2D?~d8%{PT8$e#WH0_3T2m8p{O-bCmFUG6$Nz_Jtv&Q$YEh-We zx`vSatd5*t6<2Z1*_X1_vbOBc=h)IYC8TSCai5y_8M42fy&92jsvz6UfBOS>vv&A^ zxVWpWR3Z&OKd?*OB-ur>&if~sI0)D{0Hx*2Gjt=XlNA>z!-sbHpvptXK5?U{^DnG+ z6!V7v_pr&esCca5{@=gg{eqzj7Aq(%%kFZuzGr{wR%oLX^}UH9WTcoP{RJVcOcsL% zYOEZ$U)K*m^z0|q&L9puk%+7xB^=$5;+s!nO2Wo%;bxqR=|2Ya^r8dAm~eayUJ~6ZXCL5@SoXE$5+;%v{UK; zWDLsK-3{OsB$0H>!iK10dW_J%6|ItS;qG#Gli7dNtsRh$N)ZXLxeqFtb(hF0c7(?M$J7GOOnu zv~hN4cr7J!7W3NA-z^B^rxKY;H!4NYs3^X%He`7Tp=33UwdQ@d)Y3)%^%Z4_LL?i; zE&dS0u2!Yco5lE+21s}UUKTp>GKof8w9J}KA|wFU$$i`n37MKN`#HV-lhP&KY0&~@ z)2|BAFb$Y1l{(rON8d34LhsOGfv8TfivXS+6aRPk`jB~Kcv&U&{yAtaD-Lzydp+~X zLuvx2CP%viD~a=h7VHiNIv4d?gaEHC(}iPiB!BoItBGq89h}$ z;^Hczjuw^BIFWUpGA5y`Do%IvwrCk3vzjCpdJP1y=iJ6ToH_H}rR>IDG-<(e5hS`z zneeVEuMJNg-u&NvpAa}?Y{9m167 z{SqTFv1B|a4zt&0*y~6mb3S!;U)NlISTeUTkG6WcRHHWx@lDpP1lgxrJ2bYiZQKTc z1@1gSbE7128i}f!xNTWXL12Thw`dY3yFFS5f9?pW8pg9dvYzk}m89h3pPbH6)1^U^ zt~x%C=wW7oEJoTI{DOcA;oXscN*n|AvWd*nK)^RPlpWJPCJ(JaZpyn75Rqg*OR~C@ zSil;#rWb|Xdrsw>4(~gH0>1CyjapjxLvy%;e3svUHLwAxcgdU?S~22LW1VK=FI4NV z#1{4YL8;>S(K(=F$y9z$a0qVlz5K$TF7X6HeOTvV+aN{0Q(4j^Ux0~~u|yxTqoyP& zg;hejepJ3yJ1-lemhf2sHO5@;t7sthYy0ef(=^b*OS2QA;;J z#b6jaK4YlY;=z#OV^@G;4Is3JN+zvRCHwYD9fP(w+x92F}#`m(EPQqBtJL0+TE z!Q)l#bjdJ;b|J(f@k-Iz)JXbBQ3}*F>wJ~$eX2xH-)S+A5Lx@DYxH)1siNEK+Zf>N zZa+L#1Zg~*H$38322B(w>OiHU=D;5KXLfW5!^X94dUP`QUjF!n3KFDjwXb?v zCv__vdcu&9mQ`_{cQaoX*Oj{DotA)p`2eNUUkXM)MS;I7BfbPHx?}}n>l|mIsHy&R z4e2}xY2L{eWa|*3cK@?_nj%E48M7fF1NvJnOP!os@;!dHP~2`hqLflPD`!#QRi$={l? zdC5+fZ(mlS`5o7W`P}cwEkq{DRIuk5o=C~S;oAj4{W{=9<9rtxNYsA?#%5YM>&tk2 zW&SaT@RT=N3S`ok!C@||#6X*A>gf=%Z=Rp{28>}mA|y~szyp7TRbkB)!(qnE&-e&c z*MA4+37q?6v|Asb%fsvNVb zEeOB+T%G_s`FF#mD}@8GUruftS{cwjFFUKsPUBk)}~>3WX^Z}Q-GI>Ph@d5 zuMjqMM(UTnRSj-Z3@mjd%8V|-5+aYtXpq+RPh7Ep+isz6&vu&2-7<{Lg&}a{pIBRd zq70%CmR?Yy8!8v053%Go)eP~NR?tPrKq&V0As1aTS`}_f08;Ou-<%P($#=_5o4oNYX z(+Z-e`EcvgxI5c$*h(~B5IW)sJb+KH^~9sV^@|5cvNQftTeI0iz0gZg$50vZp0n=w zUC72An=OGMW!}rQk>R6+cxhA#B)?c@_8%zWN&Pj-=9=G`TTr^666ic!TYP@EIER%w z(dz$grXJEe3mYdPAPENn^gHw1lrLd2;bj!X(?*2tMh2MnKaUC50CG{|;G*G@JXH?N z#+s>n>~{TwywuP1>UdssZ!yPOspDAZ3D)9O?n*kTN9(<2H(akrbg+v4M-wak(BD|UvQ{U*vu|F3opqHCBgSeA2E zlVcwVzl4+ZtnHF@+CZA~hWT&k5>#|%#vIF>bkZrO!MG%|dWWW*U>b*MpSj%F@^OZP6!}cSnm3$aE4~}Wt)uOjdPL0#IXfMWat1v{%u>T_ z`3Jf0QEio@R7fDwzcgbdL1D8X zRZnWrc;@onqJO(_&wUiYIy&CNhtvPLfKs7Y`u%#P^IRD~DMnilCQA1by}h{w=tDM* zxO10mvxqTvVBvR3T5zzd8ys_4zu2RhlV&(F6_8SSa}3IHFyu_%sj(3@LWiaGX5X7E zRG`JP>{FuViZsIhs8i9E?43VD($jun)dov0XnRD<4QQG|Ouf|ObE$j?YMCXR5ohb=$q8Llw zwsTj%Tg_%>-zb?uRd;Gb&yR?k^9o9c%^au)P%dTH>Ik}>kiQ*oymd%DBn38q5eV7& z`cH6IcrW1mKS7|N9cdSkZqpSmQlTpQQVz+3h13E@3r8ko;bkvr-i zw3M1JhpeM}&O2xybl#@%FXXwx2Tt__(mQCBxGaOi7xS~Y3+$$PiQXKCu-HU1Z<2l?@r>e?hC%u?d z9r1Y>_bgqIqpr=Q444vXXVqG%)^~CkhJZIKpPwY4zNXO zZ?eglC00+%73u<~Tizi2S$H*fQxiV8+~*&S=Fk344Wqm0FCx_DD|YCYn2;mLGU(Vv zXsFa8Z2|K?6bp(p?Eg_T?M=#UT^!kDuZ4Ov`f7#+B@mWBUtP9}Y zLkd`8^s1(dF!X6ety-3Oo6*Ai%~dP3L5VrQ6uANgeyv5ZOW5LA%*3QR_&5oTU)_Q! zIAu7#Wud%17si(sDrURV@y><#DTN~H`&G$S*SpT5RgJB3ys*YW#0d0%k2Y~UBcaJ& zkB`nBc}n*&{q)6Gg&PJ@hPyI$OS*dBW19h~Z!Z6ZFD6)xcDXc0!@T!`ZdHBXa0Tk+ z?@sGxhTKBnnE6;^Dwaoe1SNTzv9s-%Mh6S7qRabnnPA;yuw z;V<3cFJ~4tc}RXuXYS$-hR946w;PkE$de(RoTLG^kYwjjb-%hl;cO7BZyn(HvxU4Q zVNXiJW_S)4|7wVPg!jIyLR6MdZ$-%a&pB?w{lga~jVx6X+?+RPHXjvLg?cwZs3u*|R;;+OFMnmn6(Ja%RvK&E3sZmhbszChPZa-`i*9Vt`MnxF?5KP{L5Hp zsFcHY@XNj@H6a&W>G5CD1hPSrxB^W+HM912WK9$ML&M?wMz{HG8U?&T!d5)*CQ`Zv z=>xO(hK~mIhvM3LQpVB3mbfAHO8p9VwCLu9~zfQqqed7etNVIf?X4DA1LYFah>MC+=HMNDqgFA6B;(f`s zZetB=`n#2(rD+jyVf=4VbRhQF=a{jUHHncFVs^r8_%Trky_eGJP*~Fgkt*=<4?_IS zXSe53CfH9zBnYC9NAO4{=>&hGq66hl1h`2$Pf#xOF#lwpl-pvdD156B^(?7RqhXb= z*1gdVKSEx%!LZtdf%wl^la``qjkok7*E~S55;~W_dkrvOs?UMPs|O zJV1tx>CuP?w|TU@iZZd|@~?Fpx3M&Cd~M31+?*N|sY|7jr*r)pNEa~jP_GBBl;1`E zxFg!9@++Z8!V$zDB|&P)mbMRMS@(Kj{;0&P0-d@mzZjyN_&cMEby2 zdr{>s@2sgIfZkN-&Ri}>Sm+#SS5DFFHbul&Y@K(Zl9KQ}xZ3QxPT0OF3R9CG*RR=l zM5nUfk!ntY@DZIgm()C@JcIv@`b~+ayxI&UXF!wVmJr&U*LZyKDSg*?+@Y!HOJ?|+ zL}PdEBlr#J;%AY^whp}nBY3w4n1>g^ij&YYeP?Kb7@)&B>c+&S&EBBqH})G3jF*SQ z?8sjkgNs#G8Q4whSnCBhvpQKy;TAyO*eRxpbxE<=#fYEc*N_HMrO*0O!VoveSZgQ> zbq7NF1uE7D1(L>BET;z+w5m%EHFZWIkMu3HUo~fT4QqW1)?$7rq<8Fr{$MGRCXm)%|cACjZ_opjpvUq!&v~%I%b*8Ul%gmp@y_2G!op+pVk)-3lNA#l!o=%MxLgA;s>{;g|{fjRBJADX--FXil!S$VNr5>mz{V84Z9HLXq8>I zjkeD%cE+gy=tcPcUt;>QBaqY8I$kx^QtAC*fBm_OkSAbXWPw~gb(YBiM&vpN@i^lj zcbTP9A%woKKfp|T7D``n_LG6Q(y{Mzu8m_&rrpdo->?boKK|Au@@l1fF44i>Q^+Kj zxsRidUrk*ZCy)vpCf5~U{H`Y)l{ZgY7RxJn8m0*GV^wEra`S5tkh@8VY{G~5a89_v z1cv|DNwQ>7Z^ckfohYSk<&Nl+jmAENiq(Ih41EAgFP(Qp8H=(WPmo9EPD;_goiisTKVpQNe&s7A+6e4mK5};gcUNwiTD+f76O#mxS8v` z)>k94V=RcOAV1<8en5`m{ny;$FOgv~Px*6n_DIjrLE0@Nb*TgmBh2u{<~PX(J0z}Hh#8WvGx*9~DO5252F?^6&}rn|aLrBZH>bH}>E;3Wqj z2Ry8qr%xE4KBryxa_m39bu65Fzc$k)&J7&7&es;Wug$bjgO`(J6>_;M%>uG7Rvd%Ds2;?cBW6j}J?$%p1u#Tn> zUUe@zqn3wMnJevS?M5P$(Zgc622GqLG~d6OVxH|0K;`Bk>YtE36Vl-~laR(^liEj3 zm0u;Wz&Q_z;-(}%3yGZ?2<_Y7|6N;kR{0$_YZX?(CZyJXHigmt=c2R3tFe1!Ws{Tk z?bwLV@bU$VvUm_p{Acb(C&t^ocYL8_!`0YUleI5SBz13j;V~am#`|4Z;gbsCW}0^_ zB-L(`cLnduxt3>9%3rFzL+=Rd7v~7;Ri}&0XK(E`NJS^40DY~c%$JA?&z4~gR4YK& zd$%gEKPR`e)qP)l&Mu5`9*2ahrG;SMj;|V!8|G+GCsKY!>bMYD&i!#lgIj-RrN6`}MAo8sw3?61HEU3MB+Yc`k- z9%k4dt2H1lX;mo2y^E&zN7bH0rJ}zs|C!%^S;^@v)80d*MB;onpH+5Fu0BE2Qu&J9 zH}lI-KZpAH7hrd84-osfkrFXxNT}ttTF$=iwtdFezE#4;HC1d?t)bFTqpo<*a)JR3 ztT-Fgm^M||NNPu(N1-ws588N9L^LgL(3Nzql#60J5IzNmEiZiCsXr<#i z21N{TX{)u_>>p9D5|-K#%(TfRxV};SjR!k`a8qdC5#_gx#Jw8Q>1@ix4#(hJW4&w4 za4Wy?Jmz(JE$wG!Fuvc!QFihu9MSkV$9$qkUSU@~D;}EmUeNaIN9(=j?0$1bT1dQh^|;}XayHW(M7_4A z#)90V3!M0Rua}6)LE5fX0Fpy8RR79zDA7_{*7D{2AnL zdaM~}yOxzujR-kP^+w-WW{m0woUWQgW0*3sKvYb0+``nKC&+nISHJy+?m zt;SUBxus*lZB7QIf8M$5Lf4u3lE^jTmi9ICae-^X&MKk*@DW_l;pq0hJ6>y@(YfwQ zXPnu&>UxK81HNIG=7w+8l}@6yuSxc9AGdLZ?)mtgaKqgRy5}YL(y*|$t=+i&e(Rj) zICZRVy?iCKd>&!B#=Np`BeC5=?b!j}AfE%Aoo=QXQGiYoVR$zD0`i_Kd(u|IHz4>Ho}sLj@97Owgw znuW=4p=d~NkCsPx%E%y0H2Dn(XL)&Ise55|r@fc+zF>8sAhZgkOcnHqW3Q*(`V0Wt*3xsi}r1BB*Y3oJiAC zwZY`NEu}YSKArMtCY2<);TNSdvr6*=&A0PokDQ0+W#L0_hI9w4+LzGZ6`leMc38Em zFI6x1=DjQTi7fdPZ$(Gdhgp&l?#(l-TB|$Ccml3nr*tB&?<%+g@z44g1y1rz4C?Qc z^luxMFad41Y-CS`Z4aIHKTG~<^lkD%cTAUA)4qVJn#Z2!>2hb5WLS?|#c|Rd-P&9? z>VFY(-VAvi$4%L8=yu+k%Zltr-g{SWv=}-t+8*mXu|Ueq=$PGWA>Bx|majKP2eIAR z48|eZW-isiPwcd{jXkBykTR$xA~h6CQ8vgq;d3_24G9T-N3sy<(^LaZM*8semn za~K_WXv9y+9pg8i9V03)^UXpyAaCA7hipTCvwzq>DNszAet;9-rl&;64=8V>FRrsN z$gcZ-7w4oJNxC9yDxmORN|aT%>5GaHmsoB(_eJeS2}(wtPQNb=MCG0s;?uCUry}Aw zyO6#~+uSBiKj0YBPISuI|G`z?k(v>E({>8&7O`~$0P|i4A;owz_R~R$mO>M90C8CW zcQHgs*Vco&eg1Wrv zRJSxyd;vQ*(AGuT?fS6wM!3na^G%IhpotPOADnhUgb2lT5C6(Iz<2QgZ3fZ3R4c6@ z^GUVNR?g-8Q@3POwq(`4rj8wnDQMgG#z%^n`?8t)4ow}^;d7?9aMHgjX_@AH>NR?C z%SH5D>qvb;i@;vYu55kKuj3-4c&CU={fTp`Jr(U8=Zz3qp(8@g7ncU#-Wo~iY8sR| z$^=yy12qsSPFBXZ>NQJ_5O^OSIST-N!{@QvBtz$XEgB<3S2u+z=SKd2kDR5Qz({!k zYHfi7i#OU<*#nz{$5wO!_cNS3?Q;Azrql}|_ZH*nIgri7!}lP;V4D}7TneG4cUEs% z`w&5xK2Qee;Lh?eV`wFJWr_zQ$eju?`Ktcut!wH#fXQ2>rEn|Ev;sD z!eq_4reNDtxK+2K?tCJd-_WR$7 ztliaf+h;|)+42=Tw}LI%nym*p-BjC^3t+&)?RtTM%a2M26Jd$MYxf352{=RvYDA)G zB&*c~0RwzkB6Q<0*zuFs5ixJORU~sHL~MfQ&8oVsDhIcf&O|2en0A6p5ee$?6IyoK zwW2e|Kw#TSX6@*-Z_jjyAmt?@nk1#GU&D&x+O)RIj}4^tU~vhuFmrQpG=Ml9k{x>- zrjv%bFXb5C6?)+`WYF}qC*oo-C>qe5#{=$i_92^bhXc#3JHiTI@ul;jd<9KI1WiF! z){9YCs|2gSk3I@cP?^^H9HicE0xWhRSOk|oYs=tzU3XYcT*#&fW?a||qWzyH;n?|x z6#p`sb1FHMVI1hIFyuRfwyrS|*ybR&HZ7>#04fqe=j48_7x};4Dq^O4!dBhnqmOYu zlTsYwgeaI?!o#Gmxq_6#4o}jJ8>sY_XB^PSSCa&w_pR%H1}LX&*ImdSh`3uxNo1Ba_TkVE9baSpLOAVoQi*L(gFg2Dkg z3?M6i;1PeTp>S}M!;2?tlK*kHNj_!Wg@Vb)laoAq%!||hck*6j>;aen0rJfI`jdn@ z>w|oc37#z^zEhFxTJrHzf$aFe$zh1>c%$#Urw=&6|EtB+zp+sM8#9@{!x`;UHB(Hz zdh(f6h#H(3R{h~Iq}D2Yg^DfF=Aoa6LC9itMSLuYt^yQia6WN(;m31-DA`(Wi=HH# zLr8Y1#%+(nrTJ{rU{hGq2jfya| zLWdGzA-6=KigptLEq=YZ`I3aGs=DiM(|ldiU7!5;!2Ebx?$#)V$J4* z9H1ov$TYH1=?u66k$9rv%kf-s$Tqmk}=(8@o$ zLY^8>v)RpMx`-5Nc01>PpCbnl)lbDc8t1sKUNc#c!ZLaOQ)^6Ug7k{fW5r=u7v>ql7hg)VQE>R(xDredi zf-g=bb%`oIQt`U!e4X|VuOkh9ID>>e-pMYz&ZXd)j!Mdg;^Php89X`p|AsLNnxtu$ zySuWWskR5BPKrK;ve!LoY1OnN!KIlRJ+QZxuEx8cZZdC=o^|k>V1prY<PhyvTK(*wb9@I&*8giNa4b{V>pj%SckEsg8&gl(0A+g~Q2xqzc%L zL4zHkMEppGQdZXETjba-YH(z%eYzar^x9gt>YF=i7Xl6yMIwR$)EnurV_(P@X2s+K z%h*TLDF#fwp~kTwm9=RHS~hi|>(2Eg@z4x+Ykjf?kJNqEg6S>wPORFLXurJ~yQ#fe z3p|Q7;|pM&rp-Z9zQeuCRM~BD-AzMv!GEfoDAtQC4zp~#1MVyXu+NJo9(rf90hLe5 z2lRW3$XwwkhByvhyc9GQtDSG4OUIuwwwa6H3jn&Ad8cxcK^R;2f<-Py?gc}2`i_ZW z80ap75F>Y5(xTh%Vi0#--7Z_!b`M>Rzc&(A#@ou-O<;YyXH8;veK%A) z>2p~1bd@VBbJvn9g9*Qo1@Fsw^8hTzApoUuD3?)ulu&S(v236k^X|Axq;-Ydt{ZNJ z5w`4LJ5196PFcMF|snAfmH>Yh#7D@`VC+$HHg(6k|53e&ydRR zr;IJf)MBaG#(Kyalk_`09HS|(_Lf@Lpw!w{U6ebI+5yLl`ijllUgt8W z)~-GSU%PBMlQcUK1`dDUB3b%L+xFLi<>`;iA=@X@d#zy8S4j%m$W`ZGro)j!NgaC+ z(J=9u;&>+y*>xs!OSw2RYOzH6GzFG%HoY5CjXL$LzAVcb~l6D_~5)! zgdAD-NnPJfU6VD^HF$(X5P&U?C3dWPS@i}bqduv+e|$|D9xh)t0c>c3|BvWYAM7-rGXuV5h)o-Ls^8*|usA+Zf9zdqQ`h+xgzoE79 zb2IW@>m zB|cb{loL%b0HMn1)rR$?Y{{Iuvi+ORe{cy!A&#z0+M^euLI$D6M4X*>+h2LNo^NRi z*z8esp;%Et^qisu+0zj*eqUwmI^=-P=VAZ~kM%3-e<9QU#3qsISY5-7*W_uRuq$3m zgGB%j8jd8=bY<|T4hR3)G#wiBd$PTeM532Aqd+93jv$P$AkaV*>)^9FjuCWm8+vL0 zV3s*X(gu-oy;uc7UpLdQ*d@^gZZgM8+uNoL>HLsOJM%ip;yXB7nvC?`Mb3Z)>-+IG zr8!|#RZg6&c7`**)PjQ+JzZ6I^>2Cz9qYcz zVbaxxsip9LB}-kEG`|~Uwn;3q6>Z6gyDoE;GlIFZYw6dklR~uBTZjUbaRsQ6z(NCj zTvhlFXK^;a^>u_%{!apcS~ODJOGxwBCn<}_9qcAj!;4Mxo9XD-{gu;Fz!oMoBG~jT z2!IxVevYG~>(0gqfivOcJ21d|seOcNn^TfGa44r`%bUEk8d!Q!{XaOaWq^GEDI4N{ zw(iASIJ_|ePnps5@Pf5+9Ws5bl+B2g4*liywxMy#^Qbzjl!kk*)`&_PDoAA5P z($cU?Ck6pJK|2N^D6HYp*6ps%n!Sl?oKYMMdNcMCT%+5&vA!;rktV6p3>hJWkS;x} zm0HZBA}x;_(GE%X#aKk4OVmthL2LBMZ3`YAR$ZS|sXd%_T6(1+1fN!^c?<}o5|Z!J zHpZP-DvMZBl_}TUtrl8R7rdAh(yOZtV*$h!0OAsRtr)UYmd^KDn%6NHveLKn_8W5o zn*Ux&s0)fjeP{6b%w<6Piu4XI7kQh@&z6^b3Auwx8TBrpXvCyN-=FDawWe@^LDONT zAYIAEgB4#;bbFgSTz}n1$uE~5QsU$}9>1f5Uo0~`dMhz!E=|`OX>n$jVj@pvU7Whs zohURvK10}{z4j4*_I4_nbJnCd(?@HnIFFT@?U$_l4aWgLFCwjK=m(d<~% zZ0rCcjkK*ArDHewRwxp}ga-@mpM9hTQ%#NRq1)!}r(M2w{8Gbdq-ez7R=( z>0x4X@fDZAO9Pux1TN*LQieKb7S}^JAWzFjveexK3-)5nG{dybD9ZQ7XRuOuc6lYo zp3!Xtv1UrPHlO=W=MB>o>7)GZZ5no95Cy|uj5vW58= z6I^R8w236Xfwki2*#6{Y=KG<35_k0{#moVi1Tf_|1BP7_$Qu^3V)Yu-c_kf~;L z=d~F&8_fWz6Rv3W$=dw*(nqA=b|lL+pTxrnDgs9HU;ytF0e`s0q=h88}=E%oU=!TF0O05~n%y)zv zuXxa5;wC7Ats5;_&J{AC@?ZlP&ILWIJI(k}-sy8^Uni1V3uRy1r!0@ROe3qies|zB z$PA}&m~^sVQ3F$oV3CE`$i9e}VL&KdPYUe&5S|B{@dTI(a*a5C;;s9CJwumkufr{y zR-?XwJ1SHmvZr56?69m&OJSPIp4noK^U(}X?uaw7iqTLA;kFZ>*cEdg(eRo8-aRXD4NkyV6m!8g$~Bt1;%JGVh72WTq+SuBw}s6zZN3 z`tflhYqxIKt(*|tmOB6W4DYZ5 zE&;K@=_SpO*`Y=^0p<0ol20u<&z;>%l~cWSEdn4vZMrR@iep@@5g-)D);vuoWRyu) zO$0RU|LB@)j9zH{4vglt5q1An96|zG!n@|t+GW2>nfe1bM-bLu`D*b-ja;$OR~5_E z*;uFIQX*t4fOLtM-7}=ZW3Hv^ilaaNXNc@l?sxym%geso+pCeQj!C%tnt*&o6B-&y zscfjiNdcw;eAKcvslbt>XhF4~8zQxv*SMk>IC-e1veGT@5V7*e+IZ=Y zNOx?4A;#W6?^!;o&lV}_ubWXQVd5w*C^B%=rnj`U&d_vJ zCEVQVY0*blIlYQve1V=0o5OD;frGh+5aGG7vu zokEs+p{O&?Lj(y(ecp!Hox^?0kk}nDy#%qZ8w#~d-yScc*FwT%)GP%f+z+!6_X`Ta zP}<30BSrzbPxo88Jpa^CT`5}@s&C{tLNR1tG6GZ(YR>NS%C88Qz9lDWdD{qg-$rD# z7O54a`35c++XcCXjY9T*o<7j{RNG%c8oW2JOXQKjwAqRqMBn|hsdT)>==3aG=Te)v zz*ev})-vrkfg6~>#zEN@tZe|JDJGG{duu^V+{`XRZQ;g_>;~BQ+-d zLFq}VaYlM51pNo!psmJxQMKf~?P1HA^%Y&dJIp@$#_Wfo2Ti_lOwuzkwyw=_v?{e>T&_`~fEjU{BLu-bSRPT4cOxEwP{n(l_z> zg5FDH&Y1F>a;6{QHLin$0LChc-M91<^V|d`CIb`KGG5iasNs)`((?P6k_~>4Lnnr% zOa(c|A`A6NsA=_iV9HP8K3R%I)As@WUMuNHXrpC9NOG5}^;|$QpOD>48M~3WCVlP! ze;_gmwvfwh(yMM9|MUUt_}686Agq>xKEn?^#11~cTUG@>CO$6^t)pw1$7F0?dx zrjn*12ePpBTZB;D9G+#NP;9fzdv5^o2Ww5Fz<5`Z^T}FyVVDuTt0mZiu34dP{KB2q zkq~bfk~$40a9^DW5OG z=0h%KrgcUXz1C3v+D)jv=Ppv~8ox={f{LCSG^GkTxJ5;B;7YN!z(7Fc;-% zm7k9hl+Vk>b3}sxxwtyAUXlA+Ie!*v++E+fUS`=7N-%hIoiSF?O^@>QSc|{;m>BU@Fl|jU z$Q8VrA(>063jPwMkb}^=n8$m3TczbAhsz=C8l|x1U0*Baxq9(6@p{ZXlEm4`&i}POqM+;KI87)9@sPX=nmUns$+&Vhaij z?B-Zulw^l*L+2NvNC{VES{=W$w0M*N3CaM*d~yu(&KY1x?8aq;)fSS(zGrCc#?ogn zVWZ34Jru}7u0`=Dzo!R$ouzZp^8MD&T1^hu$oRkv zvov@PC0~f`mMuT;F_48TD``TtmOZ3JX*uO5KHLYwq)E5z7_Ep6qF-A`0TYqnwp4fP zmqV((o9I})aaQMSaanU#Md?p%Gh?fpwmMfoPp#^5pm%rwOW}5cM6K^<@2-JU${Yj$ z`Aj|*WtN2@bQ1hFEc>4=qfo}tN3Z!eR-_LtUq%u@w+ zl&C0}abo-r+@+W+cK5JQ{SR+#W)zcReZc^0f@+R;_+N~t5!1(mLqvqh1+muWEdT$^ zz@CTl14rino|hK!l&|rXPy2bmk}dxKG4&N-P3`~x_g(`K1!*M}1f)f}6;Koqq#LAj zbmx_tfPi!l0bwBB4H6@yhvew)hSB^#gZukG-{;}M?XbMcuFEpR5zq8&?PZ1= zcAae?zsA+TzhOtG2F(bzhd1e*Bw$n9o;x1wsm z%PgMA;BJ<qn~g1Wz_jBB`)CU>cv;NQm42 z$l75O9C&_DQhB6%_7d^bA11Qw>5Ob~rhGDO*lY21Rn``ej$c{mPUWz}k{Z8k2&hauBk_9^g{}H51<6(;f= zGRi;7`U8##I(8OvmiP7kQ6oz8Idjhj8wjhyKM#JtsqtEk2{}H;&l7rm)b;=sBj>L~ zK3d}f6a6&r_#Cs`{ol#eJ903HUzz316_quLC0`65OSL)vxiDK-fb+Al@v~Av_Wd{U zp)^OeuvpdPSW?Q^uwHe8vzE^F5Sy$_5_l$vVo_4wrTKq0zBmu9gm2I{+s+|L?}s}s z5e?VTO?S;+6X{Muc36H{8sRmcroFCaX#d6JwdmFEO$ZOS<EI zQ=x_7W%lqwpGJGsh>0w8GNW8T9{_ty;8dtyTzV8p`lG{(c^Com6+AD5RI0i9Eg3Jy zoma)qhN*(8Olwwo0A~zOJ@FEQ>5*cJ9&aPh_F_bRvRHVYhC!G01+v1eq;R>CmmV9B z{<)k?T~&<^_Cfo_#~s?Y5F6GrZw-;8wF0x!d+lC`G=Fv5rBGOH5+SljS2%`~9P^g2 zM;~a!I)Bf3wh6>>6*GlBQc332v}-B)9n|B1UWvUUBJg+cm2godBD>$#WpTF3r+D5p zVC4Aw)Yk!Rv#_(~TlC9pdSz2(vtQDM{+&DE2IyPlfY11pO3C!LM>HjRu$FYZDz#p{ zZ#=J!j~`r8$*~32^Jw%`F;$4|QcZ~nABOfH7l z(QFkgN&E`~uP1YiYZ}Fo8&?J8Zyvn0QB8Kww+QDiXuV%u{AHE>?2n-=qc2z19k{H0 z2w|7ncsO3rhqK-$BzO=jXU$=)6PS8#UCAC*8>|)g{))+Y{bi~u_lT?E%`b*Vzc{u$_;TuxLH^pD~E0xevL; zJ@ZU#T8DG#nG$EaY>)$2qr7s*jwAkF2JYFT2UhK^+iV#(L2k>*2RDqma}266Vt`?0 zn4%^~Gms0lE11d+6Z68zP+{*&^psGX3dtA|Lx|p%X3HCrUGit&jdB?w!;g49y5wY- zFlAc@leFQ%M`~gjX*&3Ge3AWjq!+V8Q;t!gym8%r{SwYtv5a&oPpI5!Hvbb3OZ?hL zgxEb#jaWgE<7wJd)m$mQgd(%;n8nh)U%)I3%7nod$ z&lH$^rL9v&?QSMdVPB$SQ#vf6VlC7x`O(GF1KiEoY{n*;2JTy0^^o!HM;tCm1%8Hm`y2 z{6Y@kD}i&0Y+)k3DU)iJhcc%Hx})Y&B)mEZ#C|G@(L2cZAx~fsuxP1y+ruP`94Jsz z>PL1a?N1}~Wu|W_Qf_6T|K*o!;4das^5$-g5~(4+Svh?UE+L?_o1)3be@lxA^Q=_5 ztDtY0FwHq^`iNOe(w+EXQzeFnVG^#=AtvaGm~RU~G7$P_ZYGB7-6YmNxgzV28?@Xb zsqg2!cn>r>g==I<_)tEb5RwF-ied-MBHGStEUnD(^BKFp)N$B)SMScFg$7*dE*Ho1 z`{8|*V!XtqZegj?s3yRuYJ_r>90%Es#KvN0IOtV)C`oe>+{1?^KkZ>9xU1D@g3gLw z`bH;=9llmjP*68n&FEM$Qy!R19$0zx=bMrQ25kg#4}mINF)WvxYA#!;FQR+ul00D! zn-QQAK2hG8WEcB(^BYF5KYHj5{x62|ACh9PR%u6EGZ6@zp$|;1npCE+pUXEXH0oHj zFLi3Y&!N?2?e*3dBWA#k@G8pMWIkOV1&S#jDj_kaNT=3r8~5MiK}@}%i{~85fakN{ zrRWh^R%lgb=QohHYx`3GS>w!V&J}&GN_NLo76(s;Vb=&%++p+H5`;4!zQ*`Im|e7v z7`}g2W&lakYo$k|+EJOcXwAVlqGX@!O+HJ92Nd=Tw>Y!kIyDq6 zJyyVXX(r7Lxq(TgTSQ{8wyr@`_cmjSSX~#=I!Re$OByZKAUyAagGe)ELu^XO?>?bP z%>w{n#X!X?9nWtdC1Opm0v($>>G5+|dgJ$;|5o#E?Vx~1a*?_e(GTE3Q8FsQ4x!mm zEl>Tqaz)}6#rOfoutP)nfn1J_d`{ctU!GP4O3s*jcnKx-Ay`uLbTFYWoSB#-(5ft$ z4Tm_iiZ%GKwKE|Il!EE9<~p~vWCQfPlv?85H}43oKPq!1qj3wAFl&nj*MHNm_RTy) z*HOpyrmPzX(Me12Hbk_13%jXEbBg`D=Mw|wiRyeF{s(ebP1cGmn6DD_>iDq|nV@%D zbieHFW{I@vBx5Qm&%Sqe&?2{Dvz0%_N#E{VUQX;kS@Vg4TNmor>8oQ| z;h#`g8kpbq#&3dCEBTiaB`o&73%@YiM2=&sBxnm7X@ASrl`+MTPE@7UlmC|$dM17| zr+cm?R80TGqzREtFjb|Ir`8#WW;B^^fCJHi7MSycq8oaTsIa{uz>S|q+|4Y0{rpn{Er~c?zylPs6 zf~%MrC{N@P3OE@4+GXOBH#K;08@fmgt%ZjB7;jMr-b&4?Wx;b%ql}eHBHPA!qqS5! zveKdGts-T4M)-&Ml$*K7;0>nf=JaRA5B!*EZa$k98SeJUl6lO=h3Xz2eVnDs#X@gI zT_oGp#QOT{{NT*?X=4wr-Lv@~WT%Fbl?{XF=F8GD-fu1ZTQ*$E7Od^UJMKarf{X(8 z$_|=>*Hz8S6Sj>g&)P_~4S)#6&}6*c$(&y{W-)ie$4IeEL$=0Y%f(S}>WQ4S*rVv! zuL8|-2a7tDMG_mqbizQuXpNRBF5MP+Nr?j{OmB|uP3u(#)Hp67$AP{QoS|<_lrJa7 zOA#N~D|bF7&prjB%nvmvutBU)O?w7&zJP!hPW=h?gN@6gsP_6~`hIiG|71w7J#j!#f$~BZGPBkg)qp!(S z#+IoP4JGb_zrluUrQW=EkId9FHOfSGm#8{ZYfHHkkK6~WZh^EL9v?Xuw5vm?Xv6!a zra(aNiWokk*S+Y7?4c!rJ{)Hy40`T;th;WS94^|z9{4;g9`y0_51uQqu9(_WsoAzg zcl;Y4?5*Cj^-mjzC&cA%K;z-a$*6ABC@;qE5h|Qd2_EfeOdZ`>KP%)XR2W6fI#%{N zS(U$-Eakt5#F6X50Xnu&R6$P(w{F9bpsXaOl~BsMRajmcHA}#!Yc>xg92fy{zhlSt z1m_pD$oOx?YdH+J&j*&Za)?5g%*5|T7RbBS*^b?3hlR{rwD)>Sl+9u@#Rn&I-RJL5 zMkW5m%8NIx0ty)?epkI2b8rx>M zZE0zS(T3rN#PCpw1;>CnQfZo>fc%eTAy=`&y}RJK#s zv;a{L+t_G{9h@Y&UR7``N|oe7-I`$+j3TL)5lFapDU=|LqK)fER4gPc=}&fb?L1B{ z?)$wW{ki>KH`_VNt%uw^p0NK!U{R6Jkk0YkfGh`LMR}76FD73Zqdm zza?{9N#;!4#}&pVMen7k6{eUdjteL;K(t_Fo!7qyQ?|+Vx2k<2uu0$^K%}77;IocH zRkxE*f;;=OMRriyVS)t19{vx>g52M2%Jf&Q^Y8br&|bxRHQF!o01N%aLd+DJj$!$s zTg5*!ZfcNKYC!+Y&ySSXr`c~kb;UtvJ604$dpsj6)Np)r3T|8uA^1-%aif{I;U*o} zxzjFA^5!0D+THz$p40!c&t!vY?$hNU5gK|uxEfpU+fEg>K>}H@#U{uf_PX1X;#l7( zs>iKNDgM0Ij)vu%6Gwlw6e7P;mk z{hXQ6G2qx&6PD$14};)EQ%-TVvunj9DdWna4{DZ7^4CYXLU;S|W%%Yo__jXvp((si(9Q&T>n)`LsGf_6*gth`_`t$vrXZmoWp zo*$I*%D>Mg@;*I4Z)%tN@|+%uSVmJ*H71l3sNBGrU3_^8?qxn?mex5K81`$9Lodr@ zgp#fL_;$xC+Ma3p?VnP|k{LsxcLduGc~n2JIXpY?6c_e;EB%N&aj%%FN76x6h1P6l z++t_F_^n$}lZ8QA*fuxI{ncu=nTx^Sl^QnCJGMx_Fq&M;OI;aeAl`IgRvl^H)GKVe z8$&kOG+rR`l$kKIdg;2p2X7~gnEPUmNl~9OOH-vQh3`Y-tlb`k`;*|HEjy+^nP8bm#(19nb*K7vO?c8tNd_Up(6)_>5`ao8! zt|A^s%q_0Re}06jH=zh{HOJ|(JfE2>gIK^9>3g<7##fe+xq1>l_E`c$Nw)}G3f0q@!k$>fqM*#Op>NYo-nG+YsQ(b*0qx&A`*!3 zD#y79|3lzapEb7xOPT>a^!J7HQywc(m4YLXu#PPIo+KEFF{iv76dG8>p-Lqkosyie zI$%(Ji*vo)Oc@2d+mu<_TjB|?d&V$E9lbp!ImFYlMm_=?RF)aObF4>C?jQbyjg|4g z%@gK=`_9!I}KZDfW!m9@xu%d+S)XH}|Oq0Yu!P=97w=`b>xWX=moyA*I&lV6E4OTH-9mRX=z zQDrfxafWi`l&RZZ3j;F;*?2Ui>hnvfdV__gP%s(aVo-$@1+=7<<*CBi1bFrfEtoOp z0r{>}hpr&Aq9~v0ILJ0YHi4hO7}p~nPH)3A=BLDUgB0ia2niXcZr7TK|7ST^EcC7w zvRvQkL0eW#CtU1&ADS9VI78KwT%%tC^vQN1LsJ@s^P5w_7>G=QUacHVuu3z?rSD?e z`)nI>oPNid^NB@Gvz$3|kK}W3RV5r{>)h{v=IQ6^W15(>{wqFeuLl*Ae|R0!MS3gW z+G4iOekOY!sIGM2Ln`t{@}348NxT6m0g_wbyp&Msk6qH&`Y#HE5niWZ!3PxPBkBIDv2`V!Mf*2;!^tLK@B3%RCi$hg@^ zE%(cww<%2z=;^PU{nh-#;0-sU5ZridV$&l)?R;8MXQ3|9l(0}GcvG)KAy2x2uWd=i zbSn)g`Sod!?IJ$(>;>SHQ85Kz!r60y^A!>yvIVgpdHm6N{NBnhDsm3Bakr-}-YIBz zV9^pt!abF{89Ao%zA&W$ZOtpQMbpQO!mRU{$S08|FOqHam<%Jqd&#cpS(Lf3Fq~6M z27EvXyp4rVS<5Gg$&B4#7IgWeflyQ3PFv72Jor=404@+4% z+~RKt)+*CWE8RG(eZMw(zHvp4$78RLeoE`E)Aoso$UwvI9POyqf!gFt`eT~0->>aQ z_)#{wTltMUaZpkyT#KrD&g*$fsj` zIb{bYhWVhxZ!t!V85BR53;XpJ@+&xRj?lz=5r=f}b&Gs3kfQ+ZNS{{FKAAp!qvY4{ z7mz&&snrF&9q6%WZky6Z4xb(?j741)+r4}bcMD(b#A=QkWV9)TnT)y6pa`=tOw;p& zBHTQ<#tT4>E8GCNP1-JRNf|2~Cj&Q&?*N=iV&#b_+_gM&U?)YIA^peH(_z%JEg)OU zysFy;4;y#zYmqb?qSG+eE~v0xoieIupq7}{dxg+)K4Bqg%x#p_O8-tN<`~byP80a^ z2|CyKNh|i?6F@?ip}7TyR{ahS$ghe6@}{5nZTre#^Kkk&pHC9f&gTQDkq(iTw^WeO z=Tm57EjmR^w8Rrwy{DxwAN2-79??%}?~fH57MllQ{C-~Q7R*K97T&<16AVUZJFYlc zA5Czr4>aFJFQ5=hu>T+hIuB<268H_t=D9yt&<(p(md0qXSQT)B8^L-KU*uBkUp3-2b@`pqQTS(g zsM1*12&y3B=E%0nRaizJCL7kiy*aWc+g;KPf6-ie&Ut)&y-5L(wisNa*^6b3m{gzG zvA6X%J5{pAsk$h2(OBU@ceBg09j{~g(|b%c=H8(nTd8>4uJFrLuTlw(3PjdzO=aeu zudJ&Hm420u3t#VEZJ2wcO$>dwYAOYhpgH8jA%Rwtv^$D@i6!~)1#ONB_RF2?&@G#*ytz8)^6BI4mj z^4@vRav1s~d1cA;QRq#F{`1V@z2n?T1$kU~isb_t5^$I(UmC~pT@2!_&ZU zR^ShtI!{UAk%_dS`GHY1gCFL}R|cErIPiNU@5|8tBvr!;r!Ay7V=!%3Go6k|d4fm9 z5!9Jj2{3hlY*Q1cF|rBQwsp;#M$w6}l~70mvxH1xtqIMPY53{0%7S76HmZhoTg_8; zDA(H&2={oi#ITxzzP~S*aGlf0&Z<+zr_IL~g5uK(MBr+U&x zeTV}SY=zk_1}`{nyn{)3F-UB8S~DZH8+sGvzK9WRk0*O4<@mQ>GD!(S*+OiyUsvB_ITGt*YsWG0wGL$RV%UC)OpoI?^9vdk)w$-DAVB zQmupr2F%eYxiU~cA)ZP)H@&Wii~NmJ1kYxzL10o?k0a;I+Fy*|c?viPK!J%aD{772 zqqeXOGPY>~)SUim-nvxd*f+i{*jYmT4I?(+wKn#L<JGY|RR-eE|a@q4t*1`IkU z>#rpUI_}0vL?Uht9s97c$}TN|X57$DRT)(b>G`oK)-X;mm+);k@`%P5M85=6b<1&C zTRb-a(7!Gu$+fShKU-o$HK<{#h1ue@P&$a#_t{Ch59U6#6LW5wj>qo2JhFvTZCQb1 zG38bkk1k#yCq&eX{U#YY@DlYVI7k4rpDaLs+>t2N+K0Ay`=9eLYsC!h;`Z%|6P*xG z&I}3_Ir*sV=07hyduHRwe_CDlvg27vY|BQ|m)fN{`XA9Ba*2GQ>zZ=^DLYE;UKI;I zEo`h_B*xSU&{@yw+Tky6{<^X-X1Galdpgj$*qQFWdcoJC4>hfS9A%Lhv3yBt{f$gixNkgqgCZ^fP{fBw9G8t1sq+M*V{@FKtfb1XP zE2fvdMwC}vS9r2kzq_cR$`vt%VnD&#-u=mpxs)|U#G9gpB7=^TnMbu;`TQlOr>9rj7KjK;>Yq4KWno7S>DG@1QZ|7tQnX+6Xv|RPG2C@cHDyGC z$Q`I+I=bG_dgQQR?8r;-5?+y<*cKOF*8b1UnvWc6*fuV&Ojbhh=ku4sXF6c-3sv6~ zb`yAvM^FO(M-rH7JR2K7eArjQuc+j{c~iPv*M^0Dkq*i*9%zn{z-Ccr`SIl3DR+Qn z5I;O4tSC3YeDAx6LpFDdgzKY0y|D7!fLS7rF)k=Z^9+^NHQeC>QNCF-Q>O4(VRxd* z`5Rq)?dQrO6T|r0km9C=^dnMope1-r4Ppg3nM}5wo(+x@!ks?uqhFT=DnnFyo;(uC z%I*)H>w`@UkeWu~RvQCMg!JC?QkItI(=h^>&@Gp~S^;lT zT;zKyg!n@t@2Xl}GhbwL@Z?}_9(UhlY5n9LVWHLe@W5~cU7EnwJ)j;u;T%$?mgR}T zXbC61{*d{x!d>@IByE?7F+60BP(p3HlIotKt!vloBX7&`oKlDmvz!a4{FToTzLq7) zT5-EybyLU9fL{*CxU^~wH;*KcTa|qR>N#Y}iI&_h#A5LTU6P0PyWR7t^V&iT_B z9BabHwxwq;(S;lS$sJOgl1im8ZM`goMa;I)Qm_f}V_p-^&5oCw?5A#5qKq9JoWc$_ zOQ^N>b$G82Pf9GpP%=t@`dhBhcbPz zyVi*{iVduVdFFdN3+dV6r2*n^dqclV?SwpJDG_g%ETx^+adyi8%*Is~$MzBlnCrc=uoHQK) zOQ>WX_sIFewtbJh*)xO1&yoA=xt_mj=*WSyl;YEg0-AL;k4^J3PozH~G{qbxds5@# zfs)mAU2s6No{ELa<*}+^a87^hb{Z45^K)F!UH3&!`sU}0g+PhPt~n#`bsmp6 zj_MUr4=>@3nttmjcpZ1Iq&VPCxK@t9EGpN*80gUXy(Y(LJfyy6<W(=g{O5jT9Cm$tn3jBL)Pq?P%kj>;n7I4VY!+B1#0)jfK%6E% zt!9&+3VL@N(6K{`KJ5&(pA1&p|JgI7DQP&$X8ro8o7!bMz$!kxaZpaY z)noGGfix$sK>EV%)>7>~f6KMd9FwCHWlOz$ZdSN(Q8Ln&Z}D^Ojmegjt=2qF@pC@E zVXFsc8j>ab$S|9}cmnP<&K1D8Z(W9>)EMCzAGCiz$hXNW<+MGrw4bP<)xR+l&No*D z=VyiU8||5X=v>I{vA@iFZ}-*XGLK0Nu^Si9!_;eM(~=Zuk75|Vh@tu^y5$xdra5hD z$`}RB8oeyPxqi1gic?aQF}g>L{d~1(Qp1$ z7qYF{-V6rHz-{jS$;X59saL_iAqb#(wzr{~r7|>o1NVsmKtTOx zg(nz1Sq-DPVae46MeN)gK5Y6@k_`#lTntD8f`@nZm5{`2Dk;WlrDZ z#2Bys;P5fw?ZvDecxInS&k}sMpoeBYkDeGKI^h&CO6!qt+32FZUR^-NL=#s0lvy+* z&{$(S-Tr}&0-F-!~u-fZ>7eIYwW&L2azv>(qMe0}sy5>R%?<5wA3TM7D@QtgF z%F9gg#E<>T3{xipDk*OluqW0T2W3qrN8hFX)Fo{G`@Ave{zU;lY^5c;$9A7`*Ja*ubjcX?M3zFnEMNU*GQj@( zN)ihHxZaZd(N{MJ*>{=x^ybKt8zG19VVk{B0 zI=ir&QmMA1g+pmFvM71)mH8}r05Y8pH}j6+z06hoAB(*y#$wEq``&%_y1aOOlS9r= ze2<*0!~_%8Y}MH(yMeO%;^H(a?>jo|71LHNzRBwoIleK1?BkNN4Q#;mDgaV;T)!%` zc6RPopTSoQ!#E|5geT!zHB3}MBF9nV1kVu(p`hw3(cl{;$TpIE5dI4Bps%dHAaC+E zYF!aixX0$2R2~;~1sASvjX8<2niQvBXU13;vF>Qn2X0q?|9`RCRYQ57oc!q$4)Dxl z#?QRh#p^#ZRgtrT!86wnDkurMEv+^OFhwC831jjaWhbulha(s-^%-f>`)dA_47{>k z!Unr+f3lxd=DPcH1k5LJ;C`4aeYU8ZyW?9)u2l)u2Ga}dp7%7O;q)6z|tacRw|BnPS3G6M@*1!SJ%M*t`qrVPAaUImy;R0KJ%QGrjy=!ddgD;p}} zX?#wzQ$8wR>xa5(T61m7U)CX)2UOqd&PBl@n$44=GOX&co!FZhg)R z@-_QE)s=TsH$MXNq@J@{O!2MAa4LPZa7?`qio3RlooFmo!?fssq|@xQh=eN1Ae^YR zXP^vpbAd{j4{fP%pN4!3;umSGr=L^DoZ{cy)>Vtqc}?i{!NGr;K)EPk-V`vn(f?X- z*;L5!`%Q7yCbZSb)Bc2}$h1dzb3uONbNs87;C<#^IC0S{{FWo?r>Xp+W`uGk58 zXoB7Z|F00lEF$qCQNt7X&e2>C`3kXp&h?B{+#!opB^7I1K6N^!ABV&>0E}dVdrmRSU*c zNLx9%9r5KRlM~X;K|`Rt2AG^=e5k|usMJS{UNbt9SHogNvb+)!BNcAH<(8r$=qK|3bvHRj05}y3Xp6>+943XQn6o zo;E^LX*Y7}OU{1wJGaBBEk`&o6nIPg&owGC&Gfkx!pHh$28Y~K!kX>eDPi@)z@!9h zkU$8N*WsT^lF5U914eOS zNE=65-gQ#dH@Z#w8*hY#pkzx0?(gLMzQZ0=B9qaDvf;b$)kh$b? zoZi;G^=cUneMDSkksSvyWzZYDDu+EcJ)tMbWj5-iNdza&_<6SxDZvqRnJk|dUOX#8k)}&hZzo*Qx-B(oMY{L=+L5}pXpoLObA|6nXCs@ znmq8=LL2a^CX>VhDx_h1{>|LDqejosDbh=~Xr6XV-vOegX1;*E_&V$(xd5$b+b-b9 zLn+gQy9tIwn5T-gigRn_aBbAoM8A>rt|rufh!kL;P_k_sg~3-^24|H4{o-Aj$tRAi*mpC-|W3q!@{jTb1oe z4F10L;aa^UUa6B`WyHb4EgVl*wcYEOD*rOq);G{eS}(9`ipsFh${)YC9E>glmoxFH zal3q4s{J|fb(#E7us{thj`#GE=x(rt8G`9x$DP6Pbd*Lb_K)M}-aR5gce~BGQ@{TI zBehR3Q!p_0(PQzbNS!bstsG|}5m!qT3r5)QTvlBKh%8a5um ziKTw4)CI)<5_wjJeu_xaHq4rAkIv<9XKGsYHk4yg+`_ROW?c+SO*St#s_D6%OCJNc zX<#jBTr{gP6>Z%G5;)9cq}YP3E@_QTcpk!#+C=y74Xx7(C&+tlH`a3cfp@m|3xXxS ztnvPOP)VT&jSZXjXx0UUItIYgEiPx%ThQzNz+d$iGs~+5Im_+@>0z`UG`I_t#TeCl z%6*OrG3t>t6O#A#yUAhNQh0t;R^IWc>!6{{#r$fRQInbV&NW6{wEFJ6BZp1Hq`Mkw zn~&OV@R<@PT2v!mVNwyKDlD({!sc~_qSHv zwt9Kwh?hPHj$K%0CSV6ZXN!;goO@Yywd>};V{n}B3)zX={Li=uLry;JW@XAxn$YQ$ zte@;MhHFsJ+(25#InWJv?+P@<8wwgs!_&{zo2dTMqun>IV`_i~K8kwowmi(@rcgXT z>HIHG@Y}IFgA0F>Hvh8R*_d*!Ect!WGy|JmD)jC{Y&$Lp4Hvz)Bkcx!ZWLe0t-RT8 zB$j&A$mHLuRi?K2^X+?YYKHBFUS>kgW?=(`h|eR^eL6!%C$u%+lc-!ju=D?67?Qr$ zW3%)GzdHsz+KoTRTD8U@8O&I#hJ^LtRRlkOG%H8lQI&-Vh^yc4lP@|k5_Bm35L!|V ztu&&&SL?ptY0+Tz$QoTv6^2WCX#oLvQ6`D$5w&N84pDav2?se)ZCX473Rm`qU)0e- zaxVBfwrSFs85qyf9s6OtsofN1`5H%IsWAQh&J{P8jUZD+Iaw=0>fy$Ge$xuATt=&) z;-;M8P+GwY&2`B*uj+lpsj@yi3LEry-=+HX(yALVw9F!x!o9&Rj}fz&J4ds4*$@eC;tny*0QeO z%Z!q+&TNMl^xml?8#`9cT{^AQ7e;Frmz<4+IF~;7`Q&Qc{qda-r(+7M=i_rvwj+K%nB4>8OHVVuwOVn}jf8PTLSu6mqa0?H@q^E5Y>)n6yPly2f0dn zllZa+%(7Nf`dYx^7hwH55uI2^3O=}Kpzs2J-As>pDOaQGdSQJ zIR+ly7a16~jr>5N8Pa(kr5BtJ=6U+Ors)w&sPhea(vayld4Q)0E23@}K_oQak)p+J za7+!ddNTUki;VE|?|&t~{jo23N|1g3-EDm_U=7A`hbcPxS_9`Ws}j?p_9L77;t4UuK^cbi7)z&5;>tS5D-GsCxx3scbqQDR z%nQ(T+9Ge9bRz1NAJpg@6?u-}R0qQmf1;Ln*E|A%C$ea19Q5b1C!n%lXzj_1`*=QE z_ntEEn$3#s4Jd>KEAsmMbcLMdS2ki@HbR%JDl;pN^DxDbiTo_| zW?bJ9F*SC|mFs#M@wixWCci&BpQXU@^Q7`;gIhZ$7-T8AYaQ^^rlAH zA1seFYD~yoUnD$}Khi$@Y@tw9pZkr4SJX-}DQJ9$=#6M@6-nax!8`M`sJ96In9GLp zP^JGU(f^;dvBaTL7baV?+nP+hk~2DA?wh0zo>!AIA;|wth zvlY))+(}upLWjgT;&GYk6FI3-wyvF#&A?b{ZI|`Y04BbP3LmoGaN4E_dlGnf!OOk^ ztur?d~Tx8U^dVs9}quHEI*T}mL5a`Pfz<~ zZ%NMAhKSCV*I=1mq7qJg2~>@x;5NGB$lY&(6*aNKtE8bXh^{#@@gE+s!fsT!axxx_ zg{6WQJ{1W&T}qn|i!om5BF9nlXdotXacN8j>DVt9Y^mEtR8XaajvosCHam+cZ0 zs9Vwx-Nz)d=9aO&*3R-Q`yIg;BJp8*O@7pV&y;6sY_B`Jb}J7)rb&CMbh?cA8+XZU zuvR`DBoKIq;b9z{PBNM$Vq;O6+Pu6E)nz{TfIWHpXZD(^1 zFApH#dx54Ol>MaM0N=QlD-~V&N46tKr1pod)525qK|y`RqO_v{lhV!-hT_IUNu zJ7G!hHRmF~?^M{do;hLirgx~$OF0vHn+{)%|1dM40|SGeFxEiM$s9NvU)9hH%b!#@ z0B+KqlZt74^n~V1Ud)u&mm%0LyW{4DHsgXFg%MX$vMY!ycg_Z1MsZA%p}4-@>0KY>Jno{iAWsV{S^ zbokEvJT4~>=;GDS7yaJZVy6K?nVx6Whet@Xb1{zJoFisF=dp^OkiFsfQxIMiom@9Q5=}axt1XQ; z?F_o`iwdb#p-taGdwY$9(!9&YvPIpu zLalljE#30+&n((So{8T`%Bp&-P=5u(*~*4qVKzLCy41-#TQjvk;BOk*#8AnJ!<(}H zB4MRYdWZyU@PAuOL7J2_6?4Ow*vuN>Y_V_&?~12<_2)0NSPQqlB{{mfsJLtLUpz+f z0J%Eg$y8iALWSHVwi;#dt6#?%bmUhfpZ$69=NYuOL__zu^9>?LF)iW?@Qb(}c3H*7 zc?(R+#Q-fDt1$MmW7A!Fm$@i9mW|dyBGqt+cm~z7>C9DUy4KT4XWO~NY~Fjdzb>Z%=+$V4ATd5tII zfvAXnAtNLv(x<8zjEZLAXWaz1m1_yPO(eQN_d8hY36N!WI^Q`8&PNR)?~L`GrP8z# z1%980w+(u@NGR+ZbwoeNZx6q}Cr4 zkywqgcxlMmf%v+sFvduftfgsM(YEJDTJB!oSt8={${{NWfH8o1`rlM-R(SIB{Ic5@ zb?>DE>-^P=u(K0a2V?^q$HP1OaSnE~h1H28sr4R2l%L-Lv-?D}Pm;KuRs=1EL`2W{KP%0QR5n(}l0KmE8%j{_umH>w+D!K-W~yXV#YL5P(-l5$8K*`${) z5V%M3{U1Q7|#r!{j}6%`3Kl z6p9=>q;VyKQFG#$ZMoHEsU0SF5^0k(G;P&S8wE%;ZSTmlO>#^xy}6gEZ?5M0$=tPK+OuxC|A zhXV&*?DwY66&;98X?)!>?sIZc{T22DHp9D!ZT%ARjy7+zq)zG#74HmaBRas)R+$=Z zEni4;lvaMlT)`iMUEWDFj1p*%)v?7z+;_#y1kt-*B6w ztz-?4GA2GsbR zJ+1^MxIuZqii}>T;!da)wz>GYwH(v7ktrNsAN@c70M1}#EG%nbVu0R{{5ys-tHEGyP{(c8m~W6R)@z0G@L~A1 zq|9j%w)#v#G&e7u6JRV2oAk}m-E3A9%a_g_`J`V+D&v9De-m%JSx~^GJ1wAU`Psc+ zf(SOXq;`YenkWsO%rdr&fOb+f@f*km62daeNncqVf$$lNYu0H>GeY!ubup^_RaA9P zPd(a)>WS-j4R-`XLlD~&VGL3 znB~=RU;W;+x{EYr4-2ndCDkekMN$+|dUlw?>e^bA(i=5{o+$|!iD%BC8N-2md zN8DTX?aFsm*|dwf^5@`%Spn_;3?Rvv99_K}bd!`Yks-dHjDb&*E#O?r-hr6ONX-^k z(l_Z$w7TlSErl4U^M2s?=Ld@DI!hX7cJ!Uf@9` z7&xHUJ`3D4OPzm5t-r7-6@XN2mU9l3XP}%Ypt@5U| z)QzqfR|cmufHw_9N&2!-rnC=cX&+W-MoJ6QOABrn(n)q98-fQ6&Giuf4An^zy`_P; zTqJAsgjdW7{nyAs?8&^7CNSCyLvj52awfHp3A87#Y?IPo@^CaE(2m8Ni#?Bq=!YB` z7TSgG7UzHUkzX&%@7B>7Q*HBNNQ20dl;q1gwgh@XVE0o6U1_w6SgZ}DZ7G!Wkqm7m zX&Ekqs&8$-=9qmPS8ZDthCz&p+v9-^zEbAtM};=!7l$4dF?QrC1_#RH6H2b1R*#ST zLAlYWanqF<`E230CuEjB)!R+}w(SnLh18&gCAGKPHqq|t2i`PI$c z6dLSaZxP`Hqv9hio?%l_qQz9Ns)+E#=v-vo*hGyWW@R~CmJ%&cJ(D!)9Y>qtkHp7y zLltj(-#K%Akq$F<5tg_8%7oy|x`*odb@pV_WM(7InQKS)|Iu_E@KE=E{CO&sgk)7# zrRzATL$cRN8E10{XRoaP_s;Wwy}Wv!y9jr`-{<@J zyw|5^-C|mA;QdkC^wNDHyFDL>YFn)Naeqopa*>BPiwKJcNK`MyxfI(*n<2#_Tb)PE z{7~)VzU*T@kCqW8C&i$uQVHkl3kG)nHTpjPUZ^HK$kbVrKColrbWEr_OiT`g3_5z* zOc6m9&e|5f)~>I(q5nR2;yt;1iRI)t-R`48pTz1X4ZoW5%Pi|tggKsk6n41{M}danD#acuc{yrT5YlEB zZBcE3>nbT)Re>rVwz=QNSHWknPi;z=bfWcLEf8am;Q~lJgYrS_`hx=lC1p{kH>W|=W})v~!ynVSJN|I&nXSw`u8wzo-sil&MUT5#+`V=Z z@4Kyd-pP6X{l-8O5k#u6jJFJdZtkx~cHa_1<3xp3(uu*`iWhHp!C3T3F(zAX%RuAU z+(xG_E5+5d{q#}o-Q@xMqi3MyC9#*cshX4PruW>{-$QO)LN0NOBw`zOdo*-q|GJEo zN0s2uaTvnFdfziZR?dAe2D}g4sF-Eec+MLyGHV8J%c$vy6o~8M+EZ}-7oV_-q;c;D zFUA=O@Hpo7pt)Zm8DNMc?6n#g2w-y z-kN_U_U1m@c_%T`SCvg<;PdQBno|8BYk4e}o?wWVB^X(#+c&su^Q86>ttexsQqFb` zqc%IwYxeTuaLRCa-Oj>_-QEWNnhZy`BO+W-PXnJ+uaBWfOw48d9J{@njBGV!`9p(q+0_-Pl)}fHP1v@xKT1Cmzc}0c zpjyw+yrovZ3x5ndAm7n94;*38`>1Ah&|zTBS|x5#k&y25*VdpslJ)0{@qRo6L#9wC z{GjQ5>a5|YCADc+&%Chl@)C63p&7jy)>@sBKj(Z=BP~BcNPP7(&6;jz*3Tf1p@wkb zbwn;H`Po{9pzS(^?`SQIG;V455|SOX>U}FG0;Nwa$bV9Shh0w}L4vN4#35!VB>9(L z&@qDfj0Q7{IqbcqmTMWXX5QKgrV*f$z$S{v^F4%AQShF!#R9!)SUZpYr^uTTt=(cy zx0#UE2^uEXZ8d)-V%J^7IQi;>BuOshi}DuF=O2C26*RySCUNC*=sr6z*d;v5QWwU8<9BH3&a>a?k7Q z-}~|^X$iSCK*lhc88NIoQ~gwCWp*|DfOTIIx18d3P~vurri$6e`g5Xp#P8Dhu*^EbNQEG> ze6rLc`GgL4{FNuPT9o}?jP8}sf=qdwkK|~R*E8K&&OY&IiZmlM1dy7RmwOoy4&7~` zE>7#NPOsKpvj|P(&om3E)CMtovjf^z*sj%CXA5~_E0{B3>iLdyI%@#Qpu!==mby@g z?*j4zZ-sHsrKap}^jX4wW-CEVUoA01bY~nX9|vpG^kng|^KshVGuL>S8+u>fr@|E= zv~|FY*rdI6E1IDSmjq!9E=J5=y_7S3@MS5JR8dZ}aKC4#uBINQ0>P^blfh5YCR_avFA7R$tIofhJ)m z_@(RQkXYkDDV&7R<^KYNz#QjKdA{{%tYJz5D&76Q?jiRj;T(R}&CUALGyj|G^-{r> zFP|2CEGp6*yAZgM3j5DrE~JDvn~VGE@v2z0xrFSEyRMmUKZoQhmFg*pPazA2$ zRu5gs^OdY-__AXu2@I3Qm@hbVmijKHVftyJLW2N*Kf~xB?&A3mOzDeD-fwGt?SbW8 z?^L3!l4&g;cCvej^^}D#k8MgPb}y3rjguPCIDD1XT<=;elAAJ1)6g|MH`ZPEwK=r9 zYyXnm&g=Rh-t+I7>VW+i+C>dT5EV}y2(sT3|j3~|^?E@3!kb?h;e;kjm z=Dp19>1rbhR!~S6XO07lQ8gLYJ&U&Hd}J9^^0Sbd7fB8YWmIv{uYbefjlf*ZH&Bzg zwLlpSN*2@_SWY_LbpwT!Ffx|8d@5J>H^#}x7#g+*Dete?h(Hp5fb|{M$@95yoTP8) z-o1IJ^_f=>f53=9#}C))w?aU8tU=GTpu#xZ@&hqsVjYFyTbrs*8yu>!xtn)xK|>hM zZSO?(A9UZAV8%}-sRN;_rSyhkLAqBKYjqB3r7--HvybJii%tM21L&Ik9BcdsUh?4W zk)g4^ag1df{51&q=}zv+e^z4b`cxEag;}9_q|Iok8ZCO~ECHU%6_-9w`+~#X^sRGz zR8`jYe2wq@yCOC7>+b(xug3D_gZVt6Rbbu6rd(j16UCi(sXcWo?&Wslt9`{uO?-FO zd*c54yJBv72{z&E1Sx*~RkwvYw;ZLQWZHf>wcK4`mWrwqUNkSUjg`fpINgJ;YcB7I z?!%`>0Nir{y!v3M4RExHYJx|;JM>}opU--Hd>YOd&KlYXwkdvD*{GDndk_Lu8aAi z5+0QW-1;mYYIWV+t@M`%;*XKS58nT{MK}0)Q7eU-Odgyz*-+31Vbue00p zN&kXjaZ`S-Uut|XwQfYUb<<^;voy>sJLb_5tUPAA3@VOV%1)>{aX9f**`B}d@u%Lp z$nknkRLxPJdZEzzYf<8lbj;8HHHx)ZYu%CYd~?O%;+rw7{!F=-rd7}7uHexp))^k5 z?TPj7iuSBEs*Y^hoK!u2>ujCH$>IouQy0U*RiN$P@QGt@MzxjwME65m5$!D53Hi8sthNJtqpa!!v_?zj|{L=WbbF`NbLx5Y${z2^=oo~V zPK3Juva;6w5;RvjR}mkxtFMc7WBQ-clT^BY@UxuO-8+@}6-2p4Jr?%=5W4;w zV`-4N+ZphamBNa81H3sjq_6Q&K}yOkb$;n9LvkC+@6cKbc%2jerW_)CSmi4XO~fzu zmZJv3-*7E5qlik{Iu!)4+u~C9p5*Wc-km(U2bDgIYwA_|;yA$tl@=YOg@kfA8vM*% zj94qmaik%|v|?YXIO@(^wI6gjNR(Km;y4gHBVGAqx@Go)=rDbr0=|9Izc5E+I?IFm z#wAuV^M1+wqMhEA=|U!L&)F&c!W$WXi}DNg+gPSfS7UtT<*X|a8>s|X8gO=FTp&gl z;gOhqWEDT_W%{Rz$&0ktZ>9Cv#>ybZU^(mE>B}>D7Zrkaz$zci#$f%=`G}Y9C$xf! z$K1?_U?CoLYI{&sEeZ?x6-w;EG>ZLC1A1U^oE3O)PVN#g=K!O+N817>XRT|S=-bS`UC zKKgz$nBu`@8Pu%D680ODL=&OYXN^>9o+i5aBIqVErJOVvt;NM@2W5e%>gb7{$;5u~ z`g>o_*TLDL@ASuB(sF0TK=m-?*oB+{RUL%u6^ULZ&qqf#G71S(2Hy{52XJLxwkoA!pnNh!k&%@UP#thtA>C zDea;B0T*qAC$LibmX%K*5Egv)@kne(-XY1! zsH%+3;wD1{e8RRG2Ex5vlEc|OnG5t|^P)e#9E|ENSr2-3ca7NIipzYd!nyIxi6)Q7 zKv~6QtORSjbk&|u-ZARc+R*4gT0&pgr2n$*Qs#%guv5Ne+gloaJz?3f%ACHRs$)Fl zzo})c4HmLdAsc@o&-2;sp)9C2M6khCR8A*-v3F1g++5k{SgLm26iTBm22PA~z4=e2 zHOp3hw%xYJ+X4VT1^%%XmQI~(3K5PLO_sewRN-(C8F5&upIB08z^=&u<|W35$0swAuFY&z7rjv>@O56>9*#n; zzu=WQr!0wBVfj;fERjTeZ(Kx2v^&Tzrje ztApHiOMie;x@1R*G10lF>;1e{6aF%(fb~sPLn7!xIDwxm&iY#_4Hwio(kuNOf1cb3 zzBSacaWn(o2=I=!4q-BM%f5UIDEFs0%61TsU~mZ9%C0!`0)sAA85I6}cY&4HKez6VyBfE)kj{!&TcCMx3<7z^_MCyD;)n@1 zabKk~(lRMJF7j88sdul0n;!P7tE^SOLWN4la~UZi3l@3n@psoi*Qp|JH7f+`ICQwwi+wjaZD$fHJ?KpcYe>wI;)kD}#ZRbFFkl~i1aG=$+w z`Buw%@>z@32u@A%C#|KyEjNx>^SjaETpXsj0#prrnnev8`f9)bm{xYTO%(-id=dHN zmU>5k@lS`ej>`&v=Oj&(`Mh0}?_Dtdg{}<1s#~8G_WH`6ms6i>c0l}4i5S4*qal1q z{$3Z@@3GgS?k`7F&NC{%Hz-&;EVx{1Ya*jHc7}bdBQ`RSOqzT$h0D!nU{8G}#-p3L zSlz?hx4q8Td=c$w{QhDu9uWsHcx9VcGxV^{Yvz3&d*2FlW07jHz_!fQw?_seSQk}Y z#fDB?WU7T~R!v}2T(WK`#(JuYLsl=BP|p56Y;B$Dy;yIs42zyL7#bhy_6N6ie%nCF$Sn_c^;knlu29YXYe1>`n)K9r^27B~sT9pJs`f-U(7Ao7 z(A4?PqoQ(uvk)a?+FXuuUTmltb{{QQgYN&L5>}zaKI>qy_1iQ01?BfvvG=JRLroD@S;*X zJ{jxpRCtUQ1G~jkrJ!r1Eo5_0*865_YH~(+QwNTI!NG3lLq5Z&(1dt^l(ELIb+mhR zN3-#-B@mxHNTLFgIx+>;I&Fg$*SM@LXMWBDYM{@;NILtITXattThgq#tGf2IDROx6 z_M3`dX;UH&%6Mphnku(B+IOjOTD3(=j1p7EZ704nV{1@w4J`MNZqB)MhR9dsAllb# zYEN#99Iw!FMEO8WC`;cEF(qX=;7UX=`XIY@$@Aewn=&i_++LEujKJg_C`L#8$nH3P zvC+Yu8H3RUa&2(uaN4e$?+?Cgv;BR?l@0~(l_gYHn3a(*2lpSXfYkrrPhKky7PI%y zB(QQ+ND#}Ss}uaAzui8sfJq25B!Xfv`sh$g0Wp88`bhH* zpR|`^XO`}w@}cor7VLP!k4oaMsrA`p!LIGZjCySewD?i*ZGgmx+XsQwDJ#(|)d!vS z@2HTYcPszB=Hp=jmpID`=E%qgZ6S5IB5q7)`SO=HmD_D(y_fdw-QQ-}uGUgpPf(;BMXwQ`JR?)lO#o~gsGy;=G^T$a(Wz1Og9y=sf2nOf zLmnz53WGAuCj+#^j8KhAqQze(HqLF_u&ZF_uM5)2({tr$(iZ=Efn&pZQ8od)x%1`1 z_e4jRUehJ(a9%98#riHhPAH$~%9;nlD_!{1T_{I^G3aFU7eizQoY?*)!=kjo(9U>M zJGKHkO`zo_^*fs+o;TioDY6SHum$ruua?vtFSgHetTh8NgG7VQQlMBa`6>PPzg3JT zKA&|e+LL4+RvzHw0~JUfOy zEPTb!vLDztl$HBG`lmV8C|x4Feo!G(nrzigvaE0MZBHDx@rx|WCLUGmxv?nnJnvS2 z_0I*P8>h`QqV}Df+7({}V7?3G>}N3E(fjRdg4i45G;DTijT@;}NHPl$DU0;y0Sjnsy@*^erPRCI(9wk-!P)^M9svv_xo||_vRrv zmz0&z^(|?{?5!bS4zP+FV;xQ0k8=x}_F4%+(+)~xee@~!`O1lku?8_-r=-O-$`SV2 z`rSVevou$U+Ed9as20WUK_}}fiKOx1zp3KU(3Hj7yVp{v?+bn?cYWi}wr(mCqq!{^ zh8I4JB(ffZ|9nHsk0-JM)j>*E75_s^hf@>~P)3f+#oYSwU=Wx6VD0ZcI*&_q_Agf? zfT#haS5BVQV%=3=V=&zO3x{$3KNiVyP2?(G$P(!G{MLk$MV{XUe1BLf!4!-C5T7Me z_QHM3@kl?fSg$h&nbDlVS6q2a+)qA)qj!uK3eijY_L6liHI@eLZIJ;+{`~hH4E*_X z2kQ1%6_~=1c88rX$ay`mG#-oY(Dx9^pr}zmTRd-$vF5*Ds@&obuA<;8#Jm*->x~F< zBW_~1!?f)@Sg)R^h{-LY44V19@itg(%cD_+mi(ooCxG}s+cirYC)I|TI4b$!P~EML zF^Qb6HaFTuLQRG$)8n_L7BRW%0_gW>2e(%p~3eZdn=ValNjXEhaS=62UId3}YiViNv3!rmf)jI8rg`OCO@YJfimR=1?MU~DnW#CWJC2k}YiHBbPC?Vs4c?GQU|r$!HcmDTeIi9!y7 zAWCBUl~{8C)OezrWoVcyxLqZh?S#4z12OWwGvjXzilx5lMuQGo6$7y>=%%SX&D`S> zdrySCbx*`3jlb2TCugZDeV9xYjucU}NQma5ci&n)Sm!Hf6c0yM>sQi4@#v)`K)xe(d zFIIEkvBzIxR^4I`+1yk?Jel++72Z=UYu@?g#V7qVMHh7PDpYfv|&uTNxO2 zz5k$^g&-AaY;8G+0JGdb4ehJ7;svZsr_n?N$%%}h%B+UC7-~U8IVWhmLeKGfoac~3 z&-0p?<6)3mEEIZ;Oy!z8PTqm>tW>`HI{DdeQ&GrlxTT{f8+bz@LJ)b4nT@MwS}ow+ z1u#q?2r#+@EwKEiK~%Oq`Uuz@PaMZ2;1hIfE*Tonhot^yXMGiCU7gOmEI)1_?P<$< z53%+zWNPoGH2&dTSJd05xFgp{ONmyl@?#3z)Ew(x7bGir5aBUPOs%x!c|;4r{wFFu z2aO4Ty89&dP5nbmmQzXh33U*I8D0+LonT=`1T0PrD_QNMQamjctzGcB_JrD(3qRHc zvjNM_vp4}HUurK!Ego#nS=O%|pC@L}O#e7}`)Hwgr!N(co%cwvD9?#Mo~hgR`u{)D zJV?ZKC1}7trEr&Vne_Z1NHDP&B^4PyTRIiP~nY#3@;hf0nCYeppu^OwS#XU`@ z4EQDC{Ua4IwPTG8kBmGb+ATNAeB)(RK=YUF)9gj;(Dqy?_zbioSD{o`tfh2+`ht8;?2gQX2ll=oBGx)W__KjiWCyQPWDX~ z+PLqSA5kZMRqN7taN4exwhdHH_{y4){CQP#T2T3vhK!2P8s@h)p#6!ID;1kA0K5>0 zdOVsChEI=o`a@Ap8Mhgq7MEcDcv0UelZQw?ZnpD$^AdokQ$P9lAAg-)-Wd5kW_4(2 zH&gee87^ou7NieOYT&g%shgI(XsPH$6V;t32>-OC*L*PZ)lfUL;Jn?@4<53+y{I?2 zuydb#?)X}JS+3yUx0G=u6XDh6?xLAKmpFAu##z~MGf4s}+qDAbg&z3A&J8<;B=EI~ z8*N|S7SJTrT>(GDO`EBWj?dwgzZ%cU)7d;_Y}hw_!3HapmIFGSM|FRs4sS6fsE=W- zXUbF2pCu~`jq3y#?Dka0Od>b5rX?%4#Kw)5TBWsqcnM=!&pg0BD{Fp|!d-N5tIo?yaB}g`b&rsPoxCUc!f0y z{CpuuP#B4Sxor_}kk1}`ag-ZdP_|5|pP`7B2=;P?|NZNnd`T5QfrYTmk83&g8#C$l zb$dCq(VNkc zHOnsu7#!Nw3R2yXmTNH`JencV{`XIuR8ejX98J>zw&2z|usVzwQJ%(mcw8cE;;3`Y z>2~XHfIXg=Elp3Kyn;7GqlgEc^lv^r_@#Z%*!@QtF*js}V!5BcPh-8c#y*k_7 zU`4MLcM(L$iQ~gI?9xbPkUgR2X&cSo=Np_W5%dB&wK9&Ci0K11_0?Z@ zz8RPkbRiK-RogCfFm`)sv#maZvu*UX9*?ek>Qr#}#&^sZv%qc__`=gxk;HD9aR%uc zH-OiBC1MVnF~VHT%}SkV!d!U|i&GW%IrgNum5QDgKK?QHr!!bM*?~LBm0PY)(EH?V zto3?UTMv#}RhEui(lbuffT&2IgIuy0`oC*jwfXL4;70p|75f%67U09LKfdFJKl{&?^*Nu)*(Ez|Cu z_4{@G0X=mc^5Yvf0Fk+XtgqY9oz%Ai{~H)Tam{cS=_b9ms^G+??d1DYs!I$hm6qKS z3-`g89|pHOrQ{=sxgjiw|E$<7_~_QfE)TlYfl)EBwtI+Ki}$8`wx&2b#sg*SvcTcf zD4nXlIpe@7vrFV8{}-79`dAphJ+{j<-QK^Ch-F7gfZH<`R*9Pv^c6B3nkj8XQ#qg2 z40CzQo@>?Y`(0vlVdKR`vV0zKCYYq}%hZvc@( zV3^a-taNb|nR1-ePAP5{{4D?0m)*Mf5ih#D(#m{mkLTjYZ8LS44}o*u?&)oE@-*{F z7ds!XCdA@<<67nRdLVBj~m;pfBHdOZ^#6IHDKLdt(fK5*tkLTPSh5YRadlh+=|9= zNdpfAW7H%oVvdJ1H9(}UlFe>V7Z|n=J_df|Wc^IfDh@-LTrV;>= zsuuU;qAIfQG|jPc4a&&RehCzEvFRyw!)g$Qp&p;3i^y#)HpaR9c4r<-h00M1x`_{Sgc_;3~=WRA!o5jn7xDdA9bze>- z40oLFYop_o0ftj_{gn*<8(~hvxkS=u1w=rdTl~BHiH0?}HJUe6??llpG|$T79|cA(hV3|ndo{IQQpLv@xjgP@lD~(ng%FilAJEPtDwVyUv@5Jud`L-<}rRa)aT ztVS`GOLC8lCR>y_!GosQGHjXoX56NKiJ+$ukdm_)aQJ+9K(-qqYxYRXlBucAUq6 zLb8he!A|%i%9(3q_o$Fh1RfSVsnBgH{b{)(Ea#X32RFoD2a1Rt;o_Upr!)ktv0BAZ zJo#|i4XVRozYUC&J3MkVLMmq~<%+V_xQjka*4&92Kw4Aesp?-ug>LlxGnm5-vY z2>9%_hrwnV`j+_3*(qnU(^7pf7Ns*9lBkd6A~!}hZrlk}^}2C2se0xfs|Ir)ZvyE3IE_ICHqU~!hcy*!)`11glkyFkswbm(-a(OCY#1ZEum6n{ty`$H=~;c zukeS`_ngFf2vg(RGqXs}Qh0vDo~114fVgh}h;`*HS+e`Of<^$iXM})fL7_d3I(xj) zcl$n3ixCdmllgpiMZ@?m6+ZkJA6hnMoeYf5`0gJp_NLWK*S++~GdBN3BN)BJ7}w>{ zyMA`eQ|LJ75wAS>Vus^CnJ*CdHr2ohq(x4H%eIOL6>=#{bfyFQWwwB1dxHO9+YRa% zqEa8Q}OJTqg4`OV(x>i-H?9r@m=X+kIe+d)zC^Yes8=; ztR1MDQ1Gp95=lV4eMMS4p>6?NK;7@uZ)>8m-I96jv2>mK-rSfln`oeoVLskzY=zu~ z>K%D!t7 zQOEE0F20%-(*+GhmbeUEf%rSuLXN+@eJvVC{V`xLwB9pEnh?VT{<1c z=6O(|zRi5FM5cREm=Dvp)Btt{fboMUp-Q7)x^=lXjz**N^A`3iC&gEtt<9sL__xw@ za63AxFx97aU8U4^P|Z3D&o0qctD2{{ha+5Nmkt`acZ+=Q8TcDZ{Ac{uv5qcSdLP1M zDo^;8#u`4$*dGSX3c(&9b?VnTFA%R~LnUh&d6k*;HP0t(4ZTje-xKg5x!+)*1*-KF zMaGRl@s&1KROOH9I=LH9E@EFK3T4wUQvN-S60H%UU{2~MBy-r<{8F1L{^T9CQFHy}B7pZO9(`p%4{{`AXu+bz{o4{aGRR{59~Dh;+$W(-kkS=^a0l_vdqX`0JKm z4XLC<@W!Z$>}x-47dgg@`BJ)X;b)C^X(Lg$rJUaS>9SKW92q~ z_8gg*VM}y>pq;|QRt1>O1X2RzQncB+F$u<=kg>O*%DwhFhGlkn;f;oJ0c%+H%)3xdY;(Q;aabAqubV=-T1&HM{7puDlzZ zHyvg#S2wBM85PO5^Sl~&d+Wee=4P~l=7U(gX1JF>R>_7m3e(5)mSU^V*Kym$SNi)X zUtsA6(UZyfpz5+x3FaN%gGu8&X*~MP#{S0X`_;By=iY$%@~7@?^CUpEWVYH`?T3cg z=VgpsG5{nVUXhehW&A^u=a*4Bkw%-{HR}2x)D!eg)2+{lue+7yD_mt9u`@Gxo@!{y zQoYcS*Gr@&OwM=R0Io@SjSd8?SdgjgAJr^x0E;PL!t9KT zW2=Sw8C5|ng>~fkDQe3vK=-bD^6?guX!gZ&vd1Ya}`Wa{)%V4Y!ED&*^;G`7S7$(KVrdpd1kWM%Vb&)lab#ssZD$q zP=J^|c@LIwHjs-zr~zd~52XwEmoR#6RN>G`9{ZdT3a)qbnC4Ia+{eX>}kC?wBR zx$YyMlA|Xc0QbN|Wo}J8qn)&DRdXURPZN?=8mXx?iP_)s#!++pdd8p+1?5vFMyPyH zy81hiyXatV`iLxx^+Eff`&+j1PJmn+8d9D$=&JL!R#DSIJ;u*IO4dq4?*21|30Gd@ zbuNJCTJx=(V!3eJolWrQcV&U&^9t(b$`^g`La&CW*1D|3am5KC)vJFriKTwM%o(Uw z20HlBi4`)MPRpbsPmRt4HcNl~U#ZWxIWnOcH)DVAEnHT$7B9bnss4LDm*CZzcwJ`g zH+b?5F`U!I!qD80M++&>LYSm_@5FkpQU64sVZf_A(C0&Jm+pUWMpy~IKdIg12|U>I z+Cki{yC`e11Y+k&j&6U0K}k8YF`^FgmK2O#K(gSzDp&>z>gj)yv-9sHR^K=xS8crQ zeY~dCn&;V5k!?prNA0soPC|8B%#RYj>LUToc5#6rOW#UgQBpSLe~mv4fy9>yBp?8I zQvkGkjPQoUqbvu>J4AOiar61-e*;eS-T`9~6b z(a`yCjGKcmNY3SD^r9nWGBFR&8DCK+gxhOSV2eBUW_X>8)z`@yi$Y^OExo}(@> zA1jprV2!4sbtlPZ|FaB!-ydH4+q6imXegS{=sm)si3b;R5K9gBt}pBSqchzpIA^{2 z#{gsQb@2kQ#sYr_lJBqEcBNhG2fcUG%zGu%fZ-`Oc5Et1@v=Z&wqXPQkNN~W1l(WW z$;prFV60RES0?(BaK5@>Hz&VwijHr_C@n_g0k~ofs)&1rw3$lJ56cih!5A`8ccJh?n zyOWu)Y`#)I$;#~dLn1hi$CyY@WcI4Yx6pPECC=$T!X`v|^baPKSKrxgxoUuF55fX5 zLn~s-ymUjSDC^b8B7)m@zE|axyU!h!bs!f-Bn_U# zwJ)OM2Xj)W?%%M$@PC~|CUf%~^T!r|nvS4$FBECht9Q>g;W1wsU!1diIZ1S@P(_q z`F?k)h4%q6aJ%TGw_`IfNyIl1?f+!db5uEt0`?Y(D$A54X5ZuuX1cG(Sg6#4V^w+7 zo>gNnU6@j&M(hpE(k|J6<|#(H6ZZ4ImjSxbiniax4%^)>z&qP5mT46#FL2j&I|44C z2lv?j#Y$9Gq_7#sz~jJnsey!uWqO8EFIo)xd|sqq{XY0n6zT; zU+8vsOSLjoOIH^@_l6L#zzg?MDw!DPV%U|4CMN+?J7D=qrQ!g_aB|uGwF@xx$brz8 zT3i%>34hA@iYhtL4S~77j=Pp8I=_`&pPXNzotthew&9#%=Kp$ZECib)*WCoq0F!)N z%(7exw()2gsMw1o3^!j9z2%&XJt}XESvLCS#G0BvcNfr{Mpi)hvK0H*&vtT~1K!`m z0VYzp9kNpT;qP2)t86o)srVQlfK8u5K1M}-Y0H0g}#@fq*X8FYWufMcJo_I<%$$4b_relwghI3wfIg4q|Z9;coMuq>)CpT-3~Z<+$Gyy*!OsA2t1U zV#;+fhoFK0?~H6)w*R{OczRfzE5?4Ag&-e+&70pV0o$69v4|&xw-7)DJ5(iC=lo4e zkl+~roa7+R9!$&|X6S{sZ}ExDh+l5;JUUulDB_fN++*9|3nywJ%OHQGLb3;KnQSb< z9~>}5&qC4so=BWdWlAO9_jkmfQYq`8ZHm`9Z4(Krj318Vf9qa~hRf&&m~OvbZ~Z(Y zBHsgqS`AYZ>t3sF50Iw<^Pu8jbhwDm!4OaVcVOuU{gZ0?Sr;uA z(LgdIIJJ_LTUF)c6VOuMbcTI#*(9GLBB@>DvqO>j|9*|phL};ET6{?P;p^l<61(PQ>AO1 zvbE6OxVR=74ThHz0NNjKkk^;2>kS$eYZKB)w8Rd~Z*i~beLxg(cWqpawf=~`x}qWP z60-1zUv7y>BSW;s>d)=^hjhOFw_QRaP4`~Y^F3^w5oS#Ojx1ep^E*FgJ^#EYd2u4F z(>QkhR1YP*@R0VxbhlPjqb0Ib*^I)|5%S;+A>sdh~vevmYQuiMX9kylYYGX=9 zz<|G&un2CYE`3B-BoG$9^^Knd6UVifw_{#e-=;oLYAZNy9@Z8xN3aV3!YhP{Q+lB} zQq@{l4{UNJt1h)_CN(&54Tg(An8$b7?bXs5G*23`dQ$tW#_Wb8!ioAmmsp#qS&=*k zR;`m~E1$!Oc8}D{9z+n9v|U~6u}x{Ze|<|c#Q&)QbF{x#d=%aKfd{(rUeI_Vvq*^J zZ`>eSU_TBVhB=~bb}}PoY(x6Sy%mZcqQLPEqAnJMt@`*DGTj!vXd(#4Z__Sq%^)w2 z0JoZtLNMTclygL7H_eF9oUFJVUx;Hr`t;o}Z{xnkQ%*a8o&lCisC&1+G{#Lu$;Ncf zXJ~8$<;y4etq>t-aRa0f0JZvuf*`Uc@EJ;9X|;#x4IR1joDkUI`?7O2+{F-b+glFM zJc3AF8R5l~z_F>M%lN4O6+QmRc5Z%H{zLWS1^>an-rjD8^SvaNFMTXhTGK&w3+)CK zar;Ui)+@jr#SRt5c#|oNPcqh=ve|zFGmS5(spM7p=z1Ro*r++axz9ly{P6iA0H5t$ z)r6sXk3hTR?f!22^vmjujJVu}?!Gapl4oDwvh7`g3x!)F*Gjd4L-SkR-I-?FPl)1; zkSp@Br;g5rKtcM@vX26DM;}$_nxU88H0=Jnv8&3r{%%c7?5!NI6$PbTTej|ssbl8O zsK-4m+3*Di$_sF2dFK#odT#!B$!~YAZBwXgkl*--`#iNsDFmTHw&7FQre>UfP+88* z=C)BGb#*UmSgI=gN+&EU%&&2=7;ql7rv%RRNZpOond%)X)EPBdcsQ8Az%Sgw>!W6k zTfD+6fS-K8M`)kE9*xg1<2*IqOV#F*NTb3Qjeh=bb&I$gDgP_~27c{>&xBvBm6-}} zoOyKaO`~IsqfZ<+leh_?Lv6k?IAh`B+m03IY5LCP@BhffA2)U)`k#|CsXB9No49G= z7{7E6m=80Kk27|H##8$Qyf^mzKUK*<(0#S0+=b07BrlJhd{pg(*4Tf{H;gxX#9YdY=WFCbCY`BbU(YaTlg1J$(r9_qJOe;W2Ip;hbWgo1*qv1$ECKDTLhfm!{@8xr&g|- zaOix}7*y7wF!`oR(3}WO#Bjl5C=Eh9>&n-@@TSeAd`}&7#xJskg_YxG#)jHk-PcVs z7~u;Aw&uksuXK=gS7Y#0#YOmYDHl6F#eh)V!m6#v8mS@P-;DvJKrDSLtAi?0jJb6w`eDwql#soQd+a&EVUy;hPT7 z`*_R#;5Mj9CM92teO+bi$!3oOi(w*IV=Yn^Z0~)XWeM3aD)aKj!YcHRraaw5qu66X z3@eiFo7 zcjx$Vrhd@{z_)`^#_nA@9gVJ63U_i^P^^0=_`L65GFnZ8_k62D@%1`Ctmsdj#@F4y z7UEZ#Bx29Bw{NJWdtf%uG*<~qW5A7o)LkXuk|YVae-Y?;6FdINKZLXLUwDm<1WYYJ zt;i+W_4Qr~cVB?_e|KwM^%INfwhHK?vu3)J1IX$tt*u_-Gf2{?jgc@JJega53t|31 z;diB5Ac(0MH{07D#folX7x{-xZs$x~T-j7s^z50ar_95*bQ$pf;{!kk6F6|13a#-l zp%Ts^ab;zhgEspIY{8!I>{LNQ)#(Sv10NiCISN!2D2TxK6K)`T*0YXD_B+y+&-$a*9`N_tu#bXSMht&G1c1nyWCe)O3 z6jF?c0XiYDxdXgrR|yXS2L?ZpX2L7;m%!3YTlT*^YVoVJt|RY(2q{rlS*PLYul{e zjwCOKF?eh?_a8ar;7-O!*I2PcZEkM@o?8GOUb*sA)>fN@JS|^({eFlRwcS zl*ZXqM^c)$bej}JK9mT1-%vwEGJAu`DM*=j%E)GPIzqrDR|KQv^t|7w8M9+sYN4+Lfw>;Lk8Uf+o6iU7k=3{GQ#O|ncEs;jQG8JC7xu$>uBz__bihV6eu-+gy zfTZ;q(@qFJQ6sm6SStDBjEAKv0ZR!-yBCaAkL=;4j&Wtm-8=VRy=Sk_T)b+h7Q12O z`CN*zU*p$ghxYOUgmAAwZ)^5DOy{GNMAIy_EBkvqvuh5sCiALTD>m9aO6JNxfIKov zdTFY?EHV!rIhxbw``JysH>2<*I$*;qCqyB+8C`wlAXI`-4EDP9K8EV@%tvy85HozH z3xgxk{!l3Aai^A;q&>BbRy}6|N#KaeIo(w(0D~+vC&JIO>{MCI=wPdmV$VKEg987Z zT02>*vxFlruDKn%9@ET7+mI_ zU3t489JW!7FcLy%{Y)8sf&a>pMCM}zUNx4|Fj}L0{$;_ycuUyAP98+1>1JXL9L_=}&zxTU>3lLDt z&p;YLh&`z|naJEd6y);H`y56D#ImbKx=d%gAybcO(WYeb-M{J&e)GKO7AC9xN}BWo ze}xID_^90}4@|7HV#!v~qz9Hjh?1c}^JAfm_akdjT;^e`#_}$IAwOPkoT~x2In?7u z2bPeXz8j1Cwo`MZr~_m4^Q;Fw<6(-r|39X_0<7up zi(5qjK|mBxNfnV2Q0Yb#P*O#@L~`Wl1}PB`kd~GhHAarnEg%g;x;sX9^WMSl|Gw|@ zeDT??8*KM`?>(RMIiGV5C{3;mpwU>EUwyu=6%V6=#Ak^MaSssF$s80s4h&#?`S}u- z!hBfK@DX3ZslQAeZrN0p@9-9$;GNu8*!=aak^w;yR%d-<{Hqv5*OrfyvD0h1kL@<7 zH3P&-X$eKZ2i_i8{|I6Gwk2usk9jGR2*zAv@cLZ#Kn_xGDUAu+h+}l}b0}%|-#$t( zq7X{g|K@i-U+|17$l^FLkP2p=@K6XP&zD8eCYiu`#`iB>^J3_IA?I?>300(517{I0 zivy&m{z^;%(xLNx)E7Y+v^~*T-ay#fX!P;X>#B~E&Z&}o=v*dPgo<=s}v7)`F;~>2@ zS@0A6Uzt#4pL$DH@nmdFZ|dLN+Gu47DDjCXx;@G(aLk_DQS}A$(#f*dXDtJ>OgXSTc5Xt|u+2ie#k5o*5&*L<)Ho_(q2qDW-ksQ<00XX!MlTF4_2} z?`!VA8XAswNQp_-=T4$nfZ1}UH9S5H*_(@HN?W=dek3cqcEYme+ggs`!Kr#}P zBu@q8m}5XE#VB(OSr=7dBSGZ! zf+6Sai(pQS7f*O5GJh#iC<)u!6?8Vq4f_DG*br1nyX2}2T4e)Ae~!Sn25pc?{mV4?@!MowqX zMYS{$Z!H8sTiZU*Q4^Pv9~~a_;y_hdNvi8vdd{2^M5H1+Jtw9Bit>1kY^X+^X#9zo z{Gk!0A-jo1db~0u(oos5&7b~l=2jqm0pzb0**?`F-B;KmzY)y*<;IB1Ek{=2DJOkO zjl2r+k@44{){Ba9n-PA<9H$ScN8S8PYt5KK#!&D5Lq{Imsnklr#F?wG{V~4^$g5r# za)+4Bkp*j6!35Aj4M=87vkn{yed?@2q6?=R#ISWUJ7`Ht<~j(@r+Z|RrLdwXU~l+E zqY9^AueVmr=QXW>5T7`(unTh?)V=N-_l+*9d?!a7{BSEHzL|7}N%PVW5EL?`ZV`ul zo>j>iyoFsqerRN)^LW22FJi!3out~*^ZDrhPX)GuZ0!Der1+kJNmn{hbYlJgRz5*C zHxq}8c^_2)ZA~dNw#}vH?GF&`f|_0%Jeg}Pg{Ko+59&5NwTmZn2LR0=;)&R^@=%lY z*FH3fV(tKCf5FPI;W+-ae-LlVQ_K;T!H?$}p&fI4;Jk?0=#hK(PaXkks4k-*9yhZ#|e%7lDr)y3Xh>cp}7fo4|?GGg= zK?wiU<8+`L=B2mg6J09(hEo1tBv2jFPAjdq_x>VoH)fAFCqkME zW@qSbdP0R++x-dt0u0^REsa*^h2rU#!&=DrE&yuamw*^txXynYZv=u2FmV zX%y5;r@*iI6;>V3Yks~nCsbz+l;mp%ap&W0>BcIcW+5n_7VSjYF;V!$!<1IY zo@n6aT0tLifoFMWG7&eY0*j|9X6N=|rf(ZVUGsnf`J{TuokFp@G&7-|=Clj@+QXEn!MLbu*kU zjZwBmZP5b?AAW3lr{gr3CX)+R;G;;%`VyvFwlNqz&eg`?Fut$<**~@rQdN?^OQE6p zXVpU2P0aO^_(-2MmbNmtda-WI!dAVx%4=pdHO6ZdD6tNmNs2R5J4zC=m=Wp!UXnKo z>J&wRQxT*>btnE)Z8Hj|tiUMI+{5fs;EaspdB`*1TX=#^_{e0dzYZ1UFi>-fV3Q#) zG02+4H*4X)77d%Cn(#1ZeFlob+zg(Ia5EUz`t{@sTmC6VUF0r(yz!$7gfpx5ml7oy zDSZUqi~5RX6MK!I#PKEzxHP>a6G_C%1TUw<12{;#Vt=&x!MzJFW}KkQJ@xe(0qgyZ zM|t*wLCX1NpRl5%r?$QKoQg-xBZP{BYSS;p!1~{(9-L>EfF{Erai&VAyrfW8Hs4Hg z-t0MiDTBhAU~^%2o-73k!PbdNGO{7q zF7+J#jAIll`vOX@ggfrCkN)d0g(u#urA=VwJ5&5N9Mp6+ZtM`IEdEUT8hKKABD2$S z+Kq&q*2_4KuQ|v-uU}Ju;)D32wt@JGUJR%s@P1U8Pbb8|jKF{4&C07KLW`dF(7o@CBU72de6*KsXj-Zp?&ei_&O+&jT62jkJ!vg5jF>_PC z^wh1_eCd%Fb*DlWs~MjbYhBe8BQPS-Q&D^3xUXdEeQ+1Ae^P+FP_dc6E=AF*OG zJ-wsTKu6mZVNNc@SF(#rmW!VeHLXGopnzZ3-RqdWqdzG|w$1GwJRz%RPS;AkT;IHB zG|#6w-rsQcE!o|toKNhm@2k`Xc|)7h1U;E#69UTAYfGTQ?M~uyEk)LNdXudJHc|BT z)6> zd!cH5q-gtPEAD;l_PZl%EvUg(98Y?Pf==#sQkhE}a|hKx@G9Au@=}}KW(oL5!h`lv zD)P5ATmLXFhpj#WF^%sN&@k2jQg+7OKaNI3Wf9)8%xmYpETT-H8FNHkrH*<{8n#mFzcT#qq2Vzo5V8M$W8 zO=HBeJ@{e9Ap|A+y~a*jE|3`%E-%Syh@LF0%EXrCZ{C285wea5)K#1>w<y`Z*L24GCg)K{}I-CT3kY~{x-nA$uV}nhAuoG`W zZ#qqElW}RE<0-s~M3EY{_dIx)Oh*Sy77_>VZHzr>Tyb z6~ooBy~Wn3n^vX{vUz5p84S(Ti)6aNKR8v!Yu(Z)-S^m1;`pl}EjrlRSr5a**amy` zU9@Un%+XG5KMq0P7PvzTD%5GX47@u4O8QHk$XO*RN3%hjENJC6R8MClQCmdsFc@&M zlA;L5GgbAn3AAawDPr0}8Rht4>)+3tAG(b^&02?0--&YSG3T-w)IfU)xW0_W$HB}- ze`9iV{Xs=Dz=*V#aUpd5O&Ga3us2Lk+UiG^ma-=5CP(`(f^_Y_25D&f_D9%G=+7?F zjoQ)TSY4*xa)&P@#iEb7@!8nj%8jO{fr;89Iw;lO-}|-YWboXyTUFKFZ|f{7Xm_TZ zFa9BdoZBT|Xii~l6@y%WK&!q?k0@G6Cp1%u5`LmG+7!_a&wpbLPs*^ZYj7|uH#dB` zZ~PZNI`^lGdxpJvjB%Qs@qYh{vAOtoE;q@iwOa994k+@_$=l*qHeKu`Hl~XtG);Hl z@3F;@pZ1o|@~)EQ_U4&A2Wj*Bw?J}Id2cUn?^^9dFL)2wet;vF{;552FtwNx`T9?w zz_w(vOG`(kQy!Ld$hSTC0N;_EnjCT37P$!UtCrVBUpH$Xb08d3ggf!|%ffHowm*rN z!mPB;7y69x@YDE9deX_ zy!OH*en(sEq4kXtQ2RY%I(oH^;E(ZefkdWQd~f!k<%Gzo$J}iq`RcizuDqj~YBo;o zYyl;x+OdeLd&pCrC#9-uM`xki#~7pK`-rN6IQlvJyjvs<29EdH8}yE2Md+)k4m{j6 zDoO4US$@Pw$t6>=OLJ;Q*f)Z@si%g$=LYh`v>$g*3gKs#tEQz)=2xH})F)m`C!SBY zo?}K{e(A|LrhB2E#nOStE?q%a%7kd&Bg7yiCnzktcW@`j(k%&_T(&rak58!ke=-Fz zM4$(sGZ8uLi)t78zkv0snLS5fciuUjx#cxf-@nrkx+f6@cLD_7e*E^>hVPv;rG%yT zRK%m#=>cR`VdFpBokFL4ek8wtWBpesjo0Rze85ZnOs3Z-dq=LKrU_bIW5*)<+aBk+ zZFUHe0p~TBG1I)_yM-)aJGD!X$2;%WWn!f94CW{(*BiHWP-?)Wr z!kB3ytOMYp>zR!;cuM zTH?~|DUO$Pt7Pk1HyNc6nE>2QuH}osBny(P_k(dwYe0KV33#OB3vAg(tDfM?2SGS49 zyColy+ws!d4!lLUyn|*})ZIQocRwWCJ=7>4lkPlzC$k@;uFl36i5oBdR-LRzcxlSw zri%K_>aq~wKe-|cg0jdU(QcEq`qwsHK)aGF!Z<@)0!FwTHr_)%Y@-=uYO|2soz4P@ zW{S!iv&67G5x=UYp}!2QV;_IeN58>Yefp~WY?UVjFF|Hq*VkNnU00$)wm>qXM^ex- zd7e0)9#6AFYp>CEw%=i*F?4%BAB__r{A?xFYNm_4U$qI>J=WzPYOo<7QJ^`+YHLiV zkq|j87#ZAjnlU7^I`vLL&4O4xwP0iMEn!D3F75pMZ@V;KKl+4Q<-_*kz=N&jN1xqI zno4h0ql~TDDN^BuolUw^A0=mrIa+uoxNR%x3tJ3Tv3_O))A{d}cx zRw3waXf`=eYhO5%p^$NJx3J_}>W7j~2vU7lYu@dpgDG0&>|3$)M6|)SQ2VJ~U1#?X z+0VG7D21q(Z2gWfBibcl~cnqExcQJtT{Bex!i&9U&ak1 zF5FyCSo_nBciZ#}OVzf8Lvdw>ve-8S_X9U~Yf7s0u@Vz?l;~f+{ff@;#N|OwB zX-9&LAY6IaEQEA1rO_^6kxk&|`9N9YGBaCF8SF;=Z$)lGpV}<0$xrHKa+3{h_(M*esJZh)zvk{{VVdYs~pM5ljSi& zSd0X}*S8`=8?_H#(_tLDmYGx@Lw|eh1Meeo#ix>8(qux6jXm?{ZdFK76Ugmf31KN~ z-};vJa*fp0(c!gSh;5jQLTJs)JSzk6Bxgc(HFYWy0Ch^|@Y8RbX!?5|bup*NE)yc0d2Yfl|Kz%O{!6)Nv;eL}r7 znGq8OXRMhR&6RGEJF90INxRY^%+*Z|!Cs(exJ@fgM<{MbsJxWfhHod&hprl&vDa;R z@g@w4L%5d1H{U47prm@(+;W(ND%@hpeU0*v#VH#ry(I{3{-x0kX7a~F>!gBx*E@}G z#nUIq3QfpAMB|3%)R9AXA$9!d0}UF;A-kN#Yz4TH3vU-*(;^i+@@EXrOUCWT@#5); z;_0<^jl8SG?u#P*X}TpceC@@eC#u|O>_Uun@0xzQ>pH)tk3m~avSF6~HcFKV4t-K* zcPLhZD6k>t3*YrpQsCdMv)j9^i8IBp#tG!eozvBhqgkaKv?b5h0vS)KYYcq^J!(c?{y92E+cH$*4e&pJt$G_<1h|l_QGU;FphPBv6675j~)jwv)xs{Cnjzx zOByR3SP_IAqF)%ov}NzN-%^=Cq0eMKIsJtAzwTdjVH+j95YIjXa;Ord zxu}XO8gFEF?<*7w=WHyEnUfGA3D|^KY`bWrCD%2fqRxto1PUgx-P&C3?9QNvG@)*T zsC}>gRX!R)(^)71>B_!Y3tr^c=5}40ID0r0NJJWwl&`%0H@AVvbgTWOzQT))jxy;+27XVxhK@JoX$J z%0g5s`MS<-wsrN*`S_SJ2Ti+ctwkd)Xm|$px$tXy*2<1^i8 z;CFzdznZdxLp@66FyTX&a2Wf$p6@A1tYNy}$nn9BBN@Zta-$U5fppuFY-Ab)3+C?3 zuzK~r)XLBl+}L(udRij-@hIY@$xs#F8_{Drr=q(DP7fw?@PLf^Ya}$VN zD>vUFej~EdDNugpiv0F<`R#Ul!N0i+QkG+1h<Ncy9TqHKe;8Dy9heorQFq@nm4dPK3Mf=lltyC>d|VZ#e31 z>W*Bur5PUfin~6wfw-m{@;%0hyj_WI-1}QNK|!YuQ+n?#hU0RL^W363a@#w-%v&lW z-;KJavqDtRJ;A}ZA7pZ5B6oTPR}A>i6YoHkh9Q0@Fj1EB1yal%n!bcl*lcASu~3tb zy!~;#4=zHS5Ujo$0=5$t`0_A7XNJtn(~C%`IM1&FLqBe6lC}P}3%u;cw5GR75pt z6fvd6Bcat7UCuW>mE{OSOhBV(B3c3>b#69*JchR>*RmlsY507;dolqhFuB4n^8(@r zxNtTfyl@8&?YHEYuN%k6z`Y69FlHQSUg_PF$=xkkH6!GalaPTa|JcsXs#!(3Hm?Ou zKSq<`Je6Qddltmf#t#Ggn|y=&H%6jwTr3E0wxp7PBI6w?6bE6fxVN8pbj82S4X>K?S;%M5utFwE;n1>8YKB&uU zElpixW;>8FH~mO!d8Ayx;nL%R(zwTd+)13tB5eIhJuFE}Cz8UVIC=L3Ai1k5F=?GY zw~4C*w~2#UFHD_l?Jg>YMrT1wl}wY-6f_zqi2Ag&ickJtGP~s^yz{20mGBM(Qa4tF z5MZ=)s9|P1DzjBFm_%}&3-Op0k4a`DGgsB9GGSLU&;YD)Tg1uQOu(pgDx4Myz&6z- z^24Zgxo8ZsDFCzjlrK^_d9sK_x-I0iUtNo#St6Zl(pF)Ta&Z3KHcE1a8!cX^1kpBd zw%)%jLzqgrX8n?rdNP{}4020psQ-%Js7EX(`h!0{X##N>*P}6QAbMO;2AXYxZze;< z+e-Y`t09RcSRCYu`ZIl{>;#S5<*%Rx`c|)B;lg?0c>nt=3@A(`Fn6;<>=rH2pH0GJ zc-ZOqpXA9;g%fdnh#EUOtr}c8lYQowkGq8HaguoUzwe8JUoqX4%Q`yK2oJdX)gEVI znZn4qo~fcM&b-$#R321LV)npL7>(=B5iiqDAyW|z1W;f^6w@n{j%Ax7TzU7kV%yxO z0cILv8+BNEyKj3^-dI*LvvBi@{^VOm4Sx4vTP~9_bDzd4oy_3|yq1F?^W&$(f+(yV z#wC%Wyg*1;1HA!FoAK2sX6HuN$^`A`(Xi3C@vdkHD11ld8HKptSg_Q2mhIa=D+v&J z`3%aAi=L3q@^f+IZ)Pq>E?h47ZmzmyH58^MIl9-zVDjLw{t?{nN&TAk(mLgXn38|3 z^G3>>xUoG{TsWl0yP*jKkKV);`*br~!sjP2AM_t1aUUl`5^MW}NDe#NIajKa$(%=~&wEP6?x7d&Wu=G=~?;@Ala~bShzFc#Z73xXo;BLulZ=QtfF<)p8<~ z;Ek+qYJLEpBi$E1x*4xJFeGG>zpUICR9p#mEpKUPq;aa_ZUD#T?=t=_`4eV+ExE2# ztzq|E`e^pk0bT*?T7K*W*(O}|MW58UoFf$Rp|}*2x1On;ms6>KJ~V;!tV=_2quxSU z7(^E5;vGLGoZ<)&TgDs(+EG$oNc)KCFQZX>0QWc8Q2Z&k>Q+< zr2cZ|(i&rpxPkj;SuCp_z0o#($M%zCB2L-GG5+VV26*zX_6b*OnK`x}zdh-1u%C?R z6lqQTdObG^Y>B8-4D(2|JSD2={#DIzaR#iRzzvw_A8EeaoZ!I6`;gJvP7yy$BFoN?K#%7mpVS8u>p0ni0<*HMG zf9HG?#!b_OXNxSN5^|MEItwA0UgmN-Dv5)9i{z+4eVa#nPI4(snk4R*HrvMN-q_A9 z!flMf4smtu^z{bHuZ~O@58!<572>Q@Vut$-uRUhj$bLCDm*Mu&?B6L3IhCEbOKZdbDhf|hL%`+H zN7h1s_>+B;m1}otuQ8kX9Hq)_&^yK=lM&z9n7!nI=4M_VYS*^CDH3NEbRIT?D!8XL zZBUf6spC3m&p;^dX*2u&cB|O^Q@6jCv83Pf{&8I%&X5+Pfx$f`v|SwUCrGVbB1OD3 zp-0WI{g*Idd!*DKtdsKqUoUTwI`WFrO`oNmO`bWJ@LmZs3D+2o&@yek!v5c50rzq| zV>=Sy#mp+^vZ;k%jhAjZ>7XO8uFOkN_32gEk$;w{^v=dR^&2@H)uAz%I$CSj_q3GY zgJH%Fv_Xx~E-iIN1hga+#X2rDOUJp$CfuIVeUY9~QPKHHJUBPfxN z*T`EE)TrnpPwL-OiF>iQHsGYT^}5z1BM740MWAp(1zw zq2hh02SKXK9}S}X=68h>Y%6S%>J zth)^@S}go)W!h=q#f6(OK0VwofH{2GB1#|f)GlxN#Hw};(Gs-re(~Vb{%0m%ka}WX zq9=xhl8e@sWs-EF`U(mLIP6=LRK@{IWVEs(b?tYNxdt~foUUcu*7Yuup>@Vl>2NZ) z@_NMTu9Ad{@Ei965D~7h|BSe*A-WTZ;`6`QZzaYMs~1n0Fz$sJKKVV&_FE*e(pTgd zzNX(vQG#Lezbf8zCpSM*8cQ)q>;7~N%5oLi6x5%q9`!)mIpCPR^VoB8In_(?CM43v z=2YAWzh!q#PSfh+z7A^aVTEF-mR4mXVZ5~1wmG};iBg|HoOKOZ`yjSoOo} zy#hq-?*7K@NQ$w|SOgJzI~Zv}zPYk)X8wLjBNN%_I#05vty0=^)7~C0UK%G?Iy??h zP7pzrhol}ggP|YdL{cQp9oU&~s0Hz7b4^l?-N-E%GK3TnW{}=! z3VmiEWIeB@?yOcU{1077ITHs*PuCF-%$myh^`Z;s`&LM;YYcJu*oeS)SaQ&m0cyiD z#XTheeD=vS*;YeR+0uOpDR($!?rIwG)e?o)b;&) z58xL&C?c^!$4Yv1X^#|4_9yknr7WvIYjPZ)$DZuV+I4~6%0yrUwQY8nN#wBbWdNQq z%$Lr>)aldcijyKGw3rLP%bnkBs}ky>=~p>Od$$4;b5o@QMMO}!>XhKkdN#_r0N4{`dK zUR6}#O+-Lcf;eXQ4FFDC-K+hDoTlCV&TO6+Zo$-RSX>HDhQWbc&%oHX0nV-ioq@p! zs`S3q#>f?1Kez&+{G(|UUUZJ*b@@j>cX~3sX+uU{$6Y?`#p%8w0msAVh*gucQPzRf44_OS>LheuCkSt; zk*JYMaQ3HV45a+s`?7bb829^ez{2m(QZ#O zMi1x#@EkQ?773Sb8!lV_m}D_`z+I-Xc%b%%6j9lR!to9cP67;sR5P8234WFN%;wS2-DQ%ql@e$Lg6FZ_gjgq8!A;Fk^~!b{H{ZA|G*# z{qOE_8YaMsB`cw^63%pSGnC6^cps@QV~`UM8o83!0@i+hZXtlkNKvVSDl9 zqPa2IODDV>9mL0ETe&Al!*eassmUe@DfY`^I|U*9mGkyBl}DgCU_`F)iIT-bM}M(j zH2>@v6EYeqMhSN`mvIKfnD`7@-9>ZoeNOiRxNl#>4s>wllp&Nd=Q;Y3O6<^tj2kN3 zIok0qPXc*ZP&2ap!QH}iXJ_YeHC3U(=g$4}OZrt4W{H;|UBLO6A}*tMzahmS1B=w( zw?tO6X3z7Z{3Z4mOY)?3T-vieOr@q;5`!?=PF~8}WVGB}5=G$gJntL3jtn2{2!Ym` zY2Z|l%AkdHznlD0>W2Q`7xpTgZ&4*OS1)IvQbnTz9^;NI(IU74JkZowV(mhEA9t$J z9K9u;QgWVm?Pfwrt>dGE!5t}AL&%#Ay?WEXDtEU9L!*x42|B zB|ah5)>wGtx__{H<1oz?6_}kJ@E|Q3=5o%i!;gq9kJ4g+04ZqP3y!9>(1Yg~52QNB z0K^PDQOMGVJatT=<6&y_f4(V%yxi@RKv{RCrmWTQxBfpg$k_Br$}Qji`!C8yYKo6Q zi{bHpH0GHX;PtizQ<~z2ahiYg&v~Y!#o*{!&X^{{tr%Io%@}|rSRU1E)_>{RwB9#U zf<-zg&eo`kU)S{LSB~9JStadm`xWCPV1GV95mh z@Fn`%J}GV6fxnCNOLc3`F^N}NxL+pJ%Uk#T-klvNJx`O4kf~ZxZSGPc%uRrNURpoM zikSQ-niK9a?CSVncPkN{qL?(I@fh{pN(=W4i9WP>3lLf4Dq>Q=)-!-L*u$)d zpnbS9ECB50#VUDs&aX`JGk&Bl*AVAoz-opyhep?R!zYE`jDOqRw(X0ex}yUluwK|Z zMsbF&(#Kd%eu_yf?>(*qVWHA(94y0+ zlvU4F1Qgz1)uIL=Oi%@@VbnWaHVQ3F5r;0cpqGUlCc&knmi=nVx15T(ZVoReCS;Q< zEzqdPg7!DS-BgLG{CMh!JZ6`_?w;j-V7~;OWmic4zU!%zJyH1!KU>=__nH6<=KYP# zGzMcbQYAiV+ocIDH!9pYtm0nZAQ1(d@66Mpf0;*79V(@JfvY2!T~~D5o~L$HJHOGR zgqAZx?YeZ0)YKD;SA_wZ^z8GGv2#4cgRBi>NfxAGT7BMw ztnS!Qf)Ly_VGq8hqFb16cL=mqzuCRsjGo4i2qQ!!gf>MJk6Rh@(V?NOOwa!{2OaUEm9&pm#`{BPkikg6 z0u?dPjl8Y!lEgFyCe70(&AVp2Wbr}J zv4zh?gbAu7othG@ za}f>Te(5Wx-6jD0Rx^_4cZh+{tCIeKrHH#=D`Gvb68OF1zc>pqE&!Z<1h{Z^J0vHE zry6tog{~%^OJGs7h_Bn#;_Dbe2yuCzfZXghM~TMNTw;f>jRmqEo@S$Ax(lEaboM<-xAl_SCc)F(6j}qF3VU%9ED7UtI~>Ay*P}>03QK% zqnWtm_{7~Br}9_*N}10=EwB0UGsl&2HoGp;3!E<#QdJ7=LXP*DKx+GhfoCyVOb=gq zdp^ELY6ln(2slut7NkZxKW%Bni9}9V%(Op#!M<9R$o&up0YPFh5({Fq*=BnDT(!%P zBe`q=Uvo?mQ0VE6GyF>dAM6F$$u%RNma-(bzL0jm-SG^;{cl`^c;Z=N6K=;4zEFIS z*HfvXk>)A7#@@l-{8XNP(yaTC6=?G`WF>QedL3eBa+AJ9rr=-ayoX+gm0}&B#hBlq zPs`wJdfT+0adYR{OWD8LSLfa@yZdc;q&aj_z#6z{X3NR;b%LtnwgIt%P@)_VWbfU) zYnGMJH-5m)?J&vZFiE|Xb~Y5XCmDibj~b>_m0&K{>zvSe)bOJm$^5c?yw|LYlk8&I zaT%vKPU@;(gmhW>`7Agzo*z;b*JkOTm-#s6_1LR%mgZ#b6aO$uY&keKPo+R=SCGex z;{cN1?&@Qq@++i(GnZmZEmTOddXnNFb2H)0DvA+lnMk;YYKp7-_d(*3Vl(s}cb6^N zT={Q=(q3)&`~wovX7sdcH*FLJ4%R`IbvNC1M46^`GPhfr(;}_?;9Lqd#r*nu>XnkF z+k3$}9osqKIi2k8E12v);su8q1FGVoXt~wZnGJg^cJB;r({|hx+l5Swem$N~H}!u# z+FNUe_khP8O^|!{Vl}B-*X#BpxTv=}oB)2e8^0GXEt4qFUmlsxnOLplMp-Ja3jm0G zt|eiP<5ZNAhKxS%eu3cIp|D|;2p%@Ro6F#nw04yiFeh3v_#~0k<3h#yk_7S6l9H`- zb2>1Ea-k_im+=1IYPa!Wo7s_gOO+k$Cd9mT$Wqx#{QR_(!$)#G4^@)zYv+{81I_9j zie-wHX?c?jRCWsUf<4|z3EaD6PsVppu*S_|OVt)iEW@JI+TzCM+{{=mN7%8!<*YJ~ zQaey8NiR3~q0(=beT?%PuvtA~d=s0P^luyBr<)SrR|;n;&SmKI#zexMIyZ-p+q+%3 zrg<}F&ri>kTtaySHV84aI2W;w{}9RrGKcms9-G`!r4PEizdZ|$iK?~}C>WJKo*+lT zu2X)42UEaY zbKc4exaz(XwB$!0RdzGyt^VjKFHjI(M45=GXbm*}ZYE2OaK3R0=|}m-#}BpAe#_kW zlB3TwxV?o_m$5&X&EV)x50ChJ-RR+49qUqJhZwD@Uh}=oN&MvbBqnrw6<8&)5zWb2u3$R{~lQfiE-MIKiC@hZjhHlRfrWj3IUZM$>R_;Rc zu~fp8_TmoC)0J*RXIp9^=U-Lv#F|BBbR6QDmk6#;z@yc)Cd&%TLrzNyDev7ta_BjjoGBwUbDZSD=U zd2o$KA;y||bE2IyI1lyXiP~YZuj^&(ppOD8h0+Shyd(olKU8D*3EDZd1 zAbzLM_OItE1_P5-Cbuvncy#uAp=0VNLq8>P=@C}g;>KUXHkALarVP8!BOd|lVbR-U zPaI}Jr)J7GDKLjG5A&@^GUzF*+`FCV@}$J}#r|83DwDOVvDob`#dXFc!;bc-HoqKT zDI1+lsdvgR^JBE-JEg|h{yewK+D*R<&dt7mx6_EF{Va$~23+&Qmk{GVQw*%|qs z;b*2&Nte1l*L!p(;U0yiY#jSL?VK_883u2r3@nF4EDBq$w0-yT*5aq|{XTA0HRRau z{hF0F^J>QJ+NIvjp9&or4Q&;%3OFj;WSVa3#7m34hpTH9r8Zx?(!h}kE`^K`veQ|> z$$Kg?e>nRc>3HKF5j<8Q!&|=4kHY&wh$G`M@qm*$)_#2(Z(*w$viN+oJcXjdzp%J- zZ6m7wDk7z;;Ml}Q=RoioO3%94y5@0YLUgI189qCC)N8^MopY*Uc_jhaKf^6?4RLVqn=6e%e{s@kn-&6IL3(3bRc0A)Vy?$q1d8dfA zg!JtJWTKCIt$65XDGwBeh&@ggCY05#T%4X6z0{&W zgTR73VZ%x`I!De7c4y9nIVa=IzuG)O+mGz@So<#)3%C&^?STR$$K8A1(M>`2|HQ7V za@<3V^pz4%|52Bu8P)ljO`3rHrq#q z4KJuRuv`MV%<-7(dI7IgE}$Ay^yp!J0cHXlANw^u3u zMFQ$Y$JG&nV5&^dA=_ye*p1CSHKETEuf~>jxy0al>6^3lM;>b$_DXy@J#EVTzn5tJ zH!@w!PRq5(gR6z>z(}94kDqj><%6BdBik*go@p7S*81@8k1bO(MKTu2-_%sPglBOc zZ1raKwP!S3O;Sz8!_FwnPNe~=fFeXyKZsTg-d+DX+53Y+b*lJ`JwFa9lmIBAK4d+$ zUCK@}wwm3ijSz1&U>vsCk6Z%t55f*n1>s5MXckxz zAx-Cl2c&1NALq>AL*?|Yt0X<>>qm`+3m#Kcnd|dJoewz8Bd!S~9`v!9 z74f)ka*X8M$Sq64nk#b%uzecIV)t9Xh&5#$DBYVk(0sfXK|xeHXy1^s?1qqddcjykjTN72o2;EZ5P$;y zecrvU@LSR{?K?85GWLC}q>5RwbXFX5olcf+`v+in)v>3dKvrCFd8da+%iddT#1QC3 zLbNfo@A#uAD&KdMzrIdbo$pmIbk;yXkUA%`oC%8@DSdu4IhK-j^!J2U3AjXTU{lI` zBMTxd3K2P)eadal>JPyLXhx!r zpn;I3(ynLe3|L-I5u)a|A5awG_f12Pf0D8n8(in4wC!H~;kZwqtvpY0z7~vM_QW40 zSiiPrfb(>4tm;5xAoDGwSF62x@9s+8@mbp11D!r}KoVA|0vy04Rt^m(m-nYkm^UO? z?+!F_+(^-y?)0-OjH0~s8Vmhgh98SoPSP3t;rj43c8;4cr&+AuM1pgS{#pmK=xtj0 zL%=gO`w6;VJOCb%jlWD(>DY90?Hi~l?v_;J01;9z&{cB)EzvsVM>S-3np3wmU4BOG z*DE|NNjwlLZ#rE3(!+s`EMEM8w=3LyoH<*J14=dXj03MTwDZP5sC$v45!YbF(mTrU zfPGH`%1gYib;IU6n`5G&sRq`hDlzY_@$BR8KhXK+;z&<(YFkOJ1Jly#Aq!y;Gqq+E ziXAP67&fZ3tTZaS-cS!0oJtP!&Vn6(yOI%R^&A{RdASS@Emu_>2PTD*w}X^H8y6(p zMc?;=#_ZuzKY%1oCOdicbEyLwAGyiim>3l?{+#}XeDZ+fi}?sO& z+|D840NYHzP?UEXf&}Zd;rS%Z-;AeMOa39RC&RC(js90v)Uuc`KxaNM2?%aacv<8S zxj;~`c5aU#-zlFd^?%?7hpuSs@5zBpE(o>CgA3++Y|F;dzl2EJ&SDEu=ywJy;V9KF6U#X8_;sUn$K-K zHQneH?uldcpVzV}J#lt+X+`EV2u4Y-3y1Igc$LI9^_jDt9tIxMDY|1cHWnyiRv{f& zGfggG{^cYgb=Ugmq<{ip?plGnFnCQu&m4|j$i{cGNXhj~x3MI()#Ez?IIS0$|jkLWAcpA=ODVW z?!@WVT$>Yt^?_^y1*=0?^4S~iO) zp2#m(17{G3BqB371q;5@o~JBwF=9`;sCimfE|`I3F>=qf){f^+yl0<^I`W)ULj6QX z1)=>pC{F<4SYuYV8}-ar{DPh*=fYuiys!ESJduiY?WA=v`MgW0&RGX2Om$0%ypZBb zdJii&Iy$wU@NUJz-D>3TQfj5D@UiW88T~^_QUX~kL~P|!g@bweuVE4%mF8oty}IjU$9FFyDDG}n%mNclG^8(XWBF>h z3zC(RqFF9|cu)6PX%iV(n*_~oBZHX}UD8(ZhEf;n1U1y8RIxJGZeg!djn{H*MK1Qt z0wshOD>ZfH>0&R?y6%&tjM(q85LSi;i&lSeND%fKuF6>yio;;P0YRwzNMo?YDU20* zlv(2zePW%1p!6;|8X0?qr6D4i`OS3No);aL(sjuvVD%Mtr!J|<|WzVpR}dg4F00nkYZfmS z8qTouLPw%gI_G-9Y?H?ZpRh+I1MgwL)u_>kk%UJ>tVp)QXGg=RyssD`w$fJQ=@iN> za_o8MwGR(VV>5tq=>+-swgjQ(lqcNAp8by}meGAv3^-raVz?{K?}cRvwbND@-QcBsGO-7im4YUl$Prr0xh^i$R| zmgjfk=ZQ6Y7f0ewVGvu#KitZg2Nw#+n7zuzh$yZ1t5!Fm=HEqOY(g_~H@ z3nCcv4*solaZ21USlsCdvBxx=Y9vbNGBR(im`9! zwjMm|$B{3WfINKeBKQEiVP7)TX==F4wjB%SU?V*s^w+kxu4fwZdGSu$+e!K^l(qn8p_^jydMM!q$?^`DCa8{9#F;37PI2`_}FY8hGwFqq$#Mh zMF!?8RZdl%%{8?!j90jn2p>Ixp`rAukvyB!rnf@r3TGEt5N=x;euFCy%+XyaT%gDJ z3Wr4=Q#SeQt(x(~LE#9umzT43)biG*tF$@yUKW-p2bg6uFNU16DV5~yKkPFAxf~a7 z%qRLsG%7cu3*(-uTY?@9MiqB)E^gQyNjsAh=APrt{U6Q2`5D#I4QDxsV`l8PUrw|% zGh2({$mUUvoJUFX{u{arD2}R~_%b8D`4y`FEk+bHxLCNeCRV2y)qJ>?G$iNWP0p_> z3vLlu4^wL1cbtX=k_1&<`yVF=Z&y^Ge%MCJg0)quK8!w;&(!=4@w;Yt7;7)k1`GaBRDOD0cMGdV3+ruI|@PX)2TJ-T%YY zb$~(yL`Xj&*%Mqt@jBaiApY;Vh>+Qq9IY^4+Q!PLI&Uqj&pv-#*CE zW0qwZCn^+0wtt>{YWpcUzwXGkL+ih)2=?olQMK*n{9f|;8iwd~z4=idt2fVj<5eB@ z7#;Sa{h+Kt^`3ujSB5QUG%jdNN(VXpg3hrUS4R5-dR>E8t0778wCVJwv23NV>?)#C z!5&mIlyg60&WHzIQ;Xttlt47KkNAGGPIyuSN5~ij$dB0z#@&C!y3_$?d%A`Hn=^U?9bK!Z< zz5?Om6zv}R)-!J_esl)K|Fb$Q_UqVcmu>=iM1Wz&X5*+BFKGH~T|X;y@60xKvC9Kz zha!1z^O9SyJmXdDr@Oa>EodJ#XUyuGQKOf$SzD_gHfYp2QFlu>QwG7{PO?Ai?3!-S zo?r>P1M3?79nacNc6c!^prhHzy8Za(Ne8EV`G*&amoXgcTPA%%mV15Kl6TL)sP3W zXfx&0D%?|p!jJ85PJJG4T<8Ls#sMEjgZKP`&MY>pOz7szKwhbGdNgf+Brz@;A079N zg8WQV7k#Mu@h4-3U^K-0}pMrLZ zT!-*gw0XO3igTZNe_S$ssn&2R)EVD)57~S9&J~NeVUkQZV-x>p7nR4KDBdSf@*d>@ zbI+aLDaMWWcu2xU+a#xtvCQyKQp}0FZ)7Ut?YVF?$#VNym_wyi&-My7QqeOH>6{B&UaJALg3lVgnIi8&-Z3`uUjPWSe%XEP?z-N z!J#hJDIV!k+(^?^1}KRClle=%Qq{Y1kL(X3tO+~$_}EM_$BroaVXc{Ozc1;f`p^FK zS0w-aXHsF`e_}Ddy7d9cslx~F3lCXS)VjT07P0vuEI+htOV_#CdK(3?2n{5GR}*#u zR!^uTd=vC;9Ro*Qm459jy8#%wU8vEc)2dG7g3%tmzbn~%@%O(r3{)(F_>4cT{t=Oyuwz4w-K|Vum z%K7^jKIm1wY%;k%(L{L>QgTUO=uXr3=;diQ+@b4Q^PX)U!kELgwoBBn%xqOJ@hTRt z4roxGK|T)^VZfQqrHbX$NqN80c!E^WuAtG+0g`*gIp<q;|tG;9c!~G zwp_hN4r-p{2PAcqb|<%(pXp7+e0;;v(X2tYOnOw@a31fzdnP(E`a|4cd6rZI0c=Cjm9q;!1XWo*5kt z%h|Q&Z4QiWcupZyuLEGrZ&qOWZc8v#O^C-IhrbzPl+tIDIadTW0C&2`;5nZznUAhF z@9+@RL!mtPZ%&yf_MB+O?v-fuqRlJAmFHg^6EFq~+PaK253Kp_vUJFj30~kPUn#w@ z=l$G90l%Obk9@~$m;XBS7z!%5*vE6SA-TccCQf(@ZW9Z+Pmc$eLRa?_QJHsw<@X9ZK|ho zo|oP%p^&x|D;IxucSbxtQ*BoXJ-L+LF)|Z3eEkjTXb?PAJi6y}j^$5D&X@ij?TzZsL{`1ZYe?=qih?~=+s z^TAgjdBPrA_r#(zD*&YrENv01HPu@Scs)xJud~a(yMjE-jRvc>A zY*HpRC_`GiHWl~aHGSUguNStBIx%e3JF&Jl3$fibeWHi*#|9kW>m{dGq!U)80|r#0 zPjaMA-rM}X%zNs7nwa!CLuo4ml}37jglyB6ipsEpZ!TvUIL@+HRE;NOXT8x_`gsB} zbNFP3A|@h4n2%}v7?^zp)-S8L9afLkCv8xY?4ZrPJ(IhodTe~1%WFGid6 zpr}N!c3V9@K2$7#f?=PDzDIvQ7lWfHtWLr*rjK>a^oA3TvSlyX-RrgD@$XjzPBLc~ zuaLl|5U=xtp@LZaMJ!2l_Ni7*`-Sj%*>R6d;2LG61+*opnChK zlp*k>(8_PUJgw>`B16xghTjMPn-;yXl534u?Weok?k<5d8^_nvioO})=X|6hTv>2Y zDg&R#%drj=6R4v}isPGS8A!GZ!T=pZF;`YGP#4utU42bX2?qy(vQI7t$?t4@W!3 z$%Pl4Rkuy1(ok4F&*_)Y#?3AEF8MENu2oicL%P~Fh`?D2f=Etp*~B$#o-bQpt+U|4 z*z*(seHQM76jxi(6iKe04NYkjfiNWbUmMO0WKuWh5AdN?S*Z%aSD3ofu`7tfT(1;f zy5t#^d-0!3atR;kW-)fjEiKnW;7;Plr0g+n+57p)p*9d9oMrbJZLfHQsf7*PJVQbS zsNRLWx9w$D_8eQfx6oA%uTGkZEC4oN_d16Jg+ZwzUlji>6RuH zmzzZB@5VvzS{?U3G|vDE_r;=)zaWye%Iq?5d+c(zBH!K*r46!pd0LhGR1r@@AMNWp zHb|UGk+m^I?5#BnAcVF=YZ-`CABBSdW#&0}acV6;$`?Px=K* zkEg`F(YE&vy4t;=` zV8hQFF1%*b7_kqbhxfH<;(tuPp1zfA^f{?VQt&LjqCouJuEzqy&WO;f7GYO`^I3YN zYS^5^4QU%srUNvnT$Np_Gbz=SI zI}cuTP*J-05JKKzNOW5`Phn48!gnumG<*2q5{V@2G+yu>h*M<#ZiyshFWUX>!=sw zoNrpRuY_&{cEdv2pEz{NQ{*U*Q0}8QWZmBcl)F)SU7p@jR%Z1C}`(*%&DGo5AK7q!zPYY#qRKAtAs zvzm^su~O2L>HTtRrwh3t)c1+%>%SP_`HqZ7OFwU1aOX-k^2_g((%lSNhIC;QuZyn! zAU7Y;OPcAD>L{c}*D_>Ep=-&3KB{)iBZ!L~CDWgRdRjEmcxCO>kT4Xu(D=GRN`3li zBS!O!DpKH|cS|Oasv5A1MUKS}M->^A8!N?-xAn9BJ*Bd}p1&4a@^x4VpHr zsGVLrI`SN^X|Q2*lnv=hRqa&V5C2Y5H3F<=1nUG_QkCCcxC_sp<{0TbLRc&Jw&^f) zi##~#%RA?3eIMJC@Y!b_O<1G-dFh4rYuJED+k?vmxXnxADA^8GJq~NP9~mBny>$Jh z#2#{7n0x*5dirU#5EUh}%kv)#A3OOoTt!cr|5h38T^{PXqF>jY{R;Yw-!O zG{A%cjK*_PlKS+fskxRsBY^OU2P(g_)p-IK=TwboO~-{>8S=BHET*J>O1EK6wvL3<#AOuysucL!{~h(&u3MhVQqA&VKbymO777Xk$P9cscMZ8_~dW zq$ky#^f0}7SIdO-o%E5RSckKx^%>TZ>31LcNM3?5H~grWMx^pUNmLr(0XRQBFV8oo3%psW;lP2@P!M)bL13L_nloDC&|?3*lZ+NAy!@@ zm7}z@n_%lt6<#^o1P1FILzsq@KfDX~1u%skT`qE(zjuH6u*6WY<|0f2riRc58xHqk zVvm-02K)9z%P1d@t$q{GN~EPT(9(;_TK?63=kZ(Ah`hVA=!Jy4WYV86esdAMz`-Z6 z@}>eOR8%qouE3mzk-8QK^w-~`6O*=oJh+m}_xi0Ur+Dp0TFJ1VFE;X07}^3n%igkZ zNhHX9iTV@z@;<&SmIBa@6gq^RNgrrP|1RfPzSr|(!Qj<}wbgjnMJALxa$bc?T=5D> z^O@+G+gALQYki4wexQWNDD|{kw7y5R4|J>K0GWS}ieLtwT$1OtH$t{91wKj~xyD@k z!{)-lh8psBtYG5vJpn!0>>3vi`h6SQ!>a@|8VnHFkC#R zng&X?(%(5RN;JJ&D2mFyny1`f^;#Z>qRQUK^Ef2%0Jb4|o~Jsb9CYN+_RImw$SdEacjkWw$qa9aYFql7HnPxPo55 zU!zK#;jbNC)$=bS9EqRD*CoP?a-@s2FSs( zC^H-A%cGWGmemOi&eJ6IGE_b84(5E(kcMp}6!yq}q3Hr&m1U@RNrPN2we5x&H(^7a zHQTK&9JtlSHxf0!M;zQ{}G#Ld{auyTr z5eh{k8i%NPt+d|S-DrCbqa&1N8F3z)PZ;=ej-*uuW#XcRm83);fHqGW@Y*RJW8qLG zR{<-amIMyK&4sF9g{<|wH-=dyaTk9O#*CBmHUkq!$OT5&>3~wz^^JJIlbX)D=K&w- z{Iavh_fM%_f9oU@cRm@VFZ9m6+c;s-la8cIrKM1-*U_L?-+Y)-)kNlxO?XTL3TwH& zfGqWeoNn~iD^eN#J$fgn1;X_)G0AYfQFwb%sF zmi8Fj*8Qy3GG<(^64aC3KIA1f!RxGQJaKz`M?m1+!S*;n=5`SM1$Ay!Pk+Y=dy)-} zkrWTrm5HWT7aAwPkWPGbtgKIgZRJgJv2tT(60(<{1@Wn$&bxk5AEKhoU}fn8pxW(k zZtT_WBeD{AHD3U8M@pM&4GQ=W+9;(^%5fBV-bN$m>inea^23ry7+ zs#$hq3x3Tq4e=|{3j-=mF3^c}@*DKiB`LMkL@0$YyOny~)2&?BesgROi0@;gFV#{- zcsE{lQX_%qR+gO&B82mmi-!e#5N7b9z#EF2w;d6{4A?^@=x_$EMz5JJ!T+-K`5z-> zg0K8`2X4G#Asy#Ucg+3i8R3#H&W_1nOSgiq-8$ zXbjZQ>z6j1a;>G7kIc zW`8?fiXQg2=fIu~el+31Bb<`C@&d}*RGAp&eKfQEh|>asWFY0!Wvq_~oa>L+-y>E_ zIxakj3%FbSf!|d()Bgs}GlSj9WYA2hWg|HQ635V&|?k;J6xVl{WY9VO&ujz_tQA%y)6?6X^jK^gvZjg%k zcIi1D*f-F%-IBJlOIGa`u4z?lI4mn%CT{|{frDdoRjA1K%Q3W2d&NijS70)>HC5S| z88UdUio51~Rv1d5bZzN|t_2fGaWZ~@d*t&&4eGC9fz4Z}CjaBTF{0*`W3u7@nQT(3 z<&)c9SdsPS6TV|E8IzV>*Uxg$+dO-*hYtZLBEL6+x%25TL;YS2ktP?8i%Ac3K5EYn zr}R9@vEW!&A&hhgk0C2H7H4Ww%rJ7-?`%|+&-vi{k zEN#;4uG~V%1%s^$CNqk1Jv|y#m~BD~HgmH^@73eds{ELa-O#qT`D)N1qdn&_YzmV!!1Em67J$@H4J1dr4T@!@wRlZ1OkYhX=xL-$QUd};$Wr-zczaPIfD`N!Fiv}@2FbYy}xsRe+8Y$FZ607 zsueeegGFaRQVK~6og-tva&a)@<;6YeYg^_*X32stUdMU7@r{XmKc624Gh zzUi7U_90YsL>15`v>%!?xDL8rF5QklpJ>4|7=c|>eOL6`8yf1oQ)K7rBcA+B_~X+R zdvn^?krUmeRCm=)b!b6?${4HP;!~IZj#e7BGOtuoJpdtp9u2R*GgZ9dXT(5=nJ=tf z>9$9xn!eYEnyt7HlX5ghu7MA^U&BNjb7|Z?pp#CQAfcs~K-t}3>?Ph|w)rQA#Fex} z(7~i%2Nk|rHI4NmwI7O#HKjUAI~jcXyTuW)^aGSFb1cJrJd4FeonV`FzAbH)^1%Aq zC12V{5Ar?EhPS>A(h+TO3`WBpk+~`kxau+(45ur56Z)wA^x&Hu4tr-D zk_o<}Z7b$&dgCt%LS^b{bpk1VuKBi=KXfKu%|>s(>D7QdAs)#Uipg+O994}+pMsGG zV6WW%kbNmKE$WlfQan!V*(Qyl`w>s4e5v;D<>0NCFAb!&e#P0_<`{xV&@+X-TM>n9 z^Rm`8ghOr1WcJE>ZoDos&aclxcZ*{%Wj7F+q{(>MaNCz*tNNKmGD|?vY$EN)g2w;+ z2ywTr+?Mb6ZhDwCiB%Bm<9l;0YE0rgQu_h5+h?5NhWxF%jg8rw?gW|jKP!C4pbC>G zY;JbZ>FHFUS8flfGo#o;up&Ae-^+&ESvu9Uu6^3q~FAInjJ&B9>#(H~$ zGAy;1_JsT*pm)z;4mK+RkVbVQsFYFCyJUF$MVUVhPTiY#WitWC_`|5HEcu;D{(1$# ziK>H{xVT-cbv#?o>|rsT}3rmK7n1 z_*spLQsz@T%BtCu^()B5V7wi#8*D~KXtFHI{Blow_RH|47Pp=Yt|9<>M z&D@=n9)1gEgnSu73m>KU-+-|NL>LG^I=S0C4ck$oedFn3Ec%KthXeJ*acfzoI&Tfj z)K7DSN+^8toq#q!C>ucN-TyU$Ar}2t_z(?G0Lgv;g}*BIbhZAk-ZZ=-K+OZ>g!Q** zFLrp8zz6(=K7duc=IZP2-W{pw2rS=+AtC;q*OBmvzM2MjsfV&dayzghH&<8iM2SAV zW%2Innd6*9<-+0avn-`PIhZ0K?j-3uS!FJxDXXwe86oE!?#*=^EiEHgI=$BS)X?cH z2-QOpj{hCuqIG4Cr66W$U8oMjU76pMEjKjWIlGSdtp#B2oiI!(nE|pkjcLkEVr)8^}oR3-RM0(V-WIbT&XZ zMHBm=IbVP?ecaeArDtRlt^2a0NV+V(%j>uY0IK^3AB=J?oZMd0#X5Rh>V9WW7y7rR zKG5DSu|1lvFqUGLU8G@O6|cK}+54q65|T;-_g5gv;z~gii*2t z^=u4zsxW~$DU6fT!-i8N+~IkmY(TcihIoJ1l$Pu1JN2tS)3;R|Tu25p@x{+A&Q(60 zrwJm8?P17*Q5etCTFYFedOOhNL#iaVgB4*j4&&c@PlW~sW1VfVp93dXpE87$`1Ey?Z-Ra_n9Dmx#gueh}#% z5oAx}V#Tvg6mHBe@IrP8G_FLBh9%cxaa|sJXRAzEcJ}e6Mcqw5VRv1EcppS@_^G4t zMha!clY{Kvf}V?vuAf7o$rJdzBGSe{3DkXy$nMO(Qb}ObN{s0+&r_p!no!8PPsIoM z#4Z;@MN(DF>}Xkr%a~{dHmz&?ewOf6fQLXdidxPy8YXZo@sS^U(2iY4q>2NSUtSda zY8V~z962?zp?O?q)S=LN?_bIBl+QfQ+c@fqeWpX-5EQ^6*WUc0u6TTKDfVvX6U+*Z!NcxzbTII`gUrSaxa!ih3^hF4=iNDC?8h4cPz?R}$yp7w}%uhr8sA3l}cXik(N4j9Lr1v8b$CpejfH$$?kCRiQhBn){C~-anVXnAURoEDyi^^ z5Lwi-F)EOKNu4~_f)p%si`~RP-3-Y9dY&97{7NqWu?p>@g;I=Ye}@bSd(|gb4{fUO zxme-RsiZ`z-~d2;sKK@?sw&#~u{HHTY+bxT^i-vX94-Cn+ZiM6X3l*N;}*oFXXMKfOimr5BlT zRSv`DUhG@K?cq|w&3fL>SWUf9z1I0J+BC_Z=3uGC?ZXk!}wtwCFKh;saZ` zSzaawcIAubPxQ=(ejda-@d$(ko%`@T=+vdO!JGg^ADG1!SSVAONzu0hIh+zDCPyhx z)-JKCSNZf_{f}eEc47AILZj5Dt?8Zg9LE!hN*JBo1S;yjCSLQzoEde`i!Z1_##SaK z!%)wC>1lUPGb&tUke|9Eo}4hC^|-#MrP}F>0oH+ig>5dTcJ(WbYUgBq237h}_=FC* zx*tKBd1eQ$@6c++8_PrQ=)En$}_qNu-Exp;|-DF6QfE|ysF*LEQOgwPe%$-k7%{b z&!Ckp7qWQt%yZVhJk3Vz97ZY4jxR*_Rl6PjiBJ5V6<~k(GCBD~YU=zX!YjuwSzIG3 z@z3wNjG!?$b<6K@UgkRDBf{0|j`lyZV_g@hL}vnc26IQzZ<`JvaBg|;!S|?3r>g9h zX;cZy)+=Vnki+tuz@d|oMOi6rLm9kotztVzaiZyxMYfFzjg}F1SP+>C4xMKxb+VF? zsnzB?`os!82vgDu=#uh#0<<8w1`el>WIwNRI7HzhDE!(L2`(3%WA+O_UvIrB+_zA;>6rsGPz@k?PIBAXN{i0)5=?v+Lq1L%t=`=`CiexXO!KK zS~&Ci{<6~he$RPJ0_g$xl{r+|_izzgUq1mdtB-4ympOxe@qMsI6M0@B@d)Uc0}Q1K zh#c!8k!&5y|hs)dFE&i_a)rZ5*H=(#6B>kShE3G8P? z{=vya?}?#n>|+J?gO`U-R-OLZNzRy6a@HYddDl7UhUC9Wf_Q3Lx5d1bNkRb?z#02x z^t*QqT(*DGHPi>s@}AgjeP39Eag<05>(cRGVeW~!Q9%Vm*vq-lmEMSxRQ;RhM$xb2 zi?xt>brFoRD^?=&&|P@HO$;llp{oMfM{S1wY1#yJB|z+tVr{RRvoHPWKltF@M$7LK z6JLRDvJ&4wQt73Tfkp|0{B;jNOm+pjIChlzXu&o(Lwi+jGujy$XQY|cN-qu=7NQzY zA|FJ0jLcTRXC?oVM(Hgb`wXRXEXyzHp&;4=qyh79%Ea3QEy!6Zchrx!aX6E*R;&2n zd#PrYN8uG>{`*#=hwb6l?*-hnl)lNDsLbumcO|p>c0|T!h3KE&YSHJ@ZnQ9kSqoe@ z$&S*E&&izsDirV~a${oYz^ll`b!)*bwR;nJwC{--a>EeZwxpMI`wh)k%ZKRPypLXO z0#h_8An!#{nFp-GdByl-8RUZ`E^brmLI0k4x3-+Ymm@5;-n$o5M(LqD`O>B3yDlfV zy8W$E+u|GcypIVLB2cUrblrGby5=2mb-IDOiJz4B9L+0bTO$L#T(9L9*akc@mQ4#O9%WO@ym1Z_YPL4FNC83RCjD<*M|e3A zirk!*GBXzF2lRSJmU{ERWg8F_tIC11)|=mz@Iy08TEMPIFQ$kknMRdIen*Z@Dha23 zEnt$x$BLLzPX#dr^DU{@d=4urG&PE!{N}M86Hlxts7KLs1lqOz7jS0P?S1GVruO=; zMpmxF3o|=Qt*!%CQ+=MOz!`u>ztM6bH~nF>r6p0oV53c91kbNwl98p+WVvATm|RMv z(-)obd8n~=?dP_UnG)2l8Wl~Y|JwrCaIpIw`_^w}{76JF(LUTgH9*u|px#O^QJNNH zzJQ=Zo)o)%){dX7Iu`Dy%-v57ty%0U^eXGv?v>{u^c@UUhF^0d9EWQ$$KxgjvlISl2*aQs{*%`5E z`$R{2(u^&c6p#g8;8I`8D}Ud&igtmFl-HJ3&KKxxs+Ocbh8LJabD@huY?s?a!iq5# zJ%4zyuT-DPS?bs<+fB5)W-z41Ycv7|W+JYOcQ?9E!Zb9$aCLj#@e@%#HhcjaQIUFK zVz&avLo(okJd4yNVfQN4_u6RziZU5i8Lz))jxn2Z>fNlGy=D)akenkc{Q<9=Ha%xw z%q@7O=Ep5BphX8^rwb3Klzhv9-0kp7o&y*%FhHL@pGgn7NJjdu*DYK6isTF|QNmax z+rM81Jo+E{i4s01)(aaLUlGSJh+~BIEOUJnZfUgRi!^bZw!Z1D&Z7h`Yt6Gk6~$k> z>I={>AaPeUJztyw60Obg%l+!;1{?;t{M5}jiMI4u>z5$+P~f}a*tJdbpCt2z)7DRV z7oz7K9&%_d>4tX1IkKH%BW{|4E4lMrxJ6EaYrhm-z8=pR3pj{QX~_u7aFKhZ(_XYs z^T68u484qycSd$y_hMX0qgDqG6WVqii?)&UE+(jqYwB5PHFHUhxfMYfUm0==-2px_xoqa!}-F1!QM6 zJ%r9thpiO31T=Wjj&t zX9@R#4|6dV&6)N1VYrkVlKSMmeEZ5OOQf!UbLn8@8ybq+e}< z{K8682n_>kQuWN2W|^FU8Z8V1;NC&1S#Edic8~6cZQb{Tk8iDST+)x}J9qd z8J3X0IMznN$F}3KNkzCjcXbB~KX0=NN^j`66(4>e-XYvN#g-*6B;DAucB*qZIcaVu zzV1FaeAum=n(C^`+fA|JbxY>@u5(IV)#Zupj3LcQT}eB+AF%d}%9L^!$%Fck^00Oc z^ROhl^xkmdo=mDyPhU#KX|S;iNk{&c8ksuN#M=D<7IAvW1qKq4jb?qbIm&rf+!or> zu~#^NM;be{y8E4OPLeihGUBXi7R5S~YsszL-i)1Jr61-#M)F7l@{m@ncAd6fC1QR`I5hfyfc-e~5}J_tk( zjbU?tdh$xesGNk0@lquE;3Nt2$#r3|ravo-u68W${l&c2Y|aEnPHUdr)w$wi#k~KHS~ZrreMC2&yM@yh&J|7wI!DshO*V$5M5z zy*-a`9v-8W0>g)U=jxBYbO!jL`dJm&FNw^<+GxTnJxy}_%*b8Jy7$qS*?VC6H1rT! zU$BoMgh{&@!g+vT>P2M|s@Dw8i1pZ|!Y7Hg_?EY3F#UkdeBmJtW32KAko9$VfcFu( zxTjVHu(Vp9vCTQvO3Tl^5v%CM(_sErVQjs(8=4T#!s(LBqyv6Y<6vK$C=qkVr)86? zvkx${;6s&Bv2lJk_qeS1Y?Az0ci+?fTiY?zFt$AKEimBbTe<254miUH(u%>&1D*BF zg*vZ2*Ggpk(kcBTu*4*svtsNpH>j|LKEbAb|655`&+qXjB&I-}Z=@`{y!(R@&fY%9 zWM7ouYWI_lW|;NBzyzA!l$mKtklJoUUD!e;|gYAw4}#bwL=hk1S!O`&8>+$(Q9z zn$4YJ&oor{92ImVi&AqNE}Yzb?V$$`L-MD4G;G?)9RjHx0u_54|8Qm_T<2g9MZnQb z5L*1P$yNJu+@RBRfTHtyb_Ltjq_t8U)q6Adw?UH;g(*7yv9(-r0|RSk0*=2_QV%7# zKY%**P*tLZZd)%?xh3gJN=F7K!V>L0djyfzH~thkiZfgX<8f?<+Ev|&kIv`^tT9Yx zj>n!U!x739V*xwW2hK@v3j}hJYC_-V)2#(h75lUNQ1yb72iC%RJdnlI)AC|uFQWZ#`NI-!Vv>HJvth$ zmMcLn`j++Zl@_-qkF#M@dep<`Yzow>2s>tbmSM)Vgq6_;+LZ6-KI@F}(Br?lQ_j$JlZ&U&Z8+uO&5({{S& zq%@O~*IAisw2UM)II?KL#DCz*mt1wk(V|E1<7J>-eUz%dU$qIuC3z#GmSa+|=}e-W zY{z|POM@vcdE&1xOGD}LibUGnzexI?#Inj*K2uqmg2KXhr%E1n_m{%`iXqG1f8V{n zl8N1u5v;25ieJ4yv$?Xbh}P*_4ry>X$5!Q;06uxmY&N7E$+uXyelE7|gd!XnSgBCF zY~(hhchUoFA<7j^tln%Ig(t{lWkD5T3YW(XrL!;kEjhpsz)ODlWbqU@ZBboG>7XjZ zeLqRAk0#hyvgN-T_N_SifHB)D4>e%UYEwEVO7-uE%vpKbcUq{UlwM#3p_`~IIM=q#eE*>M%J#zL58sJ=H?!|X!hDN>0SSt zRXMwOpM=@=F-!Y8P|d~v@4%xIHFH?L5p3hdS$CSW1LN=C=E}18OP7&CHVikC^*aMU znG2prsC;y2_Y~EXCf4FdpN?tbi<1`} zM3MGyaY9Mncehxm-Le;DX&3bJ2fBv{4_K5^HPY!7^_DYDd!_rxaIOr(C| z&RGbnn0~~6bSF0HUi*@C|ePQZmTgE%SBez;;+xHvOne}{ww-t_?Cd~1BSb6?p^2+m~lG#T`3-o|O?%x*R ztk#17Q(G>w6RHiQH!woXwI95+Ei%p4CM#VB66=^pm<23c)Bq)g1xCoUe@>sZ^~KqQ zjUfwW5ClS=!~M5Bb)G@3_4Ie5d^T}9pH4LD##fify31rItPLnKQ6o!JF^+>J3fzh zr1$~4$GB;5j$rpfS_`?J-qqTckh6ft>)5(m`l2Fk3I7A-5)!EBMQBOToaQ{So=dae z*G&zmBfzWQAEv^7KgScB`&O5z%-QWtm1{elH!XV>`x&2d`4Fr9 zjnG~EcB_S%a8iQWKIIZeHzTi@U>;G^fw>@_WGZRrNw)~g8nb!gW&NDGEBYL;oU0jG z3r$2Ba*q6Q!xo%*I~3c{c3_UJZ~a4%sue@EGM`6mk&M1I%TrjlS7tE z%W#LDdo4CjgiP0k_|@IUJ}3DypIajTWzqW8`2%wapNhklusxkcJu%D;&m}$DXY2Ib z@(m{enG1$7-PRj>zo;te`@z^Q7nRb^==3m(<%-+jC!PeE;?Lm@>sJnbxo=)a?nEZb zHr9m53+0$Jw#=Z;4`kUr)J0YmQcc&$D-4*EN@xRDF!QIs8s9YuKmVw{oX= zXRUfMDOUAxr(JS?sbtL+9#NbX1N2g_3l?fPqiRE>!EF#q+dpc zfx^iMv#z5Rlf=PZsrC^qlau}N&_WT3iL&z^~mL%WrR6>ift{?QyJ{ zwJMD6itr1_Ti>_cB!On4r=OYSa4dIe+kC;f+F5!0w}Pd&XN zBUw)ksS(O9CJym3gBdnXX{chT_KT2n7MMr|a2cyY-)j>XIb77zEJ>mMOuqjG`VC0Z z*L*ZXjcoAL^6`Iu>rc za=$}={0*}$o_Eo^b1eF`=(z$It-IXEN`Ww6bWT7l_hFp4Lrqx&l2JzX+lxti$z1%| zR3o>{817dS{J1bF(n2hJ5rMNZeR}xoCUT07^sdk5&vxT7vxnlNELSGsYp=@A;hH%u z3fI2HpOMcGkM(ea;0OqAvL5}(`$8ArqMjdduWpsCH;fdA%R3z8G9>w#Ahr!XO|^IM z?b)%-Q8GsrjC2XHX|X6B+4?6*SHkuALi+0bRM6r5p6 zerZZ$1x9PCEWJgvhr51B)rq$V-MwEoha)raU3}<@c)nunwP4hX+B0R9F58@~2|7iZ z*D}PuT2kj=_eSed_*yT9w1(e0)9fcDHV}yPgYXwBo$Yerxs;?7TqHf=>fX=F7W>A& zwamHi;8dCOf4*UZj^Ds}?CI;x_WGKYfduyp9SL)QNg_)WJM3sc1un@n(8m<7bTw%w zAXzhz9EoPua~$w;eIDN>-ZloQ-hCz&{wYDZg!jSIxG+&+smrbfw4Gh9Ud92goR9dg zz~ZifC^Z0vRJh4$sPP?H*#~n=3>_$A9u7|&2*4!$_G4qL7KnRc0a>6dLpZO!1&-Lh zypn3ULR&5Iiyo5^I(4lz^y$86-Eav9Gh-}5zgp0dBC29vD<)RN$TTB~Bt_H8PE7aH zwA2mRxB2vp6m$j#C#-%wtmK326Eov$)=4P(;ZcFGoOGeYpuk#|UY)I;%{LM04~i{Q zBN;d{BhQ@&=-1@%$?L#eQ=Eq{rpG4Knf6c}jZ+^Uv9AD@Zg)JXC2q2s3M~ZL)@^iC-IZ|b(7s8By;vWpNy)vX&%tQsBVTDbAY+tagiR?4S` zzFtaNiIaIIeTIQpqs}UXeRLY8fBvf}gdw75?>iSb)%wOt$0vxE)W2wUV6H@u{ZXa> zewNNZ4pY7rVP=9lyg;Qjk;57YR^v~ovm zacsiR?_>9s`}0o?*;p6l2Oz7f%{}uRgImf^0sq#Ig}0IAHyvEmR&VopnUvIxBqibF z41}pw2^6aFrOd5~oeQiQA=fqD9b=jA4-SW)n15548^6u;@bNa|mR2FykQe3EUk}z_P*XE^4u6DX4YbZj08}!CZRfeIO#5ebd)g z4*Fr?I)ja(1afb{$VG^S)Y{a<@6X;_V*h=s);_tt8Vl1u0|IzD4)ko(F+UFkw!;*F z&ZZh9k?b0bK0^0S9*4q`-Zgd6@QX?Nb751P)lc?^o|CMFJH5?9R?ZLarayClgIs3! z$8jQZk9Fu9v`Crj`ZDklIX?>p=7P&Lnr@9;Bo-wPF&zvq2;QF8(V1T)4RRp8*Lsg1 z`u2=X`;IvYKLSizUpj$>1s=to=ccP@8QE}C;b7ucGEcv1MN#dy?XyyHq-jD!N!yJa z23nSfE3ZKfH@hm3or*D@WUcf?Mg#)l9i$`0vdcBPvSgTZR>^}81918n=BsW|gNGei z-7;Au2p#-w-zA&5XsG>$$!JtB4eipWg*tzYdon62E+oal(!Eeut& zq!iw1IA=k-%=ZSO#?~?G&If^4HeQh^tz`DjOQF(lA!+s5e1mZ3DRYi=og1FKNJqSM zuQ_6{$UMzL`K-lKy7kwN_l!6H)crD5vT$E7ybEBI8e9I-A$+|+Zg~=CMa?v$Db`nf zGyGS$*Uw)&TO1bLm3BtX(Faw|Jn$z@C+eod{#XS?-KYk)KKpR}lLAcEU@!3bKoOoc zij6+iA8vIir1lzk8D<{$T!3Ldzal>N32Bq)x$Sux+-*&k9S)TMW@&lf zedkhI&79{18;HIXGc1o|cI)suDtTkoD3T2t?Z`uQY6BS}7`vAzyo!4D-;92u9DCi~ z6!S@+9%K1N2^UcU*Dk<}!ET)$fLbFNyJR<-I_e}9k1={%gnIrKj7 z>w3Rl&-L09oBog+QOmRDqH7++IkwEaN!3StykW1bx%_r417@Ry<4giJw9>PQ8E>{9 zXnlW<3q^%6Zs*w>o8G4zr!Z+0q-eV#sB3bzRJ%f~GGm+!vrFz4EBjRhKN=##@hEYO z$dnuP6;}(S|K1fX=-)QqUwgV{`5w<%6=XnRh0_0~=T)@%hHbA(}ZyU-aHbSot>MxsCf=v(y#+2V5%^gv+dat*T?`ax$I+z!)S! zwq+#7fyUcL;IXRxfDTvLVQ6qQm2ziFMx-74m=ea)oHY@hB2@25SnA?(vH{ItsmU{J zE7$N>SE$cOVCMG@qM_a3I^f{p{eZ;;&FIu?GUyQ5HV)aJ_hH(yG_oyvIlWE4+eci` zDhDyv0R3X1TKujLx)rA7<+jx2Aknzf0Y|2a;mO+PCvyQg=w6>Jyv-eovh=v{78 zjH&y5l9aM1LMNwqFnh4dLHgJNq;kkLrs$Q$65DC}^@34700a8e%vsz?JY9v-XI}vq z(C)1-wb>0b3#FXG)FM*O5rR&HUGUM`JJSHbRdM5U<8&0y4mB9;47alL*1~c}If&0jSXuYm4&H*HUqPYEq-duO8t3RI`o==3EY?bQMo9z#d%a!KqtJDkPABZ{dBK z#BMU3@C7_GF?}IzW#(EJd<}8nTNpNYzU>3oStm~j5}{^dpFRAbE4yAleRI4C8DP!= zL=s7`xzUmqSPTP_X>&V8nM0dnNkr`e83?3dLQ5t#HEnVQh*<($U8-XK)Q3iR+Suu` z4hRgjNzSDHS#O1xlp8o@LOlg5)?pblDT7S7Z`?ti7Fhm}WGz+x*Zt&ZNQUw3QFB68 zI#+?GbTIWAhjnfqsLm^fh~RdW`BfH@)^NMhkWl>LI52C)w}@vgqf>MpXJAV$_)I1 zRt(L(ozm_wO{=k$>!?=OP46ky8`MiX)XQL0?7o}X9?Uu`+X)|-gE@mUYBto zh=^?2kiIXKamH{RM@U96!F9&Xz1Hjv$Jt9cN5#*r|Mf<;5^|SlEj!jVgB={AID8{` zJrkwhq^<}$z#ideitu2_*i~ET%oQ$HKwbdx)gh8G=0>`kl;=wve_EmI>26WIMx|AY zaWa78HDcc-BLuDWVBtrVnb$8%b2o)xIt-U&Xux7NcBYd+bXi^TBL<_IUw*}}qoFg; zrIz7Eu>NzxGXVlnJcH^$6SeAa*509dXIr11M%alSV*SQS#}@!GpIqC!+w%yH)cNh) zgvEO8UZ@kbK`3pb)IE(2sv9+DUQKIAzduWL6_9`DTOoF^mW*GJ!dkKTrSL1EcO*u? z>ls^`P};2z{mvgsn!vD$)4Z(@Henz~L=Rq&71B2=I$L5*CIg zvG2PDXE6(R8&Pv6c2xfTQJviv`B=1SFNGDH6=MAfUpAqqdjgkRZ$w$khU?z`(W;Qu z%e}Mmq+8shqXp*#4ueI7T38)LuStGC=ZUp4JA=7Vep;zEC6lLl07UFu?TD;vJy-Cw z_7%EtX!?kENGD=H*`6@x)zsPA`LokC2C>&X<=b33p06cJU3?ZMOxgg^3h;=+qHGpr z^9&(Pkfi2c_ktV#d;Hukk+Z>d{~vvxv-$TV;a)vK(Inw(wclD^c=tOzVA{W>@B9^L zLZDthb+Vs`-J0MlDZN`W`+C*59afIeI(>$ncaWey%aPaAmEyU^+41lrKO7LZKZNyY zsW%FzCUa)4V&6eyOtid|Qyu;;Ka5{B80q3nep_{>4$R#J49a%BJ|sty2<=UCg>PHu zA3hj74!Uj1N`H+^pAuWnRvD11>-x<2FJ=EHb32^xQnuP&^~*PG7z8=oeIK)xc}|N@ zY}^Xnlcle+Eq&sE6rFvWMv6jxuY!eUr#);wzqEuhJkHkvT|xae7i=*>^H}F!#=SZT zMLg^;iF#;t5S$#E2@6#J-va1aQXFS~WuuY!Jq70m&B)(9$oJHJdPX+WW-+E8y|;2t z2*PIQ8PTOlsM*aTM|iomQi6 z8zcWJIL~FSXWl%l^jr`Y8Lja2Gip``v3F~2e6}pMbr&nmoC{V*fhVJuEAulGa_aJ% zBKpqQ{PeBgG_sI-0spOB#){AI;rkIuP9d)QtsKni+}AJ73fz(nD?hzP#>>2kur<4< zuKVKa+{of@n)YhgngSf`BV`hT<#VMcdBy43h41^5M(;-)pml1HYzQB@8|1O4kDLTB z77fU=J3ab4fVj2jK$b5;>R(;2;2gEN)y6SU_7LfjYo0NM`r_d8RxJOEKSlF;956DF z>IcM?<}Xl{ze2=s{08}*H>RsRYxf6+6VyMVJb8xlKR?5+hW@^zi56&QtP1^82v{Fo z55Y5SpK90G%HA!M3jLu0Z=Uec7Sfvl&I-aZ5K%-Q%6yp}Wj{ z(2CEk@?^tX?(js?JC5q_*B$}AqF<$il57THJkCUcsM6d_Wr+iMwwGx++}@+p!ZjCk%Ztd;usUNS;a>{DiVh8$LN&J? zqifv5NGsYcrp)jPKBhaI$k*4-md({`WZ1;c|VpNyV_manZ(99AMvVh3ExyuNf1g;x96 zlaqYV!eEhV$mUyB>CaQAX5bls)qL;iEgZ}vk+gi&Yc6;d-qV0)nt34HUtjw3B_v;= zI_#gnIdz#1wWC9ATQv^>uY()l^b+{pndY#mUtpdEmFR?f-@QyLB#2ng^iK6bq!TfU z>mq^e^+;_Wm485%$Xi^}_I5lOIuMoG{oX-a?MvE)C&3j+VbS81x8{Xwqd~r-q8@Fn z9j?jO3s!%Eb-f`m%&Wg7^$t2C>TCAHu8pIUp1HmKwtv+DtvyeO*@uOpwtO+7lxLpDD+YJL0qDU zirYuOl&eU{mm#X^J~%+_$$}0S7jH4Cn1Ovuz_dOGfZdM1a|O?u%xw>)k?Ie>YDAwO z*|aS5tSu(DS1_zR~vymqTz< zC_!QJ#1$fT8q^I{t#nSl$?Vx7&cnP!<~z{;DV3SK(JTzyPs95hAIWCm^AKpqCm5C? zLO8fErR8ciRJDQb%6vNIK|%y4Js($lU9*E|y5^vW%_nc*@#tU$gh5qVvvfRc$YS}6hWf9dVR*&ecsNkNF7#B!Yo2540<~86?J+dI-iU5 z;kzKAODN9v1;a;U+VOvIlUHy9Qh|dAw}Sk2C>0BY8qNmg0uGFX>W7$TrzO zX+{pLvmeEWSOFcGyNeU@(Mhg*9VwRhj=tqhp|c$|v9>R^4F5hra*?m{@?vZ+F6l^=N|Fcv+93qk_p&CrCZLeO|e^_rAIIdhT z4*ngL)ugcdUg2OuDpZhyz~9FvFEtZO95yFiOL)pAF}e+QK&;Zrb1@S2HT3nx_zOo; zi_LM?n!M!N2nOURd=EC>C_jiPThGi!jzQHu8)s_;#6R-Xm8@sJ4_|1y%1FNgRflSr z?B2dqSY2q7In!ENO(PIe;a5etnwI&5-TbKU;lNyjBU<%AYJ=`JRj)}=2`<@GkxY#V07vRpiCwnOS#a&VZD#mPCgLX3Kt()*|Em%l-5Qu-7VHmsdryiW%* zLIZU{rIv#_B^O&H@7OonA)Xz^!lJXE%uu)-*IKeC9|d?PSV-F>-xa?}zV)A2Z|e&1 z9@y>3^PM&9u3n$kC^q8ISaxl3DM__^q|dxrLkKF2{~q__uh8{Ud--k8^p z`!^ky*crA{e`sF(;uw#fxZXw+T1rmSW7|TQAM_Gj6^KB%BFNc8u(Nyz0)?$#5wZMW zd#&w=pNa8a_c!ZmeRCRDWfM|5kFRKt*gQJjnHh?R<@RZaNg_rL%tu5{38D-kA!3pa zkMWbh?a5hvO+lQm&ata3=qd-+Of9V1b`m);R&-r9wu&mc3nt@D_&C`pLB3+x)@lS6 zI|tBDp;$%fpD5qw^gy(6pZ6?%h$-O#HQm87mNnDUb)v9836C!7rFYG*_$y}XfVtVh z7)vqfn}XuMxs>u2bMvw*TMX}TcaWHSa^2z}M2fq8WJ?=jVuK9Fw%D2vCuy8mSS`6C zC3fMuBl`(kX7c=*IF#uUYx?ir-)-ubd{z2zE)BAVmy-z{CJF8gm##N)^GN;cYwUg$ zExS8+f}!pTzZ5*p$2#X9J*I!upTLZx-j<0~Q+~1yNi7dhijJE}f0GCpT6>5vR|N>> zp&*R7U{u|*D@9s;nOIoy&GNpK;6m$wX{}v?LeBv7hd^#e*wgJeR%Du)!LBfl^8Ft?`zNci3$>@AFZ}!Ssy$_vdj7nxF>IKPx`xd_P02bK5@>Mdeg`>`KbHlj5 z5?DOu&K(SXjPhG)Ct2XZBm8#5zY0~hhDpz<2}k)zvtAV2cvFjB)J8|Er3q5p0P_(P z=8idCB+~Z!;MQz0qU_uu+}93{?M;H^`T)>3n`)e!6Po zFPw}P}wqgDcKaM%ZP!1d3qZU0j#l7oot{JJf7;D0}w@U zb47CTl|nx3mdnK!>1Hh5tvErtq ztM^%oQaGIh3dB$16m-eUH8O5w-C(Q1;u6UuDvC?00zBLj9_Th}D-ZyK%?BQ&kYCPz z=i;2o%2{6FTOA;{x0qf_^h(-BiG-You`|JewD$QDYW>qy?0L`m)U#wSlDR>U;z-p; z->LpgZRRuW!Goh{inX4hf{+0DgQ2mj`3Nh{X|=fOD;_Su>TmRNxwBS&H4iV@U z!z%T!nZ}dvux*OtZ4xLcKP^tcBadOUX8zuozd0hPbXj;!!S}A@AO7mYLwr%BnbF+zC1{hS} z7f=U*t&z#GcKzFL(}b}F@oA;&4e>W3xB|zSUg__%z)=5GuwQG8zcE|NqZ7|5h1z82 zircOB9Dinul`L6W^|*k5YVZN~O{*zRNsfuGok~RLJ93UrvFgAThjP4-eIB*6U44xb z1=RsD-I(KW9nnLJQtAfd!e)i;U{%8C6x!6a@d4g`HdVvd<7ClTDXKbqXPQMjc^R}m zSntbu&EfL0iJ|ymWx(xn*VEG!JSm>!-z_zVhw)X=tR&wuqt>r*FIB5;LOq&7x3%7m zCRXJMiJPS1<%Bx!*9}zLTmmIFb`nBefI9H%@Rmq_DdX;};(*OJ!EAYKHy|iJZ;{hC z51ej?@i)m&c|Xg0jw%ZE33{I`C}AO3yn@wt9X8y(#y1h4<)7li_GVRtf;m?uy9}=q zbZuP;XKy0(Eeg$l8_D+-@*!YI=7IRl@b|4U9Iyez6FxncAh&Huz&b;63LPG0WNa$|{z^Lont-o(U?jjI!pN3HbbAZ)-{i z*OLI;4djLebQ`{xU-4A?o%k=Mlo12wgc3{&hK+3>C-6Z%rA@Eki&f8A$6H-$0K0QS z9v-|5^X;E}_8038dUy{pmHt8UiYvKQxpCTYD3wR_oTAY`p<&fcLN>eTa@~V=v1Ijk zg(pm0#l!i@`PKI&e+ElXL~z&z590?i?7@AI_=J;YOa3!8qFM`h!z~7m=7lwpC-I$z z=zlQP0t+3;fi>666N65}fBhaxiFa(S7h3)V-1)5WxK8I!wT^;lg(IC4J-aFX z^L=fxAcF2Fa(y1m^lSu7AbuK=R4*Ty;u3_Sd3EkJ@P`!kVmjR)GARJ#3Np7|M+S9k^iFqerZjo39&~>t;jTEKviL(1B|L>5jYV6A(ZoYeR;}O66jcgzy ztI26x#CM%*;$fmMA$Z?ik@7fEI#!x45c>!$a!WAUG`DuOqEKgyQq=Ovk4$1l0VyvS z_2v0NkiYTp6sUi2y`ZFno=xVC1YX7F9fXXCRfrDD>RFiZe3v5k(bTYx$<=%#9xVT@ z{)Jd-xNGX0i#^cvq`6-IGMx|_FvPa@F~%2t>+@6#+fDv17b4hRk+2}aum_nws*8L6 z#@XWHREd4{>E58$Yx!_K#O{k8g#LYT1cRk6ukWAjexUVu3J$4p{YqK*>TqU5>EXXb zRS5mEHkzU02(MBrbWC&wrbx@{r>{WCEM5hBOf*2h+nh|tVmbfyJCV*>=$1$?@Da@j zlzbtv?M$-%W{`cO6Rpo;*x zsj)r#g6diM%S|0KqkHI|bC|x{*qTb7$2)iqqBykzk|Cvm9Y;R8rg0aN6Oj&Wp~{zW z!>Fhh=K)S~qdqwH90pt2IxA8#2gICe2bGOFC$sw0#NIBhVTs%GvjXg$je zc0E=ycesNST|AB+@|ZH=tUCEYh!Dar2riut$(|obny9y*-_Ne}*;&=PSS#1dXb=`*ekr-5uAJ6d$FQkFj5}5$O1`4SWZg$twB$_P&3N z45kQZ0@caOA-R1#D?^VIjfMsd^A!&ArWYTTScp2$BCXNOXD9isj94VgET^Qng41KE z+yM>OD?7u_-RtK$_nt91b0LvB>~Akl%Z*g7=35Nc%^2lhUlS^@Lc1Ei%m0;8YjQ8y z?Zf(z-(nLnU{MlcI!-EQq;HaofBus$IGvzf$kGuW72`o_iY4(3Cm8v*3HxBmCA{_Hd{}P25r}rJk@Ly`_cK{c56ynn56Qq z_wVn^bzFsX5EV>Pkd=B5O}`l~lk330TE4m0?x#Tx@B}>GXk9hOhfqQw?`fdg1`ga>{C-Yq+uBum^ z=?rScF@SsTs%^Kom`|lGd=?@JWLJEc~`JUMjc=b4`$d-7sA-u~-^)UkYq-s#)w^u{^TVdtAW z7SRT-`!A2F@^k(RnkWogT)E0rz=SRQG?oi>NAJ7)M&6~>4>%CZt{+Rt#kWgQsN|MMMDJQl%U^9BQ3&g$`_k0Bl~45Ga0}LjgtID4b*k{yH)@6NbxPRo zU%@Giw)kE5-8)d%hLx{vnnNA`JuwKe+Okm!w$&}(9eDpc*Cwj z;-Qiw2bFVk7)eD45EB}_2UPUO$8MutYnJ;kOjh3qeu`_uaRsojO5dF=*XTqvEl-xI zQD|D_Hlc3w3-{YhfX@NUB=)A+_Co)?i7cJR4?;_*20ueQw-d)&5LZD$!ngB2b>Szk4v%-!&zZD##P zfAusrcCNU2e(m(4$(XzfBFcO=ZKes>fIU@VtX?`ALgXsmqT3|H(qy#{Z7; z-wq%!lwO`*m|=H0Y*5Sy=!WGNZ|=G@G#_}AfuEHZl-O{CdEGxdd^H|RfSsK{%t*?- zo$^P+b4^>1W6r?sOkucfFaJ)Nin(tn*QbJm?;MEZLUs4L!f~?!yh4ZalDMy_4?ZVw zD7zIud1Aeo8T{neV^!n~14(Xiyeyo&`(Jv`hWrm&PH@uS5^2!yc+yp`GhT`!#3!=1w2zE45(8b zW0m>zr(V_hKB)Wide_E$yx01Xn=couIu;d*BHCPXO#ZWJ!qNm{Y_@<9eVHFKNj8jj z@84aUl{^%r2+gs7(KC$Z&id6?6Bicqx!OvW#$YEI1;NJx49gL$2;Qeng^FQ4faE4` zX3Z;U;N3V;o@hYFH=v0|P1o%dMcjR)927@NTq=rlyGs8yjg^+NC>o9C#@TE;(pSHQ z%)|i7`^nqGp{n^$22~^XutRM{%$k0?>eAH*#~g5!1Es)O+xpB@t%8a@p-RT#`fWA; zf@oMXUxM#5ryT9gv{?-`OJI?;WSPhg+K>ChIN|b6mkz-WA{8=*-InWAV1yT0hczX^ zf8vZ(9(wyQ45S*H>Ng9;M}KS3kpuqa?YKs4Dd<&Wm0Su_70uqm#;xmUp?gYjK>J{qk zy8m}_&Hck?`S(AYvk_i?&6-yIxk>L@q`ikZk%O!>`oji|uKSigs75-bQF<}C9E3Km zl8veJ`S6e@p~Qm_2;^|mHgBKbsWCVF>BzydRJX!%a!=BbS0pB0`dCV(&03fmsu0nM zn-hM0zLWq>1G7*StDk~9h|--*g zfanE()6R;orPXc18aRb<6LO8q!+CnmElwQ~50%@=jy65TsKqlDU>fBzNgJ=5Vy;CAQ9#f6q9JZl*O?%i_-OBHi)D0r(y5%qV+#1XXCO}o>*S763fA^O0T|F8t3Cmf1yUzkRXO~ zb{-EURo#3?`vmJTPM^7V%AMYr-V%MPM@o(z@EZ;h9v=MTO*ejvR6o|TagcJT&VED2 z0KUypdFn%-o^Uofw)hI$eD=y9-WP|ekycg5_fvrt!vc&+3zMBHF^q2^Uw`EMm0J7g*|Mk3&Hl3P8ocl{j58^G1G9>H}(sQK9120?<_>}TjN zHT7CbGxDjPB}R9C&ho0Au)sc=A6)N}xso6qb#l$xFn^sG_328!Ud2Zn+4};N&xKY6 zU{@YY?kK?W@G7)`+L&PI&NuD&&AEyxVJPGg8j)|{c>U5!@qhOUic3Pj%KiwpzihMu zyKZ{14pOH~TFN!Mp*y$E81gF8I?Ox-ORV+YKC;q%ocF#D35^z@(lwQFA3$+>TEF`4 zpJ8g}EG~{YvX>2b-rvsr&0Da~^Ktsd6m{vlb;F_H_zm6i!z_n7ouYV&qbXShDt+}I z(=O<}Tv)JSC!YbWe;MQkw&0F>7~z}CyuZV*qj+t&MR#kArFOg|n6ch|DsOT^<*J{} zRbJq?k#zs$eK8v*8-VIp*B!9Vw4kRHy2Sik$kN35v6T3E@D31XZ^=kyPGHvgb>h(%q#KTQ7IMJL^7v8ctS$BpYbb#f&Waj(E@~ zZUsq_`fP$;>41D-g~1eJ)!zjzEsW8}>R|pLPW9NOPnE#GOC?n~H+U(;dJIB>qc<2Y z_zr3!3da-sc9f6fKv5-*ZA3d`%9cvySg7=)7n6kv!*7llHnaL1q3P(DF6A%hA7BlT z=z~=LRx6h4xCyI@RcB^PT{HW_BmJ`2z1hZH$#amiVwn)qn9s;GE6zswU3c*8BK@(V zEw}Ynd#2C~zr9fFpC9br(DILxOm9%)JT)*)r=h`ol!@MZxFMoFX5x_fWPpU(t2h&z z)dULl_#fFDU^1W%HKy97Ejsm8hM65|^GDxZf2fd>)9IS+UkA5S4c)$u?Ob?Q{m&8f z?K+z~%6ln&O@s+Lo3?!`dewbV2R4?qC147`nu5AvuN)ow>}hjhzMIg5HR~#nNhwh3 zM?>cysO!GZwi)Y{C`>4L8mORnz*!YtdO z=sOFu746^ez=z0zRuV*hFA-zo6?XN05!9(2=kiFc z%Fxl>9jK1SClV!}9^HPyQKp$LVE2DBIY~VydHA8cS8Ss-pWmTP9kkkK!jM zZMvvh#^1@Ym$*f3?u7W;8=7ILXROWW$*D=T#DJ^pl*7Dfxk{7iNvqj=n2iZFp1=Y zG6!rIoem%u1PH+e*bH!mswrNSsgmU1I9pGAi9Ny2)xybtnch_*iLwogXee>2xzjsvLM|k<< zG7j|Ps7ei8flZAQfpB>xNh1lI?pGl=wWf=VYL|?JN$Wo?&FEiayM2G|kgBrM*&GH{ z#ih#8Xx%@<8*f|$hhcxK66PSD+7M?iRrJX)I;3>NsK38&h50ml+@kT1vd?hI<4bdA z&2JRi+$lOme9J@mcW?fNig0ucYSD)_I!4L>ZYggiRN_{O0j3}fU$37Z#rWpz0LcA;biZW#bT)t7e`zek zUj}$T!Dj;9%!lIhlLUj;@gvmVXOO_mVz zPZsDdh;tm;$1}<`ln#}cfeRUAbH|?{Xp)j(d4=a$gx%eC!rg9yA z3;c+^Nd(HywmeSU(V0W!hRk|FUI+ViniL+{iy+ZAzc1G$Bvin&Wy(H34~Yk>$F9 z4uY`;dP-X~+ZS_?%z3sPFk<^Ko}oXdx!;Hxy($t>5W@VH1VG9xAK2L4H;3+L3z?Br z5c7xxgfSiS^bN8cz3V^7a4VG|ocp$)Lq#1g;c z9Comn>*xZownH!#>dcyo=?~R;J~7t&T4c3e&p;Tq{ZK*_Soj_B*tjM}P-saj$Ahre2Yxep*k)F=3fVd~{oWH;x1+@W#%? z4NSNcLG&-Si0n;g44=wl3O(;b-U)Sy(R=pd01$Ck4sX_`}TynLi_Ww7c?)CE8msX^j@(JKr6>lVO zh`>jRC3+YeVs$5)awqoRrD(syvoBhF)U(3Wl)iEA@_(4WaSt54i}^1su+!J#Kgsk< z0;gTVy*k^VR^moewqu8blh9Tc`@N)S3V^VFl1II;{4Y)qSW*Yzu~T}U=}L~op*zyv z$L$ZbUN4f@X?wcyJuNM8MaU|rAfgDd-qi;#QGDf5v1?oL8RD0G+%h!F0PnYp+L?e= z{m>_MVnzv}ya%j4+6Lgob-p1ID!08xI+!?=GLvk=DG7{jBEB1rhDuBh8a{JL2HLv; z21l(*G{SA|4Rl1Htjl7WoApCU$PKuWmRC@HDYE)ljVQ@XhTGlF35xN?Y~2vMPaeK$ z1)>E@+rhPXJ1_))lbn05|5;u`0_#O%=xZ}2w$$ztQ2)jdgtK3pfx|uqZV+J&q*)n8 zbmp5^Pq^OSt@sl)oCI91SruPn@_Xj&-o2<3F=8PjVv=sfz2jf6^#CAPOO z)h;LB$>umsV|Hx-)mGF)y+^%r9Po|;Uu_b(ODc9(7GwtxDOC;tFZ^_hoS&% zE7*7?rC}c?2VT~5h_`x6SyJ0h@8e}lx6NZ$mH37(d7$>q6n}3~;5c>CR-n-uG1+B+ z&z7-X^~Xqq>b`>M57_Iog3n`sZ#?#}%IA?eSF3{+JYCfENi#>5t8=B#+LPq5;M~Gg zq6u@B;Fq;-1BM*OC#Rjk4i5d9)f*IlYxJQ-bI&633%7~2kP^G$*1|pBzrFSfp~cCa zt`!>uLpznsJ0_$A-iAZc`cLMKt2P-Wq=es3I96sy==V(cG@h0RX`{=5mRzma*|=^51OF($>L@N^@!$ zRidxBQE;&3Z&G^8T-%<_AqNEGT?e-zYdgZf@;){&s!=4PUHCPednJRmDz%MqbuGYS zx3uC*brq-x7rvcI0*4td91v$%VB~9y4yeTR3>|;}1k9Sgr0T z9{Tti;t#-N+;0GCmAn}b@i`Dg7It_ai4cwFk7{XKw-Fr_7{jQxE5!14>A`vrC$1e6 z3(ppZHlR91>%qD*hJ^~l=(k#z4lKN0(yjIhH_lA1RuuG4rYrV#W?xv| zxf8lc3!oUBiYquq-+}q&5dy}p4GMak*UaH0oe_nPg4Tg-yNZ}^;Bt;OZfWzVQ%-L; zNb5X-{;JE`+Adj}d@WQQurJ$U5h|>jyLIzQ0n<@X%Zco(xp<*%e4H@8gRrvln$jl^ z2VsW~DKy<~&iGk~w1Pz8XBoz1S1IqkGiCYBaLqvCour?qZs~B%Y^DNIfm(PbhiA``*;TjUML>~m;vTQ!%1lN9Kt<2&VP)4)nvlx5cIe~pTw zolmI1_p9cK3hwQ;&OoiBkhk~asx0nSm^*;=(^h|8RxsqgmWa%OT^p7Gu7g`BD12Rr zJz4lGXUdmD!k}A`DSBhC9$E5wq~Z8ukwP1%xz&MDUmbEk?AvxvQD9?KShxkkn*r*U z28N-Z^OOkt#0ptrF?aYaPN@OC{QJ6xwnh6d2uUT2eU@w5JG8iEn|+FtL*I{I@qa~` zXU1V!F_G}s4-{(;DJTE=c?&?YK$LdP(NhK5H8@0Y`+Sk?UFD~%a4<@sugQ)aVav>1 zt#@a&E|#^paX*intlrYv?6KwIjjc!WAbmsW(3OZX;psK;J;Y%ysiNV*wT(cIi>&)h zX2bY9C+eGV%_S~Xjb#UeG={L!g&Wfetbmqwe<~CBVO@PfMQ19QrLA9eY+!NiUs5}3 zen*2Qu*bHIsD5QAYKw>!kiRm_aIZr5_*f-|wb&-M-WrGFV=aiq+=(aDk~)Ax@^*E2 z-0yIH(sB?DkSxUC84cY%Ra68mx1UYeR{QK$CX!oz{&{jfcr&0F&+Xf=G&6H5oBO2x zzksBzQ${;x3Hq}(58&^_`IBwW`=J&8X7G2s%=2+~Nw8VUkMHr^*Rmuf{|>D3Kwp|V za}~={4Dee-r95FgaEeUIohpTsU_Tu<9pZm56qevoLsl%W zMJU?ecMpmq!_Zkv;iNH#*iy;f8iwbI)4v)GB0yjQ)#ZeUpYuwzu!bWMoJ zk(~wXPp2}`{rh;o3%5R;Z2U1{OAvk=o>H&|vh zH$!S{hM7mv-?xjCY!BF9fSho<M|x?yHyX1rL}&RL>j;X7oR zb8`4<-+Qgg5!)RJ_u6mLqGR#{rxy$G3h@*g zuexY+F=YQ|`)*p5ENA*=JNe^D!M?`~#fENIceTGkchRnW4-kP`x`*hi*}jqzowToA z#3p}+e{L0|R1|(mT#y?$eD{w11hQ^rYYpy|n&(ZNAmIgb>ZA(e35)+U6bE>pWDm>2y_8eMdxS3L zp8DH~UcVIKdzE`D_m7fLt{vH2R-YHJ%C7yJDcWzm5_qc{Qj~6{=+r84{n$E`u!C_h zxxB?lTW+3+tP~Yj{h_k-VfG&ygScxr2QwYD2n_F9(j)#MDM+By_mzjM4e>HbE?I&A z25bnXX^xKfi6j|W!LBJiN}g7q@*7~qX=wb9=lr}m%RkL0=KazsYc#d{TKpOt9Af=+ zX1MsZJA#`^mxlwyh*UZxetv;0c74or_z6Oj6QkcLL%KB5Eq6M$02O1&T5!}QtEfVH zl}(M($+uw zw|*T~ZiO@{uAC0}Cgb?$i5wF??^)&869>UmI*?fz%{8KBzyvu1LMf7MZ&i^$+eKbYnbQbrbvDE21+R z9)e2dHzUU==7DaIvZVv6<1+}Xgrfy5gnFn_$R)mq<->YKsz;1A^!P?I2JI$Yo_JR= zCSJk9fWs0VQgV7}^XoK2e=JjaO`cCie~10476Zin$T1sil`VCqcE5P^;9#gj6eS() zgtTomI6%h?ivFh4#_BHtZf(;#4%e%~^bR!;gSL;HCz(`iqVD66yU2OV?9)vdCOdcr z%4R^Gp-;DSmF)21X;UJ6p;aT4B4#I@DAJCc2=OOsE2}4*tW1ogw_aV0{4yU)B{3!? zn$ktvMk}mpNp&u`1rxqs+wgdS{bVvE%(-hy=?JhoETHF}L<(kGw%6x<_Z}s@RAK|K z&v98!;l2w+09l>>h?*edsZ-`~+lEcmvEL$7?;TU(?^tpt-1>OZn;4`0K7c5h7)Op9 z%&{)qNc3jT62UyU1nJuI#XVGx z=AJrN*u?{;_mui1Zvkp{+-MF>7I|8h>TP}1g5`>#-Z`Dyjq_8MRflf5)c0_jhKABB zV2*;0>0K4Gsrw|D(a|uT2y&G3eia|rr2;n0s>!Yp z2~Y|EuvPs;r=1)rnd4&m^1SPX?43b(DVyn=pZ)`MLLbibK2g91IX!Tx*!~%@j%gU= zuQ`BSyppI1U|H0MIgv7l1p5gJR}sc#pv!u7G1ykig~406myJ z9Mt_q5ZO8^Lp*(~#!=DkB0tAMfv`MfMoHedJ}h%rgz~xms)-_SE?~x9m;=S|hyDn3 zg`OQ_llWY_#&vXG^SWmIVW?qsHtZt~5A!!y@(X;7k3B7;8TRa~ccY4>zUqZwrSnV7 z)Z#-UlSdt`c5}76OO+M}y3y>%6memrZJ#cYs{ha=pfTih@yD#KEYL}l0JX(hl|`*; zAmun!V&w{Pw^9F#DMTts#YuuxT;Q9sj&iSyX}rfQMK!M(M7K-afOd6@I}eB4wNu%oUW5a z+q}K0>eWg1vadd8LNd5+* zdzf31YNVqv2aJ+iWL$Ih*y{bS69a?*C$L1J*WUGy-+5DM!$P-qC}`eFUeZ$C2a)m* zrV|{BBNG)RaZ1LqR*S+}GqoeBZ znAT5<@*~mb%wwWNI91EiRQ=o4cRh1rdK{Oq#QQ+DMYv(jV#I6fXr6;E@wNwiUe6k=SjpRUt7%Z_K z7E35u9Z7M}78WutnV)SlXE^hf&fJ>e$ROED>(>RHJx!Rdd5O#}Exir~ALk7d*kn@L zd54BQYHMtfh^t7g7H*E~u6G0M(FK#zKz;>bq~yeK`)|a`W3vhW-k)<(2?m0HRs41W zlJnC^a0Nqt=c|Duwq!d=$6FKUwM>P0!yt60-Q|$E#bd7T*X=ew0AGCU?~l+7{rYw7 zZ?mKZh*bKj1gadb&9*X88NE(J%)rYU1@ZL@p)84{i_CS3fOG@*6-?gcI02#ysjaZb%a9X>+io_d?S#TeXV(DFq+6|x=MaBByp6g{E=u5OE9aApZ|YHj zPtEZ7m;>R3{X1k*oQV@Hx;yX}8$4$T9z&*O`3%2<$7q9ITi(bQXMl&-Wothj$q!^} zdS)5c--P#_Yb=G6(EvH7F3W##Fs9y1okZP-&bLicVe%O=(RHeT&kjtKXey+5k>Ohi z9jHiJ1eX62F6!eVL(o!F2f{eX;v+mxbXb%OYwScQzt1wj@dIIt62j#&arU0h(in}T zIRyCVhsQ?SWmBy5mOpV z5(P4csvUBJiQ3MMhl9f9Gfqw|-vwIksqY2DIB8mxUA~_1QVDyUv}nPWXe%~9_Gu8@ zZ`xC74VTF}4MB4;NJYi)iH!&;r*EQ23e5PffeKdA*4QCZrX;02EW~Ogi$XqptG}Es zq1tHLP_nt@(^{kz*V?C;={oiO5ZHx|SMbD$R0uYeys0yW5th6A-DPsnbx`Pe#wCVZf%* z9>l&u5BHlWyIas0J*k&8`Idjr)`~7ocH2KPEe8S=@qEJ4-`no#qK4YOnx>6k)@{td z{gB#Si^EK(F4B7W{@n4ZHYtL*Cry4wFfJV>iUiD^1A~yoJo(XPXa9WVzrBm~%T$(M z2q{byI=bL{+eOBjSeU03hh4nFN#Cglt(Pd{lKNDOL_$?xL4_xWgHK>cW>LAy7s5^) zgLOCKnT8kL`uJO=*);Oz=+YO{SA3>Ig)K|+Sv|m~Ne2h(q|xkqSs8sqxC-dqq6aN* zp77?7{_->(Jx@9X4rIX-zFEnKS-tUjubasg2I4g$4$7UBviYe=2e)QJ$?>=2r1Z(3 zh*G__lx-KT02lQqeeUp9gzFp#EA9mbL z7oQR5JK~Hj9f?gG(bC_evRSSN+IJG2=WwBh>yWQeL6H&Ab|Yg@JU`E_nGH zz8}bo;$+O3{7DzmBW{rj^MnnbyjS?MJV|!~FPW}J`69ZN?*w`jQ(0*=kHnKN7fKA9 z`;9M?UZ9ujruPeU z7^Y~%PKD_~9|gYG-YOGzDJ39JA1@yHrq^s4>0zsSz^5~+)k+VkkDh9$N*X`>L|_$y1^5!2Q zaruxS!$-lRjck*|B2Kv~K8##OR*R3RY0~DLqgVlWG&rcOnmRA(?wU?q?BLS!z3v%g z-5V20#fa|m7bI6EZypo1D3#f+vv}E+hO+DM7$T#NLfF@SZA)Jn6mi>crmmn|EV|EK zmVAQe`e_+{ z>Fsgo*=ZV9a9>r0U2$04*HaJ{wr&~!a1?_3PizTRvllR=r=8>M5=j}ClMSXQF(qM` zf}l`)P*{)OFKMV9Q0+>JW&|>LA063qbU~BCM~P_4GmY{r{XU4w|+~aLZ@(( zTK7YmkA6G8(MyCX49wkF7{+KRj50a&#CDbtoafc2oHHZ?v(|2iq-Vo)_F^aAy=j;+ z5J|VSq$$4JIzGVY9VE-)6^hGvC#g5C$*lj2%j(_Qt(M1%8a zVhrNQS%5vg_mjJ==jx(-pAt`P{>!?uPmuPxJocznEuO`vf=WRzv5=;o;9LQhO0-wj z<x{=ZmC%aXDR4RJb38hT{=%RUc9j{UII^2bSGCcf{_wWk)Zsrz-l31#lv zVR}4$t~#K1nM1ZWrNYft!YCx}Raf=uy}8Bw_9a7)AYa+q?i|vFy=3mf$v{zOfj4s4 z{Qjp7?xoznek1RwENiS-hD+{`gP?V+dvrcm&W0@EQ)8|RaYkY2j{)0qF2vg3X>C6x zh?#yO9>3r*n8UJst=#?a^}PLH$@adPM22sm$We)Jpi7-mUp$L^O=KCYXsye5sn78S z1k|`%JX0%WONGn@bI3&LdgkXECH-xtl83nHNH-@J$8HDJnypQk#vq`_T9NB?T{tg*N#(7Mna}z_+yaOXb z6+JvXg3p8a-=eZKYc$Q71Q89FL5+df^o5ZKE~))qsti3SX!qh?)*7pf5Kr!Z%PMbj zxq3n#{}#5#dk~Nv$!@Y}VqX4>I_z6WbB}W41fu)HY*Yrez^m@Qn{U!2Mdx^!zjQoP zy>~l};i>bFo3H;ZITQ8xkl2gQb#DZhGl6J)ujF0IQ@wMs)*=%kr;YT5?s^m3)S^E} zgsa?OH1*JG@D99;)cif7>+=16zQmQ1_3L!#z-0jfOl$88SNrZg`&&OK2xu^l~*pYmY6f1JxGb3MkP8F61` zDMdpLY!!v*#zq_ckL+|?Eh1=ZLSp5i`*GY&i$}pGHw;OO8cMO?B;P-5K>cF1<~5ks zw(|CY8$x9v@okh}Z;hJZsr8w0wXm!0GF|E{aKuf%K{QUJtrZoN zip%jmFVqzkB-r}j8OAE!jWD!vB5ZY^@)5dKz(aWG90ty0O+LJQ_V~CBNLEN)b?MFj z5A^~t2-BAD3ret=Z}lvj#dOL_r-ZFa0;br7PJ=;R zj_J%B@&(YqKXHck(+o38I&FDrPZ<%A4@QAJ%BT5<1}0HJXL7e}a{Rp1WumyPS~zF9 zMLH8DYbUX-fw%vUW~oi_k~U95Et&-}AJH;6YO@)O!m}u!C))iZt%{_`fB*uKSJlX; zpGm@%DVY$V0*I}{iUPgChHuD$h~dZQpby4)vFCmVqh!0*-@cNmd*L46K^%LLm;Gs( zRw^tm)!^HuCj?A+#oZsfHJHA4Mu<}*Z(~RW%lav`0%u3Wzsim$#}v*zezsfZ;XKAA zU+p)4_s8a&LeMc!u#o@CL@qVSH`DHgOIPeoG)RAdjC8QdPg(>IP#bJpftDwaQZP(x z7#+@e*DUofTc6wBAn*u8qt$%ChNko8gQ%-6wzx^~UwWPtkeggfwV3D75*Q+Ss=`;@ z#y(e2#ClQ-$q^^gO=q7^-|}&%*3e{?!WH(;w?Y!?h2X`M+I4qm{rqNnK;_EMsHlE&zPoNuKSAUlU<=b zJbInl{$|Xp_kKy^r^x^jUQJbPnw~6Lt_q?nlIh;O<-=4KYu|F@F(b-ovP@6*RXEl`+i6oT zi5GTWT}MAfTGg%a6=g@S8zJLf^bEeBl(ZPr~I$ef3L zkejP64KG#dhFl$~ai(^X_0i^{8kv*Zu&z(Z=Aux?v8h&if#Q`3eqmFOh!JywdYvKP zQUPnF?G2q*LXz1pqcJXD+1M*|&e>5{)3+GGeQk=ghu(mxUxfQY5ZnEIA5`nD_tW*1 z8WHtA+Nsw#j)r}>fuybuX(o0{;Y}BuLSN8I>hA_qjBS}hu)tpkW9=V zM`?TZm%Fx_&mvRj`$4et?2Q-p%nSeKl5CRA4>cESFJgDWs?JUi(sj=Qt7tQ zxu=#b+jhabMO2o~UnAm##jXIzLmf=P#?%02A_8iLf{ctNjs{L8M3@cB1Br)q`)t%6u9+4?**xAt|(r@My%4f4$l+-^E{Fme>P z(ZLAed)4(WQz+HH#5~cEg*%_9mqgse9cweWVUj(oS_iN2k`k^d+01R9!Mpa^$ZvXx zSJ>5ii2X{wsV#A_X#v7&+)BumzJ*@1Yn@@E^N0i!Vhtyw7kb<6~%zP zGTl!Te>>CfZj@Tv+eDa~MELpBk>?274eB# zAW&N;FD$RQY2g=4W>i_{)?QgdFls8L^eLg5!ReUght|pbl#FX0g<;G%^;0Hz7I?H4 z)6Kuo$78gE%K76ll)$>^bPkl8aE2vStz^zpoLU?15D(`1$f;S8FCe+U4vE8%Z7qe{ z8U~w?VQBD<<+66Boxy<~=6HRMr)Nf<= z=s`qigI~)Ek+m!{Uk})Wj{+=oZd@@n|LDQ`=LJ;T#rIvbP(Kr^pf2KI^mLwU__GAIX2pHy=^=M^cs#lu)Z{ zwbrpwbH%7|)V@!OsF62&(cuhCe0Z~b^DMSpYDaE$W!qC1Kc5!TEI$<{`fEJuF|NIf zUy_AFXNLi#=MBU`wHIy;tfLl z)QHV1`Za636&cCSWmOPv#S^Nbu8zK{S^S&}G2sej`LT6T;%4^8+BWN=V$apDTD4qk zge5E9Cm@GpL~HFQUTwmS@m%S?fO_I$zWMcXlE3_IR{{SguO5eUypIod?I`oy9mwdD z6Y$#=ko`ALLa0FQ&AiwWw3|5b5xsampZEqb@jS+B@m0)8r_6IP`JGColFn(!mfL6{!F>RAOnr>*LX_=t21|P#^S}Ru8A7*s_aZ+2bXS- zUq{`L!Cb&@2Fg_HB0x&eNrjWU(u$lbz7R#`Bp~^uNIm(o_MpWO7g&;z3!zT{viS`3 z;9u9$F9%&QN#54x9+%itcE6NAcnlC1nou3P<=?}h^}%7~4?03mgFC^&bK=3D%0~VT zM|ll4;JSRG%c<)JlA`lfKNA_C;D5X>aaZ{87ml=h<~Y3I(OEf17gcy55(SXJ{S|@j z*QNnx?ZhLhCagvA@r7=&*nBSNW}A5*ny+C>LpIyId*fj5W@2i4`vrN|*>#3l2sfnE z7&4eztG@js-OGpUZ5;!AiQo4e(z}x8`XOHyv4}nDjt26LLAVNkW3hi<$lZV{gCdQB z(9mQ>Yg@xZ4?)4KxYNjkpqK(q-2VH||D6yyNaG4JtZA*o%j`s!)j9L*-TM_Z5U7&h z14xdhm^xB4tFm)A@y~(;C#T%+(gdQX?!@!1wylGqDyH(9TnOth7P}O3VJZq|-nB)2 zAx!#rheey@awaFC_?C~67%4dZp_0Lz?h>)0UsZmwK;k76N6W9EUW?f$`7{Y@kk{is zEqYvw7t1FKV7fgIPinP#8f7~c`*Y6jr2qEaocYzp7fav-xSQ8K2aJEdr>H=RN<3k` zg_vh*)kMe)Nft{!*2wx{lmsZpv{0m-Xk%jC*-A~BifdR<8>ZMso3Vs;P4rkhH4B<8 z$UF3@E*syiMF?^7MGC+W6z>|$Yo;EJW_h+|+iSVPN{o}*$wO|U_z8pU3srIO z6ZKYZyDar^4~q%@Xa;esvbQ%imjC)KGiiBM($L-PE}(KQHe|sVaJ0N$ZFc{0uART* zDjf%(@HN~orq72DQzwgup|Ledc=7zUyOmDk*ZOpQaG#~^yE^-9dvKV?9c#|mff^@Y zx9FGwZh5=Z#iqUPU%~|r)Cz~yzWRo4COSwH9dV`>_9KxuvPmVkm*;%$()LtUJPsbV zXEkiBl`rrJaI0!}yXrGZrXy+MUuW>$R+PaHL){58$HC>+UjE6S`R{+O_jD&1kvy-7 zj0Ln6TDVW{NqUyC2MRT4s+@t@gDs&elsJK;a693$vgEyJSw?1$^XhK|sJWUhRhUKA zbRHzarOFcW2A><_O|2-X6DPUgLpAo2dCO+W7b&SV1$8ucWLsGR$e7b@@?eSMW}oQT zG}bs7!e!(vpT7shveEvIKGiZ=^YX@Tk|Psl3RS-+^q{N9vfRuT3_I2g6Lcr$?bNOK zN{*VF2{gd*F`%_3I3GJdyj@#6N+F<)1V}fZFvqrA$$yILe}_yk{Df87lr-G3vYu_z zP1G8U)hGTBEe`~JJ3~5@1PIwvTPb@`&?jjGJyB{4`ulubZykE{OA4~=uVON4N4^lu zyu4sff&rmOigy7g>qGe_A*#KSlgj$rPv9sre$=N{4H3>;sfD=NnM|h|c~LC*+aT(m z`_k^zBki!0$vEDYso|GWOc}LJZUz4J?REo)K#GrN~Z(} z%Ih2i*4vc&Y|Dj^VfnmG>-0&oH<5$PQ0J7i+W1~Dpl_I&qXxB6mM^I@>g-frLiF^R zc#b_b%yi4JDOFS2D(lgydq{ORjwV6`0NK=i|(jHCBs!3*ChzYrw!+@q`be>>|uFkyb zBU^y$vkw=~IS+X5L4$VNYf{+ZX0BU|Y+~7vrvkN~7c^Q7y*tx3t{H@rKKLj`RCXwo zYoOYNtdQRyLx9WE0S5Eei0}n+M=gN@k&W%%knqb_|ok?)d_z z!XXS0_Bz2urHr`&b&ava2Nt8>30J3Eh4@{%m&<-q+nuL5v9GkB@~IF6bUma!LGf#1 zAnqsOYG`dh@hft122hpK*%>Z`e7$zrXYA$0djg~ky$Zfr?eokdPS)(lO$hM!Dvh}e zE6XJW$bUk|rYV-`T)fGoYqsS_-3|Dy*JJ4hf zbvNc8_L+7OqGSZf8Cq+v8QqV-?CyzpA6g=T%3TlY`*bZ6aoBNd0zwFE}g88S!O8L|0U!wu+dr4C{KUt7fJO9n$xNT@R4elD+?#;yTTJ_Wole;1zg$Z)Z#2u zG!)+~u49ONU*mIfUZRtjfcHH+YGT6p2A*thT05lo=Rg}nS0YrpL&~-pQj+rQqnpSY zU`yAGg1-+Qe+1))z~$+C#&7Xyh5UXL6-(L;>RPJoK9w9VmG=M2Y2GJoEbM`KZ2z{` zs(HIee0c77Jj(fd8*ja}N))jexBiu=@&C67jrHe|4>8Vh9soW$rjJ;wagGqqk<-LJ z4i?;&Y0)iySU#Em`aGI;ExAY5t$3T}7BG4YvqD)eoV7(Ml?o%vg0rL~MJ2~=+ro&B z%-Q>zvZf$`OWn;xMy~SRlGm-&_gg1`n+YocqNZHE3sEcu|~T*3U@JuCHrjoFF0 z=mOLHvvSwVp(A$Oth!l!*v?q|YDTPlti?-SwbTbloloN#uyiB>(AX7La2fN%Vs?GKPlQo#Qy z8z?6qm`Z6YUGwHLL<0DX4NEiJ!I2)uI_6mJ1JEEIh)p=T&RyO1F;~}Ee-hF&kLZ-X zR-DIl7XWdeNtwQmF6-PN+6t|tPA<^VUv}A2dX90O!I!BU67J#a*RgyZ z-73Bie~QSIOu5yctHXQV$@nqF$7~wgJGxoI^`(`u#3DzOfeL6yH^%yt*fv6+j|`op zpuuz9ulcR(Ek44mjI^XMkumN0-d9p4ZM{flh_^z3nyW$probg9dDt}zP0HawB)U|f zI+RhU;k&RN@ITUUwT~(jKVKh|yV) zv+n$tXT$p_xlHct!o6+Q?zuf`uN%FjsqR|UtfLhwSXoLQvauA=tpAYR$BhybwIW2V zK0{vp0;c!lWO_FccUUr#i_34#sdd9(A?_N(trNv&SA@%)SsV89NcTDyM-LsCl3h!k z62gKd2+8@PFjGUHopBPVSelVG?bHfeC<%+SmPu^0no0mD* z?N@xTl(0b-tBM|b)T~59j_;>t*XXNl)>l_08swk4{o(q97>JKtWGWy%Rfb78vu`3! zUsTrg&_O(M!U|dT(Vy;Ir{is9r19cYl+)(ieg-CRD-?T}?H$l8TIPmB9L6#IZ+l7; zwkr_#J@v#laGqo5oLSz{4Ak48p4tDES^D>C+EIhk_ijP|-Q8Dap$`Er4uX%SvVW+7Vuah>rBJ=am^oV=P9!)%Qn{Q;%7zN*35RYd&rB=5W zZY0;tdZaHIR$+NPnOtm?vFWurII}DUl4Ak{Bf_1R=rXMgeju0ZNwAS@-_+Gq4FL{n zXqkr|Dr%J=khKxtz%;e_lFBKCU?(clGCxM%4>8}ilKp0AHk;&}D4!LtQaSTB3j#XE zev9*7n+25TgSYaG;3tSta{p3qTcuEUraI#zCtvg9=?vPG@DmLh4a%4l4;L{VDM6VX zUTkDBQYIIc;vslo!X1YhF>2obeE)@evaNtlHn6Hk!SloE3MMrk4S@Y{_=jy_n6p#y z^MA~D>Q=wQ-&D#{w^dm?mEA{#qSvp>h2+tcgEL}1EVt$2)O`79tZRl#Srr8u)(v5% z8U*?NA)hDyK5H@MpIy-xvl4GQeZR4N;}Vwo zV&6;h3VFGyhcvuKWr5MfTlTg0<{PB25c=euQM0N=TUfQm&S0-y;u+my{@tu8dFrn5 z@7jL=m<#|~zK)gWZ}UA%Gu~{Wt^?$YtY`&Mm!2hGnETbCikV|#mK^HnnJwl|LM;J~ zDWOL5zZXdpVt!Fe?r`1h&p&$18x35K9(4ZPKJw$1=Q>_~#45keD2m}&ZyHP(sxb5Z zwXnT6qKirzc0ORM*NBdvN?Wkw z{w-1l32?x&D%#WdCQ4F|E_*27gMm%C$cIo6*VX-EXi(4u5foZJY)%TG@f*QP6z zl1p!B)aRDd3EsfJs{;wrGG0^mB3)!^f*W{KX~HDcH`ih6Zp}I)n5toA-WI)a3gbJ_ zajH7dneC_4HH+sL)HDD2$=h7uS`tgU_L!!ByifwDQoj;^(Cip;RAEWa<-NAGAL19> z6y|jS8VSN#P1n_D`SAJiwCM0cE%vCgMsq-%U}jSe1+#cmxenQx@JClC0@hQ?By+NR zFe}bln(84QDOXZ33b>-B;#&t!-Y-m{Zq&=bGRzORE*<(-bQbxzr#xcA01vU&8O>`< zC>VY|_@qarpa-`4z1zD$l$wn&!vtW@x!r1R)C$-xd$5uDAXBlb&J?SJ7?P*L5(gO> z^?f^9nUg>yOft-+kx4@n4K}MgJ_i3oY1jV67Z3y1|5XtzS7WSO@m8ra72Aq&b{;VY zc_b)OX$tgr$_==-9aQwnyG*wZ=L7aa1R0k2sywf$VEJQ5VJB&J5B8#tZf!YsY0yqI zz?Bk}I}VDP^N5`hD{1b7%|AD=uc@cUsFr8K{;T@1MNsjoc7JEN?qp8D#;fUE3`J+5 zyV$TV)2DD-Yl8C+l~?6VUoUXm-rnHPO-dAx0)_y7srF4D^GP{eWf;SOB0Vb*Zbl;1H)I1$Hx%3K+fFfPke-Is-nx>Zd!)lkl79&Ir zcJ+3Sg0%R@?4G}9Q&w2^H3I-s8gG7f@$OFRLkK5JwxJX{>1AYHH+VcdH{WH-r<`F= zV1vF%6BumfKTSW$cjT?jqYv+Y@Xfuy>Vka4C42apn2;GurUn!8=nZzRlj6Uq8mWa_sU6d^kN1f&KC5f#mwMbIJTUrVwev1^MW#Q{hIBuh-(UHki2_rRL6cHAyHeH+p7z}(>I_Eo!Triw zgC}w++q3pXmazfX9~D+?O>+NT3x;W;ACT^uXEY~`M8=dKs-OK*_B;pZ%GJK4NR=^F zDJm#~rkOL?cW^E(wkipg+UuH}TVG_U2u(QhG}Fh#4b5LN$oen~zbk$B(#dF<3|1}N z!;RDd+k2#}csWr){LVs?^S_PnC>YdlP!t7E@alw2^<_DM#k%z$Ae%Xj5McRA!rp9a zV+6Y#a;MSZ(%II^L8W)Aor>Y!3rCz1?eQamuZI4E=NISd2k6Mdc7B4k_6*>eC5kB; zApE8@nLvmnj=DshZQH}Hxc!J|4G?S8SrKu||94!0gs9wP`{w~ju7uH4oZU79$18N# zSpwVv=0=99-|Cc{vWPqJ#7(|N;Pt)CtEOPJV7(uIw(@KII(OpMVeB_Lo{E%)XM1= zJG{fuJA9j)pR;tA=!tgqcEykWW>!33qy_a+}Skkl_{f$p2+s_~i4BS+eI?gTo zD~1vz05xqKlS^eKd)PzHR7e!?;b1%+pYXs!P_1tabs`E(`6Y3TR1l3JsS$)>W+&5X zddIZ`cBk*{JJn-M6`7#J$Xn*%^(0}Z$Gd}1qTxa)UW3-5CL^9RM$utemE=|P$7Zac zumKV>N=Mlso0Yl63*OFpXNj(hd#rX{2tDERaN%R`)`&i1G1D?t!FGP2il(6P2=DsN zKfzND69b87$_>yqkzdx*KXxV;r#@v|FCWr=pGIOISK>x4`+v2DbxI2Bnva)h9mBx3V zV({!uS*Z(7y4u*DhROm-lC9O7J5j9Rn`xCOU-HNv2vK&sbps@{{%>U=9gQ_*vezT; zCVlC02(=(Fr;9hsVM(w3Cs9Q}|~I32xrC{59nV^CnyW?45)9aVd_GZ#{CTZhEcOx+;{ zQ${<*BJhDW8TuFj0@r;vYA)rQa&Z8;l0egf88mUp2CG?p5q<|^Z136M9tPEzFKNTJ zN@54Yn~C};w94!0S}YW3Qv;`%>E zCeO!DA%u)E_@)Rw@B&;N7AekStr6-uPg?E3lT;Y#Y z)ML>wNYW78`F^RvI!nwuNXmZMKI#GqoS@Fm-qFtcVP3qNW!LEulp^Gos+fWMGD7Hk zYhQO$EFQU2Wos;ZhaSgnpZ8M3LxPs0s&*ax2#UI(OyY!UL@>)+MP56^I{{Wxcynp@ zx}VtZ;wFpe7H+|u!@6jnDF>_d1?N5v9TG+>q%i7!2#gKHE3UzI4b((r>`SYrfPwyL`GYX_BESUB+dn zC(5i@Bh9VOc%Vt&ttuI~{$yiM8A_4pXgH_|_!zK>vC#PDe{Z22E5-&g!X=s5G};URG((NOQT#Q*EQP+aHT@0oVV#4PCEwrd3_j?SrYG0n$!KkLhdR z$Eu7&y^l!l&iZduR{F=Tj4$a?r4p$tqw-kz_XE&O;&{kJjBfGwca-9HdYOYfXUZ1C z{x!`Z&$BY05sO&1+-v@`$mvUSR0Z1 z34LRaxF07B`5FFl^&2d_uhE>>^k)6)rY0t-_HknMD-Ft-fPdmJT60fjdr7oVpoTa% z49~<6_G?y}p86a11oFgT(nzcl%5AU1{A{#rP$6Z+Wv%;IND!Z}d})OC?aX?Q3q~4< zht1{%Ca;&>cl1nzC~$I9cV$mV~@E z5_Dn6z%6!Q@DurrQvL6)0j`b~a1zV1CH?=z3i8DBivYf=(` zyqV%%q-?QC_sTHVPb5^`J@Y{8 z55t~_iA!C?`S=pEK^J;Q6n&jJesJ{ElA?<*wCAs(>JbJ*+=fXi?*T)?)<-%=R-x~^ z_r;CqolWnHGw0SQr^tM~in)ZOKi$N-WRN1V>z)eDYt192d2BSp+GiV)z5eii6vxE9 zmB);Y6E5KfbI?XTK_-?0k-&)Cfn{iJOqH#xrqKLEs&L74J2~{}MFq1*rBB09MFu5b zN17TNj+(y3aGcb0GvUFfn@5P^=8Wfk+KW8K@C6+~g_lBV@k=8L%WvGU5w1ldg~MR97Fy29)3EGJ&}?cF!b=~XCJh$n@c=QMfE*%gJ@amYi!g+Il^ z+X_(@Dw+p@0-)^o`>(;k?4t#P#Ifm}+|6O}YX9fvxq3;g79j@q06PJfy2phh6=Ubp zoGtkWZcF_Pe^uSxQ)ne3T=Mrn&9w<8*93(r+AT*Xe!1$UyP6YcA-0Br{RFm4`7GSF z6E!iN!@A)$KdyeU($<`4TX(Ryggyz^<+*9jdqZgVRu6S?;r;Fo-l4s|P5iltyf;J4 z3tx^kRT~{Dw=Z#RKIZynxY=Sib|+`uPdA{o5qg?|w7IstlN9drJF;V2t7ElqK^o87 zv338-yrw?m`o~{`GB{mNj#2jMqqThN&gE@GC&(^P7i@f{x118XC4J=WIP(!=ecz2I zGaBpET6()K*!>(q7tme-{0~SC5AL_I(l6>i!M}SAqSQxhVSIZ%YvOcam-Dm9&-XDYU`w3JbiU*IeoR93R%^- zTjy3<4L>SnGmc5YZ4p4td!Zk%!G-lZ<(DY*0`N|b&tThRQanvl4o;o#2}8l7uf^Ce zl8~&#%^x?O%E%9y=S&&urun@h<>8zA+}8WTzOK0y~e^j*fMwRa3hb?5BH@g0D+ScdLTd6PF=%eS{ir`Ls2 zM*~xrw$3vv*rMdat@|<|*0_)rKAR)m;(`v}J^qT{StLhoqmi^^jgp@aWiAQ~FJl6I zNkO&NJ|e=|OKc&y`!W=Y1Q@2CMup4TBX8f`u;OKhUSJ)wAX3*z1dReyV7*%n>b7jy}D5d|+?s!WFk1V;41gg&-Yto>C#+0JafX_85CePwnz2ptF#WZ71?aTvl!ufROS1j$@nI}4ywQnRH z#3(xLHM!C--4z_+5c>3Zh^5!$nQ!urT4sCsMx#ONvt_!#Qd@8(_qh>uT6SB`_3V%|JFE4i<-j05O zx&x%gs}wVLP>H8V18Wm#G1NZZzT~6KgBnSq@iXfUAN; ztioc-UqE~P)gg?){Tp|rB`88Hbb0#huE3f8GP_wZzv{IDswbQ>`_!$9phBJl4z!Z! zGS213W%$-e{6f9bIdv8L(s+&$8H44~SbSeg1Rw_^vRBEQ7Tj>yW=Bmbf!NSv1_pNfIex zBJ^Aw*bIe zPn7?M-Yj+K+--zWhwza;ksqY1q4PUxB*hjcUt2lwpv3jCIHDK1AH4qsqHR8 z9M2wlBV2f^8P7^O3;rtEVsv5a8Uor3|NJTLdEt$e-=7wTnVdq$Zx9*RIGQ?FX-PQp zkg+b;t?1hg5noQ)cC~$?MKK;5xKRe?P+HZbGNHY-6dr> zYSe1L;4EASXxPjvR4SsvtykN=HNXGbh*PYXmZk6}=E1Rx1FN2fM}vXeE^hLdnVH=z zPk_2v?en^JW}LUgjx12O0xIAej`<2PsSlISbwzLQ1mx|fSEzX&_Kb)I7b#@y|S451jt{AR&a6D^4M0i(g0LUd_aTw4!y?PSj~P)&W27GD;hBlJ(w@ zd=|$iA9|m8%u)SuGxxy&Z6*n-s2Nf!Tm0m3n1%{xQWn(q0lX1&0-<7A`R3~HAwQ-a zs82EIxy_dY#fpk)&w{QKAhn(^k9q~Hl(Ha+geP_<@HtiAakw8J1kENrcqt>x0}Z3k zDfa0cRej^jFBiaF@t@q)WABoO2cH*WUR`ZlJ{io>dH8~;ZnvJL!I}6F&2}K$S~or@ zQ}Hm5*1`=W)!uWAFkC%wEO~_rwkeh z>UCPUU3a2fJC23GsX}^2wm&^y53%F}qX&~6R1eHd3?aJZ{35#mBZRuyH4jiZeU6tJ zoMj`h+-MXt5sYe-Q8*sM8#?xfN0?6V5iY5_RRzxoFTGTEU*YhUy@|3)tNZ$iRBYxe z$Xubag}b_L^c=SFc*j7`#26!1#oSE zldmg{rfy#-RH6Q%j5lSvq@%kqwQH)GKIQg{I4F%y1L#bJ1v5M5rKARAvLXKEK=C_C z|8K!{)<P`$R1J{l>LOij3!Qo`V|ZCvH=MDN_5qF6IJJZbm7J z4lkx~##Y&aeGFwh8Eb-!a2>i7Wv35bPIqcdVzie%+B0^e+{z*riN(ZE#UzrV-FFco z3#SLuwNDU%&;P~rtxQInRo-A!L-zk5x4&RWib|D>jDd=L4Xf!Z zNDA$3>0?R^C_G&R`PS;9u|wI%Uakm{(hT^+y z!>SzHz#;gmEBt3j%tp)5yNvO-c74q-1W3S(oAP`fA#LV9q!yrkZb%5q0kn_9@HfG2 zS~IvZs}8Z!SwE>0Sno-6IR3O%m}0W%kD*>!>Pf>;4^A(2jJSG0gxGyNfw)I~*`%~1 zY?7_DPGw-t1-*>dp5+Vtcup$yly;YmUa~`%mbn^xOK6hVbJUvf@|&`8?9PGfGk~zJ ze0rRa2WYt?v2wxq zwtM-=yH4+h?>b|kY62uT>m2Gsv`LY2n@;~npbHF0ACqSJ2&=OWy!=1=Cs^A~;LrT$ zHrBE><tKyNG|8L?5up* z;Hg688>1~%+OBE3k>jmyjsT6v?#;=$NrX}lNe5A!3NAMc9Q|on?5ll?dBr)pPWMhVYjS0h zXz2axLN5s+_Tl+mD?~O4RL1D&RjhANG)N6>rmF2VS;-J*Izn%x-#NBQT8t5TQ}(`H3y?gwXz ztsOKozTofXEB@SJ;ZDZg$Ij`$!4Jn;zs=ty79o z(i9F%AqWM9tsqd?0^YQF72?)7sugW}56AwZgwb0^fSZDWZ)}94|cYz*~0gLTDx^pLc zD{rV7e%mVRSiMvk`1-zY5E27<4f8Zph}5_c~#z#92{NxxhhjT4hrhf zr;}BMDXwV!hC=R$#)Jfe2@GNKttYRQ2TD3tH>R5H{BU^tyy*HAO@+#)n@rDUUtQ} z7^wo==;MzDQlIY~NQmb2aM3S)R1WBBSaM4vRdcMW!xeJgistUqMI=v8NTv_6q>>ik zEx(KGSYf@|ZzI8lMZe~o781cVt*B|MbqQD{o#Xm7!M5o-^t+#QfR zpgeGQ|7!lJH_?hD0ozz2N8kre`Uxm6&3SaKppLFAQtKQ~Q$Vc6Rw9KpsRG5{Q=2>x zrGNNs&iWJJ_W|)EfX>E!NXq-Y@$54#>n6@iS6L5XbYFV1pq=q`~cc6#o&>pYVm10hR8hr{&J+^rWXuC96tv<2})mO_21h#%xk5ZF8>)mZEaEl%aUZoVGkuO zEv?Mo(ZBzAz+EG?kTqq-C^RdlQCvx<^P`$KRJ?us-deBNU1WJ0-#Fl<%Zk(nqaIe+ zPtprnAI&E9z%>+qrzn;?h_@I*oNBgEMyKRn4Mq9^SVM&E;uqs3>iQt&rvjH0>!u~QXjs8i0Dm5;!JxBM#LMylhR-u-lJ&LRP>Ng+g{an2NDRb@D zYw0GxEyO8ZEuAAykS8t`yPO>gj&~hTc0a31PIF@wTx|0vL7hb<$G+k~OTd|a$6PNd zi06uaUvD6D9H!IrPzdzLyX?28#d|J}Y0K;I!TsgUvaRzJrR*rv?TXuO--5O8E1uYu zeX)0^p`^(^HCMDrVGnkJNw)K)pt0W1gnB<{Y#3v^6t!xSr;3;I>6b3!dq0&bz9E!q z?vA2llIo_@U39{C?18R2S&-0AtFW8sa^b^w#eu@?x`&{F0I7#S(a`xX zi<2OEAKe*1lzcj>#d}3ox|a1k^}~uf^%;57-vJF&YD-4szW307?jq^lz*EJ;2yklG z2n@$y|78tLat82fN~(*PWj&Aie?)x+R8-&h{l^4E3F!ur5(Xrc1|^lDyOCz-?odfd z=>~}bq`N~HQhF$9X^`&5{~i4P)_<+HaJ&$Q=T7xqVVI zo|$93X_hZ(Ts^5dG9_}6%_*80Zao*~sQ{6AK|O7a=WQ#T zmgXs#;Ryrxb8!ePyV7Q-=j#oPs2~?*TI-`)5vj)`HE8@2V$$?xpybAJbIEH_tJ zVepfdjopg_CMl`ZJcjkh4_>>kYfP0JPB{!Ne;GSTa`$f9q>I1^K~`4omCDv0aC^uI z*6tL$gOIAhfQ}uL(rEwJN4>Sa*tVb+{Z_2(J?ah-?n9;xEiBAy*+r{j6xJ#v0pdTD zDT72QnHN+%L!nHdF56G^*j0Kl&Aemkfu1U$GOcNyt#tE(LTNviVe-2Pye^)e#}C*} zpvty@A@KF#G>$K`YIIz~n!I&35;u=FKM$?wgif9$WS-15D9$K*Z3*(b_y+vtDlB`6{7nEAY%fMZ4*Qqc9E*QL#&;Qw>b;$ZI-VZ7}=>iSZGJ z>s8)wq}@r@XYPm>*H~T$ooo#bcb^`;cV4>x+-DLr*Sdd2kT|?;vX;ib(N&}7fiQ&J zFSI3Z;cLivr_xC4~*j2{2o;lGV$;N~fB2h}>u&XR7lQ?%xeJd>`mx zDauZivO^C9v*R~&7r}nm%PkxdsCvZIfNc4OFyztOiHFYoj6}ETELKahjwR#3jN2VR zgfjdGj&nC%8y|DNYD=*hPV>!ZE{__*7a}olClPp!jtR8wZ3#sYNys8-vIe$Nde8O{OUO^-Qp{8> zu@q0`lNM-zntA=MBrt|aU!Xy?SQAt3HVLKicF&Um`K9Rv-pBPRiPv}D&2O&|FsH$N zvFBiIVhJZZmb;4Cdh;`WUtTuY+wZfAKVWN@P_r>uTRhkm{@yQ^cMBCiYM3`#Tl`$a zT@ms&7}X06r>*nswaOXZ$zsQfaL40=Kb;Kk=23f2}>Vl z%~@Hd$GcyX+x~Ac6lS_k9z;c6TS?NPJYQbW%-RPZugY}JD>_R3b^q}wT*7UP;;(^0 zKU=DK*h_rVT7b(TQ)f&?FckPf=oFz($DqrW1eRlKd5<60*rIK=k$WwkE%5H60rCk` zn0Fv1ZcO;QJ%Ne0j7q^vAn{hQrB}_+a6#{Hf5C-UFjUCzSH0&bLja69go7o%PWeTG zb!V^guGZa7vQWbO7t-f%YQ_2T%&yXF5_Ll#id3)=QieZFb`AS0cTD;hvws-X`!s1` zT`4v?O8OVgMt9}a&FKuM0E|0ixUiMN8C%w_-E3%P0q2=;{f;{QYoB+DDM=P9e7n@x z%juFGSbe?41osS-fehyv2#E&{!SoBKyCzG`i{wx42YNhA9h?lU_;IAS^WbUdL!i$k z2vjV;JgupyusgK7w&MDonDAsL{W8v4Vm2?oJ;*&+hO^XXB9{ zI9(_W8hz%@tKjn5`b*a5pp6bVQPn&2FW%37ZNm&8Bg3|?<1vqf$9Xo z>un0B`a(A|y-!=l*x&w4Kwk5xbqM1zc8f63d7L)gm@Q-qS}8nBaP9tRAhLu(!QwMt zu7CBj^|`{T!mMjHrRd7{N1I=d@@9>E*Rzhn5y@4i z)N&r_^Q(^iwwdhIY}qWFzirS?(yTrZzy!7{geSyVK8(6{oult2aww9*tBXtzXMxj< z)S$}}0~vwo+d%9pd`d{(NYDeXgDpnrf?XZ=dO}+XUr)7aw33Nt1z6cF$F`NX(DS+h zvh43OTE^Nve7d7bd6$1{(R%Y0PK%v!=FFm@e_3F|Y?q8mu#9RFq?_vJ|G+DpkD}8;1_tr8)x6Y8Bc7>)Zu0*IL!VBlh-}gi;|>q2&dAlb?4( zURV4}b1o7YdyhElE|}6WP{|ZrFRZknZ$oc8c&_oY!wg=El-B8ED7<_PN%|yF5CK)2 z#7si@Tm`Z>T@f`<;1^n(^uCqi1R|-0vTVJLF+~6=mum)7sf=g!FT`5^F#hRdMMhSL zhic=LU-x=A^&wRltjuiz2hHto*2@tXB4`z?<@XAwm}Cv!pjoit8bb&Zb1F84xMWaa z9AL2hw@rut_*jj3bGlxhjtrQOv3^r|kDtj9KlS&Mauwo@ft@!PYaV306sXws9_&K;`&_#gs?+ssmuJNz`v345E$HAE9 z+IYv2{u!zj4H30p#yxEhExmL?(}chPbnjT|TmTtFw(1qv26*Je1I+9H*did^`$tf} z={c_`Au#2>Ziof?uf~R0GHc#NjMPH!3BLjU6mWZ?xFHXnKk4pHa3)ztx)a zTJNf(lCrN%smpV34Sx!6=S8g*R3wA9V424V=}`!1r<7p5wwX>O(|ud8qj0_hWJQgC zA}MM$i3{r|2}hQ$cYl3iPi@-!M(~4dD!(tFEIZqRz#Y)pGMLZhq5&o2@%FOU5sh_^ySauh*cnU zYKy|gy~4NYKEJ$ek0NBNsmQO~v$xT@4$%mEab=`zq}F_)T6}*`JUygoc`pPCf`A1X z3jMyC)}@0`P|8msC#&I*R0?f%z8#@~dNVqUxa03J@MxKc3Ohbe`xuHliYU0?18fC2 zlu>GG-Vg#cF@0OB;pG&Iq&tVcIU(l2*7org)cWj)ZerLp3){7~QuE)Z9-m*XPZaWm zOm-{)37Rv2MoWr#%VSWUb<{Z{8K;w88)kN~sR`(}iO937X4i4fi>@z$_9?Otx_F9s z4EXA=?4~#0542pS1Aq_kY=~>$-C&8Jw^YQSIFr z!oR~!wMNZqY-9)crqA%nIX31qW#17t>@I$-v1}A9FXU+xJ!fAw-sSPea%+nKDOOs{ zgoTBL{6b053)`0x+C+!lW{bA`cVml4tSpqJZhPY*t=#-xIX`|FRs$%)+g60`W;?ba z!;ZNY*2wwF0Vj;BJXd==K^nKEAtdw3WUJcbjnz;^L(#8W&PD`N*kZRiJiV%B=+@R? z^ti`RicYoz+U_`0>o3EIq77$;+P2e&m7;bX$f8C1MSfVy*F@ z_ZcPZ2W$sijdG)^E*5)vs!u#uGjL+PEUPdS=rzy)NALL|J2uj7BpM9-s4_+(CA-61trdjcTA_yfuUX4C?L4taZ3% z4}I%1A#K9A9G^y;^u?!`(c`;uNRFkB_(EB>=IcovkEacaP`v8m``&KfWIk}@lgVfJUia$$8n@*c@;7Kh?+=>%rjn z)sBkH(@6XwH!+TivLb~QD(sp)`I(qiAfEMD%V0TRW3wvFouf(DY)!Ko{lUt2|2Agl zEYCoR?#Q+*zKuyD_E zRs&Ydj|qM$16Q$SW8gh7)pAiK<)4-kg@Mg@cjXPn<}4el7RG_^4|2+#Vq|997qk?8 zf^cLv?oVIx3@&@W18PaeF!BrmMxWXgJTro9Z2sE#w9og0DS*D+C?=@n$kK=Mz3!tk8;zPo(qHAfC6zj9?KP4h0C zJ;EBiYLj&|9+u}Hjvc?6!yD?=Na6s?=A*97?R&-BQO8&f^uL zHs2Bcp6>^Ik-1cV!*YzgUtxI?elA7IOSR+AW^dJ*5VVvJNh; zlx$@RhJf}_dX;aqA@MefKJg8<3b^8bj(zoBDbKPT`bqx}Lk;!af8nH4iQH5G}1gYNG65 z@^dL+Xk2C7%t*f)?$Di6Oc4zN8AZ~%bDjPMi_`pr|0%*v1Npqw`I6@6e=4H1y%{mt zm&1f3^#Y0~UzeC5DSK=UoH#9L>pgxFgK@U7zadINAi|63voD`2rlgTJUjeaPe2f{bHq?In0NM;FkE*H!gAsLda^BN z3ix?w((@n=FXux#{!U1xV`B2vkub!>d2gL9z$Q<$CKr9Kbj!}tsn*WGQDcoO{~WOv z8lnHt`kga)nq7^T99UcnKn8ETsCy0milu{Z*Rmp^xH}`W0eibinmlrgK?Wk*>IAnR zL%EiAlz1#uQ2WH53{rrRtMUJmt8!4Z3=jnuxH4x|ZZ%(T2^N>C>3CSYvkS=mP2P5? zr&qoPS_fisdiD1zJvYuhk51Z>RwzB6!zq!>iyI;^Y2GKZ}4ps(Fqk`UWDwb}c>n9tB5_AQ9T>cb8+15P>&{ zU>;ydsG1^muBUxRN;RySkBQ`ORG980A)-(=Snsl1qNF@IpB-24Cb#Ng2%^H0js*vB zDJfEi)>DClvCXh_CkS|zI#?l=qQ|Mv4wmp$ov9ogWV4F{nr_efD%Ww5qKLF;Vq9CdcCAXm4^~*P1pPhx$RFQh3T4(y2En)1nuK;{pnv-3!k0ZOO@xnw<9<1H#{-%Vno>( z*zUaAw$YNqh;X!-F~ofb8)yPO%B+_#3qLu&nvxZKtEZ;C9YpnNv|6O^4&ncko4XC3+#JhCVcBjD35r8!Z_!I!sRCHMuX zLu(f0e`ruJ`yh*^G!>&y!Fw9S#{+<<9R8FY)oWqr2aM$FvTP2x?`-Rne}iUN zt%7<5*uE-rgN8UGPel!&%)IFX8^yQzfzs;M1+y2s!TD8S zA-G9t0CIwFm_GB^G!+311jhc4rr@#7r730WY1W$oLGCcR^YwKaXL2pSiKuEjF$%I%nT;gZ>eU!Lsqx7rWq-a%N*;NzDn64cCN5&Ji!qe;hU_eE_N3& z=#8J#P*(qpn7wtji6I`~?bme(b@o_gAjAyjEW;Qewz`h&pK8N8owH#F1~4-F4`p3X z<&G4gA;ZgYCMUo@H%I}50o!j4>a!bvucsY<1WB>>obp!M4wl$JWVs$afd+!ex~4-O zX2*R#w*O&91r=Bsd5d-Hy_y#t2c5Aeg7&fweDkL>B`^BsFY)nk1_h(vukHLu2#z4L ziLm@%`Tr!t7mq^tpIgi9;a=eOn4yp8D?EVm%R)UzO&KAPEW10iLx<)1981Q@RFZ0Z zq?7U}z8mLRs^YPs31YqU)t$lGWg?+7ynFlw7rXPke#HDPWa_ zXjj~(X$Xw9x(Rj)17)SS>$l3iVPqh2CSv9(E=#Vdntt8ZB|eQ#h6xS>_35kEQ2DUnH0 zTpjxWjzUpJ;;E_x@9|qYtCf|b{MtZM0mCSd<2O93@tjTBKQxXS6Gw}BcY7tynbi_J z{$=5krp4ts2E&OHNw))mI||keuj{+A(6oY|RQNWo;INf0G3=JE;J`Ij(FZsr&CqeH zm}ZxB?9TE|T)SM_lZCWdJTbyJB<;onKGT*)pUeEEnrgmpnVY})_nlO*RE`nPPw8jh zAKS?XJi>i~-|#jXA4&Zr@Ns_$KHZ{nBY_l`qTSb3MbhjjC9Yh>0O|AHs^)o3kHeYf z)4KHC>z0YJW7ZZ6!PBOls&QS9?WPG`kBV&GVU6h7RE#$E-Iw818+s=k+-g0v{rmLu zu6@EgF{72k?i=f4ddD$bnnOx#;maP*gn~kP9!t-UNjEI+hKgc@LLCnW2Ku6AOie@8 zZqhNh;0}C~rruo#OEEEa(#=c@nUzQx(0WPWNLHVyrwR3)b0~5Q+7xYNWI@5|}_fW(vNnk@DT1%zEiJR}3X#-b? zZDJQXu(c09&2KQxjnI8|NW2s^hQ}J$Jn{2CsAO!{ANWR$t$LE3f>dNdbmIc9vvtA0Vu2O^1qLAFbQSxZsrvgp)#ik*h=Q?P^tw$<3?`Nkb#qM{&b{sYw_lSgd! zvFFmgd(@PVu~#LNx&+E2#eG5+S^<0CTw|5FxoK|f?b^#!$6r9#p_lif;44>Seo~Gb zSPkYyTzGh`%-XQ6^p2`rtFgzZ9+1wbmROip8I9FwvbNRU7ilwgC121Kq=Vcz6y$zA zqr*vqozvkcS}o&k!!o}LhDtzBdxbaJ#HBIvcQKY z^U|Jjh&`Nmd7{A7CU$J~#YQ%%$9pKYMIraPbiV|Fk?AKGZW4H)IdXI6zF|VE@~K5Q zpJXJWiL6ai6}-WAl|nnw{?AV*cY`9-$c_Cp``Iu~lAG8Ft>h*}=w7z{D;2X$@saj> z8JODx9u8ClQ)EgTLl(!MP1O-M|7F8N4S(uu_obSaOdG*Ow^yljnoV+1qtfiGhUPLB zkfzu0z{inH3^e3QSWCo8`^IEdM|@;L`}7!Ms5fE3@m)>JhXQ{E6=t^^siDbOCj=l- z8)2^-_F1;@>t7i?{UR>3{iAvrAxD)X!&60HVSOXgqA;*sC8CSn+!MM=%;dUYWu&QX zY+zMC*i?Ndj7|zIfn}q55j*QdqZP7c~F~4992QC-qZbE5UY*VW6e!d-MEF zIj@cNm0OZ4DQXYav=ax1q5*c=1_64h-hcB`ThsBoZ=>-y(-vsTWiRNUWL=w|wD!D> z1#O|MH}RCU@EYZjA11v4M;FcMEaFS{4A6a~eM=A$36J)PdmN4Wq;C9J%e*n(*2PxM zRKuxX_oV|&m-8LATBooy{#=w$bgn>zV@zzs&Fu*U`QJnrTw%=!z#mlQj>QVlt&@($ zR?e_ARTOVww{xecs0lzI9;eW9FG4!CKZyIG&@>THc?picMwj&A8ma&O8rPIu$`G6} zA7<$6+K&7C9PJxcM#yvWv^d$)t6x;z=IWD1J|AttQMqbrE;BHC!na-D9>!Fj+`0H+ zv$rPQuT)O;bJH@$=3f4Clh&-J$)>pGMStyMxi%W5)mPsh@i#nFZmlXB9a#*~*2*mW zZt*6Tg;#}4{z2yfRQj^h0=mpye700B5bjL(ZhCK?cC(R>V)g0Oh1aiRnO4pG};FVZ;NfaxcL8~ zlUTp)f2(3_`o&J+E_iTBho=Z0uxPtQ-goXauEh;sARHIch1U5xer~q!;}Hn7dtCG3 z?MQgJs#FXAcf-g5x+pUKjXFOK4mJKuc1X{&{deW>xT3^Y-K*Yl+Q*=xek9Ihao zW7kMbNg{pYgkc>hI1vZw1s(w~nWB4!q#~Tye&OlfO58#thjOEQFLP+P4&SVcOoW7Z zbe7edN*_uvn;JLwwjRXTb}lU(1S^NWt$FxU!OEskd&w z|JAOf8MbIA-7+2sKX#b27{S=Qt5_K4Bn!k!p=$JazuX$;Z0hmwrAuDvaj@LJFHZX? zX|sD|@l-%l|8j?SiKED_hp#!q%P4{H^f|C|eXhwe+i?&A{}KRCl$_z*{?7LK0xgMV zLB3p1k-$o$VE29Cyw^e#!!`y*tX}@*!A9}IRChWIy&uqNXZisY47e5Ut>?#dJ z^^DCQf*JeLLwC5YIN8v(Z@X@mwz=okfm~t zE{{3$89qEb+?mLaa&Il4PWKv$3ZkuRxDH4z-- z4`54-FWBhS)=2LP2u7ukVEYUs^LQUeHE@%u7Fm9E)_Xj)%K~!`eI25Yih|vH)Tn71CVhhi3>B!sbwkXGEPiTWzkQkl>B{kp zq<7==aj;wtIYXCYgz9ZlC={7pY%-T`6fOv*ucldxh72|R=z&MAplhn^c#b;Bc60Z? zCvW$9W~&=H)%Cp2imD{y%NpedgtIDm+%GUD`WSZvWQ9f_^bF54z_gH)823p=AaesZ z{**xG@*e+lfvz7|M8wDEO+wDNLT+E{CAoGCoUs4hzdopr(_W=$6VLIEbv#d_;N5-nMdHo^hXx+&;lQ87dQZ7P$QTjLlZ6Bq;&?aHW-YFO ziGjf-tdHnszUmj1R+eqB2tknqJrTKMVj?)W-yRs}I^w$=5`76p*oXFFoh>p=eTVR@ zlX*D^(4oSn(FNl zsc@tsZ46Sk6)nnz!wxY_ay4=-bl0v~{=6gtAuzek!J;sy(;o}Jn7yc)HYI;uS)ujE zHQLGc;8+v0hl}019lrx+vQN<>Uow{%H?zh@Fr_-&YyrkL&l6h&o3zjP_Op%JGxxh5Rvw<#U zMjP9+9CRB$XMaYkZE%0#>&D+v$ikl{M<_M96tnGc){vHG8Zy&P?GL4_`i-O-j(cv$ z0&gh7p*%X-d60mXco3I1N<1DsZ9NA3mcMyJMPZ>p7Btq&C1E6|HbmT7dtbGUSu14v zZ%6B+Fx;HeB2rpn$i8a-)6RflFQu7C1&B-vvFw~|nWqiW9VpgWGLx`sUD=}_Q^Jno_{k+E8 zdfv4eLq6W3x>-U(G)SWzW4lK^`+3G{?UOBI>%4*-DOFbN3GBO!JFdSS<0rdrBh8Z$ zCf>%Q(*|Q_eeyH}j{t+0M{e4(q!mMhqCp$@!XazQUecQ-zW!{LBa>R=z5;?}sGyp;3Eo?FgRjA6jkfmLc=U6&Z&`#~q<-l#yr|A|(f?X3vZWl#4Kle5{uY(8->^g>#L`O;VVlOs2O9?=ep*O>e zuX2XVKcl88uxXGD&HtSGXr`V7S&s3>JArb?=eJ?}H9{1kTLBd-dSI+Q6Kz{-s(h)(w~BnvR@1wY22<5c4oa+O z9`jHPg>uo8i#*qv=atQQ>2v+F7O!nv`N|ndRj!2VbG}Vwe?^Ilb!w!2R{;@!^iz&6 zzd%=#+m9B9J^T&+mPgp;V&kA~Y8$a6^&|8^#Vh)?#xz#NBT6SxjrVa;NSm10u*Tc8 z6u4e^X$%O`cV=+?-J)l5?j=>wv^`r9{&G9p$FqAa7^3yy$5EdBkbK&t)Fuw|6NL>| zXF=cLm6^@tL>SXX*nOD;V+$WG9ATCh&C7(!f7#td-;lDt&FxGw=?c+vu$dC{_-2xj zvrVMZKGJ9E0n3#G#e~%f`>y2D05fYt`hL2NnbYH?Mew(CmTf0wg}2Rwm5`RG4+}x+ z+HD^#g-fo__6DKS=>5v2;?YSO?>v>^7dJ?_#JqPHDT{r9eA@yn6fu&iqhc@gst^2m zNEwhR{P3wf;!QG@k_zYnL$!|wxu@3mLhE&W(p-~hZWH$tHIk;t_$}8Psbj9T46aUb zm^f?n^9LVT0@t1ly;v+AXV}3>HoI@I8Y%DzU=?@~_YE@XKIlax81zxkP|(FXde%<*a!R{w#c#-(o({>2V`!3DosZYoe~w#DlWkw)k3Vnl@eFR{r)D_9>}TXwi))X0ckeG*YS7U`Z41*wqhl4=mh zqzhx91ZOqd>*yt&2V&0X$KD50gfCbKbuSsaN=Mh=;pPiaeJ0xN`<(}FQkvLmCd=}U zoGpj64j|=HR^0CZV2@tg&(+O-V3PK^b|<4?a(3f61PV?g6NtF=860bv-;A8D&dW!iqo4_Pzh|OucW^Rtl!rq2 zd!8Jpsi1;3D(5ro{NuIrd;G#}X6*zx@t93#y-VY;^lhl+tjH4LP3%K=eBFKdK5_!8 z8k1na3enKWnF&QboZanVlcionDiwqA)Hs)N!D1bjh}Vq$l_zQVyqyQMILT_W(a-EK zda>aTEL!XlHY49MtK>0QT}21^e7{gxjIoVytUSdwkecd6CfFyUvHQc?@mD{Yb{;3m z;17m=mm@g=r^%E9tWFxv(+ydV+djI8cZ+*HQoqquy*wP@b9Fs7g78@KQ3)-1HBBKS{SJ4TuZe|AMn4}{2XSNCE2}mGN_sx{rDBSJj2k&VC5*=i3tZ9{N z%o_e__V4es3D_pmtC@KFK%9*<@6zmlaJ?@^i^G9^mu7^RvhN-pTKeA+vAY>9f?$%} zil1m_bF{aJz}8&R_3(olLLR)+qWXrQO?Ap@_I+B#oQ0sRN9c-)QXjD)*jYtf;}@a= z_^ZGY9NVY1ggqn8`9-xVnzF}hP;#;3Y$GTmC3L4EKy8ma5kGL&DIy5Z9}1Ga%gs{#ptq^h z`UHKzx#Bi-EyBdDu@}%5-@2IT{VE-K(=^>vdEa31Ep>Rq$w+hQa7c|x?4&OY6{g>4 z{~~1t_|Pj=KFe<^7cOT#W;!qZ<pw`Drsr#&P4d>+?6I>d5zqcc?7a(U zQP(t(Qa99u^`QTI4B4+CEUDglD{dr|p-^cW^0;sdb??U!zeSnJKrjVU2XLAG!T&0! zoq@|moFbnb+MO0`DY8HQsid-q-|0k()mw>7Hd(S}V~YUWQ__@eg!%L?<3^+8uF+(j z`wrSRG`UaUOzwR#iVeYGMIbybdLIv7AF0j!61+B6wHlTpJ~XaNk@HFH+1X&LSo0nA zPiq1U(EML@f;ufynUeLNU%zzjeV`yD|Kab3P2|@U}z7%NN&>v9p?p#RpCe`_^ ztRwgXkMDeg7c!4An3m7Yn-Iq?1Ar6$_1BR3o&k*vFcL7-cQ4}{awmJ%-_7ucU*z2K z%h?xhYCl0kay$J`jPLT4Di-;ACq;MaS1g@U-;p`+*dM6T^8^bkWP~}b( z^p#V1?W?&+)VIs=R?W@N5Cui2HcyK7x@3C9Z6uhG8z$L>!bVC&sOl=B^}eK+RF>$C zZ?Y}y+E_>=dEPAQCo!a)rJa25dDQUz4*Y?Y$;QZUyK}0EUa%{;H#ibywW`|LyuIdE zo}$a->hp`kdb0Zj*SPz`HVRv~bEupL&*3f&>=Zk7J&G~xR?Xy@hJ5S1iXM9G|F|4! za75UhT(>jUptP)BbcF`n5^tv?-%)<`BF09%;8cB8Aa~Bvip%=vp2zi)MAFhw>SvS~ zV*f(|Q2!y3^?5Z0aq$tP!c7xhzf0le@ZcHe3Gr-}p=N$BI*|+>B#9oB|EP^i2ABv$ z{1g;VJn@iL;E34S5UTSFpOaQzH#3u*RW|~qKm@vuUFIW-^a)XalYgLrT4~A8XYK)< z&gO|$)dB!4 z$D*o{Vmwdk z=YsW3GWvoL!7=jQh+?oT4@-Y-pAxOPS+whow8kJRIAHbqhvWSvkN%D#HQ`kbhG7aiZ~C)-eN8Dd)t+MVx@!lpUyPuHu2z%10rtJA*GgvkoaoY+Y7A zHApU%9|jfT{9 z9^A*X7rxWxisgdsJ-Z;w62wHsEKY(JSwn=9h^Z6S;ahS+{ ziA7UO|Gv++ljX#%&V91AfK6y&bO{L+ItZFqXu?;s!<2T_P(+i=ssD66&isjSGe@vXz&UW4k^}?t7Rv1B#pj@+|VK6>3-)>lct*qBh8x8=Uocv0!dp zDf>;r9JjKK=R>M%c!+xryFdaOzz6|h-THrtd0rfhl_X7AfOzng0HyAC4@KC4$g(OV z4IZ5=Stmhm8g9erFFZMAJrzXTxU?IL`_cfW>v z!rNqZxw1MXjQut>>8~tI3er=R0Web^EdsXo8uI?IAfL01?xCB?m_o-=)k}uv3@b@f z*u@)+|17pR%MXf;uhouaWyLDdWdxpa4dd`xo48Sf1chrK_@)19;~x{8cJt@<3-JIC zgOT8%9eYh3Q`Dt2<6#)DsMNod`K5O}QQg_t;pe`?&nb=BT~1{jN+ znF!LR{@|Ll$T#h`S&He2mMCPkH<+TpW|R}a3*=H?bR7j*gFThn9=mos6?$D6ZL23c z6R+@%H_tb8Q&=q7%syCMKEtargrJH{`6Qpdr-K7teW+sNiesh8^qf71B%Ub(k(n-~wxRUgc4NLe@obqO24V+DR{oWbpCLEr_j_+I$`yci_=6MED~>L$ zbZt^$fXjK|yR}Q1o$;*BWAv^)bPOVz15Z&J&HA@CdT>38I$5N8r#`Hc&fP_5dbXMG z&kB{1tDhhAh#o}lWZB_qwfz&q`H+7(wqoCg=y4-I-^~-3Ihndg2eC66n?zF!RCVo9np@!dkQ8lVKY~*4yu8=ol zv5RBnfpITP!iV zg5r$)LEn%_OJZJJ)4PHM-?jYJ<~J$$9ugC4t6RN}UX)Fa6~%*D9$o7fDHiXIXm@=I z*gv_nhhdFMJco^Hd)(t>-I1+T4;RAgdE^uSoY}9}^35@e?WtqeO}$Wr>fgoUU_2}r zkXJFuA3js!t=s?mX9p-vEmL&wKs$A^ZRR*3pTb<9m&P{elzdDiP+Lzvm4<$x1!c`S zp!7CH)YBB*09AK+fJpda57Ey+%TG`doW<a1f zQnG#bFICMk3xyEBB==zjgwv5T9TjpuY*^N>1i};1;!IE_8Y6F!;OUF^(CZL^&`YV# zh)0q-xv=kU4#Dwp?jp&2{6}@id3{)3wqIa&a*Md90$Uzl)}SLyipo@9b0!*4^BL*e zpN9PZ)L4;!td zf6B5N93~9Wo-|$jIiy5}((xaiXNE<7&{pY}K_Gs9Ur7j9Ns#R9{yjNhU&8GYN2}S1 zzW)a1uRDsc-(Q+_n&%-Y?=R@c#%_O3u+ylutI83EGf?^1auL^smh@h-+so2KLKj*< zPpDQ53alo~kaYbx!F-o>+@!qzQOa#>_Jihy%lKbx9UFQ%@8bBb%w*xAhS(ncPbcr0 zjs%{Obh2pO{dlsYF;;KAA;vQkW{0tGQ_!upy`o~&#vFarK;=qj=+F|}$HS61P?A}c zm-XK1z|3it3F2MsPw3x8p*Q9szktlw#HZZSh8Oi7SebrJ6_j+rM5u66K0BlYQ2`ei z>$%KsC#-u<41Bcf^8gRx&2~a$=BTsAz8A1CaWc;skv0#{!UqDHW|sKQ-s!$02q-r2sKn)U9UXjaiL< z!5L0mruF^6341FG;o!>DUwRj$@lRQ$8gdHbUIb!a?|pj_hJr&BG)D$2m3fnpHfdl0 z{0$lGvPP=tv)bw{`X=VXGGftKZHc7mXsX2f$iQT(5Q7w95a`;5f(cnQ3va5`|R-{^egq>$&x5x$sN1 z(agZYl&0@~MFEz5H#<^l({shz#%yw57@+no;fY}_>TKtd*YSULI8aH!SEh^JX6G-n zD?^XRCfF;MW;PQhwNLrzTZWh<7t%GoSqu}Xqzot9M{?qZm6n!~S_kTvkrN+I9T5lp(Q1^x|NfNkf zj|Xdh7#!|P%L%0FNqXvj)>#S2p++oaaIpPeTWw`3jhpLUqJgM( zx`~$|=MqSjV=ub9hZu3(`@^zblb$v&vHR&C1B@0H&>%UN{AAeU0}ZN?kJ`~)u?Jgx z-K-5U6&h#4I&O? z=wSO>#P2Zae|=bMw99OT_7$)Kc&mU=kJrIo`g%*!X4ndgOa|B<7OvvOF zf{fStXy2VpZaQ(RysYUAI`31pS$`hoU3zO9X7j>A=m-3hW1DN6{I*A>qbcUz z+#jQoZ$1_Arg+)3=2(Isj!H00O)6USpHQi2SEcjO$(xDW(kWQIj1}=o2}@$slGN0E z|5d}BIGXjse++`T#>^=VySxk?XEHn+Q#lqAO}fOS*Xas5NYEu%@hZjf>F3|qEKGyy z7mDu%Jo|hIm~!}HeO`@E(>=oow_K%tw=&zI6R>Czg2X_)?Y4K3wJ z0sIw|#3;K8VcXv%nbx<;S}FIX$F)lxh0ooxCGg0a=ETd7J@Y5SN6hoTPlH{I6~D*e zcZ2GNxP3p*BqE25VSwDrY6YLPp&}?^qcGhYf&ZN?Lg6JfSQ?&!!banPt1b}?tU16Y zwyo1F-4e)qUo|E;^=)pd{(y$hv!ze1U?wx=hNHTc^!Wx5@{R8+)f?RTi8>eQk9E?L zv5@PK__QYqkOzMBk;38^Bo6^Q5etzmi;XiDLs(0YeuwfdR=uMUL5KvB{OCdR76cibZe5tPTdQ9`76B!NxfSLteQ7 z?oEq@uQP5^IZh|Fj12O{9@285v#ly|M?4ymwF9t#a79s@QGo{MU)L;?;@3ja$o8JkMd>-Hb2(qzcfG5~=2$G4Wf=)aQ%!R*N z?U;oX9GB10$TOOqFIbFH=+AWK!k9#bn5Rb&3aUD12p0h%n7kH&jr)^s_)m7`6yK;r zNP#t}KXkF>Qb47+nIJDcLDynqT;Re{B2~DUl^01r&j6@!qjFuscn3YR)nymU=4g)p%rdd$yL~1kQ1OBRAzP*8g;GVJUG!u4cM?$XPytLxn9M0^ zC5jEMBefRx0>FsFp_`{8?Ww=I(~V4Cu?4il2!jhu2zX}=F|5A2_KSW??yBwJ0twlW zpmye~=pwy!psT1XPd%c@y(H4kDfATbTc@OB99 zfNUQ&mxVwHlai%tUzg00Mq1?WM!f2RXrR?C!)leGu(19;W4$0ca8keP%SbXJHHBY+r1$Q?)SeA9t&-vULX?sFc<*E3 zds4>l5ijuV!MDs@;k6BN3r(9SL<~n{M4AURRf|V9B8C<=HzBN+PeVmVzCX}rfYBNK zwDeLr39&!qO4krEgDbGkRny4yw~go!!ubeR5%Cf%EQUYlf1B3$1d-OcAUQ7j1P-xdRF4&l=u3W&n) zVB?Nkhm$=fGB`{g4*NXQQg2=Jzv>H@X59dl#?Da<0MtOUz$%nXO7{PJ-4h%-{^{D! zaV_qxKfo(1g5wHYo5mqXX()K1=~Ve9irAO|raqJWuY6DkukNP(@$05E%osKnzwjY) zN@qV%1b|nb8_M+9+B>%=AehTWt)j3_6-YMQ&!~=`?iF zAnP?O>O+2*HnH?{8#7{aJ)op<3JSMGNtJe%FC&#%%PT&%cGXI%0Honoeo&pwrl8u4 zE3baz-`7ioU>0yZ7oo(3e@2)hpHANICW@SQ$ZcmOi_02Dv`O6E0U}VNm{W4DX%-c> ztOqL)WVSfvTX5ZZ)m_CSSm^$XM+#urRFnYMp7&5Na%aN~Lb-?Zf^lnjzF_?>|(YP2R`lw4y zKnQRf0Ijm=a|n5&aq-+}J}TNmtR5DI0-c?~4u(RIZ@v;XcndE0dFzw^rpC~~FV5t5whb<2;6w{P&)T9n z;3^})g}@`nZ-k)!y}q|CrLgPQ*^B?x)N6ia4KpVn$HAo-ki#*=3%_~^H-P1jRFSo$ zmGD9@i-@X<`=XVotHzm~P#&GolSR431&ghoV~WT)E}}&< zoRui)jb!bkY>X2inERwTwHuqBaG0QSebw+?1!eC63d_L#5Z4Gyu>2t=@~eEag?ukB8kr2Y)tCg0ieBIbeV;MmUUGx zsQs_m_u5GUGG`(#Nm z*D`q??No5P*@aXuRzYP}nIK68M+u-+;FbkJVxJuZf$f#@Dr5_WD)J*TixwQx^!unaz=d3NA$?Ql7M~ zM8iTzeg*nf>n*-Y%uiDJu;EPClwz)+`%L1 zWY!kc1OxudUy;ZM&cpncIRPz4Y+M$^23dHXR_2yYFYp?3uHicBdI$BYO$_LyyDsYf zbkDaNlA{z5@H)kZ zlj0abu2!!{1@ERFjzCVY`iFn*y0;Vpkjc4Twp(50aR8le4cun?jtioKZpj4oK82h! z+Rj~zdJ_9!?LXFKQB^V0si175p?XCuuduc%qVUWMyeQIXOdG~`abYkfbCXnqiorSD?@7CF&5s6?`>CHa@Tv2 zr4S~qEA!!{Bd*?JQb48dCM5ny2q19l;9hI(FnZf9%t zi~6-Lq@dt6Gr#FK24;6wNBKC9Oi~F_v9vF|408XXn&-vOwvD0tuH&YGx3r6;e8(ebObVxQu zc#u@xm(JGP$HJ4ZNk8xsndE#!=EGR^BfR#Mko_qcM_q>43~uJq54U7DHoQ25kkfA{ zHa}nLwk-tE*8lwGvrQaCRFu%}IZ@WXq{ClJH__25T#0UYA!X`sy_sxi%=6(+)x(ij zWO>~P{{*dX++!?bDQhV1NB1Pn>K1CgLtiHM@;(D-sa;wDH|w?1W(SNPDV3)uNnhU-sEq-?7Ae&4T?u76J`Es8>8`wQCvI zPyav-xT5Un^LlY$gaL|1FFBr5LRHMHgd3Z@wDgq4mTE-LCC1lOyG3skUjl7u)+b`4Kh!rzt$jwdQ^SISU(3!(%E{F-scLWN zMQOjz$7!QCqozJ%?NVqPD(M(W9%&AtT>%nkK1y$0XEpe(z7m!x(pI%6q~i6`cD68y z#!__A7y&`e{@g}IbzK3vbsid(h))=johx4IN4nIE%%-a~I>iNlv5>0A*e-zEeiy z{1fuBZ>>1Ju{Fm{XUhZE^=ld8rRB$)uOBLb=91Uj|9kHlbW-O@YAH*peox9BcwSkT zl#A0eXklkz!Mk)Pt7z~I(8LKSAVV}|%_~v%c2-~W=YRWgE~XRLRy(j4AQ9qzSt}j8 zu7vVFV58u;Zvk`52C33!;xlwC7QaByRZJdQy94#m6?e0(B2vcBBze)Y%}`ih0Bi}U*Wz)QQuDfL8(vh5 zVCCIDbt2$yyyUCV<%HLY&MecrLN(bIQ*t51|scQR%JrCcvF* zIDXFQ>TVLYfgcIzBf}lE|N7FpG6Il#)J@D{KjEZ-O+}h4s0q}6@9@ME!^sjw#%S|j zqnFhcO3sS7|Cbv;XT*a0-(Q`?U<7)9+lSmaMI;IOt|I$sqE)>X|tx2$QYCRUrT7l!w9ccuvM6DvfS>}3_lBTzNgEBt=L2v;)x7y_mF_Sv# z3`Oq{M#3PZ@^W3fP$*(~Imm;H_0{8^?27;uJ?TH2@{zNYqX2>>FF%U!ByNh^pyKxA zWKN_75Xjtm`k+0S*f(TYJuk)Q)bv&U`TEiO=+PIXa@DwxNKK98V?H;^2HHP>e1{e7 z-leZwg*WY+L{pSG{tmTtOZLOR;)+ptOSau8Jsl(Kj3rq;@&-Gi*NILY z^9Bb%Ut~jvyg^sW9c4n-mBXPzGvNNO;+*gind|2$u<5|X$s zC-mDnYcAx!WKKcf5sv?UnV^(C8$p*88=m5(K7Fp0S*Bvut+lhOQ)ErCaWR)rQP$87 zz3CI-GmB1W8i-rNhb65IKJ+!G^N~PewZ<1MjY66Dl@%X_>uQqLDoePXshE76K9jQ! zUnU|ZYoi9@N1-Sa!wHvN0c**0gUeY3eF%fU zzx=hlfnnvXW8jll`Q;f%k_S+Z1dS;63&~|Z%pxMlIz4#vPUyeK*=O3PZ{G3D!dpXR zPI|oYh`8(DtMnn?8J-_1gXE3?vDP5O94ResP6Dm=T)UrN7PcmN3uQI2zo4+REB_>% zGSjRyjmI9%gCl!= zTm+BA{$v%G(!pTlsZ>DbC8^SdZN8Yfy7@|QQsjsE7I>$`Omfkl8(A-4#@%J$DT(Y- zLV)6dtZ~Wn)MS!uBp=vr+*Mz$3Y}diIsZUO!n}5oOiY#Z(vohd$MdRr&RJ58@w~}( z9-X}u&q3YAlqz_heVK;>-`c|_-UJK*&CEm$#QDve6uNuH)m6%SlRdYO8Oy`*Tv5&F ziORI^iP%)0blUw{W$txme8`keW1#Y0wAFV=NEuZ@*h?o~_`=rfG(uW*tS`H`?k zd)!rx$Klp9*;4f91-pjDS_y+)1xH03$kmYl!pD=QHtuNl;Vq4oc=J1k!D|Qzq7@xh z86M2fEfYQJ+F6FLg8C8w9R;&W6jIpe0GUyXcBzo+>g@+|&%f zdf^&XP*^b5s?$FDi+mTu%7*VDLkvs`p1{Zy>{ zf6fOYC_-@-U8?K#JQ=VsXxLc7$UUryRK>?#YfmyTP=j#@31~*l#L=$wLDt#nwB9h! zueT8OAO6iqyGDijir@nhz;Y1Xl^JVTX5aN>a^BTnBcJEXc_m> zLg-O<4TG%h5JXX~gGwD|G&vTqfN)?OG}zIvOD-u1dgGUQ2ZjJ~;_uE2i`&u<-2{yd zUo%EFFgw#mT0ZBH*wK$J+5#Jb6hk0h!%n?RXa&|UGDITQhjSt%%zeot8)kkC>MeEh zf_|3n2>fxK;*}%7cuEdxU}sLXQoFK3V;bdec<|Gqr_|%4|D#0nt?5nMk7`gdDc4Fa zfE3s2zTul;zATqx=ie%4c5jYdCvN_8ZHLCB9e6V(-{11v{Dx(5iOHe(JNHB+qi|Lo z3+y-P;eU>b?T!Nv``MrOvt!2NC-x{~xlQjl;w}K*YaKm*r*L!(|s=;hv+3YcbTcJ#yOg|xp58aML`blqkw9Fh(o7XZP3tP zt8m5VdvT4-B3adVZ~Qlx@~dQ=*zgi-Sz73MB89rRb8doCegJ2eRf=ByE=sB5(38Pi z;gpw;dy4XxhD-J4M^il`nQ3&oUIW_@8e$Lv-;)E45U@pfNK>FimVTI<;ef_8>!#$6B*-RB)JX@P6PP7lS=Y@0ei!?vGfUy{mYp`m3qi!*<{-!4pVgIvV@R+K}ijdHpQntm^pH{8;w-?b~=q%nF03fVw2 z7E6qulsE6gsMjS~%k&s6X+afQs{x~M9ecOV1tTF}oj52RoA~m?^K=*snLTj`iOl>! zG1#AiNf41MB0WRgO=sPXCg84Nh|oM47e}HvvyJ7GPEXf(@2fA>L#9a01}gTu+Y@)7 z*~nsBx*<%6urT#6`LqGiBuj7m1Y#l%@IY}3K==fmigL;a$tVJaw0*g=zeW8eQB?B& zmq%>@_DFeKUZog<{}RX)q^7UA;EbfSbWdAn5W#Xmosjpb!0UBY<~~k>Ni2rY*|XO# zdl~)->#k;WP*xXMX?V)PGz>M>qG48)bJNQz{$Za3+|z$7|D*r76Fx^lFWwsz{Ao}dRG2?ZF2PtRCBXvHk}{nPY;hDY3L*hZ{XuC7oL+^VCtsD3(OJPjq_RIXXF|4vr>~Bd#ICUAmjp+Lh;Hq?pKZ5U*6OoTzBX) z5IbhH9h@k?ie}3>G)&m@B-JPB< zia4`qZ8U#;S^gE*{T!PmEYbCcp@yGAcx-Gs?KIo$w{0YgQjTX89O``ZR6q4eQ|kJE z%2B=WdiwR>GF!AM1-pFum@^g9KY19q?&wd78;61}7O5GZ3lUBQ!*jow+2iU@8W>=X z{fJg!>vNh@pOUW#Co=%i%y6C$9E8(QG)X*EIvIdw55iUllep{T3`mO5@w;MX*f9E6 zE*~iJxusS|hZk^t`AtYM2~tn;OHE2J2p!2Y%rCxwa8F+yjXB6cdHbubE2@ej3cm zTiX4h*lvQJtEAifv=tg%LZNK}ZfjTk| zU~PA4%p1~@%B$EeK=@&2k)J+PmR5DV%^i~>4)Kqv{zWuxvmYQ*-xm?Zm@gc=PQL&XvjxoWO()TOm2e{dbH(-4P*&j<)L@sHCfRCXnqSK@a822<2R z3hAHC4!!ocebRPLAB0u+TM-8Tb0jySxVUcSt{u~v4XoD0r<^S;NzQz zHI@4w!=el~w>xVY2El-8@p`19fKfRYxPVk^f~|I0?m4q)5Z&F^Lq2qIq&F=R7!DaF zp#4kFudrJ`!aQh4oV9bAO&2whS9flf$TE0-fc`WD-D#9Iqu~$ppjG1dX+O9#4?xXa zbUK_f8=|`%NDN?*yWf-eue<_kk`reA_QSiAuboxJQ&C_j86Uc7jX6FO!rzsB;QzT-zAXoc(&?_@C znk;Qbhxt;HtgoD5JZkXFH{YBp=Rwf4&uQ z!B)~4C1uC)NYWaTQuOyz6uG8UOLZFK|5$N{r{+0Jb3H~oGQi8T5-saQ8pDA9-4I_F|iI%jrF43b@7i{?DJG=YSg<*q09bD;dEn_qeKkH-yMhm=d#Hp%jR zvM%ZA0hyVWd@}X5u3R`*_NJ(1(?eJVw-~aNN`mA$?HpXFrCjt3NMnCrX7|MJdG zq7aZjQNI_h#7Pg6(pY6WPaMjG=HHj%lz?cf&y}Kv@S8MVfCMPHtUrkEhT?UzcjF2O zdj{6a9E~a3qz~S&uDNEYZd$>tfc!Kn@ytuHAtxLOzJOJySW=PUH5{PUh!u6=}xL=Fo^ls_$Cji zuXcJnH!xF?QYJaDyJe{81^91Se4j3y^}0xYx`bL6q0mEC)e$&DqAB{5GRYpTE`kQ8{b&l&|zhGx*=R2tOnp#WwT!(UY3~O=~imZNW8|d zjTnAvt1=R&AdOs5$&@OqR$>`1F^Op3oD~XM{mnumtK~X3s_TSMu)7~+XmgMXk^~yElZB>H_I_P>|> zBVX6uuPGi%nu{`;>doZD2yRA@?-rx!HZCR1#C_+_D#@Tpu`Afr#(^yYFfPj52xQUkUBCHg4Mh`SaP0Lr-5<>1QS`%5v1tPx_N3YRx5c`IGHpGz5iwzAn_WS3 z-?=GC#m}0wD{<7F(V5{N%9gX%zdMw30YfV7QBAK(X)~85SsZDQ)ORt$%Fw>Ln@su| z2Mb=f1v-4P=rV}}DkCztdc(5fZj?DM%Y|Vz*?(n?vXlDiJvNh_82Xc=?h0XvraG%& z9D9TzZ6JOu*LJViP%mGuTt`Us`gq*VdKCgAh?1bqKK4dP6ieHE$hwbGcX2_SK-awh zmCU0_%c!kW7>z)Il>P16&-IP!S)r9Wv+Dhtx8t0>s%ycH|Fk1@8K~GpscDD#+(49a zy7XI{i0tHR@%t@kcaw+=-wX_kg~P^t;RH_GA}l_``BHD36J(Kt4jRXWtl#mX34loi zI3hv6(d=v4tKvLNC*|eMa&_|~N6KQd+vfPAdzZ zWzuggeu+FAzMG8`5pInPgG`2#djE$U8o`_;&xz^%V-1uDkaU>d6{_R<&u2F!W_Uh| z;Tk(5LqqF1@(S`do)C8(=hRLlofVpmq5B7xZMbculpJ3Cf$_yvC-&!db%&GNU0M`vKX@*WFAV7T#TfVPqaQl;eNK@XVDzG3G8oLX%5^9 zdsj5T&XK=FcUj~`D=1=1jUbB5{Dsh7AC##z<3M!lEM{zAnppM<0kIk&c6q6>YVbGv z`q&T67K62lO3SGw+lcL#zI|bD45TpF%rAjoXy{_rw{~$U(gM~LK>p^Di8W;^>D+Cl ze)w?g6H$wO?PN3mEXdhY;V-Bn1A0xI$SPs5;{Gx2v9#_%xs7@R*SK@tDo8-GqpDM| z0B4Z-aw9*6`r{X21yvm^SfU z(UXz)Ud`4g4MUz`F2*dxn8ALFGe1}2*EJ1iCo5rEIynfv!@E)vBO=_Xsi;7Zj7Cjg ztE61WV%B?^jiUF*X5*m=@AfdH`l)w6`jjx+D`IgoWKjt}D^wB&DcA}1Ot(pV`$2)-Y{W{sL>+HYM!H6(RW*4E0SEzb^m-OX`#E# zD2|+K-(#qM}+ADYKf)BnO zRvLGF^6dF#ZtoY)gV!TRaPJeQCNsRIW_jMeWPCR|atF8UPI#!{OuD&)8>sH(RXI^m za02bYb1rvro`Kni|3!!S|2OS)kpJzMo~{ylWw0q%B0V+#e~YmSDph;|A%bJOtVS#w zPEgTUd=3ms!X!$K)0rjXS#FY9OWH%*H?_5b3!gR|(p|jMY1Zof1&XUAPi7AFi=gX(X2hNwZkn%7m&fW@Oug>x1UaPlGEv~q0~55Ipdqa>z_ zpoLoejuyy8zCuNXQ;Enlb_=&g=8i0QBnb&p+Q^F_M;#46#z%jF?%}UxR*X=_h(a{mP(r(_kz5#-J+HXR55aBYtmW=$Ja6jinL=Oa?cF zfjR=-h#=igD3CB9ty&P|B`)l81+e3v23?&%>O{X^9$a^PUQ2cOJ~QqCTOxGYbu%G- zd5hJ>E#Y2nG@o_sY0{l`{T)%taZjw>-AP(bT%1~=-_|PfR#q@;(&heU-211bAYl_p z=G6;0+Y$2~_*fGWX+$n&bM_)HcG?0=7{4c8rnqABBdwBnd%Cl_n9nXwCRCgKqP-V!5;I zyYluW*5)U_Jd25>o2?=GLgD<3%!d>skC7n5O3I0kZpcGkvOFN)_)7 z%l`yNnyWIxtMWbqXOIW-IWL4tW;TS;FRqVvA0#|)&)hV+y40%)DK|U02>{-dMb1>>SpCpS2MA2da+r;dUVoyA@G@N*X;TE{i!|7Ho^UR z2K?=Uk&Xj7S~_mSFKmbiGMhVCOtLn9Uyj+D<>~sWz;Nh)B|Wm)+M+kt%+BUH=3!=L zp}c()E)nAV8P@zt^4;J`LYHT%18QrnwfK=&HbfDzu}r1c4-8L1cBnWy%De7oa5hX+ zBMa2*qv88~)QvkjE(?53-dJQp8$_W75emd$wcJ_U@breORJ%~}XH#?AlJ-Nms595ClSQ2;F z<~o33xUeQlkc1mv_~)JX_dsN#`WvF{Tk|B$?|wz%!C}3Chib{UiuQb@KFIFq>Bq^T|&mH0qi|| zoNSCdDXr%SYA-u{<&!o(!BoQO}T!t4v2gS!R zk;NLj@>#T2I0`81n@F5q@!a8>3aoXX{nNhE&dpZlM(UkWf#{~k1Rq=E8woc|olo@y zM$X_06?oxm5O}(Od+}e|(4{)&MvkG3#pD0EsP- zn*gHXhBTE=yx~)82HvBgE+GXo6un=a2Q;%kzmgBSc2 zjuSt64;UVq} z#Xx#R0GlZ`p~aF!Lk{u9q=;`w2-?pq$U=pA_0Z})a!ph*xIQf#9knFq16VF=OTXQlLN4<@&r%MkL~!VSh};zzkr7V@8fZ6E~0pd!4V{$p>WBnLQuypb~0(@$y|ug+iAZ_9l^&jt+eBdeQ^ zvDLFbo^WET10Wi_r{K7T@00w=cvb%xayZD>a35x#6M*mNvp!?Ic}wZJDMh z^=%Z{$fsx-&A)WKEpz)cRp+c7vW(V2B~CET`60AvqO;YdN4OF*W9%_5?6u5Cor6b< zepu%s1LR7L=AtPF1DXoJs|2aNM-V;dSD-NERbYVyPe!Ix>CbT14%G%vo|05Im~{BB zckc(KUHe|;|IdW9^~B+^F!wfTp&4gSMN zU7c`q<=~a4F4qE)`CA!Db&jFcA;`iGV7cqyzwBT|$I8B|Ui|6|aWaWG)2#&vaF@w^ zrpPjVKh7|Ft-ZPV74pTW!?oP}doI)I9p!yv^cGU2xUspk(fjCEnnY)T*i26bfW z7d#t@#r!TxWo;^yx&NFwayfmm#iNy}9{H~gSsVDx1;cqBYM2cOPhc*J^(xU&xEy7p z)r;SjYR|2-dP02aJscjCkvCX;eT2PMc*|k~7+2uw4PawU=$Twec9?@>HMUhm*D}6^ zk!+4KFU2xpVWceXAijwd9$vf$)?h&0j-g+_Zh}d?GZHj}Kw5lgCr`>iDk z&4-usvFxUgoFsCoCD{o>(51}(lcnw|G-Aib68s|jPYjK9#h)YoL+^s!!)vBFFYd!& zjqc$kuQ|a}rkY(x1jz)xl`Z+kUNBY3`(Ue#s_mZ~vc(v(#m}Hc51|bHkj*d7zmFEm zsdwmJb$So~v+)#;MsE0SO1P2$|Aj&u003PIvE|Y;r(^ABHqBf;n3~t0DJ+x@J@Ycs zbNKc)t?KxMVrt7*+aH&%67l%HuS$J&W!SjP@s^I(r_O~8Wnh#&m%wM0;I!nir|xL$ ztkU{1zEI1Qz^LN+F>8tHD|thGD>^KHu?g*7)f5^T=l711UEma@bxaaTM_XkJBr+Mj z-^$n%YGZEBM;{2LTb(|T8#SB>4A0j$>lB`G%C=XDNfDMp$@RZ{#faEXgE4y*fnR5Y z(C$3VdQ%VeQk0(R&#;B5n^h~ zrj|CTZf`owc~~&IH}djSPZ>)56)+#MV<#X-?F&!7#i*6Si31*i5>DON&ebmeX&cj93?>b~9 zTS!jFNGv~Ie;(?YJbr%qSN_XlnhClx&e-;g@7y!Z-x>d1;5M5ENAFH}U#UuYl}BO3 z?RJX^5ms4?u6#Q4b|TDc%Kl7uHRJA9skT*iPtNcVs-=fh8Yt3v^XSwxS$Avde%2zD zRwtD%ww)d;Y+b#$`qS53`CCLGYLST_w7AtZGzQ;vm4|B%k+dgNav)^hc3x-GdJwD0 zh$tAv3r21gx8Vfbm90(N<0XAFlLVv-S)e1RNe8446*B>woT0r3AycIw+Q!}F9>7@A zbb_^Ip2sMj*tCMeVMSJG6Z-l(I}Q$D^Dr*2Gu5E=!cxjVAzt|MfB@@2Vn26MOKd1aH%2ewWpz5@}Dp~-f5c| z>h8Hw%^2pSv)WWhG&pTbH{XU)hNl$>y4|8HD+@Qq!uW3W^$Ky)Xk_f`%}&<;1r9*3 zhC&{#Maaq%M5z6I{tRVUnu|cbl=Rz&o4F+qt;vrSwL@rIKYsGzF7)z8#V9<P(>cCDhTBgB^P6YWVd9GbLr69Fa4_rv<@WWl702%zS}a zw7U7##WS`<$rs?7uPvMQP7j(x%;#ji0vK`bZXmkVU`0V7Y2@ktAWydczm&@_sr};US$4|%G`_;)>?w!dHt|SUSP4a9h|Jv+= z1i^bliG&#ScPJU!D-GX|0#sb5g(!XM4+Eu?_ho}|U0;OmmAXwIc=5adcM2sp&d=A* zDwkyCa-?TAtLJ5jz)Ss=eutN2`fR`L=ahDyBv6wL8bH$%UDrfxm8#!8u^9W;B0&|n zCFN>>e^YMo>?Y{Jo<1_e*eiXZsUyKr^KoSDi(uk#^}NEu_7yh6S=^$mWSGC?=Y0g@ znJO}d$%(I4g#0wV8Pw&Ya$*$Ro}Z0a459CAr58MSBRtd-s|;V`#HI&*$4J=|Sa$8a zI1z4C))ER1UGeh~KQ&LMPpv_dvC(xg>zB9hRzlQMw`=O$Dwurr9>$?3utSU51(+|c zK45uAKsGCt!!aV#BGTU;9KY%OXzYtt1*NTygj~J(Q{b#Jx~yRGO*17|DmYi_{#VKA zIKL4n-c*=Wd}R1Jr5?|MH*Y520Yu=wmn9%14Cm21 z7E?*jEs|WAq3=Sz8$EPCxvsh`L+@fY&@1FVhQovQC%jp<)a(fHQ~FNXt8fm zcJ9N?;)}EUhS_8p>1iKWIE{%1y;L#I2|ta1p7TzPO__T-RE_U2>ZqLe(`&;i z-Yr>{BnkW5oKAAF2!3nP>$GIzt1xrq>gIQ3^=(?_V!@fA;5Qz2cf1)Vx^U@qa&^nT zixMaW&XzXcyXtOOrwURQ*-{tTbeucC^F%7tB9u`?pa1Scxn3#JZa)*$=@j6ySGdq{uaf*&Fa(k@z_YkTeea6jC zL{b{wt+Cpur)5}WDI})nWqRoUGyg%R+03xyE>WcLP%LiSjK*|SO3c-)|55U&;@*nK zCCbGe{ddDyk6Dl&G3498jT-~*mjJ|>dv~(-QhEyBWWE`fw~Kgui1=L@w12w#9#d$- zxDXC~My~r&c&|v$4NHAvhRU_*57~LJ%|G-*M4|H`^!lF5IIH{8t~$AGIQd}T7mbsd zu@d&`1}A?pJx`5d6jMuuG~@PU*J(*Xbzfl|158*d7(vf5qwX@Fakmp%Jg8!5 znjSGzauQ%b%{Qa&KpQ6doaNJI4_%(wdFhVW^gn$W+eQk>chfv_Cb^(yMvfCWr@==% zpUa&Y@m8@ZyEXi(Nh7EB`9}R&tDP5WH#J_rm1zrpZMDWznDGyxIaQLPgj(MSYq9^n zF5&F7;4d@O@I0iMGwH*m9oXuOFXP+Fop&DYogIT(P-JwYIlbaj^LYu{&_vo!4X;Ff zICX4zlFz4xZ2#8c{Xy45to<`%nRGvyzDRY~qtPFY795+#f38awulA~y@nNHNd0x(p z7&#Wvw&T4Ox;y>w>qE3l$7p1T#>g?@Jh+(+*{C(RX<(~+dl%x=)249>)-KK*!IXvm zICxZV=j6I>Cg$oOr{B^TV!SW^JtlC+a}tfM^R6u@x0A zdYT}t-5hMHc4h<^u<4|s>;ig>9Iyon-n@5qG8ec+BSubhp!4NPXZORRZHrI;ybjKE zEl=>@E4Z{?d1JDSHzuYWI^_yU@OQsf)#ZOn#4qKNtZras-MwAG9BjGoPf1+vA&C32 zdS!O$Drd1>9bLuU>)Yw)Y8e6d(|@UUlv6(3g}i?^=A#y*1&H`2{+FUnmbZ@|h`4*W zIn18TFF&UyV-ozxybIr~4AYG$9iETvCtE0T+d*r9sPH!I6>u;!3%o43=$S3@-$q6i z3|TtC2^XWqU-khq_RIftE{JGsJ|%T^?-*L{W{oQ6JZFf5tbcKKm1aR^g2+$=hIa4; z4JNAT*EmSaSj~s_*R)}KrTRJYIs%@bbJF9LRmiL^~B`-wJIFyRBD$=T!f8K8Kx9 zH!dokfAv?NSs!2Q7_Uu_OK!rGIgtmgxx;uwja;DKGt2a*ter7UcmdlskgwbeqkKA(JAvF+7!n5^*h+k*`=EY`+x~Fc4#nsw>LWf*-y`OyfU%AXnYD5 z&87U~swWMhJ+@4|B{<9WOH%bi#gSAJPABap@3+`f z^EUlInJnX6h4S=GfWE`~sm$M68&rJo&(+Ml)NZ~loT6Vf^7BBptC5MIyl}>h0SV)q zDKf8CM9P_qyhD9(&CEBRO>+?nJN~L8_2S0gUVvk6j7DQVRmUB+4DGX{npvALljT(yz2{k6dz^#$3&5E{oaD#)E6(3TCidp z8)@pw$qx5TWA_N;A*y;XjZYX_dZSXLUM=Non7uQ`SHY20$|~N!cIZZ z3V=BRH+-F~JVX(i{SZ32KYYnve#ZH%6S#3cqaqaSsQ9S1FoqxPJibM7@>ZjMlV+Oa zZOY_Zklmmf+M48Mo>exzCkO?DUqWGbP%EZRj-D>Zy-LNtD`fuJl~?ej(zyKvue{-r zvH*9rk?v$|sp~i43)3hnX*?7(g4+`Togr4AF#mu>JySb_GBh(nhhev!g{61)9U+S$ zF-(>pbhY-|zssP5sIrE;b@2j3j9U=-^)YAuvZ!fvcM@$N{wM^Z}0@F8d zar+|B#`J@I~O zQOLjQ94hNdumAPqf2*2U@6O2d57x8QHM9zjlV`(B*q!aBy06qy7AOTkDq@C)?VX4f zgH2xakP5|$WZD94j`PK-Fs|>rJ{MqZGQ}5MC=e^dF>dNmdUR|{FVMe(xP(o`{ z$*ALGD6Z1_33>x?Pk!Vq5?X+aRcEs1eY3k)KC|I#aKj&U%bHbUP98{I?bE39L&KbM z9|x`{7)hwrk!}2pUFk0BJta#%3$vxIVGQFjpeT9Tw$5}P-+kPlc zcbB#wFj};rL2Vi>T=TeH^i~{3QyfN1|CRs0So29--eQCYF9fHj2~JOQInGm!w~e>` z&P;F^%?S>p#XY?DRG;cyG?}vbKrZkc1)S!8znVNxi+-`Z*igEEp`D3$cm2jTl;Q!a ztnOF&z#ohjU;n;s5+_@}-jWMtiZeU~2PeN9z8hM)8@}Q&n(D)7@r}7YzK<1WcnS`q z1w#kf_puw@aZruIGg^4us#koXr&X_D!)I2#lAagavmCB#={^iWztVje+zY$xF?Ba$ z`yNh<6^GFjr>8ABZhaVn9;X$awj}SXKu_zK|1NskPjY=2Eo$Ao@U)*4eHhZ!MIVNm zH@Sirh)24kbBZSiM22fB&XO-UbK226ZG$M7koP*kWGxXK;i)*o^V6^GVZas!$|7PJ9{4&3Q z03#%S$j{)y2w_!s$!LTHEze+%O3LoEGZ~TK3^&2a>7V(|8`q_;&v)dIqoUpU&Kr!7 z4$ODt=sB`eN6$H)>vR8BcIud;%5!}ft)_lV4I8PS1})2(~EuzLCnkBYMpcngog-m$i;7k=LF@?vF&R?Xc#J~-O?Iz-K8 zn7fBNbDSd`<~ZGb*s{{E&j}6^`YIhp+O=*_#*vQCs$a&$syIekad1j^wQgu5eO=Gr zvV<#+k(E=0NvujZg$2# zOv~*ci^>@3Ky>&4C^AM`buiK@^8-+HVC1;z`iUHAf00CS<`nNKTK|4)GWey^GhFw^ zY+DX<<*&4`#3ncso8TZ(-G0VN`NCsNY~kMz9%o`x93!nbM%r~MUf77H?YHiIiesb| z=SVl`Wc`fOi-$dGBP}>aT5*hYrOg5ko{TW&Utc-W`k}}7j(+aFr6Mv$T6Hke;kvF( zZKRiPwzpJ5kulP$!*Hr<>m&S8m0AtiALF@a((o=zL`lm%mWWg)Y0&{`)u(&5&GqS? zB7?N*fOOh-kv;ERArT2)DiQ7YZ#zzHA;7*`&*;*VEpy=Es-6Vbt2{!G;AP<|KcwEj#O zO@9}RmX_rlSf}gtU&)wGZp=BrXyGq%ZZKNSAAk`L5{rs!OM0i3{|5j7|NnRaWRkr~ z13?spCqJ5Keo#S0P)KPf*cgHcliiDs%+7|L*^t-_NF=0LNU~No=1nXF!QMyE-lwn> zEVK~BJHxIq?7-!~?03((bJ;q{kuo9V;c!*ve?e^ zV3r2&C79E9xG#WpVX+rtzTFn#Pk+G%OJ_SfTlTh+>mBQBAUBUdX9p zzR(VJ&Y(&Fxxp7=XvDpn&!DYwM5&}j!y8u@w-2xP6 zQmrm5|Eo0L?Z0^fkReyHLhjJ8d+_x3iJX}#ohZxNHCKrp1wnI6Lk;UXnvRCi)u1to m;#!7C*WvnMn}c?#q8dc#)idN4dYQ#Bp#cAqIr<9#0RR88+nsX& diff --git a/1ano/isd/quartus-projects/DecoderDemo/db/DecoderDemo.cycloneive_io_sim_cache.45um_tt_1200mv_0c_slow.hsd b/1ano/isd/quartus-projects/DecoderDemo/db/DecoderDemo.cycloneive_io_sim_cache.45um_tt_1200mv_0c_slow.hsd deleted file mode 100644 index 599a3357410f72f0c7863a8b179e8fd160510e81..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 744396 zcmX_nV~{31)AiW4ZF9%Axns}l*fy@QZQHi39ox2Tef!*R)mKTS)9J4KNmbH0ryC6j z2&fts_}>TwWc9DH{gY~c9GxueY>8Ny7?>HDiG*D&tWAhGSeS^InHm3e7N&nw6=@<_ z3+F#XVkQ>O|9ok5`2TMUE!h903dn!rzhP4Q|JK}rFT3GqZ7kFX*am`-ppZ}?paquE zrIMTxvV5L*xDw50)6Mg^Ew^li=uRiH&#w1OJ9>MZUz%9Mf!PGmgOU_OM8TB7rZfU% z>r4xn>V;*Mp>Ud_fT_vKrKQtUEuky{R8++?jmw?e&D(A_H46Wy)dY{^K=6q3fy`67$CMI^AnVhxco8K_8Ffn$PFw=)=xuWq85P z=NtdV<9T~n?T6ob-u3;%{$*Kx`)xXsX!Wld!6~F0=2_Wweq?4?8&l-1-$*c z1JlqiUtuV;(Fs>h-_|wuyVpU}&=(fG88gx>ztJmu-WoTk(VL7Zgd17ToEsn#ygoBCwl`BHr7kJ+(;9lL{wWrv__&{p?gi-k-_i{qzsUd&BORN7k7wza0pH;gYp9n6=d_l{GUn(cb6cR2|FV`>FRM zYMj)cE5$rQkJ;!QaPo|#6Doxj25N<^fo@B$GVIeK!wmvej}l!ekBnWzRslRw4}un{ zoi-vrb=1w)PPHd^v_={V%tLtQ{vMmmZmJT)-bw|zBMZRbp8aXArGrz(mIkb{hiQL( zp*)(Sd@;D|){!trj(m^1qGicogRhhqn+gwQH#UE|7gV@C=|SI-dR> z{AkCenW0;2_4?xOEXkC-54IoIjoiVj@WRq&XKXp9Iih|Sf5c`pTU{j&ZgZ-3y*pVK=Ns3XQKD9$0=JaRq=@MysXJ1SOC3< zP*6Q9@bvx;j>P;$F&v$$-{yWRZ@y`k+i&%9$r^fvk37!J^;bvn7{F4z?fW+Tfyv0{ z{?-qR`C<}kX&>Jb8+t{q&h1yF6HPE-b#I*8`?IaSX<%@%P0Pspa{uMV*VrHIr2lO@ zT!YED{S@Q&;lMZ`%A$l^KoolvZpvm$jn-;J!Nd%TIdpxPOvUdFSMRK>5PHLjgyMe;>d$HWYA7D)dcpk=% zq~^xrS~GuW)6-6z zF=kmoiwoP6^my^=5yT*6+4H=fyHw5RVpOuVZR>`iXT;ab@Cx9!yMJvWoP>&pXFAV$ zMxtNuj|v|a_6yC-3b|f5h0hXS>Jm~HnZ2M~p$A5s{;h=;E!(_&($dH&aPX+e>wSc` zduvCJALxk(@{bq3YGHwsF+F4!q*zr*JF3fmom7uPdxnYVv(pbl6SquZj~7NHvydxd za-EIYUv7=+h>Rlt}$k+F&iGn`1| zsGX4%LerYh;s~ifeU~5w|MWbOB;J!=foaSl4PK)*pHCYPwDa{B=bpy~Y8=5^2|nqzAiBGGhgc9Ri>53=*qac8w!&5mpN#6oRVad8G$|_H+7c;`5Mb@Qbkxu zPy*PIT0M!9IRN#`HnIsXr2+&d7uI~>E%4CTO z;s%5y9BEjM#Cd0zxRTKsXNUo{-`rC*4YRhRQaG}`qJ(FL?~@O8DiA%!BN|I}1xUP? z%iOT2b@78qV(92k4b8!*Lo-lO+Id6o7+%)z)u+ljpyIHQ3B?F1&WV8<|0?pc41)bk zWxI=%Tot>YjTN8U_SCF;kR;+)^A7OE^28tt!!3kwcqwFy)ckfwtnBuHRa!7$AXKkt zK*4sxZvMuc5`bXy2Ex-vNpVpd1+QZ(k00j|Z%G>s?I{K9<*E%lVuAk`z(vH20Vcw; zQRN14%q_wouvkV&$xf=yj%Qz3(eBfO_Mhe&O;j}&@llU^!B}`sFBKyq@&_IZX+?01 zORs1@TMC7MT1wbJ1t=?{N($AQ1M)C6I|skRsiB0o^EucabNFm%EB!MiB4^!&pswOu z98}f2Yg&xmyPfeY<0(nBrsDB>ttdZHCVj z4P2CByZqKk#>1e#JzLODrbkcMq z@EWiGJznehH?ra`+zGlAijmVt{1)hrMLd^zmBO6=4x4y&z^eib_QY`$L2-d-Isl+y z)>Gh=zG_eA47Xw2-qgdzloa9=?%skymo+gs8`cR!4}Y)s_Qy1P!Vrt0X>+}&ax~nV0PTq9!trCmbuB;|=&xD+! zTFr4@E;X&Ne)b-0{O?ABu_eMif!|s^&9&fgBK73yAgiCsStG;(ok!y0)Buwm@Awl5E|H$DbtwOnke=hB){;!p>SBE5i0@^J2t0&xb zZP0?5k)&XV#tfXMW%NT~$!eelEYb7?9_DRs+=UC~k{O>P!cPu_+@!bM)Wx=ZXV@?S zOAE!!k`QU|Qg&M&luV6-eF>7LD>5)f<_VGwmq&+!W`~rqy+v`gvJE?+Ge;R;{zZ;Y znKJw{WTW+Kuq~^x(L^vk#|6}-{VJIkPxdcWB-eZ0u$AGR9OV&%(=4sl6B@!0AY8_Q zu_~+62uwAVX?vfs5aip9-0k+|h`=BnbI%GZR+qWn!n@0ltak5rg1*3dAVsHWzZ5!m zm87S1QZCnlZ=s1g5XRfjp;2$?WDxKJoKy>4Cg+o9NZLx@3^)Co`ar0v+Cec3Q{ZM^ zAVj73cXS@?6>lkY%-s7p#u!BWV^_ULbGqO=E2)) z!R<=+9dPa3Tez|31^au@jvsTw;odNK*9pHn>fV)Cy$ATWL`c>#sT19@(FDFyl#Hgq z*)ueA7l=M_#bHC&dUfjp1LH~CSHZY)^-H%Z)sN>C0{TvGWlyhl=z&A`-M8CU32x-Y2_o7&_SPX}WNKloy1c|` z?Vn6LKW$9sqwLPrfkBIKBisGf=}e7^z`2>FPPcju#O}i%`$v=D%Fo9@vs`-E(X7mw zOD9tp6Csf=hIs96?yWoaN(nBM*WPQ1-uB5|$o3~RFv>EC6oyUqIyxxbhv+W_QNQk-Qs6(vcs0x1!j%0kJ zy=`TYjx&Bsd0S;HD)xhu5`j`8q@dI8r)Sh*!U{sbT58U78a3!8fpH_qScm1`%)HiVdb`b%u(6jUPW4{>n{# z%bMfzHm5)d6=Oi+n+8KGf6&BJoyEK1i#k$^`{kAKHKQtCH&U!as={49%2aYFTe9N? zI)JrW<-VvG7`jUHy&VS^`v&1cIGF{Uw@KQsE)#O%&Fb$+E5Gi~tM7qWZ|XkFq3A-F z0GzVckS*f!d|PBU;;P61hLSg){6s04CG{cB$6dM09d5eMUa^d3Hc$3qKMf|e^>PqI zMyE?4%Mu*UBx2CMw9*c%@O}(LkkuCUaTPXSc#kVpu(^zfR8js*@E`$&BzOUrExtWl z5t0aP#qN+{oAmbR`BnTB37Esf@!36g1Ov|UExAQpSQgXOY|9HGma*IH7Vd#E^6J*E z(YB(Og>!qG)i)}O$8V+%E+`^!-zx<4z)Kvn**pwzmFYVZ<597n4l$~LH+TA4KS0At z&|C_A;n$gSEIGrX!^Ar^paQs1*JEnkTCjBJ4ladK=(L|ARwS6Q5%3+w+~!zfeVR~` zVEgpFC5t?=R6xC6yzuI&}kXO9C;d%1dXBk9DEJ7TJgRQ9Ns|; zfbk`8lDj#tP3EY_>?wIK;Nx8~T?lNTgp*i~d3HXRBi$TU!w}!Vw#{JTm_U7U)V>t0 z{2HV6C|}E*;zKV1e`@1yD{D6f*aBHjk7iJMujRMHMTA=7h8kz1#3Y~UZ;S0oKJhcE zI>NrmjChi{M*CM%R+Baq`4lV9X5v-#(9T)qej5?+OimoZ=6qOqNLnWJ5vtkEJ>sR} zXLypG=6{;57n0;Qoa^6lx6wvL`0jo9-EjQyH6`$@1C?VELbc>e9FRmuC=)yF?`vr~ z-P?~rY&@THwGjX!<^gWi@ZCF>xe2-CS2YM3F~mIJfkkVP7| zSeDzJ0Af?gCBGU-%?}s@eC2$y2rnB0`sM7iClc^6V38``DIBI5U7_pst{dt`n0pzp zyIbDQ4I+E47%PCMZO~x`!+T(ZONlmY-N}^^GJ}C-Y|!zNshv;$q`@jDo$Wc>7ny&e z{5DgXcAh5L(ZlfAqA#%Vq_o_mt{e0{dGlfRv-rW!ywNd{HUoN2 z4aDQ`1NRpl^jx$^riH;K;3$Y21^Kcg>A^)PAWelFhh?CAYxY`kDK%L)Nbrhe9QKMC z0j%R+;J&<8K3ZZ}k_nLgP642>7ONCi*;Y=PJszvT4c96G3dx`}VyPXfR&Oe{r6OBm zC`Lt0`+Fj$00J&E5xWKXC=Ne<4(fWFZkUk@5%grtCUq-KyCAEp&8a%PZ$V{VU(_7- zjH`!1(6YApSh-o^+q7(U;HrQmR2N%(CdV6$L<*v zRw!J569)6n=84%SJ+q0ZDZE#gdGDA(7E!v% ztw%vHTjh(^z4ZTXB!s>ifkY{1jut7Xi{t{VFw{ruPQ7-)k zl@>i}B1ANJDAH^2hgYgVjghe1AX#Zs^aN$9r{V)K2sg^~7lc~J>SbCoxXqFlgaTS- z03qqa%j-FCOx9l};lM#AJ7maz8w5t8!sA+deqiOmaf+V&!e|$VN*Z33e&-+W08tl6-hM00=Fu{3Y_7f-G>0ddKMj} z>w;UWnW>j^p0uTfj{$HHg^-@`+1dRw zNMWC~ZD2rz@)w8EvAffDd$7|~Dd>T(Kq^%psK)`Pr!;r7VPq!sRETj>1R22SUpgpH z%!$10K2C|Eg~HznuiSZ4%e^N3`eR?e6&nSn%v0SU7ZJF4R(oCK+jkj>vZu2e(0WwY zPM+42J*A$s68pwZturgDapn`sWb;e>m@{&|BAvct)MhWR=>yM|@ICyj*q(9HWnAp! zUO_`VYBB|;JTO~Atc-s@*Z9?HaOCP$f`dz)Fwl%`&@}COIGHjQCpO?}Xhcwpju<;x zGUoqzTU}9Md~|6oYcXtfiFDuQaAbUR`%Yo>eBkf^%YXgb0}|@ceb;Dqb63x+kj+Ga7(hJew=RxuL<#FmjdfU3VE%S2RwG zz6_Hj3kc^r>QJJ%Eq0o$(Mj7gR4=bruz&aN!cw5<0wj0}ehDkER<>Fr)#gLwKS0Wu%QTS* z1Lw~Mu^vbZeMhzI1DT67QQQs`u`e)?)tu#}?<$>Ag0PnrjwC^laS8@2a8Ij82~H_R zQGu{a)F(hK;)P15S=`z=!O?^ZP9c|p{Q(K^i;$-*@1>8+mZFZ~J#%$MI*3Cie+|s_ z13?|$81%I%h;R^W`2B4RGyCr{cd8WiQH9g3Ci_#-6!mP2p_{>Kx-#rb(FtjOP9C^oID6Q2CsU+R?A)nfBc_GA++a$DL_QJr z!6djvlC@Z_2oD?e#2(-gTNdd+W5K!nj)~Y+rO>3G7nbG)^a;IOTXxn=$fXl4qm8IJ z2Kt?fN;R`Q+(qaej^Z>i59oVQAQnuKVl9xI99T8Bg#pHMEunI-0PnmEelg$U{q0_U z@HZzKDY-Ahl*PKIDuiIRc5pU^Qn&;*T{#h+tyC>%BMDz=DpjvK{!^8KOr3w63L2G zx^tp1d{jf2XIzZB71K1E0M+~gpCjpfaTI~wU`RroVH(|`fRNkhu;-kj! z*A{E^UdEL%kcK&Rni5 zj4CL?+P7hn3(8bA9F^j>gQ1_-NfcJ=jP?tO{`ra~$d10(+Zez7!MGx(585bR$M~B= zH-Pw@yOC!9Sl*UPZ|YYm_ONCn@be#5OfqX)x|YFe^K70xHp*u64EZyy{^>+XM4Kj~ z3j(qp$~P<~8CRd1L_}+TKtWG3BHv#WNW(m&|gJ94gp-o`g zTOpVOs(SUoXij+_v>>9|@o>qh#nhZ*pNrpGip;^B{CVu}Z0?Nmi?F<4St_Qajy#Dy z%$OPbi>>K#-2QQs*u(s%BjfGR?&J34ivMsdqQ`^%SJ%yKoMIU5l^Vrl{!JlSf0}#A zO=3$N$CaitOvprw92+VoU7g`$qPS(E4@=qs8P*;^DUf(;G6U2ZMbC0>jwMj;sBX0Y z^k~fU{D7Vt$<=TkOih%7>+W@*T^t?)B(8-p@FN)l{Ueo{4J)CxB6jaiPRWFX_E~0M zyUriu+-YSjXu#c3NUh-zNKuM>t-R)w8eEfEs)D{9szQypt@SMM!sjhiro_4IHmiBI(Eq6jac)Fs$x?&D>-+(b zr;;*V@c7KRSBldJ*>GTC{H&<0!xZFifZ1FD+uY&ez+e>$+xj~~iF7b5HXih}jDy}P zS;;_=si;YZ-K3=)XyDEyI!LbPFT%Y)La_Au0_(=v7bkkG0EaFYhpxx%C+qlrZ+v{F z-OqdQr+87b9^}@ zd3hQJ|D$T`UbYyDFye$U^o14w+TRaO7PyVO0mdj)VPiEIMe?jd&K|*hCCFm0zjNNn z7EVo-jme?a7Uic*xOtPH9ZR+P*`KYWqx9j5MbwNfZ+DA{|D04akXc4V=_sAne8-0h zvo{d}9jnh}2;HmpZ;rKWgi3J{Vf6>MC*HTZ^Ae`mcSUVIEhGx*r14C#1YOWi;d}WF zE#t|aqa9x_N1!iLFwYzNR8Z^G^Um3LSz)B)%EvQ);f;7|M|*4}Je+S_j9qU3NDp~7E1*KCPQ0pDoba5y=D`4LHT!Aktn zR44Vf0=5wZa==2A_+yfS7e!Ao(K-= zrgrCXyJ7#Mw%qM0Q|hV0Es%d{7PH|NG5- z5dWzELeDG#m|=189?L~JHuw_!9_h*u#{gc3lwJDiO}+ragqqa$#eyK;$91GbF3w#h z-R&GJniIqE1PiPmi48BK4#?V=F6zQ^_JRu%TbWQ{C5W5DT+IF0~VsC>q#m=HG>MH&of+dkF!B$XuOAlO_su31pL z*iG8vD#aBGB&m$;9Xr)pV7bV>f{rp!Bwe^{+v4ps0<$T2y%fT2|By}E0jTuI3fY`~ z44j8|zKsC3I6Xp$6as#_=zX-aWi_I`o-=M3Vj2FktffDhawms$u%GU~_&BoG;y4Zu z{7-jj^u`O$boVwvu%6x7xSqc=<)41qPdK5d6?%bCte;iCj|scpTfNK(>lGPsQQnZgV#KoY}pse-i zEiV0OP3vx_ibSQhOaZ#Ly8q0TQFR6H6u$u-2C`ZnJ#eDwo?B^RAw=Z*GU z__Ah&EAG72fnqMcdntv_a+sS*jCQ`3v43PRZnTq=On;KTxBXp>+os=1CtpLdZMhp; zNb{?!cmxnzdyU^A5C7}ScLT0o^RCMwDC}^0YnTd?jp&sH%TuZte;DvAbM%@VX3>C* zHW2ZgqrRH;3u-^sn|oN0T9Rdj_b}F5(TJe==(c~Hb~d*-KUIG78011LF;-zL)|o6KW_ED>gdhh4lFDbgnvm<9tQ69)*X2V5e+np1LehT@s+$;Vy###BG(ymMHeyLtVJ-xr z;_F=_Dv|iDgedv}qRLsgq&CoyXbA(6P3&%u;zZ#LxbR=8!pdmPQUnHLZ^L`ZVW29K zFq9lp`;?bQnKwel&Nh9@mZy}H*51tm^ zusJnYx8~n(qU~=IOXHQaGRSU}S%eHiz|NB5Y&p4h-MNGU8uiq6b?t<1nkJx-uZL(B zo{cjIBZU_RRh?pHkO!uCQH-y+`mX`Cj}0i*H*7(AtvGoH7K=O;VsUjzG-A|X#gxLR zrT{9JV&Gt&y1=zcuyQ+Dq^TBjbl|QVM3yH6_FNA!941sz!6^t`NrW-$iu=VTeF~_l zK`gvE?(=t*VKcq>iV-j+>;=hB0fQJlOS?4i@*vr`r;ax9Do&$5>$^V~^aUA7s)4n* z3s5@IkqgO`zh77CC(H2fFNwmeLwQBW3}EM0VPMIQlTDVymw){!$vMBIp@ii-mu;bny_j zRpnf6nU+$P32n&WBdij>39dPGTdtP32~V?&9e$qmxm6Mo1@t?ZBj-&SbK2!3Ys9GvoqQVH2E2D{w*bxRYS# zoWjqqS^Rx-$vvpk1C)6$9aK#%$>l? zK&cTu_<}_pgSqKvKTXF7qn&CRbKn_Ce38bbcZlok?Z#<{O?*LhYya)=k0R2C4F9-m zxZ3GW#J<>j9W0^6(HG2n{W95ztrc&(I7kL{l{Fac_dxaH$-}14yohqUHnI!d46<=u zg+(N6adfwgB|d2=9|wmrW@T7Hr^Vcs$&t^2wd;y!T~ol$83&|D>n2RV%2zr!;n5&A z9(rgrIhi@eOdw1ptMVqnKi}Zt8@=uBqi6N4&LA@IN>q)msne{@%skX}RdPmPdU~|a ztFg>{Co>!=TDwq{dIv1I1z+!Hbh0p}`h~RTri2!qQ-9ZO0^RqV*C=w!anFi)MC!e` z1=qapeAf0pO85>8`ZTX$TvNivv^$J61~TgLOy57Jzhrp0?qer2NZsoCA5rGuBf0M} zO6-ugG4L}(H60$*yduaEf?=j%7h>aKDxyLtm&Q{Fa)cY#aQZuZ0e-?uWlz1*t+?^cH^i0kUP{AxS_dwo48y6nceD>)@U_Uagx=&*@+==I8#(Sz_|% zdVhYYum=#1CW8!5>-m1b9)50)*p-9+K5Lf@?%ntU&)9G@OmPooDO=pY)zvq45ZXPM zEo_szRBlV8-Wr#8PG>4ptC5qjGVq%Nt`YT&3MaMH^MiKhCBFAZfMG7@ouQcnB9r=Q zUP0djn~Ey_3=Cdap);7_?J9@zo1mf= z5sFntd7b8nhDHkAl)Xsf>Bv(@4YM3{w9xQ?%-m$`RC-?EY~5)P{*i`W>1^k?0Lxpk zTw6v1!i5&j6cMzkUNp>$;>+V4I`b4!b;!oWRHa2%U@3s6FEBX7tGGiY`3N&=@?46S za;V(EAoQTWlbc+LX5rW8#p%q!x!QbLX<+MFx!$Y?YO-fJhi`W_l>0W`9$725fN-#D z&dZQfSmNio_vM`A338@R-8IIN>Di=t4}tiD+1G8XzIXPDqh+sTq>l27>uBZo!teqzu zW$n$K!Z}{jnYKy?t4XmF_KC%6E7sR8DAevQzdzAI=?BnRKK~M=2CiDQc2A2wT&3^I z&iAL^)r)&!&V(W<=l;N##yOuQ@QBgPoNJ{LC`uc;xAHT=a9B`MA0N;!6rcFIsv{$9jUjYLjS9|dU2FVuArzN+ zzsH<%0lCtObUAPWaIGJY-j7#f-N?ap&PmQx+kDy-sAIjq*XHf)A8R$dx$OsJ(8=Q zj?GIjIj>OY(AvDl8q$~9Q?>FV_R zZ`_F5X3|2JBMHsv1h<)0KnHUHSHYCH5a;kCwvY_sTskB#X zG2QjQ!Amom)mg|+T`BcmzS<3W#UPCT5&6OYBl0`YcHR~IUcB^QizcBLR@Y&)S%%Cc z|K&47n_}1Z`7e@`)4{M+1Je$cQr5ZynU+&g%}*gk37UvnzMS%f0wIf+>`1BSQDMce z`$U#EleBF`lp=w@?Y@@txPpT$1}Q2fcj+F`kl&nRLv#z$-Q;foE~i|1aL%RsM|CxO z5~OT_h5mllXPg654pTVa9?0~UYdAp&5EsXKK?4tO_u+y*L`Zrq6sPMvlUDG=xBPTE*u@F;={p6H;a za%r;iwrBRN&AWi#9s9Rm47=pKs`d$wmV#t*+^HI9deOYrd(!NJud4LN*1nf>nm*68 zJyi}=Q$F$ULx9ohtX!1o@0#L1)+N5Gp|%2-+^TIsq$eJ%AR?gXckZ@?$q-EPw{A^G zsvl*dU)T1gV4t-1%8lul;9ncTiXgH<%kadK1;`1HP^17r_uYXv#0D&&{+DJ^Y4eoK z8*s^NvSNg=&xiun;ZP#j-RAKejG60kl#ZG0lH@1)(pg}32vGU4)?;AgbW?X9O9w#@ zvv}m)xhMRZ&3@rbE5;9;dT4ST_V*jx1a<&1Na0Re*3zKOk+pw9i!2J7-q_t&}vI7qxVWpSScFf(i%2BXyp(I zSSTPwuFj-rQ~8JNr-nk3?YHY6ZYzeFiQWS;nFltD2Agqs@N2M zcjw~95v;%9yI<~0XNP&9V??j3PCI_9pK4|=vlj};QC=FG+jpQb(01TeIzlCnIik28 zSR{I%U~kpxKp_(QL&{kMm8{QJ%sZnD&tC9auh@@QfLt!HlnOE@xjG?gS>G;fG5gSZ z;w@yM$VLp zpeZivnd@qPJL}h*Po}KiI=F1?buBc3tJh<4h)oa&BR)JSM_S7qSUo~xv-4*upegzv zMCy3zOTsLXs-^Z({tqK1B7uMUFwBXqugbUMT!P%JMBs&kCifOw7uQz$*a_S9m!=uP zV;I{V0z<@GB%(E1-wEoLwO=H=vsqIOj5-BRVb6klo+vP(rRP5wCx5cG0?G2zYvG6cA#_1Q^eJFn?N@GN~$dDG#`zc0`-lKgtjQPYVE65kYCyE>ry z#vToI5Br1n{d#izB0kLI=>VSP65$I>GWUTE69-(-Ulj?nzx7Zv)h$W02{ynp9e!P0 zXhZ3BYU;a1PMi9C(Q|`4eYX3(-h7>NctQRG_rJ(8!+{R?qVKxzz<%NR@&v~)*fE^dKb(g&Al5oA!0;+iR3u(eoTcxOtq z77SM*y+}*@_C1e76#5A>ZnTZeIyq{z#e}zNk+J+5JCn{u$=zsiHM$-$73(zZtlkK8 zHOUOSLqcU|aod*Pidkq$ra76K=%g8i9oHYavU>_g87;VA5$M8xe)Qgb&nKf#_I!&* z!KAVQ%!Nv`O2=FYRZK;~*|;ZgloRA8e2gd3y;fC+*LdNHhJ`4cA{4j~>|O3@ZsW}g zh`Q%su_lxxfsfxZ+0C;1w{ad*;klN(l1YY?da$vICZw}n9_n*CX|}rTdVX$|`e5^F zT$8154Frw~kvo?HUJI+RAZ^(+)x~M9r-L50Q3x2ILh+A`J{kKmZ0vI{84?=(Jc~G? zqJfp?vU_mk3gwXlIuJq)3gHrb%N<}>(cAhHdMppFbFvwA^=9U{z}f5dIA7{^bE*s7 zhV7@Zo$SQgqVTb4_qN~4*vhu6YT3aDOAn9GorKGR9L(kwtS}X5Ba(RP6!JlZN@N4P zy-^YBA0n6t0Y<*sQaT7u27N6Noj(^_kIt+fJgA$e#AHy6LD$z(BZJ<3D;EQ>(G8V3 zh&TnaN3#~$FjoYpO20__vXUtnsX=KhQTYhK2vn`qZvff=YR>Npv~snU)-?j8`rD8e z1PVLOZJ=PD>`eh|2$1^f|Kg^1-1IRN{H9MSZ+^$cwAh#^$p!XLi{Ho=zAV5F3q>*RQR&HOxv`q`YEtG+=(IGW^4 zrBs*1CvY0*nT$8iZHi%BiXVf=b(%LtdmfS~WoP8gbOlNCh*#aGr(!u2%LX)wgK+($ zc|F=Ml&=TC%jM;exMQav6_wrVjzJkDT`U1@W?2Qq&7t$<^!LRuM(AyZBw;O1Dg!86 z?%F6gGsh~|MJKwSKGH_Na|**ta@g}_7t5>D%@hNu)RgKllF3}6&QU3a&RU46^6})| znu1Xl{3ejk?%W?$-xso;wF`;AIHf`9nO!s%a^oV)+Q5Mpms?sdUVfC{~ZQpwA&B;weKFWE6}zfmT42V$ehZZgcWdCsZI6qjShZ*#wbRDyB1Y zxeYA+KRLq`)vT2l;4!11m?=KVCE<%y*s&R~kl34`ZRXm8!q%5N@H+GAlou$t>5K~! zysrjZDk3yhoeN4SwhdhCBM?iB80Ks`7Ii9?o?`rzEh@jWm%q0W+8;LYUz=RhjSTR; z7W96V99ip#cZBl0k`Cq{rMZ68i7)K4M}$$yA7h2EoV^K}3;a zg%V;#s4vO21(?r>d;*PA!eJ|^_9;xAjDpQEKoik{e;BeDWg$jDKiiZ>dn+O``g-!R z=Mtleo>1;0JuUzzTm4SS)gr8AOTbM0OscW7j)yx0JZn3rsHzN zv?(#V8SrxEOB~cQgdPSM>hpGP=4Zk8wd0ArwEQr>zto)Np#0M68VSnKBcf0^qxQ9R4+qqht#Mh`p~9&oLg3E5NMSLt8Q6Emhi$(T7_nzURole*p4 zBP=i5Z^3>?5?hy6#MMi-TEPN}7^++Y5bzs5=d1~?*lckfNUunWkAGAK5@4k-Edblp z{S`twig^KKX$b(bvZwh7a@T20cq3X8K@2tYkQhqw;99C#L6o87V&Xi>HVfrhaBv(+ zfJGKOt=i~#D~5R=*xZ8Le3Yb239wI3fiS3)qqzjVrr2Fk8{XYU*qQ<)z^>&)kwkgX zv+nimI*1({r|t%H9(OuseM**+b$n?==c?6-2;|hm!>|Nf|J#N0H~+9MYvmRd#TVZLA%PjbFfeQ(5^!Cj7kvZ1ziQ90q007e)_pn~ z@HN>0)pT4Oy+1+shK!nf!^h`>dW0X~P7Vl%D=T1nUTdbx0-s;H)8>nrO4^U073_v=25Q<}7s(#T@NreJl9a#l#yz3ZT$GgM*Np@;mCeUs>#i*s zuoi*lOmQqZQKx<(7wa-XE}Pm4C4+Lj^DECc2W5Q#Eq2chzUjG%na&F4mQ zWSunhRf7OtdYbwyI13I2S3qb;JX1*`^w=45aaaOmJn$U(DRgul@>|7Xqo;s>Rmu2y zOplaQQX!Tu*>ii`mmVJb3UKAd!G12}Z|MU0-^k0CXBw(jBe+Q=IQ;Mk?KO zOU?`^=#7OnXkkFV{jpn{$suhhO-$yxe$Wns%f9P* zU*~=mpwo-gX0G`rxeP#(41bjAZ#$xK3|2y*PmxOmSyI5ZP(@$}O`cWOA3~ivdPWwh z2=ycxl|UumYu2FyBU27=ha|5^T7Wq#p?;gQY$zQQ0B;p~<5Ek_=;Nn=*t4|px80Ga zbeDxUh79fsEjdeYanPVJ@1!d#1|P2$HH0>co{40^7D{ZvR4y6~V}pB7f8>|~6*(x( zj_wnifWz_6+6Z@9q1$^p)a3d9?6v;HD?Xz%lP3g+;G}7Jgxd1pgkDmB9G-wZaoZoKq>RQSO!%V7DuP7A`d( zp7os(FFRsa2G0CfU+1U{aY|?IQpI0VEBWt3CSe5vrZtf;8u3rCju-w0uBRNkYXDN} z^N@Sh1zE|rUKi%isufc+qCN~Yf;8k)$GrVSHtE-f@OfpEYvrnBx+R~N(TFtM=$&35LB@gbl zrQE|WY@@5HX5`cdN#|V?;6Sn)mvR}aF;V8hj@?zT<3(6qzvLvU<5#CH0B}0T*Mq2p zUa;Zp=Krx%GQgY1y;#7j^Z5|Ffa&VfadF*`72(TxcFu8-`8B@4{G1+vphoiFv7SNZRlU4Z3)V#TtU`oGupjI3BVI59nJ8rx1?gZIN-0t! zCdVXRXKb@(eOo%Uz(H*l0-59)Hqb9{o| zfZX5h%C`dv-hIfTmwmf_l~VWksr&e?!;Kz#9)8`7 z$g0c0{sReyKK+#x<<2JAT^y$1N_@54lRZC+UHqGGF702NiqAZYXwUf99#umVy#&H8 zo1R6gG6!94PELh0zLiSG&UUxqdO|{znf{!r`CA3jTL~sxrMu&?Vdvo;H4V%CSS@x% ze0!GdghGtT*y%2E3kl06KUYn9>^TXc{|KFZ)B^DVE>oP(H{mCNCR=Xm#}DtybxEzflGQ$>PH21ql1MG-DSi4i_U{ck&)U0 z(JrO{A1f+~@yS-+)NTx+&SluIK2*_W!!M~UK%`HpAtG81I_JRsaTnj`{j(ve!tzh! zP3B=klZaRX?6;xWuuXO62oDvk+lSb-WL|(5@(bsLLtlG1TaqwG!ly=%%g{-{b!!^!XCLt7>>Y`MaBpP4;BO}PO5eA zE+0}1J=qooI?kSuya6l`$~p8_E2x*iKSebL^-rI76&9A66X#ZH^<}V=8OaVFG2?l6 zh_>?y{T7Vh{;bb}+t>09>?ddi6eD>5#eNqUVzO}QcDJi6G@=ZGPp09p=JJ|Dr8Ol* z2kG)OsRWV@u#Cw<;&C(BttupSP2V5(d~=-XziUs9q7iwCdw9Nrl|w;^<#MvJMyuf_ zD2)mX-M6kL??RtUNQ&!`)3KkRYF7csiZv7k%1AOJIDuYMF_j=G2^P}-Hfl`v8Diio zYVS8Gi04V*C2;S&Ztj$qdyD%@pM4lC)G<@-5y;rZCu0{g^EK;@@o4};@8wpa+seQT zVO~IX!w)?!FQZ?`(JPz=9Nvg&JCHDaSlERS#J44Sgn4<`-@z_SOl-h!<;7^qjKnDC zf~uu+bFF7$$_?i1O%DhC_hS=;IrYv^&p7L=w1U-LV5GaD{R*lN&=v&iZ*Cc+K4^$j z1BC-fd;%;X1TYDZ*5SZ(J)>UlWG2xO=7IR{RFhEl1VzKb;iLc)EL@E2Eu_LlaAqC&`ZBKd5A zD7VRS#iygjrtz$}D`KbTuex8mssbu=rlH&woS4pC$zdrDp?aL!3>)(2SHf^%7;YDL zMNe#iIGFyb#|)@liE78v`ayD1*bE}`EUKHKcQb#DhyxXBH;nI{wZh1zIiya@YxOB> zEJ!#anpwr_F$IK%(-{;Sry-RMeCxcuQ-LP&RU@~}600iwAB9G$EoyGWHA^+(rpmUM zDV0`DtCkI~f32>XA>`X51Pda=OrcPR4_LmQ`+7!Hjjfk$JGQ9HH(&T)2IGG6*&!Bc zD@U%UbHb-9j94Sh78h!Z|Hv}HJNZk8xX!Dz{r(qcfoe~I7tI8PN~AE*jbR%E`h;Pj zAd2AW7~-HGa2L}*Hlxk?n20|-scMxc)R1tuSr>*{Xq1)LbVc@HForH^ZU_MH{ zL|O`K@a6=n=yoOv7w!D%FULYAo{~gf-Mz9I>t!kSaWwsIp%iQWQ``;2E;O+cUGG_& z?Z`3|#pO?nTjrn_bItPtaaX<(gam5M^NLly%j7BqB<_g5=ff$l&OSq+>a4d=2J)-Ck7Q;eJjY<{aApi?O=h~iqW#{rwcv(Xr zvVO#uLM|%z_{`UV#n8McJqLkuHLjgXIVCTbZ`DHcA@sFev>OE8%GIqtxo95Uuvh#m zI3qVd5%@n>=-pk~yZc;x@~^~${{*>uhvQq_3!E;;Lu%;+pyp>~0*}D*4Kc^WT_(>| z2LB8dv>2$gfwP#QWg~soEtO5D7^}2d5)dve`cvYkct&2JU0|3OyRk0@l2&dSn$Y`E z@o+3b@xE6;yIit(C#SBS(k;>Y5^yZs18eSVtmvr3ID@JJVtz2s-#T&VdNa%_!ZW6% zi0UBrLr`sz* z@2KaX)hKpVE=5|d1h$csh~5Qz`|?3nmut&PHiE8a zKkt$A;-Lkc(Y(d1#Yi497cwznuJQD}Bv3!Z8_%lWCK%(|-39UXE_n ziHla%j4;jAFM8en#b!yMnSC7RJDy8{#6Vxhq7Bp*8WKh}r)m5s@o7O=oE2&^Z$)li zukhFytw56jTK3-BhL9uE=k;W0qi`AYkn+p2(F+N3ZFdx#f7s{>X_tT8_>ju0d4GR< zb>o^}3}vjBw=LE?ZPRjTr)BMrd%wc}43xn|RjB)K32kTyEi5Qld#1=%nT-J<(bCIk zr`v?4qBqm^b(1-b7+JVE&uGA0BfMHJ0N}Fx?-}5yZSk^bQ4-oFsZ!;Ug)xVZ03L|! z3?GGJJ^kt1pvmhx44Lh2wgN1;hKnK%ucOut{&PsZOQ@@d$Hv7qMx?jD1uH%DW)=J^ zrDB#S}L~@8L#Dm zhVe=jQl_6umFb3`U_NINL^>p|`h%5^$2badnUP^&*v=b18rjIIOBQ>MS@neVPXc7i|1R%a#N}AF&j}C0TVwF;#m|@_$_V7j?-I7 zHYi9WrS;bSq6@-M5h${$?Z#aEt1fX4JH+VdW*HNKQwMEDQ`Rp+S{-XgD|vL#y2&WH zqo`+D2=W}^z|)O3{(VQrIP5_V-e2lJD}JV!hhxH$Xy?aD2U5|89cRa!v(trZRI|DU zIGnRF`@<~;0S-qz?CpCl>RstQ&pa<2rHU4CYeSDMr+-f+4iOJ;Mc5-NFIwG2-!~ZH zoD-@%)Imq~BmSURRpl9{{k6zlj-ENj2u$h58cCr2DayOzGsZEG77ls@>2(M`uhi93CBMjFJcbNpHQa~O;Au&ZUL=#>h?jb@ghb$xof;fiIdJgM;e17ba z=UN^InJ7OJ;Yx-rpDfLa2XKZ``f@IodirR|w2gqrj$jb%`2D;ZJjnD@Lg{MXa@ zct8HV{;k#-q?S!hklduR1t3h$=pukL?E_J1Q(nq$%-3KAosWVipU3p0xpYUZf_V$h zCmPEygTYrOm*vv}gFn6+dI>%VzKV{)h1B%^B&{D}|7Amo!ghQL*+=8Hx#)pzLr4-; zbJwT4wz-Kj+LKD0OZNgLb@@H}bEA&{fI^yu?VnhFN^sbaljo0YaYkWhx?$P{>47ny zT_`*u1(3XLSXWmEjiyQm?CB7>hZdw$iTc)ltXxFPEjR6mQDy#!TG1?nuzlze(bY(!08?3Oc0 zB+ac9;?1^igoXrtIm^SMQY&^IQS|^(O#Tr_+A3TT!5n1^Q@{fSAo&r7{w!TGV=^Pq z-3w*tzqqG6i!yXsK=8ff=DqOZm-O)yX_i4LyN1>gp%H1gHer94ju8GgoCP8US+he; zR#dBKLexDHa5=}tmH31R4tz-?GK0%zv0hD|@q}nj96e2p+`BW21SC=i#7bp2yWr_h z<3W#6LX-d@e>hG3-?Kt(-we@Cac~~@O{Z}M)|fi&yd7_kk0_yHTS-I|Xbhe$$iW66 zx;;aD^7BP>Xgd4t2^g4hWr~Pjz|bK+kK;KCTAex`*vnW|G}&m?kX}hNgWUdSR2*s# z9Pev3Qg;+S^j$#5Efa;TtF;t#&hUU9oD?;i`s*%>d#V)oGFz=i?u6&@eTyDB*VnF}~M|9m*^&+5Bwm zx_{Va3$>drEg3rX`zK!XQPAs;JSO?9<#hgOF=M`Ni1U(_9HQ%cJ6u}oY6mpA`SI?2 zf%lw9^F0nUlKM>hHwuSoqKYg5j7x(LS(HFYM>Zfsofv9~$Eb-)d!qbAran@9qXsZV zj}eSZ(wrBY${I?-`$WxS1TQ%%AluO4w%g>`K`AK@x*M$sz-Jm0SEx3NF`*B?QkQgJF1=%_YtMReYVSyU2Uj?W*a`9w@#%j;&}QRSo#N|= zoSyZIQUoUXtaDPi);Pq$VEz&gWszKTDu8iAm1T*} z!WkYhuw%LictBW?Yq%#RMP>Dv*`qr>sbp;^U@N^Dg6dj5q{LRf*vv0928mN-^Z-`n zf8t9qoa&Ra?09j>aI#F>dg%`GZ1TRIc)umlX%6ikfUdS%d&7IJuWooo1O%MGsY|X+ z1<=oB!ejx5V)**VX4EsQGw`g{dz$*U*P%JQ0Fh>9wC`B}B2snc5S)cFiXz$u2iPRzTz@=jcy>(7HwtVcQr+2X>#9i9_}F{mUdhosDRZ$O4d)kl*< z$Je7inl_!7Q2by zD(0ChN#xBf=aer9ISK)!-tJwLj#oa1sW zqif~Js%|&bd&d+pXT8JfKic_SlzEX<>VQv{lAQoQ5}Z0bM76>095wz^YSyVNb#63S zoJ>!V07WD%sy7r*engd z=rs==9fcS?obv7BeKuY&AisEW@_qEYFZ#LbeV_ddVyE30SRuW0nUh`qVh9u_ z>39zhptx>2TlsspIK-6Ni?qsRp`A{Z)?vsR_HMQ+?M86{kdREKvu6t7FR>f1f`T=< zJ4VgdB|;44r@m`bt7MSC4@{U2AgQ`%GCfAAlru@f@t&?KAsOt8D8<8SGiSL5Z)Mgu zv!c_sVr{78xoJ{1W;%->I(O2nJ7rPk8EH(;F8^6ya+*!>cmfgFTnI}WxU*J!ZXyN# z1ICT%`01HguruJVJ`9m*2ot|p+|y2n55Rby9BR>b6v8*Z}Cn;A1sW_+&utIz|y(^oi04z^TzM@)|qCFq##jE zGhC7hlJ(i8k>vloWfcpk$D@}7Kva^9j!wM8Qv4?hyZXA2ImE+ zSXW4pg03;5MSiDWC5;G<8W?AkAfRpX27%pH!6v!ssZU0FI=XWE2$Wu$jHOo@E& zw(R*+)`G$$j-Ek1cJQ>p+Y4$gkL{Y2ye|?(wzBr=(n`>2UP9oMO5(Wr5v&An*N<}V zd6EA6<%135b%o`UFt%u5exj)h39_c0jdhR5I*zIHy%__B`TN4uM&#MHRL^-U`N#(z}MX6U;%uxn!HsVi99){yL6^E`MO4ov9>B6o4`qeABs7 zj@1U}New}ersG}|h$KoOR1-_=L<*s$CX=#Nn}x1sH-G@}p$pr@AV?iLGay8La8nq$ zR}xt;FuP^F=a+qmxEHWj6+QGQ>s`Q8*yT7mh-dSqjO=#0b;mm88P$j6z? zSxCM!N$;7^&uM?wpjk~AeigCOJcZVrzV+54llQxPzk66{Z-qOG!MiQEQD{F_9QauC zd8_gi2}Yb>uu>0Isbv_fP+dJvkDOqfE$REiF_(^AcJA79R&C!qp~9`D>E`V2H5=}` z%E?{dwc#Qvh{6A&VRmh~9LMem3~+99Mmx>^FDdLp7W=JBxAPo|&w(7!`E_9H`S31i zSk|Y@@hRb3tM%=<&87c+_xHQAM5gK9C;PeLfh_G}JjT2=Y=nz~vau_m$#NA#GTT@) z^YvO{(-h8@>wA0EMCxmHP1zhE?i%IBfNdW5e95?tH@plejg+)sM}b8I zDVV)%hil=sLTw#XIu<}tR3n9O+3c-N@3<@+x$66_h7Ed(ZdS=z0VDM@1Uqz>%5Ky;lC9t0JNre&%wrFqFUGtTsmO6!BSisg zJ}B6Q)t<*h>FottC@@w2g9Nsx`XyM|m~sr`FN`=J5iDPxSNKi8PvNK-XYN*yvhl2f zVbRUACk^Ii4u_TLL|o&};byh7c5c&7(M|W{Yqd2omZK0&vnQ1IG=gxqB=ypk_pg9A zdc+~;UL}Uombr3fO>LcEwoiL&YAOcX0pv3Qsj$DGv?7&ZUt3jEH5wby(cJ~1@9Wa4 zKcJ)6*()92&8cCTqH9W8G|D~E;I)|@S`9oYhZDbeoN0jV4|o^+pDezABvAG_c=Re7 zX3uadpDX$&LLr0+wSd6S)UN*m6+>c#h0W*>{LQj5cRLJJ4DmFl>_dv6q*4F%5i-_S z%e9NY;2B5GQD^_--TKs86-5m_IiT?>F|s0mY6yYizIuU(YbUX?yQEqU1j<%ne*~YGx5Qc+|TBiB8iXdH;y54`VoPxj;EWAC%_F9pz_-*114Y018RO zgES27D#bGc5EJ!+zT+t-_i&E_Y!2xbj@a+QOW6nK`_}%M-+g_}=EoJZz0Pa&gxIzM zAYr3%B47ekOK@kiM86kO2_=KLO1UDY$SjaWUebx@FM@Y-dfzSjb!^83n^gkQAh`D_N#%Ui-rzU5ko zWbEuy3RMOpoFjPWrstRSJuVsBcSa}YElvY*pT~~m8^bCgq?_qDKGn(Y?A`DESh#V# zFG!qt(5~rHm{QzqibE)5iAGx$!ZOY)YvY0}yvAQlx6zNrsqOjhpk_6Z3NsvDE&|=_ zEYIEJ5Dt~{wd&w#CGsRJQ6iRss{-{9Z`uoA0EFzx?_2V?MfGTstrw3IMcrya?%~(P zK^`gx3ifi=VaQVaV%1mF!AZL6KMY$5I&2)=3cT1HlQvB>-nDPWTE!t3#tKF?Oe7H2 z@z4=mQMf==6{tGrlR7`eBnme7k303NX^5v=D$5=B+)*KpXUlcVt6In{b-K1|(Pne` zQleZ*$g|C>%Emh_P8MPf^3m9>@3W6}%YbN$>bo^^l$D5Zm*z z0^z$)uKEmjm-Ix01(Y-`use{um^bj|bgfkE?zD%sp!7Eg`o`N3-?)=A${_K)$ab~% zL;x#Q_X+lK^_QC;2fo?We%Ei~(oO;Nf=@|!GTr?P|CR+eDt~->k>%MrRH!HN;-I^6 zfPl+ypO$RI=L!_P5{@`oe_}^!rjR`7FGdHKyj6uLeRI=~xoXEYkR96!r~u-8;*h(} zE*GEf=S|}xA!*B4P29?UqYLkFsx>dX`ioH}TNdRT$uo`uG?C4okHmyEZCvGaGo-%A zeMi?x%od>60+g&arH%ip%$tikDJ_uBGBj}C(n5CfbrThmU-rCpY zggtD?ZK;Sc$An;r*ejVG=D^09i^F)M$NdOoxPeRdw<+AC=!JHW9l;d7yeX9GE?Q$9 z5Xu&N{lb9{o7{?~1nnb17Ev^Qyw!0y+@s6{M}6yAylP%-3Q=`O)=Y;xP~T`rV3rg? zY;${QewPHDwdj(+P8(VEm|KUjGFLP5QKhnp>ZWC4&N=@pM>+lffV361%)?pDr#{{p zZx#{jAM5EXNG?XG2@U?k47=~X&cE>f+;9VxLSq7@rVcAF*YmSE*goFX>U|0An+gre zY}v4KRc9EE;fn2kcta3Ynqc$ycR-uDljZ zIT}nh>~HDtE&S!>h4BewvrRb@Na052c`NQ$pt}S~^6>&H&{X0thdcnJQ8Ip9Mo#jt z^L<=;o$x7Axx0s&uIn3UnTNQ2LER)s+~?fYIyL+o6>Ios_gd2SE&mh1Z1-GFxXoue z+|d#8Iq4hrHZ{gZxSypoXsIs6mSw>IOoIbj*!W8i9{*(r$s*9^&q>7vcH(MKKi=xb zi>-rrRr%q}E9mliqZcc(3HtN;9~@!Tz@|ViP-Ge0Gbn@vOIORw7TE-zsfm{23wTY{ z%-JN>N&KGp_?I7ZuYi^s-3T42IFkq=JhWugzhp)%k*H;x7+x}04kd=Er%;FqCh15n zljsL}!)3!5So2N^dc!o17_?3TCd8>$$Q{Bux#=|LtNEcKf8KVwp##MDx4Ge7@Y)+B zyj>dBm(HhoNZk<%;xaiKB5n6J!+)Pk|2ZTBybw~Fsfh$LH-&*(y`R{-0F$Hcs(?Ql9^!;*GSF?-=U{8 zK>9(-X{88};iXbSEk4*AivT9$xaBa0`tmlvGN-))>a|p>^76uI2z1@a5IJFC1(LXs_oRxb z8`A<>cZ9eCnpJ63yLM%d|8b+1z;!%7UtlWHR^2<324WmILmI{11o|pKvVhmKHMcNK zu~X+UoOHO+z}3>B33<_EvrfN5B3KrXTPN;@bNSraf||Ft?Bc?S#m#Ge>leJR*~#p+ z@3{u8&-}UN*1KKAS(1n`biuINWtpZJ783)(408#|M#RL!ik%=ft~O;e zR3JDk+CpTT2w7Mh-I{b(drwG5jQN}z+@CD6#@#{f8}o>1Bg(R{Uu^;?k`&`2Xq7{= zW_XkeWmM_dLgWL6?0i9Bul!`~JsfCqwj`)fb&20dg4qh;bbOQ^P)Mhsku;y3gS*as znF#^V)gcpcBC~KFe#j>}(t0xf+zmBYNoi!IwYj8O5j(#XJwZ-~`cvgPc{083+`Z(X zbP%mscRp)$5|ol+PoiPj^6@8C(w(m)-SYsg4`HWL+y4?5m=jmhg+&@(AH%hWgw&CH z&7o(?P`Q!l&&vK$y~-F@el=|Wg0WYnrhWs@b#%8D^yDg81m(N_XS?4WxbF_L+J^9i zQ2ml3R7N^2ucPyDK&?_7&$ZOW638en*kYss_%KynEA+h^TmPI}EbVpqr%t?E9|$8~ zU^~t~0t3?JM7lArB+z+endT$FjzY9zF4q1ATh=!pfmMW6S>>TDfGR8oUWE>n`Lu@&1FdYOAbILjDesD*=-GvG;bg zSz7w!DXaO~r9fmdG7p(pXv$J9xAV)&H$8_0?E`f}#ZqD{#i`Bzv*-!^ZZCwuA+Fel zE?Uzm+A_{1uDFUU`gP*>NKEgYDz1pNkhv}oNY^10Yhz3avY~>N^yG3X7J4NrO_a9!Pq>!RQgX;h^xva&fCH zeuRq2C~Z)|`Z6?^BrkI0B2b6`$#+sWCtStl63}&m_vCd{W`*-tKu>Y4HbnE=8e;@lYrlH?^s ztWpqYN0cFmSb-!fL5|7g12Hs4g&-(&i-#|irI)Oz1J?-vfg-gOE0uFIsTOJxdBfKQ zAgPcNgnX<5+OC(*)(ENp^;=f=!l)M4K*&xUp=?gFe!= zIle87zX&|qJ61>VP@w7)j*pG4T-E5ZyvIoZ2M8&pr?#HpagsMU1N(j(O^cdVdeX%V zEyQG`imQlMGN!G~6;37IXG{Lfo^z%6)*wrm7oTG#l%imih`((B6*ccvY&_#&Z0i{` z|7K2TmpB-@@&=D}KWq3uhXsrI2dznui9nW9cQnOmT=k&C>BQYCWn30dw2KL_$8(8} zN@PJ6PqOuP+AbfbjbhF3WZ^pG#%#7Velyz2aNr2C1rZfMvNR0gIsEEls)8)@G z(09LcxncQYQI;hsNh7j^rNnvo<{{dFgL;6ZyH2>wk<8IR{Fcrdb%tE$65}480H^~9bsrvyT7!(FNNyk<1X!2j zWrtiHZw9_NNaK_ql3tP|tq!Mle#eq$+FZh(?pQr4-myJ!_A2T2d1hbzY6! z*^K!{S&H&vlnVYWsN{U{rV;YS76^74Q9v$iKsW<)#%!j>4jFlb*2i5VeH?F_v*PEj&kch+_nI5{u!R!R)e`zVAMVs2Isi= z=H9)&9HWR{&e>ksE_5;}aI>4HwNl$IG?V7JBmenl_c0fl3{B-kd#toMVv(AY=?jo1 z1O(<4fGRJe9pDg)L|($(Zoo1b_LU0CI9A*zwvv%-k;+UDv}i~E%$<3>bRn-qS6^z1 zM4ow^?kIVU`iWJf>8i%_`s|`r&M--t57g(!A;a;lIzc*rkl~n7>`<jke3$A6A zHy3->B2<&eMXfP0T*{vBK%oSc4bEswLkyPysg*=yUrQDMS6aDs9EDb)7vN2y_n6Ksnc2@y_zo{-(esYJ8Ch|HLu@!_%rG zevxPqk^D)z_XR=M_)5iP2J=#TB?JGkOTudEHE)#UoATeO`7ZOzud2-mvzZxrRo8Dr zwii1hDr8PGA{rHr7pqH;Di<_dMnU{FaAo6%+3QR%?r@ z2^Y{CYSc#-vpkcHy&Uj}L%lHLVlAScH$mTo@`t1a(bv@)Ww;QBCD3t_oIE`SeS@}gyjZRD?B8tT}2*-%%p zFJU>^S}gFQIm?HiCR=}enN(NUQz!{3kNl?MM9#I%c4&hcDB3Psy(?gI=TBKZF-&i!={Gr2`b;r*dYOUj2mre&cZgXi`YA!s zmQOaSp;_8=*rH|rdk5djKBsAuFqZ@rX%#>1&kzUm)n`BZ%=?DUd y6VfizJd8h z6q+;eGdGB_nBT6RVOfbm+pZza45Y}ne!dtdFBBD*#(G-@EB<<>8P?XU1|QoY#a&Y#ag-JQRxV$Hw||l>zio()n{LX$Ohoi$hilH zN!2lQ!(cN-J7(&cC*RH2{oLPth0o^A2{9a&6*n@x4q0T4rUUSPE^cPHk|wi519c%L zqg&>xcpU{UNs^^&p&AayIzaNeqZi9D2~Oo4ia-lE;xY@9q<0dyBtiz}%>oe&f$&x) zQwv@c)08vU5ww$TY1c~Rm;~lm5S#T?%wy3DHo9Jj<`hd2-OW*hs=9C^fTE(tagQhI zdXz|=u+B*`LzXnjb^OV3=0c?7Er{iq@^n8n+I(sbv^Mwsj|O!z`mXH^^>8TvlbiP? z{L?mQmFdeG^sDYK^fJ_(t*Fn0gget9VO90FTI`B$hE7cYn+1tuBQ5S{7Oa~9^)9Z@ ztdj^j4OA13vJ`9~fYn2rVr{lyQC!rpxRsf+w(ydPKr6Z`BdbmYO~6axS?{q{_98^5 zNyo?F8k)h}b9c>l6y(MyAtpqIq$=K`h^Q_VauH-=;)k=)q{n1!B~jTWltAmknF>HT z1=Q~{N}|!S&(vb9LPvc);ilo{LRLRZAYVFE1Jh-*o3G3|)c672e9)0}jY%*C{R2IjfV;{xPNLJJ}o~nk`o(fPO5KH6I<51HuS&1(ilJ?jqps}07d=l%hWV}zE8kIzM(T(#lnl)C=B zzFRf%>Y#J3!3QH#GM2`fUxMxH%j4Y1)?(6t-*Ujh`6JOmoGhOcTP7n3c#40$9@Co$ zBkyQ{dOh!*p9&LqPi8n9Y&SB*1-FWsYib|Dk%0V-PnD{4c}0LJa11-*Ml1|1iNaHO z=n9=jB?)gi%yTfU8ppt293Ku( z$#zY6JbD{%r06B_cBkkr5yu!eBTO-F0yUMh7^)DM7F>}OAVDHi+^!=!WEql)0tb*( zX^{;Z5crpP6D~wPUHXfbInBO1{7s=QEWNJ#!QE@kSu{*ZAtCZI?b`k;8pcPNx`C;2 zcj7P{9fMT+U(TNcu6re^@W$x@)>)Xs%R($!bnpLn zxE#pt=AYMIhAAC(0NrEbm>K8wf>}P{s+<*%XH1+(q6+mcs!E!S#A?fL-?e+Da^n;= z@xIJb8I@#!4L5cpC_^NWLFT{?F7IgR_jJnqc$~Ikr6x0SV zu-;k(#^^>6kS%dfaKscrEkN^^^}Z?*xR+kv3l$iVvoL%ZiR3^km@Jm$7RLbW?O9S% zJSiTipa9FwD&|^2@XotZhNdtmx9wUELH-$Vga+%!(_(-niQtXt8Xs&noQ|^bMNy8R zngoa3uHX zc{%g$`RW7gC5hiG48I{>=b8{?kbeH57gI5%7`dy9RSK=|VlA>VJI3gfbrq3CG^ zpC0H&uMYU*)4kRQM#cmU>e_|(;!knbAAigxu+UgC2#Wp969!z(eqBH(i*0=e0o1f! z2VIc*^FeBQOKz5P_V-U+E(G-qzSPGdp~Ucn@BgXI?^U3)1OZGi7#*}qG5#`6@5>a^`%zJqHSqbAgS-5NtH1>j@9|g%}PtlLcKwrkj*4MBr?6g&m<)}$WPw)`6 zeu-uGs$vzQ!&tUl>7+1~i>c6whttqQG=Y#L9psS*DdL)j!lE>d;3<5kT>5pvy%%0? zi@iX>i7R%Se-mP!@r?=LdIIaQb@EOp?h(D%>$HxAceq8XOxP1=nFTRp%?mE>3 zOk>yOEvY>eKHUAh(7z?L!pc|;ZvgH$CU+VoqQGR7G$GP#0~l%tK7+7E0wpFn4`!G;(FCmB+i2 z6JU%Yf@IP^?lQ6o9L`fP*Pg&yN%!s3!;cvvrj1PtE!(+QS9zINyQpX}WkE%%?t#@$ zS#Gs#?gImjwk;V^VaW?Z;f&&>_=@%~rkqAAYBDU$$#zJ0jlbeiws3x#hTVlTFiKI~ zO&)26VZJTX-nWGgsuq1&1yk1CuT^=Y2Cy~`FYcS?#0Ve;j-G$c;%I`{}$3wxUp zMLUm&g}WODdc`(StPWQD7qW}q`rX?5>Zj|SMeS>vlzzbe2a-&u(I`fkF>46Z6%Ix< z3bOElcycTOYLhKO=_YWAhAiBOQ|W@ReUr4O5nAaki-1fFnJ&0e#87)0vG9PsACU)- zla*t+MojCs9l00sc@xi&ww}SU(^_!H3t2{&iZc#5ncQMYLjFHzUX0}h9~9GQO0XB@ zsDs%=23V;cJ{xk?FwhJOfm*q99)vZ-YG}DU0i5=uqE+qsDs?=4%^IG}V?BqVWxg=$GY#%H zK=Wi%r9(9-$kJZmDR-aq{d=N-)`6L0qk1{Lq=4SxVKL8d3n!E zASx|nzKS)Y>$cL0DvkLn!;rVVFkar;IV$&<%$~XzLr;88i@5@hC%XR6dcRPPvNA_F zmODo%ONC=7v9baLs~QDdxE3(yHyN9P>C3=CQ57U=<240hS7YFSM4%WT*D_tb&BvW{ z#vR2QIv7OgSo$v-YCyR=NgEYu&xB97Tocx*bfW1Q^=q=`Mge? zvdaqQTnDefrIqIojD;?I{uAf!vm2lLeyeg6AuVp26mh{@_0NrK9OWE~fgUSmd<2>s z(5O*4fOHs--~EWL0s=C!NG>VqtIbe0G*rH6AaFh-7DF)mxV4)gU~{4Y6x~=_AHv@Y zEYP_|)PNsM_d*(P> z@MoSpl&GizlX5$FS|w_I<`i{~Exc&NmcxaACA-ro&%Tj=LGIlmRpl!$iWnTs(nV#GK;*!PKWiHQYB8O3_IEtnJLs<0l!uXN%V|3qmA zA4QH?7qqSMBW6lw2@e+endzggO1YF#oNaeGhf@E_iLM)Ab8Wr_vD+q%*DqsJ$0s&L zIz94AW#&=b{N!DoC*wil!V5+l@u;!QUm-9-ToR)pV5ti08OxY67*|*LjR}63E#5K0 zlV}n-XZzC1Bzijb{Y>;xWx6>7H}b@R;de6meI~xs$pJ2aMaF0#zHfkOrk3R6Yygny z-@if`f2HUYef5D`fmLr%PA@~rh#sBbbMV@TitK?#T8=>MCDTc&1|S%(f1x}&h9?NU z=K{slT&EVDz+25g&8ive-w`A9I}Ys(O`)tY3RN+S*%)ej1cVd&r8TvFTzTZDQq+|B z-{~nQ<`26RzzLghn!z6f#LwO`1$TImB8my&F3rao#TQEdmE|#Y{~1tbCnW!eJ4iqa zT~-K{ZUZwaS+eXncxjHF##xYUXtUB=EFyqDabldf%M$rfyIDQKA#gdsanM`H$&@LX zPYwSm;4xeQeE%)On|iacHvg?lAkIiMQg3PoXD~moryLCO#LJ&o@b80{t^>wcqHeT9 zk}dS!kOVS@Ce{AoX6WSd^z_MM$Rli}O+WM_W)o^W+cX?xC%Mcs0d92OJ?i_4M$}PT z`8FIy>vQUR&L-3kS7{yO3ch;S3l)8tss8X%y0LQI%5_vy zOCZ@oQ%MzWRZ1Qa5bv3*qpOZ`Ks0az^<8ZvoFpEWa9t7m?k)>|{wHto}_ADo2{tCn1#dzbwMB>YL&$P-#Q7UklRD38Z0l8cPeC)ixG6{DX*#|Q1Z|<` z2Ll$+M2v=B95NZGXEUa_TS5Sv4S53AS&q21O1%Kc*v|{*A7vZH z9gkGyYsE!C77o{B*~KnXm??u^3~Usb&rzyE5}s>SryD;(4NX*tj7P!Luo%c4SWO1G z7?sH}qEGAbalDEKK14Elt#?_ixRGln@H##W$T*HiQ5|X}L9yB!&p|*IF~T;saDR1n ztLEnv!6}2ZSakMH@F~3idc<}3h1rj~7~aT?XVpIA9yAA>UNn4sm89feu)nsBY>a9i zW>+$!uED%R^qNi4JDMqxTGrJlNyo+N48G*oK5sPWof$^m*ndGV4fxlyghCPC7oI_H zUF&e|?9Q~I0JKqgC-t2PZ$Bprc6K(dLpaYv-saYO_``4c={GNzwppKSl(yB7VS&>AKh(W*xkAYAR|h47g?gT=$Rz}&3HhcU{Tlx zAf2w`R5xjwN-LsGoDns8DoiBl0hjDb z2SpbHubQkGYK71(*~WpQgKw!gkq9e{RUQWl0;T$!{u2piWWSM*18o2bRX1FSc_xWT z1v(B?$(!`EZOzqcTQ#&JH=_DfnI^7X9z%9hM1!oy(}(NpCpgjL78=)ok%g)Y-lTvn z>TwAQf?BJ|^yr~bkQF9KG5Jq2Jqp`Hg`0#_;e@5)WRC@S3zQVG)N%PH02|7Y*rgLX@^y08{UWH1*%6r^G}u@o>} zAHMfXssK$7GQtqxbjJb<=g>3V4TqWj`lP!v%%s4Gi0J&G87m=bKqfUJf+mtet`&q3 zNf4OC#1TR=sX#&oWGF}~7Zk#n-)~)>wVr41Z}0tn=l#CEu!m~SyT5nsXYY4k*5$W; zYpu}Z(zGh+@$_;_3kURv@CrNTsM6*Hy-Qu~kNOB=@Dix?AODA+@!Bs5wXWPW=OP$W zE%B{4=#PW9JX3n*&Ua|km=fHwgvEH8V9=<~*10EW)VNp8ISL)h&#};`Q7zn3z2&`e zB6HBFccTCEua-Qf2?mQA)~x;2qSpOFvVW?0x!_w1*pQbLweFJEZxQarZi$Zb^(C+P z*1@6%5sWIhTC=`8&Y~uRypkMM!SpR%{l@3oaaNVsqj`15hhjVPnE5|lvfpdg^XTI` zhmP(0#Px>(gSm<8Y3&5X14w#T&@b1!$o`Ag*S83Y7FTx{iWWo`==f^@3cB7+saktM zN0#sNpkM#z-~GAY_2Fgmt$IJMYKxmxXkV~)tuM)!*#!q3y<9PRK*oG0q-z zG;Zi|j5DABhN>c=m5EAzgAU-1*_vSpSJ0xsYN}~B(8(xW<SjE4=X@} zqg$W!_(kv>;~s&&#*8ytBrO{n-KarQC2w%GZZzFB)I>BzI4bGfGd7L2zxa3${|l$R z>I!G;@21PS&5GdVa_3#)`ue=}S*rM(*{n83@NH<-W^aa zKd}WYX14OJj#y!T+ECXu&bNv+j-2Y6elP^8SVOkMNHq`;LLW%G&AN+CA_4+hvm1vD zIrYbzLru%KI^#5LzT#tr|Lpja?|5%&iXev84OnqpZ(uHz=zI{7dP**n2Z4zP3u4H) zJ*bRm{cv$T%wflfZDj-Xm0b463Oyy4Iaa{bc%m?XuR-{2-Sr$R?C@ZN3iH#E$F>2PS6Zx5Xdq1pC^hWY*sgocxS1-1I;PVG2iXwHMjc&Boa^yK;jH$Q~` z8$L+iCrWjzN^vXYVza7rPVJl)ZVsk)05PW59>FlK?jaz?W)pY^!1L1)M^llfIv5k; zL0UXVv__V1jU#jR|NP&5?8Ck`3Qd&?*mkiy9?_MK(Zg=Ei`{XL&;^31lWbXtlH4&$ zC3M+8BP23DZTS%@~4!3ELnU+^Dvv9sKD2ui?af(HzY0QiIzN4nUdI~;QQ2yjqs zX%!T)q6BQV;_k>42;i(8YDg3w3_%Il{2aF%EinQ7B{L>+lRr)F_(?7>LRXeV)q`S_ zv7{z7EHYuGg-;Spl2q)riGh1)b!3v(b2~{2G~qvJwdC9_thqWG{~<}{+XDiG z{UQwVRj@TlNGP0_(5nQzc>v(Y}er~tA$k0dD}wXKQbR^B08c>J$E z`n8RP>gT0Fd8;Up&@a}G@fo6byQtq)X21;w|Lv2Bzl|G>rh%>2%O}W7P}iJZZ}R!n ze7Bm<#|(ztv6rA^)v;I9c0OS~LJ6b8`7Sd?2+Xo-W&jYf!uVu6_HUT2dU*ol69n>f zJ77=zh@zeY&FN(U$HkrJFTeCnZz;te&)>)9lW%^@z0yBW%1Ea4gb-Ug?H0ARbPhDn z6KMY9Km7|o_4)`j(RK}Rr3ae2g|~LYsy^8I?Q*$SjMBcc#uqNQTdy(G2!cmYKa|fF zf;4z8xW@=!!7@QtHribX(%uz8tyW~S@}>DBAxLxQf|wCPR|swfJQ#(0Ly)S<1<|k` zt`e*r+SOX)x)7v}DuR0($SJNMH!7?Ta<(goWi^p07#UF7=4E})2 zz+(eAXCR)J6o14e;Q=nxYeH425<}wP0wB922`=z5U@MTQRgWM^(jRe2G*Sd{5l6?h zY$QkRd@+d{w@u0G4OmlMq_3k;nArHF(tXHr$iyd=M%vCkv~)nU)st^7_b>Ds`Ek=e zW5_QD4B4LQQ%(ES5O3P@tyyA-_pkogdw=x{BgDH3yYng)Op$GwzZE(JUYf*$7-V?!u`v$~g!tASWCV|SR{t6c<;erz59#e)8# zov|SmcDLqGKnk)f4*D-cav8fT(`!d**@)Y;SP+K9BHQ>iH7$FK2~0dVRBxA9k+D0> zv)A?jDCaq}cxg?`K7dszKM0q<{XsRU!~<(Y!P3q)|MZ$vf>};-HTS54>`=X{8?<3l zIQ}9urmK%Ws?b$C8oJlhGgZG&ftzSp{j2jZrbcbLm(}G^zuF&$!M|)j_&HR3e3~Lt zOAoUN=UzgmMSa3YYJ#|6W+0}!P4sR~58hsS&~)sG^=-}L9JQS%YWvi8ecT`az$x|i z*1jbGz>HJcY(PM{s9S2m;S5t+Mva0CnTMN!*NjqP3NEYT(OPxkJp<#=8KlIN5rULh zakG(WxOv5lQKHOV8H{~B0WyjV!aX~tvfdu^CB?;vLuVBE2;f?=Ve|%2;~9b#ii}`Z zYirRxz`T|uDYPF)X93Py`+)Y~XEVrRJFWCzOk1{rVe8~mF}(JnjfIeP)8#O5F?P)h z-j?B=%V1x@vrwkSRQA@KXfzm8{md6U`1~IYo}+eq@wA!senD1lNpuCuWU;m~Qtv{g zrLHarZ<)#jc-E1wHp7q*q{4GSF#k#TBLvhHq{4GS>(fkN$7j{Xid1+mxFb(h@;A%1 zWsgFT3a<*A%n1tKJ9=S(DLuGc(5Qd()BpX)Oql!NgBnNYKG^)l@wpE|p_nlDAwr3@ z6zAsPBu6o2tRkK#14<)6$Wcs8Roo($Xo8a}iYY@CPp4#rbz7wVU=K6*p{zKicjgp( zHbthkkcpw^hR*+m!JE5=ox5vTlv8OR3Jq{U*L!u%eR$2!{P5>}DAM0bdiV6VQQ&j0 z1!RKp*5#GLvTk$Lsdf?-giW)Q1XOV=<(o=i9T@%)c~}>I^fKT#f&BY@Umcr*FflG0|jz zY0~noKTluubNqnhS#H z9nh|rc~G4HErMW)+QimaQ4E1Ex!Yj&n&4{im+1#z$%8Ug+)u))c~Cw)w9CReNo}eM zGUoE-0E0PeLsexNIXa`lyh`Ea6X=tPzxAufT;tMALWAkTsP3dK)ar${K;n0~Pjp|f zB2JoJ27Q7lJ<(DCH6xfdmJZnAN3%;s(nn1X1*V!w58DnygiL(a19HIiRdY$f-PCkUa%N(_!M7^=OGhXLR1m zWLSi;?Be5jcuAxXR=lG1u`6^Q7=z^yFt@TjOHrPFn&>@XNd$B&R}yKQW;MJd(D~Ac z(k0KQW>p7U+cMoam2;GpBR_{Sj8Es1QSCnn&u3jb!}G6Tcz&uYYA?lzvhLHS-}L$) z{nd{h)u!4E1i4Oh2v=9sU&AKXx!tk92n3<~w74q-D{Z>wtV-rOL5xsWGz7CY-L6sl zCxU4A-DU@~HpRS1tEuZzKUtfijt^A_nwhj&lyT^+O}G1vsj2nG>f}PO(x%%L24qn` zZ&v21hhUxSghJD-=(d}Xt+eSLbpyKQZjFBMjo!_<&ca@0bIDpmPtuX1p;YZXbOuw_ zY89O-EU&q?t!oXjZ&wcG8lQ&Lql38qFTmW{6+NRX%49HlZ=LRJ+Uqi+zdG5=n^%wD z{O5l1BYz>dZ|b#nBK4?PS_>;Y2bgp=OC%Zei!=nj)h| z?rUGGx(zi&p|dadrb$Bs@)(JD?#j;ph0>e(Z>PoY5|gC(o1RP}p39(z)0Lh*us5aj zrY+y+6MpKaeaheX;BOmgR6AG!M5Sk>ZParPQ~>cxx?t(vgZL0V^62tJaSfH83l3J{ zQ0W!Hp&}eAy&^bNgF~g~f-qE9m&;J;6~U{kWtv8{i0N6KiZUg%)u`)gAes9Cp|7fr z2SxTta!PT5PE~sM9yr!`52zzGhH9OAS8;v)YeyX)+$#-I`LR2U+jnrkFH{!fx8Csd z9+Nh%6m6FWXoniwEIzrI{~O=*eWKKm7R0rs7j5_Jf=o(jMFK>mJMtnu&lfx7KE>{# zm!kRGwg`-PuEM(51L_tlj#}7mwOD$hFR;)`y#4NQ46K$8 z64X1Gul=d!N2}%K z$19#{eARub`A07?>ps;uwR1kzZ)$UnVca{TI>aTQ&iD@ca3K;`u!eG>({%MSt7AN`Uf*<7T`Pts$L+n9oAeL{Kn>bb3wnm zoX&c8fj1*B#}_wY{cD_WPEN1~aFzRnK)XXu4s39~IXSW3Uu>%61O%%6WjjuIv%1*i zUMTD1#isiG5UBU(cG@53n|rZrmKU2UIkBPkOFvk)V}D$*yqqK>!Z}*Y)rwrjCRzMD zcd>f$aI+vJe|l)$O^>ij-!R?*>8_n&!2#?xLt{p9mf z7xmQBZ+-F|7r3_gzhyXKMbPKXj>(k;`v%@}>C2~y0oidbpGHrU&M~gqwM@(9I-Hk# zXK+mJ{mZxEX!iS_y?+^9QglE6NmwL*#ofwR)m}VNDRhigpSyhS#pf>Xzgd2d{Iv3u zt}KaM;M{Qyj$-nheKNp<@lyu}!hf|P_I}TGoKXpu!lu5LiSR{HvNfCI70mzCVo1MX#&w}#S znqW)<;(Ol+%KL1BHX}m1dv_+{&_(idLhhrl33kaN#tBRE4_)OX16N7r?%rE4<0Sis zf}8GiA<^x2nmhDXQ`Xm3$F@~#R(A)zV}L`mV>t4f%?}bE*3a{>!njTO>03I;^-tGC zv|l7;5AtfRyWF^ZgD{AWjTs<`?4n{(b~t*_`3@cT3y}PHo`=0PyGfGOErMIDzBUl{ z&M&I&5oaUIJp#O6AAQu{)Ci?-=tOvvqntNg1kR;;pT+kqo~M7sZs+c;>Q3-5`(hpa zvJ**N;c=>T->3;aA;*{GNcN|ET%J;*zqyX~f1S1)E|j{Y$%X3BCB#QfJD>qu<#UaV zhihHfQ`^ZstP3mS@Y4CiC9GUH_@q!0;d9G&xKI)grA>LMi&ulI1%-P$zGQDo7*X+m z+|XwO`eiS?5K>oK@vz}ny9D77)XrAF6)v8Und9;ZRcG42Z*h&x9+!OwZSj+DPp(eo z1!g4msGF2$uT&6|hiaV@0-i^oXv~&q;U7?f*85%BFwk|Mtnm z-zb#~Z;JuViJdn9f;@?sIVG{9a0F*PDcYcqv!D}Y`uFtt-u8@Np+{ZgUpv!1ZFy?s zY)}~f{mQ2wUi*Vd8H#0U!@r2?&?k<$iX36&0OPn?am*3oMAS*P?s$Lrcgi4Gs*il= z99^X0PPlcAU=A+8umMRD3JIk|l6c80=Gfv8vyilqG7DCr#YVKIlpIYR?u0ZQ)n;B& zm7#@IG298kW&3lg;w1F;!vH}Es}9nUqFOWG9dH1&-{%3;)Am|c;UDY-=~oPBIjXNY z&ni4ek(FM}&AO=x8&%p=Y@+5=(_TSC(l0F|?;4Z;sus?1RIhR!Qr5b5Ujb35-}t1` zeT74MjEP-8TLoTxt9c3pRP9Ma2d7MdaO9w!0)bo@UcXz5uPOkvj*?vcQ$IVVG-4_G zRQYfJhyTN`e021$QJc{EwSz+%vR^wmdm;O^)^C`6_C?3R%YN8>4$KO8;_>X) z?siA(!vzLW>sw~O7PDY=BJFMiZUFRIIKU67rIP;YQBk2guxQ(F+C`X~n2J4_fbFTPe$h#%Zm4 z+#lx5Gi+g7-pHv2SA;q94BO1_J-MlYdkg+=<5e`9lu9f)i%5`vC=S3}KX%6YFc+)!hZ*1x!CgJ+~H#%vS#vt^SD;E=RGx6}SO-^`r_AqR~z^6>>FN&9m<_9rwFTXJnmv?@J}PPaw&q>jK=odm~h zi*C;rO}j-cvN%Ve=ZQf7z2E$bt6rMtLg-$RhGYmf9GMiB$=%wQ~ggx;R z!j1~7AgU6u2~xu8med~M*^-u7uR~P~Q^;XwA16EQ7R{FQW?Fjxy-)d(Uw$Gg7SyeA z%8Ex!dyLh9@j=-D8&rt_u&>OLx?l?b7S=911anlkLuVG)J*>#Nsm+Qxs@pF&;C4{3 zXQUx5sULc<@|l*@1yh_z14wHY=SZFCf^Zr*H=aesAdobIw4_B)YX%^Q%hawYmb6I1 z0El0Jk^vk25yuuR*ClPl6DI{?NJ?{X(UnDVy8>rfAiZZk$ETTcAvrVVgDD@LqwvviV%s>VH2+T&17?deV$@- zJ9VzY!B0+#)OBW?&BrN>G@w_M9>(#(vOlfn06tGH+dL~{kUB@$cd77@h^?V+=K zVcn##BHGWjo=ws~X!pFp>_%BpXAk6Go!yJ04gkQRm-~Htc`Ek;HrN|ma0W}hK9h}c zft&|ExZP9R*ddLoF}1=w+jv&0@WL3zCl#>5JKIoc*|>C$mTg&l@2BAZ#(I69DAfhL zGe>I<*H3dDZYErxrmQ=__XKlI1wYYTx3+-H{=fc-pZmw355Q6TLb)EZE>Xy7tyu7b zDTQbhr<8E}%EBZU+^vDqQ4p%cvScAhJ?4VZ!)}K$s`5gIAoW-gTru+CiYV9CH$Mca z$BH0Go>GR5l4+o$!rFzVQZ@C88>sFFAJuqN3Zg7j z=}ckFtE3khbDDZtB-Dy+QiBJBW{~O=LCq3BN81g+B<=n&W{H0E(W0dy5j(DgY zr8j5$ZZF$6?H09$W6t)SC)@YeKKv)1I%#;iHJ>wc^-zQ2XLZ}0L{_k94d?Pi+ryE{- zSWh*)Q=^S(%eO{@(Z=uinZNmqzZTI(KWZ|$dF)`qBcmp8^pQY-+r3|{Q3{sfgC`!( zsL4y%JQi*~dMOaF!S8Q7y=K$|1TmDq-JBK~D7xqlMMXd#!!=Cem zJ@0(*7v4HPHv{t+5qkjmJ1#8y?*N#R9Bm8e+(u)M?Q&_ubVD#>j_{+@AfcZ-QXVu& zAy{FK%Qagi%9u$@vV>s99FJ(KDDe?m5lQYOLx~x4MBi|BhUeT2%qYg8vtY&?5t$K6 zBoPw`VI!C^#~sj6TXAKr7vmgj%+be%AZsI(&3x<%b2Ri82VX4lyYg91ve;@DBjgb~ zSB!S`!OdgWT8BCH4u>M+^WMXD#wQhcDH1KIvViP-wxVPKU8_2dFX8X6K2xMwb zq30`!A{|gT2Z`e_QjXr?Sb!*S62}7PyG=j%CfX~V9{SizaH{d08v0CIzBL>Seg4kJ z-20U8j)bw213S!a%*=Jb#X74Qi?C&OAD77LQlaz=oTSpT-N@WxPhJwXM`o#QSK1|G z3+9?8W8=_NdcGpEAw*`#!`2SES24S{$2C(o6x2E6S&&LER$Nlw3fy7^PH|`|Jr_J+ zkg)t11ay+)$t#M|bIFI94XNtnHkjR^>&ke5?#PQP8nwCx^?ySh#wXRT{nH>FwDErd z-pr}u(=_1Cq>Akkz9|hhZTUV8_P77$pZTZn4Dd!#AlG1q3DI?6Lu$6ATuM{s497}k zfMKl0110wzew%n>wgyxkHJGos_jc6KH#TW81S_-}2(V^=;W8?dB?PI#d__#iA+-~S zUZSsDb7;D4E@(~`mFLdVwXq^KmGsmdsXc$dghG4ybi_1JW!VF+ z%r4vc)5NKRQF@Dkwa6ee?_e~+-x40Gy+x-g!x>AtJuGF~Eou$QjHR3hOZkO=>APR} zo(L=SX0%4RYE#{sr^+Zt3Rwp_Wb2(?XLd!kiD=8kpizznfj!-)vr)FW53sfSePhMy zc78UV9-$8hfi@;I8)e`g%=&;-yKpjEzfs;Jq!F&{9?r|4Q9dlTX1;Okx|QI`rk193 z$js_@mgIPlsaf*P0}O&_46la*{%`ZOZ2EWp?{#HjKdzK0s2)%FzjIvi1^_W9AuBf% zS4`8>9X8ekOHJMGuJDMZZp{FZk=-Z%E02C{RO}P?RoH$BC|bgW!v?(n`W$myQL5^8)tIA|`_px{P=~FvL1%#GBFTJAKTnioGD5^}-=Q@?E14rdT=;`VUwd$Y zD#?=-y{c=Ar4j?E=;H~RUPXGd+OEm{Ulr}A1nH?5QqCRh4;@od&dpclzoGN{K2c&g zmn~I)0az;lod9c@5n31Jp*B8tVBK3aeDJ2L_#Sq0kRa-D{wq{5R-Fq_n+FUbS|!MK zR3Qq0ADeo4N(&z1?Z{wlK~Z}n$}8_oP}FlsRpuX7<9%c*kk3A<=O4Z#odZl?ooGwv zkm@`k)w}<|=84mWU1&*6e;3$1>_Q4T^56vtseG2hW}&?ZI4nm~zp)bHgb)lj|y>5ge<5Di7)` zkdmT97c26<`ieI7jObW5J5N>af>88m=_29XN@nup73preAjaQf5|6|}m`mFnIw#!? zZ)4E3lwq`a#VYB3Tp+rWCAX;7Y?c&N?g!tkID=LWPG)xfyCt-A$w9V(gqB5eh;AUN zyhvii5m*+ym^unKe$l9cRvnkf0iw(FuaPFx?I0-Y_7n_HCHpwa^3A0y?Kmu{1K9=? z6B1fhK24F)WAqaSJdc)uy|%NPbZSchosR2GstN%}Zi|929y2e}4xI>)okM&5jaBX03+VXwC-u+MdYk&J&BR8R>TdyrQ3N9Q(Z@~rkPxX*}Wt1luESm|1O|s8Djz_N=1zb-`#vb)Ze5 zUW*l}eO)m6P(kmvho<&*K@|0A8>$1IO4X^p;%T}{6-(n#h8B4+-hgVd0=eC>1g?+# zUnCsxFyaaZ6;ty3NzEvD8Lzo=HPa4wS*rJ1@y2S0tQYX+*8QzEw@l^4`67gg9}M6L z=NFx(NA#-L=A@S-mI}QjT30joGU<|E`$KqFb+Udt9fY#oZ%SuPTfR?cz4txe^|n=z zoOGd9XKnWj_N;~C7-T}N^Yb9*@A-yjpM4~Z zr=~F+Zt*1SAn`ZniuXf&-b_YHvqjf3cseJ)b0&X~rc2}L=Qv2y73AEr)I%)YoXJNE zTPub*oupd~6WU3{XYDjE)<%8%aSvYQPHiE}L+1akGH_!uu^%NvhQscZo}Or=DH?;`2{lzHtA=`_En8lP`E>mm(+^nIExZdH+Sm^YQ)r z%6-vg+fLB&kVk0e4P1phP ztmc_scVnIvDnjU$5>VcZhG0=9$Nhj2Qi?tA5a#U5tKnDVh zT#?-?L;=N$RSdL35Tte9Y}vsZ?}DS6ipoc|)x8`AJ-7!#ZKIg(O~_@~Qr==Bt^UBf z>S5`wO5z{Smu{V|loVjKt94;1c@PoSo56yWi^GbY)xkyDVdRS@+WnIIjBSl%FSaWL zro4*)w>w3#nlLd*NjY5(=bx^fNH&F`1(v_H-_y9Vp+#kz70){Sc*+p&MkXcu!( zkO{q7WfOkH`T$+A0lA8kYmOIdcnZF`#YN?Y?UP?K9h zi6vd~xZT)%090lu4?B)6v7}3)n2AJe{BAV6VC0p5j+jiB-0oyeytx=EpmASXlMbIt z?$#jq(F`BaWk(IROHMLxDL}ibYi1I8b;WQ{rTpI;7XXmwzL}>{+XQ?Fy{%<)p_wJZ zJiXS)9^KG-yH_lakfm}c$~`M-#&8VMF`myu4cLdY?6xrv#HE}v2btuc0luneIQ z;s&`D0(A(@?Qmt*Lh?`hBdo0YoI;@9pWCs&)D)ymfoF?ngsTPZcx#?f6>IiKu{72G zhK@L1tyO2Rxp>|Cf9Pk!A}I>ja(JSl(BfeEwt(v@{CdUi#MF66(5bc$L4huuNQ1nl zzV-oNbGWrq zu6(|HG}7^LzE!gdK&^vW!=}4~U=V3JQA$P4;9?;~ceB$TKNdV4^+LM=n9m{r zbgGOMb5|Q|H3p@kSc?`SJK5R8KkV0tk{DN^A5sfPW(Pkessfj6!=>!s$3#`|K{VGE z=UBP-WuhvgE={0?VCCH-N)eNc78WvCA94MKv`ro?X7O;9)LJ%ph@J+R4UUBi=y3TN_iaat&n(Y1Ho(C6Ag zOiRs)AO^M=vl5a|KT~x0iYM4SIw z#n+C&YKTaVify5(f)eNACC_>T;e+9C8g!DdR3NKbt2?Z=J{V~)1hdu%SPh&RWx|AD zRvH1TVYp>`jFD|P1X#9F$?6y7`x9UpOhpS< zN#J0Icodz63@k%f+L6q62suCp%N7EBR!7gbD8MoRx`VJ^?D zS?2=T0%13JlwgNcW#oXqY9-C>P}0!ZK-SX!Xjf5@Ekvp31M>Mw`->V+`vbYFLeQ3- zBHOV)k+w`W0eRyjq)m!0V>!J%9>TuvF~QmV)x1ksF4bfyA+F-?SADMZP#q##Lj)F{%Bl@Y@K!V@|qcf5lt;aC_?Kmne-yvDS~GjE zaI4{g3o5X&Zkld-o_M@UIKtaPMv{^{X3y)Ri%Bb2{($q7quYprIQngRTjqZ`!#KNK z>L+0`K!23pLq&F?a_`)+;&uh>Z-4S~9_##A9KZ+r{#Mel07X;Yz+(JF-9knUjzRKb z#H-3~7oXhP3##2FWH@itZ2~7Zb7bi@oswV#<+m!|fg47R8h+*oM_3ZJ$?cNMliaSP zutA%})n!Q=8o3IKw%$ZC7%#%B2ncxNqu=y{uOEeXhbG9Ts|Pj=$P>hKPDXY^#C;I?U#rb^Gk;mOrSRu&>VUvGSEXwCSf%RO|* zT@QD=`gf+zLLXO|=aH_EFDvTo&10*d{E`3DS3fnTQm01{n-#c!uy>>^w%%7(sppR% zwvpf30K?1rLJ12x33zMdt`&*o)2vZ@`NlRjI0| zjPary#=^Vi43yA;@~L%ko3CY458F5IM7|-S7`xe@y3TWm^nGd^T34+8?_7)U27r0z zQ>dsXPYx7NTJUss;m`t{M=i&dj6WSPS#@iXJSZV(;M?EAj^Tib#K0`W+2IsKBF{w({{@aCbbiOdK@3 z6((IYf`kK#pbVxGK@>wZf>gIIh$KD-La=DqCwSRc_|;UmF1TAAnR=%nW(YScN-E1G zks{)h2S{SdhC(K>q@=QnB>JZ4&)N9A!X!y`8#$u2lb?Q6)OfFQt@fubMij zf6D-YD6m#@s@QE&2=!=#J;oCn(6R!R=9hwF@xBro&{6})13ZPtr`JhEM75EN8dZRAL z+F8@12jg#rV5J@p3(UFWp%-hzvqO*pzORUeOyGB^maxfqA(+*pHZFqTZV&Q6qs+2; zM2Fyo)SF<^pQYtv#jG9yYOp5~l^)DOvtp$laYSQ4V;F?8vSP_fJsPlqvs@#I(5{)) zBNie~jH9pi*5N#@nePr7QIW7gMY_lAifn2|6{%>Q2hr)MQLIg0VbxJr6@@fjV5?4> zuVqsYZ;q&KoTtgKo@%X5EvWAsc|O<0p3xV*OK+2}&8ai^>;ZrIsng&2*i--MsJ97b zCSg$OG+=Vod-%lT`GrL`hl&N>=4RQvuunXm)u}Nv#eYSGUVgK+9?>os(gK*BD!3tf zl`F;<$|9>%bc{o9IdgPtU7^9fuZZN7E9mYBo=Tmfy`8I5Yp5QF&gvA}R@=et(88@f z$4Z@|lZ{oL^ti;8Iz?k8!X#9ZU7uL+((-$@*Y`G6F@=)U?JJ^o{nDDw>c%xWL468y zic*~-BPVuQt49ybd$7-@24(g(JJo=Ezr$Po4d!ibzU_yf`byZ`Px$mf)KoLj zU7~f((yC(ZD+|V55X5$5;Y9GjA+BIph9K3W3xZXOga(uuIGILV4?%J8ZAf7Zn_Q!d zNwXr=V_8wt<79h*U*8DQ+jK!VZ=ep~(CBR`PGmkusz(=0!zNdqLsLDv;I<5#WV@K= z(4rn)(m-UKBz#0=PuN$ukfI)4(uP{gSxQ5+e3qgfL(<1h!q-)GRlUpN{PBm8|s@xUVzRqMBtWW3r?ShHv z*eyG!_I1I*vU6(RA~;lbPVHL+3&$IJP2)rR3i_Pdw+OBl)~J&`p(RKOL26$Y9IVl% z_AP=}muMs4%(R?6=1d@TM`Et0FPem=zUF?lT}dMSxWhyVu{wU1*VL4%yG|<3YgFJA z;B1PFo=IPQw|sNC_p0!Ht_qKn;W5%2s2)5{Cbvd1R5fNw#%dg%n!>aVuF-X-;(|*< z=aju|8ayLgi)?W^AiBy_C3MoYCGXGYC-AT~utPQ)+2C}-Qc#fgm+iDa&NugKMsoS2 z?6DAHV>!J%&IP}VP2`eKPgmFjds9kp+VXu$?=OA*i*Nb4PT-hZ*U7z5>A4_sQmo-(c4`6txK63?p)G%`i*5Evc3upljL5iv3IHp>kPzzPdID5mxIQ$2l=Kn-n8ZW zG~V~V^IKl?(WAZKhsrXuo0t5-+h$**Z^D`DHwXFL+07f|b60NOAfdZ*^M)DS46@xJ zjNbNrm7e+T3|D$qUU=zl-&g6G-SFW`&+LbnPV~K%p4kx}=BQ^+e3+x2@6jMfT_|^c zJqI}IsPxPX`Qb{>>K;8rr6&!M>ipAtHK|3*nGpNStG3uvJks#D(MxuuWk%ag76ptI zy%W`!$l*mqAlu;)KXflpoB_<9l#GpZtw$bMx2j^JMGYRD3pV`92%;{6F}NyB`QdN}KySB4w?9 z>7Gy_0__10M}ZXk3TjTYoOMOtZ@`Hc(}EJGR#23j3!-_6B2wV9YHl-D6dmV+yNzlt zP^xUOMF@(DGrXznLJA zEi|@aq860_io=DV+8~p}EK?F_S%jEaEvjjj|PhSP`xxP^PE zIJm#23c=gfqtLbcb!*RI|VAbBYp~B6EtOnde2El4%q> z7(jpqT#@M$Csx}$Z82O-(~kO*duLN`0yQBpXE5)kbVNsV;{KTcd5Z+dYpL;>0C^q) z*Q}~3SF?&#G%l((*>bF8@)!^S#xNbLSGScf0cuX%(=C|uGYny`SKu`^#};+yl2JEo9Uh}a#i$)ttJ(Ga#jxp@ zP3^FJlWk+zz_e3e0fQ`8=Ed9AnLU49wLcr}b#eYLTG!0Z|0_5fJzL(}v%IEjMx3KI zfSN7uc`WZweBOsve=sbs26%3HJ!G67gl>*#)xl^L1)R!=ijc8F-3s*8Gu|P;}S%CVQj(=(+B%E)ahQUTir%+*~Y&QkC0ux1mi#$5vq*IgE_9Bny={bm< z2O^4Pdhz)uFJHL-;{E3?@5!`XJ{X(|@#-BA@yHkbw?F&VXmOxy3@1?(&Q&28BB5Uw zHE05>2zq;06m=l~LKd=pWkIY9MtfHfoHdgZf;6Qr7?mk_`P)O&l)7LL40otjYYt6Q zS_D@yI?F2Q;?OjuE*JzuOs?11Gz4i%T`&lS(l3ii{}2>YS|rOT3G_lxdO(uQ@7X7c1jO4}u{&7<44*>9}N!lGr=rJsmyYpF%Li;4Z6)Op^Hq z6@nq4ey~33XG7{T{OCb2+-!_Qk=D$&C`5yHX4Dk$i=EPk= zFa+gANhxujk~Z>Rg!br~hQ;M-27_G7g;Gx`8Z2DpNvU`AY9|=tz2X1fxB%2W6AaHt zFl14sWXMi+yX?82-L5hu`p&aYzWr?%K13QDF+bV{OkY0z_H`E@F*32#91!x2yVF1!AGvvnx{k%d7?<`{<94B6G*i@Aapxd< z2xhZ>*nk{K$`Xh+k`l<~GF$b-2IN%{#B|lxPswf6Q(;0&osNGRb2;g7WTiwJP0sOTX(Y>RDu#d zcD6uwQUEeav5iYvxn27`yCKRi?+zN1l1;yld(dEUbk4h42zep@bTDz!9Y+HS;Y=Md z6-=8B`q8iDPzbW2-okjhCi5yftrqm}mg*?T4|_7mHzm~(2fOs|PM$}V;T(PrXr}Lz z!8HSQcx@rE6b5IwMnJZJYv2f?PjrGyP|0u#+|e0(CBrz=0Y^IV!7`(>a~vP;=13(4 zAD_TiP>(&{jZ1=@fToeK@fe+M42mR4xW*-q=zA`8 zA7Fc+mZxquC4PfT?l+iQCTl{s*1u zwI3Zd+YSG&S)&F)w0%>^HddrZ=7PX!m8A@1z0=Dr>(k?Ep^@F;h;h!1rpo&ChyzLp zcvvEWX#^{MdR&l@1}(W@`AXJ(qZ&;$N;5SqX{Hm&R#Q|>QDPLfjq}WA2$gB^hASE0YRxjup& z`2|A5Q5}tTK;xuVVb(q%Ixm?Ay0N5YI(l_?P?fDe2u7&2D1sgN(T|uzq4mT|jO}U9 zGuxEXqE2h$^Bte8uSIWOHk=++rpD*F3|^1u#jKh`Q6^R2=Y;BE`fFB9bw6+&-d4Jx z*SGGz%iZYmY4CG%D$VA&9-nce^W#QIkEfR#$vr`pT#q-K6ZG!OTdjZWn|}I_epj@! z(D%CQiYB$LxNm5VtT+a>b>)fI!SreCtkwfnfDvl-U{>qk6{6@5s`ZH4 zkraQGk{F)c+I(qe;gUN{tT!`>VHd6WsZ1{#RIBO5Fp_pn z@%nttBc_{5%IFC8YkfB-8>(brnx2Ac92zU7M&;E`m`H($`o`gxD}f^W<NXBQn=NQPB>pa`5uU@(9x+%+@M8M^@C+QA`jv9q)oXzB@jW@hK{d< z8Z5+=c24#@qd`;pcGqsc;tkk0Ex!I;e=*_`ZK~l0%vx+b8q<%MqWthhH$A|%QNgEx2 zxDDr^`DgnI*GCMPOQI0oA_KIfW(1SZQgMcIh4$?2AxD=Q#7Z*Xozi5Nr$N(QNz%XY zqXV@^w8)FOT?i%?k`JN8>6rH_@a*5KK%R$ee>ru0KwgxenixtTJrATQ*=@tmdoxgGn9&yzOg&2>!;+&jJUh%In+_XQ)m z3omH3zfQe@zDWTGNy5@;Ur#;#)+gVAeCD-5BYUYvmhS_)zv#jD{@%}sk}0iU^OC7j zISbDaxCHno2Xwl|TOF0N3Us?*nf?YAius!Ml_}>U2y%=#x;S&0YnE5!?Q%hDp1}e1 zKzo`)^G+4P4d}yC357mHtsrl45j?Jmh@)g`#TJ0|kD+odf+&=NLrTHDDCc~RRL(AV zSZ&m6M+vgnt}H0Z*(E_ZVz*oVn!Q0__SK!!lwMIJmvzs!_ALvXA@KHJDG*~*D2#jZGi3C;wBlV z0}Q17LcwmaCLJDE*6A12Qz>Z$8RHJKro!p=tU+Q>!s3|b95YTASrxebtzgFKBCE1l zn}LjT%oq@wpIQQB1Ez6v=nAJp4?#Dspwz)F~x7zw+(h{kwlW?7I5F-3WP)pmR!utXU&A6o30JIh?W;ys8NvL*B zLQXEZLu*j{a0K#8`bu@gFC{rH3A91`lQBNm%##10Q4c_(+6U1htnmmM#+t^!Ya=P- z#8TNWLgyT+_k5lF4hz%uTz2Q7uMLIkJw5=?xtvDO1)xAQE-$Ctu?cfTAG>v&L}!(iJREn-N>U?ZG(mHgRaO$D z8dNdiG|{v~atQe}#jN|$x0)M(Bxclf*37pD-B>lxincKVD^zRd`vVG-wkY3kkPWWR zbJSHRHeBuW6aq?i0n{Mp{H1sRS%jZe%t3wIvOc1E;6865ypH&!(w4)tjqF=^4pWb@ zw`0WqJe%Ph=1iA7jV&`+W{VtVdhY=o;OZVy4igR%3dm3InRdM#=8P2F9#UWmZ^Y}H zU5cA=Dc=8Ied-szFN(&r$Dktx)^YIBXg}IB%STdmLK1@~QQD98%<>VN<$^veqi>dv zm@F48eM);q^oUDgg3+gR<@8QFeWdY4wU}T5_K_er9%u-POHl-gB+&OjBN7d)Lr`1_ z7c31;H(RTz3qd71`AL?JrW?$Vg#nI%Lz4`!8mlN((Cs>%uC~rbOY24(s_Sl}#yE^& zd}%_)gSvafM9=m*kSA4ls=rce4jr2)UW=jPyF~m zkaVF|9~y!LaybT?v??TBF6Jh*M*y|gkUoqa0Xt-d8WocDVJV{}y}Q;6Pdr}f!_rIu z$*H#ThVGtSBdFp9wHI)d1KW(%?3Jt!j{w0WCxylcGF>@q*sKpRr(U%jm0WvyDt!nw zXsi_I*bX&>kiMVg4!T%NjBSa^2-RAyt0(x9^gsQLJ5@E$h|ujJLf12IGk4@X+>yg4{M$eBJ>!TFs*@Qq zq4Sw>LId)s5FwO6Aj+WN!orad%!m-W!fGT0189~%1T!K8d#@>!7@ih_84)_J)||%% zlF1Q0jKr#iV1)>w(=5Uka4kB+PM>%@BSL7s3e-jfH=Q4o5upPbr%7yuQexw8#-STT zXuTB4s6=g@yj@1aljvFh22M`M>xkKIQNEIlk%pM2Qpw z^_bZi5jsC2bb6Fy59+C=bb_URNYv-;$FKQI-y15dKgt=zXtEz2Js=KC8|-Wr$_m7a z6OY~w&?A6{Zoji6N)gS2Uv!X{9Odjm%>zY`uKv0c6yzx9ES)7MsW4FiMJw<_8!?=q zySRJ)Pj@}F>&{hLDX-io6Mv&*(qYCqOU()p)Ge2Z!lgX)R8gvIfS`bNVhg+^RkH%A z5ltnevYmhrsj&^t7rU@k%?hLkM&6&#P3+$(!F^Q>)tcsOUK_cdwtOE_^ThA^NALeY z=rGl_ZDJ0kn`DWSnTs3OxHy#?RuXf&wM{*8H$y4Bwnb!{1*BLJe^JmN1hX4vHT#%i zzeJ0$=Fr&A`3MW>yPtC-!eEqqRM zuD~O14qdrnz@0Fi2gl}!0IhL~V=LFkQ+Vor!dXOTxD!)&qKp#VR<{Gd)@}3I&~%JS zq-aOiJ)vXdlkRhBqk3P=|7{lS9JHKCpJ0(5PUFqM^%;R{fu`~Doz95S=lJF4w0-2q zzyE9A6{$L)1Wy}(^ryWj-1wc1llg1@_y5~mQrBmYqF`Z!1w5}E_EHT=BRV&>Q?lV z>)N8Mv00r6;ucKs&No4P}r{Q>x z*Cjei@p>C?!~eb2cw0J!rxQN4RB0 zJa(AS$s9Qe@ga}s?v1xFa||-(h!B`{ub`wYz*21ltJM7vca2Y^gOgypvPc!~D^y>d z@~WtKt}Y5ZoJ*DKb8_XIm(K*e9%pwh%{gOf&Rqb*Xdsvv!|CM)4I_PvMzHnf zgj~LGWIyKHd`3WfBFSq99RdXQ6b!SJUV^pyH{f0igh{F7O8NeGwVJ>|U#j?=Oz)v$fRY!#yx zPEk)VTg9ke?7!^^W^;^Mtn6+H%&Hvj!_RAWPF6zgUKOnFq>mkq?s9+ z3jxT=sv_#GsY1I2d+|eZ3tKSEzSIlg- zy#eMWlM6&ociy&B2K!l(dBJj&X5}d|u?wZURG}Qv&QziEQ-uGnX&G(eR(b-W zCV-ti<0IkHY?P;K{ImD!n|6z4qdffj>#7eX{}dsr-!+P3i+)okE{ny&C*>oczUTR%)ObW>zH z#;|Ql>q#wyO^XX;i6canx`7;`ip!Bg)P|^lR<)qJpavYE|9WxfF{Rg4@>PV|62*iD zkoT8|Bkj-a6ydhChZD;TFqsQMWBR?w<(<-6SA4Z}I&yWq-NRj^hA}rRnZo3+Nx)jZ zojzQBnx5^L!U>j^y4k(S5lh>uaW=pC;-~-1Kl+bi3a@e-98@dBAhg34rUB+=7zAct zHLNX^7_eP9rlx^(tgdtjQU#S2!Jx;ANJ*ibQi-x5$hg1-(F=mLp(xPY9_7%P=ftPV z1@}9+=OVa3({98CS&(so3)(s2dB^-(1^)cdlQc_mlbZ&Y@=Z}P`4x{>xyTHBosQ7g z$x_vsGeT|4dQ;|A%b7Gsk4;JpI@!mIJdh4A29U7)noBFx^qMz5IbQRM4b&-DVoaId z!xlJ$8oO2C4Ch;wTS3j8!p35(n0}319M>wZdkjjeJcQ8LP+_iQhLqOd$`xMTh!|2IcapBq|r}&X#ZWG8!ooqjIMnHiI!$-yIe}1CEE__D7%Ls zC#*>ghJ7oohwLjW^L4@PezB3NGER^hvTvo`G7P6MDe|E!`-aZVFs(3zm@hkI-?r#8 zE!Y#Lq6>%@E50o4n>yLM7HEQfGt>4$Dt&k;`{w?#|9j`|Y~Nm;_HC-)Y_IEx`D}GF z{pRQ1^O|4(z?k0*A{S=iP+e>>dQGtEP#3jcndmp~R|j@L!HOH?j++&;-^>!#D;?~t zR|XyI>^BcO*u>La_dSe*t;)bUg%t-@|JqEN>3GuN*LJ=tD>X8vdo;kejobkK=A3NA zl>FZt7jy7_1Ht?3NI!RZPeyU;@a<=wCgdT*N}qZ9xCnjs?2~VQ+r{P6Pd+c+7Pjua z?YXzUsA#8r=cByqub#jB(l@;&_Iv(5wx4|STViK?cXq7$ddhlsq|f6>f6M>!FMRQ@ zMR{L;={4FxaCRA+ysu?wYgFRab7f)x4S3N6jI*F%)m{bc&=Y2|NqfvJC<$Z}i~%%< zmEk{D9}L%=2G6U7w<{k&gZ7{#Ip-B6fov-x1+GQSXg1cA61?2!5;NQ)m1(fuYw45|2&@3d&otM;J6TapGur1?)1Ky8LLA6kmO!Bw{s7~Jj zT~0fbcdEHEEN7G^MOgFL-kqxS8gt(lGE(P&+(UaYmFMIaNvoCk+ZWOyy81=c4FZ5C zbagyL%{-q;0gTx3o^&{!0R7HV;tzT<04k6R5__Eq73)ui%Xbfe+}VeSY#Q%FHdP2S zXzW8s%O5T=gT_AOI4D-)uNtoEZoqc!(UVa;c|-%nTeU@jXyOBhGqM8^!0S})kby5v z76&@dqQEM<0D*dcZU=C-lF@K!f3%ygnixT#-k;lPe`z|D_D31vzB+$-%hUODJNB0z zM`=?oPvCecUMb2%N?DM4@XRnwPrvoacbGZgVJqiE6r4xr3yhlLuExMcd1}RN~2r4P1M*WL2zQzNoGlQ5qOUdn3C9F&%F@FUu8DjUq#9M!|B%$knRs>iIA z(E|(TLM!(T4b^qfP9qeaeBxgDzvgS%)O~N2J={|g-sUu<>@X?QPDi$RY-pv7Mb{_o zo8ES4UtHa2?<_+_ch^w2_E?7^ou+Q*EXtfkx&Q3b5>_uI;w>NH%ol*CdYE2({>jT1 z?!S0H;&Yl=3CfwJ?@Vq z&Aykl3{HBWVR1p^{Atz@3ZPwB@$P`-!A_bFzVba^^6UlQK!Qw&!5n{ z*aDZM#5sTq(CFp#z{b|QLOEU;*vyvp_AKqRThs=JGt7D(nDxD%{`U8M<3~R7$Q=-d z%KO(^S_~DM)*`4Bx%N%1V}R`@XTL<5E92(A&Oh1G4tD;jEbV%U1SMDO>4=^!Er29+ z(?yVrNZbl~TgRaeU{(^%;t`N%Td|uhEuv7Z|{=|cOm+mz1+Z_qY-a?pYp=8{B)2Ho#AT2BP?s;n0B7a zPTMRXz2yzRK%E&OG(1B4r7`d00nRnK7l-Wz(KsTW9evHS~I!) z7e~y;mD0HbO6U~8OOokPzd(_Ls)NI3*O{M*ct3v8ZUb0A&J!hrZFRh0#D0}9NB4kV zg!4pts(sC6rnpODc8jVGoTpVl`gu-!(Jt#34V(Ez!=}Anm-qZd!{73YhE0Fb_%Xd` z*u`Hoe#|c#B=d{L&Ge!{x_Z&LeF9(jS})q0t}a$s0Tj=);`I_W2N|DV^SMp=h4uOZ zb|eA%hYMPPNJv1S-63O0>+J=f`%)gUUR~f>$ouoL$_M0jWB}Iti*@dDxZYf>tAqjs z>iz44!W!qBdByA9#k%5ML7?8B+i`qcu)MihTo$7Xf0sao4?q+lWP8=K9=Cby+r zA1^jlLIwiW@nt)Xzu8@E@a~lBw^?6os)P&#>ixN$_CH)~_Idy9#isiGo96e+cG@53 zoA3W-f3c~`JvO{R>Hf)f+Mmx;Z0h6Xkm6mvT4fX8Zk?FDy~A&}NM}ob0*;s4CR2as zF1Cs=SlrxnVQ$KETvp!7ZSl=2SM${UXPyz5s8BH?6e28CqTC|rxX%@GlUJu7KV|hS zNB-LruetNs$DM6RsL^HVey-N7f=ZK`_aL%quEcLhlKL(bR2@J zmE`~!HqCtYG^iBc5R;qLM@(6xHz^Y76Wn zho3B#IF?D{bq4Ps=M9|~CQ^LCdWWnN;nuCPiDKgVR&)MR0T?ERBjzg;EuLRK|Jp>0 zOCBm-FORF*c}6JNc%kM;5)w2xuL{DTJN5pa-Kl=KTh1BDx|!nk4}QT{e)c~OBugt` z+m17PZTrGzr<=}%DDmp8D=PYoE~T;*@nNS)i@2{QxJ4+cQ8wTgjk3LPye@7h|3QMn z9jbaX%nd44Leb`Th?-l1}`;MC+e7CzZY(-PnVfk+w0r_*+dlLSl*vo0TMaza2MYK z^zqHtJq8d6r>cqoC=l1kh>HE$_x-Vd?K=V$gHwPKKlgcc3kqg) z(N#&kix8x0D}tD4C0QU8n;(_T9D?*1T#!|x@EB0&4kV{tk;={m4@g}~3Kdjwn^q7j z(&u%-?O~xwEgV|t(NI$ItC_Q=zH>p$p593c6Wx~0(+@%FoFb^xW!XFNU~QB`P&_)9 zJfb{AQd+1uHJ>U;(xY=p6w$C>CDw$$rubr#q}6drw5>rRn65ddG_+SpJUW*IcUw#R znVjvcnQsqjdqG;~nrnda;-{sf=lg@CqxX%(1cp{J-ylm7;uj&W*OAP32(x$T_u}YF z4wj&ePDjtT2*YYMNe804<;`7kl0T_Po%N%HL#f;LZ%KT4emN(-O3KRtyvDiI_#>yd zrT}-kml42iBd5i#U)`&}?4UX4v4G`n3(hInmi(TM-ze=C!_gobS2;R2T|v`$c628(T;^gH8@fscH5mcjoWQD9ehm! zHH}`Tx1&T;IhHQL<{dHSpgFXJZnokcWw=7D+MxoiJ;w^M!*3B*7dk(8P#Nkp|J5Lr zS&!)L%6K*&d`&WqV$akBWuT>kSPR!o7D8dB0azMA?|{)xZ8{SW?pv>nitPL~hMnPCWP_VAhXeRtNDwjHo7 zI`u3qhi`(>YAv;mI>`lr{3@>wUALgcsO4fsdh9NU4nJ&>OXkA1!(5y4;dgW>a5{ITva>2rX+pjSbtvN?VR4$0;jxmieY)x;*igo1)`uz$< zj^Q~LsU%50mA>X4u)EO-H`eJhNhXpELQMpvJUYm>HrXvnCXyhKK$!swh!5$LPK8Xq zJ^R&G=g(=wiF|uT)v>C>iVZs+s;I-iHWEThH)x_2SG@jj^K~fR0)%&AaL=Wj0Rs2* zr_l^>ZzMox=b@Qqa2kUCilG@)2w^|7j9#?A&Lc5V>u?k5`la9h)35(vIE(s9cNO!* zLWKi-NpZxG4Cp9{{N|&&9f}*;1SJ4qDd#s|)4Q&q4wwfo3JO}M!}-mMd2sS@R?PdM z$-`MO$9yjky;}`lDdxc$!9@7|*TjIYz8S$;F_#Hn4>2QHG!(Vhzz0`usu?rY%Kq}o zoZ#McFh)_pNN4nRj4^E)M}Dwa0Jm~I6*y9HL9 zGbdT)JLbjt*Qi_${P89y$W0Pty0 zY)m~GD`xn(0Q|c$Z#@L5_52(I;D__pBGUZ;Km5H8a89jPmW1Pq3rR43ZLV+}TgtOs z5`Z;-q{!b{$p2T@7?64{s3V?&{8>a9zC?EiB)}|I6P$jEtVb zR3sbkGpc|?Tc`t>wG|)6q%DxehB{0;K)+Tg zMAhp2WxLUbX;Usws7E1m+!~TSRXy5Ebq%NRBmT-){L;rq{_`rQ(4%#BjA&fA91oUg zoxJll9?VW*1mWoV)UKGL^%8`m5)b}n?LB6vFoJOKwpzjL6qeW;TC@{{XQ%M!p*6bn zNiV02C}gJ)Gj~{u3lA5)_1Z&M(Rx&`V#yZvsH#_Y%MBa)AqHnZ^5%C-dnk7_F=&=h z3M4mc6uj0~sHt9E6C#l++s@sossiBLGvQ51o=wE<97gH6gRUZHR0&nyeD z4=p9Fzs=vdG;pocQ;UnaEI|4@W$$<{3n;C66E!FpM0KR!&%G&BD&y}IIH+RB_wQS{ zRMxVn>^n%Qx|%jMrBBh>`v{w=JJGz&4KB{p;NrJ@`A0qW#=woV^_t^G)`$&N_ufGg z@l4%%PyziSSo%EzepkQWzOo3)1@}nX0XJf3yEUsCf^-pFFnTWTl+vC1Bhp21!DzXN zQ~{liEJzn21WU)ot#u}jL(@fY!P0P%r3w5ovEpfVvIGQb&@z{MPBMlw59p<0)rixQ zgBSXk$$4+la)}Lc@WaO=@6YYDe;W%)&0F4oI2IyQlvy>1h0Z?p?(|s19^MgcN}gxM zs+k&#Ok2JWp#Gdk|K}f0P)$FZ;fRPpGKaf0{a(7Jp4YdA1j?kgD=5{tOxZneR$rH_yDmbw-N4O1ka z?LP-fP!N1h+g5Zu4lc2XuL;TpE(}4P&9S*cll7sx`~lUZIKn~(@52GjsKV>QoG5y< z_R+KFAK%0$)w(yqJB(xS98#53Pi}99YF-XdjXldZr5>j(-=`jb^gsFU{^Gj>$<~D& z$u4i8_U3{{S)*_Ckb2yr+ZElbarL;yfM5|ER*$7mb>B4OtRAB>w1;Pw)#Gu+k;7ML zy{sO=8Y5pDx@@@ykE}KdSv_LbFxHOi$T{(E4wR&8v_Bb|G8JxRj$x1!qLUK(? zQlr)fuhb)E(6OWtbhz!Nqs4RaA)B2WI*!`M*3_uiW6C*-Vh;eU+M{Rl6;-jwuhwf` zTRE%#4Z^snJ8C*t%&J*i`h|X*Q;=oHtg2P4AI#B(y)H4+F+%0Wr@SgZ$2WbSD3MgP z$ISjOXc%n{aV|t`JZULROrA7f>$E8^Z=mYJUO(NFou*5#?a5ACzE4$u^r!u??|4n9 z>Z?%oiBt=y0&?KcTn@URMq{6NJfrG^tsXO~j&vV-n z)YDJhd+Ny-pERi1SZLG`s-2e69uarCjD=xQ8o?ac17Aeo4?z$i1{;fjRzONH{4#Xa z)of&Vh1qijwHSRXDToYN>ugn?E119 z6*SFd>Ud;x#op~z>`l8xts0+`Y3E6%ecZqP2@hTviwp{lrmyHF^KA}bA;KQ@SDxg^ zpv;Htt31h(!2#tq#t~UJ?Ud`t-~jB}_}^?3kSQWMj?F^|6uT=T4O+JuSNC)3Zt+_H z`(lOpZ%cW}d>d;)#WA5dyg7HIY|zRYvY`XZ-WL85P)S@K4$%MD!vT>7e&Ky zsk*&2^W6a|WsR{HsKKl*(Ind*=GJ>X(LkoZ_T%4bvopA2e|Z`6yB%6-{{_?{eC|jA zD)f?ba1HX%;b#L@KgDQ1G(Y=cdq&}WGXaym2dbp!NGk#9il3ksxjYWFU`R}Q+dJdf z@Z~wCvrUT>I$pp8Cxd2bVw}@jFqXjlo)3J*drpDSks7XC@;1Y`fi6AC`wwI@Y&==rM-%4x#99U`O%S7vBr`}L)X83RHJKVaNJ? z>Xxip`>F~?)9F5I*c&E$pymInmN%0&0hcq$F+?1;g=3NE1q6f=o=MXGAs z4Vcmfj4z^4oQc>7QdPU)b|)*MH|b(2eDk~_RkaI3x#++mkSN4i4Z%_6(l~|<;aXhA zBI2r{{2>4s(GT8ko!{FZ9BFnv5ike&xpkI#&n5?Rs&dr`DmE%|t|S0GWeX|>IH;5r8=NF* z*Ijat-dtkW0mjQf^@wo5zNB4uN%%+{)4-#ztw~o}lWvzwqLVK?8C*=X0T%XUwPwCQ zXf&pt3PM5fspu>Cnv;D6HOqCnU5$8`n_gTA54d#aj?3U*`@bsYp_Xl3G5t}$bpnuW zRq)PU+X~885BAzjHyn_@Pdty|EPNZz%&g{NJQSqB?N0oegz~R${H|_sG^J`OSI-IdJieEjXH3*+;$TLGl(BaBo|vSNVz2J zWDQ_L1LvY2WGV=>!;@WaY<71XykfO)IUvU*t$9|G%y$QQZCW3!xjLFwPm=lepvcR9 z(FTutb1^GO-b$#1K-K;2`34;~8xEvmLSShP*wfMT9onPmfnNAd>fmHcp^DcS@_j=Q zRcZIZ{JQJL!MAKUbSHe}M#KNrFWh*DdbdA$_P4Lk>{VvKSLMHbGVwP`z-V|>+5U?t zQ;bC9aW&1FFfeH$o@z1KIiM<@gyyS2yOBmN4?TMajcf7@VRAC7K4GG^^9l123YZNY z*Q)`IunExI`3K9po{R>!V}EHQ7Mpr`3f|AIU)MqH?1?|s4$M*JEk>EDt4D6p9A(~2 zOZDIW`QP)tFARS~sSF-vI;RPZe%PuK5H5&S3?*`FAX+>Z1SCqrFa*(`SF6bEE6Y2% zAo`_o`Y{DDZlzg~{)h`kF~|lTksCppI~PPAUuV$(j<#8IacHV47er%TAmFfzD2=o6 zEg?vqR0Pq=PU?UUY}ei#n*K-;#BdjFUWAsz>Se@=;*YpwbP)yBZIGZ9ZAAB&>)af? zB4|Y)(S5hUlSG+*^r&vZ;t>}`)6_c7R zb~{5ECZAvnW(T|x6HD$qHquH{%QR}A<`k+HU4lH`jxJ9%-cI7ke8PNwl20JL_0sXt zo$eGDMK}bpoL-*dVqo)Hp{70VBlfEkYR)dk?YS7!Zqe*w+>DFyN#Fc=|Jxr9AX^hZ z?qXOPDCM1DY}-_=1l68aU9Fg^MS^+*P72IOPQc~_^$t80by`qzM0=A31@f>Jk;x?o zT+*)sP-H=gsQu7rat%8#32MV$Bb_V(wFz!FU_gth+wP@-WL{CiAQRjn^oI2$G``%o znctkCE@P;X(U##1dBr+Gy;_i^i6wWav1)#{LLPpW0B}_D0ED2G%n543PZ!EuX$kPwD3R9v?|$Ap-}-aG6;$iy3e{{K^L$F!Lrh}a zYWvChGwVz$9y38GN_0&TCJmM|LO6y;ZaP^A;CV|9D7?rQ@M z-Tfs)O9w)khOnqrFex(WbiQkIa`RM!%yE3RO*Qz2FZjAI|B`SaHIMBY&r{=DI9*7$ zkdS~By}bLL@UGd>gEVStJYNxIbey1z6_IdK+$&b3#&bc;_r02LO^xS*TNKd=BaywH zS{ep5o(rP&oKA<)4tIinv#Rfn3#e~uydsDp!veq@0l>7sni|gq_v^J5^B=(?R6TEB zVMWn+E;&fyuDB2b9B!#Zmb&C5EviZ`8tUn` zWu$zbAvNPGTba7M*#0{c9M9`MS_z>)g3|GOhj4WX&}@f`4NPnIY9c6n)Ia%q&-~`?>mG~zcuP=-<|RGNMso^0N&$O@vE~eTdF%VS=}EM1DgD7_qY|x~V6;O)_FY}U z$bU<;Zh{0H1m{O$ztb61qIDP49)duy7o@&XC&!8st(zc*YN7OnP&Yz4)yW~K(Yl`` z`ljI6Oy_SqCs`8|&>&jL1ei(FSyQ5QTNAJd1Y}L{&Z^U#u0S8$D>Zxfd{R38mfAmI z%N^5u-n3a%>VtcMx#Odi?D4OjlfQQvf4wZt^6=MgfL0 z8ePw`$zaCdX2CDmtqmDWtp_64+vH=p!WTarwg=i;yj|B>g3 zYS^Z2()73Bvb+VCQ1l{y_r}c+>RX0dWpnT8qWll383F}KnUPDiv49f?Z3Xj zYZ}L2FD~#h=KbZFN&9mbj;mBCue z>l@2$aK1>k1Ig(>ik6qrXS4h*dG@xZ|dV!Qg(W^$|kb${V>YzF8rBPu+j!nX8DXO!~Pkxl;t6 zdGdLw5D3C*B{z9>LW5IQ&vJwhAAIqD^d~+uf@wvsJeYPYYkE(fp=r>3ILe9}TtAwv z(VjeIz7+g6^>l0v1gg9*zG94*I(m2G5ab`(CSH&>sF!dDS?mP^Q zG!wdRkI-d`Xv7w@%6hI$K2K%x_kHJ=96u2J1D(d}5V3A8A4QE8+gnbUF|M680j2@` zQOjs+z}=_8^A)WHr=V^&W-0_}@LUi{JLM#AK}uH37=ko-F1W+@Fi$MdLNg*Up&>|v zR|Ju_164`{jUy3)3?Pc&VaqaN2rdsshYmpo5H5H)auR{SQ!DGx6oLW~yCh~yDGhyx z2Aj&TPLfo4F1f`lEUEYc4gXw|!^P;9=zt%@E?-XOAgCFi%D>_P@_!LG#Hai{KgT!m zNl$X})bN>pa2gX_IDg6T@-Nn&4|0gSu z2QLK?6dGNw=>o}RJdC)@1%1V9hrVtJ{nf(cKu?u7m3F|(Qo*enxK}$=9&}5qI<<3K zQ}xQuZKpW&EMduTGt2*RegSuDf+@~lwv!^FIZT@ZZbv{M^)G1%Ny5~CTBL8MHyW__ zY(%MCTW3vMzE5ZUssHhNKKNt5xu6ml1`NVNanfW9P8w2p>ZC1zDXIgcUqQoAXX$Rp zAPA)j?h1HL=zc;~WM8?HW-Ee8t}WM4^l#PvAt+9o9~w(a6F1-?Mn`hNYeEBjt<~;( zUiv($V2Zk!BBSS4`y~FZcOlCCMXttX1IY9mNG2oRf>ux9t*eq`N+hV{tJkD;f8;Gp zhf|7w+P_Ycb+pc@{UUc4ImZR85nZ|S;c3EBj!&}T@s`tW#g<%}^2$qz-02X2J&8B< zR!>{L@2&pCpZ^=X4~yK5=Dz){woEuL70XXv9YZm9nA<7*#=hagaR zlKci3veJpr zM?Q&cZ(l}T1srhu@Is28@`B|k&B{|`Vi!s$Vog`gsY{bQ7U?~kUw(-#lJ03;l$rV6 z3B8hwBUeu!Qc299r-*YGC&W$kTl~GbDP?G{<;e1V%J8-CS^wp~8=Y?z6!fsna}|>l zFN66MUPfOL?>cJrNF!OYRUUmI`^v&H7lhq$#x>a7jbN2{0Rd4t+8g?eAWj$i8mo+SVJcg|I-zk2@iOW*XC*zfuK*naZOZ;74p-Ewh9#e9h#DV_}R)qnOM zzU%WpV=St{2pSeTG5v!+val+deb0c@{rf#EnGhpj1g(Q@1Omw)LN_A}LX{PFi_Ro_ zVimsP0bSvdSYe84gCRThp(QxAFkrAafri{yv^IVS(Lf*{YKnM7=OrV1AFK&c{Fo}L z0V&+VAC$f}=O~8UkB#p7tdnDP0{a%#zeb?~|2b%{DBv!J5TTbsBPrmT$3Ji$5OR{i z%`rq-au_Jxq{GF;IGy5d)=fLG-ORU-2Q)|NT3@JX$R^%c>uRr{BssR3G~)PjQg+KPxW7{CxmK3I>}Sdp64 zR|NNsdXE|RWhaMEP3nS3jj=`-bsj~N#)`y;ToA}LCwO8-OrF+gECi`ZT@X#~&^U!F zZWb^k^^Xxv>XJy$p(maE8gv@3BqwV&k^M4Vs0I$gv2^zsTWFE5i8P? zx}fpL`s;3JNsAylc?u;Bv$8s^JgF01@UY%$Q7hPUoz_CMq%OE$pa&W4Bg#8Flo+w3 zE{W@d>qkkHtg0ooukM^?Ni|*=VuwtK9CQ)mtl36}_WCVrwv+taXDg@3#D0`cUB-JN zhuN6E9E__oD`?S(cF9b9pv0vHBD6Hce|72lusd`yoeZq(z3YPyI?U%-0V}2LgSK^i zC=i6NzG|xIT%+4{RG`|)E6q!tIeRUH9+6K|RL{9&;?SnTJRX=;*xi?BJYcWIh>q!~ zusI&My?9{SEo#lc91ol)9=Q1V5B-~I%&wYzCmR$ucD2N)HSyj#9f=me-B{&Qx?p(k z+m#u<5X|ww|IgmL0PB{fWnq&*EV)!fM;s6-50L>vXOsVa34#pM8j>0&l`}0#kz@`% zJ=4r_nBCj%rX7`F6if&@2t}n5Oj041q#)5Ch6xoE3{II5Avob8m=xZ^B?z&oNtuEO znCE#f-}`>wT6?Ya@AL27-DlQR_3VG0|6Si&|GK=_=Y8Jr-Z9=_5CdMi=D{S>&S=Q- zKy=Nc5w%^hiU))WhCaECy3Ic(#{;|4HNRP7q<8(Rt9W3AwtDgMHy9t)2v+exbj<_H zr9MeqAE{CJm5i==%p=ycUXn}*-zQ4fJbrf*jf}<^G-G1a)ZWfr^Q7q|x_cO1-lpCF zG`-W*TRZRoskaJ0KQ{0H=bKTuFx@B3WP0^>&ChoI?(Dj$s}a|0w(GYuo!|q1>@U9m z`3R8}tK)XvacaRByI6E66c{4AU}A6Zk$?7;^+~v3!N`%&x}|wwh+G6$G;44vz(Fej zy@1Fm8%_}{qzsrM&s=222GXtXT^6Z>S^l&C7vIDu|IYu$H}Of&1fy#0!wY3a`G?EBqqFxJogMpg?S!iK;+p?O zk*)3Mm{HY&R!K!RZZ}o6v?`FY(z6wgt=9OQ#WXo+my zT0Qla+W0za(|&2A6hkl@-{>;vVT#Yj7tP^IHNL1V^3c~C-__D+n=pu(L3JgW5&Y4) z0CxHI=TyAz_!ZW=Z~&!!^TT0=;-Ul5L)uzEe=v}Q2E96ZzCDKYgoCo=tfxjYJ4eyI zfaF-`7cuMMw>-KR_A9yW)zPavg#Iygk1*%CeToDtQr1+~fB8kyc3-WT@6mR(zz8b- z_T8>?j|4yBYl7vOM7;Rz7^PYNqDe^#bsz#nsoUKef_fg&9--T<|D$^snp%7Ti?Kz2G775VmoBD@MmNa!<(14!-SO-hsx^nT8q7BNeaYD6~Th zkprmUM;*#rK6c?stkA-~Pw*cC4yzmp1b_=p2jq6_55`(%5es|>W0=8+n@$)4)&8=b z_Q&~V_z?(qPh!xO6iFcq)giWaj%2?Rt^tO3>+)wq4Zr7@_V)}o5%IE&bX;dF= z3YE`~Cns-#>LbBEMyNhK*9ttms_@8*<}`1lTK5OT)rTOTMgK98Xol*0 zZqUgMG-XtW>AP;Mf4VUQ=IE%SPz9Z@s7jizWmAs=4P_tNXbc)kzPa4xXv}q=|Lt2j z{*6+@NDjD|xYXq}*9b1X<}=kt57}SnCA~aFFJTiP%Y*?>g#b4Kr}n|x!+u*jYufT{ zBto6_-jDdFtM497VJ*n6MlV{~RA5w02^;9!JrJ;n0n04z_TC0*hhTQo!ixqah=RUD zFnejcHOFOeMI@ZG>=T07Ni)_V>8!OHRtRPv4FdxRr$ch+z^NFtkRh18XkaLn3J!#& z9Y?nE(11%9sM_(kl3Z6_u}WcKt|;ETxz_`(8WQze0>0L&=_h)G+od4@vIsT23vmwv99b`{te97A% z5Nz_|bC(aF0l$G*Qrb|(Ee1D1k|DW(@FA#aE;3!kPuncgYMX^aq1fgMMc=>gV`0}# zKV4%juWKKeaiizKjlS;Ve%}Y*8^O8W49Aza=_q+Z*!4l&DEg<#SPpZ&S#@%7*{+xI z?*M}szQhh@BvpQBl&h489ClrbLK)>**>&Y}U1%x6#U zwiv%`AyqKP+V(HqnSc6)7gb~>`zIfxX+;&TFW+44I!zy)6QFRI+>x7vPvGnooG%RM3781BrxsZ39UD>lGxTIS zuHo$Q_Ox5nCVXeRd>*^}=5PIuZ~UI%oYI@o+GWt`vw@lY-A>GCcg>RKwndf_ZTvux zOrD3T@gv*iFyPy+DPz*yR~#h&&vv<3deAu?6(||!nB(oiDFHd&-eGjMIvHs6ZbRN| zm$%EkLOMX@ZTv8C=*lkJFm6QV$L(;ujSRT;j2}S((_G0q-d+*Ofs6!)nRTN5q*Q?; zIY5AtLTFRp9Rcb4RUaH@0OpXmKWCq3pvL_sNDVdqH|zK`$3cM>l_#O+D3nt`CAeUDIYA{AkK#Ty0Xa#<&-8M`!ARdu2W;32 za;iTu6|gaF`PLS)`1!Wq`X%4;Wax1vP4O1@Le!*l2tl{EI9lvtUzr|vL4;q7uh8O- zflsPTLy&sh1;Kblz#kD^p6m-j-fR~HS+3>}(GuUGouVEug6lOWCtyV&`c$38ioD-0 zh#SiSExf`#S^`8vh9GZs5tLC2A_();2vU!`pwSA`&)kC%k@_)K6g}>e(9Tfw!t+NC zu||^dRgpwrJrc{L+oBC^Bw74)Nf39jdw`N_89P}WoqF6Qw_6ex$|X89qrygGHdS=j zjgwSmXT1SeW3aeTZJ`!lHnMd+^_qUmRjUPWxY|PZ#E~-62@sk~Rc}_cd?u5s1zi<- z_RAsy8^ z+Sx5WtOx2g`f~(1Nm%k^cs@}OHdhUq+@L*&AC$IbAFMm%kA1dvPM^r{agZk5+t~dfE z`1cwJ*jE-&6~WzFp^cy#Hpof{itFVR;@TraO7tBlyy#SfpoG&Vh^b9%OGdPUj-ysk z+%pqI9}g;86a=}L=CNW$x&VHTaOaHi7yUUJdWE2*9{e1)E6$igsKUwi)j5s^AjPI# zE+luNHgGAQll5D7TWsXp_&?e4WeYdrJotl#@f`Cs`%@w%@eEU>LH92ubtH~TmQlXe z=Mt7NeLFp=V-NYMrf`C#WoY5A*U0jHQOIk5`cuE~H%C%O&$CrQ2vU8_9X?hsqmnK8 z>y27D=VJHks;q+c=qH52Ai*JO+|7!$3PMU*1UDcqZ3MFlD)nfz^Whw7rIuAt8VJ$V zepv-!yaKZPgo>jbwmmeX5dYOj6xESdq4wKbr>T7f4K2@_OJbmg=CXF^ylgL|6wQm| z?f?O<31U|b{L-3?LR=D%clP2^Q?47`JE|#F!C71ZZK>N$8<48ch%t1#Mz)8pl20Ce z_}}Jh+0W*!J}T0VY|XvqdboSvkyXL&?_b!zCh zuO5Hz``+=Pe-!FbBmZvg5~E|NqX0+k;B|5ufSty8RZ$OFELUv-F(+wu$i9lthtUlk z94^(Pry$S_&uS=8N?>nm3y3MAdjbh+LS}i6Z0M;INjXV_hC=3@k+@s1QHczWxfUezv<9@MTVWW2gOeANxw z(9h-37o47v*SxVBS4|y-PEm~1iAe$HMs!ulC~xYHIcsG9;(#b)?B-g!)7E$Dhf%q@ z_P?Q`Mu<*>u}iBrHw+Tb4fdwPne zp$9GrYsi}(JUhNUP(&ra{?8kr77yrWu&9zC(6~8wV0>faPp3p+7TfCb~{o*3% zot|TSDba3ubZ0JBq~iA#i()xqFpip%5TsslL8yCDiNlM9(xT#zhR2#O1vDtymx)=b z(#DH4z-aidg@V8-fjIcIM-s-c)e)A3Bntl<7;&_f?NLb^V7 zg`kgmXwSTu+S?r(7*lz>!xLkwVB}UO#;E#>>_XD5*)^S_h>MKZGIeeDNNwwc@z8Do z{BNHh8vjPAlx%QM)c*nz(#}^C4>fzFt%C*~kQs>(TEN3gJB$rPYdzXiS($~6F?p1y zdbBbCF6|GG_7w0QE?DJ}%H?s7lvqai$0<*nUTiq1>D%df+G!5sjaBos<@;3gXCA-c zOWr!>k0Pf^1RR1ExL&GJ%otdy$i1i_&qdFY2CyN>ci#o~s{sEKKc$)K!-5Q?4w7*$X z&)piQr9jWut?{@uZ+zeKEkAxvwT|wDvlg=U@IzGz_td9urqXum0H9Vt|)Ivoy9 z!)JavyymRd`L8IAN!iC}_(HHMWPuQr&;csJMOR&Bwa!`UsxFsc-)@rtm0HK(MqLvy z>cK+R0WBL?6GS>kjD2j^tkgPYVhO~7jV_&JMJ_ZR7VKBSFG9;qGkNM2pw?A68|#j2 zT=qx(m0GurTVmgFweIo1|DBug-t_V{;XQ6@{mH-bnfJan(gJ(wLv#3+Zm|VO3friz zfH_`ujD2Nk0T)C~h1F{0w1ujK8Oac&-f%&rbO<$NPu|*q3?WFh;euNLNX5eh%*!$o zAxO3Ef`=_h*s&r;+=0roJ~X{`7euuS%%&pfZhfrCw15k47i)r7iHj<&c5-=G#iLp; zf^0{T9|Qb`bt0PJsA{8UC|+i95y4HOdL=y7_|Dqhu5xBmrEk_#%$4(hjq6*^Y)nSZ z;j->*8_-`!P~}21^2*DqP%hy}s;nbG0Mka~0bwgg#@X>1N~P%550*}|lm`{RFCUOk zOxnNgtdpkTyg#3Zyg#>Ne?kXfP4SqZHpy{(=&Zx{sk4nSUD{vrva!D;^V25HdcvDZ zmiF`%f<3>tRYsb&d|$5ewcq*7ul?-UtdU4T;+LzF5-CUqsh$Tstkz&ChEl>1Eg3r* z72l`cl5>@yBE*m_3WBqkMV~B~bCvM(P%;<6qkwXqbKon@$;wx4vT|f90Rx{Z;@7B7l!8#F64f|bP^GL4nR8#0aA;tiU{%Hj=~#%%EhO(Webdvc!` zFpbtl;gSW50gepGu4MZyzLra(+iZnPPTzq!@!BO2FV!W1DYD6vRY0GYNGSIky3oAv->1M1ku(Uoc6EWi;g zHgjzi3AdS}mQd}~;|RR*7}oyga_$_}eZ^kzVg#`^68w_lb{r&1>#G z`Nk*^dJJK8MnF^?c*AaAQ43Lm%+QX~K?r9RQAZ6frlKh;mclE#_}UU66^#oXN<9?~ zA}v&!ipB-^rBDeHxfa(tuEn$ z6E)HU2Lq~tdT>=WLqE8(WSf6`PFUarj`gA^?u+NSAXg7A9dcq{U*c;C6s9=uos64n zDR;>IFQc6VP`W@fJxS|P652QopS;*lcE08J3$4K0gDLE>Hv0qod?xwmKa>J;)bmO4=(t zYO%4xP?Ahf@&%|al}dMyc2f=QU2@uUcUeDo*v!uzHuH0b%`-lCSS>$y+{_j6=DEAX z_M<#^Z@c!vyWjF3D$~YLm8Id9WmyK`j8vB4vy{s++>Q|Hk-23BVjg87mbFi5xI{-K z2pZG=h~Z8dSS$^!@pIbw#p~7B{Nc?7UafR|3@ol1R@Z#P()E+=bimyO%B!*e8Y7CU zhSjyisL^mL+iCy9#X1w5t+yBJ>i4f{1oH8@o%YB1W^Um%1~yj?#=0r%$#&YGiY_*_ znWD58tf|~0z{a;5)Qp7&W_#~GzTM=pr<(-TxpyC1MHr(6xaq>&lxkr)(55<2>(zU6KJR)iGU7=?; z<*xM0l@so}wA@AJD(St~TQu#ia90_Z=)3Y3=~%>a$a*fKT;BMuy1uWJyF#z|qkr-9 zzU}8ex_He>B;o)Vr^wmEH$iz8Y(tOdTtzJ{*|LNtY| zz$pr%YMs+8w#7-4Se_(H+5R*&X3#a!2ma4Hny> zm+}G)nxv~Dv;fQ`&FvO{+3wDTaY0cMLlas_(e$<^@19f~Fb3KhMdOAmg2p9RYeZ&5 zGVPZjabYZ%B-8VIu{|!yng^6721ltMnk3T$d;!1$22Mb7w}Qvqu9=?Ti`{NPiWwXo zMD6u2I`J`rYx!a5)wbBBdE!Fj6@vF9yI~E6pH6b+Bw~O><>akeCr{ko@Ji7L%EW9$ z1@Mb&uaev02Exg$ykdBIck317e3AMnU^9BfRa5~tw?2Pv$NA%e9Cdsv+@Pi?F(}Mc6idsqVMx%9rD+XYQMmy{p;hoqb+7u9G5> z$Jgim4KM!VfBDyswrDQ48!1U4GuCMrq0e9mD)fr)6(i9{s*zG`$kq)EtIC<;!C_TY z_I~KWSyd^&24`wuR23CmS@8fADBT+VZJT6hC|nRuwvr`dh*)RHcFuspm13HZO9D*N zCdhLJ6o7IYo{1S)Mi^>`yEolaYCP{pMUiW=_|iM|(<(qVIg+g(Af?z~B7j&-l2{50$7>Sv(D(TgbHPTwSICyxrNpvNV7TS~?$s zOVp?&I0`|kL>I(tDx~|N8xWJ5x(Pw5L>B}}ukt{mT#xF5w6_QcEem{9i7vQf1wo=M z%zII76Dv|B7QrpTF%z_|^$?^=EQ06()D@S9y>-@b z5)%Vea$%DL<07Z1iG4r9p7vIaS?y!uZKSuYoQ^s+BA# zDJc^St&1TN)BssfGE%W3YAz?;()W$J5g4=e43I$&yIeJv*`Q`J1(IUD-#rc(Rz!zz$< zX)l;T#h^#+!waeDh^jOHry~7Kn)2P%-q||$!)%?`!X>PFjcYA0?>y%3-g$A%@LSWH z4i-0h@Jiqsn z{&!WPqadglj~!LguWErF)xHS(4N%_c20Q^26$)llwadNmW9CC=Rf`@@c%hOwJqndj z7R;*FhUT$u&sNA`Zb(|5DH+;u^t~q{%mWB{r7+N+-=DTBUEBNJ@XkDvXK&kTWF@|Lt4>Zs> z*GRxjb_lR>pnfCgiHc8a;na<@^CY@YemhKpG}u?aJ>Q|-V$Xm9$Pm;dYvXZ#lc0Jz zH>(zh`0|mAgD#9xqJ0R3FaJO^oqfnAMTKu{CfqEvf1$aW7W5h1Bz1}uWXN`uF&c1F z4bFj?NE!fU0>q{WC8baSGXY_gP)_&A?X5c1Ok9f~5g?2LU;sb?c;o5krQa~aQ38xo zCr0`aAO)3UP!NC6>e!)w-vY}qEJ)c`@Emb+ProN&X$G(!&tHD&+ujvF>iLJ*e)^er z#mwR)93nM{Ijd~J_u$9y&0{$a@?&+KgGy!1x~Np z!e@hsi7Z4bKya@E+p|f^_Ao_;7@*axSh*|f1?;*+hMW&mAG&f^I2J){9MT@GbCHeV zRyiLDlcZIwSIq9p7Q_#>;n(<*lb>^jO}c93?U=IGVk(FzIN6@W@=H=uD>5JEB`M~7i&3?25G!ketz z6>}$fH4$jHzdhfeJuH?_?VVzC7VQI$jY?`=OrLq%b|KeGd7=ZE)C zbAJ98LEY+NnHxA*7pWRhG}RjKG$L{kpM?^D5l4C}DFF@Hs~z%N54hGcgW+IYmsQ7v zqP^1od~lKyL5iyyC?O-m((yISiv49f?T_;%w!)Pdj3zo*^8SKwP5akcOI(pyPA^YU zV%WsMwbPZDJ*uaMZBv!lwB_57gG%hjKIz$y`rD&QY>CUIDhDN9_%C?`4IMInNe8Fj zWF-a^iuz8VnL!q-UNI}N!Ra?yi513`zUeoW62rJdaYT{bvtqL=lBeF1l^6)s4yd@m zA|8(&cJ{2qhUe5|CANEnIW>IMi-wR7uDn!V)iq)LF8ga`|Ii+$ymCwD1cF-EO#V04 zi%MQ--t)o^jhG_h?0pfmvv;oJqaM_%+yQj&#(s2NPXcUc6xs0N-*33E%Ej_GLqdL$t z-689^7{`SHxXSAk=a_X|A@>GUr!yxu>$u?dUTivz@Rg1m>X?_5K)F7J+Pl*-KkBGa z5r~4QKDd5Ub=B{msZ0(+%Q~)u_*cao^I}`pEUe2{ojumqbDhr0EBDF7zflqz&i%BW z8tv?-y^8&`IlX>&TvMiJMqKIE*PYYr=Si>sxi9{)-}pqN*A>ClL9r^b2!RqvDb!9G z)XRWZj*~POn{Zb~7&MU~NLyM24;+#SUmVfGx&?mHmb&0^CE!%zVt4EI(6ps42wW`F z>%_%ks&I2?+EN!pJ0&O9v5!_u0QnqgOI;Awn@k{phwe8<0b^g`SJRdjL7{FJ!Igzm zAt<)gC68E2(j$o=ULt&YFOpt&Nu-5YI|sFyOt6xWfACWe-&srs5rxa*Q| z4mfp+l4we9CG+h88i46_LJU`ew9D5>((Aq^yh@foc%CQ(v}@)Y#EOC>n~<J9-qXXlC0PVJic7NO%+r%3_hY{Nu+%}KtAIt(%ONw=4l1+tWRJNQZcim9QPN^hqn zlvMas1VMIN_&MB^_@uwAhUT7YVRhkst!o>bKV3TV9)Z1X}mFpY})dziCFma+b*^cHsJhJ)lh<@j3BOWC!(%3=RaBsJw|{ zh!t}LhH>(2X+RJR_0)!HIReA<((7B+as)QmV3s4W!3MJ&fkDG7fztt<4QjCB$J7y+ zj7DKRd1RTp4m`zb_yw|d6G|@81kp&QBmss`@02706>+I==U`T>hOfCD>Tjzi_6;|< z<@+<-;8xwBM`&VTCylk-7Bki`xh@n=Acy7>R@G5MLa~6W7$8QZvsN- zc?(QkmA8LUKxp#$&|Jff6{`C~HF5@W)!Z)0r;MuNbCy(lV;We7y;IX51&26)nue;m z9lE|YP27(2m-U?X zsaJ~NYDxVELC_5)lu)_38w?zwtAO(a-2U=Ij4YZqGWfA+umKSk|Bsg^unW5i29K_2&bb6MD4b(od}aHsq#aF17n<}qKhG!oGuZ{izX zR@^O>X%&8Thoy`PxCknUj%k=Krx+Em3mO*{(=ZDIsl=h>CfYed3&DsmyMh#t`m`ZP z1?(&Cw;Sz@-k~c)qk|9>t8FWSD*<7K=4*@$HUvch`;r(TXuZU1PXQ%K0Up?z+dY~S zEem77mn2D#$k#*=!$cJ_Caa^dWVqxbd{lr=lCW* zO_8akQ{}ivKMLL@)P?HHq^=bF8E}x+--U_0Tl|WoPSOdVs$X%wyPTPT`&n`PFud!&CJSw z%{RR64S)NiN@uq&)X^&?IfL#ZEz$a`*d}LecHnlYaHkypXT5URuhCdT1++mG4q5;i zuLDL12`xv~D@jvr4aF3KS+4+;%GpMEj#n$m3*{BFUP1GZmS{0T51bP9p>uKuL~}}Y z3|Ls_SEq*rUb^&DaVQ_?Mw%7n@v>7!L7KcBh$8TC8r??xnmRe-6uAgHgcUho$tpR6 z&Unr)XR?VMTRAl}Nl&VZd{A=TWWV))E1z;s#lNh<@&2!#=>`Qd!iQcp>Y@&ihbU^D zq3-N^QV*4nQ40;Z_>`42KgTzHpG=*$fkIKID67*ywmWlyY#)aquOPn`BB$zBq^J4< z17}!k0$O;pw7D+n_NK@B0+Ft^xpO$97IKngdal8h>@9$+LwC*eVBlY< zkkB1ThP_1+0l)NnU;qnhbIsA~d$a&s0AGTwvLD7hn*I`CXowen%1eNMvzGw0&}oFV zJec^h=Ow_u`b)r%LoWfo_m_YlJ1>C_J+Wh5?QY>E7#MV-kz7Qf+M1T!3SmS}W|jr< z?1ymB$SDPJQW&Ff8wGXro>grdI_o0*7}*X>&cPnptwApv?LqiK5U9dl*-raQuU#CU zlS!(o)5xF^353&f?c5QWBa%-?QdaSEKw}K1;&l8i$8)3|l;^nGA$u}9za#B`yx3G# zH<|vCZkTMx32!zRo80?{i65Kl_d}q%ezKkRm%%7;{LT7eQ~8A(s=$2w+AnP8uIS_C zj@fU();I{bBxB0TrHNR3Fc_Qlc37 z-!^3`4~V5VLFK~yFKh=$$kfKgit;3Q9#ole`qR3+%YNu1pdRAmZGnPUh(@d^FO#iE zk}wDowYIUgoe<3VUo;dtylrEyTL@P9UxhOwqr5{+PmF5_Y6xM+MgfdWJ7kDd?zI?$ zaDpjn3R`qp)C@$TR=4{MP%%Gld_h#Yjz1i8!T3*&}AHs2Mbni5O9~ zBSve+7HOv3gDL_&fpd(os0vML;89PHwnQ+OZ_iV{eeE~B{(paOgy4#kcfY|=^QBb*87nJ_*jMH^xL~v@Y*rnz9vUVW z%nj|5=*@_I`VB64DD46Vju2$tE)LBQya?)W3gSO7yRQ+X-{6ALEU@2N^dBqIZ*aka z>qn;om~`ty({Ct(4(o?tr$Iyfh9c>i2PC>XgV@Dya7iSVF@O->07zto=`DWuBzv4J zt*42X0)Z0iXfql_$Zcyx@;ORZar6Xau@F7g0yhS}a{^qsPbU725`!gYxJp$G8Ey`W z!YNs)RKa10I;I*Se}$=UQL3$}YN%<6;;ORCQn*N#Q?|qMbu7P(wN582)MaUZ*-raQ zmAkh5Rd#g*^x78DB~|8@7bq&`rtPr=iV9cPF;*-)!^$(EbVqa?b3A`{@x0yg5!Y$9 z%j#84ah))EZvvU`a>3O2Z~vp;|8M^f5zlLPqeG*u*AcNYkqJzVue)=E_}UghWs8E~ zVl7;xxdoc@cGWf3UA$>1mf;u(Yx>N^jemX_s9vTG`P_(+biqyI^T$-vaTi z$%!~L?Xn9-D?3KiwdY8?Tm(xiJ8)VGwvH9WF1uv3vV%WIorfgJK+7egl^w+hO}8gW z)`*K_X=PunQEs67kR5}_Xk|y8 zyuCqU>dKm>l^s*5)FDd0DBq%JWk)}zR#;qelJ}xsN0b_L+Z>rRXiEP5dT4l$H=%p< z6&&;!rRv?Sc?awx)w^}CelmO>KK~a^OnlP)=T>&oVQf~?bC@f~k5w!C?7iHb_hRa1 z_Fhur=i%jb(~r;Xy}bX;ANy6~<0PG{u8&_Q+ec*1LH|ZKr-wEtn@4aokU5`){Vr`z z_FiD0Shj#52Ef$zsWVOz6#UZPw2_fv*)(VG1r>F20I?BjvuLe-HjQBL14A$c!9LWw z8rge60~pb00u|^m_Eg@>_PBzfwouJ-1BX}JSW|~)d#c~R0<#qpjC#VzYl0%; zcg;tn_+Pq0Q~a;BgtWsvPBKUg9=~ytfB5@8<4b-aXbLngZ&S1&nS!GY9Z4$pHvP%h zwImiDG<;Y%6xfqHPrZf8)CG57qY~UzALn~c(H3wq8gM&|H#tR%a113-Ima$Jd`{7B zSD+B3N;zU8MVooeDOzeVfi$sbsS#fMn4F?50m%XSUW1$vtWvaSl4V($tTQW9XGxNw zpkE-=UcuWVk_)p9Ns`L6NJ^hEB@ZaGHko8ezqzE1OCd)%pxUiuzCD<6Y(AR|C*4fFxugchtNF_t*S2t*b)+YWUa2GXH+M}vmHMql+uMgcs-Iu^j_v)<$ z4`_GYt8a3L?$n=^&ZeWBKty8Y#IjuTb+bvyM4Z}#9uvZY(9|J{|{Nr!_<&XQVkpa}vIa`fOW8hdHUsz^Ef!RfoAhre)d(Xa6vS5 z_+%w#r?Ic_t3~a*q^VP)^hv#0FDX8>O9Ey~ib~+o8D+GRRQoQ8lT#IqVNKl`#x%-B z60_Uwmy_$QIeNZ5W^{0kHrTn6oUEcni>VGBo2-;|;f)k>qcLSOFUrq-GJ1NCJV40- zI`%hv-R&rmnVUx5?x>4403Y!bqUxwc(Nrc(uRL@yu5M~`fbfmrJNAB@s+{LU+ubGF zrrn~}z|HWT^T2oBxBt_B;cElFqcC|d6B=k9&{MR|h)G{y3L|VrG=5yyLDNIEBv!~f zXojekM2)zhM=5<&OQJDM(D1>`@gW9k&QY8MUvY?&Nt^^1Ji_eKN!aaxp=nkWC&2{4 zue6nD6CO{eCr6zGlLUjEkut&fYEdoq+AucsOOETuHIZmT=dFh0E;-putG&HGlG5)r zJ=U?SjZIZ^`ihB|+DOT_0VtW#JEt*{4x)Dip95b}o?nTW(pwBG4fKwGJ5wTQm*nFy zoYN6MpwIvc8n$fX_7+OY5cWUfd zdY!?9AU22Hsu&;92xg-U5(cHqMtE$@<8GX^`sG#(k**SrASJq1q)iBBql_Yvrb5wA zYoy*Gn2j>LMJyzxDhxZW&T-T(mQYcR8JQ5o%K2tBDD#1%LUOR#7{&ZmS-g?)#jTyB zY$gU)u!I2rkx%6kqnTuT$`Rs#lpaba>Yxt0uouTA0nzO30dlIol%Cr#Er9GZ=^QBu z{Bd>BA(`Bk3*)h54-%WMYfn+?gMM_(svrp#9Gtz6j*UAmHmOKDVay)5?Dip2EUusD z8vd1dY%9zfYmc6u;0thiku@80iPoI>i3CV0p7??$WE}sU-G#t&!zNuK4g)K+N$i$VbZN8sDOT1>oy~ z-*z`~9m`T{xevZsl>`b2#<|!sx(mMe{L_~&JbdxtbC(aKMU4(73kjF+U{qN)j*EL` zU+>GhZr9?Sa-C=2fnCwT^%7Qm`gutx)csuVCgLZ)dwBUEp@F!cAFgM&@>R7LPgJsN zvFdY|&%OBE<-=#>_sCDX;(ax-7Dk>Ko97<0W=7PJSS@YC6MQ~`=e1$vM!5T=q3QE2^ zY(C=-28PYUoy)j`{hF0I*7K3sYT*$z?f@V!t^8xuL>*TFJW z_h)nDU~ZxbK)NXIoGo&1)Jao6yNf0ehfBXSzL|EQS$4S_M@{#8)+I&10)Q4m7o;*B&kgn)Mx5K#!x|#J*&!xT_ww${zN&w$tYL3mGP{mlB7f?|k8f zB1Ogi(I4|oU-UCECQ}=*9a-Rn5TM2a>Xcq8FtYmg+E>um%uf`-^?I#>$O5R62|;QO z7u>HvmLV%{z=)maihvbSvqLK;-SjL- zgXceHyWDIQ#SF*3Jx58%`-;nza$fC8Ki;e;*%K247?4dSEEl4@&T zl;!7XDhcNajnryGNe~xFze*T@DP_m%x959=$Ab3=YoZCFUh~8kv#$;$&pFC4o@u!L z#e|T*nEW`-tR62WG&vt zRIa+#1|n3D?KnO;&+%Nv`5#W>JZ~uATMBfgG*8zLoaEKz08uzN%H#OtJg-6>p&3u1 zhHR(v$NA<^2NdTZ7S9J1wHUvD!|m9AySUio&~CH8*y8)(tcO5#!m^$A7moIH{zp`o ztNkVTiQ{j%9s4&F@cMX_P)V%LNB1h;2?z@y#p7FY4A3Ubr_wd(MS^Yr*yE>~6zg9?HVa?G8 zQ~w}IwfND2@6k-!0R@YWWWGOxtax=d;q|n5qsCcX%x?Z)4c^k6))Sazb;n|)z2orm zl{@Xer)8zdUd`Qv>R^Tqk?pV{lJ!rU;yQ-W5U0~$+?RRU{hW-uyJVc*@;Moo&>(lm z4f8#J<3}(5Y&akq^tl6KAs}MJBlB0xv4KFn3H@_24kaSS-thL;YKn8Ln6o{gW>ta& zWX>>_uFfDyD54Q9V6+Ezp<>15UU*mXiYYyTT$_-56R!zl{kv)I%-`wD(v z&L8pOC_)yAzD_H%_AlB!KkhNBP|g#X5ju2nl}25ah_n|e>aNLl)t#}rpKiDZas{6x zmdFl@g!%j`|EGS86CBu9mDtPKgBoC3!%H1ps;84P2%bNPJVW0np4BiBZ^MhLJhv%= z)FfK6-fOnLM99d(do?Ca^Ip{sIb=i%3uLshKX`9Xqp(MpYEC&2b5NxmM%)ef6QJx* z#|MRf6>@8qE1iEGayP|7y*$ZXNg6<4kti0HpccYFXhu+5MXCKL0>-CaFc`6g6wN4t zyDCiaxCJd~5IrwK*Cn)mR9}Hh8b+_|JBXUR9vL}>Ck@!g2`sOWnsAE*=waGzJgz|}^&`wrxy)6SvwMrUgJCE2W8QZVyn1);%K!L*ANZIr2o$6ia@}1iu#qw^ z=Uns60azic8k`Hf;zMAWK-KI?2*eoQ&I;y`?uwt|5p!i^MNC*XmnsD5uDIY1EI}}a z0L!2ds>Uz`sj*yea0WY-l?$S_c>N4^aaUXt4JbNT2d3K!IdN=pS6tGHLp;m9a4H2- z$54=yA6u8&5Bg1F1STdsidEx|La3jrMloAL6pe>2By~Vwce)0%=k&HT*tF$)HP}CQ?_0k( z5Y)O*tHG?IgCCB*SFJnwi>C-`+X9i;3N9Y9BzTo=7*YsU8tk}Yj|f%W0eoS#c3=+6 zR}ADCNQQ?r7^X8QqX|GJR_KdEXAQ@c%nNApvX$7fi+n!}RtODDl zGo%LTFyyS#4~9SmDUt2izdQE|(#6Q&YN1~67#W!tzT)q{;RH7sgKJtXn$=ixle@Mf zYca67D+@!PNytV9NDVsPbd!NgZWK<|Vb;$h8q{X^g}%uu3`ATc%oIWELJYx5gYAIC zRs9L~wmEdAz>qw{Ia1L&_i?OP8JVq2vZ_-(v{0Q;G|HLXWK(G`B+aA8Mci*6 zUMO0sPdlAogTd=VyH6+t?e0l0v?8-ZAt98l`*+}6RiL&`W`NI8Xn;rU-%-RwI$>zU zN&|ID64Xd>d}tu_E2aHsH`((DeQxr-Kl&3Nu^Y>sf}W4w9V{OTd+IPodX5^*vvbyg zKobf4mAv#JNDbzK=q_XsjndI-)y%TiA!aVfmIrYcF`Qfa4dcin2B= zfE*jsyqhJf-05MB`npI0T&~siI7iQT2m)cD!Ep%kd3E)Gc$``cg7CZBL(_D-V3f0VfXr5UM8Ud6Fg1xA4MrTgR-mrr zmunkP6oOfSmUc8KMWde&!CHZC02C3mxII|kNs=Ty-8SI?>|rmcY5`WE|CzOSr9eSs zPuP)^lq{E=*35Tjhl?$}LBJk&CG+js14ShHX$(EFDcfnye1Fi+t{k{9a8e}822Ok} z|3yf0XulE20bx8D*rheIiHoWqCSrBWOuj`?_S+&>Xs=SfN0v0g^;`h&)kum@=I68Sc;$NPt)*ODS>d&4uxcB(!$PC?)Q6$XDFWQ6m@DYI>GKt&Ul~tKLM1iev+5 z|I$rF=og+x7t>__bkkIa?Cw29QgFdCvY zrbtdf)G*_an^=$mIVt0SMiAr_k}*S5k?2{%-qwqIZ`4kau->vA)(c%yRmO!~RFyG= zK%Md6cI+?KE^Ugh5XMkg-~6Gzk1bfgf(U9hacLzCK6O&JEq`hbe#SH39iDmGEouX_ z86b2Xa)H0|Der&NFO3Dc*8B?H(Gx&sF8~>LhNLTWEg_BHSiSz(47qa80WFHNYEy&&^bnSWym5;VvV1%W_;;4ZsnmVqKq_Aug(CES=M6h(@ z_vPDzUxavBj=r`UOIkDEpW}-8M*5N%eZUYqybr@O`_T^zblIuox=97xs-Hr;?*Ew^ z;-NE~mty)|gnw(N#I~%spXEZgCUCMBUwSY~O_;4=b8l3OKeJIlWlG}78&sikB0~I71Rvfdh*eb%jRgnZSx|G>TEUeZvNmh3U zOl?9)d5rjq{ zcvkpnt=Qurm)o00o2@yJHLv|YN_V?XN_8F3El{%MCJx1xCOs*NEbG~(43D(PNzf-P z+b6{;Rqk}a+wNLHO|6P?&&iZYGVU8qZnGzSM45K_N~Y@SY0LNN>No$s-}3WM$HXjM zsH3ZwF#L3`!NOxe@OX8zHbBja?kMOaz|2*@xy>|X#T%i!4l|Hj^_F$P5ynBBJOH;k zBm|YNc050PR=BUV_RqR{hw;CvT>vF&%|zDKNO~$i5Mm3kZPhDQx*F3LBvlE2w5!=z zGPSEk?j&b5W>;lia#XYNRTn)_KB%r+nRi(uPxZT;Oe?#EGEpG|4B1BJ%JD3kd{uaP zxKREt){9U1`Z0MzpWP*FBY#vXY{;1=*E%GeR`{Suy<31KEE zA@N72DWQ&zn^R+Vml~UPi&{G|r^e2c8vAelrR_icp|G{u$mq7#+8S?VgthZ-o-)Fk z)+yS)H8tkvcyQn~8uNwlCkxWnx?u2bqLHIrk+#+acYw@E1rgLd9YNaK5CnZbJq*qP zqfyR>mbj=$BE2W54wMg@CU!(SOpUoD(p_4ME<7{y>Rm}_S^;(+iYw|yT&1+JyueS4=6sB0wVwlwc-%7NvOP|W3 zGsS(l))DZy-~S>cZGrMqu1ZNor7ue5DTJ9h3rHyBNyzrLymcz<^HIxNGKnK)Q4y1$ z(%U4KKRE*8l!qfAolmDb0`>-;>Ih6oAkZ@T3qG=ZYs6S_`eU#Eq08@$$V$(%Rlt)+ z_ymVY&t#rl)dp&v#Sawpm*n_oI?pbVRh(R9?GXJ4yd9}YYj_XAN&#cqnV}w`ftwX8 z1&lrX{b?-j|Z~eRbu-WDso2FKeovFIg+}&NXnGsiAHEnk)9_-`hWc8-}4#&;mn3g zqy$kbIYm0Np^}SrW3PGM@AMki>D9@1`qKA(@TqT()b3V55>9QUTNvYmeovGI z$ynvB`1TcSIPp;~h{-FMw@bm@+Hj&F$jm|rf}B$Xw}5p@9v}pn+AV@UsRT3FZJ2uq zGPB@<1)_>3f9n(sK}iqEPs5J^%2t~>k4PXjhZcv#1VL0~u&1TNo?=C**%rYAilwZD zE{?(iaP+ex?o>!_TynvwX>82eY>h)DmeiW9NrEN7C{H$^25in!Yqll{9Z7n2PBcOW zsFloj2iz$fdC3x)(@>?O=i9SgtcNr@*b-$SO+-O-}~k*SGiN^>3lK& z+bk-Z{+<8rlZk($R9DgD*ko~0)sE&V?(`#~xF)?|skl^=LaCW9kqI^t*eSSldk@f4 zL0;*TjxZ*DyPGlsfO^F(=&34bPNUvk8r9Ux$SrEs_?$*PPa5^_y#Cw1@b^d5q8xv2 z3XSm(@W&4mx46SKU>tHOL9y-0!7&2l~Suzk_6=!NwO1}q?MM_(HXTANg!td za>1G)H`acZBuP)jC4s@^?Gc8`hU9nD3sB=dF&3#j0^P{i6#Ew^n9UK1e8nl0Zp!^{ znD6-H-}&G8CO-Lh{x`mfPkPYFWau*%<&}U%v4?uZz}z?tnYMguzlcTo1K)L6|Lj-^ z>j+kAC;|-hTOB38lVR+VlQ0}Pad^l{KoE%_0z$H&gdsk&ZBtPG)G@W7gdvVhUoSwA z0hP*uel6NvGDa>4{U^D;c9|P3m4thCcxUcH9&G9y9=U zdJL+&quDnZ=qLHAYR!Cm&|*O9aIU!)R=P^Ex<5K_kympqvxh6me1rC&$Jgu5A&$-E zR79LV95K)jEM%=@b&HfDjU5h5+SRv6Ng!-Zjt10T2u%H`k3QL&hz?eBFxKHge<9(nuG=;IjOD^;%O?--{BQGhI?8QyGUyMg1IPict+}i5mC)5_ zPxOe*=me0nS-(58KJ6CGW_>z3X*p>c^K!vN#z*}0cf9NQFzX78ZkuX=grIGeuw;a7 z9gQj?2%2t%l|&y3&AM%|KiTNK4n@01eYLG%2sZ|~5TsdmLC}fWNKCK} zX=)?{Y1Um3`CE41z)=8~oTf%XkY?QlVf=_bVN=c4V)PKCS$9G3B{6@E72%|`eUf6< zT@saEr5gq3x0Gme>!p}=mo%1n4xw0DG1m`1YJzDW-TbOyO>uXzq~(K~Q{5#;*vX>y z@dwG*&Hw4~Zo~e@fq25*+Adt3!X$)w3>A!2jB)NHl&noEcDAS!RD<_|%@DBGzz z+5lbI%2-Va)1*o|AfKPuzfA&=feaumP)z~LM9yt3sK)-Xo%YB1ZZpv(astCi1UiPX zzj%LXQ!YNa<9m0;*L2E=e>5B4+cCcX&X50FfAe=o1y_li-1rtcIc=_o zK3lCi40VjDU9c2pQGc}lQ~SzdY8Tvbd_3*de$COL3>f>fR^i1GGHqkX_Rv?POKd|eXc zf=VTQI360tQR517Nz4-En#j(hjI3^qeMKxQRi{gWyp*P&S4jDX;!;jB)5*fNHd-xd zgvf5D!@9qEO!YvVJa@F7zoXS3)^=9ZnMOz1@*_s06I4$HhfZ6*wMh(S{?_+?)E9jq zf*FM;d5+S+O2oLS_S-Gpvv!zd0}c%o>C)JlLr^>$bbL4U?NFA*_os4S}T5R{Ui38I2Z00}d* zdz-Wrg4CzB#i>>t2t}L=Qjg7wT9vgW_e)?=L=w!|8pFks63qCTXd*xolWT&gpo7k* zK6Odh8;ur&@1LP<1oL^&M7+efQJvdGOM6 zU-qK<*w}jM;@RG>p1=Ikx4rA)()WA*A-11>=3TKfzB_+so@%=hW7k@vx$1bHs^hPH z=l}P~|0G#-DFJ(gn68(gPgfQl74O5%FJ z*4oC*Ij%o07ere#xL%+Tn8RBg3GHz(<~$SiD-pBJSc%v=$MwimGWUQ(Z`Ms{J!%R| zFsru5%%E;GI2|!uZmPW0d66i%M7pnV+c-zaa9=6| zh^@P_jbHjTANq+O7^@+o69=3u3{#Iwbn(;`zg$B!Chz`YBWzV-moAf?L{$$DF_ zm}`h>yyDV$4!k^}gb2Q3;jZZ`U*;MjTBI~Nj;f@k+hfJ5hPVadl}2=r_N%sxmurXz zVSk|D0kzTQ$5b^$fYp?`7u_%bFx5X>a^C)P*d-G@T@? zyK~$qY6{~~EZd(X^X&l-uyPTjtqU!)&3RV$=eQ;+7`09~L-lVTbs*G`FEq8S8;uj8 zmi?qTCb~;ccc^~h#CPFRa13MXf2eGK5G~(b8QmRsw10RurRc-6iBA+w`F9Va{V&*g zTNII?f)a{n9>YjyIJpyit<$DBw&7jK7{-);PwzGo?&(|R->c23`FDGJ!tRP~_UvFldoFl zNy9H7Yt)wVFOEXz29BrOU%$jZ`Q*!^J zbTb`5{?r~_`9p0@ys0czkYAUCQneCLe5pwSqlE+t&J%7%rzc$7QOlavoV*7Fp#4QU z1K1?d;0oVH)`Vz#^n8!d7zRYRcx_7=OP%i#%B-b@`vRR|>^7s@#@_V&i>A3Qm-UN- z&GyB)EH4he>|wu>=NLl%;_zdBaj=)aIQ)2~7Y9rEi^Gqxs-KR(I5?f*7w2t`%yKWr z|H7im{E*a`jOi$c3X?2PY+#btOF)$~2zhq_+mzfN2;k;bJ7n{}USII}fM<<+xxV+N z_2L3gYu;aMTH2r6;XbUl7kC!Z{;Lb*#q$30@TC2@o%YA%v`h)M-dwDA)&BC@r~PYh z1{bVa?r^c*r_b35(7yVzt9`DT5wseb>a`Teq;_Q(0=`@h*; zINRb4>eceiC*Qb9`tsg=Y~kTlUlHCQHgT=XCYIV@YD<}kcOQ#w(Z3P@FnF-a-Fy4P zXP*@}u4HGzO=L=^yg)^;>rVE|l?A&bx|$-o^lTCL7pOz$7}xDu5>1+Q0!m^q!^HGO zIh`i8aXmg`AnU;s6Dmr}g=Wm2CCp>;U7>$H<*xM0 zl@so}wA_VfZ8lL{{P#yxCb(f!0j zQS7dsr}B2_>rhVD-RhM2aMt6K3fyUz zm}>z4i*$`peull&;Y!I~N>^0!SJ>5+4J7h?%gR7%To4AXg$u|)yL3RA|CSHfcvO-v z3IhvwzMgjn4-FPG47~dLaeUcM`{R7GgM_BEle)|#YZ=Sw<#CHF6Xg-w6q{P<=j$xy zY#E!4LfMM~vt(PP~+k*S?f&)? zO4DE5?r^#A+bfome1o9TwWS)&W=1*cYu-q;s{^^T0MbfUrF3;tFLZV?`B|5C^nh)> zJ0jjJuirX)z_v_Qwj4cR8`lTAVSq+mP^dhp+;Gb@+RHk>aYIpwLHHIsKt-QK`hjlX zRo1ysi|BVt2ZTVCFP804vMc1vb2yB8&#Ll6AyDtn?XT$PKPk7vIP%YXxDZ|zQ?j+-&y-x91JK7uis>&jCc%SPm z!Xs;MNj@g+3+-J+3|eXrk6*S!d$+kwx?`nU2aj8Hzdrb=CFAPulgACszrTTQc5p@s zl&0zCYs*Pe6_aAp^|h&}Ow~Ee{&G+$(OF%n|4jS$Ej2nT43&KcOLf za4#lBBGUOL8mMv1Aqb9gpa{mRN;g zpgLB`kzav7AsH?>=N#1J$PYt?SeYd4BPdXs6|2Y(jML2fk@rzaEc23*k?<>NMNCL8 zNEKP1WgYn)H$W7a;RBA{oD4>N>r_T`$^DLiobi~ii*RomcxP540Nr)DR;c}zh?8+M_pg-ll7;g*YXZ4`H^A0XNPWXBQ3Rj4V2 z;UzoH^g*)Ye38?pTpo|2V8_#Y4m*ykyG?c+J`Xw%?<&Tc?f6U#sl9Xb9}IV+AEB4~ zJ&vxc#T^WIqaX9W0%0nUp9cAKcTHU%`)gnSalboaea&3E9rwBlDn77JFwc9SiCZv9 zZ`ldSI!nxYE?nXNz9PWH$V;+N3|f=6NJPcpg8NdR+8xb>h!ttaU9fb2?Jx{b8P7tH zcH9M{kcE!sR*)Ll1$Ur4({n@vTKlVW*=f7PAa+_(=*E3pl@mMeOB#t9B!Nj%n;R!7 zcDzU;yDW5%kOb4QmhhzX>ub`r){5JLBet3~^Zh9$ zw>`LV)P3?_bRI844Y?&~0gTpd`+McXnXVir!~srW#O2czyKj!f%RrKXw7p#H#oX2P zCT1E=$?Hm{BzY9#Ke z_6e#C#;enx_$&YJr~L4f(Il=TH=IDl25=yX1%(Q&|JbPDBc?D)e-P*OY68L5FrsN+ z@vvTLX_SRTHEkhCd*y;j>Y>Dn^e0>pusmRUVg12=Cb^3k zVWcpYBDmQQYD2*l(jqjfu_FBm7ewzhX=6yq0`{{;Q2Ys(L_ZjNogfLvtUXEbCtPy7 z$5=%j2<4nLad7b`ToRPjn3KgdH!CCePLlK|ToTC$4oQ{)z=lS0$+oCcj0~NMm=-2}pK3V(Je31#xnP zAk^YywL^}&S$c(w8<0G}U#iwA?azz-WjkyvORu?g3dM<&k^qeBtJ*V?5~q}c1zi-U zqd4d=`BEr8JxySb?TF2|aVd7%@~uf?DfXw=zx3X}e@b{JBX~2AE(ZVw6*v~24Mttr z{XZUTsBd4?mBTYocW9?4K*^n`D~D%Dn1KQtN(B^+hc=kX;n{I56M%p#0K}yg%;6b` z8#yJN&6{XUZG)NI;Sh}-Sh5rL5Mbj0(jHVB)&kNEbgS?T93q@Q$gYwN!pSC-%6A9z zcNfxRdcbhM4&w*2V}A54GSQ-V4?uXg=uUY}~41}um29T-RJR(EU(<<3o2o?1h zu{6%M`dr0eAM8WU9_zxW9ovU#IcF927TVlE8&;+76VEIS9_kv7wkWJc-efpBdc48l z5VdlO;(cTcj?iX=;UNa6%6_jTdP|0f+o9=KlC4hrqq18WJ_uClcG*t*^F>da(ji<7 z2d)-6iX&E(24a8y?`ywtfpONGbLdFB_*j&b?S_xI0j-^$OUmadDgX7iZa(s7qXR;n zrf%rC#BkmTq2pn@0TUN;)|l^LR?34DO-0)ljOubFVB)?}xjA$^t~Q*&gB1~sYVtN# ztU|}*l5r8k+ojM;=Rhxqj%dx$#PM!>G}^FOk?POSafgshW6T{Jtga6ox0@qlOwRp< zm2L#9&~Xd@2|*?$ml!@+FIk6Y2W?Ac(QDw#v9a63?E#ZpqfW4J%?_OB+G^rS*7tn zkRy&hl4YR&sg+6Ac<`Y#ZbA;MGebOUJPd?mX8m}k(eSS8EQ2&Y-K-4X-e>O)r= zZ&2G-8gEeDR>`tqeOo2V2K8;eJ=-(r+oI6qgR00=(rUU#x0a^={z}xou66zI477a{ zb9H9}+LCh;#kt2w`@Z8p{%ilikCeu=(& z0aKt1&?ba(Zml?`7^Bw7-Q?U_45mavzOKP5*Sp0vOsUyiN@jaRS9*+FcihHUO5icu z1XGn;D^n?vimCw(lYPUaqM8g(`sNl|ZdI4SoT>%(pRf_|0uJs+Bpiwjy8JL)*_&)aj9 z)V21bpt=vt99KN-mQwJ^D@u;q1d&xifeZO0FsBPUSQeDX#g7e6eNHvSl8D&a3n|*M zNFMRabqCH+$2)5^+wYF z9TdPFA;);!x#>@J=g2LZD>ORcc1mC7DbvyZw@?3^7t)MW%_nv$G+Kh-{BIaQn2TKf z$Qdz2j7R~pp0YX`F{jrR>IzM<`iNdp{Ha&W6`C!82}l~^(EGhHRK|)`z7o?-q@Kbt z>22|&%2#UBAHi^hEY^pv@|7qFDH3n9+_Xr%DqjgOiX>lmpagA8IaR(A*p3|}S)o~2 zgI@faDqnfn08lB(QlM-)IZ#@C+Y!#oT5CB-aSGjgzBxj(=#m&o$CgYSeMv5v`j<=H zqDXFdu_c`hhMG!pvVWkKUWxxw)Zf&GRO;1({nzuYt3~c3*xy_&nu!5k#rewF3%EOo zziFP?3wT*(q<-JKzVZ00QMJ@*q3#9vSW)m;Bg0JNzD8nCj6#eRmFUuDqyjV#XdnfF z@K@Uvf)e|?;FkUQ6vX%HSA?L111^Z6s}e24{pgHmmDt||fwCk=Hdfp$4M7?!O6+ff zN3Ai!uRyQ7+QJZ&*xv+qXcbVf=fYVBLQrCVKSvY}6+gOQ{(;st1U2^elSDQ_u%0^( zOKBwq#b%OdZ7_dfqgjG#O^N+oa=#>k6SjThm4$Tle0P8tW?uy*7Y6Z9lKJ)k39P?} zqz9ez%=ZUw-xfC#Yl3=Iov*ZJzCqCl0p5r9mMcw;Ur%6bwF*p1x#}vN?1N~+;6{7~ zx+}`2YWZ{K!v5vD0doDY6Omb6(i~t?3Bo(L8jIs?A;hK zcAc!mgyk6~yV@C9zKy8an{fHtzU8xC3Ok?-B%T9yJ`%+1RA1m>!Sn!y)CXY;HBAxR zFnECA7WjY7afTojjSD7DPjB=NLFyS7w0Q*#M44#iy$nIBnIeeL4N5M;#wu_En&3xfP}sqrNm-8HKmg0urg5aTmdZ~-2g<{ZTixFou<78+$?0yr&3 zK1qoNU2?l$fWnmV7{cK8ETwqnk|1)%LFMX(U3P*z|)rRQ^23_XTJ5feRCAy`T;D1OdEW~9UKCmkX@%F`8b-#?p5@f zyCb)9b!N~|0sD$a42pr9N#<8ThoK5`Um6u~5d;C2=vB0GnMW9hrUG`s7}0~`S=*pR z1zZHnh#qu0s*f2fQUSYQjOalMOogx*GPmKQN|`NuRBXZnKON&|5ACTca?Y9E zUCwOUEo#lo+}m`X-lqTbEC2CV|4PJ4T50xJsem_-l|cvuFdHT~(YgiDLg_MHFz_;< z&(f&DzA{=27eo&f>?v(J+JMy=4?)Sv7#0N(5P;_)hFU0vl$k!^r@AAPQ)ZKvZ%rvvWxj*HKfu1BWq~-y z9I=5_0)~h7V%_Ea$PrtKD#60sVLPcJwxfrY)WdDGSVwF_V^zfr_@x}2t14!oNDdBG zWy;JY&o)?9^;@@cp{gvjv2OR_D5&8=-^r(}wR^r689nfwU@X0l!j-UZ&;4Gv((je} zD$;8W$fb0H-BDk~RK;vB)QH~iQ_Q>fUA^VYPvKeWsL`BCyA7Ttu*y?Aj`A#_POBdO z7|+rWX>C@_gPgTlF~iN&v{x@>ZN;;M;gnkaK7jM6u^yFTHjE&-n-*}DYdcdb<|TRp zBx)IDYN3RU$0?mAy@>_StZHs^I zN8e+>oYeC%WxRQaM!jxTvkmQjQoyF+(-^b#WlsI+Lc?`xm3g^ZedWaE|IF!jJ>R4E z=W%AI)wxlZuiEP*$Szb!B!)WVe!BFIL+__=clRbJX4XaA(-~3Bof68NADr`pA09OP z@Bg{)f9-0tl;_i*5ioQrP?i6&Bw;<%extqU8xjdZaI6sm22>J&#+MVbfDSgD;FYG}vJ0R`f?`MSo>Yf1Zu!Y&<_ajOUZzzx%7d zG;TZv6-S#$s`xsVtBt2+RUz21H#s^S9;UHip8_z*_OG>|h@)&3oF?BugmTWu+ z;Vs#C4x?Lg@Q%iN5(mP{*-TT(L^QbstnFLfH6Z#$M;l*v2gp&+B6N8!aew@eHz4lW-@(+Ji z0cq*g1)>K`(tPnePv{3fRMrU$jNPVk?<%Q0bZ2 zZvbqnByZ$^Xtcjf95|=@Anv00UtQB%%52L(y5@BBcp%}W#3z-;{DR^lUG1~K;)oeN z2EK}2t-xNLyM23qc%+;@TByOI?S>mE@=`6;pR*=rr0N zwS9GH8~^D>YOAgx^zEpn}@FccebQ&dSzHrdxS?qo=#Lc zAq6iH)wJc?h>9BQ@4o$WUw=xQ{<1T1Ax8uIKm`UHFG95Tbp@$AQe|#Yt7NX0S$VB7Q#GJ8>tp6=4b#^UN&=di@IO4 zV%7A4Dcqz9V|lV?;wkyE>ar1lbh6>4m0 z5To<8>8t9U$ZeAKYB{?R{o8!qY;u*9mVOs>G z8nq=k&BSbkAWd}<++k8ESKNXnt>u@LGL_LBV*Z2n^MjPxrl`k?Ql&CM0B)2KdW)qM zh8u!XMl``ArlU$@5qe<&!J(-RL8&E};2xt)AqaMm!v@%+T2RV}F6hS6OPzT|ZPPbN z8-Rf&#b)OvN6o5QJOk?jwA*K0)s3&mOXz;Ry)ZpSEt|^bYuU`-^Ycw*Vn42uOsPj1 z{&$WxULnzjy(A-gx)W{8pxnCyb5Y|Qf;6Qrh%;kH3qd5DvOp^pf;6Q?P=T>n5u>*?cNT&)r7j3+g@d$}9+#xF zu2-ZfbwSX`>9pMAVQJm3v7(q#mjn$1h;Cq=`!1v)a$IuDejAZQQ>$hd)0&KWT@vn% zM)5}w-e}e^Nirwll7?G`|FT#Z=S-5!w+D01)r$a`wav6hlKK9itiwBwHPP0iD4!&m zZx9w@%QGbx=U^OFw;_UbcO%qwFxG_2I(?}!9dK~#AE;PA%cjw|xJzWV?DwqJ{=UT?BM1z^?1~&wy#v4pE7HSi+@;zT zVHp&D!xlt>%6}ItW>;j`Z6F-SPjc98U;^GHk;(^pSPo9Y+}f!VxP?m|FooKF&q~U+ z+5HnFMv+_#v;vtn+VY`xVM>V=Rx6#84&|$`(kk={CMxG8g&(-Izp(4F zy+BT)g$9Wik&L+V4kjaxz!=nG(RU21dlHtX^*Vs%xl^x0uuF0ND|j8JOsWv5R5&4e zdE9HbYohWwCvkT<2~$%ezS`^v+>Rsg;8TC`o&Re%0$OWvN1&92S8I+t?kCU4mG}c{ z!IEk~;v5~Z4C8GL>k=v}g5W|5274^6sfG}wBjAFE4Z|K7L?HHUSV{;oZYhGL|95}1 zA(A0TN5BO+uu3LFVixBh{UUeJrW#Ozv;}rL0>0uNl?P+bT1l**e|1%f2kXBPcVNZ3 zY7U;QT!}~doogb+g6z&9%NGW<;GlAaw!(ZIN1tT0lQ}kmk79|{{+<-x7n5E5fh%y~ zmleadr^DU}N#cO1o-6TZti&V0XF9^)z5Cb#MmqX!>P@oibL!can3(0vo;72H@5uF{ zKk+zs|9<{G81O239ALAuN~RIVb#c zG8+|h4%$NCu|9MJbATTs%MiD#CA71Gr;tVwZ=PsRSk8d8aov(pTCAUiRy)PMw0n_?6c` z|K>M*bn%Ro6U03u-NI|RIkMM@bB8d5L5zic<$y+Je&33IBArYD!I8N`L3h$KPKcJ* z4_%EDQePqtO~dPgrEzP&LwMDkBkisW2Hgn?5n9oR6-7tdkAZ_lcmsSbq%_(?)9U() z@P`zg2^Nv=>>W{?z9hKP&3!w_RE&y2MHHk-ZVxN9&j)yX?ZL$}@}nbnv=MXzeZ%(V zXcMZhxuEmH+{gy}Hq|8>wfSmQA9(kcZ>AiH{ZruaFmzNp?u4k%xp>^8zSYVN#cz7)7rEH%I8FjmFrqnEs{3gqkKNsGyS7NwCU*M5^3SCY6tUb?U-ku zeTvcr`kX8*#55^3oUepr0cF8G*3a77l3;^y?(8+>Ds6r20 z23Gcd27O=B93miJbsXN$bVE;HwepSsfbmJbqn$_|g(ZeMyWj?=GDt8JSXemuhEjKS zOjebE*0ur`Ox&H>)W|(;LO*Q)6y&V;u%{w^4fm(6cC#Zrpoji>j127l8r~mu8~W6N z@zn>|%A&du)hBdue4G^-?Kt0BY;o~G=>V@mZ^sbKL{)T;8O)*L7Hcap58XDe zInl@(U;C3ool|vH!P>(Ckn^2;c=o^Mp^~juMmno(1p?tcl$lJpv~^h0e;*&5csSPA zXnFsywB}{hEV-+lSF5V=w6}kjLzPc#=a4;n`obVF{eP7?DmcOX&Vc#nA%IVbtv>wl z$uDZ zVI<2N(x^?c<$4{Go=%Y+H$+<w>+#g;aA?~Ubt1lSWVKoH+$h_9)Cs#13-dV#uT~y`d!2{jId0Zb)wy=oKP|ELhTBglgA za2c*2KD;2FW=e4kddxyycFPTb68l7M)GFUPOL{X>X_9HP=(}H7AgT+sPnI{C1pXg} zhlH4__o2g-*B1_>-c*cd5W#E^A)75ZR0wQ_@0(gF@9%Ems+MI$fB+10#fw9XSs8yB z0-vqX*O*PHzcyhQbB`)AAbx+{LueJjYKc#q;3de9f|RV#+<62{F6%E3)TMu&({luX zWgskKqopS4@}=e5AF8anImH`B7VKRK#Qra4s@m%RI>|!K|Hd6y&5mC&U zC}SqWzt!5E5`QUY(}jWbXpbx-BYN4!k*0`2P6?3ThEBhSK7B7%MP{@+wl#nG6HzuX zmL^hkq+s)N+uN3|E@2>ja51Ce%T8QB9fW1>*~8c6qGlm_Vwa(1e;tH&?)f`%>3z6h zyU|Za9E4=H_nf1>OC?r3*u-T5Tgj^^35WLj{IB*<(j;k zQAb28JSxAwcTQ6TH0O`&qBEfrzR0!q)BJ|q=YYfR8Wx!$%BKbznMoQ|EO{Oa1o~Gu z6^;#8So^>_5v?X;c0B=if#XV z+I?SY{c=NcvLHS*7eI8ECsUH=fl&x7r1CE^MNSOFPz9-*P(Yo|u65&H6Tae^D1b?R z#J?zSo!e^H^Se-}RRqNfSke=z^Sg-EdqeKT2;guTLSBv#P|3$o0sS$*0c^E~@fuSy z!|3JJR)SZq)%#u8BV6ZS{&x|J4_c9e)0{W_JHlNkT5?(|HLp=!l`WAntgywt+{_)n`^wPeLHwLBvQ-8VjBL+BNL>m~AoP3WCV z&Vti61qvZ&$bdX1ztHn5n9MnI$3-vJ-q}@R8^orr)4!vc>|5EH3zF5b^)7T&eP8!e z^JgIUYa03%U*Y80oqH-;DG{r@mNHgtjDH@!D8>`&gc}d!kITU?gjoMzgCZgN(|d%x zD|w7P2q9-IfqDEKXDSNeCxX`Yk*v|i?_cb;mAL8xms*B1haxq|NZ~JY3B~n7f22&1 zhODk53|_8Vfgujc`wIop9CMVIv|CPWAUCTdgpCEtiNSC8#B~46y9_xIwe2u}KS*(` zr&UIGg`5cW!m<0?)KYnFsLQj}f49DCuo|cDghP1vbut{tuqrGDa^R978U`U{fBks~ zRf&WFqufff3SCyJsi*vLZ7sr2xQ#kYjHnubck%Fe^BH}Y@?fzB=roCZa;JN}kZ>tk z39R%TYz-VL6MeJlx(pzmR50&BJ_-tEKNfp$YJ12Ycs~r9FBr(J`0>8_FRt;q5zirNIq3iYSv58deuthq z$Z6vk$5akx^hOcBrW5xk60tLU5v18A={e+DE3_x{S{=8;S>a+8q6};@6Np7}4u-_c z+WA<`QiZYr!gL_hm<;f9X#JpRiP)^Pb$|Nblwn@h81lhrje4?EsEis;Xi^fauqQ)N z|1g$*Vq_I2fxn|)d#-ryoHg3$n5sPHx^Xufyc~3zPy2XI z=T!tTwV0{$9jWpcy|Xz;?B8D?_h@C{^O+LQ1@E4i55PfHUjVpRy^5P%rKuhx(ye4M=uhpq1TixChr z0zCIDuqV^tcYL}{)TqGcr`DiM0$sOkq8?Ds(MXYMSll9~CEo}91uSIDYJ}ld(Zd#> zFPXG-@w zVO=ylRImKzmb~lT!^&R#RU6b4#4}6e9w@6}P=hzM9Eccu(Vyyj2yk}J7G3x^?YUcB zWQUzei*ZFV3s9!3+?b?3r8(aew@8YtnFvV4rt2B(^Z}vPr@GMhArbSK1n7+x=!>w; zT39E4A|*YgLF3gGCB41sF`8k*6?y0dlxgaPkXdnl1}-U&MkL@p3RR}^r!e6rCHO`u zBWfXe^Ch5yNr|S)6<(xh+0u8Q0yBp#QZK|9T0Zx!Foy>4FDBcYkw}!|z1^DjORKAS`*5eNy6q6nZcAt4{?-}LrPn7-GS$` z`T+FgXxTow=liJ|0|-pA0nPsH!(_7aneyWcJ{^Et^C;E$2OTa-BYKv}*5IYfa8T*< z{UGOks!obji4A&WKc7!j)IuZM!Nl)iV+gA{k>2NL23*0M1PuoN!D3AN8?d;PPEX<0kz>AiDG0~d#(vmH{S z_}R25YAILxSS&%g&TGr4SSJ1(LR@;)s&EShF0OOAr$@4owS35h3A9M1T*D(X9r$7V z*_n}__nuwdB?6?!8C$cg+cUpnn^^lu1!?5vcl27S&K&2mmyD**nAg8#-{a?>-A%E; zVCluujj8L-lV>Z~RQSSck_sSaoJ7lYdmd!!s_G0>suMkZ&SsdY%#W#6(Z$j>3%3^x zwKWK44)r?o0lTYyf+-K*?`0Sk#!=T0o9X^kT4TF~5C|R(lRocTv6WD;_=C z(+h0a^8Q*qNjwV{>H@P%)Bp8%QSu(0EBL!zkS|c$Dl~_)iZpe>+E&jx$3LC#XafOo zx{LWqb52G?sG>ATFkJfAM3@X`WlC^YUe+uF{su8i$!z#pY3ZyPrkaoCnXpiN8G*US zbySpR(`l$1ezFC3(zj-XS!fGQ5&=cLn_bwnbDwGmBa@lD5M##m@p~wEk^o+`@ed*>jc4w&6hnP?@)(D$dcq$v=FeNh&$<|Lw? zaCp|vwX#qaSyg9VJ}XZpNpfEvQ@oP6(@?ESVav*2Sab@Rc7c zSB7SYm}!kLSCEheC5&8w_v2qg&@-+rBS}te+8_{;7Jv`e0R%HkPF0t}25{5MD6nZI z-mdH-7rq5m(&@XA%J4fHaMM&9#tyHkX+A9Phz`D-@a{2V^>i!-VOPRaT>&=HKwVtN>SZ~E71i+qML4f~b9MEl0MZ-D znY{J*+jS(Bo1?XR%Rc0IWhf4A&ztX4>gP#Us+`mPbfX2`O^@>)h5jnOrjKP&)Xr#R ziAX~>=oJqw8TmOZAhycQ`$EC2uSS6fkAX*E&UY*gOYlC(V`vHNE?=GpkEIV@#Uz>3 zcBa3N8B8cC$ipfVhehRd;_slHtie-8(w~3J`FK+5&bFzE7HocruFYq@rq6Cf7Yc?r z=BpApF-{*uTGYsKqOm<3`IPr&uD9Gjk-GOpopVJRJonUD%Zhlg8fZt;%QQ{3SQ^O{ zcr|$DP$vacALGOaUG^7NW_UMY0N)j- zm$AF3G{)i)5wm(OpDC9NN@Jt;zYppkSs_K&a{Nt{ya>ap{?4P_qxY}x$i$q>dXR%K zS^4)T+I*^p2XX>~DeWDre~LAF{ZGFO786%pEu+u+N++`+vjE>>?~|Il(K~_Klbp{G zWba<57*}2Eka|RDx#3I$q>9KzUt`%}n9tdY|3rL;`LB`XJyBbbd81xDsp^f<5TAYs z2e&>LnW_r}*&M;7Euu=UI{z9Y_w=YH@eQ`n6rA=?w>~6+Ma867nsD#9}K~S_?!nvn1JDlF;$87$|eJ`YSM-_jU<8k(G& zNv6zNIyU6Ufd=wZH7EchS~EY{ zhzp@C(cv(}9?2$HHQ@htlQ#uAMqwe(54;*JM+G|2^6^OzS4L~S3AF02u+9%vw1~Pj zj8S$CBx}`v*&J%Z?LW&zwac_%J6baotOj$OsFJ>T=b#o4x}-v|=)hYoxLbjlc7V21~N3pfzu4njkN9!h9C66xsKxj#)6cyJl!0q=J| zV>QT%N_L)l{Ky3OWD|@u8ylD`)C0H!pi8|GXUvQu)Piz5zLqdRDdcj{K}PD5u3}?4|jpb4B186tB@DE$S9=?e5I6|my%1@&HCgN?T z8f6p8CHJ23&L~yUx@?M|BAdRFfM;Q17pX-Tnh~Fe1ngwHL~aZnMxl1&6`lP&a`k+N zDy|l+jBllAEW?3+Jqt`oj+u+IaHMXY-?r)L6K&S8cDMie&&fB1gav#aUVyOZ5IPSK z_SppDgh1iVhY&za_XJ>;(m3~nLCed=dBp(wn*H5@LUz>7X<-H<;Sv-u$&f?8i$BwM z5e5rpps58&`ck(BSmHiV9=Z>bloQaf(@*XAok_JOl8tEhZeOLacLUCvhB3vlWjHvw zG3wvTI$6Sk6r^fi8qYP9FE1tHm!t}G(~N63B%HFvd}t$HBjJ)hPiuxRZ#A08l;;!? z?P(>^+Lx3fct{Iy9pD)bRGLU5^Cvrbzxh6cYoWW6Hh9%qO`wtQ-{V|{x~;$Xoi787 z#Xo_KWGZm3smMohI;@i6!1E_v42@0*(uB~NEgJt~^&>4=d!FHR^!-9w3P+RrW>uZa zmx}TpomIr}hEmO!3cXWS-LeYqI8r_fFaj6-BybV{nb&KoH$dyaVOyvQcf(!WU>Qs! zF1N8pA;1-+h7NtvW=fc4%%=@^BKVaDmlelqMMSO8O6$Jmn*H$CpH|dbixc2TfU7z} z2mUbzf=KPc8?O3Tb6i2TPiJLim6)lf^w$vPQ6ePLhWeU)A>fDeIK$4%eVFq95!psC zZp^wA{Qc^MS;JNha#lduUc|8}4q(|5r^>SlWz!@mMY&fTWw4GG|GVtKeBg1W!s|E| zFZDPQ>Qn8~VNH;e;pDYasKF-u>#9IiP&8x6QLX5aaK_3yUxsl`HtzJ{=&p%Um}8rf z$AM||{>fUv;d#FnbS%QKxgJf;SCsbCm-`tq`(478Tq^dX!R ze2Ls6f4)(>RR1BfcaZa72Vq)yIs)_R@d>BA|C33j(O`$@m^c*Tr7y4EXBIWoSo6-R zWvDa)tNB3+%4FEeDQKwxf85eXdWHg*(_E8Z@u3YuTjgIR)vy;3O>LRxol5@Oy12=3 zlmg*~i63*4JjkgOEeYihPv0?R0D5?@&Pui7l%6U_MZ@SuwM2r8gRWz39~Zgj9N`Lt zOMwCHaH?nVn?o(C?w#!o_pZRbh_a1BUxmbN&}&p|MecRxLR_@*MT%AV*xUD}D7p~V zJEJb>u1?8$sd}Ktu%kO8#_CfN-P{qRRCp;4n^K!bMQ1kNBlt;Io~14>+Sb$wv?Rh9 zh>Bz2PhNWwSvua+uuZFKV01X!%EB+(Am-!E6A)ZFuK>3k>B1E;bfWzP@{j@qikktL zNVbG?30*%cO`@aqwo-PXU zj(a?V-@!_qKC|+5)PK)Tcorv0yClBWx=gE_I7`WRdE;KMw2m9|;rX-eimv}8*G2_s z6eg&t^vF+CQtCF~?toROc?rWtzyuG+D-z^3H2w6{bk#qptLP}eQ~`80fFpa!#e0Q{ zuA+yvInt)!F7pR-m5FQw4#op_>97cc<^xK(kua;+-XiI{jZR0g**{1<9R{x2~ zz#67tJa6SVqrSN_3Z}XkNl&U8vj30YhEg;t2!3@nybJ`6TPur+xY8w1=?aW$4y7Vo zLVYkiXtY)f+nxAAVb~LdhC(}Wjod6H?8lTZ0#xI6CHLA>=Nm_IX@ouI1UgVxoYDAW zaTT}9+dK{;3=0UD4z`$b&IPgz5@q`qw2XYSiL)<%7L__Ld;f^*X!y2_FyaEroGqbC;O=tZrwUhUuF)aYi;y{M%=hQYFd9;)Gx4$f0l(yAi2j6 zZ8@U1Y7Q{*a3jk+K^wLJQ0BU#`#rs^=bD%USU2jB)~_6?fnJUhov)AC?_}M-vHg`% zeK9_R4W<^2f%Ty36Aubp`!|yz6kHfk^5&^^2R<~9D2;lodcoXgP<6=%a>UOZB9dGF z&HeV<3(E))70blz0lRfV<(>AB4S~RSXjwoTha{pZECK8NYx-s_Pfo9Nvt{wc>B`tH z1AU~G?cqi9(3clKSH9SXnJW$F(Z_dejHnYZ6;z**UhF@&G*U*l@hKuu@&_X9f@cE~ zB@)2r`dH00WY<91+WHD4%}G}2R-rUOG!>mLb7R`zX&H!ekX4{lpEn!*?y(D5JI&hzH())r;FdBPk^Z2{QzH7AdG;OZQ^Zp>;Rjheg~{fKd#B@vyuGQf~R* z<)2T)URszh$-pyb;!`J{n=uqqqCzuK#>Z--<)|6f`o3C^pa$j~<6#8-Khb0j+lo`; zR1s3PRH{UUY3(xs2c4OE5@JMAsc?e7lcqAE@$^ykxfRkks*7d#U?Y5O1FUuv&TtB8 zNYbVQ5~Lm+mn0FK4tK;_*KUn86Uappx43qJ&%!?ki-|7{6ZB3t)(X+)g}*0 z+fCkNC8lyrzY72{71LH>1xX(cJuDa`snc{@xqMED@cjJ)Er%uCxw|$2o$z(ql#LDN zC3B2Hh03K$eY>Jhm~pW*s;^b`eX*|W9k(~AwvNvuqP*|kUO_)=xtC&Km*f;4(4Gtc zzH1k%=Ocvg0kDvG4Yg4fI`3GYBK7&saCE?2dxCWd^q-bL_hGNYEE_xRD`~0%id?Gf zKkg$X%=3pxOcE4G6LdkJ)_LiZ^G=DXgATO;?gGFfhn0u0T-G zON6btiB-f~V65`r7)yA~A6DswOb)O?1am2A>n>5hNR~gw+j`eUdmb_$a&u)sTQmNu zo$%;j9>4KwshbAcNAPg?++QDPu&tLazv4r>YOY_+Vn72}-KP=YE0i}_y+H8Zz4klG zSB0#7_zN@8DD+*n>^&S&DL<(dW3WO{P3z`DjceNgo02amq;&4~sf}bEwxoW>hJhhD z%5@uHYOTr4WO>ahm2a10_bI>rxuuOc}Xwmbn{ z9P)uESygctnO%Nd>DuvZ5`SM2K_O|4#F;;A3Dd)pUE3${_#TlW{^`hdj+$jlOUgi? zs`3W@m^7Q4!@rT6uf9=LaW!>HknQa5J%YD`EHhU*D?Mkpg!gR|1(-IN?L6Z0y$(_| zsYM@0jPN9l-xz`;TjM5O@uq-%#O0=Mqd3t8;s^M@kqam3(c9aP;ui?|(;z9NA15k3 zj1T2S!kW3^WgyKr2EWFvnK+9ZU&!jzQ+62&$S;HuhqY0e!bX9&c-VQ!~sp*i@aWhd;R ztHCCx!ZrchI)U2E+!Itp|p2#h8N=NoeWCIOnCTRNz6<_yT zeSWcqT!YbJI>6zRyLg>OqSdcz00v$uI?wGpr!;Cm^WVBP$F+xxOXiw4pA%*eG&RrR zrdJHdHP#^8{OpF5=}8lnB5@J55PsJ%xJ8OGS@{=El*q{~#EXXC_xqmn2AX%Dfnc0% z%7O(T-hkkzz5@eX+r#-`tW*7w6{1rRpx9lxCHCP?olXfOyNbC`pZ{}^D5+V$%*v>r zAa>vZl7@iasngn$-KkDz*=%7s`Y_{BpPX%~R#2RiGI@2rh#C2`S0}z`qhOhM;&Abt zq~tqU`t_$$4zcc0r;kv!xm?q%&k3S*+rdyP;RVfMp$Ug}%p6&fM1*lHgd0Fb=zuK% z&IH%E)3*5xXu70r;|VwmNU@8|A&|ivjaWjag*pQNOzx9e2QG#ybbhL}ive^Rt1nN8 z5shB^C^i(?a7O30L3$gD0AgyTTBt!60OMKEp4v)jIUbJq<=9R<28DJ7S7@!QE|I{H zDzzbR{eW&m&s*@#VXzuWoKbi0Nq*iv4WL}Ro&oi#^^t&_hKdeJFz)7DLs*_?P!Sc& zB`p8zFOGKr53$1N0$|p>!85juc1!cJma|UhroM|)=~sQ(a`WHtUgL7rI(>iIKPm76 z@h*{QAq1IgTUvbm=Q0vsR>NF;a3nk>mK&;_1G~CN@9E^y)2hO?p^_%Arb>u-L#LuY@U zSEE<|Wjh&Hwf<<4`OLuO(3~!c|Lp+faKPZ^ME;anC4$LEQ2?7|8C(n}4>WB>H_P zQaw4R#>MG5kLUxlcV{O{{@jxp`V`;O#IENLNqB!x1>(LmIo$_L%a% zKd@5>j9y$%qD}GqE*r6$aXFEDF#h5wjLN)RP^^=MfwH(S53}|gtP2(VLiu>D> z0URY8h5^m|@%&W!@TvEP4$|Nwqzk$PU;>B}?P)Mh;6}p2%7N;_l|O(*n$mTAS@H#H z$S!vP1 z2&Rdcy>pz~`H%0L?#H%FDVWafd_10g5mQ+$&0m@Z`gZ;nU9rmqN<%(UmG5eg<{oDFc- z$*g1b$tEamu98;ei2IS{2nOl`hhVyzbZwI>Ep*2pI~2xsD5U~p3BFk>3diL@V5T{1 zp@M)^?XB%BQAK~j&_nl{&RR(@3(y=v$8GmJrPwRPySECy`;zhRq7hUxIz?b*v-74SD*Fbg2LjOcH}bbw;6Z{!Y^Voh#inz=EPejV z=HGvy25+5#k$m=~uwFAA8k+bz148nDfTMTW*-tE?UI=oH!Qo*+)?x%iyr(N?0`UF7 zr)q{fRIqNF zg>Co^SgGD;oa-PGvn;5Rd3n|-a=TY&QODX@_G|UI*@43;DHEl%vP3h%9C*kh?E)C+ z54XN1dDtwdH^x_U!lm*-gCo=p!&C4uAZNU5G$vPm2bPX%9EOlUtbZ5q+5IZZ7+o@> zGuE$X&gBp1I1F34U_em9siu6aPyoG5jd}>pgh~xWI?!95F+`a_Ncy7y0A!13p}3o1 zSdj64=oEGP?hDh^Go7HK(1cRG8JBsr#_gl#ugg7*}sepe+tVZyEsO zx`Gg276~@F_>|BAouLEDEmN=(91x7x=fq>p6(-*NVmFjIYcb+X(*J7YyD_QzK6E`6 zXT4U$P+yd@8!k(hOm28XDChA+!9#2(6^P&<3=e9%$~Z^RvsJlb=u0R_Jo0`QQP5D` z#2FSVpG^#g#Nc zajyTH$-sQ5O|gpoXJLt|mmHP!`3z`P(}laMb@5UwKi9^x!mMSa)r-Uxh-(b0WEHc* zq#YrciPCgeOSEOg@>=9X?(>X~3)%MX@n{i6=y=lhAJ#JBg~bvS0bZf^`CYf*MnyoRmlU7}NgU_lT+%i+vxx&KT7?K?59%emdT ze|GA08_E7*-;++1gY!=XvNNhy_LOeZ{#!<>Ko&GMw=sTy_xz(d=^srwFPYBa2N?K) zjNGdY`-cFkFQyou3CCrqv+A|CU1W9qP zdH4BAS`tVW9BRL(_v5NB3z@19RE$?x2s$y5ZKkqYH9@jE6T7Q>w@t_6_lu+WU+H`*och2_8$V&NWyi1T3)*cJ`gnjvqy9UP#)n9c} zojAg|%Y*{Fiip}8Hv^3+yLs4s`!6x}7XQPZ`K|-7XGlNy+OE9Uxt@-De9TH4E8pt( zD0kR%jXb2mTAz`BA@vf>EH$0FyNtk6eijdZIbI_!1|@-G7Dd8OwB(G&VW9I5Q^z#p z!XXf{2y-}LHUjC1P>ItV1*GtU6&#IGh&M&o6Vl|vjtGPG9ec2_a5IZAk`m&ycCV+Y zT+@*f>Vb%Aid0+r@*)E}Mr25sC3;cVH!Y9LO%I*xg5swBb8!yi_A?W8;|bUvs!@hW z@P$W2*w7bCOT)ecA3&u4N7hN#%`ko&cU_kWvjQasnk|8@K=xXPlZs%2T9U)gJIbl- zvpR+WL4h(lt59Ik+S{jCgs#0e+LkfwayZ!)?p?d&VO6V>2C&=O%rvCGC8X*iluy8A z>zik_a9fM(2cIN3(*u=($XZ&T5@@2tFY>2iYJLz|SiuogQNp7!u@$>V~OY4s< z-|K8@Qv>0+mN{k(oJ(Syjv2y{pLIvEx3Od;l#B3hfw*&1PJhf_lEudXeNdp~lW6m+ zdFjRK@sg9^uGo5_&vR*D6gleugMF2OuhYvQ-Ssc&0h(z2EQ;n02R_Dwj6!jM&iOLo zW+CpvuiYn)g8#K)E8#rR++yC<)bRDDrVp01T()bYs7O;#$?B@Yxzlm7-i0H#-Q&=(ek!*F+}>JCFV8Na^kMVG5CY&>$*4!HuXO+5 zZh|g0L45j@0;T{*dmoCOb0m7cxR#hI&qd^)V>)aAgr6qaDVVUdT)zbO$wR)O3r;x}M^hI*Boy&Rdv`7nY@ z9}R+ms;bkAP4uM=?>))|-P0ub1ua{H;{lbokQ4>oI_QCKKfXtg5VoJ2%&-t1Q~zHO zA--)YaV_4pST#zRkTG-l-k9r}PVa)97o{;-h>!6~nfpaumM)g-skJ_Q^^PUT_4`)$ zXWaLM4s7Qy6{0m78UtVF64W2gw3o+U!7WG|s&(OGO@<#LgGF4KZAlhtOyNZwch z%B3Q9Vwu7s1Ww0ZErM|yfbYbU8VQw!ikP8hf5cgmjnu${m8bi!>M#%v zQ8t;{IT4kM(2#RdY~0E+%}b+j$Eu35P?8Bt%J!C>OJWI?3roRyAu3C>WjFT3qAn1Z z3=^E99Sis<2}YHSuvCNW$iDKz=Xqj4p%D;XtL_r;#;%rhwEe%cOSBOi2N}B*iypFS zKtAil%siGIVkDC`Du&krM|snOhWY?+xk(>i_}}kmxTlnxvuJw6 z6-HqowA_*)&1NS9uk%fRmm#!AoMergVW?>@NeHxLJY0z=ex;gmhQ4$?ILWlKau`aU z!NFBt3Z3$`;(x_`$ya^iiLlq|#$tMJwDpDqX<4$N<&*r*`xf)yU2V6+Id5YF(v!{<#R9Vs*LE9^_q>~~-NF7dL*5AXop2WVmr9SV015#fFWP7l^9Fd$;BB|k`apAGnhnu(7U zyS@?yHwY#2PG1Y=f5+{sUv`@#|N=_Gc`8afrY z5Pra4&Un9}KaKiA{OF0VSZ(Uv-ak(Pkj;ZG|xwEXg%&Mw6n!j4vCx>+7d^lMy{U=x#Lp9HX)H}u=4fyr2!|&PyyPwa>~wf*8Ts*Y$Eqavsg{yR!^DTVlj8YB zBty@OmP_)U=6bTCSkJ3`YfRz;3g~^e3)RsRlce*M-x8q{u8kb+dN_Qi=ej3!i3nn& zoH%{7LyH?zRVx=)+f?gLQ%h^F>v0ugnSn83**Ts$t>0Oz2$$|~cmL^nf2Q`-=edLk z1vKXPxNy#|ik`ZGm!cGRkHmX72ZN=+gORb6VWIZy<2C&f@*Fa<`h_~g$I{yY1C4N| zzL-?ZAfR&7nZz(X&o@EN6C@bgtw^nspg6)jE||@OVRF#=p7dEqcxgM9uqzLeD8Ad0WymT5EAj11UFDdoH(RkZ|>EJA_apa))RG zBIMie$A=}698U29;MMkgxDkczx~M`3&*Wr)??9~LRhx7ND_Ucw8i*bCoNpLmBn|)Y zYe>V9N4Jfw!7b<^&CmfpA~NkTfO@1`IH>p`OsE$R)@w%QoRwtcxq~J5GQqopxmCEd z_38Yr9hanyQ9SSP4y%+usKP268zxx2%(e7ks5hr0{m`5&O{6oag?@R8gjVon zcxM>BdLY~%`!;wm>@vCM93k5s1jusdd(zm$06yKcSoF&@SLYJrmTNt5Sr`v44r-pg zWW9XCG1EzlV}g9hrU!W=F0WrrJT@*ARQ@qbG9rfM+KK!7V=fzi#_Fz+8%&!m*0;w3 zlU{1Q30ta6%(fu1las|b78)X8Q?#pWy3ZO0S0uzNI(*D1s%arL*yateR%2-@(csHo zTt?m}UA}y-!DK!Qk6Rv)nq!%-bv?s2j|(Qnd7ffyDt8h6FM^D@VtSK*Z#sPueY`z_ zg8F;>?0`?M%KfQLFq*GC&XnWsk(n8&-dr>AQQ;Riix@V6EI!Rtw}4%E5146-9&W*G zas9mNr!3+>52yZ@l(Vy{B^E9hkvBSF=wRGWKo9PH1~NPs-ERF-JnTr<^K?Zu+k={2 zaZEU}Y~n?KTmuKd5QpBtxTbHKC^0b^*7ChfT+jYgD96ON)&<8A%8UGfK3%g1C1q#2 zwF7e;b63OMQS`(RAfgz~R)of(P96qy&eWY)L7AyeK@AH*_6j5LfDjCeLkB4Ohwb{S zmHk!lQs837@{Q%!5`6a4D+Mo(aAp)J93Tj4YBaxq#-Z?7BaRKl_0NyHn%kSl(VS%P zxr5KEA#5*}%cwmnyDY-{VUJSWM#-LCd<+}-jFam)Aqq{v=#ykkCz1gtk)oB!<#=9* zSrn=%doUVt;1N4<8AxDURdQ!k)ES4Y;=u%WEcr)A(<*L5^~b&|5Az^rRtC(mtePVlC842}6U z=M^66pD#I`->5JHkKb*RVwJ?eu;xMUB9*y!U;*o1H9=muDe{1shPH4?Q6BYRGbxNd z%?82Qj9z9i3Q8SF-N*ctwxsqihbr^dD3s?|-#7kEUbBbdZ*RgX9M=uBzz;THhRyPr zvp@C@&jW-72vp4|zeRkrtzdr$mn%~-qQpSeKlv4IBp7;XEK#z}SME%4|K`A0okStk z%HT+VmaAnnqgiN$8o8XNOsm00au_>_mP2lb7rZcub)*>J@_7{DskZnvB$Mgx1lyFtq+`NMVqJq z_3~H1)2{a28UeW6BBG8wlMsP8%U-~lx-vbHG``1&`G-*4?`|lM@E~LOXHLPm-`n5{ zICb)_mFyE;gft$=OE!uO$*egfszoBM0`g>e&+;9V0P@T!`R{EK1yGjA9U5y!4Qh(t z-QtwqAP6yvBAz6}1)anfuQM018XomtcCs5?U`Jpk8g`5#+fQNT&+KwVa_LVBJSTO*ri9g#K(1QTGe+xMn z*Kt{U5sea)3VD-fV+db++rP==F2j5p+a!E#>tjtL` zL25cOR;%1R5`{GUnzj#&QXx+@5R`)`PJ}#6kZ0xh5Uzp3FTj6)gp#6Vy=8YLe@*8l zZ|<8HR-v&Dby1q1xiDHu-0@`bPFl8>e*C=p!`i~1p{Pd+h6GGR{cd{F?N|EOcnSLV zrTXYjf{JbNFQB2fi`L6PmGA}-QVP2u@e~F~)q^a;GlXO4_VLQa*~|uy6e5^CA>UWq zuUgxfryw1s+wD54Fw5b6h9)YaKu5$(Su`#y5jkiwSp1ogqs7;&_A;ltML7~szqHS( zr;V%8tZbdkCB6=>SK;u*wFvhAa1#*asmBi-j>Giisdi zsKZWAd~F2r-Z0GVvv$>%AeU!cSxwyFpKo=PppR~yV)|X#=0Wl-jyih^ld#gAB7h5% z2awKH-w!2Sz@{PWY|c$9BlFwuS-)d28>`{_Mm>&=#fAfpI-F~BWbRP^LLO&5+x){SE=n-^CAE;?oH~$d$pRH$V@l?OO{C!jHfbY{JL9^ zdAbS~gro%OfoAN0r5B58VX9*D=T zI!`ef)>7dcn4+BUjywmC)>Bd0twv;StlI*bu|!~x(Ni-rQAE)SP=}7l0~r7 zETk@pR;~7u565~a`AM;^`xl8A%mT)Ro?v@pox6xO=#&E5#zHIB9T0`rQ{&l*jK|+I zz4v?xSu2KMTe069>MUIOx@U!wQc7~?>SXl3Vn#3bn&bOy+WWAoq(y++o>S^b>33)| z_zO27({k$105-06D+Jt%s1EUyi?SRNvA#PIxqUD+=>zjcxrazNm9!nCj!M^?II_VS zHj2ml+%L5>d^ZrF$6&=Bq4gGkqj3Py`-+_vFmw z0Sup5dP+1}e*@_R1+0aZegcMCS7Hhwsz`C1s)R{N5Thz4Sm_kHT5l(*9Oyhx-w1$x zd$37rYs_;0xYXkO;KX%%*~XM%s~t{30SryR_~7PDSxI_ktZz^t59aQ63pW+!1LU2 zwL$doyB0_UoSOEZyyRV)BYtGfes=w1B0^KQMfiAtLa@tCO4Y90= z70+C`-)RmEA4XXC2!5u1qKIE#5;rDHQ@?&5w18Yust%-tL_q{oVe-Ey` z;W{N^qVy{b*z{l&=nCkekva9OPFqB~Z$0&d$MD1T{YBiNEY|no<@S z6n?r;%}nAr`u_tMLFc}gM7t5YvXOPgkMI2=W?x)#cU%&3&EHNglx9t)UtDs(14vho z&OtYgB=avWX@tEv`ciSf)p;@jnC(&v)k0wgD$+#}UmGRmxHI&U<=IeTN`WB_U4HwpNy@S{pT82C@w4*cjE+$I@6%5LKdKMDb03ex`E zj{RG}EXK%PHlGyd3&>y0wF4gYJm9uwJ!_~_^zsx)C^n&QFHbkyGkvLNG$EfN>E>z6 zw^p6$=70QqKIxZ9|EUUZi zeyI^0Np#y4v$jKX1Cz)+^hSU&dBw^ifl}0}W|&c;#Km!vl|{ltR~x5|fU{>kvPC*9 zH-zQDaH6?J%YbRke0MOSV+l#3$({VeB}bFUR5U5Jtom>ITDGS(8?~kC4zZNB7ZFsbBoVzw(#A`wc~vZ8zs(n07`{ZFQm7&;qh5B z9;xr4Rya-au&6MZ-0hQ=sgD+AfF;5aGxKr3wZdsf2V%6- zCj-r*E$Nfe^qC}#EorO;&C(x!Efr{A6EBRCL?E(JkcuCD`a>jbeZ1y!r?J&OM3egY zZ0GV2VW-q%;Y<5Ngrih!(^DRhDKfo>=v+TvONA)ZhAAFs$5!;X3lSew{xk$?f4=dj zn|?q9>3{+K#9NN{Mip!ac7ROfJ?X+KSv+0h^ORgl}&t$W<$Wo z!4$`SJj8C`l<`frCZc^Az4l9f+uy{6FH32gp>I}!RO!jPuI9b|f_(}jbcdnxJyS*} za^iaKO#dQAt0W^=cf^BRy5CYcELU#x&|Tp!Gi7wTE6fV~;Jg0RU;Ewwp{c9g%L-sF zhJa~J`&CKP9Cw!V0XjgzRdqTm5P9m&p(mKL0+DCfZ9zd*ub8s}00v`z1%qScZQF!I z&I$nTimU-O>tSs)8Xg<#lyBQ&eV5})7(@eH*Bm-$1xV_wf^e&PnPN7J`jUXuF&4m* zM-J1he@&GYh?GR9l2xXm$_kX61h~2COv43EQomtF9b@C$2>)peGbS!d>&vKrRJ^0g zH`3!EjcOmxX9(Jr!y_8{MCp_?9VjQy?39-|Y2wKzBQNf{+g^;}PN_dwbzI$TwB;k6 zvWC}Ry6`JgeWrEB-kz`vbBF4q)}cD>7PV>V8AEnG7_uLD&&Ry){bQ+p)aQ^DU_^2_ z7);6T8nQ-}5np;$`T)>$W2DmiP1G|fF0o>_$6SZnn$<=e`En6F`uu}9bT;CpLWv_A zi%wwogzm~b{mT?n<>=04aPga3|)R%YEfs>YM@GiS*9Hoxs&a&Onlb%o)_1 z*q6`kgYqsWI4?AJ?)r~7FRf){X!iXdeEUC0{XvT7^6G-2yHKz_GVO|2cZ_Bj-T%m? zGLMXstte|-!yrVyV(AYWr5Og_4RkOL4bV-Cx}{e4714^Rfz&w7FhVWrHsEQ@^29k( ztNV(WS;&S+3a&b}JRwM}?t*AIqS1rkhUsaVs1Ovb?vhAa+lT@5f%YV+)m;*V&CE)3 z&5f}prZqD%c#pY2Fp!X36Zg{`om$=3L`I&vT5{q>ikH@;RxgqrFE-YEh=jzdKf7MD zWvhdSbg1ro6U{-Y=!b#Co>KC^sO`@EL61UzkUiET>T&K5nvPPPS&2_PO#PfNt?r{f zY~UY1`5iy_krCTz{nNbwtA-L}XEXga_$Y?P3#IM&Ag3wXf!D7Qq?z#*gQf@#KyBGs zl0I6a1?8TP>O*gDTT9LugBTxF+=V#Dj4=qBqV>+$4ni^y(Tr>f1GxOzyw=Q{c zbfwmF1e2wPuujxArubq@ZDu=D(+=ZXr>q<&V-b~`<@PVk^qio*#sn=9ZNSW49wgZV zdqT73^4*ub{BlT54U(oUKNuvv`5%4N`=frP?u4sH_nDF1I34?BH#`IZ#nNh%tZ9`IfhAB+_H33Zyk^pvN%8!z3 zLAB-!B&jd|Jv&g(>zYd?uBasQ-9dHn%ApSxjq1gbN|!1+Bly;h+&f_ZVhl7@%1W0< zR5V2eUYCp>bU5f4$WP6H)<*%*YL9;C$+@8Qs1>xP-J;ea%>}LNDQI<{`0RK7p3&|} z^kN|O$$}QZsbY+xzEPD-Fz6G>H8!%+lf&Zv`FHE z9O-yLOS6;@ z-U#s3m-I`@==p%&)blb>D@!lG0BZAq418H_VqOMNX|J7H*$dzDRnLA^gn=4QdKhTY zWy+GRR;In|D?>oI;J(bx9%$Ja&XR!#`&^c6zk`|+)fi z-QlQJC^TLhupxd-&XQpUm^EgA$4|?2A(*pd+YN_jFr$Syto<=nmh6b>Ar^vedIP?z zEExuO6Kshv5U7PZpEjyAMFrgpWPxrQs$c+JK#fyW4>!s`glf_?hIm6&;Z4(*v0i-g z1Nz_iX6O^8l6D-3)MoO~>n9IY=)m@t;S~KfAWjjTDh@1|khD^2JL^*Nq~)zSihI__xC-4-cZ+c3Y+t@%n*oR-qg=?!=6;ZGnZB{M?AS z01`A)5`r|!E(mx(Sy>^7w66v!A;>7f1&;^PpfET)FkE1S6oNF$MR41Z371hEng$v{ z8f6zO?UERlWxc+!B8_qpgjH68ThLduE7B;t;0`?qB8XEopCwilqwJDc5M2s#lAGSZ zQ8CIci7*QG9fp37LE`NNlH9CImKgG|B-3raLZjxpSYLin>l%nav59JoPo`R9zaC9X zWyWnIm!{l|>tVMSOibiK^M6CeJN}K5slUK2f&ZP$S5LC36g@e3((rDxiLu8*!%R&? zHD~(^u3Wyd=Xt~q%qWxTcHr7kCev=wY^tZ_{47;Lzx^wRReno2c88tFvN}!M3N;(4Y1n9*L3{shSuU|Tsi*VTb3}qbNu`ojh`ok=d=?33XRzO z)}Ro0|MZ96vsjPDEvSV^PxSt{>^bK2X^IcM-Y~#HI6|hc%7@nKlM5m}$GJfe1XNCu zboLb@p&Yk>?3%E01~??y$zJ)>Pvy8}j~t?uL4a$KSrR$Gsp6Ic!2TLLV4Q8UVvbwD z?ZOoi2`!gKK^Etj;}-CbvG4_Dh-25W)u`eM$3L(dDPXXcWL0k#J)Cjb^H=;F-g|t~ zwJxP{oU(EpgVHJG=c>y8LS^Au#A8$V3{{0C*IAi)fG)Fei2Ws~O`8I`Oufi7r2cf?Mj*1n8{^GGxRz_W9X=6!f>x2FpRG5dRpv-yx|=#j z5+@#}S0`Tm#ZP$0$NyMF68gS4p@_XbcnxJ(72n6bHKX~9s(5u5G#ZRG?9E2VEwTm6 zNk2}pm!u!4qRsh^6|?b-G$P25)twK)Y&-#mP~vNJ1|Mq+_ViPg@htg9Wc}I#YX0E@oA=4vRoH z{O+)&8o?HHIJ(y~hKe5~nVcetE2EMi>ef17lC16z#+*ra9g?$Fu5`&!$Kh1OQ#lVJ zgfUoC{Rfr1bUKFa^*}rd_aFv}h^>L2Dc~em5FSZGY28r3!6AYF;U2|p`X_sHA*6_y zUTz>R(zonRt~PJjy_m4P#65FJq`YSdd+>xXWsNvxsZDXVobF=*HF;jojL z0@?JWI}i2?!X>B$0TF9|b*0v|Rf;hYvsrcAR;hKM1vTe{?g9|xHIm7%Wj;(>n-IZ) z=%SINM{M`}v7L@egj&Zp^WB?&QUb@YkK`VIgdNj=}e z#P({__4J*hu?yv^s@OX*x*Z?yO7X_NDd>D~x&kU!t^4XBgOwo8UtF}#>8QWf$5Q!G2N{U&66b4gT6pZ9B(&X9T~3NJVNP7hh&FY!H@*McrW@Fcv?A6 zoSoQv(70HCOdMUpIG4m!Www9tvFwiRV=0WIE?LHmq7*8;@A-ukGJluE^Ghx`tcfYn zt)nFcsE{O{1G}&5MSe{6*u(pmvitlq|UpWqkw)bBU2Wq;v%NSF3ac7+|Y(#70I$ME(LMAMZxW zUqGPUA?H6xu!?82*-;5Bpl2$cO4B70+$(qk! z{GafautPq+AWq|SI)F;?SeBpDtruOzAKXc0BbMvBMVIqGAad=%PkZZYfGHlKKWn@s(!+t@0?@I|@l!rYYSxU9UD z+v1y*D|wEol{qdCJ)kKjeg17AY+mXEM}A;=m`@HMPIra1qL;tzXa4YyMy*K49eZ44!;jHA0&+Q- z&5l8(bo}$|aj_9LCfuk35bkUaMgwPSz{wD0Xo97!7}I40CZ1OmtKloIcZ`d$;(7^R zqdv5#M-#+Qbhse&?RIMLx(LNS`?_8S(Nsc;Utp2FelO|f&C<6U=k8}nqS}IVSERSW{`jd)H!@jAk zxBS?a;pAlN-jzp`wZ-^iB<6WKoY7W!I1*yy3Kw7U@GvCyOPlh-x}_$C)s^^k?RgIC zJprhHFNuM z8DNSYXhY|?XBpLywe?D$XbcmL_z1B;?JL&^u?xw}93FL<&SbC?i=6%u6?LFv@4)&}Z;pw9eY*BGF~?5DRK zkPuwmo#pJ2hG+P`y8;B&-uw}pLKAu=F2-rgx1lFDje!1#_;HYk05KS~*mF{{S#JmA6xLuR0ky>=QH_BG~3h@=y zZ4un8wKoXbwpZ&-rE_RHfcv@P0TXoFQZLnQSrOH3={7n5o!G)tsBT@bG&*5ua33hg z!cCF%@non!^}se2^l#rmH_BNqHx1-*R5cbQSU#wt@*?p%02k1V`dto!>|c6pLHh4Q z%2B>yVL0@b{nIpccO{kRs?h$z1eHi=ZX~+KMk1)h^o~O%;_3<>3iT1HL=bUYg`U>l ziJMZ1)0Q7niSK#llYe9zDp7T-t3=bZq>|?QiFD4`WTs4Hr-c$*!^%Ux;`L8vNOkyifK(QIIkZlxXnAUCbURfNdn(qhEQHp^23bNR?QAHhPkvj!EW* z|8JJ8Jkay=ma+%BJw0z}RC%hBd-$0oJr9gPa^0v36)vTHcsVIsm+`-$hKD{;>U>wz zoJo4kW|Atbh@^B%?4Gg2{(g?A=OO+1%6I+Lzx>UiKUERC{w$u*Va+g_XyO&TtkW(f zTD=(^vzuhqG$m?z-B;Xs&n6Uek4q)HlxMx!>{6cfX0uBf_~gT?=cK+GTuR_jsXzTv z?$;|$wu6gIPMqfERIp>Pv$`{l-uzZFd;FN{#PLrk*<-+7d&4tc7HDwHo>JGhz2lR$ zx9Z3ft*H9tnc97$G)11ENLR1+4<6#y3NsC^b8~8KA`YJwwoE zy04|ufEGu1xVTx+&A(X-qgOLCGr;M33ZtL#F@Nhj|JGPowRMJ@q2Bv!s>z%$DSh-m z+N1%yy_S~pSRe&K{g(P{s>7y(X}S#>vZ+4c$kG=Di`DDBtW33zfTC$zNg**BsGfc* zn`(Tm)@}JTH{w&-JF`CUkLnNV=9#EF<1xwkJ+8xP8#-lGW{;xXvXs|@nsMfm)l;Vps zK~mrD+rPXztEls6+3O!I8?8>cF%lJoJ%cj+78Qhgb3)L=I;kfsMuMIzmsCwJj4VHt z|N6xbe(jHcV<1tL7Vz|3gXO_AQP2re6*!w9vR@paM&6?}k}^z`g&;LS5d?Y@I)hA) z9;JmK6}1b-^v~6{$9YIa?Se7=6AD{3daOuA?SjU5h;HQN(yF;3NJZ^}G5r&nCDp$n z$e5}Kmg%3EMb{o$+e?(mJx%{aPjtJaC~99brhlRYtm-!ouI(kZW=#LY5cGP@QKhPJ zPoKe2ViWx`>ae;!j8bWW8IN}SZ}W9p{$apSVdhHlbuJ!UWAWh1^vU*UkLa(SdOX=f zC(urUI@O;qu~KfxlL$%L@#@0D98WnsvMRAmyG5;und7PJiKo8pTi@^lpE+ixfjMG* zW7zuEX4>hK2dr;prafH144|G-&1QPL1|=~gkRhX*&GcELn$0u-oCIBgm>83fT2~^Q zX`~d%w|aY~;I)b33_s?0Qq+vuGTC<30L%8eN{sS%D$h*LBO83TMK zb2*fv95SwMc>V8HWnw?BbROEdUaT_N1E4k_-%Ml++UgqY41gzsO*GgI;Qt`imv@i{ zr`jQ>2EmpEHqo>{(2o_n0tDcsrTw`b&5mRT&2$pr=DLU-l!TuMWeYn4_-WP^9fgp~ zq~ptW9ACV+v?*_B^j>bR#veLOPtT_GA@YY#yG64poqmfhnMCl@zxOLX@;AbiDzwWH z9}e`wSUHxoI4u}EMTF6mx?pOlJoe_5(v-SjbR>W^i_v68 zqfsLk!Gdoi%YP$CQ|f{k=YCMs8h=(JNS)|{$oX-mI)HE(a=2Py+W%pu`w z=~G(+mq$#gFS!GNLXwz+LtFX4vNs_9&NbXR_^&EkEX-SfffJ^SyB$|~Z0VqbByCaT(OM(!d zB@7NkT3d=ft(or+Mmvz4oagBSo|0s~L14V%(Q!G;km4j+-Ju;ota_gAcP7bvi%Mr0 zCQBM|y-QxnVNtgt5LLR}lvLHNc$K*>`b!Q09!qHquLSsC)c2aK02!6eNA)t>H@pX? z0WR_$gk&l9r}t2$L4-m)doO&n!d+Mk4|v)i-cywZfk2f8k?pjajGZt`|m@Z!Ir-Q2)hG{SW@FZ+=7JDOaj1 z4OZd zx^$Je8b`-K+xqv^e0&&*>%ZK>$Fyd?JqHZ3kfS5TEM@Arn4{WQm1<3&e6P?aGd45{ zCr-wfr#SpbL~c!2%1Q3Kb`EqA!elO*7QVObwa=E2)kXO?!qfQVXK!qNdDVS6=G}d- z+ZLyX?_(YAcnvOm=2)QM`&?a zk)j)GqKsk*m9%Dh(ieCKm6{7fPbB{lw;Sh~9`yyBJaYFVPmJ@_tVXOUJmt0t0^QY8 zp;;Iz-M(g;O1ASfrf@#$OR4zhqid||k{Fw!k0plJ^wyMwvq_fgc|hW;cb@4{U)U5m z=#9e~X_)%;5k7+Qi`FFO;w!mYTVG}R?fD*A^C!V`Pz~*xNf|A`XMTVi$u%`k6Tf}h ziw2tT+%Jhu<0&ss?hr#czx4m)iSKcemw)v}oBoSNhVuN#*AKrh&%g0ae6j;LZ6?La zKb2z+yl6Pnp%?9~-jVLEkN<@W1dkx+GiILhP2)nzcJg^@k7(v8zd@dIFp<;YbVWk0 zaX6R-o`+3v!k0~wny(TCiqM+FXq2&hx6hKISMKDsbCpkCoqlL}JESkntR z`l?U=&;RVFzHbbL4FEJP6s#U%0L@1P%$O#^@yL@=*xPRF)X2^e6)Vz+`-&jAB*K|} zwOgx+gdpvM3!cSw(>%D~SzI??Vi(+PH(Ep3BX6Vdf;co^XBPw%02T=b98*|(gGR(x zEs`4`61;JH#+Wf?gWw(*G>IHyMe1=E1dp-mab&V=@<9kzCF>(t za&*bn+5)j8S(U8O&LVkT5E2UXtST=v=io;V3gSH#I{oXkCiS>W1_d$54%@p^m8=T| zF@BK+p}yv5C=}5{gHlsHo9Kr{%bND}x-wD>1G-j)jCsH|UWhb9Vce3h?ry7D01p%f z?n>JK3R7MUMVm|3l5BZ(l&mM{cWPCC#jc=$zxpHI`8U7kbtUPmn%otz`&G$F?ehs{ zSM7jNh5{}^m?GJZ?EYm>Z3jLXq^^)^0S311!OM=?p(ECTnb+gGH>5E;(&%K_m|(wC zFgw!VM8r&DI*Dj0q+ZSESUJ+`qjCSOV1XLJ>`3od2V}UpBF;hkRP4&ZNK}sW0R=6n zRpfY%hpV&+7RRuBP?gj7Gqd-oGM+sx^Bl8CXiaBPnX1d=Oq%~`S8l#;HYuI*qvl}3 z#)vn+gbthWw_}w$+V05O+zkG9nwQtZ-%izG)0Q7{B7g0({_NkrY>-r&R;LcLybBP5 z=0x7iAgT7yDOn7yAdW5zSJyR2YJ+UD=vjD^Z9R%Q%zw3^L3n8Vlelr7h%z1Kl9(XM zS{Nmdy;@jRxGES$)Vf4>M@AcK=E7CUSpa?6t18xoE2QS3YoS5Ay>TS^d0ylihQeDV zM=tcJ=%}p6E>)tYKhMzvs>m>vpnS)SxP1t`W1~Hr&2eQq^Hg7kVweX>VXVM$dBV3G z?kRjt44^Q*a^i=3(xf#=b6?=~^aXyxKX}vU|KwO;5=>{uYhy$&+4J*(Nufl85$utj z8Y=Y+_D(TJ}%qR1aY;PO46`b&TG-;M0I{_ZyU zVn8}pF-b;P=PPpZ1^C`h%AG@`Q&sY11}0uueo2c_e;`FT`!v?*>9Hw@=8f3 z5xOBsmd|rk7pvm5%=g{MfXlyQX0B`Iq6_7N{%Z?<)_r0Swd!Wq#qHlY z_5I{cbZeb# z&Fm|lZ+oTp>5}K$UUOZtq?7>e?6Cs+n5%U4Rgf*8az_gO- z*$*7pThUFG90He`sEMx)(+wjq*I&uG+e{z@PmWfnSCRQ;ydI z>K;eW6>@<;yQFz=ShFKyx{*vz_X0h8>Y;3LJ$sV*9s#~jBpSMQ;Y`$5ewsHi1S^(j z67XAdC?JyR>i(s@2l&!G3x2%6_W&#Sd%%y874?Jqdw`R>|M%b=k{G>6^bgqwx!Bx# zQppo@XEYzxy0@r;9x!Eo7Os3Pp&)HYY@dZxHwb0|ucF|$| zIDZJVJLKbcYr<#K0gsEWYNdxjy+60(gu8ChLDiJ&*R2*^_4^@E?_dA^ZoAlI=y|tU zbX6-o1nT{{9mmH7%bVIdS6b;eayi_SmU^#p3P_%as!zy0qLyN(XxH^%nJyacWl?UG2N_7Tr2KzWP;g zSBPByp4}54{K&}pC}WcM<{N(!^`63GcALHQR%NzX(bGneiY9j87opgJ7DO)?O^U4n z4@?x23l>`1-Ll8eDw@Xx%>%-U9a_4Z6~(5R;0_Z$rK<~0jm;d2LyI~xLEzEZriRSj z+Gznokj|I?>N1-Mo}DFVLXf^!5tPUS<_F+I3u;18ay~A(2S<-Ua{%~WYn+rM#bWx; z0d24R9Qb_}%q2;&4JJvd0eF+-&|4ctkV{VUsDK?;cO~*ODGd{8a}h#AqzczudIOGo z4kntf)AA1lERF}5j?v2g;_AsZu{<*^?W>rUwz4Y2cylU!e^JA*4C2ixn(^jTkDvSG zKY8;@4}rrB0hMHYj|foPNS5l+LNv73uZL@BtUIwGSE>Vgnx$ByL9N6XAZw)u z1?Z?^nBT0kji%kA)^I$iTa*GC{Rm%k_+jeDG~B@=8vU5}mDsSvQrOBN<>|O_3borM z1fqvO{;psCgJFENAn8>PFHc5SEee&IptY|MaxgY=!O~TW9F4^$A;{Ro1*2JZcQn^K z1ZjL-5EviwwV)KfT^rAJ2-5hv;11k0$_@b>ZHthh@hyU|_Ar&mxwdf_g?hiJ@Oz*P}7STVj(v($aP1dU70*9D5^sP&;SoSlyTuL6|kY zXC>lfR^=P^IN66w%L{Iv{qK;Oj(?-nSsJUk8vrC0RpwVIR8mJ19k*mX>qMs5)?`et z$i{8Y`w9J*^G35VUEZ3asjr=cbJ{JUHF_AgNG&LU#dLfuefwH6rvKjm`MxiD_lFe- zf!>V1G4=WDXn|=%aY$VDW z!d9Mtc12NPgk}JY?SV#X4lZ3Oekl)N_7-AK^eXn4G%9cx26&O84L=B%zx_cKxXWnY zP)XW@ovuB4zCYjzWdAqL6N%Oy2(7w79a5Ged0Yz*Sp4?Mj)dCwNJ#4TbikO%-*Yau zLe<7*LtVH$8S{pz)|-rI8WiQ+-fd z*tD6N?ex&wGu|WC)NP&rJ!R?q`*I$QVE+D;Ozc956rOv|yEyK*;cKms4JkMo_(`kE z6l&vdm_nfc>S0sR?-ejc*-@(}5Ol zr*n{jK`XFUWBmXoDHm!SU$$d^aZb~wl$yhKif0j6oEx@}6PBmKo@jns-Od8vJ3i@y zU;Lq%O`r*14~x7mwMBC`%5ivZ04&vz!@jZ{qYLgf8zsv{uw%2yLy&sO1r1us0YDhS z1HU_iFsYYZ5JS^-;yCnLnLMP{1x4RN0nD2{tQM1-@NJvRL9jksGW8r)v?_ z5kon?^3LWLIbK-#l)ozSjf@__(UpB{E zw4ag)xP8+tytJT!0@w_6zH4-ai%GDr%)Yr`Oi|bZ)zJtFzE4@s+1WrZ8L+*5Uy%0A zSKOi&r!}+{IwqSHY2S*V(hAbGA<&N&GtQCr%>@D0Q_6UBOLofZ7lNX|>>Lj`Nom*s zy^ZvA<`pGnV1hPt7pX58_4Ze*nlnjsKPjW(AaRV~`&<&mL+wE6w2d|&ydtB)ui%Va z{O~Pi>oh-HEsCvaO{##^176sZ%(sV`Q`{b`iNQWh=2b_}_h+{Rut+5}nO8|xHwZUc z2;(uMr#I#z)BlqW6Q{>iB3e)DTRG~1s9TVU<@>bqqwx%V4q2#542lDy>kqa1H~vYI z9&%DnOAnFg=*eJqgMG*~%~*-2oq`>ATl_DOC@`woVL>Hs#P^qKTR1EX7AlVgJ)4!q zm+i3eOw2LAPIRTTogh4@JQf{O5FSs{cA;%5X@BYJNFLTmqHm4!%^nLn1Mn#4{Tq)3 zJ5)YO?XqZS6fTPnaS+M=5kX%UNHhsH^Xv{5r_1?jT5AUptM3t$j|>M?lwo` z=}3~y6S<^O2Vr0zxQH}gk|gup!B_$mdzp*?K3QwDE;-p1P@B0F+-j6md3p@s(^%PJP_1=jgS$iDdNKtbrsTlMR%qw>eAEcnR!*xG2LY zUPTyfIt`ZqfXD-pTR&k)FLOF1qZ-FYG4rB1fH%XSXOHcrW_@agbK3H)Nn(cc$3FFw zUiXVpdC`oCM}VF^#2j*?gjj7X7V=b0%^eX;4~RBYr-fk7a29%XC=CfQPH{)<*BI6* z$yJPWQ&kl!RvAuYUnO4K9@fUS8G<>(8I%x=S0%a$L8?>RddymXHazIgk)eG^qUBUnA#I%kyL+`@1fq@ztWOE$nG9k#(e$QFjFO3z-E5uG}u^uFTp zfAWRj7|?NTh;$#)+9UCFd0Q(I68Z*u=cFn<7c@FTj~E14ot&!N3Ep2Oc1OdXbe8Bl>HuPEF4vTstUz+AV5L%v_zip6XP0{HAYt zTcmn4@8+?IW#Lduy8ap8UY#15@eQa1N2vh44iW~Mt6cra#g8;90X6MLFjuEQkcA8n z>^X-J(4OZN#Y~q15y$SrOaqiI>8LCyX1WN1a7+Yu>;9B&wpaUwJb>|8q8LF_ z$FY@xGispkztp44Un3snOxQE$dag0o1Jck`>O_GDS9g~%DMC|q1*@+w=A~ADYEX2w z;si56&-~Jd{~KQvnIJWNr-Pym;-kv%Zh|6FiJH1K#(6WQ)&gW(U`_;qjszS>cv#Jf zIVd_JD$+Fg7D?$wFb72kjC_#-GIG|!D3N2G92B8r2IR&#M<_h&XNq&oK@o_JEP}yM z!unTVrkqMCC>HkQ?M z!kQCiFG-k7tej3qu1*9Ol1{&Zn$bY{#?;KDsI_>>R?DSfAPoszCZQN5pL_N>EX7&s6nWL zYu>)n`Q5*M&3snjX3dPj(aNXX^=Lz=P2KAn zL;1#s&dif-pO~OBvL2WCB*_6u zr4Yp|S3ORv%8SN2aAhI5URw+v%AnE(n5Zo)g0=#UXIU3K{Zy^1IgA171)8fjlc>_w z0O-NzJ5vbqJhz2&|D!& zjr!5iNUYvIm`W`B5Es4H)xh52r&Cw2U;*o^E>$aE6I9HsFhl?2jnb@(uQ}`Lx4q54 zdBwma0uIY}%({Bk)h|4|aAx~+e)aqR=}-ShUl2`J`kwXu>W9Xybe1w{P*($zX31Yy z8a2045HM^BAFlOK*41aJh_bFe&qP%F)#sRqDqVe=iKx}pYYYGsZ3!}lHlV0=^@?-- zs8_L|YX*2uL;62}^LaO-+t#ic*jd8c{T@ zokiq?KJb6`zo8o9lP>%~rcrsU(#9||uI7=z)!4&3lCtlC_9w(T^p5@A7+Jn`q=~Ef zmREl8b?=KTlXiqUe9!trAB%SPH8hAMX6!3dG(~W?0o^nd4F>QTk7Wo_(G)@OcM+Xk75vtucxMD}qhPdV69;DjF9=`zH9SsTKg7(bRMZQqj1eC6Cam1V$On zGKC-&jSE`WC1>DyswD*J1Qx*^c&>TqT?c=#xja%4a7i%vDBCt#xh&HjOICgH=n186 zXSX{V{@XQkU%XY!DY+I^oG+zhnf&OOEkt@Cku;!CI(oi67^KLm2_=ulww)yN{n_$z z+j;hXd%i)qMnGuuDy@&kbDq|$?hp`7FjqYKs{h;bEs9S69my1#G4wS@Z2=XxdWom8 zKl=SunqF1)KRQKZ{UyWg|9$7Rh4(02C)JUAWnt;{jNCr)JQW%f?B6x#54XeHBgalg zW3sM%NgWpyJk{i8psp|C>;WlHO&(#4&gx33K2vq5nlJIJbIT=9frCHO#!S z9(hvgHa6k4T%pV2QEle>tuO!IKmR#nX(zCYqr-tA85$JYOwE_P$m*STEyiypJh6H$AA64kNOwN zoH%Wl^emINbOL-%_X5cy@YiTCAAObL2^fnDhKf-OP;iMs<&4xqQ2aC(M3*}f38b$% z?lmzJg48R1=$+6%GkFC6t7VX(UU5MHd61FdifiPfnnR19W{1X&ICwUiz3IB<`iy!?R6we1?i7xjJ77ch ze_M$b^DWPe+_|^On($6k^YHO*wToBu?%gRp^I7?wQh?Vb6MB^^o^zG}=8`C0FaIK# zJU6)Y&7D`5)+JX$GDI#U5E-=kf5g522hF?N z+eVl@)BXo0*U}G$K=p%VJA8OaUB>2Sy}*ouSZ>oT@S5f2_IpU2H19Vk0k9Iv}@W{|*%4x%G0hUv$;)hd_0F*-rc8d^5fJ=D6sp zmY+`4UL0SxV}D$*d^$Syv4lh$xmslt-*(b_0n@ge`Yo(=TWUL!Yob>{h|te)jqh5OLk z-~PUjkAYE|aB1^c9Qq3X2m>`>)oZRBBhm02kq2n>sOY3brsV0TsyvpKqu@xP^Rdl3 ziGSoPmVp|ZrL;!o73FbvLHHhGr;gw_(n!v-M^^6lToM%0UMNkODryfcFMzFh1i&3u zifJEais~Pe^H|#@+#WG!$PcxLR`=VMM6)=iUU5luQ_?`^UsL6=P*hUl)jgVmG>nX& zQ{}N>%viHxZfpnVt65W?RX@5;2w`rlPu8SH-!JA47;ZVw!%El+tMknF=WxJ$61_-3 zeYZ!?Hwe>4Z1Bfn;J4>Y<8i+vi#D(z=nSscyud&!gSPmKm05PrvGN<;AlK%&7~@l> zSXUoLeyOK=Y1&Qq!cYxbYunIdU6|&fPv%p)3TXc#0oTxEtMyLS29>u;dAALgL_=5R z2?Fg7m3qG421^vecF9_Cif=V}a2}?C*MqI|w<;?!Y=5ZtJ)ItgLS$PL)Rv5BCSLx( z-tNB~9b7QqhIQ3cnM8O(>S!5Uqs&5j6F&}>>?$O^2nUw24b^57+NVTXg& z6EopQM zuu;8Vly49c2s9{ibl`8A-=6OfkUj<#*(`Ayjby$>WzhW=*0e_GTx3vGA6rTSMn$(h z%9~_Z8r`ic0IU(}egddi_qx=yOo{(w>QsaC^Y=_^=` z|Mc-KQG37{T&#PZZgyW&kz6%$c1o+@qD<>UaM60%*?EP616B}L12r9i zkmVJ#?+V&bj(B4zz@ZJbk6pR002iu*zhjE47R9?;a^{2qb$c~}KHccjjF zi9oYcJMFMU`SujvR)8Rz34o*8ST*1EmD5${@nfi){l}Qb zCI2CkO3kkpkG@DQLAgz@7TFq^xmq>PS55v7Rz|MK=w;*JqMOT_&REN?77aX-WOa8| zSWJ#C*&2GvNiyFani7d84w%!fetMGR>*YtsOjb2uQ0F$}%_S#man-&7f)*{SD*l91 zoqgCrojjz$^)Q~LiGw`gCV%&v+rM*@|C7w^RFw?rxyz*^dSg)ukQ!my6wj(8=$WZ{ zO_684=a;|#KYZoGM?nl6PRJAp(lDxmy{y?VU3ciQ)9j0;t51R$bo>uXzeh_1#EN2S zwMuK_1fbn8)yI-5At-*d3EJps!Xgm7i^2>+@uN+!U=w!8YPVHqx!Xk$BvX z;8-zLeR0GU;hvKJFy5{Z)Fi#1`>Ctb$yt~1skgTsDKz;nYCqNrk^ShgR-XM>0Gm1K@;Q`)e=>~)(MbZ>J4<# zkV9|OqJ%M9;B(u1UxOGlA|x;Z!y?+Fr$>3Q#p#Ku1P@UEF-gzzVs}LLOP@T{RoXSv z!@K~rhx6!>(mL(|A8LMkdYTuQ0&Dmgq_ouEN>?d8&I@EYF~mw9=cO^=x#aYR6d(g{ znJ*71{w+PE*m6r;KcufN5W~r*bOtf3XAr|94`M)5;^8d-A{dClH-Sq4rh(r7lHc|> zQJyPK(St-S6m-MgXPHh5l~kt7=|%wFp@@{F#8HFAUzfr z#EG#02|*T0#S03-ru@0mWC;K*!rYOMU6nu4vS!F+RCRl661L?>M_fW85;*niO~0s_ z%bx)I!11Hx3Jq-Sc`{kzYhv<-;(o4S+Ph{w`lZFlR@6Y}5+Rg;z?0Y|L?D1vQy=&$ zCrT~Q!J&7mGMMTSz z$WX-|o>0O?TM?L-gVqMwp4J>%qD2=3r#UVU3x0hRr6xc8B%vLlrKL33Te2!59=Dwq zPmxo$W;`#Rctme&8czsIgUaocuTWJ)+=GBgOep$+joiuC9F1yKgI-^0FlpW)GL0bx zs;7XmpnZ6`s9jZwH!z98^{D@yJ8hpNWymEAAZI&mf3po${I>u7i$Cz&!_(E0j^n{g z?FTqNs1pE*2WFF1g{KawV`>x5CTk01x$bm4oGIevvJ>i3L}JJ!vEI}#YFj@?^PMTU z>WwR)wsk>#4F{Ji9^k@?zh_^;fu*)}!Tq@!9<^-|JX^v;codRnOL(~~xIJCM8`X@( z-&3^|PA0!l&7?yedtRV^=`QA3hf+l^EwT-1(J5|d>__QDhv|CI|3V*Pyds{|GObaW z2omsFGsS@#fCq(njA;kVgRFqZ+M!nMP!*>dq%|Gfs?7*c>I&Zs@i0v zk0=+%_@JcyalW}t2q?XaCY*^yj^*_7cpeG*FumaD>B80JtHTabzqea0J zQ^GZhNRs*ffFBhFCuU}V(copTetUI;U?U~t0?t2~a8pUJN}+R+={}20b*JYOk8f!3{Dr%XE!ekWf|^b23pIMVMY82D zwMZxP{E_89T{M`7I9$YlH|-X+R()o`yB-F-*MHNW`1Rk2NKx}N?ipFuh5_Q-Ib5d% z#rgNl*xWfRJr#53@RvPz=dRC;DBeqn&+mbiuWZ~MChRNTOA)-cze4A5zsqax90pHF z#ghSdrV8L?J4MWV#bZ+&t37~b(;UM-&}<`cSnZ}X?-Cp_v3d6)bP{~_H~gdDSiioY zQ#IChL*O~FGF=;iuf!}Ff}S!8WZu@?A_R?#%ho{nnNx<*AOL2dHg8v@3^$uC0xP(P z+ckh92+aFYg&RT2qVV2Txr?!Jx5Wmy!ftn zKl6@f7lwx_kg^I6^%8i)-x&zD0funFlc|_5F(7qO6gBM@%~6!zy(zZ{ptlLPXtgA= z?D!Y|yMOcVhw;>q){UnHxYP5?F-2)0cUvVewVZ^`wH2AQ>uhk&U!Kj#R_V)g3E7;z z#C&zOpGc-ra^N{9Stl<+8bO=L1a6x;&AH3-*;v-w{6e13#-^+i2%64iW2^k~>1^z% z=~nw`m<3uqv47=*e!VecdKopi?z;`s9HuossnDf)(rm=bxyGW+Crb1UIj*3z8ZM<~ zRNFNHgeAMyv&+)xoD3l>f90RUGS-%#+hGV{7g3N)`vWp{i6JGxAQSf?K)R^F#+q3! zFtXrx3A2(^EKUi2}iLm%8gkZHGQHsm*B z$ba(te)wCzFbM1w@AwEo!~@Sbfc&N*H-sia(lwa}+02RTq__t56#{S?au=Ko5x)tX zv=|vRUshD~Mm5JtXDc;elFocB()oLSZkCuVBj?yKDz(9EvW3eE+N zrl7K&fSy#)HwAB0^4Svhu-9UlvgzfMkz;6XC)3kfVodqC#1b>LSYdM>iIIu+fL|K4 zR^2;iSoo$b-|A@w3%~mNk3atlp<^_1^I*YKNoS#SIn#DH$A^(KZM!YFSLw0uH|Vr& z5@=PXZ3gF&4K-&NM?-+3TdEJLHB7TXqv`fo1fWs_-5&bILkH)X-pjtfB<+$bE@OhS z0^)<170?XMs}!(Y9{2qPeuw_Ei@p14IiQ|*KUL#RTYgC6ea7G1eB#qFBz9Qi88IBv zmAjSos@W0Yv1E-`6(P>SkvZo}O9p5wIj8Y3jG9cHJoM2f8N{J!D{TvaHl#hMLovY2 z=6r-;t}OrnSE?1pJ`sYswtxl+Wh%g|2bo5l%Nn`1aDdj<<`3v^ZD@-X1%BrzxyS5W z$+)og(qsQg`C;_NaU3t4#KZD{)6E*snm@{#YgRre*&U1?H61>aXldP$yyqgeC20p@M0f{*aS zCF9cY#esyV$`joLOOaMsIFUe#$o_EN#uhk?O8uqYoS=89t6lkA>d4MXn+KP)u^T>e zi(1n-W7w_-!}i7B^}F8ifvCO=W7v+Rw{(5%4Yi;FbFfmac^gDIc2dg|8UBrDzvu4=wuJR*c?KjI2Uj>krPZn|7S-dIG+Xg2_=UHP_E|qbh#&cl^m;{hske zsfFYiM<{&hIe^ZAX@unaHoaFv5)q6d5}%SN)d46BOE=Q%l@FcM28TVOcSg@Jt>|~F z+F?v})8VDDT$r;-PWSIBVEuD=%5<)3%U(15F?kl{?_Q=%r^) zE&4D;P_u;)Ty9J&#)^zhToCxE6*@*)Cq96*rCyOwo(t}dM;4SxsI|6P$gyHBDBGwD z5$y4PF*flPK|Kx+9*5p-R=sIL)L||NE6h1|SP_)S3UiA?OKcL7YqBTv*X#|S?vkTA zOf|=eL}Aes{GjS*k{;7Vr$z@{JpXq}BaO-E3D(qIuCm&{KyJ+{>`_o*_MndVn!W1H z91c9VaA4Xkn$vtYgU$M^cf9eBzIQAfD8)gHC+&?e`TyB_7ogkHv^;2tfdCT(i_b@aDV~iFPFTp5xXM}8i%qVaE(=zI7X z+<^Ca=}>xob|}p#!BLyfEWx#kpJ1;~`q%#O=l^i{|C&&8u$L#_tCB;TrI!H7OAgyD z@ChWy1iZ5q%(aQCt|a8zM4E{Qj+;i1O3SYi!$WK)9*A{Y!KyY9Gx4^)N!C?u zqRhktvY{=DP-*$8V+216?rOCLZu~YL$d!? ziQ1OpM8qshG;({!Ga6NgmjB<;?$x-eMM(49UA*3hTQ~5$9{=n zH^H+`iDEkQXuT(KYBB7-;(80VLnihPYniiKjgw+=qWq8`{S*A*0WAHB`HUsiu-lr4 zZV&J_vx=A(-W%v7hTSg{^bnFPJnWVEFwQ<{uGLCklPuJoR`*B^Eozo);~jxWvGF;6 zX?Dw|F8^w@d*-@F)3TyHDA`QQ!=|?FBt+y*VR> z5g4JpFB9fs$uG`NUSTNE42A$m&A3GpX3o1sZJ4=O^qaBhzvq)a{O|n2M;8Vpy&0`V z$CO-7R$v8gFF|VZ}46f=LYsH?Xh^0p3sY z7!?0Pu(IeIq%_3@0u!OI{t(O-9W#7D8zHuG11NsIVr9{R)W^4mQQhnK`Z)EZsVpft zwObrfn9SJy2NknX8BaK31oN)4cw;F2T!spuSotR(6Mw%@u;uHyI?Nlh6DQ>2$RJu< zRI!m>Xmg;YdL0;yxzj!l05W^eg#s;I^1N|LxMk#J9OKiHZZTeFEy*qiz%XxQl(|ogXwZ?`o($Os{x(GV3F@`S=%av(|LlYEv$TAXh z8HrgQk{Tu}qcr_Ndld(jvOM*kFMh-Nm8hw!HSYPmCFg`W6|Aa+_gDM8=-kyNlEY?g z{iJT+6=G{!cWt5p`e?TYYf%ls?DHPaCypnBa~~SpO)BgfQwosm2QgjkWKMbvU^KQfLVG~qlVLP?MR-I@e^(=cY zARaa!yxg+EYC$jkQ`yrIIuv_{!lVu^-=;38g1+VWKmEX01_Qm~R=h%PC>8`ZJMsy0 z1le{J)^h~F9)f7)2SZ`o(oXNnS1kE){LD5=HXt)pK`sbNUk!xNHrNxS3MzuY?n)eV zIv+9lmMSP#qzWp6h{7aXJDm?U%O(V=f?N=d@lbkHY6uluj1t|RA_#21ocaiSj|PCT zqC9Iw@_Ys`mP?+HN^dSw9z&Nz)nKn*2l%KKQzSv9OBS_{@s&OFkyOZwq|-~nCGK%E zOHf%P_rOH+I)US|`9-$t&MfUKs;el>tBonn93^^;s4CUR>^|^0X%D=9K0J!|3De9Y zQDfV1Ac^=CgtUXudL07DJ|hg6%~1Tv#EO_cmZK&{u~pPG(XEH{IOwY)Y64N?MT7y; zfRS{wcn_hQA96CUJ-rhO@}c$byyb`V?_d7szW*z}ZK{xoeiS%M(7%Vx#%M~yYf5RO zyHTI)Hoas}PJ50fQnL#;eMv#LSiyGJE;aT*&0u zwrf+fIjMK|IF_5uNj(l&zdkvelX`bCgzllUv#Q2k-hV)jW}gUV)l)Sl-|&8R)kW*Xhqd#iVDtf?WKlWe9jz8X;nf(j&^ zdd1tyOrMWvwG>GNo^55cGSeMOtUx9qO|;(`cbuPmGElkB_{zVhm_@g*neH4(nn(f- zLVk6XTh17HTw;H7U&TTic^TpS=tSp-CXHMS@73GxH(B^+Ur7`ful{V8dADdWyz`M; zm&|s#`-9K^^2<~C$sjL1DScMX{pGg9(f-7opB(K^tn!n4SX@nw?hI|~nX+e|&iTpF zM#h|Vf8RcK8@{=P3EH`HMOAa#`_ME1S^rq)5udq7_UrJEwak&4LrJDcq zeg3!E-E2}S)r|(cfF(P*WGA0{$FpC(Vr;)zefi5TmlP?hL!b4tfAHx~xH0PJqEuN> zX*EICzM(4z7@@QF9c6^h+BfK@P*`q>mwVZ4S zUZZ_cplM53*J$4X-s)?#?+|hIp!OXkuFkh7v~Q2NdbaizO{Ec7h7tlu=;4;`v?9H3 zye`ylfvBQvzo_4vSHG!npI&Sf?xl33d*>JK?Nu#W@y=jneaVc@_x^9ZZ)4sqYR%h{ z8NHdz=)dv)Z~Kbh76F=)^f-piD0?`KKye`lpfoh0XS*7Tweudd#@4rCldD6JHmIyP zHerh{f(v$gjJ{+p5$0Uz3o>aNT?7{dB!2@zq!PC~LtMnEsYzV$aFlLH5$rLi(M52< zuEQ)1S#jsEnOKo7f(xFz9SN9`8QqcQjkVJd6c@oIJFv9@lR{YB!GIY_5~jru#4rt@ zc4W;1@PO@_L{67AF;qgJCTQ+FX~5}gPMUK@7}KVlQfk)g_DlV@Uijp}jsI0KPo3J< zW22wc^=tYz&!akg%l|HeH=iOtoW|*t0Sl* zbQqFIP=CFctcsw@q_Y9UUUIa+lG!PzCE|#+Dzk`pas+ik(MmKkU~@JlfQzEku|~QQ%8D}Dp&LqM(RwfU6mYwIjf4BuXj}*bC4sykWP4+GDMKOZ@aWz;*NDr`rvu=IUvC-6qVyke>eaul=3> z_3w`Yzbi$hv&30kfV)aY6e=Pe6aSxwEXN&qu*5>qxQo=czJX z$1QN(^7{a;P@nrU{YMocs$=*=t(|%bPZiT#eqfcpRpt-(GyU&E7yQ&~n(=%A%-tSf z?%`~~k`}s|w9vouBmd#=`9Rpy!->@SZclZKz1v$l_R`dCt&O(J(n3XW&n7?$qPa&a zMVh~8Gbs?2(Hl7RmK zSw|Cn@A)EQN9edIz zqJ^cl^D5SM=G~$;pj(Xi%^2}-{cG?1rk@Ocf@^}}4Z415Nr!rVb5MLfjiefLP)wFd zX?Ht<<*Usg=AhX6;?SPB+4iOgPxN1!c6e2rwISkmF3xI3dOSb}0)YztAzK`{>( z>j*2^6U;#|f^3WclJw!ahdil*V$2e?rrQl1qv|4O8QeM!)@U{06xq`1z7|ap=1o4S z&=Uh<8!5po6LfBHf(~pgi-Z1p+`|?+^c*&E4+(FSdoH)Nw%(<-_VFkF{?j|(_`wfG zprVzYwtkJA8>0obqJrr}UQI8NDhG>g-93ZURtON_GaF+{2vWznAh2L3$>*VY6wLmN z7DA9Z&IOGK0H+4^Ze5*KfN>Elg>FzEs``i(bNw3g5}kv^X#hfyI?h)-9*)}53yR)d zJDrR=&IK84Nh%Svj8;sE73=zSw?iwh6xngP&DWMd#n&{bHmmGg(}royT))O0A@7Yy z%7}pcQ%ZrRtXV4EsNvVQaZ`Tqp6E-} z>5_lEz5L_6TeO5O^P|g4`iB1bU;nfppEm0URR7Ja_b^fnUEFXKSQ^awNt1xK3;DIm ztQT0?q*-4x^(kf@kdE5^Q%Crz1HU$D);FZ{2Z*e5`nR~o%B+K#U-FMI>wt*Wr@qFl zgEOfStjzjxeNs-P1Dc@PZ&RD~f{DX4(e{^={G)&I0L39L>aCgY4jAGL!1iRCby{9C z?e7$mUCes;5LcZ6+UA(-IWl8r-Sd?GS5j#8T;XNz4`1ud!@=!j$LXV|&Bd(0@XqJT zWcndq*3Ihq=cqKK)#&->&g*bqgp)J)I;)=F5x&kj6Yp;vZEor*E`G2fU@_~D$E^Re zpYu&WzYRF0riZUDD*|HJR^n(lyu`&}H2^3CfSlcKQD3a9g;iM*r2jHe2Sq^R)rwPBWySRtl!CDT$g}po zbyZd*gh&L>C-Zt@MKSAsk)Rv1@#O1`DY$G8YR`fMm4pYo6B7 zn&S8X!p;~x)|`yNiy^(brLv+K>Kt~fAs<2kHF5Rq06dQ4_e+4sf#`do%eq0d`IA*v zoSW*j7wJL+L@fFreZ%?AADZswbu2nYr?f!BT+Zo$=rD-+wuhjmvt%h>B=9z5k7a>q zYs%BJ%k>E7BS@s76Crpw_wdtHp1xwKBpuEh%d*Cb8RHl<*&0Pne(D3X1adi#)E$LkpFNeCxXXAw_u>Ngy^Q7YxbXPhMlueOer@ zwpYihvFPAV6ti){&##6kzEFil=WttT|G@!$PTE{<16G}NIesG~dc|h>nv<$q)#imv zhdmV7Y*)Vl>LLVdfy=Np$AxP@d^RgGEHQ)t)^*gC4`D;7_yxrEWX!i zlW%Xyqe7FX*Is&kK|))KR@j+0F)M>!$HjONd)Oy5?}8VZ@A}_(bT;o6Ez#NhTXad% z_0N4=_sc&V(V5;1kIwAY;H`8A10>O{U9269wPHCzLT>XcYz<4g`ijNDIBn2LR@tTN z91J8E+f&yL23U<4q+o;_&>M`-;?zmg;9q%ZbXoAVS~Hs+jOgFMMb_YsXP(XuM)Ys6 zA-Oqq<6!JGGCZv@^u3j2FR=eW;9IoDG-QT*Yo>Dl-DnCq?hO_jU%YlON>>Qjw`ykq z5nuNIqAdi@S_|iq@|a&|X$wJIiZQbJXMdF)4D&SRRc4EWVISI~hP&k48}Yx5uhVQ= zsOSzx`*(3Lo&repL&U+D8#&r*HsQat#*vZZ-GBWD*8kXa%04?D^(Sv$W}H8tBFN#y#5yYx>3~wS-ph@YN3I8Ng&$<=)CL2s~6siDwzy1yh5Q& zIj2f}Y`C~qMjmqdVJ@y!^{L@wUl)bBhqG==tH8~)3jElQyyH9ngC{uj!)#^-OB`Xg z>(Mn<9zsb%55$PsLjX2_>`u~r06a|&R-Sn}hkj@9<0BDFo?c97Yy@-Y7pwtipG6*C zOcnYCZ2(DvnmcZ#=Ta@V}0cEk_Q4?Qiw#H40)VO&gXwoq#s)Kh`|AGG7 z1yNY&zxbqz80d;}#s`NSvw3Y!PljD`D24NXvEI-pN{*u}mL7*2>~Vm$O)opNEq>iY z(zfV;I6Q>5wO3;znbj-C&DFN^mLJNM{n#J=?pN+d)2zZZT-!Pd>abgDt_(_drzH#9 zW!lySLCplr1hffMfbjDLT&jVn?J;s!1qtt#3a5~6tg#|*Kv@y9l|(;**FwfB<`t=J zU9hBgFtpanDshd}wnY#a1NDSYCqrt7AhoRv0x^OwOPBeyvpJS7IH{cY)|QuDKB%s+ znX+ZK{%dvs?O(jSpEZ=K5saG6+M_JhD36siF?Sv~o~43e(D2`G=a$(1U3W#@xutqkVQp z%#G;`@P}%_;h1}XsqdPYdw{C%nwWcltM8hadw{I3Dz}!Hd+6>|S@{xkV{p2S0`x4x zq>@!tc`>(WU*}S@nR4~!RjA~owueaf^nfMkW_Y&R-4Uug;l^T^wOilO&iouSvvePr zC{{e5^wHh(*hOb}Y4q$I;BcvGUfN)9uMKud%X7lv3s?8w{o*^`rLZe(r3r`Ct~o7D zmmso!;#=SHiN9;Aa6gLVekd1V6ri15gi(NYO48ju0Yff1XE5p>TZ~tB5kOMQ2??a$ zMRvNe{8d_h19}S)Ja%0Ripnm+C^o!u5zu!t0uIlJEI`A_SwWWG0U&oqWPwb51jwE5 z&Nz@edvK2epb%yqEfaln>r_Vodkh2N6tCmnyj zY>4ga$3wVX{_(|OSR4lSH`D1fe1(660VoP#0&2lyrSVyh71TvJR-A%@@p#o7hWEZ@ z_q+Z=WG~fw^X#Sha-^an)h!AaYY^`>Ha43ij8bAXV$QshtqzD9A`u*R7zW0WWQPH> zI8Yg1dg>JO3cmU70(B7TTdjmYjin-)+ zKwqaY?top?hRTLuF1Z|cJLeGhPS6mf((+T6+20%pr={Z%%q5otDE>rgbx_%DV?LFZ zuh;<^E$ymZr>x|;EF@8mOJZyj2Zx{}bwIGy{66wr7D>>x<0psYTE`q!Yx0qG$qp=k zqOH62-mn6;>(0ZgOlrNN2FnN6g_>=AZH6MINbw8ue_y)BJH0Ri+#oZ6P>PdD>y{|R z=c-71C$8~0-!?MI`xiH#`gh+KG^e`I^(qpb=u{SyDiSaFP8+rSb*-z?p(0Nt{;XFV zR*`_Zw1UGb^60G|aq3|e2`_-|T2?;8DiUBJ){3&=u!;mksx=JPs7MTj=t`s7M@PB`yEri;8^fm86%_OorCiTvX)E&Sbc46}hBfZZ8F6dgVeh zv$Rs&Oe@8EKKD!h^Iv%58{Tl|&2Ne*&P}zaV4{m2bDrCN@|=Q6t&!-C7YiKeja(GS zH5;)+87PudFb5#k;Hv|Li|kI@cb-!)m^-Z@#i=`^VW0+KGata14qdI_bXk21dvxz~l7(&}3MJiZF83cQ-EZlK7P9_bZ_Vo6Ag2XWi>@_?heP${fX;j>|wTl}v*dwQr>27gDAXO9bMCDT*A zG9M66^`_4@fkacB{iw56h%G<%o`0zw#qYV}cgLDY0JN_Z@$kM- zWklkf$%}+{No4}>yanPl)%f^~rpzSRiGYAk2OvFHr6Psfs6L=73Ze*@Q;}$ALEx58 z$VVt2&+W(^?lu4y<@29bXah;dL!dfdw$t&r-dqLUtyj#l#TVX5ax!wavK`0c2dge; zzrxEMKgaH{!o!)D&^Fnzgg|kESS#EA1Gb_zW0OW+amcRuM+tn42!l&H6<9>O@sW<83cI|Gd=3N`1>y z70L!&UY;WO{Il8*JtbEb9BOQ4nXR-%>js*sd*`_B(9#vnLs_@GGs;s;P*1s>rhfPA zD+%yZ(;Hup1>%KD)Dx?w?(p0nUL^fz<6+)LzE<94`2w@=3bX8U?#i%Sx!|FnmWN1( zy54)eMf2_o548d+?};)>zvk}>308jex4(S%15;&J>%3zn=h#?kZPD}KfJkp)r8;dm z7qoV!l81CR=upleByI$ggH-Wc5!_M{VkMmc_YJB>y|X?-JGn=94{)>u&~*aUR(p-f zJHn|kbcrjXv90HzC+7%UT?dLlbmie z6kPD$6L_VOd#Z&6b^=y_IF3f?MHw@sJc(?lnDoywd%{)|e{QUy@M& z6{^JzGDX`m>_>d=4}JY#j~atA<+r2M44;Ym^Y+{u07A#c=YNFY`d|#{whQ%IDzPGn z@x)q>Jfh`6bW;dYiMe2DNFJKvLM7&c-Fc0e2`Xo3<{Fil3zodA)HL6xDw zTy*0}ZtTcX!AvBuKQUL|HFx1YnGIx#EmUb26Z zhAo*q)TR*3+!{n_slPAv_YaL0i0}UO&;I7G3pBAZ!MMS5MkZw_7rHD|1jR2dZRPnagDOtKV{N!Hc=L_(`j z&b~deV-sme#N`U4_UpVT;H81qKrt2mQ6Ih5*sJg$PPsMwD(bw(VfU}PKEsX5I-gdO z^%lwpN6ko<3x05XzV$o?9Feh_KgV6y3BjddQmSSt=H+&>`Fx+#ro6T80vL~>KZZBO zV`%G5gj+1Y4BleD#tsc5+9rNFqCcWOH5Ic9@~`Md z#7aHEx=6CYKoe+i1Wmmrwh9=9{H$goPn)hOr%Wn5Rc2#6GNEwLCa${ZwNxZwXo#qD zV}FrDm2Ea+Y*$N>q=JtK+9*XivYm8VjfPP3>?-aaHeX-8YCruOf99t?_G3yqMPFd| zF8y_$kM4{ijNq+q6hyA{f(IIIswgqchi?RFbNtk!cu`s-7d&qEM8ZMafy|=SO_dT` zcSyHT$&p@L}<<86)3$26-v(!bS^I(2n=6%i>>1td*ag^nOb_x^~7_L zp7IH}X?o08M!ZI&e&`SUOwal10PjE|1Uz#6iCF1DpMbC?a}5Y9F`4ib4tU~gaON{l zSAfrMOLPR^GBhW(UP=Y{1SDFawx=0G0?Y!>S>Ycc&>oP3B48NBxX>XZZ64sIcogypn;1(Vk>P*< z?^)q5cL1PP_*)3n=jV1hUhuMk5+Pu;TXCI?Qo)A6U(KBII11l?EPf8Tt6*-pm` zUN)T{_}eN?zL(5Wy8hk|mVZutyov*|7s@tR# z`#mhyW#)u?qbI8(;sQG@;7EtkXr;D95Jk|zoKtdT!6CFI!}J9MyI^3;L-J%IlZk=- z%HRFe(;xd$B{I@Vsz*kpgM*6uf3qSKTZATH@B0iBXgMg;(x2Mu9 zf@jcTa79pVSVB8aO>I~N0W(v^je};Ea*bOeBbPjMOlHAwqISL4F1}b&A|sas{6gb5 zbgE0{JF^1RE$SW6ZL;QhE2A$eNm_AV^8i*7J^OioXurAS-CeRf$`oLh_f@j`M*04f zngcS7xb<~?Uy!E0W-9Q5ce$0!cc?>&U#=66lT6O7&Yo{khq1mA>!IUc;EI)GzDH*S z;D}yvGxw)s4KJAIgBA~T9gyJ?-&rN0tten?U1l`=BCbynq>r&BWRZcr(M_gI~dSdn(uS1e4*XOyX0 zL7LqnScsI732z&dXmwpMc$6`urWLGm##-88N&y&#HeX%mjL&GpwyYQCjpg#(Y)dXy zj%ID-gWF@Z!`WQhzu0UWZz7_+8denkhs>z%G&EpHd+DFO(s7uc1N;0k{BMX1eWFy~ zR3mp$DrLg)7?xK7@yT*2{vzUjwC|vOC>?-OTV-lny5*bZY;1gs4LBPwsdT|UR_F)h zZ(&W-7w-8c1EsOB-1_slsU`y)9D&QNue~4>s{NtM{qvS@EeGSl&-q_I{Aa%{+KGrb z>b}(5iF6CUKD=ou&ZA?+G>YwV9F`o$v4&?j+v1;iY!ZUhdcGp4AXrL=BeUBG%h%a= znbvbb8_fklaFJ-%IaZ|BbHU>l5h2w?cQ_bZS_o3>xuC@mxQhTDtBwjmNut@8Iq!fB zkPrgEE>({qNUi59B5jY6vp6+s5*A^GplCgp1oMRg1HcDitxQQ$QjT`=17OyIdIs~1 z^)e+%KC-?h>M=z!c%N&ASiw#uZ6O7%6v;px)!mZNuT>rv0=PFxpK?3&$s>15J}Nmqt~aZ9 zhH_OMK!_sJ^*6*3q7UQi=+6`CQD`_WSC96HZ*@vkPwrSvs|qo>XYlw$jWC!2y=$;j}HdINJiFQhO$Z?GGXIO zLV+1WG}6`W*RIpHo1K!X0Yhjd`8au#wnh80_$O-n$9MtF`J39KZjc{?$*t6BbDU z=UzxO_&Mr_XYk=m1CPdoxkE(&C(HwVDZv2;hC7^huOU81 zf*nRWi$yx_z>q0=ECeNRbU~D##3F%@0>hoD@Ip`mM?W=ET1Z3zMT3#@TF?qX@%l}W zljdbbv;+x(U|vxIM?bae#Usds+H2In(IjoS0jr(bsGFA*i)5Dq8)g7GAn6cm)?Y^~ zl1rX;dzeA3pbF@&S~K4r8-zgo(ALUs@y#_uV%JHOs@NG+P;G2MlB7lQvme%ALX@*( zGMrkZBw5{{(^}zq$XE88m+~Fz@a#yc@CZ&yM(pv8W?PS>xGfG2I}$N)lA2lWQ$_9w zvsrA5g=;Yv{6gQyr(!YeLlpYtAFhs$TsvmmS{&b44$mI?U*PSVK`d%XQkdN1_-XhV z;SO9BX7&n(LVuV~D9=)a*#c^exb%?=hLUxREGX$7t1urGz$(m_?Kr1KK?78iusrtNwzu0Bb-^m zoM7;Y^m^uupeQ5DjDbDpq{+zr9xMl}2Uu(h3y^b+U{F3m8z9lQJ@2$u6N2*ic%tus zb4vydg^+G!ewe6|L38spZM>Q^TZ4))EO;@uB0(OlmB? zwMh>VA6so!Bx(1D21SMjkWXAN|JUrU7VqWlKnLpu5^%rvN*m-ke#qj6w*k32^1ry< zDGR$gZy2jFbRguqc`kAmc7+(#BMN2MpEQO zx~NFim`AAm+cl3eNG+K+l(i}}vLOF+7MKoM*t4eQ2jd4gPAmsB4776k{nXxtwI+Hz zlN`caGk28mG*aQH4#?*xdOYKC2!F58*bu19vuwxpE8TIrU||Q&=NAVwoxlG6M6+iA z6w&cjk#qvh-g*mS65KtYKY)ubn|SyDbw?K~oL?{kV=tJL%O;7L(JPC>{F;0Fn|Ud) zCa29RNGyox0%DzlL{C7cXRl6|q3HB-8U59>93#-x7yjz+`}Uxa&>E;mppHnuT*`T! z%4I%xf1aee6~5x=xJ@c$7c?GuK)D85n)y7rU>OQ=L~2lNhwXxiqg&yEqrK03hFoy8 zrJ2uw3-YhTYeOx~6<`C`Hqz5fqv>mo^fV(c$QRoskDC)Fo8rwyG8kFm4DEm)9AKv*h{J&O`FS|>k2asz*aCR)IiIdlx7xA zdrnqk8FkT1IcoJNGo-dLon)M2q~r1^of`iJ8nRo|$YMbo3nCUZEKp-X)xpRr9|raE z5YV3VP^W{mvJV{s&Rc#c1pLO|{q1T=&j1=qrm6XBJ%9r%i9A|QkVf&)RN+)0n@w2*?L$MJyrRDJ3k0tU=v zAo{FBz`d1b&M06tE2aR4FP()Lr?bsEiJvD2IDiVSS!4qwgsqs(lA0~_uY-1R4KV;1 zLFc|O@TDi2VJ1+kjL#9^pf*;ASC2Kz+T7SMbbijt*Q!T%X8bSm zXA8{avH)ie%tSi~IZ#8AfBr7q)7)X{FRV8!uXMODLiOk=rN^a7?P4dEAJU``KKI9e z-Ec#VNa8F`ssoOjEjqS2znhTEhWzp+(L3FcU-$g}DS_H?s zsHjO@5Z*IEh0@9+36orrrY3bkj4RX5D0WC`fyQ=Os;CH#wop|_fYBBzdc9@M(H1Id zQkNWWp~`n>yoHKhudg}MLN%$Ksq0kPUgO6Wzg0e{9&M{0p$wDIP_;wPbdzmP52dSJ zHxIb73w_#U%~{g**Lb!mdm)3jE_Y?^$)3=VJy&*t1l}GbaNaFyjo1PSyctN~@t3~$ zKlzbqjw?@pVW4Q8=O0&RGc=B5jiME1>D4eII6|%IU9?)dIOrZ`5f{f1UQ= zu96i2yVJ-bPMyLZW@(@lOIH0#n3{@IEG3cmYk$cq{e{sY%uQ0VKgOv_f1&k(<2donai4k& zTh;AB94l2Y^ehO>F!Lx@>95jpju9t4J|EHP_65<^fhwk4Coqon*(aR~^%lzTO5e;i zCa_v<4WP#vmM&t2Cf_U$hYcWfztmI++#i$K3`3xq#qFWG&&nTW|j5cnYD5c-PzdJZFmD_EQZ!10bD>MnBe$KQnX6E$POnPZ$m^7Rn z0N3_St{AVtnm|gfI%t+;m}X z%5z*!)X8n}%_`|uD!eyUXznk#aCBib?aC|Y%bePGJ^N+PtuR^mH84Gxz=l8n+y2Vc zWKVV!%O-oWF;qcHTiq6nS#`xq-dd{#1p*U2*)6bA-e(guX5cwJ*=#m~0|k%I+zrpt zE3m<~?&8XH>ck~I*}YEmTr5Lq1%3{k8ihSjM%CZCDwd(xXvH%4x-F=)E|v)|7}rGH zI9@E%c_b*7?Vb0_zxX^qE3r>$ERx-<;$(TSv@<8^W_z;r#E)x$l_y}^ze};~DWVH2 zo4@pAzce&=u~2T>taE>q?o_CUA!k5`ny-`;REC|GV_!=%8y~KUxFle2CIR~upY#`h z;720?tNjsPiM4Kt)Yt|)!~|@#BpId!!8<$PFb4!#Qg*=Yx?Xpgm}wW>gM?h{IT9W1 ziejcsFh*Ey*J6G1sRh$wf@y>mK&H(#3Z}(RT}D`eo3lN&U|RgtF~Vxw8;T`n+D{!L ztTuuw$=9f6+9k^fD`MQ6i>x}}kQ~;2F(3s21+0Jassj!U)+AI%iUk?ix?jxjhkhGl zgcasm()3r?nQsr0)Ruq+HmP@=)%^j4NY0K1IGd?yrz29ax^l%uo+Li=46OE1Hqo&%d%!+e)a?U*%;0#D0$aj2LLV!2>-~f#wS~`KQik+hi3Wt z(CgMaC#dmlj@Yz@19qG|$U&*_e zBP^NI7p~GS=9vXvR1(*9W>vz`tLM(^J4LcAgnaC~Uc7qYtrBuR{~SD9MxFII$9^6= zILaWg8ix=`Rwz5^U-BY zf(F`cze02u?DpcHev zg(^4LYs|31YRUZAbOEqC-Ad8?_;Ly%T6ZXdi=j`H9*-?jk{T`R(o+Fsv+(5PpAta` zamaS}(XuY-Y)bYC1#*&_E$cQS0g*+U?r&SxkwRxj2cSUhqapC4a(3^jI|4GHz^iyH z>z4K7nv+A2BHx~bQzjk`e53RP!?{OMRL;Ie!{DPlMsOSRV}#Ae-LhU>-sUlagX)hF zKR)tfG~(GJM6Gx{m7yionU|Jzs=@G#n}$0Cg-gr&CBPDiqSMbQCcz8*ualPbz6Uns z^$>GjN)NhWK40aOU3aK>e{`65sCa>|b%$wjV{@1wce6PDuU>FLB*9PppFi|nKOZwK zG!503D5CPPKlC!|;>K#8>u$xp*JcA#D9frh2LdC#x$QHyrCcD>iaI3~TyVWQK}rRJ zR(7w`zK}fZW$IHV5aXWKIxT{XIPEp2WbIur4|sABX~rfEnR2x#%vgUevyiP|U82~6 zQ9!bLheI!8Sd}P@oDg}y6DZ@Wlh5~|>1!r;+4FzfG_R@#1gP6m5`P6-3Fu|(<*H~u zcORMimbvG;WM8}Tkk=glX8yD1;#-U~zyZrVizi{8g(tNAM%5+voR9eOmtT$^t||*P z+rRd9E6r7S5q`B zHOcO@)fs=dV6ZpjpJZ*V==H3}`ifLESQd$OphQiZj>Us2QliHy zc9earme_}gIzw>|ed0BYB_r-3Am5;3SHRvHROTR3p2z?zw>+TaHK@?#!h4y}(Jc?= zqYn3ZRNgdPZzd{3S#(?RhRGE5bp5iOq8>UgX;Xfl5(JS2E~n^cXgkIL{*Fv&JBn7y zbZK?Hy;j$G_O3NZ3)1RlkXGOEm%i@pmvN1^wkR9*ifg_D-)Qtk&UhIqIZ|VretxRb zM5H~UslYIx9;7WRBI5>tAp7*2Q)g2FUTmb!xFSeVTbokFf02~~Jhxe~ivJ=l*MaxC zmCU9h<>k=$+)7raq9o=3^zEtOe0L%<2TJXpWHuED6fHB?aXLn0sH*#;V?+2O?fOG8 z@(toFOzF=CcDRW?YXqsZkE0h!Fo#0immfE+)0v^NA(l8ho}->Y&G+c+CJh5Q8@%PD z`3WO4Gxk*rk&Tc2i=*`(V@^@+2m<3UA@)y>`E6l@1QCYt(5J=*^|OpEzc)a!6GLQW z@L@#KB=qib+pkj8MIPsW=O=Z3&yTGDuBZiiD9I7_Amw4{Uqs>dW5pcGNAOed+p`3}s9e^YYb8 zFW{(W-}?4I!42TnZaj~>gw5CABH-(0+@j5zzR;ig+h6m>mm(LgbX{KkE6jmPu|kdl z&ZVH*uw7_`ptUW6K&(r518kKr!DJdn8e11c@ry(-$g2V6P9v6Aq^)(qBluU~kT3z) zKQ&FQ3!*l7l2{8WM6g2n)bxd15b%1o)S%&b4=+OOyzRo5p)XVf&zS6?g1Fp9kgCxI zF?&k0(Ewh_#O8cz@r8<{XSnhEn3a!nOZCqsJMND4zEjh@OSFS6Zggbn*dnzfo#DXT1~vr+kr`QAA26 zVd)jF7dh*P9B6M3Y?!6N3f+>kR_2LU#rV#5 z2H7GaLwLWFBpzpSAk$6OL7Ev?E0M0DvoR2u13e%J5|0|4T{GSz4Xk~t=sh*cvcU98 zoNFtdU`nnM1-GudsGBD`Q6%6OCrJr&p^G<_#V(($y`AGG7{vPU{l{YkV|Z4YJcw5*@P4>E|UgKH8~9NX@asoFH*N^UF~ma z#8V)Sm^Qb4{2R7TJ{1$}GWHK1S`TAzT-g6Mi}p@BaN_0=O2F`XKGtGlm=gZ)OVJ|w4(0i^V8ta`*NybDq5oCDGsMiJ0Td?%fo?_$-TJ~u!LXd{n1y8%J(nv8zZ3P+i zx*#&p2>KYKVvZ?e)Vv}MuL~Z|XWBGaDzpi;f;7BE@VKYV7eN`ioL8jbbwQkwG{O)B zE|+QWEJ(xag6PPhUqZpHMX0um>nRQA6xYaBvhS%4GU8WyFNoQ|PYf^QF zq_Hw%EUURDE;(tUi=k69nj3=}!>mSo3L!gaN%hcSV3(eEC`fJ$?%>KpGJ3XCMEB!n zdKoqIB(P`M1G|{%C)Z5RyG4tcemrLSlfUube$Sg@BAnig)=a}-p*;eXQ<6BgMzu22 z`;Pt42zxQ1TVqc*8H(w$Ef{{7HnqM0L^bxRGSkTX>ZvhHO}k@b#cZa#J*ElCibzto zg4s-i9R|^^M6(z;-kds{X^Y_*_U>((bDTPx>9YdV7)UF*ShnYtnLe(;wJzsA?7=`& zTmHsO9|$Oenci(}erB9pFkSvrp1a*%qw^gdm1fO+ce*`UdFb*WkzYFrlLlSwsbQrW z>mDsHDiu7Kx}7W5<l0Ah;+_ntL#D(&Iv0i-2`v*=eg1TyL*1Zxv5PdID z?I!`MZO{ItW_?CUn|8)M;ECm1lf+uzKl&yA@W=ckQ|U%qMOr7?U zgE`%phjY=g(o;Sz{#{o!p5XJp zNc62&nE@Xi|N!n7+ICl&5fSot0f!T8dVtOON=UNWiXIG=#gkyZ;VnO1E@_BVZOpSzJ4<&{XaMCJ~XC6|2x}cH&4$rQn{&YbbuEO5vLvNxQ z^`{G-_h_czsWFlg1tlsb+l4Pf{po^e;sALxG#Fe#%jtxm=+7dF6p2b==$w{)k|f_qwR_L2s)vW><4BbxMS_OO)P4sAefGtVKqq*XeUI~c@!&KUoAK)&gH#v7pnbc%*T5e7OLgte(8qfTrB5onoOJxL$Pe(5oim|KVnva`umYB%=yPr3f`Q5MCK1odRidr zQSee@_%$AIW~pib#RztpI#HSPkE2|@IsaJN6w&-IbUHcpNgu&ajmClNNqxmfaLG|t zU(L_iFF8uPx_ppK|w)9RGfl3Dsw6LwO(? zheg2jD}Cp2&1Iq4?_Mh%NVG1&{0#>4_ZY6{88ol+9Dr+4uKo?Jg*-Yr`EfXCwp z{F870r~c^Q`iPR!KZ5D4izW%#+OQ@F!fW^e@Y#f=8t_8h1@kWWJ`+S-OC%t0MeB{( zq!47dZd<5?%Is1pH+r`~S&@D~5yZev7-O_uz!6YCAXcOw;DP`a@)`jx#7J%fA(j>C z2e=?o4s5&NskM#z-sV9x0+OmmSqgu6w-z~?Z5qHX`ysKE- z$0VcIR)Z27YX`SQI%U`rxFCgY8Yy&n%xe$lV(XqHP_%K-!cy&g|{vUhXBDU)7;4X zwwd7yv9`yHFNt%EY^n38O1={-$}|+B!M0Z4j#K9}H_&AU1_S(7QOO~g)7%G;4oLR; z)RBd!&R%E4nlT?Rf4q}n^*Jk?)7%J?N!gCXIlA}SDnOm)#uyfy8%rKeGIJ|0S*5wp zCkE-1L{h#@?@Kk>e<6Sak*$}M^rlIjPe$P-NLDM^66EQ>Mz3JDyJ~ZD4qD8(9x~J^ z*u>#UdWcY6mv=H-+qwXH7H8u{_jv$vY`#>aZ?Gb5kY9iITFCDWA%Jc9#jZcOc75J0 zTI~AcvFm^AlVACXcTaWlkRw+6Za|H$6;i{#n_c&=5|G3iqb)jlXs&aY4DGrLq7jv} zvgqVFv)7--HCCj9?}EoO$5=|nd~2NWAxOJk1h;Ea;0^cP(5|~+P~W0UsO`ICt={vFk2rDRbo3*BfE}%fC<6$PZyc^Rx;n7;M2udQn$+%xiiaGP&*tb^kl?sl6d1$8#sy94c*C4tw1DCtAmy*uma)+(EuVa@UgYANX8ExK^TfE-!LL62UbuN zn1Kled^m58ZR1W3tVW?rIj};d@_GnQ6<8g1&}R&Sh7dd?SM%Qo+-f5To{S$FLGS=+ zRN;rVTk^hYSl0%M!WHdjhecUyND0Qa(N-X)w}grT2gr2hDgey)2UB8fJo6bG6xAJ{ zRMn~=F9aEjR?ZP#sOhBUEwZDW>1>B1d7DrOkB>lB4et?NI_l6LvfNxsv&oC;M|ilE zrTPk@fOZXZeL$U;IS8u!j&KIs?jLRKty?f;1dkhX7$L+u!$`$YB16 zK!^r9eng{`4w((<$l)`r`(#J-8T`~hLnHkQ8w)=UgG=bw#HmH=_^A&--l`x{%Z(s? zhO#0E9e~g!E8D(D$Rt6VOAYw{|30tV_$<@QiIusL}~|niBztV z|7&cXZ07y^{ID`{99K#0I|E^la2$nYQA(p^S#<7rSQaHvNC&`Ku1t|MsU}m}Hm$b& z3KxXc!tILA+y)8TD&>RYz&)pv17QO($!jkE&Lf$GgM>mo1 z!y?=_+Sm9xRcPKJ*(+^0@ET+&S+!=qJ7*BXO7`M}E)6P6>||h?HMSEp!8Z~LEb?Z1hR$| zIH7AZ<6*mSja1A<5c8i@--2|nuG}d8)CKWfePp6fZHg7EqSbi^S|qth%%f=QV0F=| zw6`D#gc-}HcUb5@z$!nu@H9HWGEhy9*M4{e;GJbtA(*5L}&-{wunyP+Rsb&aB zT1Z3%-&Ss`v&~T?CXyDk=fTpifRMzP#UUj#!vWs+1Cwx-+8lXJ=0_q@f~(L zRF^&Ht@oULT_Edpybx+JmMMx;XI~ey=12U}T!tF)OXn-KqTTpGHBuy!bo(_hi>H@U zau?BcnQx<>u;i3(KBshfdz?M|6MoyP;1>S5U;dZ>$bTDYarHG^hq>ngN(xTSfVbBH zTOVoQ_Cz##BU?m1przJ$rZlTqQ5ejd1J&!cI zg@);c{|Hv9F)R~$u%P4UXdd=GkPvm4e;G_4(-9=-Kknm>sKZ$9!p;Yr@2}sfi`;elvOZ4}0(b`0Y zBWQt%v{=M;;TmbjT@YiyHT#TlUPe|Gf{aC65Oh-L9Kwo+ZoO9*A_Qs2iy#Mli{SpW zQ#P*g`i!Zi*`lFYliB<78>*NF2*F`=#b-jd!alIlfJa%)XiY0Z3hfE6Nm zP9!bspCt3`!RS(-pz18%NiyG`jz70uXaBqB8&p7kpx$;q7D-UkYZP!(`9^2_I=Uty z3-#kB^KXh|8O?^d4O&U?>zwpq!ZQi%v_^8l0MAqh!i=54aa)1E zrQ-qCt0q1`0NzGAp4;J!Y-K`2Ivz>-rr=h_% z^NRxZEKiumUi7zkFSqBt%)3R4_wsl;s-Ah{7yQ`I1QD3Jl5G~ETOT=-kI4nHZSlo6 zpVPb-kDXH$i%j2j>^z)>*q^N^9xK*ah|+qsu`#S6n6nUo9{@oIe+Vg6&~w(;SY;u$ zXbY1sbKKYv^jMKPt$Z0|45X4o0`InuEN3Aw`GnX-*2PZJt6b$4>MX>Gz(7iF#38CA zsq*~frxQlDs^nHWr7OwYQH8c<8Ft` z4Lg-Z-AC%MBKRiDO_6(np zSCx7q+}-lOaB3Pa3Qo^Ni$Ux5z-_w3?b)FRqUuRF(oso%9t0}4N4CSy*=<)Kol0)g zc_p=dP7A_Hcisen`gm@qo(beQ^ygu zH-b4D1nLeWYN^o<&SnuS*1_NwoyZh~vTVcu919)@p0TLWm^5O=9IPK`lTFaF^C3uG zYFliCW99*|Ek>woV^|1MmAYWJXGE)5bQ`x7f>p5Il`s^=%QpTOEJm!k;eaZf9A>;t zQdGhEX}i~+I8-J2L2wS%f!g40AdBKlxg=F|HYqp zZzxl(w0i7dbyqMPIpX-d>GALLb#=i5c1w_f@vA*zA}UiCgzHSVi_O4F)tF(jRHiOi zdVB$N=n*_onYv)~_zn!`qcROaN#hdNu@xUSpPI_F2*NWJ-GiE^X6P71u?xq zp>pJRQiUIa72?=tA_2*}W{Ozc4vh6vuEv^W>9C`&|yQT-TdJ9KYTG$e;6*U+_CWBhYf{J-ePR!L0UipiG1oh&Gg_o_4{&g%sul+XdB@ zb36ggK-5c>k;7x&J6|!d8DK`B^Ops43L&5w8!En9Fz0xXm2hMRs$P}gqze?sSG*p?q=guB}+yxp!XWVVp1|n<{=488I8eO4acDBWk};T-`uknAuyc6$D0b9>xp zJ=qiw|BWWKt9y7FiC&-4EIKqP%G~Zi)v9ac6lM}0BJ0!xJlM9a3+OkqKIdmS-L?Nm ziIl7~&5QJ%)a*GvV{!3r(8XIOKW|o7&z;xTSdn+V^z79_@AC4?@$=kLk)L)|?h8={ z{0N<2bbv7JI1Nkx7V&`Rc~AA&=c{9d!lktM*BmalNbmCXpZl|)`tws2QKEfG^ z@V20sql@TTM1GfoITpy##cA(di$KWNF22&cl<1;#Ep});Z&u9FMRYBqpsPNDt%1NM zM;Dk2WnGI1NLo;SRh}$ei@@ZokKij#8p>i{$_KGm52hI@RqnD9-;fdGiSvICD-*|Y zwZy~XZ-M`XMO+3-RhTI$Vdr3GApA(tNR63-$GAn1eOuAUEvZv#LeZhB6hAaA-or(7 z3*!4`5Z~YRng8V{{GmX6>!=UUr|A|F{Bs9Np*Ts?h{;pPoNM;W*06ko z-2qM_hAadb6}#YhFC&jpmOf&LLnBCw=Yrk#KoV4}h-!wK##oUS&jn9sq0~kzEx^?6 zinMqx*loyh!eAHG*LFoJFBi0^m@9&6rMX5;tLV@ijGsXBKLc$ro!|pK0$T*Xk3_{~ zO^l4z*c~jS>N_M!!BNUc&U}AxaYR?)8-c8rjz%R}-5@}a^ydU}OMB7$8_jYcG{o&k*)+tuFM4prOlNzq z0=@7$i^Mtzs#U&wIfcdYPY;F?oZ%G2CzS>&$Ud})@1vjNn9mW%^J2QvvF(vZbI}sjr{g z$)n)Aoi^nSj&vs=-*C?_b};M_z9tHK+jH-D_N$F@bfI#4wW!=wQBK^VHf6jx7&qf! zeDVH&c=_ihL+ay}@MNeXaJ7wrvPWa@98w3h(Ee;=oIPBvLuxdDk&P6{D&X4M7U>*P zWALeQuy%c9bPlPH$Uegf0x%jd2sN{D>KsyIXav&oI5jcM7lzboRt#N0V3YIDK3=KTm*^}3`MLPU2+aN#x=n=r7_9vN|nJY zJwu0s^-zb^VZ>%zh>yKzXzx7TA(#zbsS3l#mElS`eVq;70S(a-)e^8$pSvU9u~EE8QL;Yn@}4h}tEQ>9)R=1J2$`GS+cPbb^Da z1qS?l(l|N)=2B;NNo3)5vg7%<0gf}@omnw$GTIF`N9^kuHgM2D56%8)1k)*p%=z`w zLme)9m562IF#D(6{X&0Xym;IKX#^OPLQ}!RrO*_yEs!n|3nF=Ok!eKhQx!{cUdAPq z;g38$M36pl{fx~oiKQP8INP4;i9m@ac8O(QrC4^}Em~sP$J1c^@BOW>{%arhQH82e zb3fN7%lC?c&l%C2j!il6bCVEN@TujN?xq|#8>Q+TYn6=M$?#(2z+sKrjCGZZ74BDN zf)V|;d1y(aS#r71qk!<+T21Y$kg%?jSG7=eY0ehEQjg0NnG6)14HVQ3xv#n$`kSo2)QKzFVvIO+4J48emF|9rqQfP z&nwnk0}{+1v)f4%cC}`8e=zV(C67BvN>q~h1|8NXbyiT7?L+7@EnEkHLa%3gacy1wQ z?RDiF>pj!uhLab16+>Q6I6Fh1CcT~3`A}yfc{{yCd^>9leYzFS7{kcw%s00q)vX-l z$=g6<@g*sAG*P1`nS+=v?fSLnees2!-0{`(ZqeckJsw}^*FN*7UjE_fhDoB& zSd>S@B>jxsV@PXjSZlO3cPve#h`rwQNTz9QUGT8oF*Jr{%v#HMndy5Ctz zQ|p4Got3n-E_m`*dMFp+*w0^vhSmi^+OO;&FrP+CYrEhy(ayRc{{p6tL<5GfY5E`p z#TRl(bbWFX0Gk^%7n9E|zK~0TPfdHuPl5}mfAR`Wk9r1vYOZ3MF)#u$ zBASFslKJ+WyDis*w%=?FPM9R~{ej=2t(C{KCs|x_(#KHKY*2tS-%ZT1?wrwG*%)s< z?oz0|9%Y#n+jwVkcHFm+T~~(-0Qzxx=23WI#QwqxYJmiWk+zX&nVu@nbiy)lJ6s59 ztV)~WCWl8MNCJNv*+Ge9Q+O2hCR0Zb101mBFLf~H(u&MmzBP_A7W>0~>j&;nby!9n z7C2;8?3S8Ixx@xaFOOQXPc=w%8CU84ZKsE8|H0x!N5SD zp=ZVT#Hp+Nb-_RnOm5+$;VX_zZkg0se2L|iE+14|ypAx?1Bz+EKv#iMi^-Dhq=(3_ zlr~>g4D?)0V=u>qA{){)zvb_J>gT*aDrGtq(+P}x1}tbDz?BC-?tp5Q(!bg+(=@RbAV zdfMm_f|^eAi^Mb)IK-eO+G)-&pIg&uE~#ZYku)BUw5CMgCJD3-$I?J@d$ulEmz<># z)hoIH)2TZ1QIkdCTE!=-<@{gliBEZdQRA_@`9z8Fvez;*;09*~km3nNH1*TEJ7}Ry z?=liWY43c_U3;1*l-p7go1bGid*#eK1z&pM>dW5p>|5U+1Kp)faLzDg8WmTd6St_f zKMUIBX3#D_{o)t?Oyb= z_)gkCNGiLAn_A1W;7?&@v#-}&q-VT)k82RrRiyV7T5MXw(5i#*WTAD&|WJNU%Tq068hH%AmXBi+X^*I)OEaH|&)&sbifIeVohmT#ju)-AsGJ3i^fUrO0T zL9n&pJk%P|lEnt~?YW2ll1RjWZ_&x#ouFB2Sd~N~CYZr_Jwb^?Oz^PZl4MQ5c}Fd9 zIH>BGrzH|GK@3CFiJ@pv?;+155-~v}Ji*isRfZg)l#er@OCk|JwGPW5LapEUoq=CS zOKNHqH5M7|mRGF6d6)`)6wE(nRTz{%zD)(rvo-=$?1AFbdQFm)Y@&Z3om~dV9R^GE zB&)lFK0zrnqt{M?wYbhlP`IH%uMe*-*07=d;*;uQ1X}i?Z4O7#SkH6F&Eb!vYdz5N zzX-S%(ESa9?mgY8kFum2H-{)1Lr-IZ*#bj*@#?TaDe&8%=RWhS84OBqpl|| zAk$lZp~YJAk2jNl{FUeb{`;mUkI!u{FO$b{PYpmHkbw%VaNHqyfH6net2d#GR^K55 z!7x2Q7Dub^g59P=4>)q9XK=`9oik2NtM7t9fT6#S<;%ml*YQTH?}C6wklKxcefl|C zeHTO*I`9$jBZgYw#p=5xW<%*kqSJ5Nf;7bHyJXN?qa>>R0JaNdTM5Wq67<%{;Nt9{ zp0&vaF8Oe_Tuo<;?G(EkwtZ-l6!(UCE(c_b+w7LjV#mws4}kD08&{73{N?uB|H6(h zRm2;tBEpWR_gsc>fz!KyzgT1BV4q9jQogwD8c~-t5kKx2TOg7dw74cKn-P zdG}Ax=$bdyICRY$UfNAXjXJ!bYo3$0VIxr{yrHi7!_j7$@B70UvmIyo(Cj!+G?HP6 zFO%)Kjo+qu1_x^+nC&?H0Ya)_@R8+cv6ht`FPQ@5z`N#U*A;d(i}13?E2c{Te}e)1Lyt7GhkP;ZPj08!yj!%G_W3BT&8)KaX3fO> zw}1L?`k8+rC}uUo?}>RYp~YxDjO-k%nU+p5v^^W-)Yd>?N!Q(1EX7p_qL;dEgvvG3 z`GZ&1;5d~GK4N(q;@pH))l4@>G>E~#vHsikb>*5VdMDWC4MFsnw-Z`&%@i4Pt6IWM z>2+WcHtt^=1H;*0FC$uzp|3Bps+l5lkJN=ou6xaSRn7Fg0sF2EYw2xd&<;24`dTk1|XMVb)9j>dX*U>>(R40JS$Fd)%C}%HvWQaW?!ecN<)H0 zI=L9$V3u+V3(4$dt@7vwt2#KOxaN&z_t5Tfo4sO7_Tcui2d081yuii9cswq~fA*^% zc>m8w&!Ub2b`-J=1x6YiP00*piQCl-yX~@0A{RXEWil>0iT1GgVnRcZE=CbNU?8xz z9Br-dCj@E2T<{F4Js2jehvpUt*#nnE%jaGoRG7_comNSbO=~U* ze~CmgNL?J!V_toohc?J!xzvJQNOW77UE9bJxtm=x!WZ;v&oR5YYCW&KwYbUhHlr!E zTAxT_#F@&Jcx?|jhEY}|FH^%LTS`4Zu`U55x2!hoi@u0bPljhEk{FQ2TtZJTZfNSA zI&_&1J06K83DmwvzsAE zjpr+ZR71(Lh<0k}z^L(D5ZVc~TSft>e=-UPaFA*|7sQN6BknqEdP7Jmw3D@r0>gxH zTJ4e*+6mMks=z^%Aw(wmEW@7EK{??12%D>a;|%==&^&0D*wZPH~NgZkk9Rf&%9(Kx*x z+<(xEe(+D3G&F)1Tau$UT+fz&D(jV6Ycdb_uMYE~rZ^W*fQaZ?y^P;GJ>{$82_dQ4 zbq%58_l9J8%vZ)fix+3R-}bO=={aAWP-4g322KzVyY_{U_`+`f%4+PC>`v_)p{?eI zP3b^LBNqFFAg}4+52Y~cXFsnGM&t*WL+|YQ9XQcV=`5s|V zFH~7yP{!>|R;620n9`-$Z%e61sy_R$iylxYuaXT`-B?kg5bJaBOojhs5 z2_xI#G3>e(Y(^Zv19M!(vIhY?sp)ubr{j;S-60>pU+pRfY$tDdI-c9Z-omcyc zlNbWk_m}N-!ozCcrQ`Rz)&7vLe=qM^Iw7~y@u$`Pn2+yP`|A7eo9{2%>3CdkzW@8< zYF|09dr8Km^K&~LPc;~uAT7kVEe@pqTE6+_H+4yA-noY@Je&n3f=&F^WfMzvo8)}n z!(tsQK|zt+8$DU&Oup@f=bsk@WkE;Aj3K(AC4wk|-gq`8R~8(as=%eoq(a?5&sy&s z*Bx4bo;+0A-9{0fFI>Gl+UlBNu-CWajm(MVg-SN8d`WJ->&2@V-YV0Xl ztrnCo((l(RKSWtSer4Fr{y6wj+Z~I-lBPTh0->SR}bYk(`LtV$_97) z8n`QrjK1={zxcI(CR+5=VeoR3xes_ZeNZ9B*R}3g@<{H2OmB@m3*P2ED;u@Ys`@mo9DG{0-;a4 zqG(5tBen;`V(PK=36+!T0}bPj{vr&=0ThqTMb?oKTu||q+27J$Wfd7Aw$ykB6B~Lr zr;d#FXO^3AcFt&Q&z^4&9Au64jx15NlKK9eKufJZ61WWOA8FFJWB8B)kW0}i64r!E z2Zv4;^Qg!Fs@QP0N+YAWJ^U*D$QTv(;_NSc|Am>*+%8T{th^wQCs$sSY=_%1%1n5V zNws5g94gn~I=6rvDHgYs8&l0{&$g@Z__+L4*dQ#3D6W&P5(f9m&qFq-x>AJ$LY zZ<##@t_`eNZLXWj+SAP_^eZ_m$Ec4c?srV%FfWbSsjVQDfv*T49XJMgYVeS0CEs=- z^};(@1a~{AUakn8MNO2`>{Qk+2vxq3`ut%JrPT;hSrXhkiRDZ@@J22xb^eY=~9osy?Xq-ThyAQ1^XB@dfR1Y-35;uq>n`qo#tv=Ly%_O z1%b*V6oAilsIUPvkw4VdbsEpKVd^Fg&ai5R)YI$#@4@Rg*i zW%}GVyi>Ux!^f7atEKwNv7^b?>l3W63g4Nj!wFw&G31X2Sa<#(-*^8P-dJEJigI*A zUSQWq0wPC>I`l#MVk=xcBS07I_B)IdgONmwoRnfSI-G{w1-XA8K1i(x&R=6lOItCSHHw1XU5W{ z039av{#6>&2H?gR&Lb?Ld{UF!uQn6t@tQz&Fr{I?AaE5n{}(BmQHr=#K@c5xV3um0 zs@(3d0tKw%f{r`jm|Ds7NMlWmHqw`5ZB4FzYYkK4*9p{;J_LCG`jY9PUL67dQe*@2 z{=KuOr+Rf%W+gn;8^A!@>r9XJ3gmpsLxGuwr}n!Gro^xFh?5H-3oW9Od(W?wU`kxF z&==w-*b&tclJg#GU=>o5NFBWDu?AQnJ=WN&VHHDmlw(LdtV08#7+ zqKulplAtFPsbXrPCf*wrh{41ah(Td6)d9I`-l8@x9u+7kk95HTibxl%!~Wv@vK{Gj zfHuI-5XYmi&bSdRV0e~y>pO3PK$U)%?Koc0NU^yChNHqn0FyC}3BmPd5CbqK7lAlh z)CMpkd;Gp}{Sc_GU$*0d73Gn>zknk0@y++g0r~y`v{8XrfK&h|Bp)xu(fIvwKt3Kn zSl-mf%TW{e6hTuqu@?vvg-LXn+z7yjQ*DsHU+^NX`tD(?2xG1zH(i*UQtK-#@2OA= zc%v#~jFswH2`^4^5p*O#lu3r=O5J?O8|NX#m)DI2K=IP*`4?Y$?%mJ56qtqbBgU^y z-+sFiWOo>X-M~1=sY8SaAaSR*QGBqEuH+5V30khVW&JOn3%ZZ? zd#ylorUathsk2UD|0yjhDv~;Ifi+lwOq#o+5EH%OK-tM0Cbk>x1Q4C?qe4tv5<#Fg zI{}rV!CN|edVW`Bq9r`|{@K$5yt1j;tivh*xj;t0d1`g`^aQV9uL+&TW3*w2FfMyA=RJOw_h8GQZ zZu-K2dRI}+1T-5zSoUhQ38Ofh`fHU3l&z(#z1~RN|@I;N~&+hSAb>?oHi_a*Z-yPz2F{Q)M%r zc{(Lsh^1l64`ZRTP=iO>Lye}dc-#YJqiMgbnJwGZrV@{1*~y9qWF$Q~-xw9=h9A^K z7%T@CNVn@mi~d_K^x41kJGOL(!yUt|At#3H>m^&*uQKEfx!>b|hh*a4D3wZl?#K9F zG?gwrx=%unuDt;fBUYuc97ksK;cY=Zs=)t4wTL(G7SUq78n-BA0rVq+;qsPO4^uyf zzyqw0LONK{SwR-zDgqgiJdNif8AiMu7}*6Y7WH zzHUz~0lf)!n4lrpS+s!n`WGai_iF_Cls1Q=L7;655bw(bOTL3;kGdy4)F zxxq{?5qL(*?-jdhYh; z{~m3z@0~xm-VUEo%nVK|#av|pYkaEPTPkzEx{2jmz0Wk@=e_;=fAQZ*!H5)OyyMSm zFn7??q$BV;$=>DKjE(8D z>f&inT4AoZ0l2U#;?&heC^xMxb_dKQXapq~u@#X{lEa$-R>FR5d9HMobdFAJ>GR29Ty^HN7G>TMvp(x-t%36sC0B{vw3X zZN*=S1Mi7A{*A<2`1U>E6~hKyWjG(07aUl$chc)*;$kQ<&XK!h0dGDF=%64Q$Ky}p zJ;Wj4@zcHYm7yIzp{;t#aOu~(y?(uUx2QEJx0S@@c?~C|A9I%Pb&w%^=KPs|;Df=L zqRvA3YE6T$?+h3qS-( zaM;ENIgls-;v+CZEhs++5;-(!-2S>Yhu(Warx71uvbvi2_|`Pa{$)-=!pDdM-b-8U z!}Ai7N6^cO`97`DhuFj>Rc#JG5K-d{PR>Ra5GNNW+s|%2k_?Pco^035mDBT9W)AQ= zyFQ(MzC9S^qvQvOp^S_;oxQq0=QX<@arX7rz&tMb(9T2h0>X0;+dl);qH>mWw2f)1 zUlqKS#=()`s*QDom^Z~52tF#XLyvhE>9&gLO=%lba8gGjz&zI=alk<;%&$^3rsMfI zMKq(J@(Au2uvEbv1nLXsb~;{|hSTwnhHlZHCxR;hyCi=iWeI@JNP7v^s5WN=L-ZM3 z16=A}%vherX6Ev(X=JSRm4EEhj{p8-_tpkPBUHj*^zC(#REpzt1ic6p+)z_i)*A<~ zeQUL1peZY>4Ip;3OauI74@ps}b#SF9&l4;~tN*iF@4ynQiU_pmZQ3W@dHGSHgP{fS zwOhfg){&TkA%SXRyGz@OR;hI`p(r>5YTaKRDL?_o*gdns`GylJ`H`MM^K6(q;A0A&o%ZbMfnIexkRLIAM^dWqexxTFzvmWDycladsnwd3 z#=1P7<%2vff;+WO`8k#TDwpu*6v89VDfVWw&CT?jV!`S;<@V!#PDhZat?5-$nrt;} zVQczOSWC7Jw)P@hc_f`!Iv%#NN`yY{{w(ng()j^rtP-KhWE#GLY$sb^yB;mDRDq)D z_v>-?wn$JQNh^A_DI{pyp9LgnMKTLOW_814JFfqc`?Cc38owV&b}L`4$I9FL!7A6O zP9*EE<*pApsFHF-j}-he25&TsY?%V6H&Q7~Go#ZhinRBz7#gn9Kj^dUt8+hoDc`J| znbJB{N?o2jMi>N_#+~12`*9%5lw4V`kHpcXmmaIs&2wk=U7`0l=dKLPjouX=vh-M` zyF$OyCg`AaYcL-+E7Fs3!S2lV zFP!>(2FP2y4nOsLuS0#)>wrl;ceQaovxi#zmqtdnR>|j>AdBKV)}pR2jBA# zK08vinm2FjrxsYlp6|e0CNG3Bq7&^NQ8AIyeU+6^;6D@KZ5h)AbB^ch0RO%?HNF3ZkJ zG9#ALpC{B~N1$iQj;2=`>Cm*7+0klqt|qmY?r~|-dCL!J(m(JcU;iKfT=-zRP^(En z`)mM{gA*a!8R1$<1Z3}j08FsIRk@WWEpQNIN$cj{XP!c$0~&fzIO>@G2qcKyP+ep!aKsZM5>+s8w^%+>a6fETk)juzzv42G=f>-fgwbD zdI7zYnIjqgmK7eR({hjq5p|m$8%0)l;5t*zHK^p?m#Gxq8Nh3awF#(eUF%xmtx0yK z&^u#0^dD$a;i*#UJA|t1HdZ_epO8wVC`8vkp=0FJ^@>hc^$dInKi2W|9w&Qz?0=UA z+^682UgS(lCLlS3@|uP-c)JR3f!8hYx)9htQAO-ck_H7xC&CWb0bCpBF#_bR*NB8w769mkh}< zc3fI1mmFuuO%L^o-4+T3Lh+;rvPe($3NxS#WeEUB`}j*|k)Pd0Edh?P$6G3nr|n|L zc6zP>@fhRgO%L`sH?Q;&`PtbIi*F~H?hU0eU#>~1zGh0uBo>Cu8DB^Q=r>t2U+i_}0K z@K%u;3zKd&{}>csuUjTvAU%?f6gJ$F)g{scEXIi|m$bXN9bbrd52;4RE*lg}N?cIW z_Eve{1?llhVsUx)mHY30@g48dQ4GsCVj(>4V|@BPJS(oni}#J!$vEPV{E5H(VV{@S zbcHa%ksiiyfdY(=BSv0caT&qyB1tzRf=T2%9l)kFr;fa}F1TlUiuGDJD(#ArbTh#i zN4#B6j3X8=36L?6vGJ z704WY;x@TU<$qNSNkRLNsbA%v2I&eK4@@>y8smuVLrXDx^m82ZIbtPq<2+&52iEkU zDobyT9GIEFqv!;KAmDQ3C;rlP3m!cH z<3PI(vn~jSydq6jSrM2r#bF({NWs*nroM4OkXIl(!Ga&)2JJOcwYZ>NBTl{EG($5V z(FhicRzA2{3$xPOMUiH=Y!+)r0o%yUD^6Y~yRHrwG_J(i%*J_oyl>+c@aQG*F1nei zAjUTB2z=pMzP`Yt&-{r`|AsFJ$dkTa9*p?QhBmfEb3FU5XJc5rzRHdJT(m;@@Y>QG zu0j0ooqLPsxGBx?<~MDqSnQ30D~vc}ojhZd?XmY_&)C+RaJ!g5c_>n^dCRw69otzx z?r;2WZ`%g4NDCIOSFC&ioOj1K8ZBtOnUytvh>ggm;6Xz3TxCp&&bz?sT;IB$YC)(T zZMJYUu;Re9fK&>?iYFZmj-j4S4|h#O2tHor*=72mtpue)(A_dW1~WZ-J;|vK1nLY7 ziIB7j&RtWN%<^&6sc2x2s=4w^m>J|5uE+duI3Mvz7rqs$jFE62&mu~L_+^n4+TD3d z0g$OEv+xkop-KKt-A$@LkLURj&U3dHH1A<+llny=46M=RO3SO4#m-cK4v!UkN^3y} z-5xq<-Yses{}RsMOgR5V-}xz@^gUrb)e5`uG@DFHNRo@7a|9D$6r*6ftPjBjjR*>Y zd$x9sg!3D;EHU`TBsQagh!v}FUS$}}FPa1aji-MZ5Plqhj78iJvdy-;Gavb=O&~=;7dklD=HsaorrHE z6@nt^#TTg7WQD*bqqh~a0n~K+nvre~6)Ok4`A;hTJWI|Al|NbamZeSC^4y`Xk74K6>OLFAwNSuK!mBeKF3Z zpFdk?f7&mNJ{CiMdxqR@`NS<+47nmS=1feugpU8e`^|sxV?X?(-tY#@uBIccMG`mU zUQIq9b_^WB=)DDLqF5^1VRIyK7;F$_8F|460>H(RPsYZwX^JVGF@}(TiT8wOOB`bVb$X$)jv{4h2Ss4DfBe)z$8K>7BJ&jYIN&*(g$e1nWq96#sbC`i!! zb1E0UeIpx63z!3_(OT4oAXfdC@7NT|TXgJBeMmxek1%{m!3%qY-qp>#%-vC!!}6-k zHmJ+dKoV5S*~7>kX8+<;;QlXHE^`GawaWC6(}0hL?-QR?+R_>2O0JtDsM5eGc!tFO z!7m6ng=XoiV?HkrOP!qV2l-!A($VCd6B`S!*k!z8HC5G{-?R&TyvEQ~{JJTz0b#^d zmnSyt#hEa+OJZZbv0cU!UGp&YA(Qmsp7ge-zx`Do_bE>$=%WCGdISqHr;ab+0I^K& zH-;+$G$94|``#E0F}TLWV3RD!n{eK?jmctfO%NUgFoMV~fQrU4Al`RdkNN=MQ4I;$iXh9<(ZC0AHYk=NRuq58udxF)y0-j+y#XdP8j~kYD6NN>7qvR|1bFE` zs`d^5`@%TMC%0n$x7l5-R%QG#1wO$W(Bf199Ju``5Ql5i1vsTd$;;WdVB)C0(S?r@nsIV{Te^MX z#$)paA0w=mA0uw2#|S+>)nml%M|q4!ntB#E=%Z1sZ-p*B>Tht;pvY4FZ7X3zpi5i6 zS0F~2(hf2u2p|@$4#@Q@<%dlt6gt&>yu3&0cy34i3+%9XRnzgi3+ZEVz1c5EXUwi@ z>Oz-Mef`{y^Wz80n;L(4{q3IqT4fV^!A*;z@a{yuR_sMXQ`rPDE&H2LQ(tp$e-qbH zmIBRe+N=_OH{QWBdv&@nCFCiW(Px6qas(S3zT{7T@aF@>bQIP^cPn&jgM0$H!lCOA zYve~nGukdov$&wKcGIocNVfuhV_A`j8$LZn&@lvu9iFddMJi<%JSz-b8< zij3l25EcR6gk0mMw;~@L7o>ZljtVLbYPe!WK2k1t*m5c&J(>eszw1-;8E`=~kC5*K zW^1Pzo_vjBG>haJ51>kJ%xuNECCySK(f)v+oJP}UGrHuYF;Z8iPh%1$$R`l1F2NhyB~}Sv0i6i;~^)helQ}b=H37Q*?SjY+t#cstV1PD zXbUhMO54OLPfDyju8XY4Tra>xyPHR_HSydl8g2UI-tOC-Lv!~%x!p8Kl1eaw+D2m0 zfT6%(TaiFQv?{UE#6U!$zy}BzBG@Pdl3)jtiqU9+h9=)P9{(8sKj)fju5ZebIr$id}EAn&=K|b^w@E3JM1nhn2d?d-_u6ptyk)Y0_gzBZ>>$T#w#!| z+M3J-j}^J!I!qVh1(?>rE0;f@xUFb3{Sfb?PQH$)_8ELH*#ob zJb>HvinYeuZaDS`x)EWYrm^GK)EZ9*T~Tsvj(U=$D`T6mLL7cAYl1PaJ$k-7=m!Jj zE|+M(s&C_DEh<{G2@?%e#dHSOpsJ%RwH#AKMrJc`GK21&lFGWwaQl}>l>S#vtoc9x z&i^)F%cdTqR;MS!!~3c}lR6ceyW}P6eDd%TS)N%jE+b)D`LR;XncPy@4*k=@wd{PV zSpB82D(x@ZY5$g7K&C9D6FxVA3Fn(RPN6;T!1#81_Rr|M86SNa@zH6ws5MD*;n(#P zetrE%KlP`-{Iw-ttW|iPFSeSzTs6HmyJcTlAX5aD^9A|4Zrz)B&OpWm_ZS`w-ya!} z-O)M{{dS6O9O+T;9&NP+F z`sJqN-MDjOFWijy-{x!C^zZ!dp!um75_ z{G``Jh^hGo4>2wKK+A&gjwv|g*xCe2%5}i9beJZ=Obc^t67EC>gUZHNj9eY4&FVZ@ zO@@w9v^u$FhZ-FX%3?*TA760?s0`MPOun7PPa#M>6EJzNS4$A zrSS|YN$FKWUe`MThGMMckM(Q{@2)8{&2#1!&8F~XOyThdfB0`qXp*02v%TZxYLZv` zhlUgn;MD4&Y`{LeCq;!W<9{P)8~Q{k1acn0_3hi-B!7u{Wbl@(TSc$&vDPI2V{hGm z_y@wp)_A9ddv>cMXF&j*85rYC7u&)l{M~@AkAm=_HNkCPS>Dr=s`tuaDQ~N1dfA(MDwtDK^uYTC;8&Ie@5ZuAZpk%7cNv^6Vi~ z^Yk_en6z8 z*r$Bni|6b*W*&p$mbG5Tl@(q1ds~y=j3!-j8fPTw(%AJCiowKc9at&N%7-{~W!K?J zsChdqt!6F+vt2)~P<0_If={QmgU>vj?fQPXCu;!><_4o6>O)s{9f+ZnJVVf?L&u>7 zBe6}iO_EcPK7|xY)JsZrhD)LX5Opw=L~V3^meZ{@ZL65mVq^y@DEOWKrxD0tOPY)L zUln!aF5CbXqE+UaJJ>(uHnK4DzM}t)Z-zcmDkZDjT>D>Ocu4qEpcX)}aZpYwED{Pf z4-!n(w~t$oW)JcSWtn^PbHUhcW#?(}ub$_-DHEKoo+QfZd6-2nxZqba?O?Qqi zNm_lzfAG)0@#8L-g^miWCeKqPtx9$aC4#MBPFn5DKudJyx49_s(5=g>q``KpWv}5V zC#?=0jFlwTy4C0e-(vlu(lWAJRnn^D%aFX%y2ucel)9Z`$(NxPNVs^S6RmEa0{xFC z%(goV(ql<&xVJSUV}?5Px+&_co_+GW0{E8wP?LT>@3s z{Avk9%7yGepQgv&$rSgzq$)!{OE7@y!8y;oT~qqqTyoWM4joxN5H7Wn`R;62B!Q#k zQOB-VN6)thDx32aWlaHfE6IF+Fa(l;6*1UOdR{BZ>IMPL^~-6*Xm(iuJy+}_2N1i< z(b1+RqugW7vxb0rT$Q{T12m|*5s2@ioV{lRRQQ%7F*P+$Obz1sCy&YeFJiN%R$Xh) zQ-Oty49vCWO>sGk(?R+d)qv-U%VpAC#C9w$-&Xs}Qg$I3YfSBo=p{UixYSVyC9Z6GO?ySl z0xG2)018xk1!co1!i)D>w$ppvVouTolMg7qLfXI9E3G1B)$=8lThJUU7|Zy<&{NPm zNw12giQ|i6PUp`YWZD!@z*9T-akbDwlw4PS?Qhh5>R|tNcW;7HA>iV>pHV6lt?;A? z%Zux3XLn}#A)WQj|L#-Y^@q>ttb(9}gypCatzVB*2>SkDaeN47owZ%~!_03374K$4*kTIH5?7OaByUqjINUt+~dXBA8yjgDG;8iHA8feYR+d4TS%VAffI z$%7NFKF6~#Xb7 zS(p1#_T-;Y94~`j`o%x`n}63Qe&U%1t?;Fgk!#%#25t89&?LO!P;NS1*EH6ms6-?c z4cZJXlJ!zJT?6wkvR;C@-K(ZU*-V=&%z6p*5iGN(0bChFQXD$#CD3*eabQ(Z-`}e; zdr?-jCpks!$JLp=z`df# zd_0wcOxWfESchUQJ0Xf`9-;K4FZOFNr}F5a0_zQMk>IkdiL=Ol3R?@ z6*24VUD7Z+JwaUi_C0GR~L7)md zWjl^9BwexDEro`w{G4vR=ql=mPFi;2=XBko%V8s^xw@*h0s__XWjh^kx9DGk+?lFUi7Uv@u2oAb6f-t zeRZu64PF{W(A!q$AyQ+>(QimIOiek;zvZ*n_5I?@2heOOEe6@RL1^Jv> zIgUn&1lL$}02MEnl&!^hiDrm7J7*G9BwK$8#5|AtGtFKeu!`<)*ccQmYpT8MJ8EoC z8`PO;-t+Li4_~}`AeUb)rCD4xz5t2JWj=F@lF4<08~cj$QjC4=y^J_EQ*O~E zMT@`T@BNC^1g4GSUJ|rq+GtA*CBU*$yZvsZhzFQ9kkX~SiK0A-BuvmK%}Izm&a|;d zou6P67&&MehgeZ!3tthWhDtUIe5Pdsq4BicP&mk5J=jDrZJ-XPK1Yc*e8u&OD}qlE z)k%sz`k~JT{Ini!6XWwL>I2n;-F4#FOUxljq#}?;#t@`YDRS2;qzJ^?=DV3`BiHE9 zOdB7I_4}q?!bnsG##=0CORALk$?#SD1C72B{if(U#ljH@%fX68>U$h&|8QU2D!$50 z;n)4~|Km@uqhwX57kaId%`$vyyXsKYgYXd9E-NOtudG(72zDgQh2R#n>Wm}vyjGe* z7etde(RYr>-CMvJD-vyV!QEzqI!p?pepKyI2+|a~pkeR8LDy@5@U(CtNK@#7JJiTZ zmg&g;^hS`T&;{{RID!wzAbxc#$T-pk!K4i1OF^i9wZd^|$*&d3B?#IniCWA(CG+(W zV^Jj8*e{ZB7U)z|k_6pca)(iZSd$}Fz&cq;USzS=I7fk}Zd)K5=DcQpRC8Zocn9Gr zp8r#^D!Wx6cRkKf=~Niv_>@=W=lEvm6D3BeL|TKayo=d_^I=Tk9ZEMVo?rIqRoE>A z+8r|3itrF6PRV6JX(qm(T(YEPM`?c~4=Q3xNl2v=mhAw0QE3Vy$=IK@t5xpcOew0Q zVPiQh5OWOoZcV+du*+J{XRG2b(uD?yAl%>jq5tbI{8X^VDwVG@_8GBnjKSC*Hb&uU zUzzfB!5D*q=|l>`g&>t@5iDacmK!U>3PC=vE?CB3Y>%J;5mgt0RGuz)=)glO+6L3W zTR|#M7cBEKplnRl#fp6Li(r{Vfl??1;au>nR#J`7?>~|^T)*_J;YjUyqYtJij_Z<9 zbystxd;C0rsm(z|mA#ULe$rq~^|%<+q=Bj|5&=r+)5{B>?BP741n30599}4oTM|H`D0*!T0~bXMA5!Y-rTxw$5QDytT}z5aGhGV=*|69%+HF3y#v*X3Q)a zeK#vB{fQODH#6WW`gd2Dh0+{4V`e4cv;`+e3)f}L46q-euwl3^V`gaYW!)ObAMSg< zT2k?LjyC-eC>)CB+Cz(8^Xs$Q&}tH|LzPr}Ar)1!H31QF%9c>$T6tYuAT9WJXb*)> zQk}Hx)(s=@&6s30gLT007*<&={!oCR2k^v~ZCy|@7bjzZ!ibS>ICAGe;Yk7o&WFKx z6t5~l+>l;nv<0VcId-qwoZ!~eTsrhUTl7?Na>ue@rl`)`qS>O~j79(Szw+IOe>qxy z6i@0we`AOqvtR{=8UyMw^oP-er;#nHfwJOhU`uC1yWoDmC1EG*FFLVX)Ukp7V4nr3 z+gMO)Yur0)0cjyp0Gg{A~I=JNvZ-(>3Don(*94{iHP&fC8;#; zm%w^;fu&v?N5#m;56tiKnAD!lGwQJylTI*@RH^=+omsxszQn!0YWe6x-;$=vOQ*DJ z4yR{8pB~^Y@?5NsLOd750~QMy=R)vYfXhcg@!&F$Sil4^MV767n0)~i(h71~Ezz?kiwXC|`$S}k#D6xPEqH_g57Ax*| zYfQ@^ZXZ8JVgWz&np70fMTl^O^d}4I17wn5qCh~yliX@6bR{Y9hf8|z#i2u2d2?`$ z1^hHOola~=bEB!uI8A+5P4c+ySc`yPj&eCwu1PZA9t2isx!`&3&{NSKJ>Q?*lGO?# zxt2Dg>K9cv2sau>$K@p3QoClpL)+ELC>D|5(KLvk=ULsZ3ODyDc$WfIw8j+;(x|(5 zXF}bV&`XD?Fgg-Wl2P&Ct>K3&%_TX0{8y z#mV-e-=a$K$aby;c?(gd(_Y9@}8-9(;CAL4O%95aQTN!CkDQ!bt{8CQVhN`@*fWbov_0KJg@MdSUI;-$S%5lh#*X3`u zJ!n8V&RLRcET^(8$*rl!IU0EW>KzYWdU*A&=bnB0yQ8sI9OHZ1gyNmI15*8l*^#2N z$1^c=G;lp*-+%k>`r?1~?NRltq1kBwvs?F=XeAmjh%5li{R)<=#{4ou1|Uy4A3>6W z-lAHt0?1IwE1=tc4FcXqFymvG9uG=c;$ypmaExapt%Ao;a4bd@-AYnNkp(k=xkK}j zLZbVXjHb_m3AzBUj|>b0FbIR$qso<5e+L_vT_^bgkMH!rDX+9ee|<(ez7vDX)< z0x;p0DA)+-M2aP=HS^s$9Dv>GB|0{+(96kB?e9zr)RpS_BpNC|{o+Zvq^etxIP9V)1nWr^>z3*G=%L7L-e z71~a6q={xf^d7^Tq}B>t1(F5ITujuqE{H+tXzxG>gY?RFl*5gb?UD&WYODR#)V97N zsMFRGz@WpV8Tj}FT1@rHO_CLu#%i+?&|0b;F+n!89u;E#FTNT2M9J~Y1s=wktf0zV zh*^#-y5uI3nqtVDHrZx`lp{uXtveuJiSd@yKhjy^FUsxm;m(oD?L{hfhtHUmR`1V| z%JoDlfANz)@FhQTE^o0%e~;8V05P*}O2@34vg_T3BQt;#;-zjexC&6*FHv@kxMH_F zf@HLY|1zMs!;yhJ0w>(>Y^Y)!I_E6{CtM#T2*|mWoVP%kfzd6mHi!1m8Bi>h&xpE= zLop7W0Y%I}-s2p}X#gLl`7srsi0jB=YD#v^^*L*0i#LB2z@OSUWkAr+Pf|vZO(Q>_ zGKyL5ab;pZt~TC7DXa(KYimzs4h|$73#+5G(`g4NkBUF97ftX%N_MI3!9;XYCFpOu z2NUO;`4iEgbWw03fo%FYa(TkcO6ZbGqvdPGu@NhzZ>Q7FPeYqthJ4ANd*A>3hA)mv zGPN~hp@H>RxZ9!X&9*@AeBCr=nclfKGnP-KA9{3VY!wxHj zSHbbCb7%nc${6nfiDP>}6rraEuZNR5n{MWJB5QtCqo`!;n1*uez0mE+NS9%2zD8lidbx zu^6}zqLi+W@7`U3_BQ~rr6IZGI}zT=^^Iu>iWa0%d;!?pk8W9r)F2CE#IPS zEIIpvf8~3>?xT@i(WW5}p}a*7xCdT106!L%SL|2}FpHq~`=MUSW>MQ$&~;Q{E*QjI zJLpGIVIfEr=7Rg<5>W}DB+z6@d1+>{q6#a58$?7Z*jcAZtVk8+f*5Z|W=^b#hIY-4 zhagp$3xX32%$?Mp9p<{?9P^>6!ipdTCN8@G?4s8FJKNE;Kn02 zobfGzF9YAwKq%r2HoF7Ax;>7sCykQ?PmA*>=2SIfYPWv+!F=CSU{i-pI*vHv92rJ? zxdOJgliQI1rKC#3nQ z(Ms{0DvHF;8CGjlU!AV&rphSiI9Apzx^_xccTN>ONl`bgVKQO$04tdkSABb)-Fk9} zfW>?D-1sMl2p?Q|?N>g5>l*q1SH^}uJoB^wReH@AD9mGTHJmT}Z!DR%K%t6u`NB;z z{#3ie#Cub9{$jgkQNH+TmR zK1Tc}2cd@^Bg|8K+}R<|`E%1!OQ3+HC25c%!Q>aUdAnW}AOPH3tpXI{q2vewz`n#0 z0-juvy`pIFBEVTZr|_%LXAHbKP6wm85PqcVr>(`|mvOLL2Hb&9M+mrC-g^@Ss!nFv zjstSATQw*Rlj$nJ9Rl_K+)n#ruv_+=F^3L=&u02Q0kwZ6h`oS1nS9#uN z2F>Au<F*74&MD{7LC(})e(2G$%XDLl;2!w^x<4oy?oC~$3UfiwOr9RPOr7I`{0r;}x_fBm zGK)Z6a=$t#8$K$Pn;B^4q`Bk)kiGECW4tWdSDU0f4T(!0w`;D6qc4~JalLfXToQnv zR*zu_0Cvf1PI9Oe1!_>LfUlZjtL%8|o~IK6Wsy75o8jJ+{l(=r@0?A7bv4r5o6&eB z(yI|($!KrJeRf}9FtT|3QstapX?O+cTRI8VW@YGyd;e%Z{pk;DQQ6>ZQD&~`rS)AF zJ37#KDZb40wr84xI&+I=B$oDitYk<)?|lSq_CPuX(4~2VY_{0 zEA7P@-Ci9l3kc@$(JuUMtDv=#w}MpjL`F8v(OT1?HRSQ#4)T;LHE{BWJ% zLl1fg;GvIRJvQjz*0B9M)3w|Qx)ysv&uG(L8QKJUN)7BU>6ztQWzAUQvwq=U{qPS& ztN}n1;k_#w-2#B{@)21g+iZQ{t36s6>V9a!PUMW?P><103S@4Afd5a^P6}jhf}^aH zf^WFsDCwj$ocT$Pl1>UBY?9-olLA_qq-ELB01t-9wo|?$vc!;QjY{@N%-7BLmuXH_ zqu1C6t_yC*i;q<3R#V{~bGTN8Hl1UQ%Sw)?#2S~U!c8-sQFuLlI;X;KFBLxR7PU5M zPK94jD*QWt;dAychF#aR-35(WhDpq>nYZ@L z)3oa@i1E)1o1{<}<8A6+O}kzMwSJ9)YhxUULswEOcOQ9&O&DN3#&AtqMiEO8C6QG8-xL`=MqLH$7T5k} zl&|5}S4*5yX`0fGR9Y|DiZbFVccx`o)ecp%tYvVKTxLF?IDu(@ZU@TN%iUhe;35+M zBKJoImr}mU+@;VDiiG{nDmjf|2Z#61mGQuRX}K<-NN@Wv1Pt+49~DbobSt z@DG36_nj?5>k6;w3iM^PK~cq%ig>_k=J?qFeX_jKTAA~dHOrE(U}K4-Sdo_3wgBvJ zv|rfPR!du@G=5>cBVnsc2nbR%W!`Nv2^?JF)p=B9lz==!Nv zJZt=DP}E#Kfa%LyN-mI)yeYLqw$!6um+6B?-e>yg!9b@e|M3QUP2K!?!0CVCkBPC- z3%NLMR$!2T_k`qbzhFxc2a`OkkziC84`acrOWu~Ctzg>3GwJqHS)cEsRO{PgvN)_Z zWRt*yfsSHDiQ@@U#KF%~3m$<@pwqi|^z`^Hz_tO-D|%2X_DI(co5YZQbeo5WqhroU zU4A$HAwqdqdWf*qK17oW(A0s!6Z5EaAD4fM;xec3jwckyyUB;>xsy-PT!1zgpxylf zG*KSgQh;WgZ+=sk6x+S~*kZMse2YXhHxa)2Cd$)N0owh!9_@XovwHR=0T*6)coo#X z_g*+X5)p#$TR7iuy%($a1Wk)oU%Yzpy)Rxpd^?(^mpB*#1$5@ph zJ=bCwi=dxpt{xbYDc^$$dbD&`m?Qd|U-gxL;wrEtHN=i3nOR0^`DLT@2VW-9 z7rE%c*@2z!)NL?Rsv|h^!zYK^?$8yEMZCNzpTvF4qBIj^JHKr z;GXbpdd^Vsrw6?08+C(RMYMmB#F?R_y8tEG!y3ALt^yk|##-K0tk>;Sfla$bGn8~Q zP|~mY*S`NhOS5zo*K@<`8HHd{S#B^3hL**?YLYm|_2DQLt|My46(n3FhQTrqBzm6uqDV3owdgiYj8m~q)yrCJE) zBo0Q4a@3HfX4-SCk~k=&AXGxhof+O(vQFY`H=H3v$(30UnmRZ+B2}T%o^$~^&EA{ilBAB^0is(;cjy52L#|+D443)#AgR(@cBo&?wg{Uws$c zDx(olcob7AVje5fOuHcJ$(b6$p?5fTb7-1r7evyTLw864ygg_%8!OUGyWkPICRks# zVS%2lS&?Si1(B4(gdwcBN5(>P3bCS?=^~kW#jLG8Nisfm$=wPx3UZbxbkQVRlBAg~ zlFVd?BuIsNN6-CQdvFO!bdI}YMB{1Ae0z{IQ{p ztEC}Qn|r#?OZQ5(m%78SOEAUAPmu|ifYOO%)zz>6g%>cB4_(%CFQmW83lLx{yZ|8| zO5I)Qy$0l-zMV-{-7R<(cnGF?W)C5i?VQeTOmX5?-k9f!hXF)}&Lt7_Z~oao@~sa7 zLD!q%T^4q0kooR7ae{__*BhCXZP2y@&`qNXdeUBZaW+BIJGwFHRY+E$7c81~TUF10 zI-nj!@NP6}qlRXJ+{%gvkQ%m! zt~`V-rsj$-ap>9ovzE6prUrytd4O6*jk$~Ib#r&Z&idE>cg(uVckCCl4^fU8_A);C zivBmg8Tv%2d5A@>k3@ZD-8O!mLo5b{L#^<_dU_fe2u$O z98y(!TH@;h4tUC$0k-SIGq-4t#i!q*ODyfrec(-Rc|**#)%T^fv|Hp$C1DIMKx5$! zE0!%SDkN!1Xp+(6*I2P^X@e5wutF_lZSJaAe7QoC9_-?AwLT~aABWDdICxNil*7m$ zQ99QK?b*@>$qJZzwWAPXs;|S43u?Hd5JQ71gpDx14TV8Zei&oft(A z}k#t!sM_05_Y1|z@zHP3(Pe-kQFvEyD=QO6&l!g$l~&*J6t3>UyHomvaD%K$OY za4B5rf(K{_(R?U{u^Cn&SY;KFo|KYhRI(dv7lJvfXp|AGso5ZMs?(2Dk>$|ZmBuQa zy|AF?6lu;X9sxp%?!lODi(2B)Ije|nb5y!RNr8mZ7&SsrRHPppY@J$4gmK+gMV}<8 zB3%+39X6y7S4tIMlB}|dyW>{d%{BlTn;$L(*uLg|yIE^}(#FHEv?eW>OM=9flRKEx zJoeh>qyW1}c6;VYksjW|qEttpuAo)*p2%tHmnW69evLs zJC&M4_pfuDb3rx+q#WPYErl@ZIvGL1S()m5-z1wL+u$_J4XT2%4Z_gN<1BmDZ%TPj zTfU7EsJ!3uEpPnz-xS?N$}8gjt+9+iAFeTc2W~5B39aeFzOs@K7ujV8sqZb@I-~id5b%h;cqh%24o#j-*DA%G(72;_RVnVW-z?e4z4< z6{);k(26!u-MK$VREHyCj;AiL|j> z7jA2FeWWDBCHJ5aw1bl!y1tUttP7BD=wMllx{z#KK21^HzUCHlhXj=a)5n_EG9=F` zTGfRQ9#tdzNV#lZ0MT-hG|j@l+>|eibNql~Eqs^0?#=$|DIeDNZn>Dr@_j>;-X|3= znxE41y)cqY{`N=oOa)hZbT?RAea7%1*gj*YsW+T^*$RAJF=H6St z83|JEv;zcS#H$^0d`8mjb=n`S-+ZegzJox$e;wa#K$@MW6_XUaA~Ai z{@rTPRV3yRsQ2e~oN%{WbeY7w+bp{3_d}rGpWA7FoNvDW-FDGcL<}A6eg47Rj{T*V zD>jAGd|MLQb!!*8#FD;5TNoU`+$AO?<^_hwQXRB1`YcfNu_CMaaj}IKi+>nBSQV?6 zMx-7rtZC!|&=f&W96Eg5$W30Ie&UqXvmAZN@A<%Q{_9Ui-I8kL)4n9?jWq3Ms=M_i zR~>^=KA4!o+?b}M$59I8z9fd2X|YYLnAA9+hYg2KU`4TdwTqZ6Ez6ad8pJaWkEvZT z`;vPM&w!VP6+0l7%{iv$hP}n4O2b9NgVxr6l#ZTn z4;&2hWIN<4@7q^<`pJaaDdjN zs@ODqR%P9k+LmFtvh`@M&EB^0f^>d2Kt!|2^BG5M=dmD9G!|qf4u@L=q+ZiIFEvf8 zWCHMI-o(C)tBS_2wC-@4kC<)obc^C0EV=E$yC*wgr4CsKOQ4Z9HS4Cf+Gwh+Pi(l8 zwM@IPme%1j9p3jl7%2ulnWl$OZE@ZUpe$@!RcKTK}>g5K^WN4OsvhA zWf>JlzE&4>Vy=-Q#Y&!8U^<5tN0Q9}2 z4a|DNTvOZ=7L*dy*EA?23@O)LL;R(${jyK~g|MfZLTc0YTVSIS32%{Z*GSlMO%|=& z)>DUV$1#%3?{kO*xP%6n_yuLnFGw_aRk|fT-$<|vlr|ox4x2a^m3tH5upXctlB8@JR@k^AbwMWml z2lF>H!4E#!CT&02?yGsVSiB(J5r>O0Rr7p4C$EcT$H4yO`dIVa2l2mQ?BkOjw9dl& z8%?x?Qx1H4?lF4H-579gkN*j^9Wd!?F^`4vvgKl!2HH<rn8Sr*lkQI*kuYSUa&Ywg&8Lj;VL6BVlIb;85e& z7A@zP8dIS-;GFf+TZ8sv#T-+kFhw)o`{mlaiV(~(HOj6zY#S>g@E?n*Bb>*|?K$R{ zI>LESmbJfHe1LKx!C|jm!AqnAn(HGzfJ@>6X}1$V3Yo!@+oB|1a>>95_S=3PbiO+Q z5}+`zg+`=!!Ph(%lmHk?d&8x8!6geyfT3N+{cB%6K4Vz&4kkeMVWHE0LwJE2@L|wi zzVmFjZQL+!FyJ%Hp#AlO_Wm@o$RMNi&F15R_O|?`4#tF>04<)wc4wAvjU!XBU-OM$ z`?r5Ff;&CWR7o9$U8lHm_gnX57R3XU4UcPWA&LZrh<772riEIFvBXj#>!?`w3$dndLjgUO4src54InX%+@%~I>|cQOcF+=T2@$j*h9+P11mf zPWwyeRU97<3F@p;m9tjXM$xxyr~Prhx#$}aAcBs(Ki~QMgSnmdM=~#ON{P9bh~3B4 zLP@&T0dMcU`At%R?oai7Em$vA!u95aV2}=s2L>n1(+p zQ#!^Hk}WB;)oJpN%8-tce^kCscVtSL2tl9x!2VJBI^*;o8S~%;K285oV;*Dl zANB2deEJWyzUAHito~2Cn33MDG?F6SG5sech$tN2@mXgH|9mW`ZR^ znnUBRvNMD23yRsY%Q6`YX#s0@DUjO99wU0?_Jr3!Mz!zyv})-w$A~sqM12)enbG>d z={aBQkhKv%aEHdno@9E^7pN2Cj6qV_9ec!c($8ow@d6YNOtJ7hoohbcZ|P@r$?f{c zUS;^z`xOdJYF}O4B$u=z7`jOuTii&dCw*bv%5aF#&)6noq@U5(Jnok|;PGgKQKz$MXQs&JQzU%jwyN}MN}KHJbo{4hT{8Xm#Ni^35^RdCvyUzG3BegpL< zS82sAjx0INS4Z=qw{LKmp1cP5H+#EIHA=>N23dF8`7t~0@t$Fs>OF&Ge$Tj>-ZMy7 z?-{pm`aK&NwzrnX$Z&zxk4!Es8W}4WwrzBTON<9T??9cJd|qjM44?Oj8y)v-Y)mJN zM#rjA51ohg@wuIjkMpe>718Kf`TWw!m(QQNAoj-v%bVI58KCVEaI1X@A`rmEiiSZtfieD9AQtcsaR|6?g#iC~D4Q?XP< z@a1ll{H}lQPk!#dcpjDO2oXsuLzApI;i*FJTFIW@g{JIcjntPK+&wpf1k;Gs!;NuCh?5U3D$I_#SUo(~;%h|b+{FpUL+J$`zE7BuT ztgW^5QhMokm2ss(t~QD@kplG+G1(lvz-Es zWw%kxZv3D6te58Pbg!0#ASejDYckn*&=cg-nC!i}4ru?PRC6x7xD1FBEH`Y70Jf=J z<1q=6>~#rcJ=j|}XY%Guo^%rqBUCnNl?5@4m~auw@9=Wf;37+&;gY=UY+3+6efwkRGx&<= z`(V|P2x5#qL(f=IJX~85JYA$GL%RlgM$~GDprBj6B+5-u8$`*2XF6T-tc9gf(&)9Z zuJMH>pB_2A&!)0!y0b$=b->DS)vWE4v$;Q!DQy9vx%2TY%!!RB(fH~n+et_$ZAvum z0TrjpK334$tWnqM;o*~(O&ingSJe0fO$=>3x0AWy6bhCl*`m1Mp-D0Af0X#j^9L`kzz zN!E7%BQN(L&XO&{CNj?jv_%WaCm4RAlYlYev#mZCx3}D zV?sZJO~BAEV$DXqz$$}XtVp<|z(6A8_&IucT$zHyCB5k=f56q<1snxo6eC>TWNvTJ zrK)x&ZF&Cc9S?4&!ED+sYR%P5+HyUlEx+~~e&(f5i3T(6_VcL4yOWKJMS91rfZe__ zlkb9HDF?j?!7BEe#EMh|z9I%MGhayV@nf%TohIJ}(S5Mf7z2@m zrr}~mn*1UNQx7MCyyqM1YzRS`d>7pAJL|~?DO>wvsH$BMMC%8^8h72=W`V?tV)9*b z3ok<>CZTDsuaB5~mqa}s92dqn;N#awD6L78?~*Zb8vcZ46W+KO3Nq5{!97 zWOQQ)bU+G8tv5uf&dcY5!EZUhmClIB1%uxb4MB?j*jG@vyyQi&@LK{nQLPt(RF5tQ zuMr%b2nd{vW)<|?rX`~T?q8^K+ za+KhCMBRg`vLwmS+$G6&f}bOFoi>NaC9g^8sb)G!e?e6gN=H6T(N0rj^vFXIi|V$0 zt}MFRTbvUo!($}&NsC~z(t{4jfq+IbNr%Wwo^(Jj1p@}Hs!Tvq4)qR|(r69@v{wdefF4QhGn}wI6-U=STKi z9duWE=C0Q;P>Y;opuw6~fEVpnJ#;6-K%;n(*ck&(M)9Hy18p;2)ShF8feuHMkWo7h z;YAq+f>*|dhhe-Z!$9UTbH$a7aUop!MlVr}ZO;k4$x9%iC+;~B?>W3-_MB9P z2~8vbqLVkw?WD>1Zl_JTJfYa7obPhQZtuaRihTy1X3**33(p%R;j1}S{^8&G{I`DS zwIx-qo{Bpd=C>fK@=;X?T}XbBBbGXqA#jm3E#A9lz9h<#WtY)%MtNvH{~2F#Tc07!5SZ(1{UHv(Z$7 z$v3nN<%7o*as%-9Iiej{!WO z6?8O8C-DqymiOMI&Qqv#A}~3cfeowx4RPL+322@9HjN{(LO!0jp6PhpPBx=0Pm?T3 z-oG(H{qn3;E}@2hbP=FLlalVR&=3z@qG)gOTGiG zjMHvW8{W-nlj}*FeB0-L-ba7moeq)Lh~}7x87QeZ86vl_nrJQ$J~w-?97%}0!APAt zOwA!OEF1~^q*$RB@8=MCyFm+>#?osU1yF^aqR9N%-AbvJ7)-avu0v$xV(cupHWD(0 z-8n?Ym>&)K58IuL^{bAaL*y++1k0KS6j$^rI&z4N*}$k;lVBQ{XmgF~5E*QIxIYNH z(G=FJ)2MC`h85W5+zKXIoo982K*1nKM_*qP^iT3J)Usb&Fs;jB{++u8{#U>7$`0`k z?4NulvRwXm&@Yw+CE86a3(3e*B-AFnTBY)p|o{Xz;uv z>VVR8skuPob+4nD_O1w)(L4L2)H-I8NQOx+xC4~~0%~YBG)}dhQ?zzPaEFAa2zDER zqw|V1b}qOFHKg`@cPtR0Ua_wb^iwCf;1+ZG?9d8@XF<9nE_mE`X1u|qL?+& zmLeqw0|pvYl4lLAS}0>ZqWuwTH2i`8%Ky|lK4H1J9{5&5dk^K?+R3>9{f-o%+k-rZ z_jfnEpLUC8dwMhW^fUk5m;Kl;MpdMCRCraS^;a;h#3o-fRBdRqXfW(6m>>xtt*gl< zo+B7Xu%|T6At>>q3u2}VA~*^zcWNd>P+&+Ggn)9RES+>Y1SNX(hN><4qh!U70Cath z5r2D?C8zq%)eaa`pU0a6a}3Onr7fekE3<9dJQRLBpUBs(19{waHZ40T%@C z)k*@eZ3lo9ht3&I+JPdtU!pQqlBulWsRd~VT=3xFEg%AGUbC<8tHlnuYjv+vfuMOHmEF3w6fSJ}}B@{IoO{cs2O1y7dVBwJX1!yG3pSQ6_ z72?605Lg!>hwMA)D^Z z^KWCb)LhjZkRWNz9FQ*u*Xl|0_sr8N1YTI*KH~XJ*Lx~*2)sa34ntsI2}_^~)g|{L zaPyJT)~{3SG0e{W8c8`CTA&Z>^5q3Y|we{Ga|1fzLBNMA&K{BJhDue2DHKk?6^%Xy!a) zVfiJ)2b!WfhPj!l09=(o=W^a&UeoZ&HtU+m6nS(;RZ(>afhzAU+u^lsK%AQUNXh(K z6~aTH-oJJNHy}>U9ZDpIt$sfQ>ixN$j*s(2Dy!5DkQlbg`=23lm9%~=*Ko!OO>0FV z2bR}8%76}U19K?8-Js9gH$nM|O)wp!7zN+e(tOP z)Xzk-sH)J`rFd1L#y}^CKd?I=iQ7uaYZlhb2#7p3I#v&D`j!9=3zJOdQ>EXZ19*B^ zu#}Ui(Zb6)hQ^>8p=Hls5ISa2rHFuI3B=0T-ve1 zgXRB1Z^kEGdYEj)y?g(Q>X#WBy{tX-W}s1fY%f)(b9?6PwP#MdMKd%y9lgG!n)EMi z{>0}d{s%2Z@~memg56V)?ILPcqz@g3u1f`dscAhf#Ibz>n;deuIL+Pm+rKv#lC_wL$mIJ2Y|NP;IZHI zhK`9@cggWdql{x*a(vP#0ScEi5>nLLcId_tV{KnC=bG=%adgrsy)IvKe9~xje~zGa zpi9%AG&ESv{6eBoJ?vCG<%_QuQ(##~-Yr}4cnUOjv}SRG{I0cjm{+ChOehsPbfn)x3- z_?@rczIYZl=!~EmhSUa%d%&q>Rknqm5{ylv@kTIfV+ca9!VQWbs%zVrJmUt1UG2F?DPfXjn)uy2|Gw!9Q z!yTkk?C%sAIoMSl+PirkC?uVc(#x2mS{4qzr;!!{0U_FEFSoq*T+f#G?poeyw`dOS zZzi<=rguE|(Km+WRZq1a+DD`4=wL$t(WmDX=Gbv`USSR$N5>H6(7x~%qR+$XdLzP3 zK0dMzh=FO-Fl@u-BZXiN?MLSo=Fon8Tp=y59RMRhV9qrV-ZU3-vOP^EbBOs#ZDN4t z*|aqQrDV~k#-8H7uZqaN^Z^oEz zKL3R`d}q}A=*{RG(>2nif@*^Vp@CvE5(@%c%@_kGx8TceFEHC|Ob>;10qA#2rjU&( zS~*DqMa|-kNwH!!ru{$@wQ@|c3pbXz;Oy0vO2vxw&$4P zxb2Y~c3Q@c`j-rJY2BioG1?_r=1xHj>}e}$G8~7d`L#D!*c9>j)ioT)2^`d89I#PW zFI}$3R7ur@=S4B0hQ^f{|Atm7+An{tOAUi+l^QGSa}ap5=-|pjGJ3QcUUQ8#0I$sv z!DU%IDe{T{MY}yp)ipLBYjCMO(Pvaluc>gV2Aj70kOuq7Kl9*yZwn2koptVsd4n&2 zcfO)1>pvWfK*7GULc}7taYE@M-ha^!AxI78f|h1yqdt7AUzwhm z3kLHvo3t81YOo?0%+sh@Yy|0vxnM9)ACHDX*jM*1S2yw|t1>XM2;Ddj=4VYapp4SO zL!Hd3EpC8)dO+1I7wBFnjTCs1fJI~|K`P4=_RvfaLw>$${OHZn;RBT3%oe&pBH=cn z3l1gC?Ad@WE*i}vrY-#(xjLa}WMt{(IKDlhH>GH%EkC4a{_H>f6aV1skoP0#2}IHC zHo%-je?+r`Kv&knkcff2PB#SkoY)p?tduSmnNS-=7=lzZE(k6>_Msvdimto18B{

Pt_c@L{y0avN-l^A#i&UV!4*=N zbiP7Ro+p<)EVqaWA-N-|a3wiecd6>gU4*N_rVW)FsybE`2gaMmkC~#idaWVRne{1OqsGSH4{u@<53gV99mSEAG(YI+kus<5mXgsk|1=`7L)_p zJlZ9t_`)x_wSdDIqeV`A#JE7(*zKpe-hh^snAz?KvYz@1sjKgj#~m9%xMnBJYWX&* zXX3x845Qz7{iE0Shjsu*hfxw5*=o&vgJKvxJa2Vo(=W<*2o}MH(PKDo?|O=r@$)>O z{X~w=#9sT`^F1oOL#XLRz@4wswHOak$;Fj5Bk{;=3t&TjrAFmt!4UO?Zg7fZTWG4| z<&&q}>y>LOL(!CdtK8-?&MRDd4j&tB3YWI$@NL;do_oB}GmW0>^n)P)FDF6+*^d2j z!LsMCm&aY@H@^ujgygds?U zXIpr7c1ll)DnBs``NxP+a!DWo(49C*pcaDVW;jBOl1qY|lA#R1%5DobPb$$kxELju z1b-#dGguRuAI1qGc~;$qs*HkvRh{9h7j>&&)X?S$Jr)}B%T-o9%$8s`{ zcBk_?c~yQ6|9t2ZCB}XB21L+;hNN1g6E3M16d=?U3xEx7wPFEtDXJ2UyEKLzRsQKE zM_E6v?lyEOt|jcw<;v5Z^fTJ6hknj|gSXc=IPDg-256>KyB^yT>lSWv_!S*F%6~nZ<>OGXQ0^g%f?v#gU+m8EzFWoEalUvsA}4_I zz=~W+dAig2)6omZpWa+-$+dB}AHyzTw=cIv_N30XXs*qhTg=}5pgaYOcRs&bvZm$V z`)lv|ygwcRrM@pcTZBpWKsjk*)}yv)w0=F?qTEPs^&?S{W2Q=6!QieRZ99GKcf?c{=^Q=259ERthmnO%tsYA>V<(6!QjaEGN)N#$(JctI*vdES4({n6x zrTlaU^WGeqrrHm^BV4bI)*Hz<<#VK|E`or5;dcOpTCHt3k$nXtNK;(|k1~}Bf=lAC z^{=L>c0o&^L2$h>G&xojQ(YuW>FataNx6KIVycT|seN4|#BL5QrrIT=_!S-aZI!Gf zBwZ5OJu7}i8c!Pt?5p$DXtd?YTsPftYJ8P|Q$N>XwfDD267-wD2w*jEg9AuhSw6Nyc)#L3H5d*`z+ z3E26L=fCLL-y^?pwfMK+^PZ5pCAgl>#H*R&%Ql+(ga1x414@-Y3QfW$b}$T zN`2O1VR9)+t};TW1Uo=b6ST+pL71W}$oRXgh&-;k2{Q1i7R*&FJ4E~<2#6QME^0v< z^|B(`_i$*IyC70;1ZmV=5EH4CJcV^JZ6y?qx(n|1EX0;ld#& z)jPe~DlzK5dwk_X5M)`Jm;&8q>g@BS4gx4wM zEjiqD{<0nCFVT70ly^3Q^~W5WWv@w?gF&Ow%kfU_aGADz8)y>G{Kjwl@&Eh#B1qEm zPY;s3UK&@+Cep4*%)xNMzCv6f`qZnxbv7$YhzLX0f}&4daEFO7R2S%|8!tS^Y+Gm` z2%<%}J+#~#ThZ!7NVUD|4SN-RYJxTmkPU|$Or$+xwk@=Wa{+HEo!aNTrG1w)iVF>PyBEH-B zoVC8Rjv6Fz9G!jg89-&Sp-Y${#*{+qiuD~ze(?e4sOLo;mBd;QnrxZfYWv?I>+Rq9 z-yxa!H%g&Uwaq0K&4?6jJgch(Vo5L<(CI@*N_#`I^RqYt=!Wy7)xoWMsKKP(s0F4L zg2a`Q2Xq2eHws5jPx1on5@c5szt2(v@i=Mn&en{<(%pX3KJ%!xMVw@kNKb1JkItIj zj7%-_@iq78pp^79xN};s6TwuhiQG7cRrAq<1KR$g^f)izLt~x|B{7zzKF{e7smx52 zXAUC|t?sN$PPBi=pemQh4=Hx=hm;@FLy86bA?3%r@Q^-t@+rOF#`D{bgKZfGHSD*i~Ksov6JyzHG<-%{0imwdcNGt%6P9+s<2iw&?WPEII+% zfT7VB?~;zYcOP3t7!38?bYX4^U{_Y|~?=FV7cf~w^!3#4aS5A27(sCC|KIr~T7EQY=+*NWynjYc?b{)+Ny#nqE z5w;Khp67n=E;1I{O5hm_Yb*dBG?7DQlp2O_xUaZf!P%u318>Jj>Fq147<56*aMsE> zw09YB7J~F)To7}iw*p=v&Cu&Cqd)F~=rh)#)97sz?>Snwv<$%oLH)(W2QXc*p9)8#_e9tEHY)agW?N z(p`oo(}lkspHzBA*)Al`o!iiCnuwd7Y!|A$c4c?!0s`NMy4_#pFg+C-mPPaORG@$3 zzy9UV{d}Y-w5ZM%s8{t?)4()hx34Tk;ewO7#YcyH5zz1zM{BdGKtph(l9~#%2#!@! z(_?kP(MoD6&>}cmNKGf!B@up-CIbcJpvlmv(!Rol6b0&%Tj+UV(ZQe;%a0^UdXO#& zdJNJk3yiq=Ogy`%2Tc?!sBL zI;VUi%DRb^Z^~(;R~k7DNeD+yquQLH8|f$edvZoMQZ(zv+XBpa+>iOHFVjM2ZV@fr z%W;cR;H4ko6T5=YsbFr$`(Yb*u*ge4=6xlGEiqpm#E!(bFI~Osxpzm%{L({gKl}FF zPbHC|iutJxNHGYc3-HJO$kYGhi?1s=4Ry@j1t@Mhil2p)2!%#QAY@;e3*dslOBqd| zRTMIiW_N{lBG=pNZ^S(H|9fd9g~m6=OYe7OLlJ?k>)pm?=KJP|p*T$DL# zpg2M2a(x4He7P_ZMm!T6uzIzUbdUTr;hSky$QDfgy)}uwxg-K>ZQ6sE)I5+EJ{5xD z$GyPjO*|D?*6QENQ^9}oNox-Vo(iM^9`~sjLGI@=xF;)vQ#U8MSg7i&TGeI#X{xFt zsa58?MX|?4q*iUJ0GwG=*nzwYG@cL0H!30L-GZ-kAoqgA=lul^59A%Wh-!b-svPqE zn+5V`d4I`ir~SDd$HxWBo0<%C1f2t6kv8y6aC9Xb0puRsy}RI*!6vSCaWSzJavISu z$iw?=t46xn6kc)~$@_zZYC0tZ0AQvp)6F_&XL5LM=@X0YHlr3oeNeHG$ zRVM48n4ZYWYNa&*A(+9Z(n*ivf0Xps=a|8#(nt?FWh;`26*Kr0kkY>E4eb%{%Jzdw zbV#Ypu<#`>^lwUqLVt#Zcs<$eJOTY=k#Jvz+wj%P9fH}zca2Z&%JI$6CrbBO-jFyJ z?wsX3hv$0d@StinVV6L7z`#czxRPRPh;~poV+> zSHJW1-x0C3RxI`E1-s)Os4(mGoir>BVU$dy zh_ZF#ZFWHf%G&*mn)$Y;fEvzD1c}ZSo0Z6=fT`J{xZ)he;j$HX+9pjwoJAuj1tBJQ z1OhJc|E^=<;Kjv#@-};TJRF4kx7Ru8LV_+|c-QcVpcc^QUGU?36dI5-y?&N#m=Yr~p+iG59k%j`~L zhsLgV$Ez~I<8H03E&yt*jXfTsx=auOz92N{j5DL3Bqch~$7pc?7mt?Tp~+CwA1-;J zRXZ8A%iYGe*Z3G|?CuM&4y(FmE;XMueNk?xK?IvZ_CvFI?$}{eeAHUVY_pio29#4iZQrY$$k+B-V+BFo~j91Cayun1#Dtqfw@gzjL4-vv658(Fo>1J!at| zG1kHpIZ%&LxG3qU!GuYUius&XGfU+IS2VTP>a8%@lsVS1sVwV$PF1%(JzwKze=MiB zbyAA@HDA`FF?gNq4q{a{x{aG#l#6K=q<})(2fC8c%hcX=@ny@J@O7n;1)aebl-O4+ z&|xfABsu$7*0f# zHmDDroji%L!spT6m2i^a#{k#JJ4jex?U219?cR<3FLrYGbZXQ7aB?drt<5OOcIBj1 zlLDBbs-gmnQK_6f*^d2%#v(S`e3kmZ>2l~8mAD+*yOPcyj$g$yAql1IWHt$=Cv|e4 zu(buwCiGIAKYU<#s`&?tH=2Ghx2p@5H`nrbg)1w4Exw876MpS4^?huu!7(S{F}qFB zWdjNx-^5aAl1ce2Tzd4Xq<QfeMf~VU-3;Ciq|I9_0EaDnQ$fA+b$J!q84_+>I3phqz!&NW%Pg z#b84)rOk0fpO6HmPW81yknV=BxL0R~{k`ZvY0i;GqX@27z>!(i1w5I4bN(^ZhAtS> zjId-Yn9GN}`JGFGP_tPwmk)s!45ujv$0Wq|N>=SDc7*_gE>|*EI!^Pf*RI}iLzAVh z%*sl)QeBXKVcjaFrPmvJ#m|ZVo8nmO;VZ{IyX~bsNDur(zLUG??cbTt`YrSoFLC`w z`K<5Okfh2P7)hVmn;baWV0LaOefHirzxA~bqCh|wa@@fc#HbR>`t#r;I8puCDUo3s#KB6VXU$$jod$H|1z!Ubc+6(B2#O` zej*=vs*=c`XT(<_$Qi0Zv8)l1Xl~GBj{Dd|kP%VC1XVyt)Q$QXRq)t}dV5vQEI+7e zKJd;z`m19MmU8~NrZEOU061jd7V1-YE#hF1K^Q6@=AL))5wvH|MKF9N?&`-}1oB9tw^ z9aRs4ELXpXw!jWS{&-E+!=D#7J|xfjo>ZQyk(a?*go$SH?@oD?xnwF`AEH^!7rT+w zvmWWv>Mh-?WV)+z3Hk03CL!C0D6|NbKlF)b)i(pVo@>VPo(RjU;UPGrNdriRHiJ(P zMzZ*FGhuJQ89n~kW@6g%LuTT8Kkf%V`}c>LPe-NI`FfLRIK1u)2+oJl?NCNFgg?rg3}d?2sa1vXq1f zs9i0;6~8(M^q3*Z(T@rdw2fa?K)>H0X(p1u2#7jlKfDU)G5Qg(g!tE9trQ2Z0(vma zldek~>>eYBWO&ML+!Bs~Btn0wm8y=O;|1`N!{Ue}b$fH3`Tm$eAt}eeFUmIvSP)5k zag{(4*aI_i$goE;2uFt`JcAxUQr#j9j<)jziFJt_Ah+Yu?W$sTslAeoVxi<)E^cF4&NBqYEoo{o=PN=56{OBOL5 z6352{t2V{+D}f6#bI^{LTO@njZ^|M~TYktQeb-NY{rXWr%$f#ri)2Y50HT;RAin-` zV~pUQFRFVeMw>0D@_fY$dMJ2ATywNn3V@n3)l_)CVvIr@XttofD}sfdpm& zzyqx#RCoE%)OJO%5O{!|Lv5UW#jJnIu01v0ZNUf8*Bt4#z?ytUT`~wfV6y8BeO+^a zqZ@&T2BP@~J(jL5zI>}>%NOqCpU|uF&yVgPU6R8-Z7m4^>3L?u_0Me3^sZTK0brz3nE%o{lp1LcBR|7B8zw~E*;>Z8Z zNPg>RMDHE7L5aYTSf@%m zCeoHxc3<)3v7Bx&{co7b_~hUD-}ojz`FH*|zKKt2LPuxM=6uJT_I~-(-u7^wP*2fq zRyUAO*3;9LZ}l__6o31-{ncOo-v|AxcFwwvu|C?TjBJarS%2`Hz-!jhy+^H{WFO$p zTAzij0cAuTQ&vQZR_pK1&9ebPn>gra=h6`n)Y_d{Ti7gm6W2# zs!vY z2(TGVHmjuGW|nE-S8j1$$NLn#~Ad>6&(P<|XUWH7_?lAnxgvgozFJ zqoV>(emF|PmhH_E7}75hd=avpz+{14M=K5D5-Ed<|Mc!i1QwE@TIsDRdf6pW>@4*I zs3VYe?CKZg8&ql%_8k(I@HIm6W_%3~gThgnp4yjyNxd1&5sxiLD%ESTj);h^;*$!X z1g#wX(LVQ|?hOsqW8kaURX%aIM5jC8d^Em<`Uc4tXiIe4S^zo^7a4!49jJ|e)mC|C ze7B}^(*B_9x&(7XDFB?Ev_IU1OWIN!UrH~Jr}j~bmR@~9CE;mB?gxpf}=zht&=x5t1U?^h!8#)(xB* zI;Iv3oM5!8^N2a=hOgOo$S8$ouNKTn(MVw;mD%R;a#FOUFhNhcY6Yp1{KrJL5vks` z&?DEQM6wYT>aAc^k3wxEXa+9SLE&FJ8oaeCDO!?^D56PE2bK3oj|A+bMvlZ#vp@mga! zbxqw=-^b>wi|6yd{Ec7t()-WhsJPlne~8h-rcoRl(JDOK0^qu)M^3$V$J_OWDzqaHR#fV{+JK@4>vI_g?a{rf&b zs^3SIMWq;BwKW<|_c7IH8#$)%3JX1^$1T2?|7{kPP5;jS4#~v7QL5i2lY!A$;a$JN zOTcJ)ixH}bI`mLw21Xfal_|o;saG=lqho8I{n9iQ_zr|3s<7rzBt?s-2RC1LD02MS zKk%Er?+zQ~!>Ww;9p4-WBuXQK?ONPcDZVC{RZNH)qx6kDpP1%_E0 zP^-^0%Zr@mUI#59tO9#NPDENLQY0}vS;!Dzl>0Q$0*soWH;fs{&5rrdyyoPfMNMg# zZ!v;udc$_>wsjRXqk_X~$|pCl{XnOfn{%r3>OBwN z`>-a*oh|yaFGtzV z6hg(iGraFzA60qyf8O{dpZN);<3hXU99*;>Ix0eIzt)~Z;`}5DmpMQL7mJ_{3}T=5 z9-}B|T|$r!x(f!M8U_osf()}=aJTXH>)qB+$XJmU-36oldbdOIPs=>}Y0=ti7TqPI{rcc`+5q-I z3BSG~3`vXbk|3BFkp=J(JGKbkZx2FM4O0|IqjAp;unh^f^?&bASlPQJRIaBYWhQv zR@w!R7$JuGE)eKo*0_2TAxI*~0j9Y@*Ocz@T9ttZJ3c<=udq2|_bqWf)hhR3-+m1PP8X?SHS<}aNCRj*PQSA{l5Pd^%Ym)cqS zosDy;A<^4bds036il89Y;k_6nwrd5c9$oOTL3AfwBKr+8$MrdidUQ#Q?4@cZSUOO`*pN)1IX++OwA5C5&7{QLiLfTU^~Jl9-o2xiK_*3rF? zPO{3zX9I?@v`9??fZTUpsqMx_sWE)T%*EI98nSzV470VYVw`TemB0&*_g`Bw%FVVlWMk$`1d-b3PYW&{2lCK838`$qVCHgvMF6G zO;NW$wU1fUZM&ZdhvwYnbj0o2`%9hF9Ac*n8cM)KBH#Y1;Jtq+E*C*<7VHh!=hPs!Bhfp_nOlndfAxW}@(AV7V4jfj*HF2q$v#d(MP*8PHI>6-yC`B$=vZ&wJjL8u7`z5ryaC zd`y^Z!F~Nd-Df(C8=lG4spc_V(n)!3t0Kr4qP%FFpJtP%J5ycclr}>=oO8l=v{cGTFW| z@1h8LK#i_zvxy-{(Aov9-j^)COH1O0Agz}R?$P=z*gDF_t-w44X}nwzxm$LE345hw zDMFCmg$pA2U2-x;cFG09^IOIATjf?=V}B9!%z` zf6%9pz&{yRJ(l)f>b&?BzIWT|e;ZpSoBo~u9g>NEqg20}I!Jh*d79prU9E-n-l4mH zO-g3^bABP={}2>$NsOmNO*cIdP>YQ9xi3HlrU@V7OuaQ(ITmXQvnU^oH3-6*qZ6`n z$s>yD6tV&$Q#aq?Jk#U5Ku(6F0eCc!rs*9$J--WMm&4J!rKRKjw@-hFuBwL!TkS)1 zHTfZeWQ*YNpM2oK&_jgd-Q+{`oF`Qr#_{br$?~L0mbJ_&2u>57RF+6wbe3gsqM#lf zqsL+gjxwtf`cloFNK|Ay3gtE(3)o|Sl+H6mL0EynW3#;XCJ5ktO9$k3+JCpeOO^IV zL43uxwh@(|_UCrmUq-K`{kMxvMMAR?OOW>GcI=M}mN$idZCmR8^lFt&e7iYdJaGq% znL{Bkwhe`@zPZ7-0DsYjwUkQ#-X^X?S!yHd(l@J0f_FT8=R4~zxM0sFDpuZ>BIwLy z=j2N3UB5L>-OEz6ugNLkO1)#8+O>G5+*Olgtn@2~tXb0}$r0bx36Rqjf+M*Ro~RJw z#;U0YajE?X(qUL6{j%jo#N4hp`L57aopM)(<;n>UUD||o@xp3f#k9LZY3p{>U3rV{ zjdDvc^;Av=7dxk=?#NwXS#E}yq1m0&=XZPUIdVuTfgj4AM?0>^Qj8A?PE7zcC`KRz) z9h}K0cJ;V3lUhHbyo=8~P4({aW=T+@^Koo^?*haTgal#N)<}LfPecX}7pT9%edB$> z_{H{4OHR)ZZV?qhIWWsMw>pD|3lxYdAc=n0{;1vb-PX#=} z{8V7`CY}l`+ddWir*K94PX%1j$9*cEJNa156B1d+S-ELvXmO>gEO|}s0A6uBlh+3~ zviADGtq0!-nQths&Aqp*;ikdwN^YVAg~?6hb{w$hro#2QRX0^81jqSr;HFkX6gCn9 zrt{}^oIhxV^CqYcvooky%bh`VkR}^}mQnB`?t6d`Ms)~$3kt4fq+%A7m&pXT9mq{-arRp@@-;t3z$_Dl?K%km5uFS* zIPqg7`tU=qJB()Jp)q{76;!aMEV(3UBkUpyW!qzmwew59U9&Ehk^u9VDvO^p-7?X2 zNd7@on--s z;(eYASgyZ-CA49skxoU~C`_~mIaFTNww@JI)nGqid8)99%TqKjPmTA+Pk;Zf`9~4^ z=y~=u9s(68323~{%3R2J_XPm8H@X^y!3=%8r&=7~FLbn44NSNY+h;3=YFzCQE!8V# zjRzIW;ZxLjKu{XNtnrRO?^O_lGwnHMjkn!&(1@ZMfhspEW{n5!<$4U9(v4uH@vL5u zyvW)zk*`ms@la;KmH=oxoMmrKfv4>XZCBux6-gxj+tZYCEWhZ;sVLVSY889eQsHU8 zk4LPk7jq>na&ew#^{uKqqrTP+iv>c@t|Ox{UFYgrE%Jmj$QIaC#XmInG@9`MYDRmt@<+_w;-h-tMn$Oqs|z})`H#EE#h%s%_V}L^MOZy z^C!=-#36ysaq@_hVZGWqy@VqHl1fmiS|o$55Flj)G)e?9kx>a#LXe8Ww$QV@C9?_+ z4GY$m%BAQQxEUzgXt)rhS^0ddNM+(H?!jQEP$`B{s=E+^3FSjb#zH!*2*gzK!~u$F zlG<0qC|+f4-y$ueenqU9Q9cyxL&M3E>_`xQAxY*ELGYw#7)L-?y?`W1FCdQI>5$Ij z5#@wfGZ@__TI>gpDw|CNPc1I9#r7$~c>bkPzyb&^+-CTAB^JI3Sv;okzs=XO=|@7m zR6f3^R3P|4ozo0O3%ksP<}3T(p(Dh7HTLZu4eS`C6e7)&z zqz8L33Jqs|y#@G*;ZgH}?MU&P?;DPu9_<=M=CcrY7?SDPUTjfsE1e-If9=)s!UZW$ z%>vG)Bfdv@0rSI+lDUx7Nv(Vh@T%4sgPLD&f!PM?HWP1Fk3FuE%*^oXX${0!6B?r@ znVxRo;wVoxT)cnuqG(v9D}?(@AwuKV z0%fi167X|I>k2xYZ$`KQ2zON=0DWu2;O6Yqs0C4c$gr|KBdtCAB^iZ&Qb6l z7ewg|s`pT7ci7levJe!!#|6=F36~JXdqYFX<-PQiJYY_#Nj5{t&srloCu&jp4H5C3 zq1avmDm#QDX68qi$w0RFK<*^@-*AlLlYi%bA>gbv0p% zN>`r)ZE(KXxUyHFvUO)Bk4d^ePDd|KfF^)okXe!^a(fRmKhq10qO%Jf@wNms;I{ey4*q&J3{)dpBkt5`cM-pNk$!=OSi=2ZL2 z63H&OTXn4KB7qVJ)Fku^LHc1XxCdGfWC4O0fTLyQAxJapf`@Ji#x@Ef_9FZN{k4&- zH4aT}>4G3>P^5@l!D&{fXG@1k52VCuL7}X9V zOKcx5MJ{|D|2t$}N!*KSOw-J;o;PQOK$ z7}M|n=;s|@8`%l`3q_AV@0h|=*6tPQL$%@ zDNT-AJvN-#CkIeV@1je1>{@!yd!x`DDMTl2j#<3Vg4kU9^?fQ zS7;pss>${NP5C6r^dv6|RTL`GrO22XFvU`>yYlFOzeboxUI{M;T=fa@9M=gpNT z4ia7_SU;qM_ANohkLe-BF8+}6<6U@2M;au7EC8wqm{;L|1bv{gyMl>(i2zh$SGcYK z-?>1Ak5_;bnm9-Y6r4RDP#&GYTBMjc?ce4Vfc0LKSHSsZTzd^5zQSsdD5!q0Y^US% zt&YvMkX-v0)6eOp8QMeu@*EXbCBS#Oehm=5k;FkR|b zQa5IFVHB_66Yfv|e6`asM1?Ml!4MU?fKvMr9Dmg& zRnn%|tD>iIwMsjZWGm9kjci5wmL2oeW~J0eYVqw+pYfKvJ4I*OEt>I`o55RN|LfoO zm7g4Vi_o3X})~Ls0Ibt z+8&z9#s&AtZAg(PGXK3oIJy@_FwG2Jw^ex5KrRStjj~c!60OK?S)U`_3m4pb2L&qZ zkdmo;_N!`8P(xcKDrN?^m8b$D_?q~&8c1VegGlB}o_oGANMoZpmLrPwG&r|KnwmzG z-l2Jg!TH&l!Kf=eNTnjV9oq`PT+_%2drU5&i@ie?Ui;hg4cZ}ZqicdWsdtsCJG8?E z<%P!pp&qq?nAmcav{snEh-HxVEy^{GPi=uAC<_88JKO47$=UmwAbvvm29J)ERsD<3 zI!pm3gtMgnkwFdv{*r!g*`|Ut)Hp*y8BSpgx`_8A+EztdX+4SZ)uY`DsVT=h=XEc9 zB^a0rJ~r8H1<#rP!;tZLW-z&bsxbOYd85S5;Y6v+SDYwp3cf7_`>W|?9rn1- zp3&X)jHcb9*)zHs&**bL6;LJEkCv$&Nc@Zyqa`Q`W#cn4Urz2>>whw4k_+q2(n%rD#fK*{urvgZo- zLCHwrZAq_g<-t{+(FV0X>X)H0r1fI5XM}DKMZEBg^oXe{&uG8fk+_0a37%Pdm1^(R zyQ7v}kD99TjL@A7$4&f4v{W_cnY%qmX~fzjc!l7c=y_Y!J;KN`VY$HNlH|?>Jsj8T zZK=c4IipZ^xzsblS3F|=Prq=tEBvN$DhS=n-LABk(-}Q7x2ycXNBdy{iO5z`w4bBI zl`d`G(L`JTH=6CKYzpOV==xjs1nzC~flHjvND!H9X#z7XX>EnBA_~?aJJd(i1Ke zlQo#x=XIpJ_iAoW{?zw=+?Tu|CYUHX=zx@Ag}IuR4Ty~U?JM{de2rajw_b6u7^`VP zE7S<)eAgc7FnARZM6pA=V$P?Gjw7X2_d_4jI8rOGh;z*OF60YP(gAI9IBbm{Is_9W z4v$dKHReIl`P=-MobQ6;sClG4>Pi$eixsPU*8$@`loEia((eDO+O?`8!d>2?;P(F+`hwe_5@4~oJa%fYMr;*n@3(BZrAFKLRgS@sP zCQD)IZeICu29Hr;@Ritym$?qu&3^k=IN$32nVF?T40}ppaXak(6qb_IaFbqaKwZ)~ z>)nWR&Wd%-h3wfFhW!y8XBR%rApFwRyPkV@Fb}`<5Zlkb{oO%7EY|X#ia9IbfRyk~ zPq;<2zpm=ecyTi_b2G@y3qSaGKm7VYW|U6GT?8k5%XuEN143#Ogvo|!3H~eV zYjyH1Pj2lu2wEFKQ6eT7q>-2!u9*%yD|jb#5&RqtB4AjCS!2zL)Fdtl%!s^SY;yM~ zIaZV~%np4(YDL0+a6zKMwf-@55qw3P0Y@%K04|N7xCkz3<128I+e2ScD!}aE0EHBD z0NFL*l=|S3v+^~|@Gy5K@-^3CMgJDuLQSr+w9<%L!LoN!E!X2eCs9H1M zpTe{PiZ*FqswDFbDjiWcPjO``$$W>jf)__WU}j$LdgfbXC9*hAc%1D`mzWSBoUuf`qJVZ-3w5NeQ15Ez4un_b8l4~ z)gTeiK-u36iOdDDPgD?F7j%MqI4J{g598`0oRU&^)bBK#*ca;Ta{R>JjWd>aZlIcO zd9S^JYT7NDoulcu=#mDiU-^+=`xpPvFDoW` zg#V3ihCWg14EY*uhh0@nOxxhmz*C1OKxWHkcrVvhFLrQqrC0~fpY;aCLz1zk1zHJE ze9b3$b2w_LP?Ahg-~x}+Qcx*y_aPNqKcTs{8j|9c1L<0#YN(Y=VBlhl1SB5jEs?H$ zPcl8t3(Px429h`%Ac+=;loX#|C8ITj7l!!W%}#GbTbS$3$QRkE+9f#rAYvh`>2JI44FhDe<+%a zs1XW6d4Wg*>ZA}NgqVo_e(UnA^*npOd++z__xt+vnGMx*-u>;hpS|CA@4YU+wbpNi zQ+VpR%NNrp6Mv&5p3)&|wAo{PSsr7X)0cHyCbggYe%2EoT#$vmRF*k4i*A9+QNw$Daj=f*t7p5cGNTCYzzIfRf4BBR$`GUuD}rF4 zM?i#1Mht|~fG7m1!(8yV*|IzlD=twLF%v~h&~i4GzG`D3|q-7iVky0mj8;jLkw)? zBeA4ZX1FBEVb`MVFq_6`#ginRIG02N5GzecX1dy{@=lU`d|eV_b6ACpFSKSFt^Vec zc5z9N^)GQBu_hK*JSVN0?+=;pq!Ss`tVWQUB=Zg0Ym1hgT@p#vuaxhQwSeR7V6p9d zqkM~yY(dzMZ-o4dq_JYnNj5>%_Vl(M(fx8zC#Mn-XA-hmH+sZ2_vd(dsTq!{Kj+-8 zo@|JUf$O`T>q8j7gxvHbQQ+iNEy9R8s_vE!p=ncy3Z&w!d6H;3M&W9zE0sFWR98CE zlZ-OeitU>{(&yB*q7D^$J)Iv#9_O%HHSA3qQR{Tbvs$kM zhJK?&Q{Z}GWcfa;@t1zv6aVPVVKvnJw4QIUW8!yhoyF|=mU=$S%HTZEs_-v+zERHy zRo1SUJ>Nm6yYhTXJztO0oju=D&xbU$k&cC*nmylA&v!(1TMPAN&v(!}uRPyD_q?j- z8}!dJ#tIK$<%)#aZ^_;_O=`|JI6* z`q>aB0+&QsWW*t0>S`a3jIqLt!FLig7W0zpY{jV6P*r}rb%(7!Hyh!Z@rl8O9@S5w zq2Hn}3*VTHW|LReZAbvhUm6l-!*O}T5tWFNjCZ}m>^sa#0srdFSAAsi9j|%uU0)eB z*iy%3}l^A%$(0Y<2+8VNz# z7#GB39=83%pM}xVpeO`sV_Xo~EVdJ(Dr*7$JW+NbNE=fG5xR&siv$?5q^YY<|#Y( zwRf%O)yw5KR}q_(NY1V6CjS=^E3L5olvgn4&en)pv*tG!D_kUxYuXottTsn1-yN~S zE{R4t_GU6FK~Z75rU3BzRD!J$QLEfYxVoLQr{@>Lqii@C)7Txh#`FMRA;u)DB;zcn zj<5^ESC>quUnkxfmZCAvf(uq%=b4X@WDw&{W77)JR;s$E8jPr@A&zQeHtj9UF`vAsv0R&IL?u2n ze>z~Sd2qvIS==xh%xWak$VezKIpSqW$AhJzBF-A4ew1d(bUfM(2WLoOuu=Z~@Pp+| z@o58^l=)k+iM`;Jbl2fN8!Z8jh~6PBm$F2v8bVeP!>U{uWqryqSsaVuy~0l!=f#{V zJOdv3R$fR+7nM{~V5AIUi;6CS-P~0rH+gl&uv1pgazsbJ<=%V$*QYNL5rv$*6Q-oK=S*r?l{yMh(*11W*-VR6{Dm}Uhlf27OJ4cY?O9qh2zO!b&Js`_t@g%+xtYTZ8kV`jlqtRR=#tRdSG@$VxX|f7eMHnd| zQJ$(dO@lk5{Dh!Jk9%~oKw$}JA-YH&VpB)*=IG{`G97{QqBLI^|)=7Ps9xX37oF?SMFMVZ2z-be?-1xszpc8{P^e4AL24n`3~ z^QhFOaQ?ft34|aujSFIQ3tAJ12A1*kAxHQQv1Nh7YHQaXu zt7?}$`jN#P@7BoNHs3}Z3||v17c2)NX1SCu?EC|XgW-~ZEJ;lZ%yP*pNP2&9nUc43 z$t}QD!9l@hYbA&-dA2i9;wg6ol2>3PuRcD0tT1GHn3hq_3My|9&U5fh^=|4i5V!f= zGLSi%yJR$XpYa+V+)|xC)vViNd%{=-hSrpUh|QkO`kioxOuI#G%zN*SZqegz)?fRh zf9f}0IK!+XSKL^R%B+`a*A>Iw+QekDj>=WU&9wiBq+7vk)PP4mB9gSinKk} zw%~DCT?}AL%SMJ^HtSJHirGqvZG>Pp>rgffo|)s@bV_$Cv%X)jIFy1bkaU72$iMszPwZ3<sS2R=e{m1ucECtM(hWHc)rmEx*h`JGh#o8uV=(Qn(9EY)g}uPbO&2M0K{j+eh`Sy zi2X1Qk5{#P?ExHqvOP_a>yR;3b5-}k1$vwoITzfXVk@V}#Klm07|Nb|;Lb~m3gVKC zDQ#;h>7Z|NmpyQI6eG>(^yCD>Y)o&*m~K{o@4Nr|Fs8~X@5a>UUtmbY>1k|yQC)Cw z$Qq5Q3l0uhqcJUlLqpbROkMDZMo1LE0zpSXR6EUs#?%Gb28o~(f+#@Mnj6~%1f9mz z1qUaR(U`j6@IW#eQRiol;+L_Mn;jNaT5tn7Ro_337(|$Xq{qw)z zXa34}M-PNgVvk?@1G&NOa+i)8!O}n3cxDB?t zJEw_iaGm+~47b5n_vdsQ?5Mk-cGoJ}t}k8LrmLeT)q{5RKl;IR6^8d}xn$5;%a7^9*h0oAJ<#XQ--|&^7{mC(jKn-Un44bECs|xn0McXQE3zfKAB`2MyXG|3*$zVtUintO-YO~2v+c!xKPm8DK zkav1Kb@7=6({54g0?b8OQe@tXN}MyZ;5DE5`TxgfM98Z*qvJ+xY=ZU{;}W%z)9xB< zWyVX5J&q+IK4BmPGnyUKsWJ7LE=tTE)>>;j42&Q?oO-|PjGWF^`cP(50|;hOc3v?X z=@?Bd)F3ROifhbhc1)%|U^cbXT7_Unvtuwd#v3Uj5Q0*a<=^JGmBG|R(%06vnIDl#3sq4IkA)tyM`i`8J78rS7HXgaxT8O3XXcFVE(T$>av;l^K+j}{EZUbMD>hj zr|#QFqTbqGhmp{+W7?-irqh;h%@QNi5C8Q4VE68z-P46mtJK9pS}^lln^kH`5FV|D zG!#KrsfBw6I!2h6^NKk^2xe7|lZ9#lF+rQot5oV5Sf2tx~tg%~~jtj)$Xl z&iFOnP_gK{-_A+PFLJ!FlizV&^iFUsj~?t_=xguTJYF4g=RF=Epf>33g+5*{?mekg z3sFGXmY8B@Y&A(;6nt{QWjkE(PH%`5aOU&z$;iiZJ00JmaMDPhkEdzP$9GVuC76kW zX#fSQ72~JUqonUk3moUCnT;*|aiU%nFE@<$*_|5GUhR(NnDbzB9_+d2pOM)Yk9Py+ zFTeLwKkm&jGGUJqM%%ZP229ynl3-1zI-wDf+KWAYy32wB+XBS_s$rx7W3>kdb}dK? z=7QFM0mEc7S@Vk2OGOaWduW#+-T1~P#>c5?!CVl$)4WD_yl4Wkc8PM0)Ko4A8XXue z+Qj3*=qh4Gsw5Z0rD3c)SKLFg`ZA-YPVG;_KO=uklR~OUdZ9P3}uv zTxE7@l;FS=WYfWTCz}q~mQ4JGgipo$r6XsPso=Adi~~$d?oFWOta!hawIv8-kj51>;IIfHq(+IYV$Az%zV%>ggb(Wlp%at`d%{v5J@~D|4SY~YO zB5uO%Wpw_65(SxJaicj}Tgd!_ew%f5#P%_R$}}+`F0I}-RKN*kx|T-R ztN|pjGzG8&hKW*RP;#x-GEg=PR>~A}QiMecsZ{a9vY>>TuBMKdimO_8C6v-xP)Z+) z?pXk`hQqdp$JXjIhL@qvHk=PbCAHiSDpL@Tfc^sC2C9FU0TBd<_+3Orl5vX&O8kZZf4nzb*;@=d9N z3aLGH*1lWJ6*Q#^ZuI8VS^J{J#FQ%d4i!Rn71X%0MW^neRhtznS2lF&mZPfcuPtSx zc7`WHr-F`sQ2T~X1shUFGT)uhsWQ_bpM6xPQqhE>sww>w)UB%Wu~w)v|Ll1R83rWl zcSSNnq28@KJLBMYhl86c8;LmDkYFy3xlD1)hyLe(^nLFM99(Om-LRLI0}Rs?cpGD? zjFQK884m7(gKZu(>@GOi=0Q#1f(H!xgoBT;s;7H{hTR2s&e;yOVW|< zI;c7ql1%xyZ%BK>ZM8SXBg(9l$k$ZX6XY9bVwfo3P)LI9MdNNKi9R z;7g90R*e(-!WG@qkP!8m*a!%cjqZz6^x#LQ9A)NBcop*|Of5&TH{nb}z;cwI`JC_l zr9TqUi7wPCvC=TsM}m zrg0X+1DCCuzyXA(N{;Gm+Bm;#$N2?2Oq&v-MnEugzg)lg+)K|rhD2(nXU?<(b3kyJ zfZ(Iw^%dXrd!w|oAL+|UYauDixLn4P0umgVG&Pvr&PZROFhxeTGm+7VyeQ z-vN1d$xC2{X9r}=NFPc+HGzSFFG91Lzje;DV7xtP)|rq&q8k=63)V;<&`QsPcXB)x z(uZ@S4oET`i%x#2%Cj7n8xBcf(i)Q@+q37pgVso0lSM?@P!Q0i)}nZJ3#_eDtB*A$ z7OK8czCW0fOj1_nn9$eIp1rz3PH&H&(`s+i*{eIWU2+~NvOL?xp@vu|c^v8sM8mmm zFH>$Oe2Afd~oUbP0xNGc- z<9P@YKAvv3e^ZTaOS7uut8)H>acj=MH1nxA8l)=I)!w&c&iSN;{YL%-37V8}K?3NU zgbOB&Q#j5sc)wn~l9mluhzU1d|cLY;a()*7- zO87b`sFSIN7-QIOV#p!dV9qY;oraWkih8HUU(=RvfjGurpZra~@b2$Qxq12CUQB62 zUimCBp@J62^BlQ5LBDtl8Y6qu|Rvhfp%^srO?SF@k4S=N^J8UsSUcVH%P7XPs2REizp-@5wiYO}2LObwn}%aj-8=Ws~l(-fIn zdbo0F9#HTo3@EV2`do!KC))2Y(S9SNG2?2N!PWl6n?Li<{8oyQ1X%GLm4#kUpc$D% z&so2WQeIfU46{_ojgv1!P#7Aspcq~QZfrgeEHq%Qw&<+JZKOIEiT3rPQ}HW?*H%P( z11=4Q_qb4M;e2W_ye{Yg-{IKp@u+aM)f$)*Rs;ao^iI?jbU=StYb-P2#gyk$*SH$y zAZqP2u)P-it8g{U1A^zmlY^zBgVjllWZwtbeMUaS)pnhVz^WPKfLt5CkW^1gG*w+^ zzCCb~L<4 zSvDPbDMw&?^b;#)1PWg2nT%NF2n>9=+EBdPs*=lsIRXPk1PTfm1Z}iGB?KqwqfidL zmB*@qjKL>|ArWrKr@V`wo89Rwcha>n0QSu7$CqwDR?L2T4~TvW&jH~}b8;a1)#e0* zoluVhx^s~9ju+nclJfCA|I|C*5hLH9dgp`KrL^;9)_X4x+{LN-rp2)>vNi`vmkE-d z|K=xm|N4h~zz5*UaL~|c{CNp2bk{CrlKn^yhKxLG-T6(Zv?9#X|*G_9lJp>q_h#tF8JZN(q#P}8TNL?93)|cIYUF%6kr^Dvtmj< zqKwfft&mp7HDssW*y;WEIc!JBOpv54Nb96sQ*$+-+UT_XiipY4j`<*dX)Oe52|HEkGeAKPLv**OB%l zS3^UPiR5%69V)-RSfoKubDEC9#I|AxM?vg8QMC zCwe1A@N~yhJ1YmZh;oq}QJ|UMICnKGXS+N=09UD)X#U=lY`56864>*D8o!m9N2?9F zp4^|zCGvl|+$HJ&^Q!zDCb{nuB~r4~&9natGd(9u&vP>b)=y?yq6Rn9H=gEh&-I8} zzj1ZQwB=i?!9u>@`)xn5{mn>HDT}DbU&bj4I7&hmcxwl=NQ&CAUDgd+1cOt3eN^^_ z5aciEg2?KC5Qce(4YDxJiqv>67)-&S8K-}riOs3;T(FEO!6izzBnwjG6~Pr*Yj|pC z9mP*=mvw`>;1-425`=)fLb!ACiqv>6NJ2BwPRAp|miihcN#&BT7^upF9_#xdMdP{T zmT{Lz9#MMVuE`{oOB%}~3DB2TDs8*)edK9&$r2|*+gi|@B=hYF?&G5qLMF+4e++FRd>K>(k}&H`D441G_f&f9K%q4Yv_|`4iqoTNetxZ28+V0n?W6GXbCW zu5bK>j~E*|hJ+5X)zq3OQ_yNP|GnyI8DT5nqqgcb>zKlz%Yp?>c*TlY#e@k!POx3E zG6ASlhR&39y=a)Muxk?lBcav@esZH+>Gax#49^nFCSbn;U6Cj^46abDF1}1<0`MIO zSkVM1QGLG1$^-!UVyPuLuAGA*t(i>#ewK|H3$NZxk_<`w3qhU3Mo z-=4v-*FxRmYYvYRt8UQXD6xEp?4IMhBS7hxzkG{^XKB~>XrmLd@oO21?7z~e0a8sK zHRqxEmli^F4Up(_Jur_Ai9>Vw<**%!rLG^*8VJpnC3H-hhY}npbF(tK)^-OW>wl-+ zLBP=R&;p=;@GbKN^V!K4%Ui0X<0aXcHl^;!;|$CZBN*?G z=Y4DeD=lzfvx%P;iuwG430wYLi!{T5cLxVfyG5;4pOcf9Nlt#i*L}!;`a@%I;1*#d z3J;P0S}d(7CQz&l2ZGn1Wi}98tx)-&L9Ci(1Vh1CZ;2QFsOip(@>OtPp-Msra7%2I z;XqV)a)u}HGxQp?xM79^w}>XiJ3(ujq|@@LGaP8VyU>7O(``>pO=-UY>ROL%u*0d* zkfV;H|2(5sH%w)OePId_^QDcoZtxKQPxi+D_@DjX*b|@BT=yl}WY2YfoaZb0zp-B5 zCrYK%nniig|2|CzULfY@ge_GJFPRq2zea;ohe;biQe{iUUrV;ErQT~>$j%*z(-c4= z?mufv0CR`gma+${vZWBHY^iLA@f5c?Z8o-4Oszk3tn0x@gJMhZTf(%SZ#-?ibB*VO z!XavNe|<)lZxufgy-!;Fp6@*;hq1KgBmaRkoU<*ADs(os9u>oQ!39x6X#D=DUu?nr zN@<}p*HRNe;uJLh*>3#ye*E#aJhZ3KQ~m!)PsSST6imgmwX!NCgsN68~vQW+$ZfnFp{=f!R!jp>Y0I@qL*Lv z*xK`)w#yWr3u1T@9TO-#w4Nv$9)eVOAy`NP&>1Iheh5Wx2vThP$gET5`t8CE@&05&`uz1QQ$ZPsqkFzxLvYm4uZhpRo8}~ zD7+$xvDKo+;No@&3Pj<#B=}K~^`=@zHneX3zp=to&Cx}fscl5RxY{XyXtWN;l9rg_1hMLQ=wB@@>{iMdK8qsM@3tGRv%XwNE!ol>QuA+9vGq_-Hwq*6%h z0q}%?lp4<8o7+-`_6m(G-=_@!->>@PAN$imU#N&_r-B7_M#Gsd%yIfqxNk<+d{JitW?u6{-W0Q4KcYI!tK%r0)}9=Z&9AJfvemY!ffBd zVuB}jusmnC&_P^)N@W^gu6)V%ZNKvJ9F)Mcr>4TPD+YC#l!b$sWVNvl_xLi@WiChr z8JG^K!?K%qB#S z4r+sdO^IbEOK`UAO?eLHq`42UYN{zAneR_HDu|srrAgTV2~P#_TkFy+t2sX`iW`)TjYN%0G69f7{kNl&hT8S|^-~k?>@7 zXXUn_1QU^aBs^t192M5$AVU+adHeHfaad1-yi6Q_yh5{a`odC;lg=;O0NX$$zj1!4 zZAzPbrP1MvmX*~8jm_&+t9S1{woo`!{HA6TKP}YMow-pHqCZJT+N(F>rS*kp&wuyV zK1@@5H5=yPndinZ>B+`T9Z@79#wN08xrSn}*n;NER~#(1pn7z{?PhDE!9i|Y*C*61 zRfAO-RE}juWK2bggJE~DrOJBC$*Z-Oe(0QKq-yjP4;xrIngz^$={8C+H(n$$U0qY5 zAb4tj$SNHPXpU{$eC(llo1a|Fm#=B#E}7z7b*fARh$xbHWwgu$Ypdb5U2H|jx5pTt zu_mbP6{d5^Q5CLwubUcB#Tsh71gi2ukD92wRrrws&Md5j_aSK`+;IIDjR5l$-}A~w zr6na)wRkl}Ro}|4Gz7meW9%7h!tp^(I3$k3OK%5%De%q&7YhMI5rq?=C z0qI8AkNE@`%E_aJx+63+vXf)~o;& z>}d3ScLpbfRoZu8MA)o-1I2987OfVZweK4)Izt^C7^(aVc?#IJeK^Y0%{L)tpQb!J zx_Jf|?+##`c8gm3Hdnb{rpo<8{?<>vct(VVFuASw&Q{3aCYHmmg$FBJwq73r*kZjKrNH|2$M-RoHYb^x2ziL&i<#o7-M#-z6%}82dn}TAOxgtE_#Ez!*W&aodSY zv-U-0g~do9({JO_TKf(WC{+=fl>rlT>oO=++INsbslvww2hmsBcW@HDq<7p(4DvDw z+EFA=6EjWL=m|<|N=FoZi)Mr*nL#&$;e4uLZh_Vn(3nurHwIw*&;H;C_0uf5u>Tu6 zIzIV6|2OvZeWKKG+1kv3ctH+CR+J#^qnQyYTTAaa!ojq6cW(l8CS_~(@IQLU+^_xU zzwn;l3{rT#&Q|XiXSxq?>(%>xfLqr4;D1n#3{G`z;iRnhk=*9!TL5m`mC?-GZ)r^n zu2w+ap)I3mfa;_ivfdv=$FklJ$F~O@OV0!fmWQyhoEI6Mb)UWNfm!#+VK9^DU;Mb= z*o0hIpEfwC^gf7jS7PyiG&bgYRP#~^sb-9XwJ59D(I{P4ZXuAhtQ=c3tbK^W;66R5 zLg%KZ^JVaJ-^%efN+ey|gSEk}geOpPw19(_RgPI~U2;pIO)5VW_Vv7`R3wFQv*Uy^ zPBtZlrL8obuw`^;#^iU*gsFHlDp~p$cWQ zLfS`4*T4iQ5R~n*Hl8Ag&OIq{hxxP&SqM@!xFAQrOEtlE=XhEO(gkqAEqKx;Nri!$ zR>%;7)E6!Ym%@i$dY~49bOBrtcpGUS(R2edtKLTl(gi4jV);dI+c`B|02kci+ekM# zXvq$W`T2^IOsiO+u#{0fpf-0E@dwCZ)e!cEGhxZfirD}*yDuuES6cTLdWArb9R-YD znKkJ(OlC!VoMcvDM{2_hzq-P6#L(0SGyo0^b=Wc5;fgn1bMR5zCEE!AYVG)=L@se3 zzt(DbgL*A{NN+1HnYMhJQDHOn7k})>|3adV&?d#Al%(zi&D2}+z_L(nfg&bVO1jIH zk_o0}>SfacteiLAD{S1PXhK{s44AfEmJM)0AUwc=5SL)ey0ExKQ*qr)4W*@XHc)nK z*nO-hO3AJf!}XM*1{J+BJ|nLvO34IK{zL*fc0T)<`dCqvk_m428x%FM4{*J)97qTX z9u?nabrAK1dfrYWx8PAOiQYn`k3bW7)0RG}=u~fYE2cyZ>CQ8`bo3zWqPC6aldg1# zMs^j>x~viT`KDS?R~^)NnNDZ!roMc0;rSJ+_8{My#?yCiWcfag_dUPd8n9&C4FW&FDpVuzo0GIclXZB~+3z<9ynC_sZ`SQI0zV`0s2*9|K=1!o zfA`<|zHc4Ryk1`KBeK7&-d`iEmKA$A+A6)T^SOZ{E>|)tAnUZO_m3NZ^{V{PI@hSl ztoMNs5Ok2v1oRcwmZaAEpa3#STtzEcBk(XHs-wMEKiQIu!1K?rW1^$2t3OF*tBkrB zj5w#>Uo32#LXyl0lHCg99z;^++U0L_cRD%%iPO-1k$DJ8=(2HryPkJ=>^x&)v2?(} z|DB6tFIyaItqBRRPcJqAdud#7!2XsI`U%U^&-O&w4OH{je*b^+cRw|fqe>3qAcV8+ z1i{hbV9=lKG7!QAK|u>jGeQQSc58Wy`0f`$6#0qIhn6s_d5aZ^jJn_f?Z$?+!lM*r z7J`y!5n2QcECn;1_?w^(g8HL22|?aLU-7u!QbDmp8I8w{AXT#qf+udQ^a16ca zja1Dph=G_#QMkMP)>_#-a_MndFn7O)t`n<$> z!1jrU>7}Cw!c5>A10e!(@eQeiBJb#7BERCQf84Ust;2(I8 zB1f^JBpFSx4A=%egy%PP7fvlnMibnkbVhOxK>-*m(vtSm-#m5Ts6Y!C*4o ztj$7(V1?)J)*w$6x>fKHHs4xIsV|A-1ZQ>w`3Ik2`?YI4zmTKu*aAtgb{V9@e8wln3HiU+6QA@PHJ;yE-11({BQ04;#PNku z3B;f{TW)SQrZ#Pg%Nv$g=m75w&!5YC?ykJY6iV2nUIJTMu}rBw_tjmdukKHN+v^_u zoha{7ys5459qhx%2v#ZF?VCDX0azQn+KeQ}hDk$VXs3K%7hKLOl}`D-F1Q3k40o*2 z@*3ur3U_-N)-t|0+^?1^d`nOJ4f@q+pTruK4{8ssuogo_xkIf~UlmX}tTAbi9`$Z( zRp&VN4eUC&SR9KU_5@G^mjg!^vg;<~l>QK}pD=sh`Q=I1ztbjTUI+kP$WZYnNdk;o5Wb5j( z;PExz@bka+*`dOe>CW9Wr<}yh-Ys6{6(~o$gYBupTrh?e_spxO3Uk5jamiT$pu0p< z21}tcF(y@*3!;pXR6)oLaKu(4NEKED*J%0`!JhH%RADYSFx`DrjTBc$J)}O&p@{H< z{}-N7akP9_k#A)540qCYbXt4N{Nd;17--fK&_MsZv>d(u$w!Gle5zitcjg9qC-m-DUBW}eTuO091vDX zl;e10OV4WOoM}~1<<~!ftIw-@-Cz6@KlR?xw3oNBAnj$mx}Jkk)_hOv7y&OTNJi3L z)~yFWu?+c)6?59l3X$Q}m4Q|vSf#x-;Di@H5s_@0QKI(sYuq2#R`0R(;ij=-PJ1E1 z)lhf0LD!AM#35Lxy}1sc8hB+ZCrL-rc@6U$qkwS^(T7SskGvZs@iPYVpMBRgaEUDTb8{uA6GB6Kc{By zE`DvvtuBB9woQI>cfH_E$sBMy`L=wS)23iakyDd~`8lT+r4qy@pM8c(Ly9G&YN9-T z&p-9fTq^O@J9DW7_mxtKYS)xfiHldWn9?~jAeeJ%m&vL9?yvvXKI}bV)-^iofHlhv zi!kV&Il-8PaaPSzfRHi_XR#21v5diFu;#E|vu_vC*2FLz&{S&~X9#An zX1m;?EC$h6B;P_XgEg3+g3&fGnCSku#3Z1CyVg2ih0e8Z9T6kLfLuTE+oDnQ!kz=@AP9PSMWZh_YQptq@&HCnRh4aL6b-@H zWS4uac0#0tLdTv=BW2@mu{VyMa)w$uSyPWT{9pKj;_TQjdIcN?={5ZH zfJM3_+VP++uF!d-Z9?Dyv3iEMNx3ooYLCf)ctBY4v9#jmB$=M#E3|HLE+fy5TI}k6 z)(G+yI0Vrk#D{LVLd#IT^N>u>@fAERK6QAQ&;rzvOb;@?`&yfdKnvcPt|ELq{wwY9 zo$(UEOv1NT=h45>wCC=+e(tcDpF3>k=MI|}eD1KCKX?4tJa^Z4*nA%PC7!#to=z&Z z)P(eE;I#~3(G8a%T7AxPgE&wx_wJBOu;OqU;pEo#!tgr@W>*|e5U4AVxgBm8=Jlb* zDjg4eq;f+cP#@3jI9@V`vDwc1!wkKVd3_sB)l28cfIkLGrGk1*Ljeqv;N@n8A$_&q zxZYjik&olon9^7EZb6_vp4;hy4_E7bK7M<(uD<`e`Tnwq*PqgVX?H3l3WtLvw2 z$k)&9bUbq#u_>vI;s)Q>>4pWo!rl#FSSe%L;-^?%ZP3VQo3DRzQx&*js|fGz-RGtY zb5n9EW#tXEXgP0{f_?hAXP=eoMI2wY*ja;epAz2?Fd)JN}}{EfsF)I;x_z2+TQ_+ju-CIGskmbW2!8*oQ<^q)}1cvv+a zX+6pl&u>pyJwTvU3=8|B4SV9CrS=eI?u#x=hYBM)-%kw@KqIadLNs2SzLb7 znut+UV+doET40lD3d2+z{eEjuEVP-0Vs@&2rv77#i8i}Xjvchrr>*fTl5{d97529J z;_d;_+|7+#i+fXU(K#*Dzxl;)|GYOxg|Kp~dXs}Ot-`HEWv~SBi=zebw#$-EE_guO zfD)L3*jXd}5TxaG!7^U}9LHYyp`_4Qk(Sp5w>oed*nyZ}+NxNQfrtwtfIveuj2f7q zkyNS$X?a}`?H6nf!-@+}I>m~#ye}f(E$?SylT-$ST{( zIJ9&ll1a(uYeLp`GIEIpgaEPzyeGQmxFNI)vMLsls zjfc&iO&SPQ_6uQU%7XGd70CslA4(pO31TXsl9Wfye;{Fj6Yxc2kV=wJh_6`!C9Em9 zY$eIq%Oy!OEnH8iIuz=MN;2Ob6bB;r!EXdfZ4$9Xh+bFsXR%>5H-1eN;4xbHQ~6tB&?vw`arz)c4L-#n+Mlyj7`vIGQoxA?Ab>c{mH->vXXnarG& zwQMKtT*JM9r}4BG*pF!SO(r+nqduZdZ>+4RE#IfC|HltH{_Imxh13tlL}!1`#QF@y zl+OM>%%*~3N@xEF6oVV3o&ARcd`BpQ%=1ZQZSe%knKmr&fgVIwsTIsn%-~2a-fdrT za3og+#VpoLctK%-_ec3}Yz?Y5;>NyY>Fft-HK3^cZKkWXM#qI(3)N&m%fq%+qER8L zeL$6CA6o6xui-VVN4*Y^{d3f5@XoESkHd0eo-`ru==ltKuK z=WXl_nUA$YE-Q|=3+@(#bI{O&Mjb5{iWMa=GC_<;VDN^D!VO{zF=HVpfsqR?5NnAb znv#a7V2@z4)l;*Hs9JHNOZ+ZoZ$; z|7}j(Y*K329M1U5E04d}6F;I$J1+ff?(WXqO}j<2xtq?Ydrq!l`4P)6f5(RubEm$C z*&*sn)L1@nM z14h+E#~yPg+oFYB^NCSO@Q-0cyOnj##@!J(-+y&Lq*`$ba(JV( zO_KTkp!Ek`H9R{AtvYq2)eZ7tL^-QlpU{jW##+sP4zKMmR)=t%F+^Q@ z<0T5O6g6#l8RlRNRCP8P6YZVJsG)$>k+ESFX0D1visWu71*A(gp?*Li&q4iI6$;Hz zsuEdcdQMfSz=R1zl%K~@L&V$Z#YaYEAs|_xTIVN#>=BHbx^@aa4ux$m&-2pMk9BIQNxh9ZbTrZ{A zA+k*tZ(I5-GM4D}Y!g^H(-nzGbmz2!InibPuyARXV8VsT&Zm}m+tY@Th@>Y{3rf6g zf{}>CWR*H~re%iyt&!J5qLOI&_RtX&Z8E146KHguPg>^{kBPIdv3Ww*p34W(M|nm5=3F7)^JM1vHm6N_XOq=WFFUM0s!n#v z>ceB%-N5QkOZCmL!*r8!ajQS=7R^?F`Yk#KcKCtg_x|bxvO+08g6T!NxUO_pt8bng zIIR{N1fi&CSYWIf>`IQ*E7)Oq#0+-|E-{a{5zJxjc7u^@V$-(W!u=|$T_V1cI;G>* zm^wVFebXB=?0_hqa~?^+fs1HPog$AXz~j0TV}4w00pQnPCc_S9O_|YN8{u=Dx`rKM z7N&AwxIsyjo{M9G>2WpCM4iQZ87K+R(IttTEJ==c~thTk7f)r$AK>X2!48RoOnJAGp zsVHDHqhC=w_^Jc4QSBfLGg;x}d6XS5U~2K_&Ei%Te}2xd{$CG&CJM}TA&LK z!jHQ0X!*lXFBPn)tVZzap)1?>J8R!kc+@viBx~QpdZY9O&}>#W9;eRQ7gK|M$S<^N zr7&vkJ2=-PYu{4*(;e%f<1YQ@!K6*bT+nKJvOi^A)zlL~i<1X}l82^!e^jec)1*kn z>eNxMUR01}PDO}+^#4>3b|N0%?ft{EROQ4W~KA(^VX6 zgpcwHM}>so7FHnctsCM#?IV zElVeL61xK9JAvN9lcFQF>lsZ-HRFN>lkOW$N=M2CF^OBX2S5ySdu$i-@l-P|xZP|tJIk>| zsy#xGYQ_c8JHvPvYGz61NvfF;q?##$h;k9{QqZ+W2vW@y!2@QKir@l1RkI?)SQkWZ z;N^#%uC`XvwqAIfpimFf$(8K6pB+rwMox`BqxLcz)@QI5(T&@lKR~spN>!jII$lB% zzDj!URYgy3NSryJFNj<-$iER?q&@i~+O89o&LweoR}wev7PSUxt^mJG1^8FK@8f^y zr=tQ~xjP*lF(%I^%78Ag;{k%8!L(gg66b<3pbNz#YG6W;M%@LsnA-<{81@B>e3~2$ zK^k=zL|dw6d$*vAYz1l5i=Z<1VdevXSMAD;6=~F65XKS&l{hsHu&~7iM?->P(^j1Q z@`3W@nDF-f&;D=hiBD=g`vL(qM99B3!q*^{@fH2w5b66wsZ`e8#a8D5T@{g>wq6D> z+|*dn(M!44ik|J!8qhV{x4W}%rd&o`klDW7PVV(fe)VTR?zM^fK>#EVS{)@qU|DA9 z8oQdKL`{d&R;I}!x*eUNyCxY71-orV85=ubB}u7{&d|k~C9ya*LwCDG>k@O77y`6z zL9~pGp)#2(!eV0jL5+v z{%^B8E&oavP&GU^nk-GZPUX3%OxiM&sR9+4XBv0`l}s(bBfFKxkmc^)1kjDKrNhx9 zHlP!RPFS9HW8(6yi6N%qXUpd$n4Yh=1=O$lWRLO~!Sr+&LKMODOz^k>m81$Tt(3#Q zCjGt{4$Um#jtkJmll+P=L)UnQaVl!&wz4VmZ_0NI`NaCFyiIUn$ws zd|OIs+VXu$>D|Bn+=qQ@_-tB);Fv@yNjPj+XvOY3%&3qTKFTI?#YP!nfuw+qIt;;F zv9T{BERL8#(5%P@%1>Q*fKe3DsRpA`a>1B&f$qDux{XT71x;}RlR%=OJvEh*3tH9$ zg>@j=YQHrfIv2E|n!*5M(>HAwlL8e33?LS%?LZr&%@ z4$4@Z)dPr)+b>?#%c1Des^GA8TlQGh%k9-B*CvXiWRUycS2&COMY3ApBhx$_1zwB(I^a}xm<8uh%2 zev7F)GOfYip!+)9!8_|FICSDK+TpjQnx`$_r<&jU8~^nezAjX=V)w;g)U0F50k2oc zH`e*p-W)KZX``vKYygy0e-3!JFv5@!6o1i99XVj+c-z!&e-3!H7Lvm89Pnywsw__3 zp95Z^O0N0V;xGC&mK-oL+ijj&RI{A`$=4%iEh6wLH#>%a054x5Eo!oxtJK)k)-*qt6ptLdTK_`g=lXBC-x(kbv(zQ9&9?{q zwJg2Cn&>3yoV~g~;C58W16oYmv*#ORHJuWJiv6sK5&+ zbq8ZVWQJfRS>2=Ek&uhj%!?Td$x%b1_M;l6*V75BQYXE3-R*Q2=Q3f0YaO3-#lGxp z$iyd=2B$6!UNL$P_fE|LPTfZM8vEi;JS635yOyS<$W_YQ1W=%7rB=M{%rbD|R*1@N z&n!dFOh!~dd5fZge8KVn1q8I>c327yDU+v}dTD*{4Ir>sV}JDUK%n}3xM3TbvtUrBMLn`%k{npe z)%Godc%)ZZSOv;na0!vO$b}z+gxUK({G(p??(o^QxWj$+Qew6tiwK>lxn54)81;Y} z7(g5x>V@*bl|;Bcon1w~kR~Qq>aw_7ln+{N`B`byqpi^6^ ze$bu)qM8PWOsL%z@@G6dvgpfwmpw0`c2{V(*eK`2Qn~j7wR_VWl_JH@zG8NY_#S?R z_8SS(;=l+R#feywyGRL+t2G6qvNZwKa3mVnTy@ZFz*_~S#;>%%&&V|~WUWQ1l`hTK z+^uae8Hy)byi@@%lLTI&RS6(t68he_&S}y1b^YF8vwd%_tM>+5J?{hBFd=Jy6G z`g_BV6W$w$c`+(K#u4ft$9scQz5Ms)trmqB=eWE$mJ$#C9YA})0#h_D+_Wi6v|bY2 zO9$)-&!qz(P=kHk4zO>%zT)Fi2!DVD;=#(t%kvaqBMzvJ-(K;BiQ@qeR$%E`-tTlg zx6}Cr+)T%BuGSS5@LC|QbUe4?c&R9e%{8#jT%xRf7$cP^)_DUSzT;L~HF2fSlmsKjn@#xx0gfeV2PUcS=FC~tvl zhoF3c$#;b={*=4YFIO(O@2BM<7KC_20v~_UUD3ef$UCct@|)co9LW9Lb-rVDVDgCE z6&9=i&+q!FpM6ght7{dLM=n;=gm?25s|y`0_oRyRYb?`D;n*R2%>;_=f?G*lRs@GC zE~#0H;84LObxsk4*;B8suig@mOp(0ZdduX`TTy%C0EH)>MBU}d4v)Gok4|;vOyzY! zDzE#ci;SNKW<0*l0kn=<%7X-I`$I}9*1xwA7qMV|iE5QvCs)Po*pEshmIobn zonrl}xE)OP{D~MlY^-cGT_>GnKf9F&v92GzsO{PF{n;-t@<-ML&Y-FCv}U$4m}aw) z-YKv2%;fV^eGBBjnF(+(2|ak>ds4qgQ^{T@q_Oo7EGh;lIVOx z_5eQ-E<@&^hU6%ENL{LG^g*fAA$aJ2E1%FGT9mKv?12zF(7Vf`8q$Gq|JQfHmk#f{ z{L#571x9QRd5C(RI3zx~nExAl`aV%=0_1K#^A(D7S*D9e_PEDuQ$Ju*(NiRSt{&R( z4(c!1F5bHIbYE?~sP(u8px>^U9`7rVCRoig40h+iNzXUdFntNzXHMt z2Z@i^3Ip7mM@%4h|3>@GQ3+7D%YzjO$C?7UyChsGjQrul2+CCcO7SoP#!nCU)e&CJSyjB zL5R11;@|kiul`+8>)Kx_Wa)SIr(qzncny~Ax0uDY$n*$Kcera1T(pAp8CjY*16!;*bv<Q(5E_lF2%8Cdt zw(3=dpoFk z^`~LB2)VPQmu%6Iq)X>#w?Rxyldrm+Z29)s{3)FMz`4oI+4KDYLj?w!$aP}WQY%Tf z+t1D^)^L28WL}!<89!&PbOEh68wV-5SBBB}Jx=VT`tLlkuei-YK8 z86M;Kzbe+Bm(a6#IYze_!%LXzLJU0$ZDjT#N;vwWsrZ}4RV|?9+cNLB;7aEOb4X*lLqc;?x;1kNhpThBf#P!78J%+H0H+b=481 znebC@*QiNjK#%@lqgad;`H&RBExxu2E^H)02-X=5%vHeGmX;bRw##3;$!M@^o05CX z<7CbgQS;#gEB zr2p7bKW2>+<=DZ3rPD=8Q@SNCK3>-p+nKg=9$|2q5e9Gin&&?1W5y~fKxTv??2JWv z<~C*vw;w8N$OaA^fm+Lss&^F1pY}hHA5~mEGG<{3vv~u#G9zRb0gx2BUNQS0gCire z|1mf+GW#DONmQOycr@KQiCj^!gZm*liUZgW+pk^uAA@5kYySh^SL-AeK>w>RK5Ex# z;>7gvgIZV5kr~*p2K%HvkK;NaogVqf6rH(loEzNlBLKzd`V>Ge&kI1McP9ck?f>@x z?#49F)9e6Y+#EwqVUd;)ZQAmEA=+!+`We<%2#iKYl_Q&K6v`pU5Woco=f+S)x*!&`tShK9hN=(tD`fuV z%WN=_PE<;qI%Q{{Nc9Xp3@!wzBFm{U^IWtu#>)v~U`~%ph*l(5OBRSBm5FS!qHeLI zs7RLt3V>!Y1T+91MKTvth>CQ{{c^30s>pR)MPOQ!2FxV^i&?oH<^Z%mkv#V<2^bMA zV7yM0(`n~aS~K4tkdpwG!*!x5%y7gcnQzce#}r^qi1BaPl8A^Q!%jyCo=v6+ju^~oKCc&NA0SkjG0Q0+Urq$ z;bi=cS2fH?C8X3zL2t$sG$6R#Bt#6dY7zoQ2f#Q%irfwr4ulSYTsk2FyK^8tGD-o= zC{1371J7cVLJt~vPSWwm^P1G86eE2dzS5;{==D#oQ>Dhe``7~BS|G({6UGq__W1~- zy;LJ%Ovil9k>*UD@cu!a@KHbfkN)jN<^@6YRo0V96ziV7UFYU6v4a!2?ol z5|W}!+>Cz+(v2#DWdiOf`?0lbZ%5dV;Uh34C9&|zN-pEnG}3-*l=jnu1ML`4dF@mR zLE2~+1S=Wm{$fQet@wTj(nP!99<#ks9Ld2yXq6YoECj`ka!Irokctvc$NBujYRU}l z4GX(W2Ju4UyVag{h6jZHFEV*^_tB%!ePoaDxt4Tl zu5Q}$eSzSw{PvIetlt;8I?XA1AXu{T2XN`W1ugcdS&)fakz==UXjX`T;!h6egy0%Q z(Ri1$AT^k;7$qG@*0q8RG+c1I+L87FNxjv=N~diXl&3g=r3M0BMbxrj^X;6P8mz2{ zYEsRYp&Gj_fuII+LFQ+*S^;{gtxur_b3uFqYA~FdHLK0H77gZ-D16664M<`RUQ^}Vkin^*YqD=yL8?Fpqst=vtYYWJNTF}z zlU`aZF_1GZF$$+*S40>}wo)BX z#Yoaj8OI|czT2`5nVXc(kD&0J7_S}6BtK7}b`k-eANJV$a;~zT!=Aefd#2r@*5J(v zw#y{gzTl}p_vwG(cNFwky^l`VgSn*I^n}5lZKOaj!`*tDOogWI74STmkUV*%3VXE6 z1cD1rsHz2Nl*)>z##AH`l`e{S+Aeb%T=0mFSpkKCO?C#;Q8k}9*nk|cZA{OedMP9&vjGXIj| zG`M7hQah$W^Y!f1nN0gla&4_04?7y4E+wNDdvZ)QvqzujCfrVP&7S4i zOuyrWx4oppvZY4G`yXnirB|d5X4);9&GhYzI{(n^|Ma#0__q}^t=VFa?JajqgT{;_ z2}71B&Zi}?U4~8;LGPJG=FcF)5Tu!Q!KlL>8Jij9wLQ}?8Qc4cfDkPb@6aQSTB`^F z>l%NxVogPe+JU+OG^~w$x|GS8vQC_u(kXOA42b`G3sOK%G=8|sxi0t*6#<~26%hrt zpdi9dN%E6@biKIuq~Z&ee2yBS&gY`-^KDhEwebs@$bSadnQO-6_C-GIF zFGZot_ZJHICHTLgtNK1sD(NrRTmJ8yr+5Q8h|YrUL`R1fQ=qq~F>Kx?OWF*5-5vC0 zT4}`8w6&GXQtj>pD*1@yH9 z!X)-0hLVXBWr8SK)Fcl`Q;Z-q1SKD1f@s{*k?cUotjsY4#jP?y%p7LnB!H^@x-)4^ z@?|av3MznUM6}@eH`geDyI-SLfdYNn_>6Zy^{DZqeGt2$#;8dJr9Fluxz#Dhh0)2o zdUmI^?!@G|iR-%T;-n`pSTgn53vNivTelmo>YaMs4cQ$^q|qasuU8(14PN;M@K7d%C8d!PcmUz04LmWvRj`_wAiM-?6|EqCph6Oar2Re zBZ_yfhMNv%T)gkf^v%dEnr-9sTXfDKp{q}S&1b$bYDu(u)MHJnB}I9?lwS~U=oai( zW$W6YwW8v@6s6%>N3x7j8kS1*!wyL-F?n|R2uf)i{mWS82foY(W$uk2)t?Kdrh+a> zBK4dLqUuAV*CQ}7jWy%ck`{7FVbw{xPP#6>CccpoDx#%9d&!d|eHWKR4$f#2H<;qx zu1V*`B@t(9=#D%vn$PmtC%GCL*q%;Z>Hera(d`-+)W3CN@7DcLvHtRte%bzbTh@8l zS9}t#Pv0k=V>t3|>^f>dhV3%BIWkuU&^E-H$q|ss^<1UGQuVOh7{ODIYKAqg92uR_ z6OMpvr{mkk0K~c#d^pw^fa?XgQ;KG8qvQL01koPt5z{q$7t>AG#l4GZw`le*rr)A- zyo=BOS023cw<7Y^o6+$uF5a>@*ol~}*M6uSFdHw-FFV~0n7xbRZf|8QAWdyqRkL@& zSYNR5?apVT*|Q3NC3_dct$+-$>^OAR4YdN+-o;QWVCqtOVz?DB`+mg%LlI546|nLy z)@U>k-=@(Qq z>|p344=4?m*%7Ei_>Ln12>ebR2|+O8`blC|jd9ZvfXNZz`yP%+H!X?c+(0DYX6)vs zyXRiGejv0jxc5L&9t(Px*%7z~p=do8j3dKJGww4t`t&L`!BZa&>F9b6L4=cw6w1WCA4xS-+G|4E@*;ZK8m?V!C zGX#IwfUi_m>_PuC1iwddsy4pu;EqTDA1ey#>R;xFG`e8*2>CUo9fEWOYzssLV6_oJ zRH1569)bchxFq}lD`?%IhrT(twyZe*uQ>|h|7+{Y_ccdNu^Pbfno>2Q+Nmd&H;2$e zrkHYil0w1mF?kqw(zE9U`MFuNbJ9~XaU3NOfj5Z&xKLiZ!}^yB8HZh}0%ED3Om-9z zcSUx>dY*F%UT|NCF}hJTU_uXJ8|1ny0SK*DJ+*aEtg-izEiD7kMr z3{4BUskH4zlsDQ0OOpmV9S3_wk$0x`LmAuB2lCieSuL4gBWT2d*0X7Gw-&nAe2rpw z{nR?19D<0f+iMiVYl7&CM~(u(IlP1p>A4tQ6WoD|M@|hxEi9<{)M|K5(%c9dh82MR zrms`dn|0(@CbVWs(D6k(d-4>ju-H|{`t@S)1MGoel16I zFThKtOIOJr)TM37H0_KFPG)x>ByDH-?JGuCNe^i|!|x(EM4V19pa^aUc+=?x6u}|d zbb0|UILw+(l++~)n9$3bPA{NHu9iz>j0ccW*PbJHPcOhFx68G0%cEhmEqs^Q$|cc8 zt8?9uEVXV{+XatGya1O(Er${pc5nEo7f>v&&uZ&)0@OzLl>e!Tp1lCpfUNJm0cCkJ z2p?F0fbgj-Fum8P15A5a7FcagEeo_aV8j~DiTml+=;Dd{X}4&07^dH%bMX3K`3v9q zi+^lv(lHE?O*%#jTdh~5uybnIc-vozvcSP2J}MSZp0iKP^6|$7zf3NU%ZYn7o*6MP zS_T@yDsc~zU(EpY%^J>$d+<5vIA$_T)unMT**S3!2mnO400ZDocT4!H#Qo_KzER_@ zcKgA@YS2oV#foXfPR`z)#fqKIkNY4Pa`Ew6;_fEO&Y?IzH@juiFQ&@0WA19=#l=ZI z+&AfK=f<6KhBzywhXQ`wjr3e!nIjCS2^`v;!p~Ila0P~FaB>mE=^I|0N>VUr7`%^^ z>>Xa5YEnjq7pG?%LzYRo%r*Ol7pI5&3LIxTy#O3;o$?ha_V+w0>Rhz96EK>zmgo0H ziU^7%CIw2nDC#~tGq;88$|dm{7(4fREz=mPHPh35g-n6Et|(2mNq4pgoLtldl=u2- zzvi?+DUZcei0XBn_8wryZ+;K3X-_{!1D8+zGl|vBjrRb@zRdT41BX+KC^yr4fGeur z18y6m*^SigW z24I~|2!V=cO|~Q9v<9DYPS}AenlD5YzCfTpp4;hoTyJjI2VFC(v(xc{f5-K&xgEz# zT}o`OcUNEsim$WA*QwHhYreoKf4IT*W@_v8_G*Lm0(gDA+En>F z2-L@OJ1%&$x!OQ|l?vR=@@i9ke+bma*WZ7$y;|q{zgb^lzHz>O$;Zd1|# zpRK1Y#Y{hj6D5IM1ik)ZOz!%bk)gt6fD_Mi{R|xP&N=#~H&q2bx`9In2RE^7q+Cu@ zQgV8z69i9HoA5$U&#_wQY^w5=PBtztv{Fe1Do?&Ej3B1mm43PMGxYtmJcL(mgPwK9 z*0j6AL-{?*p{&7r)!!A8TfF!cpZ#k;74+Mh9d0XuI9*sc-cTira+|swNA$E8&tSZ; z7WkkzrY#u~h2(;um=MQ#x4`g?W<}9FCWzFTc`JL)Xsk~yHmxq50Y&%p`5OcRxIU#Ve4=*MdVv6>O6H$5yKDd9p$}TXF9dKeL7g$wyxWH|ZA&UE} zkVU|V6eGxXxa{oq&oLTH4l9>iC#I$I)h>6ZWDy)r08L){ zHWJ^2i=WX5oqo>xBpObn1@KEEqY^IsgcqN_ufIo$Zs(eLx`7NxPI=7;9tv+A~D115M=r&UD^cm`Sl~7#HqK42bj~ZAM>#iK9z8z ziMmdQR+ld1f8US%)!#FLn@(m=keiNebM&Dj1x@Sk8E7*yn?B9Y0-{0183%zUpg`3)>f;R64_yHAq=QlrIaQ^f$|Vcp!kY5AQVvGdJD6 zI)Lc6iSPIJ)O5`WD|IT?xht3Fakr;G_{|^vrLT$l{5=w2+qXCzrd!N%Y&ckmlMD{l z&t#kZ&yQ#dRH zX-{1c_LkQO%DIh`;DjLUsS6^zj?5wj(FWeGNPFsn;Im=Q6xh{zX%slIBJF7rEQ$6V z$Zo4|J!&OOxX2G`{ye#D$kugT%&yig16=l(XH(c%EUX*Wa+)xc7nRW~?;nQH190K3 zf`e0XIT~l?K(xsPbs|YbB6k`|zTDIy;7)2VQYb1vkB6e1*N0ZR^Mg%$ zen&L#9BfW6ak_XF+O%6lBlSw)0gt0>;E-ZAm@iGS(M*H_VEbRzM!U<)hM8=f2RZ4elY>=Si$$!B?n z?05t`*9hjsSIUrqD60{quJ#qn7*`ZnTK{mIx=MU)Fi2HmD2$qIlXE%o1&$IF3NzS3 z3T%k#3thSFai*Xu)|{MUAmi7#L&J{7c{}z6)mc2z)h@Z+$&yIAVYr9t)%Z45#V|O- zby&v{Lp|CeZPC?b&HYko$hVt~0G;_VMOVAzez)VuV1A)(mvS`Uo;~iL{+Npm4r#to zzCUQzhIwKd5zmI2NdHEox;kRFs8p!*bcwanU#Em{mA)=#c{oBxqDL738b{qmYqIO- zo)~Y|*XL1;N-{IWcw){X#=D`uwr6-mq0TYhD;VQVyG5^G?yTaJP zBlQsMc7FBCl?D6m3J;lkj8YXRvB+Qg?O*(d-}a#;Z=}||Q-ptUEb_1iawh2Jnq5gX zx|y-ALC`W|k?8hkI*28O-N8#4iv$w`9Tfnp8!!nqr_M3O77T{kUXGfIRxrmDgLr9$ zMWQb9G+rtP#(s!$GG_W&PiNY??3*V~y>k%MbU;9g!`B8Vc z^DF+V{mGdq&joPhaG&->|JVM|DGX|SXNn>kbOX`;ixw#%M~-qGv?GtqJP@!l9e^II zs__EN^Hs05Y$rby-4nUj93)HVy-&G5G|yMZ%XT^*z45v61Fij<^I=ybht;EbzCNDY z>3EqA71z(+|Elq2q*uQkKE-!ChCHAXAL`=#avcu}{RU}yX`dB;C2Bz`_iXMBu{!Xo zDaIYL8OoiGwx2^9_4Ggck3aBVj^0O1IAmWf30d&|=@zsC{1a{M-Yl$o!*+Qss~naE z*BGTBE=>s1u9p>$E2UE29uCsdc=TOZk*~fBg8c@)kO(B*N8G(^j| z?Ybm*9<>OE^Ch$;CYBVp%Oww}+?B5bN}s(|1;?8C=Aa#gL_s|JQQAoJPbqGfuZamx zd;FFx&P6d$b9ba%CnS$Z%!_2HNshDU`-4%{tPIAQbXVFns~d!7cIk`RQLVR<`3{)} zjc>F@-B2r;ZxNtKD>q(wuWkB0^F0Ec8Tr70AMQE)lD5(%Z^iKm=Oh@l+{byi>9`l_ zcJ%RRn}c(m;A#8QO?{pzke{AnYHe~`Hl+RG$@l0?s%)8v;Jj3|$s-e?ltD6_4SHKu zmn#G+XGONdS%Gs?6C1%hJB!!|*PCmT;ftN+Y>D@tE=OFelDEdM1y}5RXU87sfGv70 zDV>hW*{Oi4y8R|wUw>almTwI;WBc#;?Em3wpO1zKrT+HV-iW}#vbKP$$$qM?c!qCHFg%n7$W_psZP-BDU z8?K2_QZ+NhsBb2B5@jGA4&1oU*lTl_UHF2}T~wg(QS}q}bBFpB?|#YGbK~c3pcI}L1cy%ma&!1kOhg4A)v*Flb; zN-B^*cbLF;L)1ThKV}h_=0nGWWf3Gmi;km)akoRU3kKj_b-aXQ>3D9Z3l>V=I6oM7 z!C;%uFTqzjp4;ho$$F&YkwvM10Z{N(z=CY2Z8RK^=N`N7yv77NcTup9@i*n zl?e{==ZeDgQ=>*kTq1CVS?(lO6wPRY@Plkj)nX^(H}k0#tujfJfMA*>(-&y*Ykx>Z zt9(u5ZQwgG)v#`6X;1Tr;18)UGG4z>fTZke2SOBnOD{~NXX&p~$P1RUG%HV$i9;xz zD${$~%4WFg@^DqyX{lWB*T4!t+%Q+Bw;x-l_VoAiTqtY8@>IPN4TN1)m(BpmZ##ppt}+C4-@fdXGDOfL$wH*-khiNQmRsaJ!@pfaGCyu8N}?Xw{o2iP&M}2M?nzD{C4(I3x14nv?wxw?V=iDkwhX z1^GGl#HT4TwRA$`y^_cO1sXq7e_x*Z`~2*qy%vw23j5A~@2`EsX9UZELeh?cdeSVC ziWuibg_HAeqQX33w_34}o^#hh5zq*V3Uk3oDh`iR2b&ui1OS(=7D5=BPc16URz!}D z^&3no5@4;bQB;@-TI(gJq=OHk5fp#UR|IpNC`FLI0za!)6cuKIMyQHY)1PewtL$T` zL5BC)$)#4=#{_2x78R(aaHhoa}et~gXa@ye1f>Pp)Gg|eP04lYP> z@cJjU&_&-;nXC%7c6UDS6TL)%cNvN_!Ch2uRM$IKmCuRQXRe=q@b>4fzxb_BebJW& zuq4es_mtJ!OOJb`x-*da0|xczC*7jfqn{J2mr1OC@c*~}7vDEl(1e;JR;1EXSW2cT zTbOLLD&M0ugfHKvXdQssrLa2Oi@6lQk}y$(NdT1gwx`Z61$vs4kO=&*k_yW|Pvueo z@6q`uF#nwzsmi4QQG(JtA>>-NC$C%z>(7TnvqcuNGU}~M0XlaHMUi7{s>nvoznasW zhV$ev4W$~<^Uc4miux$~@bV)vT?l61KE#UhhijO9`|x6G@h6)7cC3lG0VyvdS<;kO zYcqH7-Ii4%Mlx0P0afN@Nw(NXCfW{9hm*(pu+6q*G;n$A&k+9v)O;)`hi$b=@GANALNB{stYVzr zX<)?f+DRd8zlxgnw6@Uf+hM3W8E9>xB5*-~s@he<))s{>P*HV@VbYHRl$y?~*9C#v~ddu_)A0Y&T9WX5S@`n0&35xu$bgf1kQp29sk1ioHR@ zh+0uI`waxpjr!HH8YWwHi**Qfq3V*T0Z zU(o2^r&(w6Q>rwVyP9C6KU9=x-k$vtn?cb#ZVUO6XaA%B?w7}+sAvm;;%I}T97UyW z4>Y+q9{tOYqS_dtj-p-#z%1vG7}o35w+TeeZ6U|ifum{}8=+UP`6a6;>LS4GXcR?l zq?%_TT1-DL)93SJs8-wQn*9)JzpU3V>XAMYOSYU)z%~>>4NcqkiRVbbX-^V=R;CwJ zrgH@K{z^A6M^M11U$Ie?KlbzA^FzNC5tQDHHWwQvA1&i`!c8M6yN4K@>EEL%fuI12e&q%r4WZEz%dERpVPjcJvO zMHi~9xCcc~>%U~+)Jk5E-Q6t_qSV(|0jFSt)xL!No--*M$!zjr@X@$HlfPfdw6Y3} zmG)%I1fv3wB`UyoQHI!l@hTT<6#Dq)ht&f7CVrppn zjq(j*>yfO9?6QyuRNpAyp&j@_<-3CmtjQT)-ZfE|z)6lCqS_|Bn@;IXzvBTXMSTvG z;)E-q3nR~KA6f_(?us6@>mJny{Km?CpUhqHSg*%jX{LY8ofj*4*%RQ)?S%016_3rP zbAa$O=5CxF`%SZUH;(k~J(H0?CL1|v;4BQXxVl|>vL23I9 zt9JlrVWvk5XYpC^YXpF1Mb;arre?(qrD2i>c>#e5to9wj8cIV&kaDlA_h2WjFS3Ht z_G`?~lB-;^>ZOsSA@IvYmAaKbE|HgLCG*`u(T)OnsBrh8kj5r6s$f-{S;01#mZ^9| zA?c3X!LIV@R zk~S{L0Yu{E*@?Bz&VG&`5dI-(VVtvD+e9(*Vc;m_@B!fv13|$+JEzXk0}@K~C|GN| z>IAD*d{@dydn$}`e1|hDK^;yhTu1 zX<>QMOV|q1^12{uSYVlHq5<$}dOfsxbEDpQMW~W%4@^+e zbuR)X3*ic`@!6Q5J;NgrQU?>9P3hg4(rLG74q|Vo%=1%z;uo)%W2O|e70MaQEL?2? zG)d{EVh8SY31UHlWDMrE%dlw|9JIOFl!6t_l9bz(!Q!!EHl=$QP$~0-S(9nk*@|aV zx&@sF`~py&fzNx;lmeXA>>n6&+i#ssDbk25wYg}wXQD1nJ!&A;ko9#<=$;1jEpgll zQ|5p6f5T$MCtdj5?3u6V|HgWKpD2~=V-LuS7aGn8vbMMd>w9m2f?vwmbtli#+N($* zbqXw@v@5nT=^{u?4sBOK+l(nq3%M&pkdAahZYP7(B>7OGcwUnRt~axVu}K5#2V0nC z!Q%X~oz72)BW+5aI_x7LSO*b-sr(fLq9Fo&TS)P;UOjaOmvvboWDB6b); zy|A6j*3xC$X=6120QbbJ*><81Wg{8W1G3faia89$RI~#e0mh7=j%cp2vYp_}l1wo@ zhIYj&42A1K02p=~^K)x+d}%8y77~-Z+}82m#>%M?eWiL6;$p@P?Hq{CfoR<^(LblN z%uaoOt(KjQ=_^?+`(^L>CtvxW1^JrZj26>1_5j$(1G@DZvyw60!}_2k>U$Vf?UL&^ zrl7N4i$%kFLbnsKTWw6k^dYa>TC{9T!}J}G#%mU*&c@VuhG5Y4+m3n8#`K6re_SJs zDJDR*aB?=LR(}gYxEk%xQyJ6!kwvm%Ojiy1d!8{Zrm_D6|H}W=qUP+Vn6T4UG%Q?v zQt8_$P>OT#VpHJSQ|vk0(^s)QoxlpjXvwoABe8EASh3jizxeo* z<#e$*6qrOID~`;e$VseD^ibtOx;E=EU@khX3F8erE_|iOHqf@B#|}$G z2X)|*^%%HEv@jFwPS#)@DzwsLp}zMdL#vmRVoy5|MX+c}5RHeDl+J{ML_aR~UaRTZ zAkeOvtqc%H@@z6IhZ!5KWRBT(7($5K!{Ei4fBhSsr*BmUPT^Kl$+j+3{(60eBGv<@ zH4BCsjZba?{NLEq_sP_6n@@B65XGT@-L@GwJX|fSX?P%At4QksqXDN&KqICWoP)b5 zc9xFEIK2v}6sRrXjgxx=a8e2@8poKpHmwu+;e1nAbzk4HKhlURVI&Yf(4L1NT?7}z zcLmKq3@R?wkjM}e7r`Zi44Y*+&9@O3!6gf80KiRgJM!0&d{mJ{&5Yy_(MpKPMfGJ$ z>4Hn{(0U_Z2o}PMQEk_~QNvGTC`Pg}`psXBNwsV3?JqU}t1|g&(=nM>W%{O1s)(EY zxQgp-x1RNsA$OBsuDDN7{zi#Znd;`=|DAh69+939dvr(aMyH%+YScAt`M#*@FF*C* zyS_F?yPv4V$Bb<_;mCw;Rvo(LT#FwhmHTCfS~1t+$1q)}0mewVC_UHWL-SDgBH$bA zwHAdUSkJygJ}thR^(Jo*UY&4YOK*K@s#GgcML*#dibqyl%7nsvjZ~>FnCAGRM50+y zRH{oJ%OGDcPj@7%TKt&g3nZ>xGxu7@B;WnA+d*C3o!y!o)$B57_*H-NiuW1}naX>O z{_Rc&gw_lAuc^K8bkuh;r!W6V;zIj(N1X}m4S!DLp*r6^-=X7vK~^mo=EXt~!)ndy z7VS1Wgxc)X*huY4C0X4g3{J))%vOyx4$0qr)SRfY?<+N-`c;MBkjv;HIfk^q+|a7@ z830|;P`z>`oZNU?+DN-YdhZwHq}_6?ekbgUmCVw(W$EI3+Pod{o=(ZjbsF;2raFyw z3?AB-&k4{T>-p}le^Teb>1!r)-w-_)(r(1cct6fB>$I2gw(QWf<@@Z=mwnYY{`3cq z+Mz8n{Fk*uN;HU{5_YIo3!24n?Ugt`79HJ5*S-jNVRgcE%wX>UGoqYH2%`VDMP)M1g8&1)MAV6NP@5Gq&SNQUtk{D^Wt?XVFhu-V zq(#Kr&)1l79*oV=!PG})!JAW8I1hdu4v{7z1Pjwj(ir#;1oje=AVCstofZegPhR6Z z3$W}{atoWJK~|EaG4M6fZpG?7U}lSL2di$ zE8idt1ZQN6vm-WA74O$Ms^UXC8}kTg`_*yoc5124J!_;Im(Ty{(he-ymd)15a&cLA zO+G0Zr4#^20x1OmEgOF)Lxh|P-+(d)`nEGf!j+pY7#X5!j`T|Wh7_@IJ7uVFy}1-0 z38ht)Qq#FR>3F{KaXc#7t4)blBg2Gz8F19IJGboly)74i+VXua{wMtT-}ryt5`{{- zP>bGUye$B6(dru`M)w!8*6O=8URl+_ODow4!K~HyE2uRQ#I%nV=FeIK#6#pNg3iK} zx)$%wN@I6iU{>8ub^9_@mrIKU{L750 zAyqA>c*K*lXn=Rt6%pRcr@V`wo84)xSE80EJCKX3Dm5*oMM-f;b|R&gIT%EO2y2>w z|5>Gy75s`9RkqWM+UCyDb5kh_1-jDlwW7d_j$C^v8n)zA1Ls8(Hd2K4x(nY5j97RAfKGFqI04FA~0oWyG+rz-~q$H zq2mzw10ZQ@Ecq-IL1-d5HR~AL@=n^HA_yl7o+~SKc7jyLml@SaMRimk)ML2_PC7+T zT}mc&AXgmDwZZD)7o1!3!hu8S2W=XrFJEm|sEgrtqlnU`z)7K(r1|cAy=0H@Z8<>G zmhW?bzU8GS|K7h56^;rEx?Xbp5arhlYnf|P^2rQ&WfU!Vjn~HxZ*K)$2iear3DdjYU(9lF=3pmPWCS6aTrg+ z?Sozdn^pT|s$AOvj-;Y@s7dJHkzxWZhlK!`Gxz|o?&+^h!Z@MBi4f$Qqs$AoW?-Da z>^p1b+q2#6oPT+X56znS{v1%+q1U+sY~4!c8x*+duFHw0g?@IO1vf?ht{Iy*NzbcR zpEz<|VFPPAP&nKC?1c5ZI1hR*gp?q)y)|e3gv;W)dmd(nZQPBS2 z#Tt(6hVV7YK72+0w{PY68>Lb>)3sv9t6V-0NCm-7Qt9e!g>SD!BoX}p^FR_jz+82Y zD)}lFXh#R>}Ui;bmefQq$`dhy> zlB1!MnnD=~d?J*QRQUW*#vbAk_1J+8E*9+WEZDSLv{gm?mmf3CTOTsjBnkX1?zGK zT9l`z@syCwSHvV7u{Yo{F%pvyl!&dYNb)Vlwczz=Pc0#}3F5+#T!i2O&4rrkixnlL z_7ztf7FIb7a%%}r7o243#N^m81^ukU^up#~mV#`!z2V=mFG=nVhm-FbJ6N-&qL8h% zBwdoV?y+yl-1SuyQgfW_*5?vQK&dx4lyL&Yo%YS&ueqjh!t&J36PIt*IKe9a{ChwD z2VV)WijEd=736bJKq-rHE^ttxS&W9(c9{xt!SjZ1HFeb*Ngi=fLy#)S1;H#xxWh=b_6~K`yvIqYp|?t(g2QNEK8B_e{NUMGVktSELGZL1wg&hvFD#&=xkQrV4UF z`1$nTIhHKHKq#5604qgf=LaJ8 z0^FANowj_R_Wds(edcGs=TqMHwtMe*I*g2KU-NeWR|99aD%G|1Ji05?IDi-tDOi!i zehthu-`Wt&4j_PXrlz+$<5Ua5>;Reyq(0|J`+CLf03MK#kPv{-g2e8ysP^~e()({l)?k*+pj_OhZVyAG%5Y#Z=BoAP*Q%OKB6(N}<;|8qZ zbRM+Oj9(IVs{Y=J8+Shy4XLmu@Kd)yj#rWsHRXdx4#0Z=e^s^K1Ay_82m0WVE6fMi z<&)0>d-PzKvM&3#Jw9%AnyLoz;1Py>@d&%7YtP%1?F;z5MqxLbTrp*CyzbzCX$VtP zb5(E&uyX>U&kwaCU-VX7op*2ny-rpNjUoKHI76E!wt7fugCdake7xU2|E z@DM9ZH%X=k`wEei!tGgIY(pT;zSwp0ky7KjI~qwUJ_Y1hp62=6@k zpK*4$ef6(2?IpM_FTr(r3Gi?ECBUXV`Vc9f{3XD@4e~ZlehF}z{1R|8y#zQ-^%8LV zNnV0?o6CS3q}4b7i#Vh0FB99BT!#+4QxKPt!6XAo1+&`7qm_IOZilT!$JRFEKQ^Z; z)bY~^wWTYLm+f@?mQ7u8{_W}tYCaz?k4!qA+v#{*Z-$v|(Ii&E+_qxE((&AmJdo*f@O3J9>$Y*dcDUXgL~r+3JFFimUK3(qF4= zV(%^pWbR|{?wI&wcFB_8yN|6Re9%o7woPBEXRm1Ea^79#5LV&wdmp~=LQQ!2-seB~ z-0!=>UBmyc!}%+MFFg0MRHDY@uAeu-J_b!LT{tv#sCE*@teChh7S6{k*_#U|^`D)j^ zt|D0$ss!bW$QPJ=SLhKJh1dDT;;!_|l?(3sX?X~*+J0EQMbqvI59RkLhw_`f>F)}2 zJ^#&L{yTr}zYXGQHT&IYLuTH>^uNG<^EjJrGr;egvlm!X0B$XbW^$ z<3}X7>?vcaVYGoFYa^JvGVoPPGd{CNT}M7SWgyWJSBr@&jPh+(OgieRV2i=@z&3PB zE*YAuc4Xm}T#sds!hNcu4Ito@B@P)J>+njF$t6SD2pvhXCQxzhRaVi)8DKe;+&Oos zuQ|=p@>rup8rj7v4wlCl{T)9&{(N??n%%Og5ra8a5mKP)D|?Dl6~d2Q5q5>r{zczr z1EUA*eWXU)0^b3gpHo!rBy*(WCV3RVZU=`MoXaN5+@;}#`1KtDo5yJEOkU1`^eNPd8R%*TpHRXmW|r5f&-?i?O!m3(A8pV!0V9}UzoG#QO&k12 zd$eRUf@0Afb_+rQwdj1K8$nS6CK&ujz+|_WU$N*eSon{Sa%{odV$of&@E;v_pv0-a zj9By{==?_*vZ&~*0B?&$H^Ibzgz?4AifYkKGWd`7E!x2(% z?yqFHw|X#xta|fYqRY|?|4}$6$Yw#2CFcg9?OEwA@HORh3)5Xyx(E5I$~ltlbbfm9 zX;WV6;vB(sL-K5XrD__Yc=33qSd+J zQv`>4S!qv;;N@OcCDC<3qlN_8JnF?-thHUZMp{!B1i=>E0w(8IN*fm4vG8N4_KM_Y zt@BjibBGgFNm2v4B-m+))4|Dso~PBXB&i%-5+ssvAaHiza60o(#N%;Ebe1Wq@U&Wk z4JNNSYV~Q``z?O@*Z%y58T4z*O}HCyeS;=mPvNDcxT43-dR(p}v0I;y1nNsVaZ?6j zrX)nC`@f;LV%nrKtSu3WY$r=70J(HROuVe>NLr3eS&zn&%FO3D9!Zf|Sqs#?D!Kw@ zQK~u$1S-QW+j0GZ)K8mL0pcA`OPR@@P)T!uOTqIROmpN-{IsBCnePSI@*^gu;{{B$ zVAGbTvCA<#(x#18GB!*Aq9;gNDc;>JXYOABnK&%CGya~QU#5>gIK)|;&dQ~)o%DV}!8|GEj zzW%NETi_F@0FO+GG}oA88F11XJ1uw?+AmYZo2I8>#&8XtZehtFdlU(1i|W+FS&%>Z zm(&chNdiuxy8m?C$uO2mGG_x2K9iz`Khe6={anYJYRVvq{^mM{9o5qsSj}Rm&B^8) z)75#=Vmq2hOt1BcgD}_C3tUk2WfjET!jzNw>i02&u&L^I+VXws_ji5q$A9$G#tL}W zJ+I|9>X%Ceyc23j@M-(YZMlG#Fnir9TFUwZsVHbEDUO-D=;f(rpAq$ICBXw|)3uHT zZPb?AME$zpftewe+x8gS-h7!{z%xDxK#+DDqp$H(kLsZEzUvF#l*Gb2^Yl>G6^)9> zyUMbSjGiTfAfp){GsTjR7}2uTS^Cv z`?O@EEi3{3HDY4(yY8rx~ z0$mc;SkpZmo}^4{E;(v$)&3dOy#=Baqsb3y0c&8d{#!1}Pm$4! z8SDmvrBG-uZ0<5cc3vt-BG+VfB|HtQD~Y1{?!7&z7qp)z@E<$7GHv-j_x|nw{*T}L z-cVthrt$2GC2ink?6mfNM2bvXQ*0MBQ_gK4put3ifs@VpVnUEAtgN`&vw;v4kw`PB z3JXE1Fc*w$IWD42FjIxOAb25}WI);x83Fa$W5t}?MgW5S6clo>oZ)S9+wu-kaNQj? zn{(SJ6x&o+sdZ_&iy@ZDmH!o7ez>QVN zb-Mc%_WDLm=oKas^p;42_C|P z&aC0E(y5y+r>_0t*FwTOMFXk&foLG@z4hU$Q&ST5c8;jTKArW4pFcd4QWDB1;yTON zMG$=+*?5O%>I`OmaguD8>8v6c(=Rz(n)%xhq|S0dC>Bg4;EFpEPEv7%Aa#}t4iars zXSpDV{MnU-#{ze*U6GGp5gcOVrrYI$d#Ea@8L}&0eMsA7^*9$ip3>* zNpyR*w^1^}E{XKJR_*}nG7x!MGvA-RVt@eU2486BI`a)e&WJ?-N^Zrd|5j>c?I;5 zd)<$`6k1L8(M7SV^_+Ewb6+M?hM}T*hPlXaJ*qDgFRNetA6^-HPg@h{+tAMK*lroJ zkSvpw|B__`Y^ds5kC>(A%tx9PN+%WrR~a8wH!awF4#+=PbQp5fM%gl(n99Q50?1pw;aBB&EJ zp;f5*p;dCFfU4I8i{szdm?N&5U!yzzXv{HMm$*iG5lj#)P|RI0(*XO^oLZ`-CJ0Jn zwraBg0LrLFP;8@LBpy1ln?Q00ZmCvM61KJ`k{7HyvsQqrXeBwSO;z)BlNYMvx*C-l zN7PYPij98KHIcip53dvrs;feC+J{#X8A@KToTXWLicB0r>7*TD!0>++Gq3~(p{z6x zNXkab!l5i+mVt*z2OtAdHQ_3ob9F%0j_s&5w(QJu^q&-qA~cS1{lubF+EuJ{HV4$U zp&bRzls2gi_pTk;kOXAQe1&IE{D`;SNnk9sfTb4ju~7^7tbgl+ANUtxcs089pwyF8 zB|ipV$&%fXq{@l3V*Sv}VYVs+b0Q5?g_uwP_X&A)t7?Z}l}JNoPSRdLRSE9IhOx@l z4atIHczwyp_U%sQZ^T7PQ0kJA?!%AYUZn)3MY81kFbAQ{Nj4x+OZXu=G)753`=~K5 zW>XEXCHtCxed~ZkJg8^MLKP-iQFhDR^wp}eE00>-UNfA|_oLxBt=>_3l5T{(hG1wq_{70pwbI)!9fnx3K1|&g39pQ*F-gPfCQBRluHhi zpys`TpMM6cQ^yk^0pymP}N2|8Du_lkt09? zxJt+}BVDCTM#l2KHTz=iSs$^aos`Ga`scLeTSLu`n6LWTAN>A*HWq5`k?fSzEe6vW zgR93VEoQ?S7ZJ=D>1hb&P_xYcM85|}|D}ci0GbZ*twK#VTZjuSD;g_Sq2`Wr4;ev% z?6Hlwa;S;=C`l<9YLa-mK6MppqD-hLkOPMc)PgzGI+Q@HASbTDx9dJ z(7?gERCc&7(<8n7b`7-~s_fBJ&|9TaZ6kAAI@Ux__C&bzHp2AC6Ihca(!9Y)^FBKa zy`m<_^Yluinwj>3TCv`opc|=AJ##cy^)7Md5@&vFWSf8VfB%CY{^?QJ<`Bp-8yS$j zvo#7yCX_h>GbF!m|cJa278Oe1RAN6@Xam&x^XoiWuCkBJ}MUg%v9`J z!?{;`qont9Pcp*Awk8W|mKQWYVU7DnQgE*v7XPDm^vzJaUBKrz2tG%T7ZVl!EW)p0TcWV0J99Fr92c6F|CIlWJ@H8wLe|JWv`89+II`#ZFc(Rqht*l5CCb4# zu=BfiE5S|P9_fljIrD)s_C$~9yH3_<30>|kbeVRGT9dSt$Zn=Y_Uebf;ZObj2wfCd z=4Rc2m1zK}(uro6C_|SbScu;7FEy^RL8un~c7wC$6=~Le#o%w>c-xolf=5BK?t;PJ zj-+D?j-^?5K{DH_5#AvyDb_kxq**V5+C(5A(GHxHX59q=WnsWZ!Iibb#)@LrT@rJF zKp{k94Y+L!dKI(ok{Cb5DOt#|uGn%xW9@5>nqb;UwN_Em5epeRBGagXV;#0C<)D90 zIF|Ju0mmOUI6h@-&CjtXK24FSr4vHc(~ACA9B;I)1z>YQsJ@vHs#D__dlyFRdTTUT zu6g_RAOGF29#eR5zS%v3a*1KDTwKS+sZMKAHG8Uc=Ckx`jVy9uQybU=+F4*aZ+?itO|K`)PWh z(u&BNHuM$y11g8EvZGKy@!VfDOb0BUur*V$hvMQMyxw#-g=N!ee(NOL+r1h8$4H!TD!e<8adXar_R zlq3mNEzo{ssfCVA zkZuRKS1d41u6$54-4n2zJ1!f%Gs_u??zyu&a*TC>M`Bx}=&)|P6_-Bn|z-J-<{ zm_B|8qk|`mAJS=l|Mz$O>tFQqk(|`hm{(&;b^i6EFa^^VBVDvWtq(?MWmjd@m~xG$ z6<{4qpu)w@6hszfT1#!`C$}it(k|x)a}9x@UaN z(4uHG(wcvg%y%cW=;3HlsY_0dW{R4U53W8{Cv}V3y1$KP`V^X%wDpKLt~yKLlyki{J*W{jwq&bJb*q zAkDf9Vq}B!u3}bQbB#3XAqb{ho*I=sL0M#STAKAD2@WAhICMx(lLNhs1{v zWR|u$wFELQi5?tKAd4jYnpTp5j7x$$mLU)LJu#?B&ARQvFIkfUuK)+3n*wq_E55qq zsIe}ggId!mWy-FlwtwjW%RDIZzl}974ubD57t;vp`h5(tvgi7Eo#1NrPx)fF?l3?E zoaT5E3$ZJy3o9M~;Y3FooB;pb2C>qqo?D3`^SWY^g#Zvm)d3Z801OV2&T&GN>aZVK zX58uR>fY1BQk;&L?I;TZCKJw|DBG<^=7U|GAC3_vY<|=u3xs}cu9Sy zO@T#4l~QOG=G$s}YUkSOsiDub2`w$nbBe2y!OzLXU~xYu4IpKbrv zC~q-+#1_Ak<#22l)Ko6{95)B0dy7mE!|I!rWP z=rG}qnXkj_;h(F+mcq$WIQiJ46?6%ZQG_)I9B-QCh|3woW@I@_x zB`^UYOdFWwcmo8gbdupDZI7LiAvxZNAtXppDvZERW`z?!_3;3{b2U>a^EB6(;|;TX zIQ8yq?5we3jyGZmNooEM!5nYEHfsm|8T>zOlvTwWASU267sdwNA5zkY{&n^zlDAS4 zB(j=tjFZ>##v15zkwj$DIeWf4HgFGd(P|}N&m2IB4_VHB-XUC+v!5*;oX(!_4_suj z(PPcsdCfgQV@XxTt)Z1<8Cpn<6~()7Nu)gRZDF-BoW+9wB*|>1OXBxqlPnu= zx5ldIlB2d*ZJF8OM9HUyaBa5E?6C0ybt3G>>gk$uiB3HU;D4J%J13=FpG^Fl8D0{vRZt=)F%qiB>}5cql>stj&9n+0RL zV7>V|yC9H5YMo%v%*=-%jj{^@f`nQe0>#5>Yn=ljNTck6Hdqq&?zpv~F(H_dL#M;m zsKXBcBZ*NCK^kRW5d}MABZu*BDXwUgT@ZbhI)(-_*t&S2j2yD60WK2F;p()+xohMQ zdgj$Ai-=0=8=kNAD&SxJGkJ1({rhlkDG0$?~BU81(5=>A@V2} zwv|dMZx;lQ9|j>~MPNCBtjHZ&8d13*ct0+4q4Vy$ApaVMrOSn0)jn4@&>lZs)ipY= zj*L`W%wHFVyh(JkG$!=>cu?)8!@rqP3PdiIW+Ahmpx*&K<2w!B?Wo@~}5TvbjK^yf5rwp@^ zv>_q{X=`2Zum^U@Du0$43_;pj7lb#1UJWhht=biHZ4^jMW>|@wTuYl;)aaglhPKvM z+^_fevRDzLQ4osMWxre--5;f)o`T9QoL8)Bqxks_;t=dHTf1Gds*S=G;rGT>t_5vU z*BlA0E0+mkK@C;FFUasG&OU0t)xP!-b45(>D2RAz6a7Cm>K*WsS!^!{?uW~PDt#M- zyd6XC`Ofw){O1LVIWIgKgkr*wrx#tRe|-cAgB65Uh`;Zu3^_Gev6pG45n{0Ndw$hV zeZjAK``g}j?;TGEmbz7-03(3=Q}m+XZ7b+`hA$YC1{CP|XcS#`X_jg7xBhh8D~ZQ> zd+G>Q3Us?A%mFKc=})sGv0|k_(T$61BnA>7NC{R#Fe}hd^5AK2Q7E+n#SjLrcwQri z)2x^kDCS=6v_y5#uD4KO0G>0`wxF5{ToRT8 zxt{hiCDY_*hapgla6Wr+E`Fj4T!N-yRmx{1(K>79`xC}vr;^oo&o?NH$6lFaE6M5( z72^TMGv3NdvbsfKJTPLTmCX0ZxOT-F9&0o6>@$KD_g{&#$<)Xp{H@m&3=(5HBXgz>Hb-Zk+;{}M5&VRnzuB+pv z?i=~TEw|%%;kZqkQg8Qk+I=JoSpv8#i?oE6cNbckMw)5Wm(cQNLd!q%>`R~eQlxP; z-Q3pT_J{;E8bj?&4W;F!Ewr>P6zg^zWx`_JN;>0mXM8U56i!l<)@(vntVql2E0zJv zEXK7}D;i!GEOV3l+Wd13E=Cu_=~7@!oSHh(SB%NUJ6LG(j6#rB*9Bv6@n(By1;sNe zl4Wo)1_QU}u4{1n6Gv6c02hNB`^iV`vl_K7FeZhIPr_GH%s)Bf%@TJz?Xb_yw*QTA zFFyG`{~LSalb)k4WHpu-wxl$?EXbIfN5;&zy!Nz?sP#_aYO%a`XL+aHqPF+Bz22|rr03IM_z)Yg4Rn-bO_S&+7_EjS)8OZ-5u~k%Uc8;l6qKU zN@H_sT3#0nNa_wvpN$|buL}kwwP$3EL?<0cYR`}rT3%nVKvKIiRxBssk^xEO2)E`U z#qzpjKvFS8O>;4}>)vBqUbSEgB$c-=B0wN0s%~>6d@z74g`5Jhs1L{?Bbq1BSsEcH zJ6F%u@$#&s6LLGnmwU16wgwR#U3W!T}!Lpr>$Mx>h@kp@D>SdV%Nu6(a?O~m3 zc&8>|r!C(a4JKj#_%Hv!=l*PT_-RSeldz7Rm`sp7$a_qMS5_RNGp53G!NEp-Dm)j= zjrtZ2*)D`bRCq3kM^~rzzM%<{hslgHLGl2ZG1P6w^FY;e`X%sLJD3MixA7B661MzC z=i^a03IOy3?yrX52)~9)o{u{;H8S@Npr;z7v}V3RK;2;a4bBc=W{1u|l!~tjP$UF+ zoj_)G)}&1eNqi@f#M)|!{p^#?f`l|0ojo4lkWrG_lDAZ@6j4BxdK4CuWCuEWxM>1p zi~pTA0X|`_btAMaVR+b7SQ#z|)P|=qTuj9#J0xZ*a;0l#Zljk$60QEM-p5wii&9^` zIl%}pV)K_`u8moOySoeS?1qorqSj6?!QIUScVG4`KlFV+^eaojS)C?NtC|bNK@7yN zGZfnpCk!26yDY6*1VJ<^HQGHYm0BhZ!5rLK?81(Pl~i8yiaEGDZT942V>1A(YIEuw z+#OasqGQ?GKyHEh8gp=mE##`?JN{)(7`3F$fvnV4D~=k{V*egJK`5)2cH z`8ptt)IO8q3l_=48V@bb&S|U)`m$Yk%*7XU$pgGac#UlRSmCLwA2#J$(+t5Cuo@h6 z$i@?bwX)>&hv>R`h_KZ@MAwraB1qwxxD0oo9cwao@HZ*1{`)53g-H00Nc2C);tt?e2V~|7HpgEFWc#O!2+b?_gC90QL`0G5Wk;n$MFI^h)wB@3DOI7 zc8mLpz1!1MnA`_aMNTUNjsR6e-`rv^Vg+!1uDG|miQl0twUzteo0W6>-iI%|@G6;= zu6?%Gd!PT{bHDEjo;Ch|9d1(*eBrs5#Wxs}D+|8*@^ja(Jbd-xOV^Hk1u zp6lnqo7*|Zr9)rHhrYl=F_G-G_zul{vWM4s-^1$%uf6oaSGAW@j`Oe7b6D9`9mNY3 zC%$}1ZoT@_^~3kb_mQtwzS2c^e|05aM83e}yFypB=&;T&7I&pzu3T{6Ps>Ai)pk#~ zfj-vGIW8T_?@l3yGjw?*g zq#)qWu<kaFn zm496vBX!1ak~CA#R>KHd=cp){1#r&(-eJTd6TZo+*FJ~3>u!4mQjSMrd<$IYP7;aXdn|v8Rkwi?SjVxdK)B3!B(zDkY>6FqU}QR z3H#MfFcEo0nrRnAD+PTHL`n#?>Tf-2|J3}nO;`G_Gr@B-lKH65IiS-WCY9~~TE z_xLOjaW*bHlwGDncO2d6)8)>Rn%SO6EyH+c5&hAF7b$YSv7SoL+8vpww= zEe7v)4BnT1+wcE>J`@u+HFw-CtsK!)#kdqRB-@O)w3ay33_ZTm2L+T{C*e(lXSwO8 z0GmTX3Te2*#`#1di(Qpgc1TW1d+jFJbz5f% z&v+CzoY9QO0QJJ9j7Nu2q0V?X(@YOpK+bp!as^Zwk0GjnI^$7jW?H6zQ3p^}$K7W< z^t^}QpiynnKgc5IQTREs8-1VpGah((ORwPK0^YO>IA76B3#U7ZW=Zki9hh|5EoznZ z0w%o~FzE-r{!jg?kBbyP<{Sb1;wgUJf;)o3Its{ZqDqDS?F6PX3a%VXs#^etADAS7 zAjZQo#h(Qw<6(jkmk1eKEhrfe6Wn9Kt`rT9TkxPYD@w-01wjZTnR_%{NVi2kwPZZ} z)WD}oy#kD@!jqi^CF5a&hz*o#2y=t?!~teOh8zC#So#JnA(%|rUZaE?E{XA87_33O z)j`K{+6{apfLPeaYW;R_-O6~Ru5Uvi`qqMcS4U9v9&)#oIb|KVhK$q~6M-A5Rt zZVP4(+lNd}6f5<+Bmwcg&#FXjYSh%pP%tACDO2===9D>g`zPknmiQvhPo|>^9Ek1~ zya`c8k?jaqh^DN-ksv~vLtG?PnzS6NG7253fnYMguP?>xB=il%{ zU;E1e%Tmki#=z_}e(f?R@oe4TwyUZ4A_0URXBLJ>sO-}C!){?XM?XiTwRO54&d8!` zuFmG@=eSv085b;ZL_B4|9Q~ZxdO)RwjGB<+Wx*W%0D;DA7J273f}zTSGzR78Q9H!K zu&3tY=MiJzl4mr9>XPU=RI`yJnR{|cwv|i&1B%zC;*%teflJb?=$bIu?Jp$Ad6z7s zBvI*>=}7qti7{|VyFL6yYn!9wXCGC^p-GK0=23(8675AP`Jno;RmGv^n8=Jsh%w59 zS;p3lF=3v_=*$3D0JI}n2!3?1^1rB8D+xU?GPpr zfVACoI!UNceZ}Kuw^pRm7I5C?8go$}XXJc4HpXnb{FcD##NaAy`;c=KmYd0==Z1#=^nZCXuO39b6z1| zY;Mwck_``yCuRQm8qXg2C6bxcefqi}dZ*o@HXXOrw{E7s_2`-3`@tWMl%`@^9ZqLR zSCqBN%yp8g(M|>kwnFqG69*dvgSy?>oYN4LH@ZN7z2O8bVcH%Nf>J**K}_18FkMI_p) zt#lmvd9)rRVvly-Fq4Zyx&n4(^Loj|-jqz3Z?1^Go7O(N-9}{6^wDSrGL?L^moh3S ziik^*1q^*vGEs(w>VOKc1~5g{>4Or^odV{h(n zj`OQPN336%|BZF~K2d6@>$M?3J7A(CZWf5*;{=8 zuFzURZV%ioP{+3Zqu4S#5JYX_anXz0pn0S@dwQO)4DN;8@d_-0tz>$jul5^4sCb0fv5zmNdDgyoUoH z{6Uas1l(%H_lqZ$|LU$`_emvP+rX2G-R+age=6(sJgGR}<36ba#BFUxnT-O&jSAxI zBu5J=~C-FcXr-RSJEC=$6cCnfMG?p;<%8@PlQ)x8-3|UbW%U+t=v{+(2=;tR`m9N+NJX&+2+wDs32o7lU<)3{~(>k-s! z+p8U%df(h(FM8piH4pDP-Mg=w>ZC&$n;EsWll$N+S5A6iYjX35~>ZqzAtif|=vbHVfJi24jbb%*mBSupDF z5Tq;ag7BP>MI&~2vpcBw5Q21FTo7&V>bycP2|_ThNYAARYC4VN{Acr*MQ{&v z9vv45f{w1fMrzn12sEF#;sA>q6 zI6XN`m%qWmZgDYVUiy7F)HPWPdM2x4w))Xw$+Wy5rjKkk6)ag@-|B$uE3`OlCgm#E zMR3Q-^tU)vh_mSFWfZ)Jgcuu zrEA(PTC!xflO_A5@BiZ8`HL}!Uc>%QmTa}ggfd#(?Gcr%It;HXT~Zt($;}#dr&=&) z$;_HjaJ6p(`;=9H{lw5WshxpQzCCqHD!>^K3_D6<$AftVk^IP6GMh+_FM|YK9sk#3 z0c_AK!29Qu!CPX*lu=+Ag{*GKXPhuiwfWX{mTbLZauAyA1YOojO5|fHI!v?&YMzqd z((g!0Y+{o84JI4O+4np1oBT3Ijkwy@2agB7@h}-oC*EK{h ztf+kQY>5ADcFU$OiL^%f*fd~k0?zhcKRGb(V)+XRxb#pXU3Fwz8=ow6?zcBBJ=Isy zpST6PZY!A{>#H+}f5nI0pZ4bV`Ax&w({p`wh7+gVD{PZ&*Gv!g6`p3M)%d(Zw3W=s zGJ6I1jkaCM^k`q5wt@xYnrEAu71yaG7M`lxY~e!kB|w8@n<$j5haHGS;l`k&TjZCU z>(qeW);u3qN*4^h)0q+>{z6%Eg`A0;eN7_dtazrq1W2FRqmM*c`Be3tK*XKf@)F?R z>?OcqH}euOk!LRfKQ=D`(|C?e#m6T`r@s5*TVQp`@E0}pmU2@vlOXw%B=wdrN8aA>_dB_@{mk4N5?Z-$5yJ+U{tDI^&1t0*(vHOU-|d(Xi|>!r z+phZl5U75C*-pnxE-@XCd|Q><-H8fJ=kNSr`EvB}@|4?so#;?Dv3GYUGsU=fcPyLl zczT!g9g=yc5XOuKZo05-`cgf6MH`p%?&PNV=Itf|C$IiE2`wgOKL5x5?zjG-=;K#c zyiLr&>@kgk#0>H>Z5ky7ZRm!Cm5_W38ZFdk@p$gpXL4d@FxA6n!Vbf%#uZx_acbC% zHjR=KGXtrfQT<<%3=PkqdKwM2uKeSZYkGHu{BNI2-1* zQaV2$hIBlx7f(^CQUI<8SA_es5qX9Tx#Ah;NV((9OB=srBCg;2 z;KgdZ4z^yq^1?{HYf7>RgJ)FCmw5T%_50ra+4zZIj|(EA<5XaT?z^?o?S>$|2NyiA2>hWSIPTTDg&qgD}n$CO5*>F@KcRr2+}yZ zprIa-s5oz|7bXO099{6Z-fQxm-&!-2At-5jmxT2sJsFp5$FvwYvq-M?WGjMGuM{>|2YsZ5Lb@DJr`pCb)sLjv3#>TB;}&8&AGJ#5HIZ z888C=njtyr7-$^qIngxa7+n0iFZ=`D?rB<8v+Q+$;&sJjyCwI(D&{qQ+q%H2qkA2b zYg;BOTaQ4B#)WM|$KQsh(D#Yr!<{kGqo3@*goD|BOX4Xa(-t9#$Q33s9iX}K>VO=4 zDxxw@IJ@V*1zf^JR}PD0EpryPc36;St2%Q?rdEaGoh)!C6whBr`+z){M%6#P^Js;^ zuZu~mlKw{~uGyrog6DQNbDF&_bG?fy`!>MZKW+KeGBV`(%HRE4|K3-OX?0Zf==`J0 z9$kji!SU+WT0I6}9oHR-wMwf401fpIwE?Bha3Zf*X^q_pxG51_OZ6uUX03iY9ie(f zkTs}!#dL=`sssUy=r(ZELog5NsR0D zl4vW{WQi;#{i`Wm73oD<$*#bnyG+5M`@rzfnLt+QL9UVGeAjn@y7KI$|6Mp!-ucGh zOqr(hh)S-8VBWq;{f$5IwV(B+kwxmSXuvXUj<^qA5d=D%Ay#;MG?_%rS1OE>x*5h(s6E9#0LN zg4W$*MXFR6JOY~_N*d5qEBc3^s8p8((tu@mz+Cq9kssTtbDP zgiF{dhrpSre6X={h|DWVCzSCX`Gk$rDqdP-dEj$aJ|J>Zvyw(|aciP000rjwz!FHs z<>=4jJ|uy-=sBA27TS|K*DYN59Pf_LaoR0vUF(Gf|>nQTizRZIqG z2!fYh3oW(=taG-d$DtmJ%9dVku|P71y>fn$XxbomKWyplWUc6NZV69Za=$(yf}kXR z{Q4@VTV1t)mcg;9ifSme$|FWC8$R8cGdT7y-Tr6Y(H}F&zE1ZldsiDX+PQ$)me->O z{|n2z^lXgv=hl!gF}2C^(&sHy_Dj!(_4<_utm-c5Zx39M63@LX=81mPt1n$Yd=F3~ z@}m$qqNclaSY{dNb!BViy*d}y{h8?xSP!Otgr1#xi+F$_SQxt+uB99+Xo$GD*9$4} zjeq0x8?SyvVK&iNye$UpS3s*vMFwqvZT*CHxumc>zlbo?n7?e7AthXJ^1y{t$uA!E zsNvK}u_|p?@{4;0yR~3W8%BO{uQ2qSkjrVq$nhZ|M@ydtbJ{RceBesyB<^UJ{cD#L zAL>iisuI6Xoi_9oAF%Q$#MQ~osu*N!GAJAEcEiP>BN}87iz8{dhXd7op{f{U15qIf zAE(Xg3MwJK5eD_pf9RICCixF)-mM^1LF56DFJ@alsI~V@<0JPTZmjR~vNxd@>D8gQ zn8#+pa4pdOg;%lEgl@1Vbf0CO<7340_IO`V^||}M%1JKq{N2U#({2$B@9S}k)LA$y zjMd0B{B2jK!(oj5KF1r~Z}{o=f66z1SdsC(3tGb^R$L!s)>~eYeuE31 zcA$u$0VD1~1K?PZeuE3{&ucPuBhkMCziD%7`VB=8Fb+w1qaZG!WOw} z;bfSfyS9gOuC1F|f19>^tEUNR_|W^m;ltk>I!04g9-DXq3$-tz0GKm$gmk33a?TK> z{p=`0Q{QF-4#ZqvYC4KAXU(#ZsM$4wRnD+<6rG$+FHTJzQ?3!IHF1(rh%>^+5X?El z{d%j@08W5oX`mH?IcIo=o&=Bs=-c($C=x?3=L~@_S4hKYwKmdb7o4n&!r|?8&{aqE z;Iu*m$)_nQM%QZj=z@*z27Pd^rS)hfJdC(`(ebkYxf#S}To<<+MU*!CfZU0(n7jQU zyiW`Qru7<3Yl2c?GQ|(SEuCdoH?n-6&icY{zWVVrw@Mdkbylf!pdnsH3P-8Crl$(b z!107Bk(YH=Uk@NI?5#vd#+30LJgOTCl2f1ZJSPT5;~G&QY3uPT=`!kqwehu>(Qy1(F7- zh^$vO<;B+=)tJS*s{FR*UuOXkbfGu!C@Od6{4bokwqa97PG*DvAIPLl5TsY5oLE_@ zcq9cvP#=)h&l5umL%4@g1Pp{hg@fuWG?(0AmQs(3_ilJFgckpvC`jupS|zUa$# z6S+Aca_9Si_JofpyvN31O5&~5q7CvveKuAHHeBtO9J-We(y@3>c|dOm}THb zZNsD{Xio>&UdYTsNvz!(2+2>o%Ml-)*TDYIrS&>vulWLWw|&5Usk z%#czyl0oIMG+t68>Gw`UrzFug9I>kVp#B%W=mL*@6T@RCWCQ3kPRs`MxtE{z zw?6x;|FwWW=v#W(y#xkfP>H$M2!E`Bu(v5jcLssftKViVW4NP}@rzQ3&k7odFqMlvl0vU^5nf@q3tfXm%x#<$%hN>CCV;?;d;22 zur%!#?{_bcC(s5~D%5&m{Z}vUB`y;k7B{SH)%CM74k-VUMtyVpm#%jGFVyeiU%o~C z%LVChcSr|QOC!md*1Rp93pdlb@KYar_BVX`3>RT-->40i@R? z!9ZaX7{rbw3*N;@4|W!&8WTB;FLf5e@aMY|RRWCM=r|Mk_LM3CF0%=2Cug9N;g8-4 z%^h_?9_lq%boQuq6q@*KN}5Pd#dph#Qx7~kw5ypFAnkuklwiBvby z+6c2>IS7JB)a0tQWrX)uIS3d?!09FAJ-~qZd6@wk<;vvCM)R-z=~uPLxz1$&Vw@_y zY%7gVkWPIk_$oK{JyoZc_fmQuGC+WCYp(YTF;*(jqXs?)H`(FMnmesZSOMbvvw5?G zm@^#@zya#J2mz4V+OFI&Z#&9N_;tMqMc%7=6aE8= zP>S;^v-4D(3sj1h8;ljR3IqKv*snMQ@JR{$+i&w^IJm1L&V zf1uN5r$cx#Wzc|rag`FtxFk3@*%rdfT;VdBv*)|B-)@NCmq6z30-0&IsI_2Az4K=3o&Vi$`U`*Zvtx>{Cc;`s)F3`SSJVda@j0DYkWPp{ zY?5c>;8KDElx72Hc6tF`ARGj<=X&QL?mX8!3ofV&J8y8EaCsET4%}u9AZnP}&~>gH zu0UB?$m3so)Vem-^Z~jv)lfdj9BdeMJH*0<-JVzE$006#?04ikKW6N@otI{|e2i(M zeWA+~(;dfAIw9HJ%KBe;lo>vDMYj)8F?#j!vvxWOQtJ1LBax+%7A~bx8~N{F*M_f- z+udGx$&Ud4w&>s7op6Q3o^k2{3(&dy=~;&47Huf-*U**L0L^gr^e|uTw2&kMotW{NU_xYC9miRKLGE%H^>t4^&_+IrAyS7+SA&S5GNs z(GESO*zHd#KMp;m*zZp%KX#tdi!*WKX^T&f^^^|H!Id8UlnIv1a?S+v;mn!fcI3ip zUcFcc?WPmTT-tm>K0En@+>TsxXAUp&$5rZmYz{B3H_wRLU~nzgkJL8?>sIHN?R0(y zLb1s)y46_P-Pl;(c5p7{0#|g$TfSxK`^$DZp$yuM-)}2(Y4i2-jmpP!JC5(n;l*s( z>ia{WI$pNZ@wi^XW{}H4so>r_Ifu8RJH}w$`uNTdmM=#;8NGCHUxyg`CMMk`)riry zC{v)P&L?PKlW-*8#8Q~>8xA-Z!-(JX4}&MGu-)%{_`(Y{6JJm)F%eSqd=Yf^oC|W3 zSEm;_W%Vq_T*)_o+8_S7X95+bWJK;IIXT+lz}ZPi&YlmbT1q%>yI`>BCAr{!wPEKQ zn@&O4&WKp?Tq0kygb8kLa(KG)>>PmRyNTVzRwSo{pA&i6zDIyCmEx?RDg= z`}X9LD{;vfN55K0DL2#mNzvCO!4t@VR2&O(mSG=x&`8Cbhk_pGtAICe2R0Ji$7;=d zf3Ogz5mRy{UGpJ1YEjk0iVj8^Dfze5y{Ov_$UIv!6(~k^*rXPNTA9+Ai}hT+q@Ms1bAQmv|Q;NbjNuVxS*FTo#jeHW4`lX}yZz zab?52fPPk#b_j|?EmsUr9VK4`xL9$0vT9KXO5|aJRs@1QJYhy-bB*F+n;;NaaC}(e z0GXD$O0gon3%^DD%8(X9fG&*DDSs z!goI~5ygl8wZ?YukN zX{u_(y;*GM?byzL`td*cYu*;NQyrN$+y)mZCtdeP(K( z@GF*qbCjmQNAsvq9o{lPML356twZ7V9(*Mx=ye2J11W(j+{Q3gp_4+Jb7#dIZX}`K0{Z zoVeMf#IP}3`0T6V@D1?UCpNv5i9uhJRMNc~S=IY4RT9AzWzX)2o?XJo>3SA3)Ly=R z-@89>;xBu9$ z{=rWwb6vCy(AKpvt%W2VaP!Ycqc5|1K~vXGRp`Ajd52)GYwyukixoM+bJ|^BSnxo z-f5(W>&;*zvYE}{C9mT~EjdJ>2O|R*>LqJ)4UKE9T|ii_d-NwPJp-5theH zQyH__W1D0O=*?QNX}4&xV7FtzKKZZy+5hk_q}nMnR_}5P26zs(kgr;wVUGYMJYv2j z!Z|5Wz8KcqWI$IQX|^9 zl9W)}B>_jq7s5;@1OlvcR+9Pl><|FRk8vrA)_-qMUK%au_Br|%DmuLm8%{?FsCD7FE{Gll z;11-4%QL7kA~2>#j_@MT@&~JMPLq`+?8<-k%TN5WN|#yK>%a zIJukd3KFuF{ZJse8(B;=hkap^8gMH@gtAG>_V55!Q7y*;nciBt${cQyb5?VxZBKc{ zYz+a9re8znVvVKiQ)gqi2O!Fv3uu={FuN-lc}6%IR|Gb_5lqSoT}dbviy*GG_2z1K zWes39C1HmJLY2Qw<*w}4gzQri&0Mt$G|6O(A)^foLyC#!YK67aNk5OVi>TD@g@SqF zn#Gi|)hSG-%I=*M%q(>g<`~5gj!(X#|BXF;pC~n)1eXeMm?58pOw0yHWmLC`*-h}cN7q*5ez=u(oP4`AHRnhA%15s5y}cE7QPm~?hJ z&Aw&~w8iwtPAhD_Ju%UCg;_Z5b>{n1M%s4o_^4(U4f!ZqXLP%nhNEhllRF zp{vPv%rKa_7WOkzSKet1_J%~a+Uqi+Oqa6cbQk=_%aYS>QETrOQj(h?CAt6ZFZ%5- zC4`PRAMP`h;HN}MTl8Nx@j^};MwA59YMqYLIZeJ|L`mQzX;-`uC839;Idy-Ogv@Gt z>I+fQM(8GTq;erj(wU}Nus=$|aH>uUR7!WARxMEyF0vC(iGnxDh?0)SCPW*x#l@1A z4{8$MicwNe_Hv1m-o#PTf{nd9Y^*8c5$9;JywjbdIe?(w`Ir9UPkqxEAP99Zat;9y zgq)oQnU0~kM0A0yn#PzR$Z*iMP|!o=RaV@wQp>P41ZjC)Fd#-}kQ6n7w7f2e1=$mc zgdU0-?TWO#MQ{V=Fgf+n=NZPSX?cqv>X-N@l73A`4*yXKkGn$`U&fUV<3T8^qUs~dD+U6{YpdWA#;6Ub@Je1{5T z3*SiSKq|?6i}o8Pg!$dk4&2@%sfYP@$8d6d5xqykS`urHdNS&_L{@Tx>T0gdFuk`2fl<+&A-qHv6m*YORGEY;piDOw0 zx+|dB)cmns{YZmp;@@U>wK$TdsyQEY#@6AQoPdZQ zGy7j&{n8oYtdvM)u1)|~^LSpN@JP}tJa4Civ17&aCWhNK6E`L5q@9F(O0$D;5`_ht zB=B}L+@LKtrSh0Va1`t>y0slC&`p%Pn?gyX17mg#Z7rUZpn;^ss%Fl zOny|wi1Z+XZS%0kTe$}Yj9)EFPJisc>gcAne(b>Pm>)ZAj<*&~c_`F$<5{!Ejtr8) zL1BB#V~5Lo^2cs~Lb9!PF0iMKq{EW)!0jkGh?^IiAeiI>S}G7qDVcl`F|MgRy%mnh zH~`2fy4?X|hd@>GknMEBmV$D7w-l71jD%i_>)#%)wpDo?0`>KCJ6%6hgRxn|#^`+D z;BZZZztUC8eQaeE88!)GNuV;2S%z!E#dg_OA?z%z-khv*H3~Ci0ed@16_%Jt^4Kp| z7JT*P=dNFQ`0B%#t{+H?85iau*UvMCD;p?1l#B7Es7r^wkPm&~c^oQW_HxP^&Hm!U z>(_9$=U$eiI<^wheEmldnNbp6t+FI_);k9;5bY66ijl4YSvP`-$K zfysA;85=jN-Cr#3UcX$q;J%-hhw!THp6D&=p5xM?{2t{{ezQ0IU7_E+{+^GV|3uVK z^c`*e=D}&wxw4!ao=psE0TuLZN; zj0I2dwTO~9TTgPFI=LH!^uxnaK>_b)$0YmBw=t)31@s8deFV^~{o2_fq%VYOl}es! z$w^L;_^idDRmZr$hNAm&y21XXgA3Dtp9^W2;!I7E>3HF;Cc2r0w#94#n{`qt3@O5V z4}#4A0#ENaP~Rw0kqu{!jtGFj2}L6lz}%iSdpkqh_mW92@P_Fb`~}`{;bH2Ubov5j z(DfsnHP1g`6vm55 zD;ma87D1Y37d&l&Or#(X+W>jfhKC?cvkQVAlT#+CO~C%$2+}kcK}mUu;J$Ne1}ZLy zUxoZExW*%VlWN6zW>jon50y48m+tH1y1Zmbr`WrjlF_T{A4ukpy#HO8yWV*l%w6-1 zqdmW&lcpKRD$MFLk8!w=!3hrTg_xp{s zNn{s0`4XV@b5u1ZDYi+%l%Yfk`G{$c~1Twp36w!@(snxN4 z$(vVSS>dSC$c>@)FWyGd7X^fe9-s1}{2Y7Y(-fIndMF1^w!E650bGC;npnKul*?ml z2xcgiX{AdZq`jJc2 zgjdRCA`y|icO-jj^29g9L|c1Mi}tv8vnp)b@_j1or+@SZf9~f3yrS$+?pGNX6k!q5 zc+`!1M!*Cst%%qfaF-+pEP)a{wGBwWshRr7%;{J8sX;lTT&JL6>#&4Vg}LA$NjOzl z5gg(OmuJ(j@dhZuay0foT@)UAa#t{*Bi# ztY{Wux2j|yHI=L;>ym+BnnLSIx~tR(NEr*IH{VmTXMC=jS+JUSh}Bf>GIEPrRlRgc z+)S6m=iL92|KY!mG=kdA)?>%i3?{{ij9GV*;@M*#9JHD}_Q64`2~vO|Ah1ph(Lvn} z9Khua{{gf-nz%>NpiYU`9N+<==^3<|J@$ambmpwqos|KhS%Y=8xkk}z=8m8j-!L>M zxNG&d8THuJn61=Dt}JM=Ugd)uNHvbxQ5O+i3QoFx2xLOoxA>$&j%3JIRF`+~%ne`| zELo5GF#qpeaAfr_G7pQ}KCf$1@Vv>M@-csenXFS3XnPT zaNh5j4khONYzbETRS+@c%)(!psQYA~RXm%AHw*nC_O^QJq`=TG$qv0aZAi_cQM1XTh!W#C1$vpnBlpf`Q?YB z{arDixTkH4w${673SA~A4YoZzj2T?;&CuV4q#M2itT*#{Y8bueLSqL1G9h277g5}#Y+zG~*&d)yF2}WngC4;)VuM>>k zkV}@C)V-ZxbcbAWxD$;2kV_7Bf=SlhC7+}djQ)%|CI(SQUxaSk-^2l?zKzwAuls7s zv05kUt41gi7PcATFL zSob4$!D9>X^Ale1VT zUmJllQfY1AnWMks1|@6>tS~!BF)k6*<>(I(7&1NM89_a{Z3)WJ9~ivI4~BRWk!)M? zuA)Co#FL(1bmsyKl)v?)m`+te=&+P&$}KiG* zFbLP>mDaT7`;^uzU-UDFuldB%l&YK+o_cpWA$=Kz z#ucopcq=nik7#wL)$suX!beZ|dzs2bb?(O&hb#eACJ z2}v@kT^~VYPwkekQ7X;8;+jegN(;!94uw9IR($J?;dGFZYFDJvazSu0DepTN^tbJ| zmXwuCBI{;LqGdqa`s4S}YNP)^8(?)Lr-w8befa!!Bq8Bz9>8EEU;KpMa*%Z%@0QGr&?9uiG0EAGsgLE#RF_rtgBwA+IFyuun;B`Ob3P2 zP`v|)ezy#-Ps&;-GfI;O2=!OB5W)wpJV@CNm#-axDlcsG+$rz8KJftUp|QeR3~^x$w;HbWSK~%iivldy(mUd zm0~^xUuIjwRIRUwggXH5KoGwX%UE#-?E}HQVvdLp=y!te#pzh+RB8ly=X^ylw<=5s z1yne*^@>$ItlT765v&I4NX9kha5!ZwHb%D^f;k#4Ie!2d%_|N;QMG=NpiNOv5@i&8 zs4r4ftxMwC@O5Dj0PwULMp`pxETA>j-$k0iT z7;n`me1`m0$lbw7HX0hZ%aNo4AG6S~9m1Kn(rgd5QBz&bZrrjL;kInRwB`G3z_0$& zANyCnYt#m;sQN__cge=GmO-Y~Z2+O5wMNKFW{_+w+kn$%%Vrel1K0}ndF{)>s$?5* z+F^K*3Zhx3Id!FBPDfx)WyOt=o5qUS29%mJRFV1RAz0Y}uysf>VGjm%b)rMCvH?gN zYgZ3)Y$v5bO_EjCdJ7sI)vX}6X}*rQ+jby+7-S|y5&3?^T)Zbt>+;LGZsY1dHQN2PNgQMv99K zJC(*Y#SlEM<8JTGT*rNZJ>4DbY1%DngP#TVbThE0FZt|$@J)Yj+#zA{RO&UWOlV3QvzHVaq9ZgIcg6`<^%|Wm zMJzG~JvFD!^_u+&lnacvP>t?<>s+sa9E#8oMEcUwWASCGdd(TrowN$GZ|C2_&@+IH zUlKt(!$S0vcWXdQBD&;hgGs%5_Pr1+Rh6B5cS<`ZBFT=2B>`ltNqP%@_5Ie!s?#^B z?vGA!=8v=$zR&9H`3482%Gp7CB``8g4AYLJv*%j`WPu{pkvtG^ zcO{wcQJOrAZ(p5#R-jt~OAuqk=cZPrp=fWYt4>QUUD>~MLs9c@x?$_!U_(8sKiHLh zj~+Ws>E4Zny?6+{kww#zsjeeg$ZY)OiA~6)c7*W4Yn>WdNL8KrASYmrSnBt;Wbt;E zA;a`woxU>2D{Fp~evT;Pi=@LP2bQ=p@f15wUTG2A8>ES1p&I1R#OnQ$drgIDAv>jPdk`X zSrLAMwo=Ba#hChvYWPU<h1VN?p9n9+*ap}wTC51@peoS{s>FOLYHOSm`PG>ho2perAo5G z2s<7><)|H3^Jic_=gzJP+s%+)he20+T2Ci#Qo}ia%+Jkk+0=8t-q~rPg$XmQEHKGT zYpyBGH1e-C%?o%CtDqhN6<$NOQ#BE0>4|7w8i+JItyuj(6PUDJ-Fte3ZcAquAV8!)TAy5ATdS?Ku4WUYZ+~r8NT=$6u~V=&QtKHfWRzBOZ3Zvv7A|tU=wjkF!^IXJ{I; zydu74A@AxP$IREGNDhu;u5Qrfam4Yy%P?xMO?SI-UK^r$|PFg@Eelu4iM zm||bzI7%l>NjF$)l{#{(!yv-8Q3b*JJS{AZEn#*TEzUvxb^2ZqUC!!z5puC~e(3FE zWktke3bTjCmdXn7?M_xeydk#&Q2XiShn|PY1lgzPZBhlpVm%Jx#NLw;&D;TaFNy2B zOI%O8MXm8%64y7Axc;GMti7lIo@p)c-$I1uJ=L~@i42w*UR0m@ianm8A>KOEDU-#j4W4`HF!o6(>lv03f*Fe}QqQeJg?mSc*_i?Xc~FAQh1d2CnqD zL4LGekvhl)Bb3{3Y;19?Naf{%rF{Ug6lgaZL{aY74dUaS4}gv(YxL`JeUj8Mz^xs` zSA~2^NYzyrca`r>L8>A&ZQ#6vqV#l)8nYPF;JG}~IW+r7Ek%f>zoaePwgI|=?A zlGJ1Nmu7=`ZifWJ$?Qx1C7J#7wo7<-6U_$pQY~ixjhn%pc8eCXKYfV9oCyKDfA~Ls z#b=D?9h}T}8IuJ&lYKG8J_MPP6afYU!RPi&Ptc=eTyiJ97RZYQew~59S?K zdp6H8H-d9Fr>^o2$PGxw6XnxRoSs6Dr_S3QxV2ccJ)SLtYnKm4BIg~@Z9|$4rmi;D zMiYW{-r)$IEz2fI#W??vV)n}qv_`U5k{&&yImPT3Nsy3h9%Z)$M!NpZtGt8FlEiiP z{ODEQ0r?TQcl<_Z1g&!!lN|)L_R;FC+tYId^c?h<>@kQFp!UCynotkuC;H8 zgV=M1(rV8`P?U&OFeB_eLvb`KN{nHGCkzSJQ?E}FA4jQE69azgK|X$Z2rh_zelN4K zDooQG*C<&{JN5anMk)gK6a{OrAk>0Yjdzf_UlDD#<^Xm7L>v9!kz407`B(;St9gA; zMip`VvHzP=@ONxw*hKkc(vOOXe(-1?{K3AKn`sQx#@<+BiV>b7Q?HnE_zuDFzbp@> zqWD!QO@WcY2-@Pl>gW%>30J|BfyTVfjZM$smV=Z1R2VW| zfEhi_nu<5Kvm+{C1#b_cZtu&E-xJk~@=-$f2?Yvy$&2DkMnGtRa4z&v30fGAb+>R4+jx|EU!sv#P+PljAmnqoE zeU|NX{N@USuha2o&b>|-ylcL{Y^UR8gm@gk!`$o&-?3w~pU=u)32X$SNi43 zf_-;|hb-f~R~TaoACy>AB9Z?5*M8#f__#4lXZZW0n9dD59|Y5Bq=sSolYfN1i~~7Q z7#N&7o>X#=*c76D519IK81(mddnU24Vh{LJ5)0~{V1PKB4LUM_P1z{WxW?ogJr({w zN`kd_q8ULu?5V>FTs~a!C}Xp-8)HZ$mpmgXk=!At4t5;KX3>gJYMf^2qSqrF?guWbRU^y-=>AqGE^VP_~-s$VyJt?u;@= zXutTRDj1*$`_P7_XkpXyJUQ8|>sb4Xn8F*^RJTR|p{g{iPVwqPb#-**!oZjs*I+pi z&n8`)UTNssw3mqZYO{)Z>OQ5cPyH<&(TxmQvTmHLdQAz8EZ?Vkf8e{mdV9>fK=QlN8X;+DToVJ>MM+vD&NT8e_}bHS_J^1a0xv zLGER~x}SYof)HM?=uFj;mtA1pA3!$U&LDPb@KC?+Vprctp{5w7aNvafeGO}KIKsa-kt^nIdqf;;r+uw_v4yp*cMH7O;^SF{XD zMh=u^RgXjNW9xMsF?#zB;6M4$Kl#o-8m(gbz1yT{jDtsALs2aL1J#4HLc{fRmiKBn z%v`taRZ!$krU`PkM1R7ug2z(kNNV}Ro8jOs#FM`>`p>aLoUEA-+Ze}}H+ zFqsUJ=~Gsh6`@|j-Q+Eo8quxSi2Ox(%o>p$J`%8Y5|v8__tL@r@Wtl^bW_kC%z(V* z$hf}!NB`;9{-emt==*ZnETc#>WR^>Z7T};aGv6{rDBV1GHp@}e>=}fa&GH^2(9 zhGlG%`q?bQAz&sNfya3-tfyfa>x4OYF|C>E3=7?O1XZo_gz=xUBxqEvd)yTqARaY^ z42S#>402uzL25pEoiJZxSe!_Lf3tNns#dN&iWmYg;osK9sam-VM-LR=3K;$N8)diY zxB?JHek2U==r|hr1|5OH5sdI@#maZ~o8~zhYWvqY3hO41#A#5;oPM`|v0*{iIT%yN zVdry%45L!Kmq$+cSuiSbioQujeO}9B1!SIo_xh=CBOl)ogDo5~!Wok=GIKa&geB&b z>!!)|Mxj(t%34*Oj!}6`|Ks+#tiop~38*!s*^ej*Hi81!^A*d8&<%s5`qWe_z9Pz`>7eqgdpv?4ih8DIP@Ejzd}=TpTJ2Ay>@&=-?E5yui=QUZ4&`Gyn0j zyl4GGi9_DU6rrK1!_SO=deT91__5O?es#hKDcrzyVTr45V0y-{Y&IhR3)`KbI4jBY zkfRL|#(?6~9_ z=vr|G)`t!Yy5bK_5`B6cx=3zw%zso zS|y}_Lt7K54&{nR%X&v$DS>49Mlx5JYj!!nKt6W0ZoJeuYkJ*!c{t5j){PAvjc zp8V_eisFlyV9ABS-8bB7tSGj`S1e6T$V+MFDg;GomKDjGNI(&Si57Y!+R+3Nl&~WU zf_<$?qWWAC{Z0~Q9d_V}ZoW;0Q9`3S@wz}B?VvU*$x(+%6F!DGN_6v@?Xl{8>FT~v zxo>hgx@KRgN>}Z#-A_sWOp%F;q4Y3db16{We1R&AZ)IKw(DL?5g)X1gsI(NJ?yd+m z?H19{Rt*hzbc?j|bcXiC1-u1|P_O;2_kG>}7ey$&83W*8a@WPc;ce!;AeSY{NLzD{ zw3C@=HZeDA=DTBE&Ri2sBduh^P06)78+xty-)N!EA? ziwHt@HPo3wQYQS0_~b(VH}>>>qEtcHT&}?D^+AS9iDqgcj z$LPG5bV8we$tRT8Je{!4%qTlxIv$P6Rc2ZG>(zl$#+@zo(rNUZ6`@{@XlKl6f5$9a6wEMqcY~H$v7py zelMLoz|ufTGl{stFtJ9EcA%`dXJHNc2)!MK(~DE{*>FK}LI`0i%2=kfLy&gB1^4^i zS_F~cwA^+GiXCvt!*0VoG#D(xo57JB(9?!Pm3(8M;$zh(HUd zCmCOc%G0lrl|wBdBF)!+>k7$rMCDM_EO>`HkhluTRc4R?69>9e8jU!4h2$D;lBQ|% z>pTxON$1-$+$3GypBreBZq>IaKh>!l)9v=RulOITG95RUE2wC2s$1K*n|41~%))5V zk^!AZMmDM^9F8J69IBd|R?m~8O8XqDuXU8^8dfDtt(2$Z`8cKHh2$~Lj}rVW3T~9b zs~MAI^r=dCS}RZIuS<9mdd20y&xc#=nw&s4xZU%0wY@I4rK_hc->0j8_6vUPPkc@2 zYE}9jM;HDZB2ajn@G*xST_6f5h>`9xnDDZryTyn@u81IARgs&=XP>DZT_ifx(FF>& z@n3o8>@b`Wk-s{+n`S6bJvXUnK{heBD`rRcP$n^*P8N5^HD*UQCNZ71Hsm1$D@PYY zkO(%RlkH5hNisXSNb>*~D=sm<7`}J@B}G@4FMisQ@dGaL0T9_%GKV4Hrm$H|7)nt) z&##9|tSb5<$m4a-8W_wKK}Auf%gm&=?98OwcZ)yz@!$2^pM3+*ssX(1@(3EL+T!y$ zBM>?nE%{)(kj`LE!3B{~AkYu-nL`d0U|TYOIL?Gw1gx_XCAd~RYx4Z;mJOuFXo(d3I;eDiLx zW;A5M76Z9@q82+}-^`P&lF3L-kjy$c53M;ceefO#&dp`zBFj;ym ziHzf0zWCgSUc<`Iy)0u=vGrgXbd%w1@}mptt%f~xe`ZEM#+I!g;h9gpMLa<6iU%&6&ABbD~@wo&2U;34$k4D3TP9#?<5v!GS66UZX#|lwkz&yG(~KCE@bq_44CmhhGRZ>-z*iBdzw&u5=WQSKGk zEf}%`HND;$L05#NDayS9K7dSK5JV$pTXM=#?$rTwiPC(5ZdB_WiX|l@X`9iifIBYq z>iL<-Q7+ab4F{uKv>3G|H0doZYi>7;a#>7*M_a|iGoK1H0pzCwo44^)VA=dsa5HBK zo2PG7H~cZg_by*Ddu>a%g4`8MI)1a?6?&eZ@vVR5o4+h-2-*edo~QLhJ_Y6q z_C%tkXtzOoA~i?!ZqoB~LF2?`Gb#{=jUW|}3!c#mE;WP$9Fw*&o2uCb0WaZ90HmP+ z&Qp&dPED=if}Hv+E)LpUh5jmEqbP4}mV#>m-cw1%pqjw+P8Oth)S%|L$Y(?9*;|K%fJob;(+i(3$Sbbo=Ny6uA!eQJ|PzP0Wz zjHuG2esrEyAapW83V4SM)g8$ULO-KCAoYv`YOIBSY#Radtk4{1`p*vHVMzy9Ms{ZBsiNhK%BHj~x6T$Ab+0_dG|l(JQZjTVf_ zZI{8gi=bliAh< zJYy*-j~@1*hiN5Qa&<|VUizDCy}@O)lGLO{@&Icik|3PvtVuiPl7|hbjZ_jN*V{Go z?E#{c-a9e38|${RMOd88_Xq3-B;Mn7I`An;<{JdY7oHtIC)%w#->AAnha<^$uqJT! zoo|$H5xR|Oxsk~PMoKZDe)dr-LM^X4J1mH92ZUX>&6qe0QvR`O{NYlKu~4D8eaCv# zZCDrmCa1`jCvoEHj%cB+}c#DVbAi2T7PV-F>U!i|NCG1o`3g$ zdoBELEi8CG!^#YJsy3V&Ak9BUm2JDsY7{|dq#JAgIc(9YqAP;INfcPDNUh{67QQw3 zlmLnISqMQYr6Rb&JSv9uoD8c;g%G4pazWGF5QGCxO_Ntl)gq8Cuy!Xa!e?&;Q?&@u z4~Mu=ca1gw0163a2rjwabn%NB6N!dN)vp6jqLWwH)?8CkEM;1!`+sZ=IP*~>K{!QU|V!>995N@ZXp5ERltbY zs|l|_RS!?7Z8bK1+Nx3_8Y)#PT8$+dJ`^YwINsbNoTYa`dEI+jiQb~pBHKyhH3onn z`KnSfQYnJMuG>vv0IEs}1dt0%=jV2uUoswPQ)1_$fB{*DIhn73?a96^1w3u}J_Y;> zfAKxPdvu1k=?!}0V_o=6kkH8<>%vDO8CrvaD}%Xv)UKd(+hS%~fz+d2_&XHMWkuv{ z)hCS=C)FZk;6s_HtF}5*IT_-?F zvSQDCaeeM80fMOziuFL|*&1Gb%~2Joil>V}RM)NRloqd(AN;>dZfGd82`bPR^S{la zvg!N$Z=X#38>NO27t0K9=;K)mS8Q}rc#@|Kg(vDbr8H!BNol0L)A^C@LB1_zIBoep zW%xaR@WpR=>Fp(lp;>G9M!hV9esT2Mu(}53uDUFvt>`WY$BEHA1-nGb@-uo#$lX>5 zc9==UTAAQ^L$^&<+=Et~9+aI7j<95dO%T*3bl=F&sHE>%P?Vvcy3nU$L~C2O5@qOu zXS5l~ipQf2lFcjD)wKa?=Bm0j$jw|;*9OU%tLhr(8Cu288{y*@s;X-sP$ug!V>RY` z>ff^BM+RDp;Rk+9xa{q3BsmPf<8Z5$@%aQ`U1!aFgFxms!1$c+P>Dc#8K3hl8fJXX z_h^9e`PpaGzw_G;eqH%Jk9!U~u74#BPEFDb7V4Ti{2Z0!Y=cE5-x{l8E98TkIOju| z%9)}^Spl=0ge3oAVB(WXTLCkq3ze<=?{Pte=C(s}ONv|lM#m0k^>sym+Zed6N8joC zlss~Futm2d2UmR7@W|QbIg8Jvq1I)JWll;%sd&@*g}F9eFa#=(T(-j^0eb}xK8^=e zi@AV^C?HTD&+Rx~x?t00W#9mIN{9%cn-DH|3ZG!7dK0k+{lUV-IyL`d3SzFsTM+ek zNCu~RY~&WTUcB?H_{lyj-x<;b8|mtz$IoE*SGjd&c_FuDc+r zOQeqP@57^6cfrA_ezfW?_#{L9ig`y~C^VIz_eQK3vAP)PJjnE|Rf@csW-Py#&!v_{0+6D%y8%bl6N<8`J_-*h+4P>7ZY z7$ZeUq7p3vLLntqK#)XGRItD_4BUvzI%WB+xy&e zPT%g?Q`K|6eZRHey}$jlexLQMQ%znOt5u;AZs``i){W<{{pJ7p$3Byw7lI-v&c}NaKl=Eb8^}#c7ESy z{QUjTjL=N8>uy~v*ACVZzyPHBM(BlvX7-g?mm;X+ELck12r*KwzDZ`r%XEq>fEhxG zQ1N=Pq9nylaJvMonCaQw0_~{Hp+%jTAZS?)sUY;Nb@5G7u72pniiJPI#x`hssRc#p z_@TkdibGRyZE(goM@hJvAR0MHt%cMPTD;p8>k1)2hXO>~fxk*)Twn66t)z8R%M(Df zV%A!7soOP-S^urWr7HjYs1JhHnAmc#>#(!2HdjkwTsI|($O2X{MKuCz&Sph&e3eFmtsxNcasr+uXakgivDL^|-Ofc5EEeiFWn^V=7QJ^Qq8;*{Yac9kZ zd%!-dHS0ZRwYR@0*Z&LAI!WH@i!{nP5Rtt0PP$7)oX%QajmLh@Z zla_&)X{|_B<=X-kf`?gaDZ@>=&W|bX0L(PMx>Dr<6+-t`yJV%x6I2MXSG%T^D_oTq zs1VwA+uu{E@_-6qn0$MQPC$irU_5S*p6^dUg^+ITteJ06K!x@jkag7$k(P_>7bU3B zu49$;buGW$hr^Rsv|Tfs+kgt~H`V}QjtT;MEf(3Dpc6Hy5Rg@^8Pj;gBm*i0a)(;- ztQk{F5ZKl*I!ldxy;jLDlG&M|LhCzk(w5U4W{Pe}Vd4xGs={=+JYnLVV5rc|TfE=( zhBy4i4@4eNtFj)v6b!K!A!zjV zAxMqtf?MTVBmhyPoP201R2Lj1U#7)#L6p(SQNu(FN?6U0p~Z8-<9fqBQ~LTxK}qIA zXNU%x9u)L}zEoq9ur=_j#p3yrhdpR&cx;dxBXw9`NU?Y>IY{U%!Ap@GWOSxK;*!IR z&iVEXFgj1x<*M6Fk6(`0=V1dTq%JjX=;ux5g89FQD&kZAo}c5JzE6}$jj}z_$lRy( zB=l+73vebNn6W0~^JAdH8^Nm!sj(Jp+%0Njwi&1?tk#cR4g94~`R>2`GvNhjF|e|XOr)JDg35@?&hEW6?S&xCj0<9Ko7RY48iovcMS1})7@ggK z0%&(%tVl1w1-CkA2lJAaoR3qlNH4$z5%rS$4n3%_$cl%F73l>OL9paWzaRqXjv&1N z7esbd33iW2X0|Je7vPfU>?WNaj*a$N^#bD9;sv-QIx~@B5Xp^|B9kQJUYFcrCYu0H zaYZ|WgCy>CNu*-gZiIGmfL=8d?Q5R3?KF65VxtzR+dXz&zc^QmRH-L#h>aU_t4jg` zDcm=UnSJg}Y&DtEye;V2RF{-INW@>#y6ul336wG~ExGA#u{Haau!}Ri6lq$!c=k2MDVe=AJvqCQ*~37%o*qKhB_GvewF`IFQ-2*p$kv#nbEyaNwp8bG})J_ zh=1c*rJP0Ay=hTQwD!X&q=wst+%QwzgAWFBub^6xHdudF?`juqX{M<+N5sx_BwQh! zF{E>PW4s}q(;FuqrtY)^`e#r2WT)To3xE6avm(8rZ=a_(+?)+No?y z3;6?JzsArU=BKiy4j6!?L{oBY&~jXgoHiN3VRS(~J!DHG69N2JE0}HR7N}?e44~iD z_@?6=vn}1OVME21VpdOW_!J^%yAhyBJOzyI5rh%VkEv|w0Wb*#3>h6$eOwQ8?$10njZx29X;5fro$Ia<@Fp~fE z-wx_<(8P+v!4VJ5(X+F&2P>s`MTZ3$LbBvpKSG1z0F>$WbP$5>hw6lS3OUZVf3dIF zNaY@Z?gaiR6>;2j_Bb9CVc+SL)8OCpZ=X#38zqv9(T(hpK>;mA^fzgwpMYa6&4h;j zu>+DdlSl|bthCC!6u=AKZe~Clu;ToQl&g3-6y01QOQyOXBQgby(?(_r+LSwy(O>XsPrdlvkuKKUy{mo8BH5x{M(34%t&5vO02 z?+{!8fB`58E_ua|yX08|L1n4x`E(^)zn>a*>RuBI+F8rTsZHe~3|r9m$?QXG7g7k? z&=65wL;nr*uS$>=`V|HS`abU?~rWAsrcP733Y7oGlBE91r6a@Q_H$i_0l`@%w&>r}y z24k*s-yR3yxLed3-5J_;JOjPZ-1P~1!HZ8s^ zJ1!ssCdLc42{4cjOtY?48uu^ZC~S5basAei*EsGp!=)oz<$l>gJXU$7UQNVS%oM0 z?jX!U7bdkZ`ZjBw35-gCAjY;T+JHVHfX0+Gn_L@7u7MzCPI65P zf<)&htpPxCK^(uqxIMTh*aaqZ5 z`mrc%X1!V^lXXm(fU{XIpLmDPbgf~8GRhhzOu*T!7pEeP%6dtzkDV50vR+_*krKuc zBR5(zRhs}zR#6ksb0Mp&*A}f9ZY*phcl>TCcDNEYI#U`Tu-P^@r^)U!_H$}#PE9?04n%DzSiQE# zV|?Eye*VAss0c81-mY`7+Vow}oUH-igF)V{y{*u`LK%wQg$o{0P8UiA3~4mTUkK8> za6w=Ukv~GDwFL#MIt?Mn0Mi8lrOe7lwH22FC{mk0_wP8JmJ!X<6^EhN$Sr~rvr zQoM^I38RhN9VL;RYYr~nMUey+Qal072eyU>39g7g71EqtzFwU~{nsz2gN)!C>DzrbwVl=M~G*+4i$mF`pK zqg1Y9hATp&4jcmE4s#`=hv4FTGbcRjYgPJCW?H2m+|0O=JVcz1UY>v>$i%SeX4)Ru zGYPT|;&E*LZQSyG`L~aI?h8KVBV%r(di0(A+k!+}j92tFF#bHzl#UFOX3J11mzO#O z>)!DVh~i9F80!Fi~6)ZSI{! zUCzH@rV=Xn%xfW9t4rhvYWR42poA%vm|ZK98);vU00fdb{{{|g=E|6orH28`aO#^MtbBqt{yNn*$&GFXAX^bqkB6__eIy>2PHQ4ZuJiVn~f%F^&t_ zX1zmYQ2;=w5P8tyl|?%PK)}#Mc6O9WR|o`wB1k6;f$D^1J4^`r%>c+t`yVebhc@re z7eAf9bg#w!xM0=Kk;_vo63iF<*4wHG&4J?_IDT*hjz9b(fAMR-I4})L|L%dKk-@`0 zl6P7HN0j}mVQv_}Rpt@`xRnur$#$5V7Ql`OXi@-(`cwtq zKma&t&Z0QX>2$<67ITM-g>Z7ZxwA+2rUI~W%l8Fff9c`Reaq)WehSVpDkvU+=@#zp z2Ji!!UJv3aEt}X^u4ZI6WNc8ihxS;4`x139adSgZ)Qky&tzX$j&kZ&(Z~s7Ldq@H>jPntmu}s7*6;wa8CffiSLJZWNqdZT3A#Y-^0TOpMe*rB_ zouiAl<`;c+u(m0qc#R)4O>e3`vuqX>kSf2^x>LW;oi(DxbRC0`*@jLEXp~%%?$e^W zd_M@mwW$B?TRHx%(WaKzf(JYkgR!zoChF@X2D=O8kKpPG`cxn38`GzwmhaQ2fAUj* z^lN`UXb3c_cYW$HzG)UDw6@DENFr!m}Rl(G_-%nfbE>x$k`I~7g{3;l1nW# zA}31@hrOjhP=#-kB~?Oem=Q52v<4Uvk^0JjGO{+S2fWyzL{O({>V(#gqsJvp)!xRd z=~LBXODmSI!b#OH*7ikC(x}!%Craor`Q+-(|2ALCrtWJBm4fyU-qh#{HH0sBJ`i`bg1xdY{TI#B-XN56FZs~=uEP&6KEvo(8^r>)G-Sqn_-THxkk zln)(6B?nkx5NY>lxI^iNQ&vNb4Q!Kg6_voVNV96D!f_89giU~Zi1O0n)C zn6ouI2uMb0hu&CP^Za9Swg!m<;^T1W&C+n>zT#OcN$U~T=h3~oS>>Kjj8VIF?@`b6 zv#><+$<3kvZN9FK)iI3s9>M(Cu`avD@zK32n@5W?;IL?%;eYX5NT8|D#RV#XD6z4A zw>@^A3k@_~5?Di%MBLom9nJWdBq~$dGK|7hlT0(m$nMs~cD1Iv19yGDkO58kj*DYd zBrz;kYJw;Zq9eF|HZ*_a=Lt$GeKTRdG+9-JYTJUeF*xP%5UEvV zBM4M^JlT%K845!HPQ?Bwa!vw55Z_ppt)})318Gu~&CoYo`&UrDh~^RdVg-G{dX65 zRnz_`)K$NK-Q;0qJMAxJ#G{itZ4l8x0>8{#o~XFi)u=rTFXpEenzV@ zJDse0?Q`^^Rf85=Qd9oiGBpJUFWL;N&B|_{ve_I1Y|%lvha&6BI~$VU`{IjXN=7VC zlQ435ist31N#FlHfAdd&YiLsC{PH?L;mLdDC=>^o5vfW29MP(++!e?N95t4+uMnJ4 zle*vmahW77fCIG#fe@r7bwSQbmlOm4s>%&PYEl;*CLDx66_SI5gA7#4nx_c|E!-`V zQgDXOrQ@mAD^63wU6(YZE~6D4Eq{_ik!2;( ztE0j8_3J41RaqfOUG0Kf%wT~ZEqP-&t4z>^ zAa%71S}_#K+r5sr&w|v|E@&-mOfc`!v0ASvUaw0YF-TVkJAelq?$O$zB~25KE6m7i z*JS#{*F+AQ6U^cDqB*VoJ)*0ND16>g)XCS4NwP%!6017D%<>y#(0 z&hpZd$T{ukNk`qYx5BGCXAq73%eb0s*6ls|y`%q6$>>4*8^C&W?>rrM8sA|Hhm>H| z(^*X8UTIXGJ=8a)d&e!`r+dHQEr01-pLu;LobC5Z;0BBCm8~V-K!do!-M5LKDoBT# z5s7U9fCn}pd1tq%I;qCcH6SRu*H$#j2&h!>A(=`of}(r<&{$H+Tqwz5(1++X6CCY_ zl~=2L(03?;=<7w+8MU*xI+ zsn$}sEcuhj5Tot{>a|EILp4+tg$@##j(Z9=8)7zCmD^=z+tom7Bnzs9y1com0?@q4 ze39eF$fYT-weT5D_o(c#pZZpx%+X4!Su(Vz6TXq|t;6#EM5#!73OqwAD&Mbv-;(&Y z;Y0oq4rf{k+3@(kMr`OX>yi}i9jJWmL!rjqqE<7`u#D@0WqkYd@BivofEYAjiCZ0w zks*-@pY>Fr5_hBqs>UW2K#51`L(VHwv$!C-logW*S_83HA$Zna(JF`?5ED>i zEfN?}MBIXueZqj`uX?^tM|g$v0y8maWvw;LPv)97Dl8VGz1Y%1MloQt#c0yLtv1lH z`P-|3;)EFVx8D65Z#)&ty?*GC8Exp6Bs0T$vJ`T zVFRMI6A^sQ2@DdvXe)l7-nN({BLHWKL=#ph%%x+EGQj=%MIRT94Ai{q+h7hek5r9dz1Xnc+oMWz@`y!qYFB z5MO=kJ{))1?}~a<54Q_`H9ZvatM(zY!i?6?m!rdc;EXd`N8v^(6zDj|%^U)j-i|qT z5Z?XlP1pLT15Xl~|8&5ch9}{CtFHbhqAg}z98ShKhx2lnZXq&Uf*exY49V$BZ^*u~Fy941_M!M#APi(WXBMP7b-_r8 zV$e9l{47Xy>VgKOMVsMzDfrkdNOf8S0YH%M5cK+?)v;btay8mIgj}sDXmqn6<5&wy z^j8Fh=Mq>iuxz%1eCYkq;KSscFibr|lVy8owa2z3AP7oEv)_?zvp%*)*?w^JZi~_< zy0X1M3M??m!wS_cIXZB^tOMpNr07pS`f8)oclMYH-jU3==dfBZ#VVvZ);eTAmdV)a z{;W8;l#&OeZrY>g8w9>H4rs$wLglRORTWTQxt`9*j6APVwWg!zTZB2}I^-3(3#nLE zzdhe0kfhO+0O$wgYkl-l?zbxIMQTD{rq4~nNOk_>=8E-@-nbs#`K1px>mXRqmGAVt zjQ5$g#{Qwxq!hMJK2bCcH}iPk|Du~ky00UFq!`nz^czfN2Sf@GYDGV$kc9AgWjnlF zl5Xbw7Rh2N5F`Zff~Nhso%WXjVd;bcqOGi4iyD>f*qvA2{2iAt-qH z;VbA+-Y!S1XsX$^poDE2B1TbmXe~s^8D?z@E-Nh@E*3(%l~)uUSHNum8YswX*FpD- ziZj6@rd6sSTP~Y(ln2rUF?a-8ldTupnwbwR3eL}QyJFuyQDacz)r#ZQ7WyBO;=-1y zuFxpx-#8DgS6y+Bvlh$8#;e8BP*?WtWyN3;h_KZ@M5FpMwuFZ|CI7f_-;|OV9loscVL5lrQ

07twM(z0-24`|bD5xaB(To7O>;;SIITA3edUm04$1rr8%X&y)j z(gkqAzyMyFe zx%H5QAVYK)w8V^{bvrm^anW3Izgv=b91Oe*%xDpZCYBTztw^qxOt@gpJ($;9Nk&~R ziOFE-vZW;2O*G4%*35SYIUKgeBK!m9MSb!l$q2*OM6)e#IM(dk@ClAr)9*nCN8P9< z>ySMk73hVese9gs7Y^S#KB@Gr)B{`JtLQJ15rLdMqIDF+kmF6!wx*9#v=3{MdrXnC zx?Qqy_)JxLK&W2R3B#$X(-Yi|^a8RSXabHO%zVm}^hkqn(aYoM1;eVP_K);l>pYWP z$~H)*#gh*s>U%WQ{sx2%s$eDA{#+^FZ7^^kjQ1?zk&!a1sbG&7p5--1w9gIzPuZ@k!61Z;q3m!rtqQ`y5Ee{#-Y)l$s8PVUz+n z#v4I(&IQD(zyFNxmhwoba;>kr?ll@HQ#98`12r!9O3hrU+Zk_od!*gtZc%HpX1w9` z;0^!fm;FZ{@!7%tsQ!bSeRtQ5Bp0@Kx3uOP`^w7PE=ZPEr0*e!AgPUgasaSj!Y36$ zP-nN{M-`u~*DRxAMfAP5F-4Bg&^@jd&poVu8^|R;4x`P{#4fQ1uu$!aReZMIDkBjF zUv`4c9G{`QOln{_6311yN0KS@bbaWXFz#V>mhSI5u+J-wYwZZ@%UcYv|r>H@z`4Q%H;v%SzDpjk- zr`jQh85&2W14`JD4=Da&+MnBD+a7zS6V4Y8NtvL*5w=z1!5OTO_=RygdU@Q`-o+-$ zrqk=B_Nbl-E@oqT{~eeUc;^)X?~l7hvoRfii@=kkchYmjJzW^=`(U#3&wuZ~`r1#5 z!OU8^^wuydWP)vFr>q0O{m4w+TpUdm&!<3+&cqwv)NaL7VrZ*{qkR?0J_;f#uWR8o z8O8 z00Pc4-=6Jag$4*7y@xAEF=MtSr;I830kM4j+ef@fZDsa-j~>HBovWtbTh9;-U7trg)iT#-S+UbER{cyei& z$K9gYWw;ra;WPf$-~WH!A24%m|MB?STjW4H!LA)(bm6pX3C_N<_}c{sCmYZv6~Up& z28_Rp;Lv0Px(qG|0H9Vg0XMfscKZtFNSC1q9+7mWfj^>0UOV1HkS>D@?$>KA5*|?* zs0GhjL)r(i8|8x_%`_Tr;LBzUUcA~&4NJf|l zYr@!fV;R*5?Q#?bS_2ZXB2qo#op0hwDKvXnSq4ucUaLXDHDhZKu`bpf#`+v21F>wQ z3=kx@hdvP`WAWx3s~~x?FrF!NjJARqw9UADZK3ZP-B3B49%V$;Koa6?pU`CQ|CSnk z0C0EEO@Yk&4#m3OdxUtBR?HXh@Dh7NsMzc4Fq?S|ehxF*_lXjz*y|?M|H6Z*@a@M9 zf`57FR_o5(&zw)d{DT!6AMn)m%|A#F@&({$PMLPJ=HGabb3WnXxL%=X&ovKwmqF0#ungpmcX6yKsI?@Y~bFe6eK*qZB(Z@>G4%boBHzU+ng1z|*V? zCa$+@rpNgLv)geQnITyno0oX}Ln=6Yd9r|=w}-T#@$KLJAq_~r@8Az9zR3?M7Vw9Z zAD@JWbYNt{+->%F-Dd3s1f6J*%06p(W25W?ggc(vsMB!dK~-lG2z=_cYa#JC-`tbE z#>j$-;R2HbDma{MhtIlM$ee*vC&EO7P1S7&fogx*PW#J!z4diMSj~d@@P{$QByTwq1^~HugucS}g-g)EGn`Eu;+{IQA#smd!x-d7Z zw!pia>Od6~uh8Qc=yqW2Sg9&1z6g4r|D0S|un!B)rDueG!h+Ww`{iPnix^j%e)pKW z(l1vQ?7J)6Wk%?yyTYQ?KYjM!|D^vq5c&$o@eGuKa}w|q^ORe>qP%?uEv)7#)8EYK zOL@ahFnD7yFpNd3EGSNlgB4LdQQ)UQL25zqVN4J-2Mh7=F`S{pHXtsHANoKq0}d46 zEHaxQA6gWyA9{_E1mf$^#c9q_92gS>{=;YiP_%1*jQZmyX$XBzUf#;^s(kDU__+go zRnheD$yf}kBt-%GX|6zZ%ijZjSRhs!$$WRf+o1G6JdF-OHQ%0tb} zF!_j7u0-@b4ujZ1wp5A$ihOEh!k%GvT*#7k`@95qiB zs51MiDGz`kdI{(o>Ig`wYa${9`S$yY7$C5btO|1V9l=~4*sa%VQO>AL2+LnSbS@77 zYNrG*DF0gyBo3X+0|*+~XN7Y_oY~eosGR*AZH57QotA45-ZEs36{(zEkbPL99zlp} zxcCqhbHCt?1SR-JC97YQZxAM6fFwsU6-xM4 zNmh4gzvXX7{sCACK1G#eb&JqoFXxFTRMJ$HWWGm{slYR;mNu>@&~$M<@u1nLZH(g3 zE(e!9YnZ64Rfl^z%ku=D)UqU&=LyoZAT<=8Ca9AHB}H&5L7)en3X{;i`U%nWbo$yG z!d&%zGJE9?b{A`jC=F1<2npHcj(02wT%gaZkP<2wEm&y>6i%ub_)J?o7-Fh9g=D~~ zVqgf=2jq5&LBTkaTiQTyGl_AABr+Lm2|^o;K}Q2$2Oa|w0Nzi12gRfh_Go~Q`y|*aC==2<8D#w0?b_w*VE4}819KY0w;*LWSm@yS>8zwu4qCrWiY5um|K z)87oSc;z7$_G(NuccY^PaxYk%#_zT!7O6Zmh{`E9gjWif)eR%k2cn`y?O zjn=e38G@kCY~z6(4&V(3!z4o{kUq5Gz=>$hM~B9tb1;AdvdD*w(heD;<{Yb709+VQ zGmO?iInfB_P{63R7%(l7)~bJXjsy^)lCG5SFoaHxU=^)#z6ru!E{O}!O0sZaKMqbV z*;yfpQKRVnY9f~8pkXXaiES2^8jLGcMQiv$0KCi5z11yA=G%iyZODU-0^X`9DBmAM zpXdmny}%e+P0#t7qjjr>A0Z>FX_c(K1(P0#4>X+fBj_#=o}iihBpJ0#uGJ1;~SAmMQ4i@W$T zZ(?6&1SYd^+LL}p?RIi-a}YBJF%O?Z!yRgSrMjmdVJ(X1Rz<|!+8}qZ0qOcN?<=F` zOJT8|H9s(heoO=4lhnV)kc&59`^dAqC{|hS@pYr$RwBqaL6D1Out;agf zLCkdrF#%)I&TI`KU@8Sg?xu1?1VS}dIB)y4SB6T=tR>!_Tl8~Je=ac}W4d@DHR2Z0 zK#aUa6n!Ob5szy?7yX#c*=xWQe%{x=@UPz=`8*vW;`uxqDe{yJ7Ng<9R1L95_LT+N zE_m1ojX5ynATZR3JOpV9i=Z-s5NwWeK(iuEp$mcsM0qL!Ffn53SdpgC1%V1i4-}LB zi)Cj;nnD-EaCJ~W(ztH-g51bsjcE#95TPTI1!4+8!`Pf71MMQXLzlP0b2mn4XQ1ke zK~|$*k0Wx0-eZ&7QK7;vma0$+0goyaA~sN(MA?lTDTCCHZHU*7GXj!gidAf}#ti$a zvY8sfIAPh25EEsyin;9w;4lLFf7If8o+=c3=-OMd(K@2 z5M;xQV4b$hsjC2j0Ib$#40CERU>mEAg%h6Rs{2F(wsBYrVkD={a%yp3P#YjkP=>@< zF{c){1q=atg;r2Bo?o8;LtthGe@#BNXgrsUgdtdeX=EzNoLbxl7y??qk{qoYMZvjZ zR0R{+y`m2Fi&M-Fjgrjz^Hgl1N9<#A&_ne@6vnI^CqwCO=>=KbQhLGsG9;u6)?GQC zlEP3bjZiqyNY%hIXbj}3@(vP0Ry$;1!DEv+HAo7QI8D)TKm$}7o*H);iNROAQHg0& zVs+P$$myl`9Dxe1?ly9IxRwBQOxK_GTHKWW9JhR*{(RF<|G|&>b5WX6M4szU!{ z2y;VrALDhFZ=6LXXwrjMEP}3&Ke0L6T_i=QriNKpitCGyO2R}sH^A4!Wwf9l=iC-ii zlZJ6_9RR$t$@z81T5_JeN}Va-|jqnH}A zG}DxgVz!ClvY?@=wVwSh3%KmtR zW8Hj3QZFmg7=DLDkXo z?FpCVumB9dac=Vc$&+0>-s}mNWw-23i_Lc^T$U{cH&jQTU6!|%kpkyj7LRKEFSwg# zm*pB=7CI%w)lCMWjI($h5X#v6-?-&lgUbBh=ltQn{yY9jG6v#(dBwx3=M}YVhmBQ? zfz~Av-^zGs0OFvSTD-An8xsr!Gg@lv!g@_D8wlnNE;r23pXaw5Tv;)D;@x1V~!DnzRZ+_Abo2W3kw{kluU#447=ko+F1SB3QA#Ah9^FD>7ekP-PZ7i%cj^5F zbijI)Ls0aZOIpZBi7YUWR=|a6`U9)^CpAzSfy- z)i26-2n&J6j7JCGM&}phTZG;$)?uYVOa{iqnrA(d@HfWyD2vmgS=BbZ8(GsN)R)%P zWNZJ(7hT#~u52R@is?u9^OxlRnp27MxvW7F2978`O9V;q>Z|FMfCQYu95mx5S%PC{ z2~an0LDuq)7Qu2NnA#xBeW$k>dE(pbZDy*Kr4cDDukXBxdE&}@fk53G&Fyslgz=l*b{VzZD?*&LghoN}J%toW&?H!mQOugiS z&>)d}>f~$Hhh+*bAg$(?$Dy-6G}ntIRA;*Q`Z1An9)sB|tNyayQpD2;~S==4;zjWxS1MCW3AWw;B3AX{yg4Bkx zr4#kwl7(Rp_k*u|WfMiQx+I=Yj+%li0Men(tz}^7lAv!u?~^zU7;WFKnV#tjAPWiP z!Gi_TzV?|Gu&%F(+7RDRJXnA_b{@g>RA1~t?1i8UYpxNYwntBo^#x!?e5|o1R&OPx z{_jTzRFc0PYc4kiD7UY0l#=kRgxrLD+KB_R`(#M;_ z9qP`omJBxzIotq@WJ zO{H&+(^0nObp1fLTt!b{SFY)p!N*j=Xl|O084Sspdut6k=IZw=?{OejWjlT_c#uIq z9X}Xs&0tMVKUj&9)Bc?cmam^aUhX{I)vHxD@$JT)C(=1@FE$P!6*}jn>pv=+)_8jafa*F(&$QY2eIzFzAiWjb>}^F!9k=u^@s~T4$!@L1L{Kbb^jC*e(?Vq zW$yp%f19smQ;*=9zN_bpmVbO5@6S#9_s-qpMb@`)5|?-ZBRwVlWzu(TI0%G$^?r+c zQ_JTUTBFC%59}GLV2TQP4gDb7pr$=x0*v89U^+!H-%g?yw`=YlW{0G19O>a4;Ie=eg10k%$nUPs>h2I7&>2TmzG%@7>tX=Xn&X@iLkhOS=x@1UBYkm;Q3$(_g1 zxOD2B>8csL8<`@L?|tQ$X<0kH_f4-2z)8bdCq6*&p7OoUejL?Sl{Q!OSvnpcm$ZM| zxifM6I>A&`)(pLpl^-j3=d7oCG)p?2I&FT{qQ5=7PoARr-M{@)zU>D>(P%j5E{X+r zIs3D=LS}@4DXWIE9?D;uPa>079aZx=@fB0rd}VWtVnr$%7fflQt#L<(U~)4Nq3#&^ zGi}aPOnqo78ej2%ARVcF3ToF$&Jm=58r;%54X7E?Dz+#No#JU0jQOjXCPIp!IkZ?R zKXw_yeOv(UT1lSOU3}5ywJ*w~hutf_tD|ELUwiZ6s+X)RSoVK}>~|=c_(XyHUwjjv z^7s54-}HT=L{eau4@Xv~H2;alN}h`-sO%~65zKFNtAb!*Z&L-erAl~4KnkT3mK;ku zVc8CygTx9{Zu-Fp%`25HnU}mjl~n96DY3LEmnVEB$t+CwmFyY5DHS$u`95Fix&Q8m zKJvxjM$&~^6}HECTxrHbg0PeC%PI^#edM5*=++Pkc53D;*%n&SfC&&mG`uOyAOy1t z104hpjTO-`*e3h33fmqwWM#muN1d|Hp=o=xAKC_qFe!+6CzTp`^D|k6?Ms;sO0N~H zRM>K@*bY$iOH+7Oij@jGf+qtfiDUN^6RS)&h%0r5FAAB4_Vf#MR@tdiN(n*H97UN_ zAGpE)u1&}3!42|IR(`azdLVOvp~&>8_|8wm|At84CrS+^w(qv#-dz2AMMxp+iJhwT z=1#TS>r@+ei(1PuqcyJwt-1Jnzw8S}WGZAFC^HoY8*m!w0$Se)?tP{Vkb!DcL$Jovh&G(AO+rj6Ct&DVmj`S^uMp;a%VwiGjz1y*3m8l4x;H`KFdBrMI zQD}mXYm0__#VZ;>wM?(>OvM1;WzJO0nTq>gZgj4hJCDVbZ~bl0J@e=sz;6p}fHE`^ zK&ZgC4sUkz2a$j$G8M~CslIaa*GpFaWy)y>ev;k%!-f!4#si>AZOiXfrUKmICfH^R zYB!%FCM0G#0Q%BK7}?FIsg!Iiva%h)%FV~bbfsSfk47hxQ@Qzo>-d7CE~rS z;_bsu_c`}f0AU+9l=mIbrUDmCSp*@COIe6cBDQHm1qx*0cRG123X)ZB&hH`4GF=BZ-zay7#{bZ`%Z~3Ob`v<@Dtm++tOlQ>#@R4@p zAa5dF>^awvRWCRyS!C+hs9E)HS9|ha;$|-}gSAo@>f^ay0ecWK5zMe)2;xwZxR6;w zu^OA6-|JfSM!M*TaTv{^E7e=lMLn?YO7%v*h!Z%PB`eh%2_s~lJK(ScjN`OhWWAtz zq4=!=7{8}dy@O!!42%OF?j%}#l#&+B89H}q6|z3>R&{9ZZ?S*zluTCG^3~B(@n32Ui=WmQeLAZtFUcPCW~4x&jJW@(;D`%AA$I^daH z3p6QIbL>ymKSk97&4%KC+8?UF0wX}6{=q%EIjL&7JfW%u3ileyaDT@y`_7AH=wEG5 z^^Qm<0058`Z#J|gkeJq%XkQ_5P5oO0mH3Q;90Nc%ECi{4iy#EBqL3&z` zBz3}S{P0n_QWaLWE0C&1ziWJ2`Nv07HRc$-c3m=4^kV}_P>exRR~_t93nBmrVG7vj zu`76wt6v2)k@Vwr(tqB?)q=K8uB<3qee=*5lfE6_2V)QVP5G|lmhbajKk#?{w?Fb* zqB^JzhORqxYYEaOROYFdFxpvif6=t7y3++QtC3XfgfkrsI&WWDb+8DoR|uQoX@d3z z&39C?A;|0Pg4^{$t7I5yW*LhRr0y(&=s!@kv{DYtydrg{3nI)WttPvgmn&6?u_AS+ z3vL14Ql+%ogEX@~N9s-&+;7<(Br5{p(Fls}bjjj3!^YTLHb3@RJ*O%w)%;YkrOp=X z_S1i_0S=)}e22rfn~dLb70xj_3O@N$20VJe>1+}Nx(PQ zb2?Rn&6U2}tMrY#MXeQ>D}C2f>3i=R{_l5wR74?qGg?b}7@}KY6k_)fLqoU7Kr>wF zVNqce;(~)LDwQSOY-yXxym0`XN^Q=vCEa7*3Is{!v9`2{9SlZ1ThiTrX`{tpa)&MH z0O3itq{DnCdGN|G-w6RDZDHc38i`PRfRN&aiT{lgXs zNv#XD>aheGXXjEWN*U@Acsm6j+o^Q|jRPBRA&A;u>%>>;u>>0XBicqzt4EACAjuTf zqw>6-3N+9Ivh|1r?acLxInaoK^q9rm>d~wokxxN42?LFi!-`*hBG9U_tQrOgk=t?BqAnd^K61$+wV`kc6Nuu24PvqQmT&mve-?dsn(ub+(W^+% zC<=%`53GS+?W?xLw;@XgH=AQheqCT3UW(H_8;a0*zqus+dL&re7Go+vG->>tVN74>QejM!C1z zcdmzBPd)5Azv7us`{Woas}Z?dFi!`J?mNd=YT`gh#oBgDD@Tv7SUOkshz8Uhj${BW zm zTwt=`QIu1kVI660cL5sjK;``(1`pE9lA{fYC?neFG^-%@ zFok0Sy(l$1|I}s`DSst|pU_{X6F!50Mu!QkdfFfHLB(+*{V5qT&Nn_e34E{9rd*zg zHqg4WzXG3{y#!|r63H5Kci(LpBp&zjS!xw&F}!^CxHxg9FTHU8^2LWQJ$(N1p7iVJ z4i94A2i3mM-GBGXSo!`7VpyU+D?Wya{}a{iSU zx66UZ5vC&jo`Jg={AWYfR%zkM?CZQqtQzHGdNQ)Bb zV?<1AwX+}aYNy=@y6sQdtopM(I4jR%<<*<=~AAF!2@7$ujx z4pCs$QN23?QYULJFzUU!kE8qmHO5UgfHqUVXj%l_K9D@J^BTu+d8N(s?8CYHAdzB{+$TNGfPI5I2f@!BiWJ5 zw?Quhw!i(+_kQ66p{R9;y9aDG(j71Mf{Z>(P$H~>Kwt^bzH(d!b--Hy#K*KBpa~#| zWG`c!EJ#J|D{eQNgJy$43CenA7G%KYf;JxrNDp8h+Cx)OyWn=U1N4^pWdYIEEAocA zAbKZ|UnLq3gM741X)UfA<1>zqfV#70zCT;xj^@=QOLTkme1l5C8O-`1lFH|KCFQR=zwVw?W!#eq zR^hDwMWwqE_rEIU(VlHxsXs%{WS`;E`%ICvuX;f6RhXD^X2X$S(*mw7-6_G^^1$mr z*~qApwYeI=bJLs{f_{tRGs8S3qf0#uM9fD%hocWL_XsM&MC3VA>12+ z8U-qAk~aU-dOO@3-ML>6L8J5t!JM`W-hE)?+Cyh|y+Ey@HI1nwR!n9c7So~D&_=Z@ zS`#3F9*K;5@NUuD(y|rhv>gg(oMZ<gqRgzd~YF&+{ne zJRXg+x?MG87ey#)P`@frjmG9z7r`R8)UY zPbeJ>>y?D@HY!^5gksn*&0@4sGL9*N9_wK+g;8CFARP=B1d@h?tOzrgI~z?Af>bvy zh=I~%gGCemZiykw^`WV0TyTpq$oMfN7X#NZ4W*Am)4^~-@am#d z7Ka9;-?C>RC=P~89+6HJw}C@z)fGvSxwjtaR1ivX&FvA_zWzDlVECFlknV_kgGsm& z66R|z4u(r^HxfLezjnQ`@@_hMzCGLH8h!;kap4dtsyRvK`vc|!68l1uh1vEzs~fc1 zppQX*5yJLXGT$LI){<}tza4vY*37pE$AniS=c!4E>bK{6glL&0$->cKbeaB(&N@Po zr;H4zcqBb@kknH#KS(!vxzJ9zMODCiuFhH9qI$1um=~fyZ)|&Si)m7fQVMxH5o`-W z0*Gk}4Wp+1QpgDq(v*<%v1bko=qeo_GkoFdAZ$QxZN0ejG=>Nxy%V01Y=?4d!SF)r^n7^j$6Jjiv6nJ_jkYg&qt4$I%S^A^iahbB#T`B6dN(;nW9q!a~Sj9hLXvh1q$9{Ib>eju3c&{|J4!7AuJvO zpOi>WbG|v^ypjT|qPVniK7?~+<9{1fmezVD0=7KA4bNguOu-nUGc3KOny!tvw9{4# z$E{LJ5?iO&x@{|M!JtA6o)5@pB=67dPzy+3RqV7ZCrug5z*HlW0woj2sHsv*GVd)N zU$)cnYqg}8$JG)7wp6f0z=o?U4B60m$izVySxvQD%@<()@E`vJFaFv<%xS|} z+Zbze74gH@;A|DACi*!g#qZsz@c4v50C^+xX+lsk{3f_vVmO1kr)#5S3_&U_+hXey zd92`hp$AQC?;$9GxvdCny)r_ARo6V@5R?bf1b2snl9cbz&#Kxj1SNR)b6g>*C5PUu zjnTygN9m%ZA{siU^v$bI5qUG2{mqJKo-Z>+jY-JVXr5}DvN7J8ICQp+1=3Bv z3JnoLFx$rCaicStF;~9BSCMTaxXUm*1BV9E%@}}u#k00iW;l0BEZ!_}z;Mt>hZo|MuzU_&3wkUY_z;#If}Wx zC}!L(YE9!D#as`M#y|Vq&sv|K(4s|CPG|uGT~oHKYTw5d$ln>9AoZ`|j#n6!N5i&| z`d^{Vl%+^yV%ySgU0dCN8kB;-ShrQ!Tv`QXJCV~!1+LIW(wrmJkRN)xI}$k!K~Uti zD^dd$!4=u8q_DcMNGpC!uB#pp?FbedNyy>aHz>70_bI<-Z_{Ue$?1WS+PfNKOcVCl zv5{Cr3zw{Qq_?RgEN6UjOY48*oA{(l*M(I#wmpf%nKyYFJBE}jk`0y=d>CEf&X^t` z*>gHIK${D)sk$j*DMh_Ab{;+#lQ1XGkqKHShWLin`cl(+c0mqSSJi0P{S4S5$YCp&jd6Z;NS$)w3rXFizn!5b-?HY`` zjbwFy&}E>K`}KkjOm*~pgLVsyeV6kDcX>xL-yw{0*YWWC-2o87X3czyfa+k~6SoMB zXKgE+wxjrO-!Je~u%+vOUtg_x)`toAWD%npfFO&1Z&38&Dm?ZiP4i`}t4SP@5$=tO z^!Lo_d07WlIr~TZ2Pydw4o`fdXkza5)PnyNL_yt1B<4E7CuSHKVP)ao+zgD&Ufp0Z%30j?(KMj{uSik9HjB0F)ina(IW`n;TH7}b<&o) z;2=9!%3MA*$j(J?r>qEvL@Km>>|EmQxa1%`SKX9{X(oajM*^nHcaf)+4D)l*+wr3h z^K;P|b;)5yQF=Q?a*&^^xu;!;?zZo#XVc?D-M4yeLH@j zx4k8^`|;$uALDM(?0(#g`|;m=*I)U(7lRo_GhYtAvOE~#`XxA25YwKY_c+koNBib0 z4tC;IWs9K(J6bni5e%IqrD0Fjao2W)<+8e;@g<@#+3-b|lV_j-2x;>`5ZHy7u)oy~nOIE(D zOD>*t@Y0KK&lFy7V)I_r^m2v}98D^fhafq)D7gqL*R7RYMqndomi$dT<7BiJjF`0N zzWCSu!}kaDRbT0@_C;gJIPJ^UVzxK;bXMor`=Em**=RC6Z5IVzWVo$ix~&CQ+V`-~ zdUanPbk@FuLv*wD9UP*YweNPnVS_Kq(4}j}R3lJsb;I-)-OV%+XazQWZj#K}x6nOf z2)j)Ob;&D-N)lqIVrq1te3R8$6OHIjeM5Ow8}&nR^MXYOH!F{k8Pa&J>HIG=-aIgE z8sU~S)khXqYaXHTt~fBwp4Kxe>B=bFam)8A|DM^A*t) zz}k^0+cOg)r65GdbdTa)eQ0VtUlDmGIIdJrXb)(A3^kq$Zqa%tP##cB*+^ph$~B%# zqG>|WL6|3p+FgBYE$)>ryd~F!7TuDwF-u-qxv?;G?qwrEgUV4j>1QK=V4S6H{v&ZSc z8?n5&fA+GS(Y1Zb@bzExpFH;yp$s(>(#`z~+bX-G_wcd!W?IU`l%Z`wzYL5t=Vf%k z!I9=wZW)8L#Qoc2D6MLwI5d@^uUH8A`WU%$?mv?w2z6}!F;s@W;!R8{Ux2uk`V}*R z8~VD2KbwF?(ZnEyFtr)KTTo$sn#0r2bMAk5`gy)R!_&{J`|}vn&sCpxGy0W`#n_^v}oNn@?MS{kgqOx#-i#v-v5Tq?)%i}@g8*K+>$VjsTDmOl^z#Y z#`X9UO~^M^vD-_21;ze<{_LCngU=4dt`&Ay>;=)3__lW~_DQ^4M|~9tTMv!4*hfbc zZ9w5EK4}k)ws^U|Vy4k<8N9{IHNk`9(EDh#B{}0O4$)}Kqv0!R?m-Y=@HlHEm3X-> zxWVuO1!pa~oYO*ykO67?&%%5FXEVt?F|-)YfGhwzmaL6*G`p_94ht z`}A%68&5TrQ9V`Qe~~hfnd*sXMl^9kYDrQs5zTa%ZNQ8(rSVm19nt~OK6R^86NSkq zjt_@s}&aMAw>jT^N~GtSHLV1sBk!5;`L;Zv*_C zG5{A3i4aL7us4$FSW%Rzt%w*L&ant0Zy_#!2aG$TrF-Np)%W=|#GT`+ zrTq(4GcyECQIwKBPl`s!aYE4~I-Hq1$2eO2nL9`4OFjCUn8a#|X`+)ncb#5O*XghN z(eL_0KN$r?y%}vfeZPT&EMWmkWVMwzl}-m|ZcDP0ZKE;O0T8lW?6m7N3{8Fxy7hIkUDaA1@Yym2LC^3>X`0xXcjDws8jrI!WT7&BEqWgkVmmqh!g!KJb|~ zpmVN_s1*=xKQIL?KwzwrMl|h9jxx9EUUzwa!<#?bwGpCc9sh8_;}dt!|HU`)DSyw; z@lD?+N~8pNPhw?mJDnC@jA=Vf3G(FJB0(-)F0Yvc`Hy|d|M-a`225fM8P(fi0hJ){ zZ)9tEbv#+S14>-^=&0I~CY&J(^q@|K+FT@#V`^q_*am)ARXg=_bzw4L2?OejU znj6{XRC7x8xLR?{6(>=GQxQrdQmV>+;wkj#+5VtPc$aQuB&RXutG+%}!uz<~a;61) zi~-_qC9gfMC@-}NhB z_qsc8e40)jbO;J#9=m4 zojl?d*X%+FQW?154&+Y)J2~Q_tIrsM^r~HOkB$b|2^Q(#6GCfXT&Mh)1$6QB+0k|SbK#DNmPR14KXJCBuQoMYXX%`He?o!px2upE?%`u?$>Mem5+d`bR_fb z+3q$9KLnYV1r+J%_5DGMjT{{#pfuo0lKBR0_o#7Gk~6zEQZV~@@wEYm9dDq&87PRqo>ZMt%-DZ~gaxr50S$`D*G zt024NSwkgS{ZT+}sK`~*cQKMGYhw#^qqjLm_wS8Jvh|8XIRqyx=H=F-m#GaidR{j{ z-XUp>R3ViSPpKr_sgR0yopwM;^;Ty%EjhC(9Un8Cs*>_rY9~?BmF+04tZ}~Ev_D2X zsYWd`pu|^8`*S+@WM)8K z0bpyho;jJhcf>28&^CU^R~&7A!}7JUV*17`Z?>Tkfyt-*6+bs$r?p;bWGi0iY@4)W zAsJIi*e1A92att?Qq{!y!92N4W|JW^B~TrKJ>Lbz2UsxY&&nycG?|2 z69BT+xDGNCNmNYXvKAd(c&huOds$Y&Hnbh6&&7&=<4JdsD0o`=zqc$< zf)l`E3eqLZkQ|{-=i)qBy2>g@4#M%D$SNdFaOWx4knY z@ZEB8N5y=J9w~x{Ng=cNg!lgMKk(tDn4@^aE&>#`lvxD~*ll2r7jhn4UJkP>WdQ$idH`Q~S6c^xx(SjspNWE8w;V0nZ%Uob}#ymz)j%uC4S3GM>iv@giFZX6)OS`jDcz^HF`DB5bv3rjy z5*KWyHdicNSy66JXm#ZY=l{Yk_I)y2>DVB*k^UFy8G0plwJwgRnkj%7<*^nQES{rF z0tTl%KwESU)-)2%Q%hHkz8HA)=G(yQmonebg>MEyPkApCCF%jilg4T+)kj za7*`{qo>FB!U|}>{4PP{(XN@E-wQa`c)p4GMGf()57A}y5Mir*h%QGzM3Br65jWFA zgr%#8h}$>)5WV%}Q#8+cBVom^YV8x17j>NBId3Z&>=qs?%0j4dXOC50(^w95B-EW^ z2cXU-)sfaH{-B(GpvQc%zVjwHbrRg6B#iCFou?rHy^s#b?WjYocNch7(*EmEbFmlM^;O@W@*s%uT>>7}xw{S4t z@nU_9%{9IStQFXZ1;6cX=B3uv%2nF$?GGP35SOpm{I@^*uKQmu9w2?dqH~L&Tm5r# zWxTFx&ST+ z5g|`Fd@ros-ry4n{V}h z`+;Blm`^{KvWIa6zh<~76@o8;Rv0CKT!VrDvT3;BiBWy4ZHorGpbR^LRZ4ihUL)0t z6+w=tAwaCi+vY10yeygrD8oa0Xx`Bxm{5jBmlZ4WX1gHhv=;&*gHA(SPMT`9Y10sO~L>W2@Y8+ewFP8+%qmB#(;10y8 z^=Vcqd(;awcJEE@Q|bDepk76$o<~0t@!hPM?~l=$33kxNW2N)VHwbM_)c8#9^J!|X zQgw%b7}fN~Vk1Fkb(N}HgwlhYC!SF09<3zvJ&HgU&nTaxELoup@t_$JbaRmYs*pTu zN2t|RD|g#R)q-@B`zmi7+tnD3SZ#cMUstQ>WAwnt@7srh_(hkx%gSMhH= z>27{M*&(gHhjwQ0RT&3C$tB}};HyI2u|QC%bBWIC9kMlQ!!wXuA1tg(Jgc%tE4 zI$?=^(*6*rqa|*KHDk&hU2w%5F@mYAsbuKW`O9|fFZGVJDY+CkNXYM}`W*rwARExh zA*mL|L-i&uEqyZ-xbRXh#}DYRS9+@XI_dCB+#>G&>F6P1hdM6nci2l1^})*UFzyz$ zF2IZ$ydKox^26Tn&VTay5*%sz)Po~SrZOSJ(VXOC@KUsWWx_bvDyF z>ny)tx^cH~s1)NDE2jJ?wB14L`Y2d;Xp(59{m^JcqwOWl!rE|%u_BGM3(_Ccd@pQp zo9`8cYUj9LE;(jYN()U5;;cPYBQzA3g^gSfCDtjH|5MB7Nm#L7_D@47`>=BHDX+@U z@lD?+N(^^}&D=*->QtvsrAU)bT^K_tYotxV@4Ichi!c?_MW>rPd&XyT7X{IQ{NR{c zDH3}lYNc)ZuQvQ1CDVqv8ai`}W^;ElK)(@5^a(hXKxm7%>Dj9A{U~(iGfDSwv)tv>ixx+kd>;FOk+a5ZbyTb-iz8t!TXRtDN>n#XI z8NH&u*shq(9a_&;Y8R1+(9k)443(E{p-#HyBu?1H!@5)L&*fSa?-!s1p-sjfox-lD zJi9=s=aO1hB)dge0S39}fU^@&9_W-Bk|bmzQn?nOX-v+N^JM;@xjQ-t!`D2nwU2PO zTU!5S{B%X5o8)f2C%%$C!CFXl<3^753DjPnj}Ylp)WQ zHJj1Z@XMA}=30?6DLSSn8sRYN8lYT{%ri_M;^CCJmcmEMJj-_4U)to-{+RA8?KqAz z>Ok@NyrlhczPaek%>8hxpYM3=FWzO^6c<0Dd%^urkM8XqIb#QAQ$5}eTzhms?iS6a zdi*V#0#^T$Ke7JTpB<)Jdn(;jTZbh|Oe@mhumxVKNeJ4#y;hMvE?D?HK}Q3d&FDS^ zX{udtzXV)IRsheSQuo^Mz~~O z-8pMk#TtUEMl7gqG{kD@8;gK12NUJGNX9PL$YoENs~x^^)UwCBJ;rnMkR!fzhn=sEdpY-26Ui7*)WHDm$O{%DY|(9sa6@My9f`wRLxZAzIdqH5vjo9+mV3)$wV zdc2jHqv{i0WfuWR=f%2i^dlmyq9F#`o^G!N{5SotAM$md8IFKfBifqz9>Z&_6n>@! ze77c=8a4AZ3b+zqMy-|l!nQD`UaS`zZMs-8Q505_HH5VGinpM%Tr)qe7^i9}+yeSI zv?vp=@-9|;uSN?xJF2{z0QYmmkLC~{maW%DRU0ejn)zY1CGQ^U#yjxSG{3s4nIADY z5bXevM8UqUnP1(&(iVx$yEGU#ddhXXj;ZzEa@txfo0?0+*7hNQ*ryDin@Ik>s!Z%> zrqp9@Z9$Y+WJIH zjp?i>OT;;98zi#NLEB(KH^GD|53;%Em>PhoQ)KR=%vrKOeF@@XUj__Lv0g4? z|6rxq!e|kPB4xP?``@re@yWmQzwu4qCrYJ&(5;vMy>pkXtq~n*D{G3b)J;e=)23M! z;bT>!R4`m6pQEwI?p1B^TQYV!pRc%7>G<4E;Tz63b4f59o>C*GdzDs`R;CCBrGPvK zgPF47H8K%)T)aM8u$!@9f8cfh=qGVuU?nSzxhNXr*G>1r5XjC3bf$qgdtF8Qn?-0 z67VMgxWd-}d}%I0GD46_&jo`)W_Pgp1}=E^ z<<}Q*s8dlTUOfyl?oj*RJ9lRWnNp&W8cM1uH8qvmMVI!V@>)xIYWiDkPvV)^ZmzG4 z_t&nyzB29>wVLs^dO&zwBQ?{HxkgfD7+;x_KXPq8FERUu; z&a72_`#iIjNg)?ZQ*hC&FD|2fW&C6=n1gs?(4N;5hr8LZEL8-5} zprRd+gjlSaDXTg!u^bxZ5P0(#8;h<#?Hh=56s2P;0)nWtLwk(HQ~x&vrM}{a2JMfc z7I)fUna@!ZCnjm_5*+HtiWObzSW@Z1ToOb^xa5>v020|qN>8Gn=6b{SPD+BWxRuOz z$1-son7cAIpLBHbGHp#lNfoepSOV|S96jHk-2(6pIXYma?HyNrZ)<}^hZIl9Kcq60xalg@LOoRU#sZ885aN@bNFRBSp3KPM zx$b(je^IGwJb(4%v*#{}tOzy0d;`}!Ty?toRhqBEI>hHKd~=cpRU|%WjhF(u(81zy ze6k)@p$-JdsPRZC% zW8CtsL1n1%wLkXUr+&`4N$0PiF#y3D$GE~6fNn)|7ootiF&I?cm8uz1-PsrnYVK?d z(3d`-xa9#FrMM-AHpyYdosEGJ%L$j2L~WIU$;JSc5ip3tZ0x}SSgZJJQnJi^sN%YT zI=|}5TS?utdepa}Pk|}R>J5pOGnAQ)0%mX9t)^ZYeNGKFNuNuZCFx`6^C=)ap)&}5 zs{TQV@vsT&@EX#m-}2+%{cn>#RY{@F*o*=rEXjhip5-EbP=`f zHuTVjAgw43x=kH^l4PwG<_jDei{t&wxsSGYXlT)SjU0KVD00zrAkxP6fTNKX!#d>|8C^=YGp1XIivwQcAPYcS~AHloWLMpxRRyLc^wpY@p zw){=`r{k9I^G|>MmwnSO{$$ku6i(!+a03@HM`6530C~1(NAZ9RXt^)ENC-`9uw%^^ zSa^|k%Z2GGI~Y`u1jD`}#;FK75jrSMTZEwar;Zve6NXm1LrViF{;7jOofipBo*hB) zPhHS?k@gGB8WQCfhZg_T1q&?_g6o!PNs|?Rl7$wjLv}Pu_o?p}RXyF-=`i{^@SvfH zuFBKXjE#If!zU3=_{PiP-s9%2v`HGZkh^o(ZkZ%@j{yN`5j%ln!4!{cpH62rZ z*#XV&B9Ipxd;!7T&W2jKZEJ?8Z$K+A?jqEe655Agb{8>TO~<>!bF=!U3!YV4>M)J& z3m2`B)kmm_&R3^2iyqQ?!6;<^;P62A(PTkYn!9oEz&IJxSpxueJdAL83)MCWQ!2s| zc}G*0tP}U5fL5jTB+jdM$Z0{1lhXmGqW9V`dMbhe=L_dQelXI6IKR|O*5*OEos?7! zXwb_OI!pLplFmv21$0*WcD&9S=QGW9%vZ3EIqnv}hpObI-}qYF%B!RN_0NWKfe4^91sL&4HUuN+vj-=W_ioa=J5>_>_#a zM2wAPOj8JFD)G+n!q*5A{%T>)Z0@ed-2Kv*e#r0HMWt5JrCwQZ05@pA73l)wgArB? z!yJ~aWMeM4FT=esTv&5LA;`*t3vQQNglRB$>}GDU^)z=bh$4=LVaMaTGhU14&INHm zQiBmPk2RZfWT@zZn3HV$D7%#;;WBQWnJ^b5jkY#ggQ}#3%rjJU!Qe8%v)l@bUUSLd zGC|>4K`!53-l?KizRAf{i+k!(t5p{Kv2H61S1l#jdN-A88@GHLa}nzD&wuJ4 z93F)N)!@w)sD*M*0s78f86cn*NWV9EEM9CEENqR3)oR<8J#%Rm?J-JP45q_Q*^@WK zR}8jBjGb?bnN?|aLwGe5D6$vmQaYV$!vqGe;bNjvBbZCGLF%s@Y6Xw*RC{SPXxLqlL<*+1aMg7C2N5myD zJ(AQLyhLzKE6KB}Ho}`IWR!qLgP5j@kz)}RI1M5!t3M%iT-UPp7m2IF7d1m&t{>`> za)jxXMvhQ`Fj3a6Hb=k!nFn-Da#O0;Uam9C_o?1*|NX!Dt3ULd>J6gYqYtpQBQ~fQ zaM_~YkzkiCXl|;PTdTOP1xBmh;J?M-m{y~v`-HLG&VSpZD07*Er*KkHX4N~WCo9!E zs3w`MET;$=F4cT>vA1ZutCHz9xt!$e-U^$ZYe(0L{ujRJ+{1bO zJ)B89CKn3YQ93+bJHG1G;d?*!=RWHqrh=(U>z=4NxOnoeeZ zWm0%cFTgiAl>ks%-(LaLZLiE3|8lN)zk(I-akr=q0p=3G^^^cU_fP(pANbgFVbpfB zCv^>@qcted>m*6eV4+m75oT26NIDss!TG-jyxw{iW^PKXj!>A2Mok+VvCmol=sFdo`(O`MS97gRBTPpqxR5$JAsWMo= zr*TFoGg$at&4sKoSo_6V*@6Hn?zSN$ED*AaAlZ;L7hA*Z`V|_Lmum7*OS7M5wbhs! zbB1m_c8_Z@-uB_eWcPF4i=X>~wJ|cWAEoE!f}FDl;I*Ruq3bcFe@nP>PL%-I&W3KT z>HSys&`vegBO*824$n`VS-v$hO!EK!Pk7IN|E1?uOhY8CWT5@93t_=>fbN=%Kz~4_~Ea6-JLf+9qsI% z+Op1l^k9NDQORZ2ATp_WL8yOSaJ#W7KKsq4=~%aZEpM0Kh!O9rBKipxi`Xak)X&61rs%h-pi5B7w0962vKr4Jjdm ze*~2Q^{*e=`d?w54ydv=hZg;)J?6+Q7+IkbYSv5-_yxjEw7rWYBB$o)Fc|UM5rWygNf45@nnFn@{kMa# zRZ`K16+zPV(RKW;NgkIAgu8rffg0;brU(4uxTB*)NpwNAFAZ{Lah{lQxso-ZE1Fl~ z&ClqVAv^k3)di2v{-%7M<6oM~>ZQR}dTFp_@Py0LFAaqJrQye+mj>TgFAcX(G>*$l zg72%BhTHk2!CB>(hMN;!nzx>OYwmWKgD`}7kojl5yjY_aT6%&|g9qVRO8Y_pw>Z#M zvK_X3y}lq&sO-O9T;N&B`^&?W_UCrme|y1qAogEfV6;o#Uo2PJpWA7FWS!xf$Nrm( zb(O_ki$P2Kb367ICZ*V1A1>DWwA=FE&*Z83d~9C);tr z&E{f*_n@5rW_huxs6`-9@6YYD|L$UwS!Flti%s?WH_h*t?X*A6H{buw_F_{}O>IOG zrXS4h*dG@xZwirAxORHA0&KTQzQCQk*uul<6-{p9T9-{MwaGM7cd^(OHKoEkb$9S! zRha$uhYud~v(!S-BG@iwrwBf{|AOQz-~Q~o?teLC8oAM{Gd>@)dX{4h|J;Xt-@8*| zkLH}+hxEEylt+&X(YU9O&WZDTi6O_l?6w9BEgvXf5njwjY~pc)NE(89MZS417;`Y- zBWlDQEAo+ZL1bV#e;Lm>?rggvpQR!=gvRD`sgp<55&UcsWI{mCEevx?Av7BBWH>7v5 z3B?kourf!d3>|XH_Hc(qs;GR!)-5l7?~5;n)QIIJWj${BzLfQ6yzbur@OM(mM4COj z6gNooGGN!+nmteF%^UXAlXAuGX69y%zFrwJaGFWztL}p;4oW0v1ErzLu)he6#cI{F07BFErDjr3XItm#K97+`i zLT=)EY*_3$#S$P<;pt8v8V zFQ0$u`OAlIliwpht^A~uBU%?2UyW-ZKVbA-$q9z;70a$)U&5lCaNnin(l#uD$rNs2 zK{Sie#pRk5BfwYb0VvZ;`KlBEjsPyCaK+e-+%Ysc2 zaE*$dNN&LD)<{MbmTf;a-i;MVP2j}OQIE}zz61P3%7sW0bZ1dicjv$Xc{Dk@g(Vz( z%?aLB_N{U)Bhm*Q??%0@QpFgqVZfzQ`Rx#XO+`?>un$>Q{)v?f`!GC{JY2JLemWso zGoyIrp=f0-Jd`FMAi2J9SZYRbG_{NGug@`D0$kl)B-Y6{zPm#5z#i#H3tVsVVBGRF z7&$jVRlE7&pZVD@j8-DWg}AD9@D-}9govTqOXhKj)a)xHgZVPMpv@A1;E|M*bX7x; zexnQSfDn^(1O$;qtOa=kTrfb!J1p4u*3wg~g@%$JolSAZ8Y zI7aMifozhj?ha;%N^Tc+O5t0xiG5j)Dh1LiHW_n(jpICo< zQDQy|H2nFyQ<!uV#XI9V*CEv-Wg$@#6ove%;Ufw zsc!gIUkMM%Xz^T8p1DP>ah!XOuBYed_x$u9{f|HL*AzgfzAs$|VQ2!4pr$}46E1L#NO zlNtJ8j!Wx_WCsI7a*eR3huKGBIXB1A#st4XbJg}0-G)rv5KI&xKWja(%E90Q@cxKG z08FjrZISx{uQL}yT(b5b! z^XvX^{VmD&Vrl?BUPc0)AW-uW_|c$kzF5w@qwbS4?@w6yCc9}D7%suy4S2^J;0CMf zjprgg<`-y+K&FC^IRf&I>zAJMi!!zh^mzym@;$_w=|R7MStaxWxrjBeF|A~J(l7RF zxbJeF7-Qd_r$8F)3A#W%3O_{L-flyPKpI@~fCP}{BDTPQG)EUmgG(A$A=1~Fh|!*> z%q?`uBW7kuVG#@{;H|HJyTqF=iSm+ZgB2LTTFIn|F3JohQp2?G8H)bJj`!-eb0PgiJjP;aqk(bOYWevf+ep3{>|Plsbl%S^}c72b*KhEruPi{ z`g_KY`8|VVe$Tl1M|$IRNXd3O+6D6WGSv zg?$2CnM-nneOA9;k(%-QWjp;~oNtEx0woHtX8ysF$4&clJMGV^RBY(~B~_btCUs$BYxwvkZ@c+kq#;i}8Yy^>KyiAJWL=cuE~?FFCc zN=h?XF!>|MC;IH3o}T37j-V4|pfNakj56EjWMgo07{UKA&=;KiMHJ_U+JcknL+W~{ zEjUL;2=8*@xzTvq;B<)s!>thXdRTgFqVT1j zf=pFQ@3rJs%eU|n5Q3!5$}Q;6)6r17lM*(lN>FAym5W$+Ml4VBGje%~=H;oVf5rEH z_wW3PP}Ev%b46{XxA8s6h^vn%RKe}S*hz+YxiBx-DyyLn;uff={m`foX?d=%d6|mZ z1-C=Z%eQMeTxvxCL=z?Z*&ACAqG?=H&{GFxf!4Wu? z66zOTH?CFPX^d2bgj;r=!`;jE?K#=Kj5YK9!O%>O7bRVYj%%*YGv6R^e<*ham}$}Z zlb?+%DSuVqHw1_XU0)EUa=b^T`EBELbEXR9W=?PA)brj~(}vOI*%+Ji&s8=MZ3K?cOtwR5BJ%@so!FmbJ5!(u+S-x!mrjUu!jc9`9i_6J zj*s(2v{yPJfnET5H198Oc{+b?$NrMFOPg|eJeItRt97LsnJJ5#QtacF?^Epm=p%mo z2j6fG{7^h_Vj5Z)K(7bhSKROQ!26&I{T_G^RH5GkKL8C-IgK^hPf+uaYOE3L_rSr; z&?aL0J@DV(KkaFr@W3(QroD~*9ym%zQ)?5m z2VOD=ci~WC#AhfYD3_V)2d-1nzI@q}y5%dKHbqy5_DxRpljVWWV1{Qe-+r$LrXXO0usEE$MwlT&Y5U`6T>7u=WT&26`9pV}n^7e>6kSO^F*x42X9xF8tZiBZu0dM#htSLX|e zG=>o*pk|mh+G1hO{`9!{17D{_ZzbhqxIOqQ`ds_pJ9m#4xef@l1x92i-&>>H(Ee~w zFf%*sBg|t}2GqE;lCR7|i~C;P@~w@*}mPLeH(X++F))5>s=36?^g{I^nO7TYu>roM%@zmM0_e^)+h<=nSkEPg=Z>-)z$FLfjy_ffQFC?4YHNzF z9^kG2R!}{T>B+{MpH-~xQuiKpJlA$Fb4;rJeO-rs)b-yo*4W9ne`+tGY^gu9oEarr>5r2xbm9D&>jd zx8Q=e8&GOBf|c?NMThC1?Xd;vZ0C&1KTcB)-a~#R*+iEt1D1~fAZXsvfB0GDsfufn zW1OXFI{W*mM|ps1sxf5$-$w%KJ*Oxj;Wg_@v*U2ZasaJ@kp zkyDd=5R;qV=|o0uvBD83IxOWT`8cIOKn5U2YLmIa3gX@ZFJwFRXB?7o3`iYLn~x~D z&^SJTACqt#l6sBPQ96B(YwltbQPT9t#h%tPp#?LQ8G$w$;5KggzR2ZW|JD1x>hDL| zryq$te!Mn;ZB%3jG(7$P?7a)HZEIE*)@cEGMu9}YO6gIF1zcBHuelzj(&D`eBwZw& zd$F)d`qb^decKD%eUIHu+l0_oB}RdO*oj~%k)V-~hRTD&fOG{2f*>ux^dy!w)|{tQBMdSQ z0l?0D!DU8YhUw`b-3!nfgmgC=^SCHhIdqRG@-f7m4D?;XwsBipOR}s&*F)R4)2l+Cz(KFOp}@d4b9V>DsQnk)qm*q|@XA zyS!tnDW7G9T{ikzz)DeW(L|Rm!YV=jbq9+*E>?SSG5^FVcUs&+q5 zVC9go;}yXg&{$QHRP8Ql#)>HCmT+!TwNuT7Dn(y?g%x;!%$e%nGMZ+Xa@mEv0-^o; z<*$7O?O(aGp3fnsi$8EgGf&fYd3YG+b@#7(Jn$G( z`t;DF7hlNu@xFm;yZ7LwryfiIpmUHnbL#~E>2XSr{rHS0>BYAl$7eiAFTP8H6q>CH z@D$Kv)jm!Nu2v0#M$`=n%PG0B;#i!gmL*IQja{U*!V7X(_vbOC$9`qWcls#<)1cC0 zzrs_GK$vTyU!c_u3c|LdV-BZI)WDpK-Uv16#V^;cQ>(Bhu>HMjuYMz?8o{dsa>VwP zm-gwhCZI<0dP0MNP_2GFwOO~^PdQI|ovcqbl8m#_x`c?TgGmmGuT*WE^kkMINEZ z?u~(x{{9r5_ZXMk27XXJSJC+dMKT)Z(Xb!UF&p@+=o|ug93r}x?Ffg_^2%r#e$0KUkV_K{{Op=X zPiX0~Km$L9E2RTU&waXovK`moZP{j@_Q!Chs>=id)%}<4w7<-$iv2ru=~q?TPP~G+ zVA+oSWh_=~>f=?i8=y15!F>}10!f43yN@k;OkTvrChm3F#8O?Z>E6d;UFOTYKYFmL zMq4`2OJ{iiw3s6)rmzV1Th%MM*{jo!p0j$EV*&ULU;K%G@~c0l_}c2UyRU67{CLu% z3e@*IQe@Jf@)WAAVZOGnc#ekdO^*$RKc)+gCLVH>KALyPX_V2lLr$ZNW(aZ`<#>I9 z04`6}PJ)IHO}M~H_F6w^(EPf)&CXLZ+lCtSLW3rrskwN0W+EKTtwjIg(9w7E|6KT0 zbV>bG{9if8YyXE*<8wiB15P4=0*P7gS4hwE3MB*Ug4~~bDYu+>3KA%|vXY# z!f124X>=0%BBM~rDGV0poo_5$? zmWXP3quBddd1314hZUFYWVYMsqe9D+ypXBsqd4E(o`q-u`I@|cPO$UTPBmD@_h)(k7qrk=ha+3pU>X6j|) zCu$2jKxISY}U16wvdbBdiLpAL?9cj~w)@rqBg3rV(Zcf)};( zXiOL@(iHlN2Sh`9=rXe-1gYIz(1_OHUTyaVDG5QELKh@ZLXswU@Oq=0ssD=L4$Qrb zo&Y8j+KOut>BqI=(>MfV6p>!agdHjpnv0K<6k|~ij=|oNwI{wqi+@RyZl_BEFVFlw zW@MuFr*#OIoV3_#XkUFqx}L|YG*Y9n$!cV?5pSXr7)2o6!iW<(cZn5MilMZ>F!H1W zYT+!r2H6g?*<&CA=S~%sO)(IO*AU02Wv!wTEuE#~*HKC9lr&+81P=ZLe#m$9eAGvM z z$v^()pLiuD!vqZC5r6?c;8Z)RZ%5Q#0}a7@TLcTyC#_y(4xs{u=JddN z;!-Fo1&q)jn2{pq0o0exijoX7L1f=p?PE3c&^t#-hM8cuUn_qy%BGSG%YZe>fcT-e zKtSuEJA?xDRSN*Z5547x8Xo#U=GIzJ6HK{m>^$_j~ja5-q(f zR#-v6a8X2XJH0ISv`(mXrs=9)mc{bko#mbP6D_$nomhXKq+W$ue=A7K>w;x+D`P`zl9WT!=+pAL zAQDRK*}@~Xu*7n}?-)znQSwbZIPXnX))+E|`BChDeS^xTF!H{Htlze^437HUwJN166; zF19X(Fi+Tw*LgqDV(V_l*8N{U`YnIv@1`a*DeJnevl1W();RnCG2vn3;RIg$~f zKD0!cCU`(Qu)qns!$Ag0CmSSAm8#QL7>HR&>F;-;)|oRkHgEexcXVxW%&52il?0Q=TJ-l2)GefG2~&m@Dc z1LI11KWuuQSLY4M`}vp(tTq2!IC^@Z(VDeaW)JjJSyy_zONtZmqocbJ*dVTnu~yY1 z;4Ne>x!dZPRMraWhXs=9nO=cbgyg|^00B%=k1!oQJ=7}<=Te$suqPUtH6*nXW=BUC zB((!zYa2Y?&3S4InMqndBqX;SpPcW~yoVZa*D}b|AL<4It4>;ZsDX671_&F^k9_j| zGP(&%=ZBj8NYz{uY};g$TYIP%*lScCfMQ)>uc^Sz>8VwLSzxXSX7(z=URhwqXjey# z369lqt^|>x(+kOI)eHCTv+v7=!7r(8Ul#KxqAuf1K!Yk|g=ynde;3s`03 z%9hEcX+~y^1MJ_#4Dh?WVQXL%>d>#kkYSlzntl~Fy8X4k^|Aln`=Y9(B|fhzS-}sE zl+#3mfO8yImgXh2CQIHATxB&Jh{ii==tAPGjD;VX7vXqFlf zTrcy@La@R`pgbkW=`&m}b$LRNzLBqZTyH@U0pAGmLGP;R8o3|_G?*Yb7+R2=`1>x2 zc!>;hSQ5Tmd+Z8VhIFDr%P}Xyy6fXC#eMZP;ld#hQn#=nWSw-P=%%Qn;}L;H0VNt3 zAUe&yjqdCnab@&-7KvlPSyK7#?TMaL{=^cLeRz8*U^O0@Y_=;8$;96%J=Ot7{;vw? zy@1L-bU+!aq$w*R7r z*pRVmv(*?Ry=jF;^6w%HxwcLx47#LT@&V;NPZ)G=M~bG`S&eSjir+?QmD2uvhSL7R z7MqTb&gu%ozm=Cg?a%Glza^usMpNA<{^n7zs`KC&J;Y3vnve#!l118DAZ3qrq=@L3_ z*FA-p^$c?1niU6mS5L=gH4{_II{+`5Lsy1i2M#RJ#URFRZBRA@r#;8Hp2QT#FHQ&kr3Y35wc8<)FQll_BUrlpz5xPIyya`FsT{ zwVCSX~BvPs0z={kIl$+}3 z9DwX;UqP+p=!3ID?ULQ?U>gA|3Uf5oBbFX;GMFGsA(CKYZX~sm<7;*srPTz;r{_Fr zCC4OB!2d{X3a*B9!snw`WPljNjP5u31?wYT@%lV9_$)_1Q3o&&+$Ta*+5?k3f(uAr zS>$Zmy95(fV9zU95|p`+cf!#dPiOv1gSt;{obMB@$TNvz(XRhC*jMGHA@j=EOQSU= z-)s7%fxN#o{Fq-dKP&f3aDtb}Lo z@`nH(ytF^JV}J2{VzcE?QBIV6I`R(3ay`-$kfvNz{Xsz{a~zQLq#`-cGD|uj)nc5m z7G>i4!I1)Hl61ma(nJv7x6i5LBTy`IeffX?QVf0{?n>SYLpo8c>e$+6$o5Wp0 zEhqKmitjj7>sTsKZ$=d^)jF06RPIfB`FhNsjOX@euGoAqfhq`@?zj=$OXeYBukGpw+OBeMo31Gxm>a{xSUvrwt{?a25*S{NfC?Xo~xGK1zI9Q=J9_+@F^~&VG zv==(H+TcmPz4m`7ow~BzuK2&mF#)K3NPdmxoRVGFup{icCZWO+Kn?A(2|rDaidmV; zk(($0Bed97j*OCorwgtf841|J5z*V@p2vM=+>Xh2sJw&WBoK|azcS$< z%wt5FvApD%>^+-UzAe~LU;nvZ|Jt|zLQvx>W~W66L%XnblQY#O5W<*;ECCsSzf$K8 zT&sW*k?fhymMr3T>ze=&6jDqK4XvLr*FW zeZPr5jCXN>QMUSWXX)SvO)F>fs?K?xZ%QT(ZE9g8DB& z29NpU2bOSO)%2761CK=T$S&5bam#2qDbXdx&+Vk?>Dt65?M4o$$pTv?6f_f+mJ`#E z3=a(9CB+S7JgN)?G78A3BulMRBjSLv9Vg6;M<%ZaD=j^2%rb*E+^z3DEi|z?gHc~U z5-Kp1asJHcREB#Z10y-SI2|os7Q?A`t8C(1+)a(qkN1clh09kq@ht)8g>~dU_Qv<= z52LGBR&xp2-}TN_3EZB4SK_p|)8KFk*`L&q{m0(@hrjGsg$t;@WE-;EgdQlA?g++o zskFk;TEB6PxH$)f30-Ad@j zSP-C6C@sJ)dJxYXvLDf)0zU?a-h&;uSuuy~M+~UfCLJ83jqP*De%yjjMLZ)!}+>FD{_v0guT zaBC14V$BGz4R2D`JbEKqJ2s7?Mt1xL$CN zqvv19esf-tM;z&j@=sKdKCl+jbyQtZ{Ts0x^Qvo~=RlV3q+BmDAJW_G(2u0i zgjI`lBPSW?o2zFzAIp*)Q}0RAg#olJ>peWY92+IOpohX&v4)RM(j|_%R|F43pDdv8 zyn+Q5cm|#QrA-?k%AX)Z5gPw+H<%|F_pkWOg@5tbSQM#frQ=HhD7i~hEdP?%Nc*Ge zaEZGn1=n;re4k4f%rj3*xy&E=``E(WEG3O*6BSwLvha>7|L5kD5(^S{LE^sj@>`@Y zs`TJ6wJk+b+lbeC(^N74;H_`_BmYBC#pvtU zdPc#%3?yl7R%*{ENRGWFD1fl*{D*hRo5U8Na-0IoYA*2wC6dG+D)j(Hl&b1X(U|@bdNhM>yZEYQt=$+d0rb4rY95zcrdBd>tKJ_1)%}( z2qsRxvKkJWpy;c?R>$L7kEwpdlUQzZb*3Mj_O1K7?>WCNiuJ?ApYs_cB@C7klc99Z zFf=MKj4izabCe7|1yTLcs5%4%gsGW)%=kH@MlUYwsYkp)t>r6X#;+Y39HVNDVns&& zE{H}3m;v_}wk?Lit}N}Mm(}Ja z>z<6bysK`+@52Epv(IFbP1l~--fjacn{xkd@Qxp?$e4SlO7mT#>Q%jp03 zTYt;pOFp`^YUn&Tj}&Y=92^=J#i94mlm;)G%Et%CX{6wS;Av#r00hrlYm*N_YElmMUMXew;sS6(09mdqlIpWyOiqxbo*lnQisiWW$N@_G; z3^i#H)CxWXLDEb(cNU~3ErQHR%8JO|s-dy3*bB#^u}hwC)uJCUF%)=%dP&iwMH2a4 zF$_qd8a+T-lbX~ek!NHE7gZ?02UL$IN!mG=>_C?$y@hB&6uf`FAbCYx@`!me+SGC6 zw3y~R^Y3$9!-UDvK_$>W8j?dTYaZDm$@4_syq0`qjjuX4GMOd*kq@e(a|+Y`;)5S@ z9#fYBZ?(!?ikX_D;pfOqS%H+fMBGvhmO&zNe7st35)82NRiSli5=>iJMskly{!*yb zZitAan#!tHq9YPxs`V0t6EmlHnhR8(sI$_;y$IIUXu1@O6EVhc zur@GfbvRhtX(xR|7|QKq>%*xrV>dLAH3w@E)onWIZObd>U@f9LnEN)g%E6j7c}lz_ zfa$zq4%Se9BtDT)62w@|?Wuz`fUq@KLw}u`?zoXvum=7{a{C|vM4_|}DR0amt5v;# z>hK{9uUX(WwDPXa@t(~vpz-M@3@=zvy=2%XL^Z>i?4W{t!VdOQm`H(EhHEN?+4S2p zg?Y&!)wae7&*3s?w4`j4;YD8a630O@#K{iJYZ~E~xD}ThUVE$;!~5itXwUnJ7Q=fx zd^}(KT|fG-{ZAh;5!-`ihLc0l!nz@wb{*QWkth_DAMN&}TbRtspse#b9HZK!^k z16f(8wRkF4(*#cga>9bfr*`G|X6O^8Q=3m8e#2^DRh^U6X11l`{3Tlo+t;NakmbuN zh?Jy53Ib(28J{L!t*g}|Y1f}VOA%OgToUm-i@nMVWawx*is^47jHF7h6+uu-z`rL2`Hrx64b_|l63PO(Hlop z%=?L2E3hQ#ZYD|h+duAWe&ZK?e2Eo?5rYTxA4rW2z3@T=kb(VlL>!H0UNid?fUy^w z;utB76bvv53vJFt1MCGBTF#|rfBdkF_o9e`-*F(M|%a{3Z)GLN37wL zl4N?eK^wMFay1a5;YF+;S;-;#(JiM9S8ancZ6(vwy((jn5#H{2MfvH@=tdB>p_^V_ z7)&pwqnITn%JHKg5CDryiNbgf2Q2Z8OX5ASKBb*Puc|d!=5|Tgd~>BigvZdnlFYx+ z5&ia(0moT1j3JYOxIB8%Z(W}M^1%h{MF6>3?W)@Mww)?S^j2x>sZN!anhl*QRj4ez zCh35(9kDU`ewo)Q_2P@E*>Jwcyg%Ais(SZM64?>z%XS>0qA6rDj9(b4Hqznyo^9>&TpR!Mz)n@1OFY zc&g*R-Yws>(LJUuWL6Kb1ts$V5;)xJvWcZY@)ltP7u!Rjl+4Ke(Sucqjipa}>C;Z= z_5!?SFsZFYeNw$X>RP3(ugEtx>w7|xb{!`ErK^mWubYjo$IFlOAr->3IAX<^_Gr{< zKm0SF)cthSAvDnIW$BbZ3+YMF+N)<}5n-F9Q(|Of0YIG7=G5veUB^@z@!wD}@ECHc zjGD&Qf9kviL%s-Z4j|vJ1*3DseG&mQG0gS%W`e z5>b8VoTcMnYfTUqf}&Wl%F-RS$Pr574=zFc$lR1|2R)R+8bSUl0;l zdgVY>gWA72&;0X%@t=GXs7~+Eq*F=G*0)JjHhrq9!5S6^#;yLJ3d}DRtNaYE@8O;o z*`16H_95V0jpA=MdAg(Rt3*HLI7)HQDGqJXRK1cdaKlv`HC3|3w2`Vtjkxv__*0LO z>r&jbl&B*PByPHjqa@Hwac~oOwiR#*Gd*x3(PoL1r28ek)@XN0-?ICq+MJB{=6 za;ctPAkqs&`on{-;fKEc2mk!%hrgh(IggMGM28M2wy`pvK^K-f_V$%UNJa3tVFFY_ zsb-#eO=b!NHNeCa+&ZyCtVn;sSASfIFrWK0LAWHgq^mLfzst?UV0KTrj- z!l4{yyOAqWhG~?$!>=vgN8EAor(6;PY3X^P zGB(gcoFU{Z)FD7a29E||E@Ex^K50$*Q)Nx?XG>WQPPK8NB}w{IMRJdRWF8%@XI5lP zl9F+?ZpQ;0NIYzWxWE}}kDi`ioG1FMH6{kdS|vIEAwp_B^Jn!RBH>=`{f_Z_$tQVC znD$Q(QB(o!+d|A;wlB!(`@`e^HYd<*+Cwyw_lJY9FmYE9Lro4x-deUJ?=JplY+_1B zT}A+UezXkIBSZzEYUOWE5u$>HG&8`WEP_`wImi=ri(a7ub(HG*Cq~L3nO=?5AxY|J zp+i#n)eXyefB5&%4#i*9qEXqzw~SGOlQF+Nf+1rw!fKIu7|Z$ z&h3pS`Dd@r^g^jdm(y?>liWETa!f(|2S4*|AN6N~B15Cqeq%SHY)Ke^C9=%})IsiA zIWJG^Hgr{&!lU6)IC)M%U|0hKY$i1@GhI}&tpRT)ryxe*cD~Om&4WBEe0I52cI${=f7ap84IOtB2>M0!nv=*1`nH{k}JnQygd=Lx?K3D0PmC-1o*1ivMha zsIw`p2f*nzvL+@)iu-JW9hia;gF#nA#VYbA1SR}4!89)wd0=SPJbzKbKVK2xDA6up z6cHys3yQrr!2^ab8WV*Ey;)Ggn<9vriVPc0hz4j+vY>`HE?H)qVsS-L#notd<7ZiB zo1);?UbpCK+mZB5L?_om^6+Y?1OWP$H_J3W_w*wWF-Uu|G1-mkk#Z(!;brQqq-6KS8}Aradl4 zZ%_EpG9n_-FEccr*;}#TCMg#v-8`bV@a}Kr=#l0T-wUcZ>i;D zsG9)^;$pJ%ds-h9n+XLCdr}{|a(+GW<`akWp{x2EykoQj;c5U@mSJv{BoPOGCqbJ) z6a%gsKw3pw#97wP?}24Rs`DcT8aJmYkX&CA2_^Jk@#v>>&zrBD-{T$_6OlY`L4=eq zeNy!nRTr9eW4Xm`Zr!|=Jzce|^9uz&)ai+?{4384l>Q^3Yoj2jf+D| zVC9v%6H0j|xNFNnsc!TXa%s#FqCXfXp;j|i%ylCmH}@zv(h|cYE55}gXW3T`4lHft zSVGz&{UV?(x;@l*aeZ)8*72-Sn7jCtO(Z|ZH}PqXOf3yl>N%kSDB;o|d|4;l(${DKgSLcXnm;3$VO2hXM)p&e>aTb;)b?$figNXLpfl)*@5qdo<-X$$sn$z<=_+fo@V*wR>T@1$d>t z_0p|jW037D%f=MJ9bvX~*Va23Ta(*5=!F%*F*+BjFc&;`aBa9EaKwfwa&P7z1OP6R@Y>Z2e^3zB*#wAAyY9wFjlH&|D z`3FMlugxFWZ7geKi{K%WqLQz9Sj%t-_{kgi4(+w)pQvQk9)a~Hw0(HBs@MCLK`q6# z`6DhBI$1vH9#2k1RIhAq4^4~8R(9%?!|$ck8~SMyHeZqh2TBL9>6Al}H_04IqWf^3JU3TO&oCaLY2HuN1`i7}&do66{I>6S@%?`~iu3AawE-CBIj{smG}ERxt|13tMj!$`087qBXcCDoHJZ}~++47)u-~W0~j+sLDuDVHecB;f&N4Od4Q$H%~na{!gI;VKvC_sapCF4ZTE78 zzi=%cU9I;p9!gIV1^wdCJiKK3ZkzS;Y#5MHOCjmz3rR|I@nrRK%}tcqOElq*w6>0b z*MH}a|MoxeuIXIAPjJIf(>6UWnMBX^d;R&aSp!vC=h1Vn-&!EpL(@;;=UhMZxHi6G zNDwOU^@=&y-yJZ@NYpPzzO`kBoa+ZNmWVLKZwO6PPkX-oxo5=}^<2M}84&Cs`&Z}s zCzVxsZ?}Vb{%B83HGAU`>$6p5CQd(1bvE26e1RGKI{TW zc4G%XjjFIj>_!R;WIO!$o(crFKoyqsuuObd(*BQ0XpAswlfx2%RjaUM0vaXBhjco1 zSc01c;8fB`^0hvfKu>wlKkFUgDk^@-T}6iq z-Uf~n&WL2hF2Qk9sRi~>bM=0Xz{Rtpo^VFsZyG^SL57e#Lj#%M#^y8I8gQ6I1v!** zw^z>!5FzQ&&xe+BqoXqqn8vBVpS?8RXF)ADnk1m3gb3l;#W^s^R!PcpR@U4Sc0_FG zS+e?-q_nB|X`&Qtup_jgwQGuja7kyC=4nt%S8IxeFv*hwscz0?874u`1K-7;xXXe%%Bme1CUDb-Wx0*U|I0H*_JZG< z`qkHFV)-HU``!Q1{hxVraM-FI_d0`Vb>`>bD?t|kcy5Vb`^xGJE|`Y>Zmbj-g4qKM zSV*B}3c>6Fp2z|(dU^vz4V96x8QB8_F5UPu4~KONxyT+MYOgwT0<{q1mybhd4-mxm ziRgyb{s&`ecH4qH`3?AEnUjwSI;E61WayL@`MbK!>2GxU>uqLRx0 zHDAl7AI7?lLKT9#)8|VDZD-!)3g73|`FZFF@i$70DNX!nDXdu);Bg0Y-22heQ@sMD z7qB3f*|xhL*h$JW_M@#pl%rj8T?eY$=CM}i)f_g=TyaVEW7jW$lxNDCI|NDkyrSc< zl}t}IThPgQgcfv};@zy79_fpq<JfeQo_9eiP{UyL*Jl!PA+Afd$5{&e)vsS~b z9%8&Vu6Flczi`)1^nf=MLcNyog}YWPdt?EG%QnJbX_1djEiD$5TFqTcve~_p)pqDo z2N|uC)iR5>Px=nbwxu5dT)#xAV3%s0Q`(_iyjUzd_SV!f}AHg*_)-dO!)^wEP>64QNhr{tF* zi2$cW{4zjTT0deq+Su=V>^Q^G#*wXIwFfmEZEVjy$avCGnEK(-2KWZrt4=Q2QxRuV#>bZ`IlL)-O9&L0$LRA+&Gum)B%Oj2KVigC=V+@NhZpgKI z*g96>Rt-2+Hy&5qZ?oQ-#?EQ-#z3NFwi;JY4&4eq1Az)YBiqS@Lcv#gdBA6oEJ@Sn zp`R-F4Y$+&kIUjHdHLi*B6q#3jxX=~YIaJbeqR zUT;=*dzhbqwz0$)#Yo&N{eg!s3y_i$`)R%gvyen@A%@9Vz$-QPH+vl29`KM9%E!r$H? z4mh676Y(2Yx8-1*6Z2xIFN42Y9i|Y>I?FP{$aw(sq+U=6W}O8Wh<$(}C>6X6j>k{PW&sJaM;E9ouovw!F z+TcYh;DkkI-Mr4ayas0P(vzo`-u5Fu|6gyWm`|W&l=um?>z;DRdI@75Ns&Sj>eeWS zLyJ_t6d+3|20`#}wxBBnvt9~c3h+%0HbXG$r6a}`BU=x>wC4Wv^%B@Y3TY^paH+K4g8VrPJf?Iz3FoO_-I|A6+^Zs9MZEQwe@%dJN9Rm|v`8GkvNElQc(4EhCR@I4bg(u>jHn3s&M!ZIQq z^C6PaT`cWLMlMr)f8fMcSP-OcwzR&lc$!cmZ#n$aOzW8E2ZdhElcVew6e&I<$BlfVFGPw_dHf z5Exi_@8MM2T+0xo5_7?BzgGfY!rRq74?!xiB6yG~V(`wlj?4%_DzOj*C6BoAo3lCm zAxI@w1h*X+!o=V2Gxt!5x!?)0hC^L5{}J%Shod#WyX5Q;LR1yC zgen+qmekMJGk}GsUUtulrYNnDvp+ecd1N&z&O4@4x9prb z960aD41hxeU1f}Q;pOLW0J%!E#IQJq;V5kZuMP)}n4cw*Sh9_)B*Eu_Lj)}yyppEY zS5dh{)Uw}=Q@NmlS;Lm0(xqVW{OBcYfj@-UDOVb2TYR;bku=opjrEinfT|c<`SxK( zB=9TU$KeTA_*(3-3V$%fF$0`ATWFwHaJs4ZT=?RWt{{v&_(Z~eF7 z1t7ddGS9sL-2yUivnB@`hNxjm7tLIdHaz#N0KJ_D0=%r!C(8-Q?A6YKjHi9Yn6`EV zPPh@2GM}$lrmbz)9m{-qMS20gB1WT;JeDjS98gpX(hG3Gu1s6&x@P8B&C>Lg0j6<_=r8%@VZur$4;d$suo(0E~m(((!NdjU7&x4oK9i;EIk`%0| zNggn0NG|*Ya#o@sE6MuTv9t&V4oTb}l9RSQY;qp^TnF=NoOf!exr6_AjWPGH{a+QU zMuxwd1Z@`LXS`txd0r;1$xEGzg4|A^Xuis6Q(oA>NZ;+GwZ*94ol!SsyBPI%zVa3C zP|N-DOIPn)d0#L#9>iBS9oqkzzxX%)kB|JA*SrSp(!u=H8ujymlP74@w`iAX>_#>l zF+vqg?yY6L#;C(~HY-*}U71<{klh>wavO%Irrzp+@e!mAU`A&q^0Q!N)OD5~BX-QS zYXk+m;}#fJQddOC-ue^Ss6+0c=?BoSv{zjj^)os=-BkBx(N;#iL(Nc=03_9D&Qh^E z#i;M`n=k``5=Q&uD2a(l+K>(qe_`ZbdqEP~yW@itg^`JMO81y=rZ(&NO#;5zXvcO! zI8sSwuM5CrEyZH!2pB!;A4nT`Y|Zm=jb9LWt<&i!XryY*>L&uLzfhBa9j!MvSNZpB zO)!|T;=vRV`j)DrPdYT}tPEk3>5ix?awEMHdLSdFFKd(PF)9r4Nu}pjFI3v7Xk+b5 zS;ic>+!i&QlQ6o%0Qv!Kduq~BT9@PiwA>VWdv zrvq|3TnzHcS1e)hF{-Yx310b~%%V-l2e${-kH%UERE@Q=osLh1n>NK$caP_pr+1-` z*Wk85*DQND5oo-Tovhmx@r+%^7;7`XFKnK%?GX&}@eoq$YBDsW=R z!!gSF{+hKOrq+BHIF5C*#ybns<z40;xV zw4E*pQc4X1;oJ&@@8n-$McPgm#GKu&fPp*oASv;6tjJK+1v?EZ!4?3jBeirPNZaXx z0OpZFoPwA`CnnJaCoLT4L#NQ}2+JS#wm@gu?hZ?%cDPgHYe2M68+6rk2h|?=KVyA1)Kj9 zZDu(cn>D!!KlM+2$_qdHam9jZh~yT`1E!c+h8fOG_vXkdM}OUZM-~X?9MSmF2~Tc6?61=0L)7>&D&iM8b%A|=ZI{$8YZCHkuj=Q%+Vir(Cjg)>#bl0x*fs2 zu1zXPNY_DK9sL0@$6^8V>)?ZE4lRnzPckNvt(owxPqG5K#UwJ2Rj8e{MP^bvKP0tt zv1%GhKERl1S}os75OXk`&*+hP@X*Yzt?;nZ-qSFO@ySIjU0XI&w<-?mp{AeGV-a|@ zDca)E*JIvR$Kz4U7l%DY!nHp`dZ1UZIDjJXfdJ=v2DJm1A{dzBy z6cFqXtJ42*>Ww@UDBW}#Dt!k^PF@alZ+cKC%moNpe@iwXlHt5kxJqC(oA+p&Ms zmh0pRDtmcej&E+N$n1p9JngVs?J6%90__g@_I5-^tx7oA`Dg zRHgUv?Y^^$jSd&H#cMjod`(Bc7=vk>4DDJxOk-z+wf(D6Sg)u zK34SkKlgBa_wV`WNuR$ox6lQuJ&NQaJ=G!S1>;ZVH%_Ch1+&kO-VF{6qTr!Nzm^;% z0AKcWCm;yFqjkEH&Uk7xib(De_;A*6%()``xt7o^c}L*OS%b%oHKgurJ)Pn6QFd9vYjk;>oE$ZBOP#p%0@lRI6m2Wt2E;jhDDf&)BeD)R6|U4 z07^Q4zVUJXf}2X4a(mn_c;;#Nx8;QbXapGKQ^Jtcn=HT~bABtfhYQ7v`NL%>pH}dlO2pO-UHM(*@7WCNl%2y zntlswHejO!)!D%qC8$oC=BW{aYVDgT_84GYO)BEwF-VC)R}q`7F^94>#|#pENjOP{ zdx0m`%l%{rBY-a_Obck&WT5D42J&U&v&!u&R2VA7C(Flk9~^yq~-P zZYQ(P*EwzSr7h_Icme5chZlgmnK_VVnD1+VQhjaw{SeF5{Z@HMUCIfL0ZN^Brd0O79R7dXsv19zKtTaZSFY2DUl2rKSXI(o z?PL~?Qpp)#==zIBspvSAbU=XktBh~2%b?EqA_Q?Wk-$V*f$)n&%9u*>0+fKMt~ zNma{>n*z4<#zR#jI!rSvaW7GwxI98L#Sq!TB|>}BfQ$2fq9sDRoe1sMe)_#%^G#C` zTEITe;0kTRg&d)k6z~aU>NXu&MQ8wVNM;xGf4y9B^4WlybRY>vb+pOI9HGI7V1AQH zWef~y&M`-5sNrcX{ftv=)6_Wy3|u4Tb0dm8!(z5qom0T*xR)I*|%F99Bf^M6A@VE&$;hh*Y!lmPJ4!#MkQ@ez`b zag#m*bL5E7(zv+vUQ3R*d^^7_&~g-)dI+~4y?yNawqO4Z!8xPn>Cu~=HSWdS;@rM7 zrj(ll>*J$T{k>6d`AkH%OMbEO#Lai?D* zL_#jKfOJ?Wx~Rq`(EHdQA>c(Jn_~!}RT~tHY(FwUo3z zJi4Uc`y+qwkA3UMPGV+A?<&E_5-_dbxJIogo8={7B02F}UU=}r^Y3`xEHY90YMUQi zl}~7m^S_l(K3ltlCngc(un#z}ws;i4)_Zk~A1jL3>tOtT&?=C^-gg#Cd79P#Ww9}( z1rl)oxbNehQeI$nT*E->s|X}l8%QVjbA@y`%cncEI1V%eB>yut1MJVeHkb-Jf83$3 zMb<%b(D`nAMh5~z?&GG{WFpWVk5o>Wo*>oj(`hGcLV>z^?petJyJWWkV4t%N zP+7-#(i$Bm@E(^m-XRA3#~wwqV0&FMS`qe2xmX=N|3=Y@fELjf#U|h$U$fhR$5GA` z44S?3%)ilbhkTJHCRoMDS2VA9A#DTlcmteo?-~APZx{YnJ`KNTkS%qK`aQ$G{+{t; ze$TMea9@F+NcEm!?^}P*#ySZaZXn?9C%AopBqw1NDKb{Od#~RD8DAmEcT%W|60U5A zR|_;aAN#UDko|aMQ&gZ}^0Ysnvb6uPMzRyiUj+#}K0z6}&+W9obRMMRA1*`k3s+Js z*8=+$sGlweNc-w^fM^HuJ{=!O{;DpckoUNL*-i%(fPCx^;Qqb>(g8tVACTK=|I=!J zOveYLeqVJm?4@#=j?eA1zjPj?odVu35c9yI6Wv#= zR1uu$B$&PWFw(uhdX{79|4+X6AO6z&gO5OGNId!gpbkU5X+x10HXG^#ZggycZ2>Ip zg6jh;57l!B@~w5j!fcF&Nnx+cf_yw(@H943i0`or0y2$pq)Z}mF;@1K9&UwYwpP8V-IOFY4*a&WZFP{U*@`VdHKAee!M$&{M`ynjRGHQWbD zon)yQsLK)B73w4x9Hm{MPI5v1doAq>pEnmQa251R?t7WLT)Yjq%6i}A&L-8Is+l23 ztA5k4Zpt5L7G^_>8I6SQTqk>OJ=N1{xuosHpHKd>xbOM(ZxS~>2OW?8T{`GJ1TF9& z2q1zpK{Bc-K#=XwS5PttNYVu()pbcZS6XjlIptvseJ2f-mpl0OykDxsmXhmIa{cfq zx&CtZJ-_}J(x47;Av~E?ylij@2>z3u5Uo#~9sGy{b{k_Ja-Ud}S-v6$T4_B9$SE7v z8G_ltk4OLw^NRlr!R+9lcd(L@qdIBB%h_Fwi@ChiIF-T$YI90`l>G7s53OSkU)GHn zkKmrqapC94#GxtOXU#;;#2PG~dp3nUD-7cRp%g-%ZqNDMOz*`#P9e_#(TQN>HY8N1*Vo(wd@MgOvXQmqJV!*z zQ}G)%l_}n`>Pv5le3F0QH~BBxIwEMHS@2+wg$L_(^vI~N><@{Wii9Ofb7-Rp2Z${^ zRfZG-RjEj}!{b5qg_Mt_R0mpxiP~TtA+ofWNvlvgLkyBm7y{MtWjh@o=bK5ZSev}0 z)F_qgSWffN#etJ%(b7CB@H!`+I${iolqoe}#U}Pel`_0NHkUFZQ%EEzfor*D^(q7{ zWuu#_FZCC^j&5pUs!E(lHQ9RqCPvkdF~94zKDXDDT=|)YeibggFjb{rMe->>_E-P< z--{ftQUyC0-iU*rvYDk&C}7~!5}w<^Vg=;&Jk>=cHz*iAYDKgJOi&UAR`d1gWHPgH&&#>uRb@eHX&3A; zQcPx(bO*HcG%M2Kalr$!L-3HfA{wt7L2-Co5-A_FP*HN-_3n>2JT8eF1ZSJqecs^) z&^p*x(;h9o8O?|^h1BBaR3EqzyA-HR1+=MPHk0I?o^4iNx+3nZ*k~V*w6k@4irQG* zAEak)91b4_xH7RLNo0FqL!Zwpu+CVXs@&g)iRCGpNusFqzV)l#{Tc6%xL41!ReDAu zOr?j2rg{Ulu;s6+&0nUw)fvRkUsa8JU9bbwi}pQkw+7+}!L0NSnE5Di(U5k`O7AGJ zKGTk^V$MqMd_=BS6wd+iUZbKarMEe8LI%RY4&hrPn3WzdpIRz|oDEcri>Z{}2}Im> zY|gZ-HFKr(0K3#tmQas2zdNl-54POO^BxsHQI9YT)sHhT9<0f`CH5;y(Nh4|Mfvu9 zK_w2Oe|?q2l@~F3d~&hUK?J7briTdYJ5wG%zCD3dTzC7wvF^|(N~N|+SD5}UvA|5| z*iBv?wp)%<2k->+NA*pHWHRR<$Hr81k=%f7zWO-~U+imk$Bj`d#>$KEhp={j= z$$tBq2V|-AjoEN!dVQW!nsdqSxZX>FhLq<$$@F;p{lvASvABH;1z%j&+^orV)xj8Q z3MH<6-ir{4k@EbP5Atk6;JR#xeP3RLVR){uGUr9W!Td$w$L2-A`u-yD<72-FV_@BO zkf?OXrhvLfqS&B&nL?;APE(UVAD6bf0SlA1`;rJA;NB{O!HhzMF%w?uR03*ws%gL? z1E4hDkgZFd6}CT(|h{Zn9JWGOO<3&Fw_*jfp-BV{Dp z)eXz{Pam(6Ov`z;u1RblfxZ ze~0`sUAhJjyHnOixl3~Y^-o50YftM$_(`Rh!_RvQy5R261@nHQHbZb%KT*m@>qlr( zf9t2Ft8xb!smkYzAM?I1zjT$StG;v8h6uKKt$;|G_{1sZ$JC zqcl_uMhj@IAn*ogsAzdzFc5f{zob#CeFa-i%j<&hWv}O}qUCkLQNAi#UKbo~zoz9a zf@5@5w7f1D;J+cZstncR9uiy*vQ@ET!q+VDKcu(&Y*nexi(N^N%vM!`NPbWQXC0v4 z|0#b&Y$+B|H@pM1>a&jUaylig2YQu&fM%+32MuY#N@dlzqd3151xfF93aXH;01r!q zO)TVBb!16r)H_sR1N1?zBa_&vilQWSk@lDEh(aEl3R60Z;{1s|uA)#$UgYER9gpKn zRw-@reT_;l?iPqOBXFrglaWNUJ^9yY*IZPrO!bWAseJv#npmEqd3h$Hf9J>iqc8k{ zsJ`iWdLr82SLB~r0s|oppf4Iq*jE;uxFFi>iO>P|7(MYVU0zm^rGb2s#$6M#GA{+* zI-{64bXJjxe{a*9xR+HVn&1ymQvi#uQ37mM%qsGLCJ~8ZkP?QPt`D76q@~}nA_#gl z+=+9P;Kc6_OO2YPJaA-u9Ox!J;o)tHVYoQ)M?VMo|pR zk*ai98AUO`l9%1Q(;h<^sBw@G>v4+II_yB!bO>TPRI6vR4jbj&t901d2&+nmjZT}& zZr(WYUUu`wiTARb_c+9RMZu_Adb|M>$rBt(#e~v|PenD_hqhu+>IIKqEod__i<=j4 z#hY~VFkB+N=)$+RakwBG+Tc^CP5OixE;vKsF=lo@X2NM((l_&4i*I~xz4>huKT+#f zFXyG)50K9R<(*}c_8o_MW(XYV53Bsao*PHW{?fP+p zqMMu}26Jn1$Ic4zQ?~0UwKLs;cy+^)etj|7uAjR-Jp~8?##aXt4IkfF{8YszqXCo@ zPW(96!078bAR4s_PR!+GKtB{(XS87CSxcy(asGP*hKle z^MiSIsBtVlI5R`;BDAiNuwsUW`6X_GH*$qd0|NN+rRgqs<1 zVh{a<0qXe(GhC3z%p1M3|H(i2^^*grP%*~q4`QzE8L43clWZi2<&A6$fsrV22zDm{ zFlIsOW*01E>r|7=rwze8sMV-4GRZIt#f}KD7MAzM>}U zNrJSKPV_8D-Rvu#(fY61GGwo*o3kKeE*Ia)- zXh~AYi0mv)0D*mBi*h3~hsq`^RAM?zNqm4JztH4*Wt9%+xz(u>}eX?g| zWRWs@q#{Bur!W2Tk%ULh;G!fvG%wQZu|JCfm#AtquT{-tgu+$4kupL!7XkPjg>FZ0 z9M}WW@zH%(6+xtVF7HnT5c@Y|DtdbyJ9QtMY^EsfWHYq21dR5UU1|)LdjGZ2$c+b# z^M0ZwV4NS*UsCV?ynpMNU;NGCl&JI6CW6Z#D{zZgUz2%RO);wsFNPqhHgzzT14h_p zpxj{fKnN{3@m!*hAR!*jtQO#-utAKHH zz#L9VEq7fTCg((OSB4kEF=|85Dqt+ri%&>0_at*7IHnixPrY$lRlpe2i*fhc)0EZ# zzjFFJxaln8bvM5hur@PJvMcAv6Bd!3| zlI6`O%(ptMIJ}VB?YYT-@uG=W_tl|sK=oXQ!QPd{VR+{&U-1q#IZqnD=)9k3aTspL zVfd1-_@W>D>EP1Vb_RDCths?ed(AK-g*n=WY5bz-*fQ?U=!B}RP{6Z5iz9$F3_?PX4ucC8tjZaka%wk2kPbr;JRNWiFq`Pdv@CcC(qV8x zbQxHGBa$}?lX1aWR{auVvG}^M8kA z;%}5nor?oVyMNb-YI2qR_CKgvRY^@X+N;dV88MW;ZT`b;{Yw_m&M2p3{9gFt~ zul%8J_^C;YcifUD0DJ<7!dl7`W`^BJjG9I|(=K@2?tzTtihH2LB~G=k5Ogy{cEJv| zQJb>%V8R};cwl&Q8+wv}Hk-z5@s21hO70vHqvem|(Ana_YI7(wOd~1*7g{{ETShfY zQz3?dz2gDE3j?*ivDki41J>1V(^lMdLl95nEu&;#}ppZXjBjh6!) ztO%Nl3dSJxJ*51U>wG8d{b$dAk=s6X#cMkEs}R)tUyacG&nx~)vP`uX~C+xqB#(o%~>r2skNr55|j)dOi?1JWM` zLArVU<2e}wNuL&Lf~^2|-h0wgtEQr^v1ZiHf`eR&gclYZa zt|>#1k~sy3{GMcvHNGKW^R}L&!Pcr{Jg@6p%XX&Y|&NKT(n1@4tR$LJ{%X*-*`~z_wj$At& zEwGz4@U;8@!azO03mw)+ppda9lHlMh%aRxQ95m8H{)YVRk^0HAgAl6^bH35z`XeZ<~K<@5+kc_*DXfgZe^wMVdPo97U1QAt{17+j$s8 zmce1?>rrI045%0wT9ooZtkG42p;8l-k=6DW&uViTdp$eIrL8b>(()!-h>U2fh31=H;@G}xDz+L4X#80Yr$gm~OHqMhY5aNQ- zCGRgjQQDu|DMD@xIw@l=sq6yYTvN^fOnnLLOWf6TI&2hAm0W?@bowjQTAR&c!-Qw-CYAqY9M6ZaHd*~L>KNbmpr1y4}}Z@ zvbPOze9|{i^WN{v6*H?}nv2l73M0l`_XCXwWo#q2MGbSbso)UPk$h81>$YXhRQWXc zIsAj6Pn1ZENA4^5zwjAa6D}q>n{de|g$dV=tmI^%Vd;|br|gAg;;KNU(V}z-YuaNs zY>AJNj*ql_HGWnJqSFQQjZaQOE%YNZu*w&9@XY8L#<~l zPkZN{`h@q=nns2_fA4F4|409w0Q~6SLua41F^EX&2oWU;AyX4Jw;060m%KxRimC%! z1BFsa^y#?7r}8{Z<3dfRy^#{hbjst1SQR9f~OtU6hZJZwTBju)>l05 z0IKDRsL{59@?eV+~!+n1B)1Uq8 z-X2km@^8DjGd6Ba)B&L~>p(OR+7W198QjMOqnZdRAZ-B+L7F=k#Lxzm$iyykY98I> z5TvJnuJ3x_mh7FiWAn7=in^Br2KOrwSWx(Lo$r@;EMOgs(KpXJjv% zHJRdZ$qr^27Y;@>+|%CC8O4O;xq~x8$^Iw|X#p*g`y*jVyqVy=u8#hQmR`&>8d}`i z>$Z<6vVR{ArZB_i$|v2^!W3Fz`-A5?-4zJot8N~aHyeL`ZVsFltOq}|HNF&=EG?jy z5m6v_+6z-sE>mbKF3)A!V?AMFdS1ZXU}N6$tp#JS@l)USg`fBlp?x(q(AHQ$4XqqL z;A`vktmiDnC^LVqv6QL}h~;}UW7NK$qd;F?r=Wrz6<3|j;7{d(XhYz<8K&V+7Hrs8 zun;+iWH3e&vF&>KkrZZv0tu9EvLdcu^?9tAb4VCmt7BjPg9{Y zH?!&UPFFdkGpvame7ymxBCmP5{!^7V4{6z`oa=R6BN)2LC%J}ZL??ru!Yy<;xT^Di zmsvNrGV3O#V$ypo%&JH`-BFwSTyif8<`YSHsm|>3G_2b)Xjj zTEh958=0sMU2t}@RpeeUj#6Pxwg!|J)uFEl*HYCXd@du*3PGyFBB&&3Y>n#Az@|EM z!NYn(qB01cw}R8VaA$WhgL-Mf948z!pGO$IMo_8;22zf^%V~>Y&Gm{>Juty;dm!}|+pIWwrWT|H^Ft$t z!mI)T(r_;tL8%`2ikKrU6%Kgz()*k*MydxU2&PM&h6(zs9xEobRXH?zsNwmeyoN+g z3m~t%r+|u0v*OU?e=fMMS9t;u5kpUCOCDCY6RgUq2lXh-?^&7D>UN~XrBb(JEiRS11@*J)MsOjuS~RQM13Gx2 zz>yW%>p*~fj+MGao6bmsOKw&*y8UY!Tm+!#<^$a-YG;NTT&iE^`UaO9Qnyrss##~v z15@4j1dhG&WXwQKdeOEwsBJ}`KFTDUc?xd{qh8IbwCbk`lh@j$C5*b6FzQpD{gM~H z=i^`V8eq?8Ry3w{yIyiLVD1@K`tUQTP$cXi1!-VpTG#>kQ+(?h;8-yVdY`;AP1 z%*6m1Bj9VIja&WU4qy%Shht5^f#c{M2xFv7*zJziot-4WlcH%B|JKoqvGU=ez0W3695$I6t?X8)ABS14qnS(XAz_e6r&U1*brd}KfI6`Ca z#kqz1aCe=6$@yf`@Cqms3~>H5S(ZzKlDw5$BPfTC0V zV7EbcT84xlR!H%sz#alsfkL(;lHcuC!iC_F^4)sHw<;0?5UBU(cG|yNN&UU-zg+?9 zllPa@Kx9&O+)n!=Igr`Mcl*_@f|TzhU`_jTJNB0mA+fnTt#)}X`W}~4jgvN6F z?P{M39=qdeU-kV#pt^q9jsx!Zt386)0?FTRR{JWk0)cveZm0bZt9_iYN1 z^~-kJALpBY|9!XGS8arQiR04+b369O4a=MQczI&yzTPe0eCFwW(wFz{V+#+b*MYf- zdtElM)IL{xxL5}*RtBmN!;f3zt2YO$(l{@?^x}(k^STqmDE&<_Jw?zvv8UupJN8gF zJY%8K@2498qV|q)-L8e7hr4PA`19|2Csus^ZQ`&t#5^xu*On_n$9 z(q24KDT2ijQ_p#(pDU7Op-M1X*k}JLj8W$ND#LQ+gokb|cQJ4*Z>;`A^L`ad^M?R^ zlJ3eY)4N*^`N8+AFemx5t2bO7q6DNKKtFboVhHpbstB!rMZIp017J`<5U>~m|G{Qq z+gEVelHc%D#4g*tQ1WFzEV&JQ?G@W}Mut%FQn6z4?7*A1Ll8u40+3bhIp){}^Fo06 zq9cNVW3?kv$1ZFzkz^mZY{dV}-db|&un+`zXcTJ%sg(t**yR8mnJvjqo7%CeW0wPl ziON}myikdJ;<~HY1t{KwqF>h=qtbE7d5+aoJmOB(KrB)s5xP?;IG9`1(NN;mZpTB7 zBb#D<9}#2U$W($OekyV>(!Ia?E82e!~>-vx2UMJ@E4mzI5xizlbxd^3dr+Y2k- zO@e`R!Ll8;U!t_MDV~~}V-$7Li!Puq+|6R$aW5ZfoVGpEVH?D(yV(hA-8A@Dc4ycV zpl){sHnBWKGk*XT=oh~7k^kTur-(-^ltm#M3|bn>20WAs)IpyCirsH|vy^yWU9f;+ zF_miF0#5mZxF9BOQYDl9Vu=su-#XE28MEod)(5 zlssKC7X;E+n;g*N(3>Po1zH662W?3}_#n((`5Z-o7RiFM1*u65bwy5qA&W}}(Ds07 zl~A>@=A>F|5;7aBv-Cl+qVg#1|A|7Yw4(i`kLlMhhVg2ZUgX;Lhv6KKAKd?qg80xU zN{u4dsLpb?FkV?OmZZ>3-v6W?di~4*pH;DhBv$Gja`4bAl1saAK424kHNz%)?a`MO z$ptJI`kWISt5^;Sw~EDNJ1M|AwAI^_^kI6zp$~C)Sz+B(#-GP60g!p!#jCG0_pqa0A|P|S%f7-wdY#M7AjvI4?TzbD`m*1^RC)#m zb7t2=YQ|3_HpMj2#81@Pl)L(gc-#-=R>42|Nk97q3EQUrLqD+bQ5Z;0s*!Nt`MGCv zV1ppUVBDLXv1Nu}@^_xXR7JqW7y<18zZSC-f>mHs=1zg8Tg`0<=D;R}O50{|g*L0$ zZa_qEkR<~fBJrBwA|+rT=mV;dS64(|96AR!F`(*5^3x_>Jdd)-d(bom3SGWvz*kRO(U z3{uIB&2^*f|2ALCrmogzk|U3NH?1%W+TsS$7KUx}2ll40ZMNQoP3f8axh8Ag@~z2Y z81x07^?U#Nw?`gHnGC!$+jtGoHX>E6En0fPWGu?jzCz?cb?bu1J!(|cqR7FR77anF z+ad@&7!oQJT-%)35R|yo*g%TfIbhtM1`Z)8sd5uE<%kvcdoYqPZU{liiJKti3vYxu zx;vjN6bV7eP@5o1jvP@0rw_J6+ml03JX;q;q$Vk><7OiO;e2Stwz(vliBK8^ng=&Z zjYll0P-2thm|x_kD7o+5AcYc}ByxLOX<KR`ooD`iK-rAb<4r;by#|#oIa`IRayFi+hmWMisik!pSLr3Q zY|99vWXnr~wxQ%yL+amG^nZtB;%}51kKY!0shg*lx;#R&$M?2W^}OYWRQ0cX*H>L_ zLshHJZ$0B~y#+4?{n+zbS`c!AzV?i56i&CHnsX4=s3K4@pD~p&$};z5P&7fhgLt=kA0-aERq1ZwvvKS z@-@@JH=3%5gR7rwk_V()`UYoAfsL-U}={NpwpApF1K{a-(8oy zX|jn>qBU1bCE;e;J3j5T-}RsU>4=xKtmE;Lmz!tPUKJ<+3Jz!oXBcE_7(}Y9h~NjA z8)61@YH1dv$#=m51sLMTqJnk7(~gX}T=BHAyiFXss_^gG-^*moc`L>=ADSk=tO&k6 z69mU_b1^jeE{Ib*!<3RfeBCFrVkN;PF*HrF0H+ON&}PZ1!haV28#o6b$m&`gf|z_? z6SG;ECFJ#JcWJ&JG5Idpsl!2Luw9SGOA5P15`$eOT?R?%4~R7R z*}pz>EZg);U4&Tus=J2OT$l7(gKbp_t)b5PNK6fV;wg_Os}|0|o97%lCQUUg*%QcoAb4%Db32qN2dMe1o61fYwB7hDW_ z0kyE;D^6-PzU!g`BHpkZd}30K?{2z?%9Znf*Qui^8C85WXR2qD+JBe%Pp{tqYy~h^ zH2z2*TLOE@b^=Q=YEPR2t#Yu`eVA=PTQ5(x+e12`HF|Z?C5XAZAZFfAv;;BpX#~)M zqQEu>y$#^nh@_)&eDU9Y=Fk3OGLGoGTZeI!tqm;L2JBhzsFCQCu2YyY{mPA_37(L+ zg!9WnK08Ag#Dt(2M;G*v1BB=Z0BS)ojxOj7UH~tx+ZDw)njjFuTJa~>y2ej&Xfci^ z*qu>smy1C=tJysL3Kt{B(FEZSA;_T>-D4C~bB$SdY31VE5d}Ugn-*~_gmm@(;kt7C9D*2nOAsCXRxGr{{fP|Ad7&ZSj8&jI2=br}| zT}Fg7_Z*gzB`3|j*h%z`sJ)yhCaJKcI(1_ZJf(}}%%}aOkDq>2`=0GTKM$>=2OahS z1}aKR40H1_%p>>!`uHzCz&l_0ig&0L5xBHlhbI6MZ3=7RCt7@f+wlRmf8=j|&Zm8J z;i=I~RzH!sCkPEmLg2vEcv#BIe1MVwLlIAW0E`A4O=KQ6d*a`Qo1bzbv)gw@_%YD@ zloOdpq%ZZ*$1N~xG+S|wDdPcE-DwBX2|Sq^&W2!4WLkZdZGamaOA>-Pk$Kv~N20}q z-L?+b5ELK4Hh}~Ocu^#eXkBeivPxtg0H>4w(Gz?Y^?lNs43Kj^)SLJ5;3 zeSji~h)j=uLY}leI(-0_Ji?KZ{!yH!)L7$Y-mDK$jBVfk^Q*q;7t5@|L%emm0|0pT zVXXW)WaQ^K0v`t;}F!ejz=+_vFgnop*@QmU;;o~SBYBeFp z2v^6P&bCYP1gF3KIAY-Y46G zxXQ>}NjXwH0)0?n)6=&c&0KBHs255((w^;5q=Qh-o<*OrJax3cWE0D`5i2vUU-?%* z={sI~i6*rG#b4H@BTBvW8JhI4BhNntr#hmH^K!By3ULeq1gtoqa*a zstO#gcJ>rtqZ?K4J#hh!J0||`GAr#aW~KSde;*_|k1#9kiB*R0{It(J{M1L3)RUfP z>sFpYj-$Taj_sedkHh4KT^=UU0H&onZr8Xt^_+SmGKK2GjD)t~G}$5~yF_bpX7Ji( zO!`mp+_TxOJnfNEQf0WYs!|AMWr)la2Nh5mayo5&F_kh@G(2=1N?WZ*TPeeCLm!#S zP>IVb7cje(D5q1!(FHu%Aj~+)x;?bpQWH}dl7c6n<)RFqf5DYs`|qL*Z$%kiUh}cX zdcr+>6}-PM`lWyTFMQpU!aJ6zcR)R5g=bl3x?p{+!(^`A2aqenyOGFzdoU8Oc=NNu z1BaL9oY7iodF&9(3J)Q^GCUv9$ykSzbsT*-Aa^cG=WytCg=d9lO;6N0yGGYe>Kq1= z;yV^UrCpncr3QRtm*>l$EfbKqvv63eb)24d3n3Mu)*6pUaW zqZU(|RTX+_qG@7SjG!8)@8ScL@~~|+Ti62g@#OJH$K!U|AEO{Ml`@-vE@4Mx4^Txv zhIaOfidopdQd+rT1Cy{IosRha0Wgz+S_*-ch%|);2tm`gj7Y1^86o479g%+fzx;z= z`8%dDK=6g;VSu(9<>;8i07+jUlov2S>InsKg*wR*E*)k-Nga$iz7{ORhs*^JNbNxo z8e{+pLno{VVt$??VSoj0kucOrE{F-*jB(*H?Y8E6+E>UH(g|}xo6bQ&Fz<`Q6oT^T zxg?@Xo%Bs?O)WX8=2X@6u{EMPiVoxl)jW0bP5+ibG_s#AI+vPtj(VIUqbD;8rNJvG z(2X_RDp77p*@-U8%16v%rF=jynsz`crs6>dn=8y?wZlzntHo-iLi}`O(~Dfs4m&su;L6Hs+enHOzwvflx9X0MWf|!--HX&+BX*7e(b4#{xg5^SCm|{ zzQ(O?#!LrAFP_%Bo`TG8e}EDZp0q8_`Q^vJaB!E4w#O*0M(<_aTvEswiDlVqQ#CLP zSvMD^k|VkZYMA4sItewfmae!#HKN+X8u6N0bMd+sjg#N<=Ia}kiM35rxMpboy?6h# zs!|Qil%%&_vge^C+Ta3-g^K1|>_ZL}2)#_cb(2?t;knzy3eFc+DMAG#RxY7gr;(1U zk$Y1D1sPL*d7NO+?Ziv^$m!R4%Ma;*|L3#6>G%9#q+f@1z--QjQBNi70C+yv^C4s% zFzTmd9l$fc)=kO&8EBQY0M2u0)lc@%PCywWc><{O8DOeLPi6mXoL?bxHjMBqOe!kX zLD!DNqz33I7gc5#_&1?Z;*%cuPG-+oP8SV!60||B$iGXz<4MVP%u_V4f*$+AKk%df z%-2WDh7tj}FJrVoPuW<}4aRRF=E57D`P3vKWQ1nqg-5j)f~Ysqp~!;NV`attTAM)7 zp4bzl9&^DCl=H}&BE;RGeV|!UGH=eM*0Cr=ts}V1`q1Ldn;@{2=qSaC;8l_SlYEZU zV}9rpdNyQ5d&Ja<|{@yDlP0_?*aX>j1E^f9F64_8O;M8P+4jQG?zvu+fUF%T~-96T*Q zC4aU@tsv>$*nwOOmkjb!#AWQyF!+)Vj^5N+gu#_ZDpOXIM=jgogKlL|PdYw^x>lt^ z2vo^?*^d32%Aekz;?rUiiL}d|T6>r;b!wO7_uVDG=lw)&V6Y^=ZzlQu7e4PZ|L^xs z2Up%liub#XM{Y(9+D`LKK&dVC${;&QkU;Hiq#K)9!?6BpHi5bW#wfVGcdDFyijYN%EHgqx@Zi#uVJf^`Gyg{C;~GzeB(C8fRg$wj8TBgaYJK=B zlSxt8{UPR$>N>aR+vowDCp>vX2lt4KC%l~f!((qOYB)ObY0}%#Txf(sB%7&<_cD_^ zry8Z3JnevNU={lz`B}w&vK_JCW9K#jQh8c1`l!uak+kf#j-}3LK zEQF93v~AFav}G^y#yHrcHBWpY<2*>+&6vFii<29I#n`%F=`-9hDO(GQ8Z^OR*8!S@ zHY~3wrq%?5T?aH1E!~3nLMCY56)tAIGiY;Mj2K!I40avNW~*r#v;)U>dkVEtW7j$C zEngffsxM?q2D=W2$~IS{zK~x|Vb=jWM0;@ch5R%NyUt$6UB(@nwDM}Auj%U1FU`y& zb)nlghRxIEhEf&sP3dH;%{k3-{;#px&88V~FKiB=U0MX4;Cyoex3S4^#p+%{WPGav zq55iJL}fb}LFnzOcM`O5l@aY#RB2Sx{*nX@Bh2ocaj&C>48lu6H&X0RFXoa0txTMW z)6sOb8*yXTc?2`9&+?M8c!JIJte>!etAri!PIsQOGA)m+66Ji1(bFW#AGRBg9|}wMi)d-p!gq@0L1#{ znaecOE@)Lo5c-SJWJD4x(oDM`2GZ;W&x8>GR;LI-nrRob4kWIKmRVeNUXc-v3vz&r zluR+6%-UaLMKRMw5(B2S?H5yx759`R8K)FUgy6VA;8fXzji5QWm}!?hpxz<@euoxe zMM$MJ^RII}qC~*}AHNPtHT4CF{w-_n*JuF1(E(92-U459()80bs-X>{{4lkck0TqD zN`GxJPmQ@%lRnhXt4H#hg>6-nyzUhCI#9b<8hkFxMo6A3IRfHhha(_!y)JhI?D1V3 zfhX4ynD-Mcj==3W0{{Crzv2165{|%d?IKXsur+n!*Ek$4>x|}5hZl6CwTpxra64x; zEI^_pMDjfL?nv%-R^)fW2cJt4IW>;9SVE$R?mhI*v8r9{_MEs4a4+ylZH+%C#!2!c zE1nJ;5Khu=#yRG^IA+-qDosH5RvJ^XU{$-oR8oZ?BMl+Bf=V(aET8H&B*A4?9_G6} z%c^#Pi2_Q9giOD=3~`!O?E>25p-b95oiGHdG`nnvB}QX! zm7ySP>XOdi7I7xGCk#7^20~PNA%BNG;)`K_at-^upJ*}cw`17f@Vh?Z1Aj4r5+vQy zCgLN%&iSe^agpn7$(gro(qzdDK!!%JO2n%z6q-?+J{!5~oQSuwv#^JXfy;*$9bx(C z4&!R1Z3kw(NBEZ$@rN^py@_E*5~3{@?#otPA$?BLg($t(p=y2DiIIZIMEoCTw4RbuQ|(HtGRq|^1pylK{9hB<%Vz$b zpC45w_TwrEQs{Yl56|ISszYSC$1{i#gwO!KW$!_d%!u9a)fod6#T`Q;r&kTqq_wSi zf>WuFD@Go*bt46H_|f;m@rJz2`P`%KRWOH1V$1>3M$8JK%+tQ6S|Bh2Im(3 zBXd3Zt&(OUzaqR#x+YS0y&9Yr4Q$QBjuj(W^K860{v1B{tQ6tPnn*O^e)5TJOGl7o z;h;zY1m%)FGIMoE?C2-ldN|jhqr)W5`emK}7F?CL;Hta@_*;4lu;rDh`Ypf?{$%r` zcfiG4fIZ`{eDVE#gd~=y@*RA$dnGOQg`O9`3f4^PrH~E0))xIjzL7?8hF4OJz zt9{1W>^G}@b^Q>i_ph&i->r5T>$Bgj_7&C$0`>mfj`PP2%bPO!s!YPVuXn4&1o(D; zK!?H}$^;yx_;!!MSiZT(x1dCUrd#vd{wB_&EVZxFh!r!>3opI+VvW{#;VobB{8tJ7 zgvO&tbbXKa@LrUL zr1hg?dM2I?)b0Q>?xhXW1H3vTsViwvJVX8SOi%C%P0_3z;^>&EqU@XgU|#qbNmeDE zDr{zk25jEoV}#ZGG2+LTry(9Aq+lNZF&astAVpU}Uy)uLhwk<&d8mC=Nf1q@CC`gb zRRq!MsU^?t(n?<2Fmx#y5-?3UiNOhO)g%H9>(lwyQNQFg)8(L$nYm5b4>X!n+KH=` zuK&oSQ1V_lPu%CplPPi7M3 zN51sxn=YXC0k6F#+?~5d>teQr29`ZrHISOzZlO#00EZy8vI`!8xPZwfQ$C3G8bK;$ z7Yy!XfJL;56)W<6azU_3bVlvNIzoMDx)m-6fGA-fWQ^a~e5+WIkCY3Z5A;v?ifmYU zs#oMQ;DWF)I!gv@{+Ixh1t$%M+J9q1)s4yn$%f-5%|tcNIu42nPDxuoXxT%+lL4kJ=2wcS$LT@t?= zl-*EW^l|lJvPd^tS)dPRf&rlrxWmNnTq|QQ;Uid?=lUujP|^$yPwJja!=0dcFU8 zUgi3~5>=+qTPI<$9=!L9Tq~<7s=dHF~fcV`SS>w>u94=Tq zUJMYiP&ovtIb5(ilW&1(V~}2II3I#^eq8W)rnkvdJ^7W`lt;*6uQ{F8j1hT?8%gU^G1vPs$C&k@I4jMV@%XP0k z{u9cvmm9dFS}>0*97IaqBxT`}daBY4eMTbg`{G-uVLr%-pXU8UZGL5;%)c4R{9pC4 z-}!U@RLqOjAEW03bT)b&W=5v&WP?=s07y;2SwO!@>3y)D*P;j80%@U`O$hF`GEye5 zSor{$rX#rg?u30ByH)!DWX9&9H*jAY!O92l92JP4B%_oMUHbqGm1wX*echVO>;oJR z$D?B1L9*XEG?fnkc53$Huynh#35#)mY9D|HHOXyzIg+FDTZ!S0q<55#94j&Vns;ii zX+zaUIv9_r`WrRDk87q~xB9=}s$Phno&@42dtfg$({n?ldCRvZhAI5t^!pC)`=JPt zG}da9D~Mv4B_=)2*1BRmAE6Mo5Tp<8f*^ZSmKbEmt$QW}tK^C{ zroV#SIM%^7V{_sw9{; zxP2CoVSVGgKc8bKOzUoi-CKyTBYBMrF=c0rf}Flw`6F7#s7J8Ij+ zs2&cz!g*DT$KYkjp2CG-v9Y=siF5qeL70QdrS1I@L++A6m~+-{`M5!o(F?kNw|e%+3e*n4P(yi@hfkhP|~K3|+qL8{hxEZ=cTW5L<|M{{Z67 zHqB6Fb^t#TAS5^fnk<8&k1WQ54&CpRas;IbDv6w4$(fxFU_`9QLj$+i2VtwH@(RJ&AtIp1peEt4iuHbIgwXd&QhEc{?gr_9`f$4Y z-p;A!?tAL?rPG8;j4=>tAr%VqFm{9@RH^BhpfR@T2v`Oqod~v(t_p~}l4v0bu}n(9 zgvQ@*Jial$Z_YjET>I?3@41%=b$hRQ)|lU%Yd*%~H^%r4AX?3_D`e-mMuESaB`Z8z z$(-Fe9MK&klBFdePE#_me$jDEV<*AE+uJCN7)26AW>S8N{Jk-*`X$iLbo24N8=%Z)>TGQ#vW$4ttE{NVG;O+p9 zP`uYE@ut7&f@Nkc1Tk``UXj|@1$SG}*ND@HqMTNc+SdhRxGkEUR88Ag(3QN2E(m^R z6uv|I0)9u$e0^wY-y*1Grw{}jt`;Izr1mX>yCpGj5$u%ni}rQNBPR8V=Et}y^o7;O z7VTRkwMqd@J0Q~ONK*T{qoppJH2RF7(JW;py z$7#2y4NhiA>t-OWx8D2Sul&eZZmP_9KtY={T3j^@A_{*okwKH#4pM7?XInstmOAJ8 zxCe8wSi2CU2`hqYG=XTg3uS7JV9rgkyF_#R+oOz}&!xmvHNGO!Vk>b75N#PxbsU;X zs0bp>Zh~7Ic^HCJPcB%nFT~l>OPvobE{01QpC!`lxTFfF#gbKS3Ui>qHOL~v?NJy8 zsx@WI-(a50cvfFr2>Us{t6pdbB!atU!ppjM?3C2r%Wk*C2E^QQ*4DS4KEbP~M@ zoqNTM+lOf64ig)nRLC2@a}Qt0#3z*od5(REwdEf!4^HEE$UFnFe`DGWJHr((-F$6* z^2`D)Xmv8}+)k#QFLc@zBsxs{HCdqTsh&;y)5`)q?H0|ZeY*E`&YY*u`Nlu`XMa(82Yy-B_=El9XJc zUm|q#D#{C5na-M`p8Xn~P@2z3AC03{!;{verY;w0y+tOEl0Y03-EqlLcR_7$puD<0 zfC;E=Ho&*)%%nZmZ%0c4Rm?E}+Zvq=6{bHv@u2y?_@?iZxeT6W>v0*{e*Bp(Mqcpb zGH^Tj2tD9HDSx>DRsd|_d^Erw-`Qn&dR>NTw`g`5ZpUSK>Dzwn6ZPB;wa z5h_G`i6~bx6FupH3}^>I&d&MG3_e00ff~fNpc^*Kb)Xz&?Llz}Qn$F^AncwK4uhyW zT?Suq7<6Z)YLUDF&|S=tSh||buW64{TUgI#YAl&9^3*}=J;oIMz*T>)l;3A)T|A?( zEuAzg)JFwvODZHm(`}lu!SYdGc~(Pkrz=mO@^r!wsG)IghcQI$=t?qT^w&1`p5vV6 zbGYNgr;O#a{W{`r0R3>S&#o4=r+7A|Pp>hZc8g|XdOODSQ~%IQpZBv-Evh%;YGaDj znIh(P*I?0d_CB)HCufok!E8*eg`TP0Rfiic8`H>5gT%JYBWGh8HWDf7w!$DA(_$mR z;e$ZD&Y)Mu6ostP?8Z2~EgZ_6sS8N5V>^)0hB?88Uvev!0K2`R9f7+8y z6>BarFS0tz$#JdNPKJF0A3T0k+na+|`xmE&@3Xm$ah6TB(6>>x6i2gA*3s@B>)Vif z&=^)N-a0!eS>HDHh54=$!#eJ$+96v%rB;o^GOc+<6{<8+X@5RIVeZ&@0iUZRrWrh* zV?B{~ubS{-tj|i!i@A-{(aRIoSJL)(W_@orDa(q{kNxyt_{q;tsH2poyVmo9?VBGe zTi82LZ({^Ob};BsLA9(#9{kN{g=H1gdMWT^)QW2e;pcdC;t()wO5soz6x7jI1ZRgR zGnDv9RcjU$)X@Zwo1-Q@F@sgwakHSHjxM+*e97nq4+e3}EU2iXOF9!9DDFBH|DyH$ z=bTm`E$8n(f?KY$CKHM-Sz;%wDfOz=(Z#p+UoHdDaGuBQL8@;nNkJW5@&Mi@`9+BI z+J*zDkU08o$z&_EGqRP<7~xUvTokfu%5#&HT}55AyX$iPRWYE9_93Sc^}u(Ws+@60 z)SaK+x?O^{PK-k+dsanNq25RpQ$tfNMM*b+JL+37he}UxsAS4-tTwJfrOk$uJ>d)Vz==6j0yf0NBEyiK~M6$P?#i zu0U04oN>pJ)I4rC){qjvrV5qNqNmL;eU;z>EBVpE2S(BsMx8v39QsW++hJWTQ&^3X z@VX+kvAGu{_RCRLikT2$>0Z0G8mQllXLHZb5g)~;DKfQmDj4pGt7a0B8|;w3U=KVp z*E6bl`9L_p@czGExV}u~%%(bdQ;#m+Qwp+Qd~_ML*jB84`TWC|Z>C`Q-}y&B{#!mX z;(NUr9^bn)IHaDWQwuF-Q$0CFIB5K{sU9@`m8m|WVwk3Se_El$I9sf`CkZnEA^hNG^s2dy<(txX|4J)O^b3^FOH-w_28>xfcJ+S5^4;)y5w2SJu`zh@zw4k26 zAF)1jN73{^aqcL(;$iCYwGw||bCo!AM%`Mp2kicPzyxcCzV-s-JMY-4ztV25vR_X- z7ujW}G<~g>OaOer?|kEb^;6>x0@`-9;%6neb+$p-1c5REls62~QLoxSvv?zRQ#%mUUw6uOaS<$#5E^nIuoQvtKg0wUNtQn z7a=6UAKFRJW~k+~##-{z(eKr9CF=XL-l-&B`T$kLV zfLZYyB!4>RnSHnuk~mnCw@3#h#bb0mM@AeFbuNNZNVjb;rfy8cGg?y{ zG;wy9`>IgdhL7vH9dzPE<5e}AJhMTBqb-9%Vs#`D+q5*Z?x{*O3kzPV+mh`_wF2u0 z4_-2Oq;YaQE|_HQ!RoiZ?_$x7xJ zd2LLT4Ce+DEz2u>?}GC!{o8%JIUx%?`#QytK74Nqr(b;d-V|w9UrjNjv#;~I@^yaO z=l;kSeI)3y)ZAPRhCmgxCOZ2%g`fp3y*86M>~1!u1vNoEf%Wi&V78?}(6Vc3J?eVt zKus{Qsm(HEU#AeXa3Xd)^fVh%6kyZf!! zs(lYCEBQ63PU}p*u2eg40}oD@){&c3W)5Qy7}NzsfMG1>gk*q!G?Zg7BU+FjG+KJS zrlecdm{jDPl70=Rq;FqE{*^a;|DXBRF%=o9K$y#U5wNS<&#xp$=ktAHeT8g%qlYKfKHoriydBp^qp2+WtxKj zR0)Lmp#9yI_ZyW!NFH{4?|gSkB@h`8+uK-qzoilgo~jWc_)i~IyEo;jhSq+K6$H(> zz;Lk1Kv>Ov9T_>@c5O0jEpQo*k;QnSc9V z{^vgVSN$qvJwr8gkcrinl>khxAlXrQNOkks32vEIHY`_zS(ws0aM)}+jIg)sz79H@ zSvL>*nprmwyPDa3y)joaMcxlk{HXfy0hrZ_Mtl6=(yJaGM0Adimm<@E^0vU^QULkj zaa#h31-%H8A9FVY51RjL7B3F+m`vuY`fMmIs1^@ z?u0K7V{tnP#f;-D(ELa8a(V?z8EFw29vnS68VgXybKETg2!wBS00GJLG%rwcOX^@| z0NYRll1cF_01a93%@)kX%`2C4R*Mq1fiB4A5xX75n(2XF>^9^U2B8q(#ZJekz!#e2+uKZTCS4zM|Q00qm=5FoDHJ^tg}&hj61Vq72q|J zjoRRR`GB*{mMjEFb|J%*SPUQlgB#f_*-ppjiyfPr^`#KLo1%WjpPU z^Cc4E*Kc!LY^y%hEiX_yzHG<-xM2Boln%ON12=NDeDm37wn;hOyTBG6PA_%hCiS1x zMIkwerM6J9rB?a^i*0l9G$n9qzB<28o0T*3jz=%NAO**zpu|BoTpb{C1zjkDUX^l% z+~n1X_f1(n%duYN)8F|G|LO;$O<&piohGkLyx6aS&*P$vjyRD%bqJzQ1T1fS#e6h| z)~oo6#|=sN@kAi$VZ{p}NZaCqyUj*BD=@hP%DY~Xk9-l_13WYax@Ibe7PPwHevKJS zMnC|kKv=)m88^>|-UShobBa4>$&oLwJ~Tb0B8UJRb_IgyTx|sySRpk)t8k98qXhFa;FsjD_*s{7N2oS0dfdL!&~R+xQ@e6}6QA^G{bNF) z0;nRO4CF7G8WFP3gg2F{gz>FZrEG_)6pSNnR_f{k-XN4=sJlwTT&25^OR(oOluR{T zJ=E7~k#h-C3QHwbF>QH@=H;ouzU;^T$S?oa=$=ywHP>K9sK%x_zRUdKYlDyMD1` z)~0GC1SOm|K`g|9-a@u%sxAb@jGG{|FpJ(q&}#TXkQ&Uk?t@)K=R*M!1M4?cT?mQ> zbIJX3iy}X0FbD!(%#x!TEHsT}W1X}szVM*Wo#$GMsp7aA)GF&d-d$a@HAO>BkqLc9 z>2B@Utirl>FjzB_i+@(${uPCO}4~_Zsim)AYdPEi!Q|gD-;f)9|!8FtU7&WD3Nr^9jDxfsI zy*>g2Iw#EzgUH~$5P#5lhjH*pMpsM~t(1IFhu$phK+Mp!w)QVCRG|ge)i1mbJC1U> zbC$0@-mb8q@#!WkFT(W_Jj{GuW58SutO!_~f(Lm|3-kei7%15J!NW9uk!vT4rY+xE z4F(S{yx|Z2=z9bHQ1jCb9-RCiEDyEMtBE3ajti$haFPxWeGVQXCRm@)IhwubdP=}? zwK+&-5NP|X2h|RSxFrVt{;^|OgcW%;&+fU-{{(nM z@QZ27_o<-I`J~_T{BMtrLDglRt9B1yrVN}Z1MOKLp+R-(H&#$0Wlu}K`q1<^gem)r^Z;$;|T z49?V~t_vb{!pth?dmbg-kXNM1a=|@X6C@?FJCF&zJ~Z`>3j*h1Z4dIvE0PSypf za{L>my4i>ns+<>IyUvETtUK=g3(;Zp9)y+gpzV*ZZ?XUabCzi5WU8t*9$g716 zDhNAmaGqZvMN4c88CKUTxJ25T6@o-dTyS^5+b?=$yA_^|tMm#wv&aGlb%6=P1ZuKi zrB`rnC_jN9>iKJRp~WKQ&|3L|&>T;!7A*QXKDBy<3cz%Wp|6*&S3(J0?z<>%=#aio zjb3q|+5g_VnDxp{>6Htp8Nexbra5NM`0hMoQy*t)-`O+18PE8AU%$Km>jP}l%5b+} zRwoVvzGc}AN=C`Q1v8Rs{&U3&JzHn!KB?jtiEiy*~18x;ZWwO?y4$-Qpk>$szXc-0F~;_WJ0%nWFMFqiL^? zzB}KY(zMri(FITUHA~apHR!w5(mpx8M6ueJSJyY`u{x~*{dJpMF#mUzO&^nq{U|vY zSs>|94GV&6J?yKuq*wqp;W4M+U~D#^kZ9F)M#F6gb+(fOGIAD%sf8*HGsdwcX_Gkq zjW+FFkYi(S;lxbfd9Nxs?Xp8M9(n;>jVQF&k06RH&Z!Vrm7;uX&7@Wj==Htw(&eMK z<9dY=-PzW2?&wy2CZZUZwzJxzdIR6g9VjxOfOIS!yeQ1_(hyj_sx7JtUWC*O1_TC%>nX%*ZC%7PXie zXtm`R{X9pbd$;>y9%&it5Bl}gGG>v!bZ#m30lHN-lmEgvoX9;?8lk-|c!OcTr_Ahc zf)iOfkUP6r3NT!XAUU-#nk;4LE z2L=eApytkk6LOBzAlchkPAfG6Qj|V_e+)0Mg{v8mBH9-BcLxkiKrE@#T|-=92)sF@ z4^sTdPk!I~e=v1#NZ!cRtye#QLl098(J*;fgY;GukLMJv0Lw}$qfBB4pS}^yC8wv& z5dj<9JPt=8l#7bWdSGJIrh=)tJv7yAIY&f*qIEDZQ6?4T6{&7raJNKDGu17|uL|p3 z7L)=k`!Q&hMjHt97^W_*+8@JL!dJwIq$4g5>v44EZC+8-ZIN7VQAH+8Ze*ZrB`HNX zcJL#rcX4p6iDyq0vn}ES6?{$fzkmu6YaYM@&|V=;4)~hh9f(P}&8W!p)UB#HOVkv- zcUCE@Xlqlhs%>rK>c$Zjc$Jekv$nlSZF>Rbi#GK0fmVC?M-*NsMKc4fcL%giyG5-{ znt|4v0a}0m|M6+R__Yy6DbnV4-Ep@>AlW78t)V;&&ZRX%6L^Q9mv-G(3<61jxHMZC zx~+iL)d7(SgPtBH-wd=4GWk|O>kyM~23iM+d@G<8W#Z28-(@yo1+D+=VIE)Fb(b9G z@y$T%;5d7+>%QhNk8i#`hbQ3itzs;(#c4oNGUr#$6;S)_K~@E`>T>>7Z~Ubq{VgsY ztodI#o92J~JOA5!-E2}SL9Gt3_+OM7%uwrj1Tqq4rKn3>a*w(UYCYc%uvg$*KVWKH zHEsFUhOt!RUC)2QcmL3s{spa+MnNEz?NB^r{hPSiR~;s*{DSYnZvi|9VZ(ZjuJ^0; z?*XM`z`Od%*RuW{Bwx$=7Xclat%T^ML!z7YFS_i(1Ps-G1g~35LRINs0BjQ3pj@pZ zC~;M2z!h8fu_REe4p&yzzXsXg5U(pTd*GmC2+k#u@(>;6q<*Yekx+lP(W|oAuG}XR|3>NLoc5#yX3D0gf$!w0)nS{T z_?~b3(3lRJ?3@nzoLPs#oAm@p(6qw8hlP`wg}Cgol_8I=>!b8oZX4XS%)3AC}NglFE^4$2ux&DhpF~x zrW1=M&~Mi2rs+n1wlnlb+OIFeDT|PeH}Dqa{h-;c-t4Q zBXg;5Y3qoUz?l`IZ4j4ZxWNk1N{KBU3hgQU>{nt4`sxU-nSSO>b{u2c2CtP8Tfwms zMS`+x$-&~`~uHkcPM5T%-0e{4}bBA(5XM|eHEIm$ z*q;to2^#)-WjuFA{S5FKOieZLTN%%xP@#$dhC+n~o*s%7k^%%z?)75@T12tA<%1f` zz6F%5oot%cP7&gyM`Qqw9-O39Zv zw~|tNxnqA;QdYi*GUq3pw{E|XEJiZTa(O%_2l9~gj>9zL>LMK>EKs2BN7X2*F7M1V z-+spKXFu)ooBpSWCzKG|spyOz8+7@`#J>ZBzB={x6_P@{TrPM76+QG4d8{#mP1H>Y z@;bZVX?@g;9p*&>P@rB4L8?R-M2?BmQ_wSgV9j*UO+*wMxTmM*qo;autYv!`^#=1!FTGFn3nbRg;*%Aj` zyAaYI+jH||bEI>3kL`W zh;v4+W1zk!M>>OLGda>Z4ArCLNN2DdB}Y1g)hIdAdGcbE2al^9#si-`p=Oao+>&v7 zFoBpho5^B<8++-CSLG)U9`6(56J`#2la@(e;%ixF$`Rs#ltxbW%5(-whEh&waX=-e zICB`|AuQ#Q6M|%l2p4;l2uMO|kM5Ed^vwlJBjXB_FTXIy4@}Y+E!bI;X3WtUR3EWS z4B_>5WrIl~yv_wni{ojn!xAxsusd2XJ;)2pL$)D=D0*vwaiE=XbYrk*5fpkO#i8?~ zPjk~S$S*&4*v#x=*v!uzHg6E8#%lSw<7Rs9Fhj6%Bj9^he_lIk5Fl;^c#llded1Ar5=Ez|7(FHxGtin!Fu?$_ojfzDzS!jCLA;#> z@Ym6S>H1+5V6~ji5{w-%*^odMGEj)IHp_d@K%m{BI$%3$aC5h#1~D2Caci9a=D^W| z`TTi-()Gg*)%jD=#r4z2%Ta|3y;@}x-(rrTq6)iv{1#&geY0nfU=aocfkA>rn43Iq zc+@)3&<|AM2W9$SaWlL{5=)?;(xaVwMex-g6Zl!r{s-$fPxoRFe7A}<>3<*yn8&4s z7)$FocfH#3=2$uL;j{;wQG=%0i;2<>ps;OuT2lV#akp8{7_BP}=h^Tj-4BD~H6Y-U zt9AdI-;}+WDD8lA*3PicUQ8+Nz=+;`E10b_;S8{{vEpo<1Fyx~jSiXm0%hxr z*4Y(DqQH`(tE3}Y-JR7&hZJHiiBS>6-KltUFlFTkkO|qjz8aK#f6yYzJ5Ed5-tlRc z6n0ecfh&@|`el^WbzG=$mE@CpTjzqxy4Rg#fUorA=5k`P_EZc5Qa+pv!a3!{7j!QU zN#%<*_6Pm~Tjg60i>)>*rCt-KghEGqysZ{`z2Zs9qItOCUF8~SafHT2jgOGK6bn?H zX_pO#`_800h81K&9l0pvy3xB>41JcFNq2aa*sXN`OFsOi|1feN`o6TaR7UQA@{B#W z7#dqw8L$Ir4%EHq7G0(260CEljWAoodUj&QY`&sVb&JG8bLi||fT?z+D}v*?jcl`f zal*8E7(^Dfkb|Z++}XW2?Y79@P!MzwN;Txlsjj6uZjNf;k%RyfMZIF>UPR?R>~_0k zp0B4qR-t1 zE#IAGp667_-K9#VIcjsNTA@3+k|fO(q5xf`WhSR({ODKvVGo{MbPLwY&LNldx9_uP%vgGt5L>uUJu zH~jJ&zhwfb8f4_4gUe2E-O4-IYErq?V3n~pILsApR?Jb)Ajf!)dNQbbXo24x^(0WW z+mn)`o}j7Oku9(O)itQP0hTJ^(RSGiR#8u3sllLU8+;mpR2B6UmKsQQE_oI80BmM* zlr55(?1p-0Do}N>c_ybk?>|ZRjA+qcaiFT}W{Z(Bi)mYmJ99LMN0VxIxgBXW zNtCBe@m4(BHG`@%s5%+zd0uJ?NSMj zPN(%!AyB71)&^BSM-qN3SKrYSry)r9!Ua!om84c_2ikFFfiv?r6_g8F869ic50c!? zf^;uj5ZJ7CvVuvx%TLaPze}Q&NeSFh9xs*oc}a0EToOFFM4dT&Y}XlQChmnx?zi9y zrh5Udck3RuukMWoUT)w^@Kb-#ucJOZhdvfzc_H^W-Wf4be9{Bt5~R8SsV+ZyqT(Y* zJbSDuSk0)t z(Q1^~U$)c!s8w3!{ZHq0)UZirpY~_5)j73O;)%xT=;d*%j*cA05&j5XkO+O3I&x5V zbsa8UTkqWL=!E1eEsLM-$nvcbVpi(oKKoZc{579EHtujKb%)aSWJNE2fiym|t@{OL z!YDy2Q3=6xU6Db7sAyWSWNY(pz&uEJy{4H$k|%8BN8CS%G4#1>!d< z`7NqMBotUz~VT*Yc*RiPo66{r<(LfPT_RwYY(X8W-~#bz=>i7Q?gOI8XL(7P6s zAR#7_`R7y$^sw3x<6`w2@@>uUk@(DyjtP{;2YW(8P%D{l4@yCgSd;1-`nfSO^1_rg z%RJmIMr^c_`3A*6Ty$A=u2OY}%0%4dwmaM+-=Z=S7h`)ZcbBebzDK+D5`{~+N|;nC zxyWp~L_zs4vT-K7n_JkhY+y$X5-p5cmM#*g#=@$1ddRW#`-yqEY|7%G{n@zdSt;G? zka^<|SM$b)P2|~h5yKRPqF=I7|EzQwR^P&39`=U%{+!Ynt!GL5Lx)%P4g!_ElkG4N zZE-4UXE&9-gFv;vY^VKY;8r?5YCl)mUUrIGS?n77OM_|JWEnt|V8R-*1M;p0@XndK zyUWy>Mmp1~-&IK!y@}WJkgQMtZJ+&<9G%iW6PiV`t$LP+g(@I`g2VnZ)6 ziGI11d7Ih(WYY^M7|sS)1UH)E%(ez{f3;_{;Yce8f+R^n^AOC2w+xs+ViZ)nVr6&N z9{z5@%+~yvY<81pw3b;l**VEp7tVu)zeguuj&rO%qZ0ofP#N4DyYh_SWTB3U{thoy zE6L{ja?O{_p3>$oH=R-0m1mT~RqZ6tcSqs{Uo*m0_+?szoqkciKe#FAr=btEMG6$> zS*@9G5L&;K+Zf46A>a(jTlF}y6Cvil;)^e_bXTn3&&YE8tV{OCz)$F1@GZhqQu2_F zd7}=f32rr5O~Gk9c&l|sfcc)q@1X8WvhHH-(*Y%(OwqJ#Cv)Cp*%i5bASK0GYy7$>9X1^| zyCUy->1$q5DEq}nm+x74&k{D$wAGvLum1Rd{L_ADbz`N&gB8JaMJ#1}f3VOuC((mp z8;FV;??^k-(v=m}!_ZuEo0g}ZazUcy(z|=yA3Nj8sgjD|(HL7mjJ;KR9EYYW;(}<( zA#To++|{nGS{^mBYOQjYYt`dgb-3PSe{0p^-nMQnpb{5s&uG?RJ1iFleZ+}-P zcp30~Z^A7SaNh3l$SrEY`8kZg8E%qa_oIK~^S&o+r#doi7{5mu7|ab~=^bbgnwYW< z4@ac4`(BC7!L+7r3XWgP#5H{GQrt758X2RYCNeXbc>Jg4NlIAace+TyeJoK3tz8 z?YOT9*cc0ulXHXOhe~kNKo-NMrYci6y~e{@$B26j;&M^dFUb&;gxE?Hmk`_5fTC== z;u?|!K`md|k9Lfy)Gy`Z$>S0AQtcSe2GU0T#aZ=QB&W(;LmYNcaSgSQxNIl;M+Yx$ z(u*tRkh2;PBB!8MzSc)rW(|~Y2^c5g{gePoVqAO9M*^rh#+_bbd*d0;#aIi9@-sm+UxEt?*7g9JX`1D{qS!`XQSpgF@3d^bwZ6Ids)jih5~V5@Qo+Zu7C#cG$s5EF7(* zfP*HvM+RDcIPh*#*wt%F#gG5;?TKUJNkXv`R?9g0BxA2J?lLu_)=jGF2lS9M>W&?T zi2khaQuyN8RBb`YTJ8vu2G)~x=vfZHAt+8{yksu zc^`fd9r{-QhMOI#as>y(kZ-)NxdJ8whL}DM{#90iKx?S}F$4@+m&(-fFmzXfPhSLA zGV3skK zjXNtZnW|~*4H!{meVXPg{>{&L@5=#c=tAzc`CAOLk16)lG}>TurKTwrhGVG-jB{mg z7GL+Z&3*E>xYjg_bS)KRm(Ml5CO3sIiK(=BcJeD$DcYSI!+xREO4E;_W!hBX zlB1?yt*%uG7Avp+dQRJ)?(wwEh*TG%e{xw5$kOIKB!`i`073I2=b?4_QN|9?;jGHL zP8t8XP32-|Vt{u-6__Uw+tCUfRwIxK(V znUiU^s0|cE?+4*X~Tqrd*0Z~wGHf1qhB_X0|OeYwKuPMBik8k+pN(jU0s0oGqo zL1gTWp4z@Lu&)U2h0%?ZOS^RnxvBv9eC66kor29mjTHrm^f*T`n^=-Z97*+nJCM2pS&Rcdn|T$WFDfj@^> zxk&khN`L>in$I($Aw33^KXA5Pbb;Sxsv!&;H0ahU7An6A0z(d$R zT0e1;3>~v@pC+m@kyR-4so-WfFGNeKxev{ z;N_FQ1OqeO;L*VYOdg^5V9AB;Ok{z}Sq*`MOIe}c7*d8?mV91rM`8^$5a5+a2Rxp~ z6R{B{h}a)A6nGob2}7VdzHF!C<9rY4_#DDkxxgbc)nw#cTCN?jhM!Y4refwH~5+?Y~`YGm#dCn{BJ#zZGSd zj?eA1|8B9#_kX)tY^xApD=IMU&+XWML%kQ9`goNPK(AKW#JAghDZ9GBx7$46XPfjL zrnVhJm_yvK>B6?@OZ9v!+PEBdTLmUnj-(87D}!KS>JLK@6Ckugu$4FbK-nFTBQ)A4)R0qLDB&?f>zHfjzf@opa|{{3euq#p))X- z8o~t+@bd&uJ7VyFI(o4pb(9P4kYm(CBh{#$RtVBgx*&!Hf{_e{?TElYvAhtJXu~BB zYq+lRYh;{4{@K%Xmc~q|{@7ZRPN!B04Oo7CknNHNk0tFIo%m+fS0alYBtLlA&Y-Mqhe@M(W;$Noad zmo~-GDmD{W3zQ<~=kV-74i#Muste=V^!8TjUv?>K)AylP^*3r{d5Y%NVd}Bp`?){& zgD*zmg4SBO9<$0Tyq`YA1Qd^L@uOTIt7wcNCi|7K%Gw%^nv~K*APO9a?68uPsf7B_;%k{8N~q9yLctyC zuNpz||NX~o5KdD&p|w&bz2!qIYT_pe)<#+KxM!_Hee9~<)TXa8{jNjdV$D&FM=hor z%)&nef4W`QhlX4o?{&4G{mTo6X!Ok?jo2?fxjOZ~@lAa4@BD9k)Axx|Lx9HxUeLK_ zp$e50he-Oa4wXdzCw(V@QRusC)-2fTJED;2PG*I4S5UZy7JM`D|K{8l=eg3%{r+$X zG|am#`wn`xSH~1nNM>$4TQThxwKn6fZV`|BdZr}&slU7VTMs|AWGmD^aJyUFUg&!4y*KskPp)X=%4 zS$#l#$gXrG^ZfxdtuxnqXPH+wXuId=R$NY0K-O{Fs3#$I|8W77k+*P<;(_}V_&29t zAgU}zn^syr`2K|o`N3lks<5ipfn$mISI#T7-7Z7mamVF&@ObC$$b(DoQOO6r#P{x0 z_}{Bs3je;Gc%PvB8zqJu5M&+FL%%p+IFsfZIsdPHNYYcki0M_Q9mdEuk5hW=7px7E zR}-1FPQ)(JkUdTd^hv3^iMpfCD<_!@mpp6;P~de(vsOoehzH@4NJ`oaDdaQT7eq1{ zMN+Be@!%c0+DjT$T=InBev-%pw9s8MJ@&}BFv-M+c@0h1TqS{7%bGe|1ClHJq*gND zqf(}HL>S!pMfo1VY1gI+lvPef$?Xn-4Szcduj*%M+KY@NjJ>{1W=P%p@*+d7_eI9P`^(9XK5!#mWGoy1 zT3%%StG%k&t$mUCPvzU57a4os=8HU#HR>c`kQAtr2%RJf*u?WR3uavg(~B z)9~@0abg{~Gz^ zy#EQg;A;OS>$IywsU6NY-~Ziev8%Fn5U7vO?Kr+9rD9VbuM&!B%E>pMeP+i<&DGBZ zw(xK|G$O}72XpU z4Epaa8V1Dz#fmg)E@-S+c+^mtpr$_r>B_laA=<(zqNj#FLI~2N6~RLPjd~yT`9hEu z%>{RWp`-)xgxVXmQz1xgzRHfzP3vqfE5Z>4^r>Wg%n@gC3hgxR>>0( z#^&c#gHceeh1PH!9Tpn>jrBDbU)$G=GLI#Ss9VW=dv>QSN!R&{aHj3i^Zhx2msIi; zzyZaB&UakkZ$J8JbtJnQOI%QIT^)VYMANfWL+n0wpmypId(DQIEqT4h7AuF1I_doaed%yit3f!XD zm%}ZFgkg#l6tK=}-y-Qyqw`|A4aSc&2ZrahFunk&CK%ZZlza}+YDD$|rEzUiBBwc0 z_Tt#dHP8f6} z*j1VXvm_AgGEPG0dS^{>x9sS<6^jNnqO$H#`vr)-!~~QdL^DcB4ohsWrM4{keRO)w z!+zgzl#HrtRd*Q@d)pL;^b6^~Ml7_RY`T&lU<_SvA z`2yiRfiPgC8^8#yWO~qn#mFE_NMcT9=UGcn`T`}QRuh4CBsJsltZDvPpCH5wD@O0c zBUUzWGf7IgWs*QmSQWu1o&8hwFH+0ok|%tS=NCn~?VGE$q9$3c=MlbZ=RA`lS^$Mm zKM$U0hv-JC{rnzrrkcDxK#03*=6i&*Q)~+;R7b<;s0uqz8?A%ij{I?b<)^)8015JY zhRyap15A+KGi>#|X9ObLhrvg4S@^F7fVcvlh$lWi$$N$~Y2P#cQ(2FV+MQjl{hkeg z6+oY$Wy5*V3e@B+btaoNC8lfCbXLKtMDwXIq>1h~f`+663V4tYC~tlQ>)0U&yTCqb zuml0@Szw8j)TZMDEUCZ(pdVGRE!z=Y3tShQZN;iqpr)VG!A=@<16a&?C8mOg#QC$t zw5qe&%DWcVPqxzuw_piMY^&ct0+wv0q&6KNWv3O`1cB=M$#yzEv6R@<$IH=)3%y#t z39v$t7gY!MF0h62=z>mQ6F61b#8QA^GFSl|B^TXX3?8g$3@cR|!V((Oir5Ks*TD?*SjaS=ofkQiH} z3xroGADU0A3mVC}AYO>a>&qjaYLSH5!%0$d*;!INRhPt}*^?rj)G`@1A6&o+m)w;W z<;~jim-dx=szq{(^nhL>4j9YlIn6E>JYPxR#L}q$X8^u#1W=&cZu*Gf_c(b|d_Y~u z+dI0=9sOXz~t_XYm{&wIcA zb3PRHjfx<6;P0><_!}675-b`U{pi>)Mn4kL%}8Jd7X$kp9sTI+a|nQ26q4$+oMS<1 zq4MeLUxob!Qrk1Ak?Pb}44}5>S5uw3VBoaO&o+myu-}5yve!?oh%G|Z$8e~ud0H9O z1xTT4q!f}2{9W>h&N&I3Q0^gka$NIKB}siFnyS<-bTL&?njzR1H!B6QMjTK#us1}43}h5qi$iB44%Csv-4KM4 zR!lwwDLH6Xd%8cv#yUZvMjttfF8 z_GspJjhcHkwO6)!>DLhqWm~HjJR5rZ7l+K-x+PXE^_gTnNDB%EB-jH8UKe)vD5#B& z=BVg7=7OtQEiv2)&6MrN&ZkYm-jdl*Z#za`Am&V6)~Eou7Ne8B03$YK?vZ#E8J(ux zqS@?EzeVTF!uWJv-SUO|8^6grXD@d*B57#5}!IUzEGZQ5h)s2rw9kyZ(U z%Z;(m*jET*GZZ?=6q%vWL8izIg`)ENYNp5xh28|Yp&Ga-HWF*5=Brz2BwuEO+1S4P z6+bs$cXodg89nhDAsn!UGjV&B*J;b9WILI@I5caT zPP;|3t($&}&ari${)M0M!`~WdKdtL_oZ70qks{p_G$o%1Is(Onc>#Fa4=9}bX!FB3 z!M3G^A+E2;VMa(KLJ(;`MU`Vk+Bz3Re8=V!_5=F7kPxJ;b3p`;N^^KXaaG-JrfEMH zJfY!5YGuh}qRFpVk+!Y~dWd^M3R5BS5M+q!f>!&>F29YD!G)lNxJ44_Hc2_+GNO8j zzCe;BPVJJQS7sv*3Vcv`*O4Sn?UGm#lNE4FL6?8_k{R20Q8J3UgSX|`){Fh% z`E^}|*pJQxU~u;V+P{d0XAa{VBxGoS1o_d|HV^G$?f4Y#}0w7uKw>Lkk%wOEd^GLoSPO8`-;XdPXzE} z0|w*AWcU%*g`lel$~fP=VhulHO#uL*_5}c}By$e&aKOZ0U9&rotA-z;at(e&mu2&N zs{RrDV9d>e#^nI5x*=ww#;r>g(dO)`w)9ux-;&x@y~%<_`xM$Ynk=|`9+G`$I+*~IDIv~>Nu1a2O{l@BbB};R$d&) z6<Yu$K36sfqW)yc*@b!dM&Cnp*Cg%2QFkTUBdz7-&%*TlE4W7st=ha^Jih zja6E%!$6Dj*s7WAwi#%jv^*H+i`b;xKQ#P;C^r3IWq(cO#l#`23zj$a@hUKoHlq9H zv(HGav8y_g(!yaV^}N_b8++NrQYbVI_wWLXp-nse!{EUxckjl_d%Fwv6+|d$6up+j zAT^B(GsqkD=rVKIKDx{twpVjX3B9d%Ue7$W&oBI1^xaT;{jX1++7JBX zkALhfkweiK%VQUZE+*qjgK$yCl?G9uj4PdptI%ygHKHY39O2N?2|q`Wp3t`f_60hZ z8VY$W;!499P{x%8Fd%Du^h;!O&w&;lz+xqFU|h=zS7Hyp#CK>C?GO`7&6}@@!JrZH2tLe#NOAtpkmvE_Vid>B8nt+C~P;JOFG65EoSK@rZoRDzN}cgzCt2Kv3yc z9-V+n$pw?`@JQelR5J}Y)S+s4Qnw+UFrTEfKh8IMwD1t&VJ44AI>Pet=@O>>>%^E| z9(RE*uvuD~cK4orM%-zC`!3WQFD_8MS$Q;dHD>OZ_t$3x!0P1CCM^Gi_}JIK@jtou zAj41I)PpubP(wQ1A?gHzWIk!b)ISSy%q3|Kp~qHTZD-rEe~XB%TpP(qIb z?y_1lWfg|HKo-+M5E%r;@cb6mHU?=J#pTi3WDQ0km=&0fk&=`|hp#N>E)FP4P^2Fq zU3=&%cftBSDbFK&N}UcF)rr&!p_&|-0)b!AF4jdWLi|VnPqor?1*r17E>j2;psKMn z52n#wor9Ot?h`E1sSv&=12FTTkLhzSGn+xIZZql)-xshAcW>Mxs_?sz&fAbf=`<;)+sswh2l>BcU z@oZ_yW(Dt>$^VmeYlRi7E$u*%R$1B{ogfwx=s zy=UM_WoZW|o=9Po{WvJbfsrSoNnLVy=1IOgPcri)%z0DZ7hg1CkK6eW=-7HS+o*%L z55r7fX5?KuS$p}0DJT1oeS~}({CuUs&sM*+_P#yW!QX5hJj*B$!l{{OkRuVAzu+tb zDBr>fs5fuf1(>k>69zdS{iXl;`~J&Ny;?2T79&UL@P<`Tj5MNjm@l_&-7lrXAm_43 zBLt~>T`)?A_bZ#T8iG{4E?7#3(UQ|SG*zz);`Z6>_=B<;=5x%&$T2$}o}7kFacHVu zUomFK1FLEUsd|fG866Mu#g?{0RIf`GPUii7Z{biJTU4)0#^`wPm}$6}B3#J zby*?u-9e%i92kfLLCYrP0{IdNkXF{*U<|$RM+j+seZvJvE0Sd#JSzeGTQzvJJg=>oU=?c!L0j?G#pa&q<%$bgIk*yd z#dkZxFDTPhPKOeVI|n?I(uY`1FONra&&VdyckBz?Fn$xL?fm%79_W$a;Mxe)wB_5_ zgB4uA{n;P?|K2dByut3u&OnNk=DV#b0=(h}BW<$YSCx|A+^pWVpH8$9`n+xMA+th|#s=<3g`yaE6797)sDwHvka2BR({ z(F(ubTEUE~I%9#bO)RwqmxV}fMo4vh)(6V7tfJZ1995sH;mi{rV}elOn@9#UdR8{4 z__tGJ&QzB(3&eTqdXNQzoI_CNuOs#Np}+slU-7<35-Sy?1NcS^Ih`FhrUhb#kQR^H z8mNS&dh`|1kjIiS5^`!Ce5M1XdUQdMle5np9C2GO^o$j$9$hfX|CVbZ)XFPTJ-XoO zupyf)pbym5vy&nVitnIYb@*PWfdFn|GD<5*_2?@a$0d+{;PW&Jc|}o=F1ZICn$-Tm z;0iB%B}u;{BvG6w$yfTr?V9v$T@uqc}lv!lFWAq?($Nv5-gb3DB6jU zN+{nV8-B%Gv^0A5Bw5`fWT+)Y4PxNt@i^OvP)n;8UKt>gN)yBXEj5W#TPf83AaUYm zpwEn`P+uxVPpKbV(Y^Yp+I6pkLo$a9$;9z_Mk&c+Ib}SCPR52LXys+A*PPsP$r@z0 zymgD=R-fe-w`GxVi>oR(rJ+r3H6O9?gIm^AVixD*gi#e)<;0PKMiw~zU}dU|aIi7aWyd5gekC zq0V!`GTjPLh0KDA%4p3hyC9O!q_Sq$#ahCJEJ%&#g2rzNYR&BqvutT=>?{0gDm)k5 zV~(7zh?C#2^8N{Pk!9SQN>KHl=Q6;L#wX40vvJGT6PT`r~4TiVjEZ2+o(WaO;4;C zpH#Z9mPfw1g#L{ma)~mZ*i}B6{&juZ)xU5|>)F==lO%N{y%I_PDsB?61nfUxhP;EA z&(L4e?ojEkO5qbYxKmgK=bOvAp#;yWNfLxEevV!q*S`jLpRZEwsXkYw&S~tsOJh&F zMXgzy)7UqY#(wz0Z~v1&8>U@-1UK#GG2FLNj|lqKs4NwWWM7$Scfpv(0@S{Zl5)I> znl2rui%?Ejvvcr~<&^eqrVLq=`FYnjVnx0pzqM0SSIi<1;wp|Tz{Foff zU`PapQL)~3ckBc+IhL_@b5=C2%&UkMt9TP#=9qIYOKzlyHlL*Odz4^z%PF8(lc->G z$;Gt$HOCZC%(udt7^-1|uF}zSyjh@4bdp^+<_fFmCA>6+YBclIhe_P6YK5QN!t3EZ z<6&E8w77?H@3-slxje3#?fOmHb>ywn%Z^0#&Ct2o^FLet_q_BquP8~1v^9C(4K`Be z(meA1<%gH=e)P(tmo6Vj%{PPb*Z0W#cf9rQe&DZte4$a$d{U{PknGZm!y=IHhhf<- zQ2+%Kgw(r!Wmey|I9Qp22v}iPEM-;%B?)IM8YelTYFJjSmyQ*w2z*6MebQM@JF&s} z(4#@98kIigQ8f$$)mC8#N{~l`;QuvW->8h9TYu8st&jh`cX3$cl>2E>CEUe_NpT_` z=A3Uw`nrk>)$OR{GcJb$;uSp`7(hvv!=FKYagIyH#Yp>eI|XuWAdLFt3RjfCF9*VW z5YqnpMb5S}EUvQcoj96KVeDRmjB;s8P$^R_&yOkXAwAc+Oh5stcKjU}S-y>jm|FjZ z|L!~fr8j+2d8;+Ba$nx@9gNo_*OQQ=An$TDOgA`*A;WZMkfTf-un@y_K>?ywOm_Ov zJiMd+W30^%KL!c&_QzzHZg3JqhUo?;G1M?!8JXKRiXp>vruI1Awr3OrfoA_bC3}M? z0BB(Y{nap?kIa=6R(%^KcjRlv$Xv{K?5xR`tw@%Ux!WaDSo!FawXW(hoq?mDBPTPe ze^sDU?dtm1|EgI3hzFPPzbbof5~fen$G>sy!Q=}{eCC>{N=qY~gS=-L3E2)UJy;Wk zAR)c;2oi906Q@D5N!%0A`q{}BdkIF=Zl_>sMha)7@X?FUgM8xk9y45g;}3u57Y9

E&+-f!ES4* z{(BA8g_$e6yDjD4VteIV+o~Gmr>5ZYs{Gs>IIZ6w6z3>3KQ7!NyW)9lcH& zgFV8tC4G9)w`sSijWOq(+s)+MzUnJK^J^2qw4&7>ryF(+?$Qm*2w7;k7P^9*D#}@% z$hjS~+b9cGIX6^*BTZFJ$RjS11GCW9ueHPN6&X>zdS0v58Rwi{<5m^EOny? z6noZHmgiY~G0IPtom0E+v)G+hy4e4xXyB4#qW*U#n0}6>o)yA6($FLbY7>iRdWrPL zODpM35KP-Ecdm~x6+@l2d~4r`p}zF+W1sTwXiw6PTG!3qIetJZsAy79&)Ar3Z@q2F z|97mhhVB7rmg18kNZsr!7D^s~vpbD)LXf)I1%r}jx9*G+;GJ^8!)lA_a~v8O6wDPM zKo~1hH@o0&xnrp#(8*PoER4F@1?{EA^_rLJ{H-|A{rl^prjE@-u?TUED7&E52(jp}Dj2)F3 zM6EK$bOKX39K(O zD8yGmB<>r*3S)x)L%AAZD`@@}F{?WJ_aJ{%$PA6AZBwjhU%x^(fm=<|lp=I>i+%A` zFGtf!p}*qO6umb^Mt{m6fR&ndnA}G65xI6zmpRuiVOpe7knE_6u9>W_Y5^cn|@X_yy=n-L4vnwS~Dx)}iL-~E#B`>tOcH`A}$G*BQt zw3YqIrOHgNw`|H1Gu=rpRc3nXG|=F1*kWE;V|}xk4oaU5Ghwyiugr9C`hdftU9vIL zpnX(>zOjHNypGCD?{^q|bfxL1(shFlMpw(00h#L@J!k4}V-Tv^&n8G3G5z|rgIAUP z*X8il6x)4MGJ4Q{AHZQN|2xB1Hw#}~J4BjhVCHg==_5GjDXCX@E8Qs=^jmBOhJJ)S zC>lbhiCjMa@MW>(^*E7%Nh4FL{Lh(^`p3Te2R@P~f=h{aDF?BF?@~gJ4l2o$TvQeoF;Ga3sbx+*~^G`h4bV5(#LBSw9oPG9mcz=Kf($~qmuV?`D}_g-kt7_ zoMY?$&fy1t{{Q@h(paIsVX<{yfB5Qg77kJo=6H9IiZI8!MwCKowSzPwMERjko%Qe# zxG=kqfgxiYXdA}ncz3ryg2|DB8zxT&;$3uQBhVDdjf}+1$FAbt0Gn@)i7**nW06v; zYczz}1O{B3cIqSLR-1UcS-3OpZrjx*U0Mma)zXvzZaXavsI{!Qqcc053z%IcV)-p#(iluTn05x7YG{&z%?Z_OVCUalU5dxVj z87U|T|GhcKTn2%Qs`U~WI3yKa`Oti5{m_RkEP|{Eig8V^#jh4mu1Ky|%s--J0U67V zB%M5$+^sfR7LF1%n~Cjfj)oRgU81O}52`n!DOR`ZnsEKMoJg~O=>lo`ML$useAk)c z`xvy;jV3K;IiPKuT_`zRdN6Cer$OV{(>mhF&bZoiNA|{XwQ0AgwHkA7?PhXoKmL~c z?|3;ZueK$+<@HY8-S)u25y)FDmsTciU%{Z#^19%Dv)RD>vD^E!R)ZRXw7f1j*m6zF zTLjmvjpm9yE!VWXE{G}y$$E?7#b5uHe^kyjMUTOJscADol)j^`1LkUva`{$}#@7#RH6t*UAX-wpA1e~* zb3yVn8rbGDM?z4F2mR2PBkzJ%TM~kT-IyQ=lVQ%;41K}}8p;q9QcYsXy)q;c{T!V_(K^GnB0$U1({4XU z&ae#@ib^$!9eS`(l!n)T%y6A33-?`;^K;aeuX^f4X?Tm|V4WyaBt>$tPBh=0C#e$+ zn?2GbGOn|FJjy z#V`GDqHacAEVt?&1tRB9$`8b}8%~3-<3-vH7mT=jufy7MxLAeLdsLBXlzg(jMq2{` zdJdBYX|!^%TLR`EgLc{2Toe7CWR z)C^M>%NkK?iMF|?|JQtdqcX8Jk2ahZo;kzLE2NP&qErYYZ)lXD%5diMO{qPoBYL`% z7M@xNGi~|S46qR9XaCag_**~l$))s97iv}5pk}I67!q8Pj)R7hRM!)$utCj~RT!o{ zDiIN3IqlfxJ@D|qu%c29XP0q-!YSM=n$gW#c%$=Et%Zb&<1Wxe zNk&b=(x@wKjaf3yi^9dVJ3-mBlIg+5(NCax5t9o#o>np$=LOJnQsMw+KkzgfQ<@&_ z1vtYvE)Pa`1uE=TGCkW18xllG)Sxz!+C6W-9qx@1nI14rwLLn@8_Qd;KnqKasqOZB!g9}2RZL7ZZXZy`>(%yNKJc5{1emgP@%`ck%7F?FM;Ih00__y>HV9VQ6 z>bC$p_>;|#KHfCm0_++8THXTwYq!WOp49l{eue*yZ{m}G=YQjyzE6~b@9?%Z{w}Wp z$JKyfAQhDgA4@JOl?OGdXgfnrQ8!xke?S1wLA67!LdBp_3^h#$#E{c!m;(f={bf6> z^=8A#q_IC{pyI_zCk%mle{QG!WvFY~ACpd55dn^Q42M|WduAhkc$~j%$Ns`Z7Mq*> z0?%$*4nLRZ zHu3Fte;?)h;Be%S+QVXdh|Mj&#k|G?Dv0=PcN4#(EVY&U;G31#^^Qj`ydcder6tk3 zQE)5J$5`H$BG`7VG;)(yr@J;~^(;r1?&tpeE5CaJ#T(|y(Wa5&O~WoPv>l*$YioCT z_iZ<_O@rWvuiq%e+lHgDG0<(4;tgFvdX8fhZxUh)#oJL0O8gkwJwM0O7USl4Xi~hj zznZGa1p!L4yAoy(328NZv7)$iMG`ezCW$~_3}lj|x^hW`%xvwXLiGW{Ns=$3OY$$$ z!8}cP9POG^SuS~4lJk>mF40)pO4hdrqwPgfrm|Bn`_V@YWE(x}wz-L^Z&R!c&5C@m zNcph`(U;*&hAD*6Ou~Jm@?@Dyuei3YGlt)}G4#KErZE1^jH25_`-__+Sm<)*FL+A$ z3+&kq1=-s$cZY2g5i zEmr^cK1y|1K?0O!zo;gK(w#^ZV1u2-#xA6n5N4bqk$z z6t93*xGjiDQHM`ZNA$bfT&KziN1_yrTSn@OZCN zx>dhDxUA-KB9O+{laCN5#dGMEy1;&z;;B$m1e%z{z}pSRoCXW6A%_LROI&M8!rZp^ zJ3|f&(4?Xr5%+P2AyFMkaU3n!GD+M>&=qvT-1Ph|cHqsHund#}9bmZ*owqxfIzC0) zb@%A$30~~CM`Q> zlRQRm^XJA5nQy~@&BL3>Dw=|-^M*IA(V3YC!6U{Tj&U~#B6CoNflly})A1ot1y!=0 zf}$;h*c22ZNUnmik)X5_g(66!HyHhS;oR(E{t~7*;n3tV3SmUX0Ql??FwMb$6KFA zu1I9$g373c5bC%VwyG>Bex(V*J;oF?LSmbZP;X^HiHuAT<7HT~09}U|ih3jqN@V1R zKAv=p%3;5fp1Le3X3!74*^*8hDvTjkv!a+WKlFCRVJ|#1m`fT#O*OhCNJjJ|akA}& zRKMRPR~VeiHF4>s0wbTLrW*Y;S8H99oMKe+m8AInCJAyfvZ2VDf{Im=`SzeU5@9GM zaU&UZRFc*G@!5O0nl?N(9t|Cn?7v7!5oiaOf(OQ$)77_zcU71f`dh#32@gzNd;X{7 zgnF_(D(b*i1e}*UPn=r7Pz=dcR04W7*54S?2V3XzaG9cCJuJTK#GW22^nhLs54ZAa zCl_`l4{6}~$l`&%Bz#r`9cR&ztc7qS_9s0`?l27f!AO*GN_1S|XshMvaL+{kNs~ z?OhvLz7G<6%b)l&FRr8bT@92ge)r-4Iw(UT5O?cTwy#X_yCC|nm_A_U0#mA>FtT5m zeOFANG$$9m;jLeoeODwGsHREZ;?P4JI{U8ZW24Oh+P>v1&ic@N0Q?*a>;b|P3>K&b zdGB2i3@fH5(a7DNWA7D@|z`m3DohCN+X*-HpiFTHH1x4cDGFz%pI z8n?|Mh4alo0hG{L(+EQS? zH{;!LGfuljt>T~gQf`JX<$w9Cf8*oQFipJ~t?`@(w#@_E>@^)P`c#`7weY%a7}X7D z^L6cAYyWSTDzf7v3i7s1;@@AwMWf}u_=pSA+Ba5O zeelQM@EiVg^#Anh<`URowqj?ZWd`Nbx>F$N5Yj6+zXw1kjbILJFz`~V?160A@HN*v4JeNT0_KN1x&r-nvtkw4 zoR%0d%9v`|j^})u1Dilusx)q$#C@U^t_L>lUjPJn7U#ewXHZARxV?q~o7-1f|M>?# z;oE*F&=`ev+@Um(C&BQTo!FwX8R!idezOD9Tn*o5oHvH9uTW6b2xgc!q3bB^Z3Ht6 zk8(;w*LNs-Y6JzIbJ#l2^>qiYPe>dJn6^G3oiKa-V+6vp6^TF#26a5HC4U+KvzOmE z6kWh|oMgLX1;g(OxDJNi4zw?^xc{EhNo&23f9PCF?OgHmJYiL8VVtm(X2p3b8Z21m?-$w>*^zM{2pifdf@t5G`6R+X1`~ z?5_0txm@7Vq?o{KRH#&45F=A3fu&bdHwosS1HQMgm|PymkuH#_0W^O;QZx;J?s$PS zQgnAn(X?CCdeSpebTdfNANqaY{D!X`YvsKKHiA;i+|%X5zg3b zB&AKw*Icd{*IldZSt^OZ@Wp#IfF{%weY4Vdo30;fmaEJyD~GEhZq!6qgXJO6 z?vU#{I*qz$KE?NU*QhHs-0_3is9U-C=)A4YU$!H}X#18WQZFvLUahi;hYwxAn(E!5 z(H2d~yR!N0Gia^Fp;Xssqpb^D->nOCvp$fv1N(t0?4z{PzGnJv|J44c|G@V|ePcfw z9GN=Yyte{p>TSn-1+Dgo~n5$4m*CL1J4 zx)UyWKzXBL(I^~j@p1uHTyh5*EU98Y0s-nsR<}p`w|I0wO6`4=NZHriVd;ndR(c#)#!{cKI~s1q z)s#AQUlSY@n)^hHlk_lD=b0@n=O&0<#2|sLWvXrwW)MoK*-hnM&x(YeE`eB>ko2XO zy3>A8KdZJcoJUUd;0oUVs+d>7+m^My%2w4jTQ|+RDbc+=+p2r@P5TK0()X#~s6+?$ zg;u?X)2&7B$?4Y!IT8U>!Z|EqW%oHiI;ttEC<#%|SxQ|Mb2?#!l~t4jfhtOn?XUuj z5;L6=tK!dz5(QgG%Lyu0j0&z6`bxv72$z$;DHlowRq9LY%?U=}No>rVGq}5)f!**C zH(<^g+zx~BFa7#I{|i4IzK+sKJ6nlU-@g{-5*)W^@(?6=*BxL$OX@#n2aZN*RPGyK zKy~PXP(7SMNJ5IeIVScM8dB)%xZvOb1MziSa(IFPwPTS40hDGJFyK)Ak~p|P;4TTI zR=uMAc4-|XNs^wZOQJr5Nf3g&o4tB4Ns=*Zkvy^TLL`?K-6l!;qD6AMGAhS4xw>c` zk|aR|mjqq3@=t-E)rxhKWWGb-nIy+FE<9Sw)f-Kc`4)jg6?aC?lMJ2B(epjJ?U@Yf zfsFb^>Y8-pYW?>XuMV`@-J@`~hMNTSblg2kdrgeAb^oJ=|Kom9Q?Ecbr2=!6(=+)+ zEw3aB6skrW;ZaPC6b-K;{g8cvd+BH0Df%r170Isxnp~m3fU_&VO12wEC~ZngEBqqi z3pu|)!d{XQOWFyjXJ_Z`oSkX6Xm)mP$JzN$fBBRD*Ea+}uPF<6b}WH`GO!re0E#Wz z6whraK<|PFr3XjT+vvE44SV(}xRk=ayrSf#tWW?m?-cYoBt$p9aeQZw;~>6l^kih;6wlg>6ATG1riwipf1c2x~#d7TKQ-P2Y;N7 zha_u2M^codNuod3_|q}Bsm^&%1~=5Uc|k=})~H{G9oOx;y0O6y9LVs$&DUXk@1`Ht z>lp2C#>7$9SD_Ko`;y=bL9vq61?pSX0kVO%f)5lW4!bVf$*#fH0MRO0S&{%$W^$x# zyF(%%a6~%cj$grNB^@8rBCNFAi$f3ciio?@o42{7k;Gi~qYxn_C-{t1Tu#h#*Qt)fdtTE$ZZRFJ!7C@YCP^Y248Mh7R|(pH5|M}%a<&PO5P68nc-jz zv?Z}8qOR4(=HR3?>Gj$s{LvN8P9)cs%1@FR4&I~8Ue{b6ms&=UB=harZFy<%(^2zl z-j7R;Ityyfz0xfx8W3H}%E!b~-A9?C1F63JZF*X_d9h zN9{-^ZVpdziZi5^ z_V_dJ`lEB&U4 zU)8)0W({?}KD=9Z?l-zLjQPJXnei!q&(HBq-zQ2WQ|qsn|3%n3o7x*RwPH-~kSBL) z$TiJYw;>n9g^&H-uY2Y6DKS7^n1?)Ea5|~uigkoCtYIT_;e&_BiYlDiXuCyU3A2Xa z#U>J%1sN{*iUr_1YpMfNcX>rBF<-G@iwB@>R5@8tum?X!zO#Pr9~BXmezqI2k#JbN!HMh zUYawHsOcaKnNL$aMgQe8Y>xRwFnQG1a#V#<(Wug6yMNwFPRYGSn@PF~Vw*p6vx-br%0(vtZ}m8&=X+cYmYhO2mZA`{$0QL z@x_N!XViU2b4^L{q?Ko5Jj#QF7#VV&9tdxjutoGr#{%K2ry!o8>$9f6B15W*dN9It zo$i0b9f?o=o&Sw*;*)>pf8(3JPn1gWWSb7XcX3=~-W^2fRYPf`aN6J#%$t?IQ9m}` zSJ{sD6$PAi#jBvG>3!D;#A$y%9%=s;HI{Wu`bHhEw12H{ z_{zuG$h9d7KsZM443w=DOpV*Uqj>#zNj|HL1T z;{1Lc5UdLzAZTHs3Jkk(F7?8?&^HN{x83zrurBnFu4gdpB< zG!^x@vqYULY>j)ik;i(&krpkfyYJ2z>Fw&)|Mn@{__uzwi@Q?@aavU-39YQk1mXx) z)RG;r+#T8ofe~)QW_jstYecRnb0xiAR zn0q0Ci92@_bmx;)r%qU&xShYyMd@n##WNj4s`)Sf^=H53--;%2?J)4FKyNiVY(cXn zut85d6kq-#ctE^PHL+iULsedB`wB0=gxICoXQedfC?CY^ySfvLfswCRm@vVhWsL!` zqF88O@w8baO@0MX3&tpy@^r^fGQOTG*U{T z-R-eI?BH2lWw1LiWNCk)Ld}L%bX&5-H3$UIs_LqHLZ&z13h14W(?P5HFvkx;t6X~n zV~5u^o&N}RYlo{9G(Z(8l5mq|5Uvi&fN|laN+&E-sQLI!P$r;Qgi#xuZ@&M)+&~4J z_isEQ?2yAS0b*h~>3IxXMtO5fl!kA?E9-v31-{+*q+hxXK-`MD0wx3!To)!pgiRO5 zrZUo&m20%Aaspm^0MKWC@vr&6KN2;E7^{wam;*q%h3llHgm~6Y2iOWeruLQf9k}2g zoGF61pY|BK(g^ZlazQ}&WT|653#qS0kZ)ZPT%%Qx)(K|HCT_){`M9~@2|*GP9q5ff z9#b=9A;{;*1<~}Ut_Tt%mYxeidKg7e=OV+1A>pSPzYt{b=Yn8h)zR?C>)CMj5R^&? zmkhgzOR71RBuNYElDL3N88?rgBU8MN{uKi?27fLIP>%D7(OwHC9(9D$n)Lr%61EsF z6<>MG3sC>hB_~~5gvuvs>ppyxeu)`Mj$RX3fK_L-~pynDjd-lPG<1H zXx>;CakTouSg`^R)*GA}eE@M|>K~KA12EQU)QKn5+>cmM(0&aN0WMfIBzpGN3VVm3 zn0DI)rn0m~K`^*=BvWwAlLKWM!_&>?*upS5IHpB6Fl6hfayojxJAsDmy!kLmW^Ctl zLQkRMCR<~-Pm=lmkP=2E(RQf_uuG1*3kt|iY(6Y-42Ie1juSE9>|w+rb&Tv0vMy}o z=(eG;b)OT_uG}XR|3>MdDx;Yc{Ru}uQxodNrZITUjB?lVv1dU)e zNw9~kd!#3@vq9Z%l9YhowlG#(iUip>-`cJ>zo~K=Fd1Cq>|=L6UF|Y}$yDs-aRcsv z`p0CK;Q*Efsm;Vpk&Z`IxeP3{)Lh5GsS^CxoNZvk{H@G}T5{FK(TTnO?h}eTVo6^~o&Mk&D--5On z{_2;1?W2!=VyUcDD5MLlui1Vgz`BK4hM9DL^&QD&Ac$906O|#Df%P4R*&w(S&|1c- zWZbv{)_o@I4xD~1UY>z=il_bgq@+w+o9UG?<@tQYrAqsAJMEA2&527A@>J~t8sDY; z>3PNebgyDdFOFNVXP=RYtNu1zFbfS*Cc$rzyMr)t&ga`VTW_{+Pp^HOc8lgv@peMR z@Bhue`?tI?LPf12b^GQetkFUb=vG6;C^w}e>Vl<(zK;lo_RR&Oa{w4_Te?fF<${T` zb}h|cxyG0F%>_#nCff8Ye`;UB22+o@;0{Q+M1Yu*VT5%dNQLEsfJ-$Wd_<{Idydp) zMR19^mo(NO!5wa)KLCc7P?2=G^@SX@t!h$-s&SKDGz1or;(#616|GWdojH zQ=~T;10G>#tnfC!E8)1j*2-;Qp$Ik;4N1H{T{=#gECxl>drMHcHBHlA5jzL5Ue`6Q#y0 zV!mXl*FO8~8dL=EtbZ2+jdmHExsle)EjY+!ugy7FcO|o{gU)@UipOaxket@cx95bR z8(0&+2)X5&Djqdp`Tn4;i);k^MLX<5aN5_L>>h{>MI zB#w^CHmMnwu4MHzUO<=|!R#}fR(ma7I>2erG_hN`x^4F`6jx`TVIZuw-X}W%63Q6e zDQ*bHmA5BZ`3$=i^131kP@_J}QCm#oRIF6_pyn=&uWr{7y8c`4lC^(%p$g@&HrQvpqzRm6PuJsh1ETlw?VlTw$3m!AH>w3(&<0~u3a^hjCA>H z$5O!R#HA}z1c8?G0c#|>)6j&vq;sNe(!J(}8a6Z`#gjUH>0+ki3%OFVynKVxrd*z| zywb-zTi&2GWihz$kt#WNDtVYJj|Ys?8@OUVdpq@ndDq{Y1w&?ccN@vqQQ{%&+-!=l!{z_Q(0=x&+d1R1TCjS( zy+XgRx9~pujBo1O`;l8-(5>dy~ESQ%s8#yEehkurg< z6xb+VcPOXbpG4N~uB zMZt?~#YFCl{Awe}=&r0-$bC_0+pZ{&p|2R^zOY8kihRC&MR2$pxi6gHR#2-*e90wz zZqdj#=rdnPu`#|ThLBRv;-QCb?yM>9x~*9PWeg7mf?eMnE!Z*1T_N{HpLP4omD$22 zK|U`~sFp9GoT}Gzp^NBltEg&sbTA|lFapnvOzZ#IRy@N9({PaS?({9n6M!uai@(b_z?EBv_1_=+& z1*<|Ij2TDzk@@CssTG|Dk0^q7u?IWOkT4uc4I_~QwYFP#=W0l}gpnYdv{K>_%#d(| zk+7k4FjGUq5k>ZlB+G$m3`*&n`JI^@OKw6D5NN^Z)fyn4>rbhAw> zt41|?fy8dAq1@z3>xn^`?um*o`w&#(dZ2zYp3OZ!_ZjagGO-_}6Nc<2+W(^Bt0kTl z_QeFLs+SZI31VYl#t}lX)Vd0RMrxDWm=C_f-Lf?bGj5zeG3lx{Txs^>2g`QET;gA* zO>y!|2!^YLfON)?XAId}7YGTLd7~L07GPbbjfzMa)|F-E31qxVBwgP9zklp=KNuLY z*0OtiZo3|5ee(Hb!z90Qy%+S=);m#FdgTz6~9<8wG@YWi`t# zcs!D|QK*}a=yYWoAp{w07D2ESOF9tb3f2h|f^=S8uuQ(%q0Cq7a6*vqRT13n8I_Wc zX)W}P`OpkDUGTKqY^BO}kFsga+r^4Rs9g}fy5Oqhidb5s(hy{@>4HfAfi@c`?S8+< zsH*x`3qtLZ2*pu$1j!vHq14xBG%(bd&9Zj1J!;AFAS<0#t^ZyZ7WJ5}Y(jOHbIW;~ zMP)Ou%Flf=dbDHINDWu=&QuX&`9~()Qt-$8McR}UQpAGSq>8Xd_zL^v^!FW0Iw6He z)$Z@o$nvcfpb!2V-}m7k`_DpyDF)*{xFa&J;^33O)q3WcP=l3oT$6nt$^o=@rbg_m zriJmeUXnit9R;UdrznLQ%vU@?8>`?7G<~9&V#N%Xp~VDQJsx_wZki&`(@XMdKkoDF z^&3O2vX*ExME+_}fp~qRF1*iu3GUsiqQO^_Ra2Y{kDV~8HmwAV3J)VLbtw}d4B2?2o)9bQWGtxJ||D zlC2ed1mNr9b)gX292Nb_y)F}kzMw|~0-!Zoywv|Fg5q_V;0Z*Oc+JVId6L94e54{M zUY85*_w?h)(6zPx><|>M%LL)hD4!ObC6tVb>r++~uge5MOp0+2JT!{g+jA7J%LH+1 zoP$Ca36j-{*HcBR1Oh|X?WVRVYn{*mlhsDv{VJt3MMlr9_KX})=+QtN{+8ZHag@^g zs3i|c0X3*P^g&goq(usqeC0?82%nB8m~}p0lTL0&0JRvsOc=`hOMOT>KDU#?!1?Af zCFb+1GNq9^Z}G&_a&?&!F4nauM(n*9Q3BW2G1Hds(=q?Tm;dWOvyOpqS74LNH3#;> zZh@d*0}_kJO~=?)fS9}0Mk*6Ce-L$y3xZUEu_9L7LVwBo8!J-BxF8t)j0_3$+0;1+ zK|VM3>y1Fp5nS914oGqA0CNqv(=H^oz=P zg&iVLUdmW=R25R&s5XgS&{h)`^`>9c5u^Uw9oGL$(T|~;DV@SbSCamBP9Z*bqbWp8 zXiG0SYGh$bc2?9$ekLRsiIbemKWI<-Z7I)b%l9hJ_in!JJ)c^5-;^iO9kfDEx@E9L zFA2SGTGQ^#pACiTZjatM3T{@`b{2xXu4TpjRwFx5s5ax!-ux!X=^CSXX=DR4sx{HY zieiRM5NBpX;Fe3PQV&5X+;oogBfLkdr9FnZDB2Z*VwhbJP3q#H?a}?O4q6COdD<4a z)H$@Ch#Z?J=o^NhC{LG!&&Mtcku){ylG7EPOo0=vg>i50?)exm`*$Do9s}Wghf+cD z;4ySw(Vke;RUkh;o*qd~QD;+RIx5dqT*n`TMG}`r@6+P6Mh}5Jbn9(rs-MQDF1ccB zCw+7LpISM;{MJWdn~URmg^C{yAPkL7lIcmtJUTQ`s^rn8)FjFDC@*$6J+ayVQElvL zl1$I?BJurWj!^qRrH6R|c$F2lc$m?p(fLK`X2Y4{w`>NL@aTgYNx^_N1R#x1xVfdaZ8;CZF}G4QU6J4V_gI1w)6 zCg{dMLqz()5U7qX+v)f6=@8i(83?geAZ2ZsI)3%Ktxm?*g=2nw5pM z1j(SNm^hJ%ggPY(j_n`5`-P~PsU9g*QYlYQ3>3*6x@V@Lo$0?1-OV`QC?OU^0&6kCUUU|D51&{74tmn@~-v1d;j}#&j0^?`piaq z{%@bXzIX3$U)J?m&)QWhS2?R|pD@>5u&-jwD74g@bpz9a%6EO1?Nwhb6ygLN+rW6AHHNJHa-;ECe+cla#3jrsl|NR8@(aMG9$ z!HWB>O*0BXdMqx8GLm`fsEH5PrT5?vlbi%{HX6zJlB2$jdeDz+UE1IIj<O{4zta*vcvG~DmyIO zVR$9xNSl>;yo;;#KqCz7ISu73eWI@Svtq6gUX|kSZ!M*A^>=Axd5Y%cslh(&kN(;J z@^i-XuSX^rku8-%3e`v?19^cw7g#`~oZD9C0^cfA-(9d2gU%RWqH%nzct!pdynv#? zLXc0QubA?$7+}^2QiHkRVT1ezwTMn9tA7kNSP|6G-Vi+b2>Up6&c7bFTh+3d3v0!o z5UldA`@`-a$7cRjJ0+7OAIx&_EqD?|*R8go_{mvSM7ufv8Z7*K)WuuLe0Pq8hMyDW zD#=m(S#k}DwNC>+PhxB$>!3TvGPNqT>jGMJhvR%CwP64BYLEuut~gY-QyUlhz9HS% z7PFy!tDtP87}Q3gP_DC-*+Wk;$NA=h@f=%=U*+V)+J9vlyH)QERsWwo48Y zxXb%fB!`&hq>5c6uYti`HSUD6T7E~Yk}Ln0R^a& zniap~V8bbGr`IMn^;DBg!AYmv^v$cB-uWllB{hARIY zHFK``IX4Bl%7mgyuQ=>L+BVox71fnoTyS0($`9)OMtOz3dg|i32 z4e9CYxH?C{sAjIu0wD)|tuQVGV#un)WzFM?m{HbzIi39KC>V85P&!Gx48DD45vw)R zlY0TwI;kf1czm>y8Cn(7g3rdXVhc0}7$CV*zKUg)jVM$Vo5q^OR-yZLqcd>v zqsOoh;V)>`%(sUcT>@Vu!6g`~vgG;x9L@*SX_=v49UIE=QB|(0(K5uTEGWuTcTUjg zp=#6j=B9*;PWMRI{=u`)-fA0%xGo}{Tpe6A`88^C8|Iag#OdXRVwd2lIP~@A1idS2 z-QKL5QtQ)}?^EkP_s_oP%|AP?)_q3fdEJ?1R4ESi?9i3C@)S;}n5;L-jKw8Cwp>4zHVB*k~TE~P2%&TH_a0ayaJB6aB{ZeN)kdx++Ta0AX^vjqpxwczPLf9^OFpmx z5aoNhwoGQ56I0dfWx&^HsnD}zJ^uVsDtt^!g%hlbc=M)ZMyyJo+W(gGH+|o|DADLb ztv6qkbl)J|O6_~*{&u3pl3E)h^1y0Xfca(J2DwzQp z$@Y51to8@T<7Tx#I2|{u{o&!b>|rUt=IY_NqpGjeaa5a`u7l3w4%L53b0r?9d!?~6 z!y!5%A?6r3U2%MLtt{32Pd+$5H@llnN~GdYkA7PK#q%(D678jY1z*veuVBvI-%B#c zfW4-;wS*g^Z(w%EvaL6wg6IS1gu-oA+Iig4;A2Jik`SvhE8R*vzgs$dtmt08yNuOi zThZ0cM++q~A06h+-uzEK__1F-9$=-sS?j}BmR8Hu46wG=J>zfm6M5DNRmMDJSl}s&os|J^x^4Q{w!k+MG7|;$|Nqz41tW;p*mEHlUih zmOUX%d9;&X?|Z`!eC^vqH)|2nqad$gO#DwK*Ia%XM1f#mq;8>wUujU$7y?nKfEow?fUWD~>_b7BFd{xQrs6+tJPf)2HEc&tcm>w>7<8qoN-F|RcQ zMccY0n&N>0l4IjaHpdoi>yiNBplVIYv(7Tjms~tym)s*QCu{C^hc29!+SVmO(+Io{ zE)h<{07kZJ8X#JArun$eUe%ZASHah61E{Oop5)g8ft}Zf^crday^@JV(oISv!+BH% z=E*NOTg&v!%98v7;K{Vkh&61@)otY`dECdj6X-90-B16*gfi1W+i;cq!XRlxV%j#W z{4M>-uk*@3=d!r2`d+NKndUe9EXzRjH&{V4F%;#uf(Xi|ll|)+%Y8yOS4keU7Zk0m`=bH)fP1#P9tZPsSi(CB<{T zdgp^Y?a=7JgdeI64a=jd!y<@bK2nbWZ=@+N+hw`NA{e}y-Fc5xhc0N7hd59O54fm> zSdr?`1&v`Gb2NGeLsA{OAaZl8nUMQ)>jQd*y`=dF&K1QgkfKpdLtum~Pl z(19j~rnSH$1VtUXBmb#hP=)nQtb0Hq?SNC)zSl8wEQ zWLW5uhZV;xaLw(;r#O{gE;_;`F?IlKbaHfHw%axH{UPx?Y=)d?_qXR8RQhjV5{!8& z{i5m)ok5#y=V^HIB$;o~!;HmbyrW9`>g=iRZ<-%f=V!DumkOI8pH!&NjOdzk8zJ>u zg;!vwYT60=^-u_1y>6!0rN3~_SBE$+|apTb2 zn;pOg&6<_fILkl|NcLFZ+G^}!gn6C-8(7nhAAPb5uX^fgjhvLLjRci%%;vevd9fb# zEr+=-nLgd?-n;8y--b88AhoU$sdX2j%L8$i+LQa}>E18?)BoBJYyusifp?q0*gO0c z+4WA`ol6D8_&^J>8*tOfOQgW($s_tuRPb;f?nnVchzf$lvn@VQ_gbm|SS=r0jN#^O zrXwdXVr(&x4yt=2-9X*zE5_Jj;Ku44Ld{uP#ugvX&ercMj%q4ug;q-?tz^A*s+CMd zWkn*Q@jrPNKgXW0fM_8%SjW;}bSRI>4mf;~k?ZWoQjtHB?2AE$Ydm9>v`rxEy&_*iha{Q$@c?(U^xX-Fh1P4Rn@l!fFkm=> z3A{E2-Pe3@BOlhk$qHpduI|CrKxQ@QsOw) zFOfBfu48P6CX`fKNUyYXk(9k~0yHP)KEZ^f&ZFH!^1Z*~pZ&FOjadtdH*?kNaM;%Z zsu?I@=8V{$fiYsD)f}>0lO3bniU0_)6*^-u0hH=51gU!CR}bK%sd`;-5GP%snlX%5 zm0urFT3l0Ka~LU2)$5YONNE~ImmEe)(=xi`@d&0DUQBeKYK&n2-{7G|1Cx$w7vb44|xECVkLb4_*`%J84H-X@Ziw?W{6l*7}jAqxo*(LOftMH zMU~)?Lc2ifGUQ{GyY#pnQ5Ez2L_m;S?P7c9GgzpY)8z*;LS%>1_ff~FD&fjqnD~VG z_@v|GeDhEV>g+jn2bsc1)5{NofFcEw;WB)g_MzEaJy?;!-i5h|t_7R@ z&dYb)d+B+M1bF7{84Jen>5fhca8}_SsqPTbey&RfWUj78ZV^SRI?yfMqQ^aE{OYgx zm%j6fNVw|kZZCG(ByaS*9$6n^(q>~}mJj*1=2xAW9@LhY>|}&JAxO*UEABBh9QKYl zg_Q+5AxOjMf+yuYW+4fK^u)~wLE1$ZJY)D1{1FJkmMW=Q2vV;VL7)?~G_?b*T(cq_ z7Z(ILfSq8d4eemRE5TXzlZHjDlhXBw$&r7!Kb}HWxw)lwH+}v$tXzEZef~H0^nIdK z3Z^`j>VJ{dTU{UkF189uKFk44wocr#Wb05|1M^IL0mikesY~&#me01SQ%FL_wyLR% z)0Dr@hb4^Bx}i-YtgNza5Wu4lwo$gz_i?`HOpf0R)LB(i*AYbN`?PlH`!t4Wv#zP* zVijX3C@tBoFBxoO+B+SkWsmiUp6%4zZ%NPcxF7Z$J=1Q{VhV2tJpIIf^Qk}nRHRR} zM(U=}p#^BF0q}vQa11S21c6*r!{vAZ?MyB!o;Pd{MwoUQLkl`#1h5L49E=sI-F(IU zd9{Is>jweS6uKb0m?VjKIBpTB);J03KNrM_5&B3g3@CFWNX6!YXAJET@bm!#n>yG! z>O7YOnuA@$#AsmjY_p^oiz11<34V@9np0uBI=GM19%WuiqL*2HfF#M_%GU(n(NUa$ z{SiG~)zN3!b~Voy6Stkom5tYp46L(%an9(RQI%bnJ)>%`GR}MieR}dQcgikcjXC2{ zKjG~^|M_o?ilM6f);>n7=B-a>528=-Aho!}Y##@0cx4|EF(Ebp60FCDXZtuf%sku2 zGD!#CzSSVZkV?hSE$MM^mU%*}Jy|+=&>__s<0Ck?vZm1S(J=tTv&Di|V2zlhgF!|e z?$C^-Ax)hGTA1V09pjMwmoiBQwCt$ZX86gdZ=eR>aOle<9m1%QJWM`=RQhuAvPlMN z1}=R8m9Z+@34tnmDBEFV$=8{)hwSmZ)ykmsw8r_f@3YFDj&vloEwgfYyt4NQPtsYE znYUNhGc`F9nYN+h!nt%k)7O9Z*ZtJj|DH%@Dqo|!7rKS|LngIVe2VQtW=*gOMw?oZ z+7ghNsaU11XM&SwuFfE}we;xqk=mkxPHK-Q&=WF`ntu%43%@)EC`if70&a9_FORqv zE{SP&#smSt0h5_|Nv(YO1)4l_b&r~>WN|@iD|v@%&3t$A5LwC2hU8>dASD8>keo%xl|e#GSuM>gdxw`pkqR ze1myyjT*>rZ0OTH7~mSgN}npH0?JLu6}E~+a1y3h4!g}kx`&Tz=!<4WhHI{>5X^Bd z0~xRhZ>Uw3;3RCt{R*79%zI)`rwktrt)f&CzTyG1_GHBq>X+@0$@&!Jc~GMW08fx( zaVW3Y=+hlB8o&o&{9db~D}73Ca2ySpvQv!A9@9|@9h*Prd*r932H%?i-+FeKrIt)E{WvPXKZK3(FZ+l!N? z-J;eeEpgKI#7RH6dFlKA`;UC$2~c4L#>zn-jsy(+BT{Xezq4H)CprFccgCPpqC;TU zH7OT@8UI+Oa07n;E6eO%2xk0a03|m*S~~>AuG^u{n4m6E4WO6CBpZTa*G&-Z3*cN* zSR;TZ^`Rw^V}gK@7*Em;R=5!qyKaJk(FEg24U|Olf>fXUBnwCrGfx!s;U_s7sTAAS zCM;t|)HTIOUXJx5BqQC2u#Bo>eB#0Kf3YV%<^B8|d-^_6qFd3-eFqCQ?Y!)&xP6gq zRo^bMlahOV08^^Z@LW?mIW(EHd}{_6n*74If6Tky5vd++dGOH0n}KYS58Aab^jS5N z?J^bSg2&B?d~Y1R!RgOb4&fa<|`hzThOr~Gy%zw)o4PHD$E7X7*V0vI-G*$twNA0%msl7-=OXS5Z)1i z6n=H|N|_3C$vwD24Ssd z(DxfUQjdXszO?@hk-krqN(yy}O-Snr3e;5YK_l6<#U^OvN^d*R>ByuVqLGVT!G{L` zkk}J{Q|flw@_p)d^T+?A_q-`GL0aZ;b?dcZbe0^TMLDFPI}1Al+m35a+kv`0!X;FX z$ku>frn>bNbD4dRqKN%3;s_o$;G%?z$h-u(aF5KBGJ7I(GDuMb3T7=zgT}yUO5+@< zZv7mA=v7UR`9(IiDFmr*UC?MsiK*KdGhGPQh5G}o5Hmq2%-2*9qY96TKokT&s4_96 z?tSwVFXzl_(-mf`JXc!&_bQd;`}wy|CjN~QP;j2iw!e$V7z&OIopb8(H{&tdBRyAz zEyzn0{?xF^h)KwYf;5l+@IHMKBeFpo?3Q5HhFM-C0c-w28+Es|T< zd68W0#gyigl%k4DqCR5t1GIdeD&)quC%RY$7F_?pg8AthdzR-K->K=EY0I~UgXx;T{ZXH||5qY@z>G{3 z8$IK!TX0==8;~LMtv;dzDsQ0eGNo4p(H0L~2Bin@N8^VOq|$RiKvWqPP@!xVI>bj4&o0wJ|e{rwHez1YK)L%yD_7<)+PDy1bN zxV?yA+AV4o`w|gcPekx@U-3hK>i2|k)bPwB0t?oVTRhM=M$YU2u#=cF+hxYl1q~R< zM#~LAPjxCRC)a{U6n%qQ>Wmd>9DT*ZYO|Bj;RJR(H9R3$CD#<|#Jttk=x9Qa#?eTwLzlroLn0u3a^uEK&goteE_C#wTfvhnV2S8tnLT5V`{TZ>F>StqhU`q zYIS>B(mf?{wpz&uikui?vC0JpIbU;z4I`@2Sz$>j8s5BO&al~>AjG*Ot!V^vhV2B9 zkp{&3vjoI>#hhUqWRcC-fI$-3j13s(kR`myuTNmG(Bay`4eAUV!g@t(0a4b;+GT9O zweZqT4~o_NrWTN7p&x1{QDx-m5ZFI>}MlRbsHDWh9ogho7e0!ntv|F^8_M0*7fBW0+yz-WS{V7vZ$s-Goz#3+ig)121 z!)ka;A*jOHZ3yHt$kr_3tZN{qmOQepc-nI2mZV;Nx|ESbK~VC@erV*Q1^xyUTxY1D zyLt2w#o3?ywl314eOHaJ} z@BXJTD_pyBJW}$`z^Y-s?IFw!o;sChy9WMmD|4%Jt0UE^uZZceCnd$f$UiPlMs9imdnf>ft2SO(7a zwct~oy5N4zNk~vrNX#1C+IHc`h&pvigQGJ&y6d)WN+*uBZQAH_IZNSD&1YFPc%C*} z76q{;*aX{~Q#W{?K|i3sd?x^qYR&5Qc$`Mt)AqK?1P}j3=Z)~0vS}1kC!4FOWYpfO z3v{G3qMi=yGp(+sYJM$u>GxliJg}aSO$V_J%{A*u9W4yCEi+kR_jvj#eDsQ| z|8;WifFdf@PvR276c4;HK@8rR@&PWOTb=R&tmG+!!vWZ?n9N6}gKveqDE;6QM|Gqh z41wwg%XXAjTWX}L()yOCwn-V>xr;3jCzUB7%_c4_J=ockCG7>cDWf!P`97occYnvP z{erl-|a9oGmS>J(#6-&F zhk>{osg@v*LKH2OBN#Mm6s@LI%8KB2fgqy$-2t5VwJOWik^{(H5G)X8jJBahFsoa5 zm>hf#L5$aFwP;qi@Pioxu#?govRyfjdV`IVXEg&=AvP$yi135|_prJ}k8sFdo3{;7Be}M%9 z8S)Sp0P+(SFn}+ z#QAWVpm9^zbkvl{Vh_f$#>!R!LxdLSm}z5>s@@+Q2v|}}7jbaOV%g@CL4tq)s3V#0 zPOu;VL)ekb@!b9(GzhTrXErp|7gOv=IXY(XNCppF4JU24P3M_!Py|amEyiR(hGemP z&EP@U@4Hxse2Wqf!cKaet2J{_S9lOG!Km{H3zXZBzDFOGmQMDb>x*9`xd)d7AjCl{ z_(|1$AN7UQCkaMvIuq)QbbAX__MyNO?n?PzJ(QPQ#HX=;a)O4rahaav15eTQe3(UO z$l*x2YK8n*OMNXvZj)cq;Yn+!*n+%Z))d=^&2O!l&#LoVRd2CosKSww?QngzGPO6p zkMx&nROWuSt2<9Ypw5qRJHC$#R&7dp+C3@c$C64wehgQaaA)=uVTx|EiAxJte{s7W z!(kq##6~>hHpjTMEnH9A!kgAV`zL?hnA?RZ>;y#gdsFExMjF6sf-r8z2@)nN+x44p zyHKxW;^5lcuKgN$SFU(oZ*BHP{FrRg0sdH9a>F^aL$GqYj%enPlcZnMdUCbf1&;%3 zYI;Ml7->!FS=)RPWLF^Uqa>0sbmg)KE1|ke!f(YCLQ0-D9VRWATI-T%o+h6avoNeT z)_I-E?b;tO6NPKSL6SixmE)7$uETi+2b*hxYq)k&P-3kd;{z_J9=)ZCf@F5PEQ`-y zw6>;}bd~ZwGB!e-=YA^;UHLpKx6AM{OjRj6SV)dKQ{g;C3%R;8S36U>zb~g9t91&F z?mqcn^O>p=;NFr+>JXV5WgFuuC>880H%8*A?-S1m$tZW5+P`q4;8o=G3{3mT1QC?= z_Sua=C2h{gkIjj0SGX}OTUPlX2vly2Y=;}eGGQJNy*bV+6SCBo8&FV{1Q;UujU#TD zlwIR=#H)%iXV`>dUOc14Gx9*?mU4#l$S&V`MtT$EE0%=4@g^=l;0TJQK!kz)um4vc(;H+X{tgdPd$=Neqq@rXgrV zv(bLZgp><{&5;OD_L81Ev}!cGE(lw$o>AYZQrcY?JZ-mteR4(QquLfx>PQzH>?Nhu zbwT6JCk&|DODdj`OCDF?wxJ(^pWR_<6VJ#cOPkDT0kMlLznpemk`OAdCZ zif2?L0eq7FAaKx0swH#OiD%@J$7^U&RkI$xMC3;j51d+R%gVSzz`u=oR=K&DSN+yp z?;)Q?$LMv3GzbW<;#lF%~djBj|xVsSg11o5ZSrg%7>dRfMt(PS@f3W|cV z6%qa`;nNXKz3MB)igYi0Mbz%lO+%+)wU*IAc@}}98vGO=j6s3Yn2 z!(sVkjmw<;uz%^c*XoYBb)?1*k1JN?^oSYm~jTp}}22(-~7Z@&u` zu5A#2;#D39Gxu91-$Y>6A-v9ECgz@4(s;!wWc7+vGk$0+$Rac{U0}e|jJ54TT$aO3 zfXX%0gP=hCatM{f%$TMv{XX@fbC`)vQSA&luV4t8b8Ny)^i|49Vr+uipg74Y%q-Ki zG0)oQ30-nj7nX>pd{Au|O^e*2DyuK?tI}OCv;Mn9aAk@6U>nf2`qdu=^MALY^cn;q z0^>Cc6h-MtOr64)PJjo@V5M{AaTv~v2sD6w?H zV`H%e;~3wknv!x^(emOsB&EykP`Z+hNSoplxY7fdIlbcoM8MT7rE*+LZ!BFv|;hpDWo zIZPg0M}OsmS5UChs)r_<4hM8qlMK8!CN*>_jrsPV9OvhW0%G-xCMjf9kyqr>isvUE zO#j|jwR#^8DD`KfH;Xd14=)!bt4D$|whu3-uc|Q8Y4)N0)-@76dqTY@od)fgD{62t zhqW!#8PsX0H|X;bCzhz`&}VJUj~r}s)T7o28``FIVA`9I$HRd4xFbd#ua+sREJsueWBX}cyy08lgYf}uC4fZZoX2OTvv9=Pp+ zq?bwX>8IZPo_D-_@5L{8~qG$}^w({3ZVXvrG!}zh~16)Vzh|#myd$f39}M z7qR=!-Tg(b$sRANin4^yVXW)^iQPrzSy1aH$D;Ntpg$}2VrflCZiS{E;z+Wc9<s0qhcVCzg;V&DrkMj^Upn3(>=c9o$r3;3sJSz%B@?8J4MiIqhoTVMBAe3~{uIcvks(Xy9zA6=AKSfrG@4WELdvjIznfFFv_s(F&nBViCghkq~m0eYxnHNLq z=;cRp>y;NTpMSetH~DF-e#=j~vf{o%l^f2M{D8@Kg)Z@wyV5ULPPp&V@+(%e=RMIP z$h5mcX)D?5SNY3c^LK?Am>>I*&-%W<6|-D4Zt-x#q8Om;prkDWBctMT(jrA?Xh*ba z`)CGchnJr||5yeFlgpU1AP!OaIr5>Y2mBn%%t#DO=*&;c8JMWw<6PUEPR_tY{T`Kw zHeEtX=Z7xkdkhcm&`?(ym?+<)y+Jeaw#c1HZhW!n@H9d}?4mJds9yXBi@ilkB_Txwl!&VtDHX&AV4j=ShG54$dgB2y8hbE0v05gfxUB)#Us6{lSn%M-EeNO@K`BF+ASnKr6Gjn{_n;9J zU&jQIt>EYuV3dHQX4)qcAW1FD1kr+q)-tMB)Fs<Pv!I^jXs84Qg1_U($y1mX_#)DdY#yclNA~ zC?xueSs~q4?~aBYd^7R?%<(OcP^CKiedQ8_nCDx*I~a>x9dArQs3M}6fKY|hRGTsF z7PU6xwr&xR`#6J$fAjDE`mgybho>nS3e*>rgSSK6YgO&uC96HTzRxt0U*=1 zmgiE&V9kSe*Uu3%W7JB*P<5K2aw#La+R>QQ9y*sY2CFEvyXDYBRTQziE(tDNVyD>- zw6$=>cD1Dpux)~dhLV`a*69|fYv^mD&@xa+$%UrjLQ1|p1_r;Pkdp7ua3Q6-L4`P{ zuaJ`O&_E%jX|F;DI;jkBo=DuP`nzgfxuIjqK169J!o0puJfnnub}Qq55u7x|X;v?Wt0yf4 z2-WC{foCLf`OpxchizQP`NDYyw-oCNcRm9RS=Ua?=nwJ@5i{EIb3LP}R(;y?tyO0v z_@l>v_(?C0MS?-uyX7>`I?9sTjt5Ueaa)cA2jjLJ2@b|>IT8fV$JKFLjsyqewj2qb zHYYHLNXQ8OnC4gKNbtPbX)7xTLL||4Wh4l0c4fCktBT-qE6GF<`Xm_D*?c3dGS)~t zD-tY9PClp#3cVSMaa%NC{99I*suzJhaz*KXbw@wMNDtS?)Nz%<^Huq`PbU725=lMw zRI`^@aLgXe02|$1V!^&?XiF^U{g|l^7R9ZrYh_U>s}q~uko$3u1%KpY{@0J+kHOk7 z_k%VF?%nBR13=tU(HyL8B{I+TRyrCo7%K-G0R3}@HCEh#mkU-c^F!oVBgKNi@-~7w z)CgjX%|;M)dBq%Qz<~pz3^oljN~GB&pJNpZZua0Ar65zwVtM0N=QsoV;S)+k0;q2z zSjU2earB6kaVwc&Z2>_;bj=Ht&$5EG1?MPwbZgAv5d1wa^jBXw548dPS6^k`U6kRY?dXB>>aX7hvjdwFcF2Rm{e%;e4xJ3Pf@h zen)`4IcrPjx=GWfO}RXtwM7jpz2^uMQ4!py8Ww^;b_eb0cdidp5{Ar@dg9~Ji(LNt zuldyf_dgq})29ZAb*EyT>+~nIVxg)ol>CMt_BwRkkPpp7C5BYdik%R37XojH*TBL1 z$bvo_AlPJ33sQd;L7$0qIvh>4GS(adf0K#Y-J2SN^F#i{Ktf9~E46y3(mX ziy$&%lF@;lH9kc@^r!|as-^m%n#v-L`&VXWG(tdFq4JX=j)(!`(-d7dMW$X6@r7%x zo>~(e6I{WPjnLXt)>?G0(@U(ysPqNAS5^9@qvrS+rPuseYYnQ+TWyBG`R4QswD7FT zeG6ig%i~(>E-WhQ`1AFWJ*PLNm!>V>mr?oL@BU4{|DQ%iMF%-KTGqxo0W!Zn;l-mX z1T`Vb!FCy1)&(uM0Fri0K~ii^2vXm>;0cuL(#nK1K}V1elnd^`UnT`^%y+ZC+gOo$ zsR-^joKDYlFZ1YBU?E7oz2t({8%#m? zip`8`3f8%xTQ=aL7^s1wBvw@qks^Uo2#&LwSH5v=8AE3|BtB&|k~ zJa4fSyKXltw)Rw)2=8fk}up*_2EweOY4*y~Ll@DvN$_`|i*KkXK^ z7Hp~XUk{P$FMi-B|JVn|D*Xiy*T;`aJLB1%7*7R9N!^7=h@_~GmD#KLH>oJfibM39 zIlBWov8&lPb9QHdd~?*ol@LNr(PJ`!#jRHR_s-qAg9vU4B8nVAG*H+U-Ugdjr40nl zl_QQOZSWXRV0p_^(#q{`!(D9QS_X0#rDHtwx0Ur+?AtP*<-=n>%m4LT|FYrANvaGB+G1h(4*B0+X66p15h@yZ^4KZf;o%|VEFoE)r%0UqukX->gR{mR)FC- zhO4679cGqGU}li(ELcUkFwz=n9?`hk#ClbfdjLXBLQ;-*Zv?9-cehsdkppb!V3fPx zU`~j#I~^>S7(cs;a!B1cKw4T%6%j{^6e>~8|6+2q8WDin>ez38=(=k_06yQl%M}i zF{VM2m5w8})Frc5$nQa^qH+vwxViwr_uBAOe)J$ zcQ`qO+^;GmX{m~&4!^+jx%265Nisdj7lt;cs~34>eceiw>-5=s`1WUYytdV@*UWu9T!Skj7`H*yWGgf zIcht2{3Rbk3H&r)o%_>f<;WC5kU}XD4a`iqyT0%8M_eH{d3Aa~Q&!J%^pbx1C*JqA zH;q2pQP8O^+2$Y!(-(Id%wmW^Tji``R1gJQP0+!8 zap)YQ!ujDjA^=-&5MR}0#vG$!uC~=9F*mP`D07T@#&}aXG$YS;#T=s^S0`4B86~pH<8|q1M%3YKg<0#{|f}q3WSN_>?6_#kx?#wHqLU35=Vh=m^+#ePb6)+f_D zg(DhB!qWlZ_Arkq-o-ZRM7mdp)I)Fh$SqoI!Y!twBA)Snqh+9MzJAU`k(lxt4<9_Y)%;g0zjkBF6A)%@j>lMm!RNIT;_b z9rkEYYgVk1@nyKf38uW3oNjJuq%=_Tbo@j0Myp-z1JH(Dj89W6^%R+U#bk4PdKQ*2 z^HB&h?b#pE(~BvcuBWe^A8XnzT1@HmTQtX%zVC;AdHqSRd*TUrvUFtiW^_#H5jIp> z_0B7UxP(zj)xao#AIV457?rC`X=iqBHl@)eh>~P&e00=@od_y`-l~WCVp{;OO{ur) z9YO5doMSenn2~3#dSKa9R8jou+LZ2KlUa}e0HB@u)R4(g&3T99{h%hNs)zQM!k<7OTgQj@H+4tDq@JS|L zExl!zQGgf287@H6te8!&elus5?O&{M@%~hsuO7m!MBZN5?C}DY-kEvCS8Xal@P;1GkP+JiL9IzhzSCyE(Hikw$t~)s*piU zXyctVCwC(=)Z+Z(rY! z?CVTep2pWxk|VBEYo`e#`a56w`agI2m=cs~J;YmGyj&O)*Z0)Vy!KuNtj3=KV|_qw zm8~vYTBTZ$O02AS0LL=*HxkD-aW+=Wwa)_xW?;3*({M2I;t-UF&MK8Ql9$y~SM(t$ zzO4x!*K06-VMQRBj8q{6slaRtKL%~2B6vI+jYSB`^JXi8{D9C{tSGY(>R&B3#+O7v znAZnbr=II0Hl|1(H!Qr0WK(!G0I^FRz!3o(BMmGvRv~WAsGcoxB9PY}LKb{1{;frE zt6sfVQ{UXXUozDKxY-;ZL3`N5N}4>H$G)0&CjtXK24FSrANTr0u{8% z6LJ%nfx)MGvo)_I9t5$?ws`^e5tuqY)&SKjj4ny0=lY_Mr6S*9O;1TOJ=hmkf+vRW zWHo^#nV#$m01DJIK&KM&6wPy(9_$D!LDNpzmna*1< z1Qu_(wI$9^@PdxnZ^^LXcg(TVYFv|@2l%`FAm1U-6n)JyK;y-x* z2fyUIBTc1j6`rPYN|uEU<{diixp=VZ1vhC8vCc&#+w-v7MP^{P$mkJrubFq6sqf(p z-?n8vYI)UiCe6`flM)G?;YdjTyRgB`Bk`@%78*OcSt7U;=*syG0QL@!WCs>x#`G-y zwIeg8-J&*uvoru)PXoYL{gpra{?85zrn5-gg4tveON}EOL4sarJM(xGNf+3hm}2T6 zD5;w)DE@P2pbUESS;WYK;wbxy+m*Hy91*s&bdv=oSKxwY8_0~TS!Y;___!{3^3uQw z9B9pok|`*Hdvtpvh{DLuO)Dsg0uxNLY)BHGfocYni=2CJAB zL*2@FeHG|*BH>UfKd(FJbLue{1PPS_1y8GWr?5>m=7NJvIn-hXjI}5RQs;C{cot~_~iTi zZ|v#&M5&>A&|TQuYBq}&;8R{y$ZAqvRV(t52nB{>WQ--t9+3z|{k@(FiSD*45qr}G zdz>%cy3*Lkf_*iX0TuWvkt^GA{^FpdP4Pk^kd-pQeAR9b@rds21hP|A`?TfzRQsp= zf%pB*_r|yutxV>UdmF=WgYFv*7kD+E#OYm6#@B|$}z2e}In^Hmc4vj3|r-I(_F~9vM{;MD{P(}$? zLB=lu=M|NI!6%GNW(m&P?P{+I!`6U{NAJ>CJZ;efBG`!4Rzmb3MSbhjR6#}X#HlER zMj-TUSELGZ!Snurh2Q~0U7BWzLsJF0AW#I#3D-C4g(}De;VC25rc&F1jZd^+tVqjL z1b4fgDmBb{QJhl$`wID50SVWSfcUdIS9IB9?9@Zl9E?pA(QM*e3qB5|k zuQ{qIRj*C-+En<;@l{k(sul}i0TH?=v8?H?(stJ+qo+`F4S89i{R`p+%#F&GYh^~r z9-7$0Kq$5@9o+Y2++L_oL4M5l(CmR7(O(@8ZK0OGJ!<)Bx2QESOR3>{N)7+?_x|C} z`||Nf!MTRu4`{1KIM#M3)RxcZ=aL*^(I9+RQ<~bj< zoN#mW*rdc@WgQO5!ZnmFyd)Wth3EE7#0qyc)9=(nuldm7{XhL{Kls&=nAQZkC#Jp1 zbjCbIRIXV5+?@&9%{8uEVB=J4|>T3Af;ilUQDaK8mBH$w;XrK69m zK1X@p{2bBlBrBqSOXy|tidFg3625?`;vCwFYE}L`t#?LxjfkkdKvns3k69af^fTsq zH>W9yX}^u=w*Xx}FVS(=xt5A$w_jxB+`O0sVdtMds*Y7Z_VsU3tI1wt+t$xxl&31? zmBXbm9f~a{o9iq{C-*GPQ%O;3p)C}pY=@$J=-jiA$fexzb&k6L3tW2R(Rz-yf1rNF z%>m4pdG_PuR5aYY`JepXPefWroi&w3WE5GY45vFJ! z%T1wWwW7j-X#&<*Y`ajnV3^{95q)6To+dg%u&Qu)ckdqHy|ySoQ|K$AxtH8jVl!<% zPpn8&SOm*xgwd&dg#NK`am4g_xZt_CQdKy>M2S#}f@G7b1#^WXMkAnYxc${tg#%MG zQ23F$?MiTx`PdSsl%I24VR(=5};>7=Z8zKSy3s<-u zj0H9d}+`P#+XbxsZxKm;|y*yD75ekf3tB6cUD$&lkPMK+v2uJ+!-}_sB?4^h-v;)Dj zKGu)$Bs$4Sb%SE;YGnv)+hyw11rOUTSrq^+2D7hf$q=MEb-^-nn-DtHX(32;S_A>x zgL{t^K{=xoKOsnU>Vg0%oh7A#!m&_9oP3wT5na$&Zcu`@Omr5cI(0#2v?ZMawqmJ% zXF;k{7c3xcq*o-U$%3LzizK=NP$!q?*^0Zi3nwY+)Ft;Q%}cc!XlKhF!tF{kx_)-uEtN-7$8gkY7{JA#8w zgM?kf1WC`r-_wM}>im{6dB zl=j61PRl^mi`C=J$N7!E7zM;B@n_&MN1~fkTKK~ng`aV%=xEQ?{-bcak+H)NG zu6K~wVt8Lg!#nL3ElI@bw`h*x-F(&?-uFL*;Z;Yqje0RDhSNUbNAHgYtMU*`4X5c|H11X2zwn9{wqh9K+WT4eeV%~Fx?tpb%ia#gI$}L_ZVPgL#irHg z3Qycf2ezK!Yq1ngWU^pPpeL6p-ZuZQZH{lOk2P)cA-Fw&jJ}|KbLf0GPwd(pP8~H!_SHbu!=e8Z zbKm77Im;4-olop%H=@>cyKNzbWxNsbG&4>aIDdNh$lbS_;Bbz5@|iMYyFtt_=$+`} zfuqHyBPO27Ryn@=z&Fmg&?c|KF3H{u4&WKhtp|qQ6?}91xU1DDl;GA4CAEk{BQ(!6 zLrm$mO=j#tAh3BIipH%+$|x*Oow!fW@IzKB$$gW=$afp!SAOy;p|wb6?6+$PcnlQf z>NZZ#feu6>tCkm^eu8#t*e625weWhm1}k`{rfIxst0}&)QhefCt&q?bZ1K{CuRA^? zH1-+(7^P7Bi8S+a=h*C{l%bn>l4kzq-us@$)Cyvg&owuEsP4UA^I61qptL(CuYUSyuFRlF8{+-^Lk<)P|%+Qa;ZK4>ukL|L zE21XA#aCo4QZH?AluaAw7$nNOSn*kcGxl22f3BBU0SgQ`Vajx}#?JjYM(asg$R>&v znTQlzjfj5Rf4pz)Bh2u9$F?IM((hvyp6|E|f?AhFpD$^-Hi31R=4D*aPdeCwrcvIa zDpAvzM=#K6(3`b43cWLw&qPwu7c}XiZIN!=aCDkrHtp50L`XOPNH-*@DhQl-nGr5V zJ_r{NtPnYmC$#lx<*}yofD%%&-VaSYKDJIR9MeI6OSq>faLiM)U`kAvNQX)B7R>8O z##u?NSf<^+WLoj%=(+3dL>_iV`wOd2ly_H~1M2Jt-`UKnrEHqUbaFfo3+cpfoan17 zQz4o;AHiZ^!h~uu*o=; znZot6j#KrpN9_XuPpBz602(UhZI=Nj&f822yv%8bW-EGLXhn4N`+Z$DZfhz%=Xxi{ zH1#mR2(rLSNIRYDF$MHZlZc92g;cDB#QvPu-4kc8JOhp7yt2m%g^Ekl<5k4;A#v(CnX9-f*YB;4JqN>T z0wprzNH^*Y1VoR=1m`}+zGSao7US_bBe`-|Ab071-x~49#yk|(W1qesyFH6&ndNfX z`&WXbaW*_<2x)JjP87>}@Z>dZwvW-i0$Ihi~#*UxdEZ_k6v#q`aa*~ z-=pkt!QdfD2)7r8wz5)&v`f;kco_=V4j(Yd_bnZhX&?1`sCB=CnD}YD(KZr=n3uG` z7jm{cp*Q66<=Hwf9rWCJ>TJpwokF)=IamtRYNM4jEmHxkwbzcDb@yi%Tuse~Ie3-isSIZWR&;6m$(jw(rF{nVP>49})ib zXYpytq-9f_1#?Hs}ab}z_{owm5w$f>tew?M4R_Dgo&+1uv1$?_>yh*zc8glgVN3bKFS%gn=%o4c; z?)TUj`3IhGlPAH7WjdGQ>j6qWH8?Q(*)wZDr|(b9#WQOjm)M6z87<^T*$~r5jaOsnPLJ`IzygsnDVMy=lFr`QbU|82|Y z&Ul~K!wgKc{#j>!FA$sB%yc#OQP4w>dFPB#@L6opy9T)olIgHBnf#JiBG~PKuorsB z##!Hs1^jB8$^vcw;p2JTu!nkz%rmdHhzLPSgIun#8SMNnh$|YvlUpFnSTE50gH(eC zzmF*q-w@^sv;%4!hBO^@(!N3LN`W&GNKY(*xYwG1YAlFJV`P9=KVz{YXjARdRYyxCP~1xFjC#{xGTdqn?s(MFmk425y8RzszEsipk5)*Z9nI zu2h+LQt&$eA;OEmn1fP-h%z1oY$R_JnQRpr#!gS%ZwdA1rtiK5Sa9L->TDeS;IpV= zcGc|skKt5^@g$lI$LMd&AWd;Ia=amg5QEjkelRCBs%|zca@qd>?ZOhN3f4v|8rQDQ za4~)L<4aM*de!AHdbooegSN#PlK-986whNj+C|2npc-pos&zd=z~V~;>$9$QqrvwS znksWV2`KK?WoACWV}opOT29nZqM>liQsQiwpL+1-Zl2 zyf0qVYXvd9AEz3fA7W>VB_0Y?9K`a5HV3h%f6 zS2&@U@0&$dk4+b4Tq#f}Q$i=O9-Ae#YAFzSnzm?L2$8<~)}W0*g3!Fli>ps$o&(IT zk~)`>NTkM#2BUgakUW-7kL{MAZY39%|GbxVuTTvo%myN@O&G&K1S(@-Z{6In)QVw? zVH38O+QMDgf_DuiU)GO}j<^gN^2Ek7g_c}P$E#G|5U&f*TVHK4;`2Y=X})IvK7Cok zK1>K)LRXMT`u(Q$ds~fXYj{!Z>OGO}88cLmkINH(>~UBt;oBwf&SGe6qZL%$z!~33 zcnZu!2OtB<1KxA7(xgjK?3fL8BGoW|el9aAiR>4GJp0c87^HCoM?-l^9j-eAH`1jh zpFr$lo1l|qcP0sSuDK@$eWH;;9avIE*ACwUv}?oD$hL4fe(e^;N83M2UODs%4`f}D z5_}$@#-0-NQ^H=Jh^C7VSjJNg(yvwFX!;I+k_eZCqyD@JPsYa&3V+nBNJZysXy`S+{5IrH0alws-&O}M z8h_udw@bj4d$n|}*wVecdRNrHIl-v?wf_ep`ENx3in@NY4!C;4&8N?C@A=-zKl|?P z$Zv0C7au9F2>%6yV9yZgk8SU9rEcS@`;AdG6sYB_EGB@?h9zi^|l_OaglK3)dtH#Y9XBMB9~tdOPxfjcTsVF+~X ztl<>yx#?t35vI?`b6kg8MhY*AxklQ=p+i* zDu0zUuxM6!6i(9hf)DfRh1aHS;w6%#@Yti9D%Rsb7fE9OBgC<8PTGV~)^xnIy<8<{ zaO-reB@JhmuPXPSKl-PqsV12wolKqJ4gT-t2pC5aZatWv=q*j^>I17{cJ87pW!r z@|mot{)AV9n}K}+TgvcZuC1 z1sT0Wmnf6HzR)k7((1@bbXu>=eA&uqO%`@gf&zA%{#?bgknu8}(S!U_u(ZnonN!Swm9G zcZo&^J2DF#?|mTttnfdpll&OQH4D3lz{W@5W;mEZj zk-Pc<W~GEzzKK~M%{ zEla6@ss<++*ttPF(WoqN10~I0b4e)x=VE{|35y{<`ACGKln_291RXW%2>Dz(aT_13 zP*HMmz(Eqp>>Lp?yXu(w>#Uf(H)Bz0qJc~9f&>K?JBYQV;sYYr2Y3WvG&wng*6&8JK(2qx%$-wW-zU{1KqWA*aGMt+_?o|@}>_=-+{B?aBD z1nxai%Ko{VM#&h(zHh=H@naVIQvWwi_z}&Iu_LBcd>ugHhKu1m5wvBRmZB7?W|0WU zW$J=4PG~5WDL%^{W0~B!N4*K}?oAWR~%0(3Y5+RjHDf^uD_n9l@m<41sf;iW5PA~Ze5f8!S z{-Q>urOluvYm{De9nC^<*(-HZ2K;&O^S#iiIjO6BBhaK<=y4(c{0{@57xp#u0{v7?+d1C zyk}8i%UhanLExe<{TJ}-wDMRO>+t_*2kZzCZPrs^{)~hft`{9m7zqE{7ng@)uQN2;j}OJXW9B}}jDGwD>h#H-4J;d&wU=N07+eJLkx++U8HK~uAy^#4 z!*x4W?lc%;gJru)91F8`FUVFmQXK5@L3NMt(8?bG~N#eYMtVe6MIOxln%K$576O2Ed)OtL> zh5uOC9c_Lo3@f;agvmpsN<*av)X3GH)B}kjmX+xsA2L2lq@gg)H9%*r4HRXo_s9YKmyeicn8( zLy>^|XYIGvtfLHhulU4)*Ev(yIgT*jvNUQ95FkAyZ=xA8|@xmhiVUYZ7pqo)e=mgp0R6VE$t&sKdBMx2!s5_YS=Rb z>Qq84d^cK{g@pkj4U<6=EtV}|2~fOb_NfrV)@DEPguvKlh?%zZUD-0FyaDpOTAl{u z)@G2LL6uOgD#I6iEb%dozCmVD;W9LYo2B@D?+uWL zkPJZkfvh(@`4ain6YgT?tz2KsDhnAT0AvgIzJkZ)vVpta^iVP8Lbn4Re=oe2pkpc4 z6BIE!Xb(AAjje9SWk%*=&S~ub4a$2dgF$6oS;7i2Cfk6M$B_poqsYpaDH><=u0WOX zph}){R)>0)*)@=1hQwS!ui?5)haU6gCElNt`S%!H(+5TS%i~Ldn7F?@~Hd6JN=u##Oc* z&s3tS{Jg<$Q5FW*wM@@U7Acoi^jsrDl}e$ZTM#VWFL0&?_XPzne5bwwj^=|6{kT@F z0Q_Xz9@yOf{2BZC3AFb;l=t_1`}rgiB>K2W59Kbg0>W1&FBFo;Bv` zczkGBDre2^6!*dU6W$9735?$a1xR=w?4ur^4aNf#{l(9gM{#`*{D+OfdCp1;akaghu1|C%&51`W47HC#PVg z2~+L(m6a1-Z-0jYWb`eARQV$|{R{^E!{!{!2&za%{2{8it{vCBJn4EQc4|NP%S&TY- za&vz(*aVYPyt3IfI|qj^BShwM>gu~}p15}PsLdjc?dane0if~RmSM&<)UobQlHOzK zJ*3>D&DC31ICFwZC=;z+)JWr)>5^XwhMBySQoA3`!;IumM*5q^CLdx@CJ0^dl5U0v zYrA(Q=B63I{Nix&BAD#ccpsgyksM%TareT;<3%t*H?6$lk;XC(@s_eu&aHbAHw-^m z_lmMeB*f5#;i2HJgx6*mX!!&3i%f+jSqt}BsU_`It!9QE+y}q8t)(!wRBP>+2L8?#;a1{hUqRTob$RvMiJYAZxtXdr0`Gk|4zeDe zBb783fjV>t#b^sE;UZYbNijr}#~7Y6N$96;AAFOtJj$Sy^#n9^k$Jkmx0^q`3A4wp#U7dq|5G1zDE1EUjD~J z`$$8kGcPgUy{WLy76@b>IC@zKO6OYtO}7DsC72E(BWaZF!Kd3*p?$X(U8E8=N5N^8 z!f#Vnq;|WE>faJA2SI1zhZUv-Ua^h|Ekvwh$iqlL(8I3`4mjIeba+`+qu}x4OaqdM z@hiPvq90JA4s9e0@YR91G`OQtPsln*zrS-TfJ{2!tSi*f2nWdGEvnO1z@@VHXRS%k zNzf!!s9Vsec2!6uM{bhBSAZo!4rD|X+jN=t@R7D`OD!S=Ss^qVL~mqTzpNTsEHb+T z%uv#$WJTB)w@-ftXUEDX|89*w#{{e@^H$~$EJ={bu>YfavM0t&f{{o7XLOo?7?MyI zLp{gk0DgxZ!d8?uUd4*!-sGRYz>V$l1lbpL+)Rw%dH+Dy1?*1O-)12t_xS8(;%AGN%>;YUN#vjvmjX3*GQ znGM<~k57OtcG51AtWri)3TkrhN@eWv961UgR@V}uE1<~|eht@AI2I8)LjMERk=CkCqQ(@i16iL5 z!$zP&)ZfegU$X+1vLI6dNwU}>$4A9q+5v@Nv`Bw@t?(vl)#EhWuxtHJ8O_e2rna@b1WSv&v^9c0$Dhu=K>eT zM4_Ob5}Er1;LtmP;l)rt(Vq(f-eDqoM!eVZ0c_P(hON#-?ffhKoWJ*l*J*X^?D7?R zUIwry148V^>-Qt3XF^fnCRVC21Of>f@~Q!ky1>n$@(urlSN#~PWR%F_qhqD&W|Hw3S-dg*Mvr) zh?uB$6xTD^?{&oWa{p?B_NRjO6133VFHVd0dCf#|z4%z>0pZfkl7TpR6pUQzK zhRdT=^|8i5&+)KA594#ClF~XbyXIh+@; zsT#wiS%n_1efbL7T0mj~u%eLUt!+slFjpNJ0-+>Qj_(-CVS$bK#;5~5(6kUugc#DA zWu4TZMb79S>=m7C3o1AyBR#%B0t16B1<$%^%k7sT(<1n%YKkJRktX|m5S z{q>|Z`!>4>n)|xT?)_dub(2t7wOg&_1-CpWft9NG8+$K(;UK4S@8z*Vs%(Ytk?c*x zFdG>MGQE|lsgcFbO*4kD5hc)-u!#~R5nKI@C@5*twGRxXhb(efnDva5BGQ_F=m+{j zL-jgPTZzDH1up%fvcC9`_E16=twD~eH2GuARBknWgUq4K$Cd^U>Ewmv*R2|qAond( z?y_#$Qx74>!{-ZUS-ImB@+uRg(8GzDvBTPLHfobh_}x5Y_zcbEI4tlCcJ4r@joOQG zs-Bc}yNnH{Eql~l=iaWgRBzruECH8atkSHFF zFH_EYZi-dZ^2oHxGh>{4M(XJ7YuZHsn>`Z&Dv&F2_?p9CW-6ptc5iPM?@uH^`ujU> ztp>$1BVCg)f6LKFr@kAyg0O@a*;U;~Us;Q;*&2fS@9FXN@hS*f&NkTy{yg-bIWu z(0}sIFp^A}GQUGNgSq&cb2rcmH>fgY80c~Wu}&7;KV7d~VsU7n<$wJHi1DCRVr9{q zUAx4P+36CtsD8Gt5VSq~?eO~n4Q;+OV||KMu=s;3G-9w4Sy9&_7aNk0ZtGfL3V2fS zJ`5`|2CBk^Po~^dwHWp>Rv^vmCSIxGqqv7Y)tU4CxW;PITzt&nRG)h$2oR@o+hksw zOW&W|0f^=5*pd;==`#f@W~Jhv=hc;h(d8O9hO9tL%hybj7rB^!7D=g;Ee)@|>=%+q z+cQZA*!|9u``!;huFH1x5IXJ%R(RLP5=jO6pq#vHiJfF zg$5omD&xIGwVnW(@4)T|Mv^dPOCL;{#iA}EYEYc|JfIG1@R6b}QVcK;IEu*0a8o8D z$%*f-BgfEIG6Fo=2{jLiq~r~?nFN#*}^Q-3Tld+$BjKDv8dY8Eav*7-6 z#n$X)Wfm#@p1S?nMf8=ckf>GdifW!@^&xV5Wh@H&rwG*tpjK*vx#QBt-wd=)ud&8Q z6i2xX2$U9%3A$R=XcXtY*j(=y4(t=b(1Ov-qizz0S^>SQU9Lk3R!Q)??Dru3uINP+ ztQ!BNv9DMD*zmogl1PVTL0_@wCaRc7r#Y{8M;#iOcd(`?mNQaGRZFBHHeq`x zqqJLf+c}dcTqXp(@#mqGcXA~sRa`4VC0=FI`Q9}6bP;A!YbTfRzZLX1)^MqHO9>t? z{_9Zto@5D^kaizobyL@nIYOifCK@&dCkmBIg#-cG@*?TH3sk-SFC=<>>+^csnZLQS zLfT&C8V(uL;S#&gJ@{;?D>Pb6*Un$(M(sgfDR+HtYTtbK`O+|HZtwCZS?K_(_*S=x z!i|AO^Sv+_s@;6h>qV^axQ={hZuiVH!iC?vm|{8-bs381QuQ);ZP&ul0l36&=VKBV zpY$R9E9vJ>klbIfg)Y)B9+>*a$D1H*Qa{y@-Mkd0+0m_XM`Z21?S!lIqgL)<#M-@0 z#pPZ_{1a2gO!{+#wN+oEtE=y4ZRN)9a%N=)w&wW7fOg4F(RvS~`Qo`i)&JE0^@IN< z6MFTRtp5#(NAeNTQtVMptvb@OeL=l3$tlM4!r!|socc2sp8Oz{N_DNY(Lf|!;$}FVkFlL zIB((x*{*ns`B9DixlXAQZ{^lbGVEre9sIRDkCU!<*LXf(N*fmS-m?c z{8V+y+hx&10;@sL)_we|MjH~M(?OA_bJU-?7tcwO&@c4f;qfoGy+#a_R=_d(Z@yg1LNy|3C zrFLbMFPD9fu6@4U#G}{a8p1Mw1*v@4P34TRyR_&mMaWoIESwN2gqwd3!ZFMjG3>(} z#j}9343{@@ZLq|0NS2UVMR8z_mpAa$vY4R+%ZPOt4Co3b7Fa}}q;LR!gmfecv@e@! zn1(OcB+}^-vU_L728m6bRy9_cr0KU#QVlWrTuA!}suONaAK`vd zF5Q+4RBYE}j6SUuX5N*7une2k^qgDP6Ce9*Me_-~ZM~EJl-Y>WmW=0Y#>>6C(Y@Em zLlMv2Q!c3#^$#7v6n2wU>3o{V2Q5LdvJo`T{7VkSyw{F6Q_A}WSm$^#4b+(HOdGB051IK|l~~@+$s~*DOgHJjq7%NiW;B$j>dOyn!DEd- zq27B-Yz8Q6w**`VLHvc)25SQ!X>5zEAkJ`P9GeN0^^*a;rmcq>h>70F2aZ^BxbP{E zn|e!#i56rTVLaZ)X-6E?={BJBJ#+^{FxHZz4C2}Bd@`%aU5}~+aed7!zLCN=t$q-d??A=n( zJ6eoopgI8N{WSllh5zA1|9KeI0=ZzTpo3AJC5_6PDU9gK?Jv$zvtQkth$R$JTx*$v zx{q2Ev*DIw?mz#_B~?*FXMwoDfD}eCIiM#X31WMG2A(4RtEWP^N{g?t0As8K{xd`Y z9a7U2e>n75SOOpxWGl3JrMfNj^R|wCOJNrLS!4lT%YYf0$;YE4toH(AC;`gV4!(~H zPcm>!t-Fu_YM7G-t>kkoebfqb`~e5B8K-it*>gbzl_&#B+?nC&+2ZwXPknPvy7>HWOc z@xv44jjb@iyPkaX4Hz(D(K;^}jJlPs6fa>=%Cf7}Z9axrw#9tWnvlym1ZZl5_`UXVUJa~1UgFZ)UOuR>!FJ8s) zK=$tDGd}T?^g;y`$tl&305~N3D9Zp$ci+lFc@M`K_8dI|+ZHCI?_u6b_s2+JLLbLh zhCZHK=^iF!HP6s?cNiw*l*YuO`V2k7Y@wH9yYEW$V~sjp7Xl2drz%NK%C6F}(q^>s zF$#;9Y8O*y7eFCV$eZPCG4B;2c;U_$-0iJ;n#*u{4Eg{Jn;&q!;AzLW)mJS^h!o%# zH!Hj$w}+WG;Np{TtC}kRgJ;llie^uaK2sFJ2Y3xLtvX6x3pnP=XUw^8E-&7 znH&B;Gpb|%&W%yJHmmz(w63TZLg2@L2tKL54g{&M)Q)h4k;2Np(n~KhVpGbab2~jE*c~zY zyrNeENk54SR&_MBl%YRfu&694KBHgE{dESuNOMH~XBO`~Wu+-c0q$oW^4}9KztwPh z&h(Amds6MByw}&nHxj&$(xHQ~?}J+W5>oVYpjPI~jsKBBBq+qlYqt=YDc#=!{Da@=5%1*lZSO)S9N4eFH^3 z@0^b}i23puX#TDIehe4S9S0zd*v&UxX@uWa+9?cf-mK5MdtY%*r$=jHvdkP)mb~89 z;LA$|%9=G|!hQ1oAeJrnB=ZN}@+hkR2jpUV`f45;?S6Os%}DwLHAX z*Z6cLTw9&ym6H5wMU9(2O#^;noAcLrzua`7yK|zJbt2$-1WPfB{|P?D}UQ zdGJ$eqeU;;47QqkE1f?T>iIs-OzB?Pg8A=%1#>?QO|`YKZ^p>~``dRX>d%jo+o_Q4 zFTrLUKVJkPMSe<{e%;?pic~I+AD*K7QInE1qfiz1JHr(Pg3GhWD4L;*&qYm=vDUHa z8g8qC6$Q1hs4bDsLaoLI!ifDE4)W20CL<3UOMpc}N({8-`7Y<)bIRmajR@DyUJmprO6)F+ry^p+%KJYt3#wR|h@?az1 z{Rj3KN-Z8wJ0RRx_kA>r97;E^zo!UTP}a^v&PZ5o#mbniNM*MV1a}Ge{fyL>6njRY zPGuH7vP10Z-uHjEp_w_srY%N{%17FNdGb2ETO-smBfnnPEFmxSryb?n@BO)Mtl#ol z#7=1x)tJOBZDKH}f6lSKuEBW8Gsi$XT{7)^aQ>Ms{Y9*Qu79efAqKGT&bshj{{%Rz&OB}MDOM0CJ;k;F~!a!5dRy6p;`I_k>%s@`WCdO+f zT7FZ0)JYUI$J@C1P#gHRkK~ibUiCI>5w|{X z8Mj`Kaq+F6;-CEG4CP7$1|)RlzKnWSAdmpVVm zA~94a;xu`Mddab?Ae`4q60+I7m{#`yN2G=S|n;dxTU8qk{enwPC^x5 zKD)tLEu!qyWzZWHOx)=oyZ;>=hf|=Lh}wBKF!GEh&Cn{T4{3%i`_1TAuS+==bxfNi zzUo(h@~<8xM2l?VDn*)r)Iu?RXmsr}tC%gTRqk4gJ)Pv|h6F>%;Ws66@W!Sx^Qc+A z?Nrn+FT6v|=GbtW#gLg3Pq;Zqz~t76X)Y;>WMHHTI1hv1DtXV*_$DAXO=2zlB09)% zieT3=_72m7>-im~X^udM0u z8o1RQDfMk5^#P2E|9}xCl$9FUij8K@@TT0y9ily-yi!w9s%lp$mHWHebW(LV`R^;Q ztRLZq;|6+*UAp04oxYWH;6?f!8;fPd_8a17mLoc(J#pZ_*7UOxv)=nM^Z%6+7pGr^ zYd^vM6ij=a3Ff`F8;0ynewL8kVg`IE z+;2fuN^NPz4SSxa!06G`pwe~o;7PB{pCE+t3l7L?+WGBatKS+VvQ{@V|UcJ%?o1T*|K-SAj8q^VpZKteV}15*kvxVn+8uT*C-qO6MvK`XLim} z1s$DGX74AARBHF_SJK|cJox`wd(l*d1-B%=!PBFMpmc_<#|*n+K@p)wd}a*iPIP7( zQugisfUyJSFE`x_)4K`0Caz!o7NvjWH5hW`4)-y$RfCPt&k!^4_-f=%O2$m+yl4P< zMxcL%p~bMMoHPS4C@) zjm!1(FZJ1@<=BevdGqXqHZvhQ#A8 z6k4VLq>SmE8HZmz53qd~UA+tqR8un+GrGW9gkHZz*xV_mo6+pzhT_1mWb`^h8Q4sj z$r#m~op-I$Xuz5}g3mXBW`JqJ>`Z3}LJip5Pgy_oEG>b57&0uO%$&oqInGYX_XCZ) zE}W-N5a7@7xMy+RQuVaU~?9h$oh$w1Gt)YS12<| zc!m0p@Y?phYHo{ndHXOegh+6p&$nsCs#>kd{jdM}fLX@y zh-$}#TazuJ`T%E-eo@5r@)<0P^UY<(D>j={FFDWM3-nrwTJ!n*+Y9Cs<4EHd`&u_6 zKLn`dpNIIxKy%k}cZ11zsjTQPRG2t;Jmpl}8YV{Tw>^8{VEO_D4~mjr7O~W|YB?7$ zY;Q!Ni|BD<9jP#Dc<;zqdAij<28IahaQkU&R4rTlW}ZxbChb2<=|9@<1X(_}YayJ% zP=)ML!rpcYpkp$ByAK$Mb$lZYZHbyIx-=Jd}ffgI`p=$V00 zd8{BGY~4GsMnm4Qp0N|+@wG*8#qD`Jj<;VzKuOl_Pl7r~vZMtRfr213P1qfPupg*A zcY^pL!GWg`4Q#Cpl-i2GZwq7DcpF7a(v9S6$h~3`og_>O(y0|?DQlGWN1u}DA}A@k-mK*`mHSB0f((?e_Y&M8zn2GGQk3&8$aO%ORTqm zAUvljMIsU8{7w9v-$*MuOq|!t5KoZm+xYCfEx;A6F*1hsuQ_@)mWT2A#qCJ+ zcRM5Glc80urdFO_4TivryF+N5{zaW%*I1E(+(7kYH2&V2o=dzWZ5EQs+cH^y5&!j< ze}B?L8dUUw|M;x`!k(M`*eM&5{$-fwST18XKzLP?PBf>6cU6PwzXK+?=;~_TQ$i(k z$Frbxg8OzQFG( zq_-}{?M5p7OZ?SpST9|^5PHO=PK!f}6Jig$GT0*>o)FwSq?5H1LQSCn^?xIuYyPYT z`&KYrz*<4pt^Ff-AaF$t)j+l_=7_u`9dt0vkQU`TQd14$24bw(r-ea-{kE`~+qF!* z#+&(Ym~)Cs4~GfmB5iSCgl}76%A5kss?c9JIH1}Ccm;v%eId-b7ELho{c}=-U=V!X zIkpJ%VyIzNa$z9(3ZxNUDr0b~+O8vOLiDhA`{;byStJ}QGezC280OP z90r+X;R9bd10CctNQaG-q7uM1IrMr+z=)C(9W^;*B$3D)#(78}pFl}D_o8H5aWD8(7584fsw zK)yjguc#TGM;qr7^yi{B&xBY~)Qk+(x{?$(C3EY~k(O-0=}zvLB!^fM%G@0C zya86VT$^qiGlJl#uTE9{d+nc>`I5W$r`6=Nq~#E zskUKQihMByc2k6cdq16&5yorv0O!q&;x~mc>)n9HFL4UI!crva1lUqjo*GGY!p!H(g^`sT z4LaGl=f3>pL=M0~Oa~TSMt&IB8eO>j_)QJi%7Er(`pjjz@s{$3{%Js$u48yZg4JIS@oCR|a2x}$}- zr}u<(iJCmBRfeyEMi#EYcam2FC_Fo~$N$#PvHyMn3;ONP+%rYVWJ0;o0CD$g=UESd zo&g@*XF1je71I(tqn(Hpy&jMlf*YJlGGh)R<=uznL<&X%7>dFU&7FU9(s^cY{e5|b z0_ww>R2cvO>mH9XM))m@iZh-@L>11DquAaT7nsj+@0gco`taUr`V zAiaTD_u`lpXJd|Dpjl0vKGE7R{BTawRs3+kfJ_nim0O3H4v%D?-N)7YX13v)YbuB+LMH2w4gpK!~$~3?jhF<*0#CxU%2rF1s|*kh+K;#YvpZ zh7|(&URaidx2E%iUrn%Bq9)0JktvgfD)&u(Llc=kgu^kQkZTN64h9TI6)Ks6(?e4d zXFwnQlDK>Irn`OYzSZ)seZFpby;+(Gy4cv`+H2Zv>2>w2>pOjYBX_u$6bHdX1i=8N z1LcYVHeDZXp=ae32=oNfmd3l1_ef0cJCaT+5li)&Tz9O?PIDrrTiZ3jwMl}SrJhDn+%I~3ZL zWLRkf6ZbT=%BKN$KeejoH%{KazIMXmi8v$geG9TRn~FxvSy>;Y*O{)Cj~=bvJ9kiD zt-K+NpI==YB~&c82~TT5y#>Iqp;UF|n`qA$I7uX4K$(d6>Xr?L9>c{z6Xw5vBF>`s zzDeZqcM0ERWm7r{nwqJY%`%oKQqg9O3+*lSSyNMnZEl&1gc7`_)rULbb?;1_W=aNw4@qY7FPaHE+`0xIcH-5~(6WeN=40 z%N`%inc^0*2FgyHNr#=c%dKF%_%m5q_*j=QP4r@oC0i-@ubg^ac7Ov&e8?8jyu`I>$3A^(`nUEAfyT%iwy+S zg4_;d5Te0Byb%*2Vufq^Eld9#`fmn8>{zJF8YHRCMk7vBLzn2Mi`bSFhN1V-vczw?slAnF^f02kGxOKrXIpjm5IEEZhv>^T*n*yxS7W$d*P6Nh} zx$@kQEk^qTNG0#oLEb>uB1oAs;3uI(E5)!k0uW^L9P{K@_<~SM+?_-nM#K>TR>2wq zPk?{5oSj4&D89cpFn0blj}VVTZU8!k=ZV3_wV`|bF-ojl28J#wB-NUu3|w@2iZbW{ z&m-*#^ny#;zLT*$mDnmV8QQYK_9Ed5mPyDJDb_d5pW1Q=S4HWc_0eKkQOD2=|8SL@ zJQ?1@7(Nu6mCb*@B8j)EM?n2Q?)&+-g1xk0FzO5gPL2Dsz!0; z>V)DH_O(_pYt-;_^p9$;QynNEs4F+Ee!NGVNeq92GsT)|Pmgo8Qaga*GrV&^QfCg1 z5w)IO81X%p|Kv-U#YmVrgiWRiy4S;qF7wjC$$i5{dBTj9krN+-)&*t6=Va~ENXC2p z@Y)Kq5v>cmjbUDq7f!|fuJod<8yT2fxOw42Ur6!Ls29@E*zl&=?_e3sYcL}u=%)UVV%f9ydw^wEW6(5G8?77>(loM~$6zxC zbS^1O7mT&*`eE^k;d8f_;z!`8y^o(NE=%+>JCVT@J?TuePz-Jb``BI8YwV6Z{5ugK z|2IhZZ#e(!D#9?l`k+9s3+GF2%DTRo0%VXw04Tls0DO=`1W0`9k_IBA0n+bJVkB1c z@Oe>?SAwStw!J7|+^B?dkr$08M=bMi9Ob?Q1XA&h*D^$NcdwBERw78vfLcX|%8J7n z1A(BVg0$RdJ=w1#NLI1$MG+tMv3lzKCWjaLxL-Db8X<=8Bl#OV>C9GOr{lwPDRMT} zc1qv3D8qc@f;GI?(Nw-Zk$a~AvR7&cSOYuiaPR*C#Xvg0;eUGX@A%|tI*iv4>FOv& zDuY_j6QOE7I?q$3>VATikqKXfJ5Lq$|_6rD} z$c_#e(wPuIaTq~)4~3pR9n6dY3PdHFH78BJ*yx92+(T-`1Ck(SHQV35;qQr1T*c-E z&?@URYPMx$tg=PyL0ReBJu1moDG_))!;HmtMg3v(LR4JIg8FQ!!s+K#C_r`m7)Oo9EDp_hZMohkr6d}KGs%nwXa|eGd>XQ zipWeeF^}-JMG{i)_=+HzG8iM!H7$^k{z4HvtOzn4#wOBVaKUkCA|9P0ISM1J0GuN* zLU;jeaw$h&Z81a@Km2q;VLIB= zkh}oFXTF9&g!APX;Ho)Z*?P6b58Fay}+uvJ7bOGQa&md)3dIFo#Xlbpj@euGJmS%SLp)*Uc>#WT&_ve zNzZiFv<(`aAx+hvD(LHahpZYEy&k54s{S0+3aok!ZbyUBS>Sddr%lHPxc!{|;5Faw zr0Mw%7uEjMlLCcz<#HceuzLkuPGIMCW?kEQSGeI{`nE5B_Ny!?`m&c_4yoI3hWgpB zd-_|}Q)R4Z>fEn;6x3O@6h3X(S)dbZHJy_?hr*}5KiNP-zvORub5<;g>}g}zl@P4- zijOx2OLi|=RVjY$ae_C5vsE}`tXL_2fip7!wL+&)^JB8&$1Fb`p@cC{H$NUI6wC~y z2bEs_FI>d_xIHSXgOa zO*6-;)tniOsPJ`W`?-g*9f9rY(FS7k@u2Sv zjj|;v3*UeH%G9F^LXR+44)ur_L_>=ZUytSC>U4SqkeyGs>re?mOf zqpyg0?~0Jy9SD`H&r!TaTM;9DbX*u}?7dO)RFA$Q2C5Nqj>P}zXq{;BVXrNX&3COOFT+mXXCelREi!Nix(zwtG( zLvz9MrqZXB?8#QHR@ub2VD9m*yc1Czc3Mc^@n$ry-D7q(4bYT#SZ#^tzO zbsANg=GDg*_?O=F#?Sk2f~G_>6>UZF1kPHqD+iD+wYb5YSOzl`N49bz8Jqq2@_Tlx zsVH{1oU~L!V-@*K*lzeMEsQej3$1$fio7pHF!2wXuNH^q9dki=Rq$Z(T4PE)W|-A0 z@|kf#km?$1E{tXSV{&5ofa;)vB@gJmXjZH$il>cvo)~ck=HPtnNh4KEM)g5lAh$)u z64lO_%H;CdpgmGCFPPa@g?lusBwsz;UyXa5W9h;;QaYg`+zIo)OM}F#yFsE-kToVQ zXFmP4n+TAHBG@ndji3J4o}5bX9YO1-z0e5i+H_o%;6u}}MzC8LW_d?F*`zwmwm=cT z#;|Ge;602CLFzCU1WO-b*9Zy*@^;i=E{Iu*Y)2l>+fj$PV9ML|({a>cE(ioWek}cG zWGvdeT~6?wwuo_6aD8e8>jWPyt|j;k>tIJ?*hEx>ADja*uTBNh%`cJ&abOOR3Pg0= z<{<@`?vlIRk#~oI%h3`Me)L%yRMnbCyM{%$w7-K5+p6u(#U;#9fj1?iziBuh*oL^! zrfr2zDsIU~TQFt=3Bd4i_3{*N5SxHWyoU0DfBkjOy!ds|=A%LM)%n2n+U81mw5{b5 z+j=7Mfdu%9HVwg)Il;IC&j(_bN1H;Es6hk$Ck}g5H8jbAo6@Y9@+N3q@x(U=hlKJ*vv>(^Rd7@Xk z{pmTe1%S1*@TI{dDwvhazi=2&B%1PHKJC-=5l;(EL=%s!lz# z`KXFtS97mAC3$=fL@(FFOiy^n@oA2^sHMl-jvMGO%Oq8~WEo|sN4^~t;<6;%jIh=oE9 zdSsYS%7Qd^MGzKLD?YoEa7Jc9T00jki6>MYdyPrdNktIYNHNNoYhe`^`wBmX{)h_# zvZ9U)rkYt5<`D-@P6Od0*qC1x)76ZNmB;k>6ky>8R|>y^+B^%7o{SaVO5`QIhPd-_$~Mzomr zyEE;kT_(J*#kAiJGV{-U;Rh~Xj>N4NUfi^M878_K;JVi}lV*gqHr1m3yJ8h87gw6% z6LO}4%tUF);!Zml%qC5{AKHe-a2Deh7^HednsyhAJ_%U%_8e*2T@a;HWI<@)z)Y!G zjyN<;y9=JS9Z^q+uU6>pY7U)?Poo36s^U|SlnhMh64UMnA05$EwX==R=#o@}uX$K) z6>7?|TYHJBb~d!#DXljeI?Vm?Yc%NuoE_{Zk0D3;kq79N&GZmM4aOzZw+}C;Fn&(( zK1Ze(;JekgL@)fV(z~%xikz2Vkj!ob_|jRDfS&?>IX}R+XLrKH^aA|bFPokI=?{L% zACACE&$HFOrSuOXLjzU%%UEmQDE%XFP}e;K8CcmC(t=+4hl}4Tf~#YZ-zcmI+gwhbl5T^@H|2W zvCc_{F}hAV>~4nyE|JXLMKY$JCd|wd$=psP^AF$qDgVhwO-3@Mn|dHh;EU=evakma z;q5A0idF^zj7-7kc7UpA+_56``szr=dLw|21LaQ}cVt_NmIH&)VR*o3fA$fr-VAy8 zx3$idEyeUjNhl&H>vIm0>&UMUhVN_;90`OEkn{T2RFMp5h>7~4GQLtk_X;5>E7CiD z?>@G`^`!7+V2%+2U#qWdp5A{2!i%qY)6<{(je#saNC&CoNOTKKQyu6-rHF7m`Gh|a zWE{fFRRqxmg>norbe$mp>?^C=xFA?1SeD>WFwfb9Aj1n61fGK3v=AgMswj~VWO(6% zz&=C4K@b=^Q;8u+mFR-V>2Oja1mWx(hOYb=h8IPUBWYF8dw*g@szetAFT3`Dp|{0! zrwg8^zEraW%uTnitHI{znVXW)GaUuD<(vl`9N3A4uObN4XgE{HhQJn*jv~Y;ZL)5c z;st5NJwNAR59x$6dTpgNZ~4~OWwYxS{LuIQ_n#L^Nz?zH^RQyT6H!bC9Jj4(QYpD0 z<}V@N0HuVMchpzuhuBx9lw2@$%^toD1aqx47nAYJh}T6?6||UCN?9-$lMiTPz@Z_y z+6e$J3sNbSLxW~a^&z;wc#a`BUxmm^=p|L%*l2Q-uerDs`F#%`qxV&jZ)9}Qw(TvN z-focX#r_7b$Qr08udaAj1h|gTDC+52aAMO1^s6S|BcR9Tgr* zVRdPLJ}K#4eN?I(oNpd?jr8YPef~65>1;&LgtH@PDSsR8V++?ZoE?Cr@QA*4ragb) z$A0P${ow0g_quyeJxPVCzq_wjq^3i40T@Q3E^563=SB1iE>R7Gs6i?~rf^;&2@X&pLU9_U3H^+WxthkBviu@z<#$MTfJgRMjMx8 zEz1V;Vo~@D(rv((2{ZFyu}uNkX0B;G;G>s z5oT?9*7z}5g@GCu0C6ZLFa!3GY_$sO(5);>B0{Zy&7=wwo#TU_iuM@(;7X*gxkPp7 zQbTa8&@AyurH8-_x;(-G`*1FJD~`bvUi4Vb4J>+W^^DB}^0_&g`XCejF-iFeU-c*7 z`Zp)_*cz`La|8zj?5)!=wC zVns!y@^WfDw#QfkRClPJw%}B#6<*e3MIQ4bZ?1&C8@7 z>uW4kFmp@9W&h9~x`26MwviJSUL967wL2qW;fmD%#xaLJQED`)0q3Gl9}3TCPM7j2 zOqB=b7k4RL%KU1@CMNjHdWWn`CuCQzhiY+q5rMw$~ta7^nVP3lXcuE1SjjbQ3y_@ z4ig zE_2<}sX0jBm#`oFUTx+wEB*-kVfI?=Wmf!qylfKoAAr*Asq+dciJo)`(xLc~0LT~e z+Rkku!F@;)T&fFIcn>a}Gv&dwg^5U??1IL@cN0O+{-$F8Za**t_O&&zcy@5x$L+*mLTv-!L zKs^S_Y$m}+?K8K*Y1cj|f*P=w;Npn-v9K7BWV$Dr%;W_uuQusp#vh`){G{L)rRVt~ z9{dhJu9Zv=^u=zwV|r=_XJXR}>%>Zw^Mq@w0U*pqeV*5SP8+i3@|+4yv)a#iP9Z$< zoZ?%5PWiEUPO+drr~G&)&*>Oxv+(#tn4`2k$vjJ-k;1GVFAu;O6%OULd3jpWXz=o! zMH($LN7D}m%W@Uhfd#q>L}feb&4}xAm`Tp(42uE9Gcyi18PM&o>$c|qSOX0G0QdV9L)swx9m zpsVA{b{roUET4`(UJeAhuUE@ALCc(!BY2qM8Zx}&bQuyawGKc*WGo(%bBFlK`TWi)u%*VBPl?OMjLa~%%+zBf95eQfaev+qfR zzw5E>SI-3Ji3$cH#)+p{=Xu(Bk@TO$-FzteO6SST514&dm^LW(w|B)nfyxUrBv(#& z=+bf*8@;akbNKqb-Z8G))h4g&uDnIB`n$rE%t!xMf8tZ#5&gi(E_t0b-v31(<|ufXF+=AerWSM z&;mex(Flr)wH5c>hG}Q$mGuEBih4zQGQMK*j*udd9C|*qC|z3-qc2dfqiWh=ie$4Q zJ#$}i2W|sRwCpxAS|+b3DH)eEXCL7M#)Q#}sw73@`jynA2$EZH_v=eO%QsSAr%!ws z9#Qh*;lsPb9N(XruV`Fr+02Xb^L1rnKdzDz)UGM~FFI-(crNqB)i49`sgqrWmy3jS z7(!;atBJMB2oQ-4*$zWUkZT1XM#8&tWZJ}tY{&j^M39h9$3J%%y8wt|eG5G+Zz@QCHA0mS4nL%5u7lkbtc%B`@=w!(;}+2p&b&nw zeI;&DGS>PLcdNYR)x*@kMcl!xwSLU|zGKW?y4ok2bBo{-%(zAC747b4{F%+mpBufy zY9Ly>8|L%?V#3B;WV?IXY)Fqx0}Yf(Bbe=OSV@c*W5}HiP`0}$$ufro;|GLhYfiJ> zJs}%qf@>Jydc|yacUz_{SY#lzW+RyGE+%!Lw17h&(Y~zBD`r38ZDhM^cskffoI>kF zRCf2c25v=`+@GXpC;x28@wkQFtvPp%2WR=ZIZMg$6iM{|NGkAf=z5n@yFlEQqgXGc zb6|!fZxlzLWy{6zfYT(M5MsrIHfh;@{1zfMldt+HqXgx<3 zB7NV^T}mlg{DzT&02QjQScvKS^#E0vI%^>XHF1l&GKam6^GtTci=mNfW&mN|v|$H4vP< z_{*R8KYx2nht?h~4^FIO3)&6CSDA2w88U-rUs+PH2s%wWI$&*pN(j=2aX}1X=>+)& zyJt1V5TrNbg4TwLL!&C7wloB3OI;8Yu3B9^%J5m5sSu#?;UZK(?a zcFR%Dgu!mX`%w!rIB`L+xRb?$OzG-D=s+ZQK)PbdN-{c&nI$@`DIeS`4+{jpdC7- z+v}O{5t$>6Pl5A}>nkrn&~kK)<3=u+=ZT$~^ORa*kt{=&krvjNJ$}1Xu3U0|-21Qr zPN~aBpXEbcmEzTKEzroi9aNFJUBDWi=Y2TNgHInV|EEWHU~+`0m!l(YbT99}PJ8*F zHoWl!^1NHL z_-ONQ(K!j^pZ((Ze(QHc;a2Bi7N#|cI00zULCUC}uC~r%reB$l<`XtS=g8zNM(La| zjYaI6PuNsQr3)Un8%~7=`3Oe7DGn?I=>xbR$n(`(;6T21MY;ekh%^Q;=Ts8w4M>Zq zIb%ioXhjfn`g9f^fFlZ43qd*nF1SM$Tm?~%RhK3NY5!diILf1VA8?k0CMh3Ud^DE? zP>pUMXXXKf-khW)kX;hfpwVH93k283I!NQ-l0bGz4BO%0SCZBpjPl+kXW9R-o-Ij} zTG?|7yv2}SZQ{+~-`Z?wU4NAG4Zd(mrAXsZm^ke-N;X{(k;z2%8i`OSu57vxlGHoo z{HX%o(*e(IBx>>S0KlDvhsXJ5o6fp%Wz#3p$>Pd~iPy{HHl6cfuSG;@5A0&5OA%I4 zL0+UqK{)EORvo>lyJ)R2^`WtV*-Rr6(3vkt0$BfE zteDMoj41~OOj9W#SefZErW}pHt>LfDbhpNU9W~R|`4CH1X8O44luepLaNA2>nQ2bE zgEv8gzQVNh+CPz0iXGw!B%7F`pp&o`99ZQ}<{~Ks8&7AZKDq31VP=G>6n>EiV`%$Xmx}d@u z1yhHZ_^$4Pr|lZ1&@oQqoUaEOOesZCEN_We14aY=B?4VTOP)prMn5*Y;b@?It7rKU5ATGToafwny7K_6T*BgdqZ>7p$)rA2b z=@tmC!0v3?Q7^81kp>`!1jJbpJ$wQ94v~>Sap^2y09A>qO29Hng?xuVeZt%h=K)0z z5YwgOqpVV8R3@qT18&DXn4;#T8 zRJxT9kRLlJtx+~^uTNzI3a2&nwS=Lu=C$;o1W43K{SU6E`f4vlRYNRL@^$k+s%I?* zvyIFdc{v7$J>MG{n_@@vpD*r#3~lBh^_ z0JQMuAoGAhZL~AK<}9TrDxxSk)jr|!@e5TumVgRs5cljwiY6 z5ozw}c^vA0mmb5X>6@KXyBbw`kJpH_J3^2y9f;^aG>tQ1wOU{Lu9`$O5%t;y`9Af; z|NZUn{-`NVuA`GN?$^CJo{UG_pcFDj7?d7`G~*E$EM$xjw49V%o|Sv&apDh zLB@!b6hoXaxX8<7(;Q@s#}yoeMlunp0D|mfj6lX{0WBT9x;ur85oxUU5(zTEe|jNf zMDwK#7pl&a@nVtmd8~kc8zd$jy}Ch8#>j>y&7HaAq_d$;K~%eRdu{H8{mq?_$(8VQ z5?P*fzj27`W9ERk!_hh-a6lF^#`98DxL`SRrIZYwYI?lL7#9a*=`woZ9d8p3slr$E znkQ$U$qe)8oxh5D2wVwt7qTE75bIn} z73C{}gp|yPLV+Mjge=J1fD0ZsYq0j?91-x#^x-Th4v0&_RZzMDbe;6Bk2oMMxxvS)fCY`u1}b>8xARLly~fBH%P z>Q8-VM0C24CtJ&#sAXT_a_i}!{K}RJ6DiuXl5A^Og~?ZBx2Dvrp#4C|Uu%I{p&m&U zBNT1IiksC%R;X5NXU`T|Mw=BYg}Pdkd5wZ-PHY6TLPcP>mQFwP8VD0~K6F;7yA=Z@ zs^}dgn-wdCdW4ErdN}aaXoV@RPo+>X)tNR7YLa7H+BLI6MZ||JG=C5B&8-oWESg{R zBg`0nknqFxEQFGHxzUlX2sp3!*Kt)aGApazcuH9-8AG{C+4*a9ZBG&CaFjpofeGZRbvcX#ohDboo{ z-kRDa0ev+~;W-_Q|Ibf+<$v(L07a4&4#P3vT!=-KEih7Jk{MzvL~C1Q5n+=~Xp*2H z{TgvsOz?nV=u$)m>csNOAt>>m3o3*WD`FUjW+OvT%%KY&*06#wocon$fkRNNp$S?e z16G9f)=YH>io0Th#S7V;Fp;tOG2*V6AQIW6gn$=%x!|5xMFe?K>dT|< zic79mOsB))#f8$eaxAItib*m>tZfWk*SkPUfoGB@w0KGfBPcE`1)SE*cc+Zq-S4+u zd(qWh@il=}m7k93^}r)FzbN0IJ;ulBMOv|uGD}Xn7HXQ$NGq$IA1Y_xx{Gm@i{Oq6 z{|?E-znMefk)ol+WpAd}QpSu=J+m1N&If_iy9{Pv_6=6iV{7PMdOhBC-_zh-;e^M>{5%5C;wv>~gIz5BibpRxUXX+TuTgxESaFwvB{;AlS+_Hn5NgP?~;$NxLV8syAF-|Fvm}7W3j( zhkqM;lfN4HTBLR1+h~A}li{()>p`mv_&LRgRtuP&_QnTCoQ3hiu>+j^s`psqu<&_h zJ6VXf*;*J$^6?s!>daXTENrmKF$05^a|pd998RYrS0@af%;7lS;Mr4t zuED!~==~S{$$#lD{8Xe@RO@>+sJNP-sg&9csHA&fv+T3)z;chI0IvUPZFEkyhE;=n zMS$62iEl@84utB6xp*4(T) zfQUT@sPngLR(A*U6IBv37kfCwDx~VxWV3)8Sg)nksyceUKR`5U<5>TW&(_8oK17db z(w)&Qpt^LRav!QN?Kc#H=wZBc6T;W$qMTy`;`AC#?LmqEUGghWv$yod@+&CVq}Lt= z8yJFPD%jMU!2Z$WIJ=S5-oo2b?DLjyy>KRDe(XK}_#Zwym5c#f?pkZ@$fLHpBdZR0 zm?ZR*kqAB2ku}G@gRP_>T*+RJB`c28ksX&*F_=HwqNgjxz7v{Y2p&$x>tk2NJV;lB z;1L3mucS^6;z4Gml@&Y4j!Edp6}LU(Qz`Zprp$0fqR=%P9*3?Jd!ZdTu83a9ihWWI z7VoiqP+b?gk6)+5=vf=?iFv)~;-Cj{sA(*o@ z1y>6wgN-W-!8%*BLy!f_xLb8LD=h?bwg%k&9Q-Cp7-6N2Y|VUor-tdOVP#@UModlq zik}oB*?ceHyqM~kCPxplM{Bl&vqXO0%rNWP7cXuxzBj@{r6~VN`*t^3>)GGcp>N$UiKw=ESdrIOdX;TgY3NMmEXG}?8=-WiC^lG{! zFyl5_{RH4xd-NR9?{{l56Ux!COODflD9rE*v@0X;DoKJ})2cq{;i$KN9uN%{J-nN>)-SK z$yC6N^Ebv&M2x-D(q07aITa8?5z&sU<~IbZQ~>4?O2!{$MJqstU`_=9gaVI@=?cKm z+e0($Zo55^IDB;!dQO1QNV_2W-=s7En7EKpMsfkpM8)5@S=(s7188z#&MQgkQeP7> zrX&l1rvmL)ho$-f6y|=>NM8G zkMI4We1lN2QIcgaBllS@t2?x3Lm{&|LoAp17LBl6;>zcH1gcKZ(eXSnt-j4Yi6iB| z-7rPu_XJr?_1h;MDf%|*&qQ@txBGBa^-`=ra(PvuMEA~)lz2$vPL%G13QBlW56`94 z)YE(9Ha~Zs{O{sKJNsD!gVHlx0mwrX~5WI{Rq;DtBp-okE6yWYez(c zk3aqJs1g-8kYDl&CS8`upzm6(-lgLP~XR1a$Nr9*v4<-E1Rx=YSd%t;x} ziEgusm0jb1R5#9L*-+^r{TnOBr>pg@d~-RIZ^QL1Y(4((nH0nlpon}z04ybeo`P5b zIOc?T)0a)au?TT_8WDTrzS_|17FewW2}ZshQKiW@ZePLzXcVYLa{vpe#*a z!$Nw}ap_{cNt7j7CXrITvy$wLL?!)Nci<Qd`wq!U0$}r4-t) zjz(4*J?)Z}wMKQ|;mH()uK(QzFl`nbLXa-7ZIMZcWI3cTSX%`p1gWo!;J!0`4G&Mt zA|WWY)DC^@P-~GD*U(_ip{cLSie9`yEN=|4u_E=g3xfDZr#tT9UAHSzU%TLbg;7$> z4$uo9B^)>%_nhkt$qr;j%}G{-14nQiNa2X79L-$$Sx)LfRb!?DFGClqZtUk0iblMa z)2gd_1;(~sL5yVsC{c$s`HQ`do)!WE2uU^aN6K``!ISOe;I$5}w9)4S%CnLnEZmO$ zAKAeb$V+liZ<2`qKCafaP?YwtPH3cF%)ekz?hb=8?-sR2W5J-@3KZ{BUKhkLW#*ok$zGfFixp{j zT@cVn+EWOkK%qu01Zj9(aDNguT2A-{i)?df8r~v^ggDR$6xr>w=i3%vLlA zZppM?|7t2v7X%w2%Lf$Pq8XwVl+uYy?f?UUV**Jq*s2>5#}>m|BzIdn9FXjM;$)I! z?kOZmu_IULC^*x&Ig=*3m|V5hS7ekZr3Q6d0Uz|==BM?|C}-5C9(M>U+QdGxnH__2 z>W!ctvS77y?%dS*i2m5_^`ty(hw`c@QXmC*8>`$@ zzCBRm)&qd@D(zt77;8=%5!K-l=Y_fG$9O7h4aK^VGheamEm;+{4#OP? zdO9c;+lS~|XiQAAIca3t&S>ejb17D!Se!Hgip8*C z4!|SCuHHnQMDjp8qBYk0doxUiVD9X-ye*3~Z}}mM^nZNo``$n4umifw8nEzV8h3=* zz{X)WE;aIFl2NmEU2BIOL#Z`WwlY4+SdmYoZQ-t;tOk5MS#Bu=v%?O^6!q=5|*I`%H6uMToP0wD2z7-XvU*3eWZ8V;2Qtjpc zt}7#FdAT_3jF-EOK#MBnw>a$2tnR&wJ+03_`;sI*#zuL6y2?bgkV;W`y({22(FI33`tgx(BPQrM?DIkwawzx>QRX&SV-6! z`$HVNQjciFW#)qkA9mzs-F&u#=}NDtq4Gg>$yAm?rM$tz85@f4dE3mHLkiCkE(4X* zrI0Y?Ez>;=Z&9!TX_K#MaUQQ-NU+EHTz_yWB-~vgVcsojed?uH@%@g6qfzSN4(|DITcelfIw3uoCvwUA!lL$ znBPdn0n^yb>yATLnX=uADK&!YHj-Y+E9N{*0I4uIjb<{RW0i&}Kq^!cX#28Y&cX!d zsY5xV5v($0fqB}2zrU4C@i7J)6^hT5%>#;c?1T`W3c5VoHp@cZ9#Br^;r@B2ny>+Haw>XMeCMa(f92aN|A$iJq@PPM;c+M? z*h9OR>c_WTa^5XkO!e)wOaAk}@|`dIc$jMSo7xyTLf?UiHpj?iXe){lGGaCA&uXg8 zFGCwM>f{mvB6F?A-@bzJf3?NP=&@n0k%>V7`Cx$audZTb1l^o0%lQ0c{zUxjI!4}* zdWw>OS@h!WT=NIYkYH~{=v(VNO>5@6^C)pQ4PF?`hmVX7Fl*npyZVJ=_u`P_>N9g@+b)d|Ou_ksNarJ|K`8+abN$%F}y-im2T7x zV+mXZfM;68<48VQE&IyiZx<}oLI5}j!XXPvM$Pc<5d;I%qDDPdq*3=3ff3^TT$n5Z z-kU=+{&qo>$B1`_6@)cx!Rd^@iy-1zHJ2E5tI7E|G~;g<1PHotW}q9WfBnsvSiV)&1jIe}hyK*3&R{t205?MR?f^ZaO2G(nOwBll zSeYNOKYGz=bs=2tlU#iXf0M0?R^)59+u+M~NL=5}8Vc zq#aIMu(M>zNzKI=+8BMW!%*?KS&ZJdV%Q+3;Q-i9}g_uCMjQrc!M6<>^0M<4h&%q-LmgRB4vex z-_Gwku}A*4H1oXWhtfVD@l${0W4|~`#%e*@>@wOf*olmejse=0+7$(Dtq2a#u2ill z5Xjn=HbA>lxuRoiD%lk^_CI#Uta@qa?23YIMfDSaW74^oGvL`31w+)T#jQ}3@nB6Tn6&1lVRWPH}^o@J`ctX2yK+h0@#_`9m<9*~s|p+0@QxB?PmX zJ%Le10wAynSg;a;m6=6L7jWCO+}*b88DuHsS(O_s`m7+MCfxyfRULfNAZvVZOBo*v zJ|5pf%#!grFXp+O?j?Hx7Q_4amRjcBqQ&su4tnaJd+zJL?~9_;g7z9r3U$M)TWe5| z86ol>!`!TgRRo!$q~UeJLf?ayBQw1A6$EK`UGUUxPR5~ga_n?jk@0O21Yswz(w^3Bn#5{gd;thUOgdHQL1_B7#9qL<^bP2b&LxZ z^@iSp9^X54Oc8`*Egm)|EZLMs`^ubq7X)F;Rc!B*s-wKwsur5&19{VnNPgQx2goPg z`&`cSykI#>v+^97*oD#wvefVMUj|m6L!-!mFJ6y0pjkwlv4Q<%cxK z^FQ)ypY(ZWXbvJm>MrA2bIcqNv_lzFkdK(}ASJr2i?Zf8?D3{6dmP%vnnP#JaX6v- zS_D@oV7KdYq<7+)gV1kLF*}e9)@*opr8(xj->O|~Dh_wBf4GIVWA`$=Q^~iNQTOK& zu#Rbtr=HB?_TbNfQyq@5B!NIJ2lwt;VD5P@{U5(q zVh)%8a=J0RCPYVd#;>mGow|;hP9zZ#QMD~KRk{)I@ROz+d$2JK3CromJ=hl%ae4Unm zg%u}IChJU7xsX5K0QqxF!d8;fQZW=x)1pi9C9oX6IV1R@di0F{xgOe5KwJulBoO2? zL{vZE`2GZQxKzxBoF z26*WOY(M+liyoY>7Vm}^TOr=!#1a>>)4eXq#% zg8JPOHc7I&KON?i%9+>7*b1CW%yMW|M9 z&UG*BrMSW(IPEOe|Chb|a!Acsp0>kZ$JntlIKKQ)p!Prh@i+V%pEIS_N0o3^>!T_& z>y7BT#RMD;t3q#NwP6iD1f6eItzcH`N2H2GyJ32S5fH?RS*?$1>vZQi?2f@C^<`gM zXSF`ASeZezGvN$`5yhI-`nY1v!1%)>DAp20samsCc=NAYLwVMrnX0=Ugi{w9(yF1~ z;*(0>sW$EB$CqFY*5Sq`^#ISAUUcY7gu=TLjsPb?*uJ%!1pbqcFny!ixaRn-RK% z;?4TB@FJX62VfIw|1;~;QJr78$)ieN5?_AFN40+CCXcH9%1uVMn6fPlI_cHzakhoN zlRne=ec((nsxlwcoM{gpT0ZUJgUj;iVKtxO!NbyZgpaB32)noz=f{VdRrA9XP5$6v zOUeLg5UZKsCB6;4KF8mkBU7(9<`W~&nI7{CWL8Nuk8JFrvo79vD5d+xHZRayfdMX* zM6lB~{YhhvOCB&eN@`Ic&=*$TOaLMtcS!P}So6?10wx_jJ?a;5I>G!Q=ef4l>5!DB zCtnlXMTl0#8(G`nl_VLuW_5Agq0N|U9?`MaMugHBkg-^vb(IVJJgp#ofNsLug zNq~czcQ-5i3-r}!3IEvX{P}#9Bym^P#56@{L7XS%c(qp^9)EG0E_T};Bi9|W1teyw zHAn7_x;Vm=*e_Z)ar3+v?XrH+u$l1z*tFN{vb<>cxBQ}E(_b`xOfMRC@fVFB^NR*a zf6@4{4MpQc!;W|JqP?}P21fLW5lz($C(K2fn8CE=q@)!6VQ5q3Z)_Pur07$&BV60= zE+iM>L!Y*oyi`Sx5UBU(cG~}NvE8TRZ@Y_aH2`@_dzO!1hl^XB?>g_lzt~og0tnRm zb32YNGp%B?TU~5(^JBNU=&E46Yl88vyXbN?VSBphs%}LHRL7U?bin;Zhj*t8&FZ!n zT@^ideA&|Pm+iFw@uEBA{dX5#_4~W#_se$LALpCze|Na(Dl}I|m6(su?X*8bsMypI zUnP3it5r7f?anK!yL8d z$X#e(Jm%JXaCv!(pl4jKkej_aWBNI(XE~;GfB%;}_x7Kg9zV%RGI)0Zsjw`qm4pqJ z>YxggMmZ^lf~OvCiw~y@9yTPfqF_h;X!?&QBg?g~?#;6y?y5C$^d@^&Hv>j} z1>$Fa*!=H;O+_-c(q@A6NZL%cLw!jAlQt`@avwp`$0QDS|6dc~vV;T1FZ}F<0#z7qwxxX&gP%VAk^XA(WXK1&$Z4bn(5BKw4K!B?`KV z$`#TWYZhKT*nzp2m>XXQJH6T<@~>5}qhurLfOW7#WMZZ^A#~{+^M<5F4ShoS4BmU9 zW)ZJCj^9wbNY*ZyNBZl9^%lwHl<7cn8CREdP3);6j1pxA)g+2qguv|n8RdmmM@ z$!bAdX%&AJ^mE9L@TuC%bn)y{Q)v~!Jo<_XA-l@iOGiQmsW7g%Js9%P)_~uiz4TGP zKYQsoGiGoQ#bOkcYK~Yjd+DPALgl6Fz%S$x*2H|)kpz{N|7wH}OtB$g28=^hbsSop zF_%27HfX`7Sjp}z_hPL(*SLVI*pAb_fj#BI}A0S=NAM1*@( z2_nhHrjSOqLrHTgd^U1~dsH?@a#^&(Fj^#9f@?n9NCu+DsFNp0Jq&AGv$ z0orK=#T6+_ZnkWVhra~K5G!7JNpVGr0sl<8Jn)&u%%sGc|3vVerjb_b!e-P9v;ohNxuB{|zIT%t3R+F78as#e~ zNV>DYzv={(+M8G2vPg*etT5ea_l}&jwXPC9i@g#dM~ON75W%r@bwY#V9Ag>Fzo?|G`H* z{U81EN0*|4-VA4?vs;67wHxIv*~)8!H*Aza$f{MwFi+`qM!4-pdB19yPHQUIu(e&+ zqg=>FIc)6#lRwM=NIiMWIFHAor@Hi=4druinyRgLb0Qje{n zt`7^;wZWA8Zgo3Q*wEQ#*A{wMMb!@;T3qdiLGE5wEZY_>yV3W*%?UJ{jbV52lK(}D zNDP%d6&LV|P)UPx20#GN%+0MxPxZyA129`%O*~tzq$EJ}rd_Z-LTfR{U2pKM)MEVT zEEQ=jhWWI1&GcYj0JRNzVR>F5+DfJ;8@NVt8_O@+_9fG!ePJ`G#3%0BUnIp^KhND} z%ioU25anG3^TlhW9i{f$3!0Cua=kSrN#$2*dpxQS3+#GNGAW)5_}7GB;ONNw)vwFE zmjFm>_hQRSfPc%`C2S7kz2#{H82e^k0vsm41l-Kt?}N+gCBR|v_3YOy-UNFI#-QE8 zoslY6GKnM???wNn;(db!XJc+v>Jsd8uKe&(3x80t zSU=Db5U7qX+i^g}j>q*QG`y-DLZIHC+iCv>S`N7MdC+n|^D|n6(DDkJ--!y0>o41J zd|a@6I$CEe;elSQvWahjTlZ+;KE4Gk-8Y|lQc>utM*#c=Xx)VY4dJE>+vdo(@|2h3 z0eA689z-0OXCbND^;}S_lS#&uH>?I#sOr))7v&f|8Vq}As3Z^3=Z>&qM;KS;YTfyMv zC7U`o~|P(K%ri2}qFU_oy4=M;G89B|+I-SFq-pNJp}(^Of4e z)&5;QqF%r6m0Wb4p*&AmsraPQ*)rC4v9^kjWE1^8bx?C%UpHfvpqt97l0 z@1@jo`K1pq;5qo4?#Z}k?<1BuDj%Mbzp^w*Js!Cf}dwu8IzSzuK&Ta z8?QEd>UMMhy0E!e=Vn@EU&;2giP&H<0DupB1g~jbffIWMm`_tOKn)s`2EFQDmDc@a z&tyCHSM+8&zJMLm32ROy?73{G{c*k(pdTUgIrdyKf3ci8cpi+{Fun7k3-%ySDC-69 zIDaV2joZ_Cw}_^9fiAc&Q~Iw5m@F^BWI4y>QNGJx|7(B$jWZ^OJCP6cgBBL-t~K_w zni#IB(h$t{bhNRovZvianL{`+yftdso*u?J(6T*+3S{vEzJBSbh;z*L^tA18M3_m8 z?*XS{?L}mJih_^QogqEkSV@bM?EnmVSy-AG;WEnjf?`Ck}FbX~|Z zn6@nZa@WjYx^LA4JxmuYEx+VK(n_v<1r=UtW@jP>d6__+bGVT;b8xYtRkzh;Su+HSinmI_$aGBZ_t4ODioE?q`kD6mwnz=9$ zL)-T_qQr~!YaWD|7=PLZjg@8&!b~*WjcVo~%mn>sd(|Z;RgUh2nYiPq$mXkgZ5=L3 zP?fG14DGLzL%HJQ-$rGcvVLe4`I%Z<_d<5mKD4A!!%c7bGzLzkIWtO(cV0e_Oyg?^AZMy-!uGbtaiI#i8LE))|G(b! zrR(6tR!mC^kYfjz7Bu%Hkfap}@Qb9dv=WW(F`r3ROFOixg3&)5i31-t)w|pVBMCy_{HI9<*2E|!c069d_AX||& zS2&G)nv*7;Hcw1y0mem$7T-12U2SlV((GTHY$S(jx_b9~HR|XpW6Xcg|1}m`HuLva ztrPoEVmvrQ1rRy#pdgW8EoD5#ovJgY;223e040B?DOQvytDG`YtQ87os(&8mn+YOO zVZAv*Y*vuVKq3jw(z^_!p1z$QoY`|fVIX?x+quEnyyaW_!{F?DzUjyQ+&2Wxk}lNK zonuhotUE`cz*%>WB7D>J2CjJoxSMt7C~mjXonx@wtUDhCwOih5RVx{z2!x`%+xpE7 z^9u5~x^RU^yg}r}NTq0*d3_FUM56YTAsXTp46s~==Ryey<|G1*YIBCtprUcF5)`K`^}& zlsXNI5}$)T!36@UC+|=j4 z6<^mSkpMt~6TUmVeE{O?$4ruGE_px^Mu3oLpFPnljtBD0r(y^uU+#GM@TgD4V*`sP zWS}bHFBm{^z7pnv+sXLQ<%&%Jw`+bW;af-nMavHb7(by&B?5tyJV7x}&}|%$F#a46 zpbLQtJ7Dz?s9^E3o%RPqe2#$FrchxZC)xr4e@_EL4f zg3Y`LIuz~-x!J2T@+ew+Wc4h^=;WpE_<}dSWx8}U6C{^QM@C$5Md=8gf2diL1a_)) zBw8PWH&!}ADMsP+6Q!e3d|oac@h4u1&#OvDD7))eYLt$8l(tprh<%x2!%%?lLHX*^ z(HQ8jDjkiY{;Hz>IP8yas+W##0`?~kPs%MNFX$0UN3^x-O3ffnu!t_xEo6VI_O@=u z*BXETETy9xEFBq#Q3~)di{+4g~kSEou{YOX28d3P*qSrN8&ZzkAxfn2Ag&!~cf72Kw7>l|}(4 z*?f%xPBJnj$mj>WhE$?vA_7!`#KVCIu*OnoFzx49hW`!0_A2+H+bO~h%Bt6*P`MW| z{11SW9*QLKgtMT=hI*Xk04%0*FUD~(88Ypp5C7}qVkX0GwbZMe;cari>P}3S zql$kJGsv6=(cA_HlFWpkcyRB3#f@qH$G`Kx&DYH)rN+S~3uusWbP6K{B$x_uuU63D z;v(D~jq>6mu#>Xt#k<2cy}$A0Z~2tpb%u+8!JLv%w!4PRb7h2l3=J|{M!pn+*#=p4 z1D)WgFtm0$y9m)Ous>NYJ66mt0{Ec_u>e{He9CPrVRjKPUlnK;CO^-!e#nO%g^sDKb3WHFK|L{eh)%nFyMauG_W!trpDjCaQDRvCx`$a#<_fs4?A zMX_Bow-xM82ODs@V|}1GdcHfSLq}?6wi6D3Z1e45U!t^L;53c(#;^MITmwfjw04t~&aIk_I1#;uCIy_t_ zs7%li;}FbB_gYM{1;SV+j&`H*-3psdwv^dHfbq2%a7V|Kx~bAR3x1BE0|2!!tskfq zTf3hfgwe`Fb`ZGom6eC=AdD9tSSs|B94S0Z8s1_G%Lg@rk;Ug1XIWZZV2B?_mwAPF zklo_?UzxPFbn)L<7eD$wl81?GJvb8ZCJOACW9=}G34Zq3GmY#apHSpWzJ9(UUwZtn zc$oUG6#awe;d4nb#;Pkte@MVxPy?@6F#Zd_^xgl(hoaF#-T>5N2&2pbo~(%)|2anjf>R1qq+Q0Wb`)jj^+> z4WkSXqK&b$t>sS?ql|g(J;5p%FIE|_9&3b&>r(~eVU^drCLBh9pHw9rA?E-Bfk69v zu7CraFjJ`w+w<)SIKX;s%_HeN^Zf}p00!d~@YD2-mqb)(&} zf@~>fIWShhEuF=y!y$)caP&dDpzS%U=|dYg(*9^dIVU*}j2CzT)Bd2Mt$2+D1eTNg zeB%QnHfN;K;|K?sfi|G+a2NdwgL73FN|e`Ie)JnY@{dN2RjC7Ats9XbysI>-j_+>f z+oW1|!D>{UqGs(YQ|m<#(^t^HM~c&%8dbLiGl>Lc7-XYx;W#wadO0+D>{M_EHtgod z$a~{ls9P|`GtG%)tn}08Urn{{E5^9Cfk}R%){7+crJdvsmY}|nqSlM#8lCqN5#lUa zu+0Y-weFI;^+wkO2b^>`R+9Pd?9r#AIaW{wu+OlPq*^a)ZVuXB2?9FI8)_t}){7)Y z6x-FrT%cBxZ=p*bb&d|x>iDNha?$`aQRAGlE_d<&G$5SSR#?Z5k*>YmS$CqdkC%A$ z;r{uNEUyi=3+aP*Ir?C`bPRkK`C{&pO1$n5`d@@P4OyF#uVpJB4`~2r$xfP)zGOnF zkiJu`e=>n4X<@X!R7l?mw$mQ8`EeSZd!N&AGq`t#?qxXW&-aAxT_VR!cXGu&BPmuhLy#_p z3xbT@=GApb=r)3MF^XWe8=Nu2@g?sK3X|x|{L#??R&fG~JYQ+zMe>NU@$co!;?t zpP?=u@v{@c_LfZ8jK!?aw;4B{5T1977PCJ87M)|(zv)Bo`=NE1bxr$u=D?DG$Q-<4 z0Pay-Hg!ZfN6gt$IMV>bUQP&)671!K@F>4tP6)%{P_zCB^m@rkHgR$K=7y;*)Sm9| zfJSe?KH`PO%`3S`WUkj$6sy^h2zz@S$xzx=@=**(N*=ZbrOmd=(2pEvOL{3CklV>1 zoyhQ$PFRwedH*I(m!T)IKjZq`V2ikagY~09S4h;;`O9|fFHT?Dq-R$qG~LJ5LQ)_l zKU2v-s;lMO`HVaEW-Yce%`AQPJ@3Bomfpu;0Li>twAjwuv7I0Hi68uy&kozEj*K%u zmG~?|VoZT;qOKft9kmSz$@I+$k!&6ERUt7(TOs0uAjUzqf>lTi(l{}RjC2*@YiEUM zJcq=n3$UUI2OASRwdxvzIV9dI!MF$-HEsyzkl2QwG1BdyDUEg76hVsWJWflCy(Sjp3{W>2ohjkjf7#Q4hJ)f6IyIWRnq&svS*TMDlg> zKU_Tj*L*FT`FnmIlF^f?!%(Mp8NdfGvq#RWk&4@q%&b&iA`F!Z>Jo;&1_@|QmrQs& ziz&SwQ~IfI_`-kpTc>eJ9)`jVZ=o-IQ9TwM9VwE5FVXvhYI3_`#=Vr@AIvld!$;i$ zlf%&H{Xx*I#@WsaHn$2xm)RqCGJ8a9s9NYIuvSYNx#%RBX>DnURrCxGKzDeBhK~$H z+e@3!c~B*xgi3CJoqJEue77 zn!w;?OJz<)8iE2|G3x96@npn-7<;c8Hv|Q{;vCuA6&gX&b+HE=vlWyof(e3=iE$GM z29F2C9QC2)2K$P~j^~J&WZ)bHTjYW$(<$jT#y)99EzVKWK_<9gb?gjbl;g2o2r9P7 zBmwHQS|XsZ8j2-JVL0`JdmR%^Db1CfjE6#}4a7pNUexWWkjPY|<7=!+R}SX zh64G&>&nD_TrDAP24W&fHKt6Sa2=*hoKR(@Ok5ABiJ+>QaWBBcdWRcL9SS*ZI$@*^ z&*{Wqb48vfiOk|zh#^50CuJzFX(&U%6P+Iu*<(H7a4)5~`40Dum*(c(q9rDpe~Zp3 z&As>IKJN{`7=~BrF1<8o1l^fdNFFwi>Zhk7c_2P2%ZiYJV@&of_$T0 z5XP}XG7y4W*rG;|hSvr6+Z{op5X8%`y#TQy4X+FC*88LMMWRe*V+li$hSvqrcLG=p zEi^`$Df%}AX?TlZ2l6Em1kgw^upvmpTLdu)Q4WpS971vs1i(g|;F5sZC}R?SeUE8c ziZ3pCfI}jn-W{l-+Jj5}s7T^k>uF-jB7?!|=!{rh67XQOk|4Q>J(}A%Y0Sm)K5Q71 z)EoyLg4oAmjW34~H@Xgnbc8J}drg^W-4|pCE@=PYFj;4_mId|P0JwEw|Jxk6*`!o| ziU(XGfugMz%+1YWZqTBc-gXob;U*=PT_SS!*Ffah6Tg`K$G56D?-ng)|8~s&|NayE zfAVzd<&kjDtBU54jgQ!pnuSG)z`8OzM!elA1O8R<_UPoS9B&7R^@ypK;vYnWn&a&Q z#uh6q_OwCtdv(0M-^1z&Fm;dYKqHvr?b8;sY(x;AhZZ#b(34h@)+4MB<}Fm&zz6kA znFljwSh~^jzm2u3j@2`a828|ja*TS&yIkJ5dDICG?$TrEwDwQ!j+Le=@7z(9>x92MTLwQ?@prgjFkej_a-L5&SXF0YA|E+KR`M>sGM}<|(-R@Rc z@f!tHBTLwCaW_OWI>x5y-`Q|#0o{r<&`6CSwQ^ap1FNFg1T?FTf|ZaQ5Ho||x_4+k zPrf2Rj)4ELjpYC?$mY;|a9q$v=|QmMC*sHOk#fP)7TgcA;vNHqnicsB6hRO_nE!Et z{i5NB6)Uh3x_yz8=Skw=?e(eqcRJ8US+N25re@9Dzq13kBl0aQORRg~E6LINc^<$L zsRpid0?Krr`S$G4h=kk2HJv3hNz!Qgni!W#9t|3I{G(X{MQQIlm~q4G@S?ScqauWk?#Ds z>!7RzJ0L*NjI>{%$zVr~q~WTrqPa`ve7dPqSA5h6r!nfXnO%kAPc(vZ^vl_i%>u4^ z9Kcofv@VwS@vXAXyG4uTo$nl-1Frg4zWYnw@e!|o-Rtf>^`w-rge}k`YA3q`$0_Pq zn5&E#GGL#t3G}2&Wh8?^t$5JnW#;6gH>J_C%<+Oz;+X}b}VXK(v zydo{HuZX-HhqG*vFW(^PSFcFRTLhKH9zEzMRLB}Zso2<|F$D(@Zmf9NBahe!N=n?% zafJ#nQ-TNNP1{3j4bdd`2MprllE~566nHx=1nF8sG)cg@NKyovQj~goYf25#C08BO zp;&XT#o&B}BpvF%97~~HNY-2n*;FN&Z;zqVrB7ezhbzf^f6y_@Zd8l|HDn`X0`)Xj|_X0;u(YVR%*wFs$Kj(1K(tK=rs68m3$(6!C^d z#SK)tc(bBnCEF2fKQdFUG?+w*km=H@V%Cn2m+f?XL5QdQ0oFQJ=PxgOI)84*{(bHW z{94Z)+$Vk<=+U#unCyK#*D0BsUY)mmYfzb9ef@v?H~;Lf-B=hl8Z=d5SgDOoaodeW zS5+9c+bEI-9sfq3?s1EDAKDf(Jyt{mWnD`Rz-Pe)qlgCVOIT z2v~(-qcNbQSN$}{gFwElMY2@UhJwI+d!piX{UA`(U5TtzxAiMts@TrK_YWRA{XNdagR>O(;U9Ao5r1cD$n~(y|1txRBI}F8 z@kDekp=IcrY9EXgSzqiik594fn@;li`4!WiT+J0+9M|a7=9&NkN>_CynUWtD#|uR^>q?5~%p(16m8j+S_~wPDikTKS>#(GiQ59L>dki%f~+G|*ed zT1F+A9`%d;35!dT1{8|z>!Z=B9lc!7BWC-wc^|0)d*Eclmn88cvz4o(=X=CCz?#!I zouoKZN#=WGy?@9Noz{Zit|U|Tmfjzk2Z!~48{cOG<@ z0N*?;14w0{gYyq=5+T?jA78-5aXQ^f;KSwTbeoH=>csElZH~N3*IjgyO~lK3vAy>+ zAjMt9iVcD4_se!V;Qpe^(Ccn{(N)mvPF}WjKyIh~j~Cq`UB7O3(N({{Ykt3Mr~Prh z`Tlo@i|&-KUneRt9iQ82e?CvKsgGAlB)w5A;o($HQ(#iCiECXp zvD9vzl2G@t*bYXcWTozp9;`|#6_EB#p}G4D_QwS(P`u1uCJII-HkW-JF@Dvq&%cch zmHfXB9=r!e(syH#XKf(#v+sG3%3m*B=I>s(%->y2YbtXK{Y>hyg*;J-4&#Vv1a%%l zT_npwm7x3(PENcx`>rqsDr&8F#T<3XYdR!XPI&0jau?nRyRh6qVX1eFt9G>ska}qT zvRD0Gk?w&HfAv@YmXDc;jZzg1U5AbLlD+R3FxJ7nZUnRQjlvCmESAd@o}Rsj$JoP|b!LsaHp;G>-!#G3dz6r3sgrc;R+8y~Pq7qICGEpm zMMz-yVk8GoaigumdCe+90^ zc$u54R7FVeWOTSZI&6?>s9#K>ONgsPh#6nZE$Tt7WWGm-4c;D63#fhfu2Q~7aHBaC z2y3Er(K~w5N$`x0q-J5%)lY9|!<=0u6pTR0LP><(kMv?jyd}>tHcPB^ov2Hmd4D1O zudRIPFD4?O{)>qt`-{ns@tVbJ6rcQD{l&x{huFi9=PxEBuF)5BB=*u+D}_Q5TIEng z)OEPhz1Ru<@SVm^Xq~KrMZ`{(@2~xl>4bZ}Ki{bmEFrY&5DY{S9nn-B`*1r23yh^= zQ)8`IPD87_ToZ~SG^%5#_1(r!3|R8GTf|Pg>i2876W33+;|K3>zBzVAsD+3p{a^@G z*H5-%e_XJ9{j^EggRT3BB(bw^BKAto0)j2X>2Ri`U7xWR;#~MGzKNxF;9HDP3yba0 z>lptqda&7EKAq?}UVbGvd-dViz(bVb7=pg=fBgCHI(}Ak(kjV-gSL%w06b267#&YA@*QXyZgC_~E-@Y10 zGY`{WyY?@dE0;#B^T1%Ejac{DTSf0{4R=wvgwv}mq+8`%_GKmE`Gb7yu@6-`*mp`L zdabtBdCODV=H;nLzw=F-@BW#OELjEZMRE|XcTQriB~%IY9%2JyfU&QPTG$0a8ORuu z@X5_iyYoYkn$!h>MKv!TQEMy6%kP2!KhcS&8@;iSBe5biX%Pf%uL%NW3vVBGAMw=c znHA2Fn$!gktF=-Mz$-E(9)i@QE(oGvjBH_?BN2YRA~mTC9@d;o{AIW;4QHo2vHXyRd+`lF@i~7m$lP?HR>RF6uLuS%_T#g|f7MfkS;Oter~tBt zJFGhvoU!781f;c^$r`Tc%>8L?{;c1`TEmT!3sf3zy;8zesHL8A%^Ge$*5O}iILxtP za#xZW#*h*xDZZj@!bF@{z@}yg&E?2+5md;zUDY>K)yZWv;o!qxq;D=s!V5k zsy*f0C{0zrsDImsHdj(IGyS|w$;#xMjGi1ESNo8&E03hfU4#4Ig>>a+Nms5-vSP2x zgfi`UqYDo8?r^B{Zc%IR798r$;7~vPAARuO`cUMT^k!V`Gaw_{y8V?^!e~cH8y#0$ zft2OYBpapCp;?tpg71NdgI-8~XjbhrFd|_smYQ+8@3m+@)uVU z0z-4;Lb#L-v~G)pA%Y$0LjVM$tyxoV_=@0!VR0MU8v3IxgHvs|;3(Ec$_94mQLIg> zSC3IOX`*hWLK2pDy{&h@FG*!GioDqIlMlleZCpBXJS!KiHNnRxxaU%fB2 z`|--X>;8cZ;F06LqVNpl%DSq9OSg1x2H!Aa7CWF7;jDRE?Do!ubGEBjpkc+NMB!Mr zBjvV1w|52&1MG4Z*(RFFH*khC{ z?Ee!0C>jV1pd}^p3J*6s_b?mQd2>R}ty!^32%_NzzOttIdhtT--0w&xEt2bfd&w*3 z{;tP%DgsVa{63O#Qvt#p5n~pTg zb(tzm_D|lCcW$M=m@+?<{X_1i8!~}b#JW0+L7AnpNNQmclt}TA$)dC-1SY8JJAsXX z|5ojg!A9!4*CwRuJApvGKexkXpm&2$VVEEpA&^d3n>*t8vYqx9lbQAhmaW200gYE3 zU$$d^sZym)aqirN-N)6ULtSe2(gfuX?S1W;Di*EYeAS0BU-SHP>-VKFMlM2&e!_!6 zJ%Li*B>D+0yNphNelmKI01SzrY~Y@l8w_fDwIU%XVT=pz(NiFYM$@IL(h!t~)&z~S z8iyX3j3i-<2_{ezo(NHTv7$W2CWwAiO}v2Q%JgUmN*LpUXhT(FvqJf)Sy96nmjt;K zGD+a)@5qbAmm-#|&`;KmDw54?Bp3z>WBm7kFiXr5rY%_&F|8?2x=8}Ntgr@5F)(V) zB$;o|30hp&1fHvRp85Xlw`A0WVZhKQX~2kIG+W)Pn(YTDsxCJXl&GNLuO4QFPnD_P zW?e7J)s?URRk2qLnsBvNUk35q!2#{=NT?Gn z%DHa!inXe?SOYU;q;o2ChJp`leT=M?NiJ9sXsC9@N>zJ(06H6>vHH-Js>bMAN#%o3 zt2fxbQPns(8|2b6JhiF@dIQRmLs$A^<7-uo!SMo9fciHQ;m%-T^k?>5W6oCxNI>iUMy?~(q(W#9Ff!4 zU|=^}OT2}kxC}0N+F{6nF1ZFGoklxJGDG2#FzIldI8(dKKEi! zwg^MXJr(ng#Q~{4smd^jUINW|w`j4v^PQt}1`@peXa4ltz9D*{)D3Eb_0cS74%SDL zn>koVERP%@GqoG9{g?-4me;n>&^nUg%fb3cg0BwN_a(F@Yh)9$=3w0*EHJ1bU8pk; zlg6(Y8Z}c*bttUV5MzjZ8~-!gHZjPgupV-ssqDQ&Q*9W&nr#{D^XLbgFao7zX?2ln zC&(zQtJ;SqBcgWFc65R*zk(ZR`k<1p3`WcEj1fRptw_p}$>7L#vM>$40y&6vRV&iq zFCCu`N7^6fO9C7gG0CyUwCE^4qhYrfmngx2gL0-}pg|bdU&yp1#j&NNXRg-HyP)Q_X;oRm;*9ulT2}CvOR07SLuq(vt^`&A&-6JPUsSsoTOzU`NO=fC;413)$GCq?~v4Fpj0zGt1Z zM;oBPRCdr_gwe)pY%JX+7cK+urLq7Pw^}gkq|*t-U(q1gp>|f@^5(3Qc5u9)4xzld zu9t_;Iw`aq7(&`ZXPp#U4w|?wD^Kbq)eXj?lBoqI}(;aW@UNq88Yoznj0`v61l8~Ptb1n(_D{@SI!d6-$qifZVhy+R$CB(Od zD7I$49U;Y?lesx3E%1-N=!M_@b5m&nAZbt*XK8*5AZcTqvbjS$8ky+ntFMLO{VH>Z z(SNjy!%lrRcL(r~NG)K$IvrXAn$4XJlERAc{#(yFn>+aO2T6+WK+)RT?riRkYmi!s zr3Dec77a|DAa#*z_&^h{MKJmhL$IphpTM0ZX?6gktQjy#rpWIJ8wWk5YpKBywA!v_7g9{BQVJr+79KJh5|zxXCT=U7U8npEUlfr2NTP;ZQwfZAb880slr?kvz0;23|9H8zRzK)29gh>@s= zSdl)P3m(AWq$?u*p?QK3qzZFExRpxa0MaLO#X^uO%mstM0s2-oQwUOpxggq{jO=>T zNq=*0HKGc0!P9ZY-YK?0?#!=@6-9*=$z6vgFiK*`bgy%ZKATG(HuMvb+&y+j3~{3| zNNchR?vh~n)+Pdy<&XAw;gFmcg`=Ym6rW2 zWZTyAgnE{Ke--$>(SWnHdd=Et#MmWmN$d4{H_oDmw)+lQxBc6TeySZq!@|eV-0hC zH5Evjrh|5YMo{Xivi=G&(1w;0p2TL`n{y#a9TUVX8MaNslRyx|U?{VYNK(fH(YN8V zZ#GiH$bzD1Opxgmtca%R9T*pz6(yRdRUNyi_4}ESYb3T>wD;k$0HYqWVXn_r6 zD|v=^j6+O-3CXi72yr>5+Mz&>bU-v5R2UioU1l$Xj%FBL8tIHlflmQSkL+~FGm?xp zx6}SO-->et4crwbXMzGm`gmeFMc{GuyyOsB77U664XULbWR%Wx)Zte1UqgWx3MA>s-Gir+EzWrETHxrbJ7Uok~lVk zE21sAIdo1M9Wg{#ehg4I7)V{8W0N#G5}%`B^g35IsnS%Pq&l;zrJ7ub`au7^&KZTq zkWa0W(On#TE+Y0%uJne~ShMKh%0n``^k~iqI-!IuOU~##4Jv(*NrQ>L3=MX-IirPq z_U_1MO~ajO1(wj{W z(fHFjG%=DW#4ua%$2WpGG(jV{<}OiAMrU;Gp;w{F(TP=$2zMI69GdJ97NNx&p$Q;f ztzZsKM#HBnG#QPb>d<5?fYP3E4^74*DD4?H$?*^>-=6Uhs=7a;AymFWW$q!oZ0Xg{ z50NJE>^x706?g;?x1La%>jhiYE!tZy7%&J_>FS%VJao1u=rdWJLjZ@K0%l|5=;!$` z;s*Hqr*K>%4I6$)ML?qajWbTE%%ewYSGq5~k@GQd9<+(EoBBFK!{*(?u_{^ec-jz3 zL!ul4NkE-KAQ^5HF&AT|a}u4JtW5i(RqY(wLd?a)Q;_yYlvKrxIyf_(Fkkv`QOH>Z zq`}?$f^S zxBh|en2zXPu}0+Mkt1!dh!Jx{H`=jWMRa2w%Q>P053J#yI&zcLfm)8}!1&8x5*Vd! z-@`Oi5nW&v);fPT$ERY4JnjVlpMe!Hu&fOy#SXb-KnrfJWm)x5l)RE|wXS7Ts}D7P ze24^C=;WLYlReu2G8A5Xx+xhw_1WR#GGy<(gqifHh4NG$8&{q-Wbb_SI4>4iDp{{! zC2QU-YLkXbxOg++;xBx~@B2G1MD`nJ$u>G4kIGbr~oB%}^IQl+y7yNdBAe&ItMMWXMD-nvAto zvYLMl$VxW4YB;m)wtw-Y?xV*?x}f)F$9Z1v`>em=84P{miT5j68NRYqvTm@F_0*FB ze)0E;^KsF79#K)fi7FUKjL-K1Z25_dWX}tjTlSi_d~3m2_WH%|_!mC^OFpKQy>y{g z`yP%PFb1*ee_9(>+OJoweL?a^WHZ$CiY<(cD?+f+zGD-4v-TaG$eXne zldTa|CCPk$;5(sg$u&VU++HN98T-)>-QKC}w_t0}mvd70i*|kZz-OjEd~oS4FAp5W zh=22-?g5aDqFEc`@F22h_-OMYv8rd#utfZ8;ce%?x}A9NuxBaoPvzUfS{3>qd*l0T zjLJzh%72bb>_X|(o9a0<{|o;>@}G9`FF?Mja2!lR1;uE-_>fFb{Y4)00Q$2=GClSe ztV7E~LK2o%QV@`Sbds|OCy@-W$o zFc7d4gQ*Y`d{wSs;MTGCtM|hu2$;bI#enUr!HRLL$(1xCT}E@}X6_&)5?*$|m=X!L zApsY593JMCR0>M5DWFK%n3O0HzX*mnNnx;%RI**hj;kDE8|VAh<6rClyU*_4=bm%s zYSd7pv-|eyzkBcQUXO3B^(|<+=2sG8`?BT+(1}Pc@s(Q1q?pdOU~pm7B)?C?FEV7EhnpXL@N2xtSR($lyvY1ldsT5* z`y%t7%HDw&8Ao5|i~NQb{Vs2^4Fd>Jc-@`h!ONhqJXb;FI>~Ot! zC(8HV?4X14^+TXKUbf@^o3&oWik9EmMyLAU!MHpO1+;m}X)+g%Z zw)lxESNKi$o_S`Nh7P|h>cGm&Qv{vi?oCg>RVYLnxyh^3hn})}mZP8j?SJbFzU@b& zCsB22-_Mqbd`!x43VE&9lS#W>8hZ9iwr$sf{1r`C-;O?=L)SQ6T3+UY(B-UK#i?PX z+Qyc250MDK9ic@T!cCGn0}m_dkHp(#lXZQK$(rF>IhR6g)S=g%lpGEeuECLz6)|VE zHD;Bcjo-&i{_=pL;e76CCJLTr^5KT6X4NGf#v8&-ON*Gn(c^BA>ohLLd`5qKTZ@BH zrE`VZqflYyj+Wo3TUXnaIBoeMu;AI{fAkT5B`SNidkheJy(=-@f?e6{I1L#F3t_Xm zv9`;gS{DQr5x9sbh$%AqI)@;Ym*sJ{RY#=s7c_=N-J^} zq!M$%9ZEJNAn7n1f!CM?sl;5c+in&R#Hkk;@>~gyDv}cD=_@~^M2ZMM_q+8Ckut_(3<^62|acm+WE?#t-SQ%QY{wU`4RBgP<2&O`YvB3+94{m2d=N#pPD|>+*`U zU@o{XeIpoXq@hBrNDJnI-C;@Ee;{fL?E%ay(hYY(gmARmDD~NKSdrSw1&xM@ zyhUj8YXn7+xunffqU5sQ4?+;2NCG|9k#60=;O;niRTETDG7McZBr{3oyAzEtU{P$j zPNdkw&mNsH>t(+piFTt%mR6Xq-vA_Vw@XGZ3)chyt=Z9%p|Chys!q;?P_H=7_I1 zPK&xJEd&<;+^G#XdbyX+zUuKuE31;DGnb`aWm)PS7M?CI;q2H0eX6rlK|RAD60NVl z@*~T)hMHK0M4`c?+du_F$)S<>eq-wvgCKb4k+3?3)0BMikJdQrHE9dDDNz&-m)!;{z}Ap1f+HgX^gBS z1!*=(#HDDTrX;efw8E97V5((JFbq->qy5BQSCWFBx+L08@P(v@T&4+ClKJ)^Va2>N z&%PAauS!y|PG3`|ZBr6Q5IL(P^9{0L4uWp?zk9wzxdEc-@IT2QFbY*|S@&D2M!$** zT3y{OfNj~713k;Bvk~;DU7o10(}@a=S!y=fX%Sb$kYY&5f~J_a z?dgVfKdq;`?QcL&cNO%G5V<^be}nX}N6?K-CmzoHg`3QX%;-)*a~<{S&*M=qDshqq z0CNnQDd2fYW`Tnd7VL#MJtj7dGNQ*XU3xQZ`5`m$JO9~l|F6F>`V*8+(PI%KS7esP z#$b=oknb?g3@VEq9LwI6QPD$2Lta|8z&Z*uKqoQ?N<|I1AnKeaNrz=`WodSF+mjEQ29d;rb?3xacVUaE_sYp zUHjxr@(8Q?sH#*|W5p>G{Aa2d(K?Rqm466bq-(-iwht}1H%yG47aUl$chZYw;yNgC ztmK3&d=;Xn5M9y^y(us)1_unUN>qPgRC9KzMTiq3N%YThtnq86dnG zfbbW;`tN`0`yzHzwAN!c&k6x~vTkC8Zda1x+O5zzPV0?wEd$@|WKD|eXfBLK*{|_v zE{sOm1&`*!Xp~*>VRB(^7mL}E5BjesP7a-7*mU8SA|IBcgLyj0DaKg5wXm(~l6h=! z2b%~6PWS%p;T_SYJ@0=ucsFP8rro01;7#w4JY_n_U-{LK@1BY%REtC0;CXdesW%%U zkj{l^M+v0qzxs+td7&AFy5L?}^;u%LvbffE!7|d|6~P?}$pkS(O+Z^6MuX>qrHl+; z28kC2m$4!Zo(o158K|5!QVu~z5-xb06`IAKE_s9%dNj5y5t>@JNXl@B{F{x~Dn`~# zrS2>x(a6yBL}HCokvCbY`5!;A|7~_Ro0K|^v(0sBrv;{xF-^8q5No%kh$M-1`=iYK z3o36sk`yj|WQ$Mc0kQRFOZ(`K=bmZxU#3ObPt|mZ`d<8c>Xu` z#3$e9e`C+kCrTYdU8^}|XF-CuUV&G(2F^1cezsq3SR#*F*#UGv&K)^nO9m}HgN0JTQK(VdeJ3KN?oYJb^pK=N5{Oh{)> z`HC}?*$_ivbO-VWW`0on5V4=EbgtddLv*Cc z3`jfL-V+oq(0a!1<$Vn(y)A+P_I?_6{;>4c51R^=TtR$c;^`G1xO8!5?4K$y`BYSN zv57<{nBrnNGPzagN6Y-iro3D~jMOaQznCck0hB|;4=&qrLiAm+KB3edRD|3$-a?&Rm%A>LTr6=D_3k7Ffe0nH&&IH9XRILkxbH|C0IUcgO+V+hfYbe{a9 zY)ipq!rEM(y3co%ZE3OhyT!8CUY%`e*n7l=wLN?Cwb_<#L7T3I4>qzTD#^AKbSfMi z0$Yl4vh9izjk3FS$11(Rsqs*^Mury*=naI112m_d*@#L$nvdeWN*e7{=U!rs(%?FlJ<}|2pio~ zQ^!1&PC@9Jc7zi3hOb!q`cOD@e9V)#>viDDQr&86Sh~|!JUS1GYTX49Ygu0(fHYNu zu_7M~7mU8XHHpb-%tDZA-36nq56m6yilWwC^62C!QR^-l?Q%n-qX>n#WVFj!_e#D@ zQR^-l?Q*MSuj{gI04(itAR1S-Zi|e!%c))sWnE$<-O*Gxsj{@tuFzN=>y^tYy{sL| zGuZ^|i=dF68{DIMzU%3X^F|2&i-w4KvhHaO8PYNupq$n_I~sKdo0no6wP$xkJ$%sC!KPu;pl(_KXm4!!O`N!h}hIe2&p zImdSklHD?91E1@$;0{3*SrRD7*tU*+tKbd`8dWEv;F^uA3GR+?j*kXS#Wt$#d#Lg# zwVLQ#Z;h|+@Di!8z2t_}^9~u|##+l}yYi4s{2Qf@mq^XMH=Jga@>f#il=5e?olGs^ znY79Gv?QERB9+h^1VQ+9S%FBBL&QYz9WhGx#!95FKPr0Dcm31<^3$R;MWeU22-K#D z1993en|w#h0=_`ovOrU-9!Qe);nA|vtPg|65G{l)KvRQVHnI=NtsXOO1$Gs_LriUG zVWbM|Dl~@O4uuG{n#*8U&=`Ulu|@7`eds)fLj|Z6*mbl5wFbMuC$4bQ2AQztGApp_ zNC_&malSnV6kJHZJZQ`N9OTvg0ZBPZFPL3(HX6qhM)kI;&4{0LyJ1UHy?Y6;i;7cM zoIts#f~LW3LmS2Q{2oTxmNlhcwI-h{F&?TCV-W1RFK+UL4oUmQMJ!zC& zu*3L3iBCEd{Lmif6={=P(8vZ*;J9P>Q47*%a6z!Fu;K%POO!1(g7g_&(5{hWpi5)9 zv0dXRA&rE%^J-Qa^Hw5)^1+QtgaEx=Wyway3|FQ*+1|Jno5?^cUKBM-QA{V>5q;2) zj4g2%ODuBJWS~={4SV!QK*m=4G6&N=^Zc*;#z0@RaL;ovR>qfzPu6(d#G&;HKjX*J zdOr>jCsP!NPe5qW3QAPxf>0g8Hw>;2p+L$t$J88tY5*I1@q3Zzwv2h4nwrA}_gc?} zQ)66+LLebX&EbLt0YRad%qGvLmgJmWW2(VNZl(Fwd`f)9E%*|(lnx2=_SE_S*^&p) zvxve3%d*8Kagn*u8(B4EcId@}S`o^ap^i&l+KX3L*}#}Y99Wc>E4uOzq0Lm08B3sT zWJTsMjGhDj{bUuHPdaeoxP-D|0$)7c;eUY#%;3uvf-eHEctcuu>m?;VnW_x!J-LK3 z{Dl8_{kNBqkbX$$kf9gONPAfL zLEdy#GDFUp?isJLnW9;At(O{RfMw40`>MkdeyW{4$z^E?*O5Q0xaY2$aQ$J)o^ZXn zVFE4~7$wpd?veGe*gJV;HTRSLJdvse6Cb!d#pa66YFli}-tDakO66gSXl4jvh9K@e z_p}T`TArOheZX^!qA7laV_3YFYBa!$FWfzUaPP%?&!0af|E>o-6URPN9sAt9^S5ES zyDvyO7F$oHrf2T+PMCVv3+Hcs!?SVR3-_>n_l?iS!E%YWRLqwckmAKKkoeB8{Zs$h z;}J+`PTd0u%dp?ZY!Nuf>~~q9J5sz_+XZBS5QGbY4FXO)1Bn6db=poB+^?C|fS-)I z(H{3YZKn%jNT34fL)`1MokeiH*2Wm{N%iW;Xgggnin&l8)_$2>%(cTjRQ2UY*xYUA zA=6pAwWclXWURcU3iNY>>5>Gt=&#)PyvHO-?N}tYs3q1;Ox8!&m1LqgU2?l(+m7~Z zVp>!qneR>+vI<6Xne7r~Uz3BA;>{;xZ#jijNUPz*P>I$+sGzp|)T-JkUcwX^z0{+; z<(ZJGwcwIzNET44ky6UE<+nw4=w|s9AGSwSX1YX=B2vJ}+omt9l#1cw$aXUMe3{dx z#QtIO(ea9x;bfu=d-gBT#L;88JbTN72O%}VYoL<%moN^j6umD$gvMU^SI~YpE=1?TH53={6&amcCq+4=eGb>IoB(|+M$)QNM24Rl~ zqOYK>v&o?dRGLkc1JicfzmY?c4rBHNZUJk#0XXrkt55_}X(0$l6TJ^@qlc(dI}Z2B z3T@DlD7@~`Akb9Blu8>mL)JggsOl>!t9($66>5(=E>?LeeN_`2rs%XOGNQkWHlMMX ztfffjlN-Ac*1TK`W9CR_j&wdeBAxGh=WqDP4~`~V7o2(s(+oxzYq#_Kq?%?=WR?+) zLs?mxW*0=JgmV(%Gac5J35gYHnq3e+4cb~Lh(Y6OaYK-%*#*(8i1aR#t>BEOeaz`w znr0V7_x(~<1o?olxnx0_<|0_8Ln67-u1M4Dg29Lj8{H;^#WcHQP~xJ~vCT0{%EToT zCoY1$>g!B0&1!h9_z4rxi%si)aJ#67^auddP!9znVJzd5N&|wl4?zK-%U@wzp92oo z)|cavIc;)MzK0wO}C=Yrj8OC}YBLMSFBc#&6> zSkVXi99GJ;wc7%~X#}bC%8EP@~kS2BWsU=VBr`~WzFZ3<= z;$%{I78IrDO9K8mK-W_eV|JU1)I71Tsg$g8a;Xcg))d+zlPsa#e!K5Ynv$y2a`ycS zc7t&fu(JBMJV}v8G;?G=k*m@W?y1u(5@N$W(!;XDDpd6?W)azkP`sg>hd%Kf$9tq_ zaOY6~ckFq-E@e7x`5|Tcy3hIJ@A`r8AcynJM`>ex?CdhYaol zc`71pmph^phm_VAf93L%|4|^)s{L9I5Celb))5}y8cd~)J|yW?IqN$y(%O6uorO8X!p&b-P3MS zYqDl~hO41x`2LT5|5ttcN5A3~sQnGA)0+M30De{npfB*CP62*eBV7SMYs{opv%i;? z>1Z{)-Ficm1_%*LjvK+s>~EHuh$cc*n^UXnabr&ATs=1~ zme_s1$O`xYRlGU~fQb6zrZez^GA4543PjXHyei-a%?Yd(qAP*UulDTOE9=nbf!iag zb>X3p>l}3uiW>m#{>1NIh6Jdof2vdg4U)XOkUI)E8l!Z|zh1x=q0<7wkh?q>BU+ci zcX^+23gezScQU8@Pu({MB7Ld*yJuQoAn0!vJ_9L$l_MxI@4o*)^XxI--kV# z{92z?D|&5B1_|aZ6e!SqN!7lFx zikF7}c(Hu{C4;=bWSHI1zGVETi7(laISU+WzR$GzpzVi0n`r+Vc!0e8pp7j&`zui4 zud4$jh>99E9FQI4HF)`@Vv32(^{pp3cc3cUhd_l#$adfnV&`Taonzo}{fAyA!P zw$ll>XPaD3vss^QsyPW8QHJS++)l^u&o+55#HKsjRNsHoe1F+a$K!hQ{om})Hq~H> zji|$Per~7Z`8>rY=2GC>mKsL=wS4pO$2!@qTI1FoY~kT7@DeuhTbE5N)h$!_a|erc z8GgER^kfx!R7NP2qH-@(#04SqSjy%qBwf)^!L>`SpZa9o*YXmp8%afDV_GvYcD0^QW zjQlbL<&iZ(7+CNqL#K4;x6)$m5ERqkE5Z|iAnU)utl3hQoH+frV!Ddqg4xpb3``2o4F;-l)QY#3y~E4O#BpQ(-`vD{rG=80uEwy(I&{)t z`F0N+s`Q;4($l2WVBXL@vV03{P~rXcPy2W8B`jXcIy@-1B9Gf!3^JGM@B`Qr+d42h zCN2mS0rn1PMxggrCvCf+$7qpV5OrV}5Js10xw5YP5Txtkf`uy!YHUrv`QW>-A{AZ{ z1ZXW~d}e$levHasb(h zw7cY@wyFfJ0~<3?d+7PiR;UFkN%}axCOUnPD4_oCK*x{oT}d)p2}v6n2>Whnjkrt+ z%3Tsn0m?1_T*Ah)x#Xx4RYhl5Jhe_yX!1dKTX)U*HK|WMiFMe9vMt{IfbWleS>cuo z4-MLUqC~>t-G}hMNPW%~8CO`5VOYFBhF68f+j=AVu%~WkEdJ)O_-VJOHCZzje>GVA z&;IgNbxk~#K3g>wjEnHBM(Q&<_n9BBm2{>4XCrp5_Z6sw5F(iNxH45{=>%>;xJnv9U^5SNsa=n?zu z&z2d|M(DV#AZ^X%1tcxofk6Xk0gXUF@*Hylj5=~4nzxJNWjh@&Ts!G_ zmyYLlIv&@XQyLs)U8PhsbC-^2s-2U}>zb$!X8@KU4!w%#14 zB%+zSZ%;%sci$c~GR7cN5U>FX8PeiRA)0~IR3kL)7R_6&pZ`|Tx*Wq zkXr>Z%L&-e3jgJ>>dnIBOYVX((q)5E1To~c%iu{DJnWAQ9H1e0LF?E;uz>ml&G5vE zG~`7Pxenz2DF~RZIW-Nr3o^kWkq^F1ZxSXAxeFF#bPrk#+ULwP!8pYQk5c$aoZ^y4 zIQ&M9F8%prE0>xYX@Zm@l;aJJU`E3d74v!k+p=2Pi!E*h9Pufiyj)T2Zaz^0fr=x5 z))WET!~c8z0*K%os9a&70z{B@-5yCqCirzxa3+BYhqQn^2-(k@YKE8*!J9(_?Us)? zL$js59!tCU&0qZM-w~Ep>8!l+!HL~aK8U!M!Q&qNDPnVM7YryZtqTT+Hb$bc*Cu$~ z6cH?fAeEEY8Wj>!7ncQTX^Y?I~b3FGEY~f*60}T-yt?v>`}KTLe2MGhyVxrH8pa^)-s6Es_g>i-HI)$#dRF zGGuniEqX2C+yFZS^`ANpaq^0F4-8%)4`gx?$JDHQqt;susMSXdAdR|zsGU*wJc3Z8 zT-bTdqURlr14eufm#;8fmVo#&v()U-9L!?J;Mr<@F`ruj>o6`vwr%V7z6he&q_slXOoNYw@G_0iUdHZZ>zmCqI8#^( zL%Zf!d7L_%>1_w%UN@DRxC_B-rnl&B&=nULZP(b;Y^L{Mg_KyFj8W~1m6^tXJb=$) z(AS1S$GN3I(SIP2Z?PlxN{z1sq9s7(2eoO}R9?dq z3HFuxngsg_E}J^*fh$)yFT?MubX~`72hJY6k^Uut7bfT}7U=w}6QMb!Tu|Pj`qwI@e60SpN+}tAVj%g=MP{57YPkC(qzDP;iWsQZPlGgSUcD6yRVAi;vOUkUseW`#^|V_wo9gQ^)eqkDrLXw+f?-ti3T~=B*BQCs zuBG2sDBA^NrW)7}G_15;P0~1Ksv#NNW|wo)_<&L_YkooIS_0KrF(-||%K%Iqw(WpM zx;DFf`llR)vT1vZAy#v1$!H9!lpShJZR(qDOvJBM zc&H9cPc)pJc5lG{%0)E)M%$h-4p#bpZK+-AAT&WkFGqF1f{o7pb`g8;+II#_wv}MF)ph3EgV8md1;(<`rQ{kb z$$Wpd3k(Vp$z9i{3aoCBXIXdKb)SbQ-yu-BC@j0%U>r|%&qtNMD&~`@0xzfd%>o=J zcvhhyj@Cwx%ce>Xkw?p(bI=FchX-oEnnfC8Fp%495KmUd9APWa2P8mm>0$t}e# zx5^kCV{ogD0SuO$8?(fq!D|~>83WiA8UrY9(8$#$Yg8dpDX7*6z)H7k2K|tG#Is7F z^i1EGo?8y+yLkf1X{{5&5)k@MGj2uSVe?WYm(vtdua;w~^E++%A$|8XpZcBO^`oOu zei^%@9Viv?1l_9wD{okBg}3xTLJ7RjnV}*>`Frp%!@GjudfyYIzVj8~D3PH8f?G6c zH7iozxnKv5AE}8upiNAn3EKsqmio>GW8xFYfD}jwLFzjfM2<<*_h8Ymy1fvrp!{y5 zgPaZrjScd*7JcVSLMc<%a@y2JxaTU#4CQxa<`YJhXc!SE7kt3iEUeOa2!!k-*fAbT zGm3_Em|(hU6x~Ge{GV#u;n^a3o~aIEUX`Ci6%Ku(M8XDKP0rXr$=J`GVKJ?nm2)pX ze}3ihK)4coA!+ckncv7}Z- z0Rx%q)eozU(%p_ui3f3$bZ(DMiANQ>@KK?;yjR#xBW@Q0axL_>NPXLCBfwOyn4=Ld zf-D*^!CrkEjd&3}2=^aL>ktDs-E%=Bq4Q(V$=_72^3Qd|e8q^|v+WbVaGs?9a(KR5Jh zq*sX^V{#bOT4i0zGXQZ)veoM8hSK*JS@692kc^(Ku5NcNI$-i=(yA*Yt-6C=`b)KY z+w**Z9uCgaOU`7Rw){{;^qSBAzIS|XWK1=*Y7wB9FddM99SmiJs>r}}Mu3ij_Za~? z3f^Y~=qPrd5uoGHJ&T(xVnuJ`G3cHVkxL$j?sGc8Vkha8mLvAH zLz1_W995>O$HriG>Mqq!W6CtN(ZywmLJlmfA?2@;%|TAN0#)e=4oA@dXd8posWVCF@M;WfzUa1L3_7_ z-@rIfZ5LcBB1|p_MjzlNaCgZaP?t^Uj9VA9QQ^=5K+M(gixsI)T@d5Q*hzvF;r6!I zNPX&pNTz`Q0M6VVNz-E$C~zb>f&w{4kS?`?)Th28v?Tpvp;j<=H?C2f(;``lTCikW zCR0_QV8A5?CGaXcG<}N8nbxE}^)5u1NAS@|u%1uPV7drmwow3S5eY(|B-|AAjsI&)I`Wn9#4SMTn8Y|{AHeYfc)TT)I@@y#|0CBs-_hPxlqnW@~uwihq=k) zCBS5KQa%;_YF4om!QQ&(n!1ajw6HCd4(mY_dX|qV!^IN&^aVNPVE#2>ua% z>XKZB-bX)ss&y2Tk$n4}B13Re6-Ua8TOZJP?{)t>O8u+i$m{NZ$Mv#8nCy2PJ;&lu zT%T6StQWT)({jmFTv-QGVr-LM5){*e5t8X4)H=Pm(w_A>+<0`G;-=lAIo!COrno=y zPd@*%em;tP^=7p8u>gp$DAIP3QLhYo1%L>@T1(XI_BN1YVN%^Kzy#MADTW(%82&ok zhrxEOV78CBh0W$O#Hq7=EWjMw&04UpvX73-p_;CTuV(wWTfro&4d;y3+TvD_B--^j zY?jvEGuD2Q(=Dl5MQdKW4klH#&H(zt-sw4q-2IS=PnWW#?$ft_;p5Lts;6 zDPPgz<3CzVs#D8)?KK%O{>4B2g`wfJR@60|SB{$E0`bOjElzV(j%r1a z3q}zxitiPyuwAC%To56>de_}{VH2oBuqx}tyk^7{V5(r&1==H@ni|em!~i?;S(DPD z>s=!?oC{*WCK{!nW#G53Rm1tIPuDW?R_p8ErV;XM9xuOq%Decv*_{@(>K9Wm&!v(Z zpWCMDXltC#Q&x_%Q9AkQecf_6%LqE0Pis;pED8dJ|i; z0-EhcoqSwxm9YS*Uv)siYp*(>WIL{(Rxh^n$8jJ1@yDb;%Ad45*urla49#ed!XtWe zdz7s=^5V1d^eqn_gw#~MGHv-Gz4Gqwdfk`2D|%&hp`KoWdn1*G2lVQz`{F-PtyfCd z6WSfE8#4rnEZ7!22X7bH9L(7W!Ah^JF?W(!1t|QasWunbS9%3dhIF>VDKS_zR?K=O z2Hs%)jQTkt$Op%-F~)eJep1no5acuDf5^z>Wjir|+6Abb8XYakT$en+vjy-2 zC5;KD+RB{P%=ae->EO%Ll2qS4-=G+zvs+maPg=9OLuHT-+VZrBCrRd8R0iqb8*O^m zneS04iR!?L*xDxv>ixUhm{(e|on$5A8%?r1s^~9HV(b1ujdc4WP+RwAlBGe*q+(v5 zX-Tr>u!4`haVy~J_`~$z|1h=?v zm9m0NZt>D4)%y?IOn5HMTu>4;4WT0x7=svg z?jhXbJ8)xAW%M%A9KZNIf8u*bM?&n64RE05@G^m;a~X1l!hXF%)Jq=>2CGh%tLE?( zLE#0DhJqtp(@dm65Q+MMX$#aGzT(kg3-qd75Pl-d9kJqS*(1)TQ{{pH57_jJY61+K z@6{bJGvbnP;^CA_H394#^k6QdXetz#ljNG4MXwP^9N)6$LyUx=>PUL3(S)j^PHCKx zwOk+iUtQ)@r!4eaeDW3jZ|sRrdbYaaz`ZZ~n^Ys*{W{SlWrxWr=_Tss35wYZ)1Svt zgLx#x3wO^S+hY;nfrp ze#%>a<|{rmnhkVVxfc@J=yKv2AW~#xv)Bu{RvuO^;gU^W2R6-;I@AYZ5HA%(SnG z5*oG;h@=h6N^3GCbxDlKvH?>YG|jea=DV|Bm6Z1Nbc5FMCogehD%~m?;DacHaCi|IppUFmqB2u(<3T-d`Ik2FO@L&9^72-!L(a6yA0P;4D{Xae$TIeUi2O)6xT~> zl^GStRB6+7Kz%hPcq9RpQ(DMtlgEL*fBghhPMI7{KxIs@Fk~}&Zu2!66WlI9LnY~& zf#f^2j>C~1_`bz%c9;)YgNIMPHm6H=;9Zq31N6bjQsd{TQd%8IthM^z1~Xktq>Ik^xsClQs6GNzW{sIg@ zcN(9zThel97*drp_H6FAe|ToRY9OSy$D}P66Vf~5-XMu;b0O#07yraV`lcPm*MZVr z*{+!SY}&<@4NJ|mcvW%$IN^|U8j?j%rd_sEPD5B)lWQQPrs;&&?HWj3ah#t`kf$^) zO86gN=Zg9d?9n~dv|oRfz=OZ@(O>XF^dIPT_Ec)A64)JjiS_EG-AI=-bNa_g1tST* zU!e+s;fTN8b($q|;HX#97$XELm5S~x)oU1j*DAnDr6RD<3^+j0Hp5e?)a_0?ssPv; zRdt*?tJKJUBX7glvr>NqOYJzeqj!3di;Xm}SCaIZU9#lAQ5|8e?tbz~>Q8i3iflw> zP+Rmr@L!ASshSu>wo-q#adEm>1#YBh%9ULEcOK671mmfyn?o|qNohBk=e7(?BJzl5 zF3F^75A3N*Z;oefE}ofoi{^Oddg7Ts|BjFQb6*<}sNRg$_@bHEhV#K*9WBv2HpMT; zGkZ*@fE9v*z%+}t0xicgpdTa|AOu09qJX6vp(kIPjW1?SkcSWv5{A{aD`w+sC0JMy zt<1p%!j;;O5v^(mBJw#l@Gswe98uV6hMU>+8k^C*2QUdF}Fg&uh4|ZT3ZGU*z6%PfMbk+|fvN6Mr`vX^%W^Rf*#dO=9Bz{Ffj6oA-~k3s_W2 zhd}2i<*+>i0s9r1{b_HuN<8@IQ7P2CFoJ+0<Nq+#mYK`qqDhb29)>g5e!{`3!&AT7>vc1`;uvwdu`gKfzYAjk@`lMaRyy~=il(bdFiXo9ND zn}T==D2is)NuQ$6}N64l#ieIln9hDok5<50|w?K0{e7mWE~z?T^bX0B(!(`+_Z z>7>Jo)~gjO(&G7wF+XevyVI;l4d#L%5o3}NtUs#-;N|+%w0JI<=7*6NzZRs$bHPKI z9|k73_S8~<pXk&$vHUBdf zxQp1m2-pFascBT(g_|SMw@aeiP1oF)3}uqcw+AIn=nc~~LHpL6J>MU2C?Od_N${ig zuCuy907dj0!FkaHK{|VNhm`Y(47ZS|&OXW25H}*h{<>YyPE%K7An&Qd?s}ZNGcv?t zq@u$yx~^|2fQY-VHv?);NXdMmBt)+_Tb%SLkC8;Qp5A|i z=ZMGA3{ax=W^=)Yy3?a|dpqXL!J|uyH0>76nS<-e9Q@*Y|Ig3=#z@<1Sz22>jluTH z28iNm1H8RLR-5~63&v(gp3e!z(;JlSh~Q}P^cubmY~y(Gv@sTLM~bI88&yi3(dI2q zPOh>R-^D7OjZ&5s#Ok|bLD5|%h*Uh$a|jZofUV7f>btlk%CM|+$!Qhihg9FiB*B8n zj0uXTQ8S?QD=s-|ztwIA&aT^rv4clRw*zZ0hyp+Oe+`zc#|#arkUi(H;P&A`Q&r>( zma{Y~=lvJS#37VObxLlX{qLNzzm}A}n&s{mjJCMA`jEnCOG4j`_JkrMVxC^2KO5yo z*eL5A9=S!cQNA9d{0-m!y|4Uf--Q{$Cu+9t=-Bs!Q z<5hRLmhO1fU6syPP9lKMr&rynskI?f#Uhpuy4yO4&QF^##YOb^?vbjz8$kZ&=Ht`A zf;N>Q0Fz_?X1D!qrrk!O!|k@TCd1R5bKx())?~ZovmsBu*1hw$os~Jt_s-LZPb=0t+M5iIBE9xN0`TkZ!=q?6nfXBB&dCmjy$2)K ztJlap0f=De>aXs|@@lPt6h9hXN<+ zQL57%p<%Yw5vJ4J?G*9m5YuTDp)J>HERcBaGo9uL?KsnEj-QV+o#xvUF(`}i0QNnp-ZRN5YuUnp-ZP13Y>%+BbUnI4UC?!qfDn#RBO*E3IR6wB81;hXF64_ zA24(YJ_jJ^%KqqvXN_6H7Ki4fa?eK^m&@`tGQTt!$%%;Ot z$t-e0nGb9#SL?A=pgXH#)rLTOK(0@NRz|sY)Rz&yJ*E7bIojOP3l>%6I`RceS|sY@ zB*hyAX{1So*Aq0U`_pJrrQDe>a;rR?<`*+fbL= zTN6x%VAs>)sY_d=Y0D2;q|f_>pZ<~Wo?e8sfgfL0g;*lb%W=H_7Wq7hNpg`5Ri0&S z(SEbgAC^2~OG9NjwC{Jv;MuY=&x54dX{kL{9mI2Ws`ML23bL<(HB)L<4 z?xqL{rbs2x`_xLx3+|V>-e?mJ=oD=1K{`7vlAqmJAMnk$$jr8D=G$YVvvHl^Q|L+N z`?Eu{vm{#4Uu4!Jojud%!wx4V!`SORE5Qio< zwMr|j#_=+wx$fxYO4?}J?Zn`3CT+YL(#97*@Na%^LPqswI5O&XE^4MRxT7v&t=*NV znFhO2Yvw9@Sp1sJ#@LO*%4KsHehqj<+EZt17&X)DH5{C5SXLs%Cl%=R>|Z9ERTA(Uu%{z%~c?33M1Rceb}?zCRthz4RM( zoI_Io?AoT|ZqWcNrS7U(#qFJ#qNU8=ho)|^14v zh~Q4ujiYiQJVeFNEGnB+OZmScnfSMHB{mP*JdI~pEPupMYTiADx`RzXZ8w(QnLA5w zuCvq>&4`_84Z$2GT}_nqYv1?E58fZEA2Ey%Xy5|8@QwY+6$+q2;#^e-F4bqwhAJD= z6NXkZ<`Ju_o_$_18`J$7#6fDO)=eRUMoDhaz>KQ|?ktlzK)kQOE zYa_EU#d?_L$Cv_bEbY%z)sI+|#7Yx%Pc}bfRX=iAEtIDg?XA7h+Ex7sSf^N}i?buy z*{cf4cV~A1m7{#21t$<>H)7P3)8MIzwRGEkqpFP^rw1u&_|2Nz#v@fV>X@kiMe|2H zc6KJI74#!zKFTXFw25R$F+ZYGX*`|uDby#ce{F01~wR2g)8Jr}vcoU$?f^v&o5JLbF zbx?324A@yv01y{+8n`W}_0;qC1~H}7vn@m=2s>oO&Bg|KhoAsdwxZP}V4T=)YutMw zC;*iSLZz`c4gGFtuy4PNfL=V&`bZS@u2BIhmxQ***Jcad#<&UN+;wBzQigv? zxpH&zQ8lSbEGiOoJ0Kn1j;c6n&tCWuVTOD%#dzQA>Lbr1dv3Zh&Vd`(iT-zPjJuY` zxYJ`Ed#pzs>PsuUY0D2Oy#M9y)4y~(O4@X$l`A|Ss23xOHkd6cddzkia8m@gEUcv- zTRYWN2vXq{LBPj=f>2?!-y4B<2vXs>V6d3LdsXi&1ZkLDa1VA<(K;R4l@+)NK`J~K zG~k9ap`gB-FGGdrf+$$fiHy*TR-qj$QsEUrK;7cQp`A%e5~>-7EFf@66tXF~81%f1 zkgg<&$h#zpCh0*^;rV3sIJx+mMN&u3LUK(yPa2sdNps|quq{NQMAB-NlVrX+BAsm(lB#G2hkM^DXMiq{kg1 zi0ZqKnuTzZ!+TccZKmNOUQ*TlZK2wg7zkbZ)S?4^ll)(EDPgeAhlfDAweY|2>IB|T zC0oKknoGVUdsI1?RgD(`?uvs=JBX_TvJ0wiWIExg6r(aTDII^}C;k*4i^qkMl3tEDkwV6DAC{`fA#$*#KQWdx$x@B1W!Dhs+*A|zMC-CutpHM0~&^J>jJsU9D zbvk@PGbeFss%k$qYe)os;NLdao55tz?`bg!zKYFBjTLJ!d4WVUl{)NGFCkrl$;BPs zFWBEn^`F+Hs`kq))I|WO7pkh0WOa9zSWM2o?*sEva^q{VN=CESD3ehumDbGn2erlo zyZDVzA6;GNrB$`4H`U_-yXf{nHBBhPblj=wW?kKyK#Enk?>ztK!!rOLN>{r(?SBEr z%)0stb@hjNGvdem=xaam3j?aw9uimV-pSR)G)saRQguW%^7@7O;4T=mTA+qiA=oYm zQn9-r;1ky7059G`88$0YakwB7UkJ$w@$8mXA{i@Ev4>z8ZGttM73qV!V3}+J?FCbi zzs#sADxQ`qf@Y?pD3&6U-}*-RpGq7IVdQ9Vs z2***&QE`x?+^-s_tfe27d=B4x88}qhR4r=K2APWWWjo^Ko??_KjQM&c7E9;nb~+x{ zTTMv8faa=M0B#+sUDNU0j^kBXyC(yQtav4$KQJ zGRX(U1##2C=?vY6_AlwH%HO&|gc1CkASxD`Ym~>!m)sr>1ep;tV;GZqwQ-T+FcwK= z#1P3oCQFCWB@wZckCQ4Iqq?fIkLpQPUK68h6*iYmH(FR!{r#mC8*9(vs+sAr6jd>2)Ga-Txx!{Y>5dU8kOms z>4+7VND5a*NGnx*ML^oLR?NqO7^xA=W;(1dI7C{XBb#YND2zOycA$4!!EB}t)TiL` z&+XN&62T|fk9A5ywhhoE zY33o(9kkkkg5<`m`qZGuUbGRXp|wb?`}Rvqpm+pTmOE;$GyD-`A*@S%C?* zdS4rDTIyvMP(h7g)~1*t&w3fU^kDaE1ar`{J)j)KMW=J-WT25U-|)$wf+ z3bzxC!_M1PO)Gj{ z!t;;8ehcTfO}+9(b-_K-$bzKp_Fml>iOQUC27MBO0$50p9aw2)2-1}LilAmE0U-pz zHlQ{)1ZhfLFw(=A=dPwS1R0pPU`Y?}0nxRBG^It*htMG3d{B=+R-`F)!LB57kwS;Eh_K{F%ArJ9GqF%`^C!I zKMapn*PS<-x*dQ8n*@nwJd!a}MvIhTXmh$fHFM|Savx`0kSs+U z-_i`Su;mo%iGZQ<9$=hL8agi-qqrRXdBXb22$|CZ2zz2jJp9@EzJ%6y+AV5>u-W=v zjrINa|HZHU-(M4ikeao0>su1($~A-`GGOXcQ{d^%hN5`G_>3tuFdx#gWpdeE6#xSX zI|aeRdS}>NtVrwYr{1pDC@-KOcoEfJg&?i33zn%X`z^w5F;^i->sths!SEN%VV230b#Tqu06q%|)xaBpeuab|VS9(A;XiJECx165j}3mYjWM_0YBp zsI5RfE{U6BRU2Tq?43Q|Ak>2?pFKK21eA=kkNOi+KuPrRl$PDTaXUi;s$w(-gL`QO+x^odf*N_+Cq z|IWSiAKJb2b7|1cl?IvS8S#x~!+Sl3_m%Jdz>oh}U;qlpI0j(w4BTNS;{@Yxi$!My zzL0Sajg`v?JZfHzjB{YDTt?u7jB{XGTt?tg=cD{#;0NoCcFPESwU969fo3QEV%EjC3lAn8S}6vs=Rw^rYHHVkno|dUV2>OI;TB%=k;@k z&D`sP&HUV9bG+fspEv&-Pe6Q9Ck_u;lheToN{ijlb9a9E=k5&-r?`XWf8nS#xf9KW zq-0I6@<56KQmFxwB~||mhBU!@kL-?Y2ma6-(S&NNs{iG>M>I)tC$67#8dd*`03!MN zsTAY-o7@p6HsQG|Y#3{dO{#K7GIJ>{x89uLC5!_=r-6q({ooL&em~ic^RKsOh;ich z_4;gGm8w9XKAzj@c#M?V<>R}vb@lz%5`D$}lkIdot~b+vtaoSYivD9QL0LMU+v#|c z{KTd{UM1P3zgF4A-VK^HBpT~vMAc?lHXna%lN8*oJJ>41=r-b}3v*MZ6P1-W66X14 zRW9+Sd(S-c;=SjdJ}VH+o1T8_-FKW}0sQ|mJhdX|gKjU7o4h)`yz*)uSv||KB=3pe z`jbENho^fnBb4HtWQU-aZRQJUG7P<{C3&W*5ojOb9?xD(xH|*fZJQ`hhH>6Ql7n-quk@!i#f_YUeysGeQ|_*JXvQTHW!kQ!{(-k7h?q7eM4d;oiME(Q3~bWxZGUC2`Tz#0vLFzs6uN9D4cZuFRv+A`L6&NZFi4!4 zSQ#W(*!ucwgVYvti1~5F{75&h&oIf&!6Y6Y6!Rz+x;4BrOmaOi$!GtTFZyG*g8EX2 zpEyinqfl-i&>W0d6h7AmoK=#Iw_QLMBq$)2%IoRRq4Zt^cgx<8P4RwBFwK}ktwgh; zjCTRgwSfGZ&Lt2u;-|YO% zDij?Ka%n?dZA3IW$=os){oYr6{kM0~Y^!wzZYsQV3WSd2{z4%d7%JM*HYy_*tVntE zCAVF$Dbz(S7*%!10&C(q1gVN#(1yU{)KGM7bs0603wEIULOvO;^vZ$ASdogz1$PIO zv{8_)r)}jQO+^u0vG$CDw1A?qVnseAE{Hx~<&W=BsH5sK1gok#XiG4naY;_&YL*nF z*w?fHBamF6z^;{~sc^~eKpK34QU}E2kc=w9%PCe>M@v&!2C6dZ(;XMlO*S!M4i^VP z#-z%JG^w5nwQBYu>&7W7$Hh=O<-P|Zz?l}~vk0`iab^KKQIc@niT%rE_=s){+|Hs#a{?znvTM7g`*~e<&qr9R~!6MF_ z6|le+=M+$s0*&znmztpwzTjxs9iRagPtH&69X!AjdsQ~#$eADzFP(n6T{*#Gd>L`I zP4FnOuozhvJgh+_1PzAUVA-Zvu_^`Hu6J6M400jkc~6q!YTKHHgLu1T2vHYR36^IE z-Ug8btCIOtt{X<>$@){Z)I>DR)o9jZp|9jq-o?+&ZrPM&JS}A#I?hN>FkI+6W#u>< zrTfk&tdI0&E$}|i%JT}9r*R5-0tLRPL}mI&LzhB%vI~$5T=Ye&%?YZG>d_1Ju1k~J zTQ#!$kS5*yxhFs2=K{1)mE3xw#leIVhq-Fm*{SEbPZS)Es(eT&)4F8Y z6Wt*aS9cIOj8-svqTyhoT~lF@ICb_!$vUo`69`_Edc_4tHBZqB`sU|MxaYx(NPg$z z-Ih;zSCMaIDn&yf`v)gXaYZACneMq{#f2-DzLz$$K2{-ao0Vv~254QAhgRiMA1&6$ z8I->{P~McwiMo02U%a@lZ~4r(eCg}QSdBpm0I*q*mW_b&5zM#t&ASajTh=xa zrU(qF>ODs|0YKR;d+mAIzS-DSbQd53XmOEj-#|UDobesV<6F2R+qdZA1In)uy4yFc zU9>HrA!HXo006KD7A!Ko}F+@z1i>B&8yz)=LLsT-7j1L|@BS_M@fS0b@XwGM0+Kd^{hQWPh3-K!5-zaTv4cE!Qw1 z1$`1>fP|3gawJall=U6_ST8S{0TKb#MhFxOwoyTbbS+iK zhhKYuvwF>t?ry!-B54%L3Q(H`D@fP!Z@kEb)LrMVT|v6&c+xQ+-Imou^$%1xN@FUY zejo5R*O0E27rEvHAOd@#ufGu5%r-8+9<6c}SGHGs=LFKw!Ky>R~KH#{51y>JiPci;GI94wb; zE#$t$fD|u=*@oBrwKgCX{>U1L;oH2b%)$Q+bBiT?kgUx^QCEb zK@gf~qGN|zV>Mj13#c2NLKo}~DAb|d+G9F^TI>*{X?H>X)uNT%t|v&-?t+-fqSPj! zOKV8LwDH&l_j|?|_|}-ZWzz<17p_rEyGvpkIG2PZIAYr0M@+j*qF0BM&;(~u+azY# z*Bmv&y#Pl3;e07JP(QEI=k+n$SQ%W~(40UR!}z2E*4Sbj*OWmpKyQd3WTqdxdit@H zK}hehWMffm>=}fqDFb_yFVLjXMX@}4%Yz3YHJjy|Gt1L%QJZ#|p}nht_Wtd!+kC-~ z1hl6_x?Tiew7P`VFb^Kq1QyT6BHAw49Tow&U`pBdXVUX^E`o()dtfF#&9Vyy$2R&p zHHME<(=5ASaBRbdwt_UvMNnq}lf7eY&783!&9Vyy$M(Qnct+eV7#!O}W8s;>D3XO^ z`}EQ1YUM0Zf8HeQOX$lFB6(h0Tm82@AV7?%E;_Y*G>5k3jA4}J(-axKn0oln5i>h; zY@gPrBY;c-4W&#cK*O8m*q&gisoVV(2^(C+JZ`C5Gr-8=J+JzKul=7s<`u8Fh4dia zHLXMU$il1A!9&QAUT7IuFAb-+U8cfZFj@wn?Ar2msxTLfmI1i;ZKXL?mMMzxF8hVP6CGAmZS~2&RmD-x?rbNk?`3ugrvPjsbli0eGKxI_I|`FqPX*^ zrH;u2QBS%@KA%wa2G>xZS|STScfm^czyf&O?sM#(tZG9$Q!%G%QpXnjFMsLuc!Qe(rEOBr zw?r*S`$VMY`wS$Sr0hcF--=UqnrDYL+HU6AehG>#N+RU6JYBlaG}10<`8P^pu1C9O zdc@CAh@#A#d-S08t}{L3XJ7?ovkUnibf|TH9<`KSpRzNUYp#i>4A;?qBW;p&$qj!T zzBj84O0(p7FDTwD)jLkgxS5^F2cAGHVJM<%4y!T{GV! zu!|dVfR^IRyf@&C$jm9&Y~LHOMx^%!TLbS6{?*?be$4L;R?P1WH%HzZh-3hOo9Vs5 z`qg{G?T2}9jxbxSFhgUThz{6zQI)*3T^-;aSVKP+DhKqfj!-#JuZBe@6GP4_f*Jx3 zLCT^+08d_GM&Ncj9!v~)4a)gRB~dYBtmWBB$8$R!kH+Dg#U;~3l~o;KhQJS2E!Pl5 zfI#6m(Ss5$r0d_EZCE8xCh0<;`u?&V*T31EZSc~R?+=QGO_f!KKz%&7)A8H0O=iZ} ztj{*p_uq)ti{DSS)A6|8eE&Dy*`}hQ--s?u$M=4)d^!4f6$ejgF?R z`+}oz6Th{?Dr9BdAYWK`SMOl44h>bIUFfok~<*a{5%)=_Z%V&c^!xH~UY;HNm_^XJdM`26|3H_G>s zuU5X&g+SHfOumSGfysA;-gWT@dcTkx5c=PQ+p%*dXwa(_PDg)Lp(J!VoQ4Mk+%J z39=ydR1ws{%CJ(x%37~TudWC}?MuM{w0Vz?uF8q*P@krJUuci6b0VX$LqR*r2X)3* z2DW6+XJVI`E-(bW$ewdBquYm5JIJO$Fc-!JhQs7Wi5Y*nLi`1t5p+)M@kL$(zi#9; z?jYJlnj`FOrMm?ZDQY(BV_z@S_aJ;i{aGbCCM+-Lj9u=?@glt8^66m~kMlr1f8m+*SX}Im9_9P) z+w6`wYBxM{tY@>_2W|g~Rt2?HM0li%sv_hbcccu@O$VT~whHM+xTO#ltuommJKT<9 z01`(-KtFO z@UbPTTp}(*kyknPXc%*G06C>P>&hp0*bR@1ufDjuBdrrKXX>veQ~z1N^1I*m;yCr@ zL`SOIb&LVUk+K2O{ysOs;xUga8){_g(Fsa`d*gNIv0`?lj=E-*nf|bKq>fHGtsN;e zGHIdTz?joaj6LF*4^9j#m=o6)n*XTE0L~sX>cLBi~SMCeihEAIEsqRkl2E;o^-UI|HZ$h?{ z`ER`;M5QNrLo!u7ogdy%1#n9SASEc8j6l;9ERJ^JX(5w%fw1X~2gt2lm3>C3RK3k^77Uf-KrA9{AIyFq6vT~e=(#ah9OW=RO zyfgOzS91*1yhXNGw!;_;z?U|q#;SzoH{1g}$J;ko8E^XK0y8ql+t+LG{?dq^%Jf<1+ER+FwY^N_|c60^%pa**6>OT2E`{+k?745??_QtkLanqM8xnlYtB;~J;JqmGtb0r4o!8y9W?jXG^UlR_un!MPFJBn`_|&c(dxk!l!*HYn zV4iewTKs)<(#0J_*NDbX&oSHMJ7SM|Ip*1AxH*?$+AU&`S(O3Y&@Ixy_~qN<{`F6N z@OPg!yrONONOj;yx253~ZFRTUByWmX@5vzp1HYd{o}j*5P98T=YC*i=f@M~7D|z@$r~jZ%=2;T0%|)9QCj{c3+7sdcbR zL2i*v1o(k4LIblYh#tmOR-GvO#fFXma`Uk7?aiq=QEVCq*IddRt!mADdu&L$6dbR6 z*C{TY|42JF>k~dffkLkfr@BGlA(HF#f|=^<`3~(#4k~GxZqsz(Om;)WXshAxRsCDV zfpe6pl%w=hhgZ-8&?Co+{;QIIFZdsFDs(w3EwGK;9)RH$@wLu()*28inN#AZg*zv{ zJU&vS0TvN!h+;>CXVZCYmqnLFP>H}- zsDVO@l_^7lIj1Jz#P$t`R{asLZsp zC+_3(PjBEsOPm6StCZZ9;cS*(j`#@h!BkD z24iyj`-rJjx|TsWzk|syR${bP}Khu4cs!XgO7~wkD?=UpNmA|B=gc0d(t-W}F+KEcf1-lhyf>ZOLV_A40qcXGM zfC6g;95o1Hu3THWOQly<1fvtYFA6StQ`4#Rir{|3Mp6iZmZDuT*O}h%^w>#Cuc)-7 zxS|p@)Z!36rLGwYJ>=N0P1HgCCgQaTN06 z*CjWZ4UV8n1fp8n5hv)Zxw`aeFMg<77w76yp)l0`(6IvGmpgRzXYc*#SAS0ogHjiw zACK&i9U(q38jq}yK!PCS5hYMCr^H$j8+V&4E@kd&CM(YINTD4eS4dlok>in|Xh!2? z>+0utBq*BEVb}&PIUWg`WKcCLA47bZDjq2;$t;0A7LR~6URjb6Wq^03iCmcNiXX^W zlDowk-7SqIvt2G31u&Sa)qZhtG+i<(V8Ardlg!~_ng?^(_9XNDDK#+NVguJGU*{wj zLXCRVi&mb5Y|sZt|C%ADtMwstwHvLG8SpCRl-Hw>^0HTEB-ZJrye7CM4;f1R%YXdo zpZSHO_!0PcE>y#Gvs@#@$f*G}oE5@RC6o2RQ5}=@^-&d*_4UJQn4~*iW+SKiK+HUH zs_MOya`IGd-jtWqh9?k48toi}d9+4OUB6!WjIa2HkN-eGG^!vj_5;zr+oH%>uN<9u zm-Pw?jy6DE;0Gd?*}~0PuY{%=C>+juC59?vc953en6`rpn)S*{If0}2frp`K8H0Y{ z;m}UYKqK<7A2=`-@B%-uHx}?xKAZY*OUvX9OkZ}yyTCaW>aB+Z3Cdz2It=(+?5v5t zZ~muUx!K)pQu=ry^E`Xw3TJNs`blp(pdb9Y+XVffCuw^L=;x9y+zpk)&~^N^3mVR=#ZWHbPKR(M9rr0%w(6 z+6<2*Nt0hBJFxOHB?QO|SCN16QS+>3@dC1N-Ff1KK|ScD%E!pr&uhkT4|_Z{AhQ zH;M+S@mvs*3)zA}rLaEqXuGNLTre?)?|W?A)Oaq4K|E;v;EGEGSOTWTsj2abAOZ+2 zf!rU2yegLgQscScVZYMG%ss*@1#)9WYCIRTvPQ5tY*#V{DX&P4=Ypu=fii}6LV07u zD2#waVrZ*s1Sbu%>RfUooLzazx=!pD#kNCVkIO=Y*T(dY4f$Ra) z(>@$;E{Q0u3D5>mbc|o!XI3N`n3{PI8dfEwo1r*^xPYw^$9bcu2?9JK?GwvK;2ORD zY<=qq5;;`N$`axvC`z`|@hz1!^4+HpCy<7!;=Mx9R6$`vJC)DRmp{(mw4Of7HJWpP zc7tsts?J6%d24Ft0PSi5v`_xT{lET&0XN^4h$D zEe3r^L^F)Z9%X&Vxp{PzqTU&P07AbW%A0fZI}`wmV23mIGVZhjb|siPzr-X5)(Rs@ zug+IoWL+yJ6$0#0g2)nL+bysi?-F$=7s61*&+s7=yonW zxQyQ<4vZ{yj&_&WI=OsXFK4L7C48izPmSN?fwTXe=`)|WQu<8rWhP|+f=oDth%&sU zvDqZo=4BY5?FAUI(Y;cI*^Rn6H)`4~n%$_oZ^lGy8!cVv&Ie{oGjTF~0`=F(Z4Rhx6r9^fRmX~g zD!8CiIf6$Ms7}42pgty8n8>%wu1CKin1Tr&))-bTW^%tXmhCvTpgtzJ)tQ(i^Z?S` zoLW$yB8b)qqCRLfMn7+TjSZm(F3u2fn?@1mR@BGV1fd6ia-sf^ey{vXD(d6k2ZK0) zi{b1W@^v(47fjCA1S|w6j)WcvU0TU}d%DF6m5oB^vFKBObeSYaKqtRe2odZ1gb~R5|LUZI5Fvyrlf!kXI4^HtJu1p8~1ffJp#50H;Z~ zjChb4rV!vJ9ROUTqPNzmY{8)=+ktDexDEmG8^v|DWc-ZlCqd^agqkyf%n`3{b{Ve6W%#0h`b*z(9@TJ~ak?-yj#;e6uVtyJ3sd7L?gmpDK4hB zd{C=7G{!RLNL0$1s=Z;m*l>0%hGmRT*_!fm?1@iPWc2h$Gvf$8rBq`vXU0FYGvjlt zesi(9>66*;zU}$9zNpsq$kfUy^GHsK)!*?A-}Gs(88^H;l1Kvq--BYYF_TqI8mbx) zwL);m2LIZgG8lBbVq?%rJ+iQ%-tEehudNI(0#L2Z+U|u=B%AVVc&(@af#_-_U3pos zGQ5k9bFM_NSG<-Duc7%AWX)OqWwPPz_$743O|NdQGQ1d-M&2p95j&&l^shbHoQl;d zRu5Que6EKhW}_CSt{k3st8Kd}Ldqda>a}G9r3Ld;#M44>L2@D@*CHKnvyr)nsPH_w zv45JHo>A}V@zIr!jhc3g<`8!JEjq=L-v0Ez@PSW@T1VxZ@mfb`pV_7Pn^JI?iEn60 zUC_*#j*Zf;D%)j^{VsTPP$w;^3m%;YMN8^}NOx=Tz|f#hT2dE8By81_U4Kv~EvXA` zmmAK&LcLZ8$3QHp?ZTI#B`tyukMB3@-gslNq%OHztYJX0{fZb$?c1 zMbhg88ECcUWZyt7tD)bM23BS-pm7ppF^1XpA%JT=&8hB!9yMH;m@k8$n@ednDUtfc z27HDY_I?y#Z+p^5Lc7^#m~N1++-I0}i)No;`Yk%eXL#{{{cT_J#vlXII&}9LtSbFB zI;T*1FZ~f4F^ajgU2?Hr10Our86x(E{{(JvL{_l$+odbkCAf2lHRAnL#q; zMQ~56O(tnHku|5*Ou1j=0?vX;dTC;OZOxRMB9!vXms{V)$#R&q9>|p47 zXU}(Mzup7ske`Snx$|#1*}jV%RC7GQ4^6YEMs^HJPwNcX_r}~N>7g|CmD}|rSisx| ze)WCe{tjGKAGob|s@0!LxiM||)?%?6?YF=89Y6PbBSO<~xlJ;n&xs><0FhwoPn&Mz z`)pA*)G|DJ3ZjBnR9*%gE39+P&MAU^V^}BreuY_4_R^;1GS+ESJ}l26Fk2&&8SC7m zk5}+U^ayWS;5K8OfNg=U;u^Q>-BGL)056)mL=ZEFnp0O;CzPC0w<7Mba%B7IU}Wkf zBTs6Gtjrh4zocke|IItlODlG}MA>$G_I!7C9n-J8&K0Y->z_!fni=#TyJ z|6={$N64n}vxjUJP5VS4#7zh^TJ)A?$^{F|yI-J$Sd5SDYGK~x0d+@=NS1ETLa>4n zmn8XsARDo?!Z-v6Vcs2vU#KMy!C{zphvxR?8Y`H0v4WEU`$zy;ZEmcXVO|>(gpdtY z;O)0=VBW3rf?!@y1B#jxVP}B#M%$B|e0h+j@(R3VTZl{URzzZXnLA9WXugkR%UtrX zS|IdfXbBgg#jVAOD3aRt4@va2wKrUP#9VTBKp&T!9fKHaKzMQ(sFwDsVcrrx`uGby zjnjeI)4%&Gu-Y>N89Y_@*sC6!87^+yC2>KM}<( z+7;xw+IoaAH57djRG4F<)N`+_X;xdqbhWPt1}9X>Ky9E`RwjYvMoj8z7X;N2l{#nt ztgI0wR-~?WL3m{x=>x$XD2ha7g&=jc3m#-J9Zro(6VcT%Rp-gqQdhem`gzgyiWN~_ z(<9}kt}cRL-Y~(9bgbkRMOVAzezQbG$a8lKv1OHH=2!&p2fsHYQGQDOUrDAGK15m@ zG}7`i7fAS3l9RQis21vy`h(~*OjA?6_MwfKd05qkTZjWTXG>V6!jl2glx4+}p`&!8 zp96uza+eRlT!^C`BNBN;xd|FRDiwt|Zv_C}P&*a`fl%>KQO664|jQ9__pMb-QRVg)m4+POq)8^-fiwbI^5j zLD#ff)Ec3gnB!`QInMsWC;!RskDyB-U^nCj2)~qhvJS0{;zR6E62lNFr|3T9@?_g? z&2k->4J6^(JYtn6LwCqRlXPH$Y`=GtC&Q=?uDOHc(L5RFT4;t4#k35N;2vekcm6sXCDpQEiEic538Az&8 zEJ^6I1aU1|*GLqQpb-?@dDGH4Yr7|7OI!3`A^ZOkeKmmF2NR6?ShRKGT( zmQ;h9(gn&TlCIs#p`qM#5n~;|4dDOmddGH{lCTMKxNq;lqz>1pWO;5k| z?mI01oR_D!``&-}y5ITnp;EQRp;!2|Mt3Xo07GLE_|kg85X!v{2#<%yB+x9nAXqBc zNW*#|pzZQ@+b-x9s#F&Q8m=uW9g?=1VGTjv8yDOjq!gGfsi;0{R-{oZf>2RbQneNq z&RkNJ3%|f;!ExAFalL6~A82b%SrJ8S@SiET?v;{Ng(&)1N-7yuK$B7dS(V12r?$j|j*Cz2%CRRt z>4ny%R;~`)zjF@Yi7U+k(Ar#TG`2mkBl_#o>T%lgLmubi2mgmp`J|6{#Vg=hGfOv& zt|HcD=_RK$Kuh6W4Oq_j`mA=oxTX-4qKPahQG^%S1KvZ$2K1;TE$bDj9v#??DGk6S zTRc)sm!G<0T|YoZ6w;#ZwO*0xv8=e@v}7Wj2UNy3f>e(#cvz8rPhiWv%%#a+hG2jT zc8k5%hN7=Q=F((AQI9T(+%Pm4mqZU^jc=NIbV*PIuyB|RrsPzvzfOg&qB@2pEkta$ z>z*Wym#=AKCs0QO9Hjj%CHLi$olr;1*_S;`TO0_N-0zudrX=u@lM@(I>IZ5HGhP=@!4Wgd)ypIIi@Bk`2Pif%8nPCli*;6u_+sQHJ zD;}Ggx^_PqI7HF_z#)*ShQ}hrn5e*o@EURW$wUkGR$Z5wn6~_onfMzY_m!Xfb73ab z+wTJtJ_#AaXfz|_5v`gD+kzX}ky8d`H`{|Ti-jP~gbN}@Cc`KzRfuEq28Lh;CNSzp z(^ha6r7I!}QVaQtr4x%qCGCn-LN17*WotOc4A0q&c7@@&=n8sz<%7F@;pFvp75PR+ zbPhSq?L*tBeT6O87iXO2NywFAk*b)4mo`;0wO&#GAoPk1hw+E}4mn$sUV$*w%;|c? z);m?N%ta=1k;#WgW&dZq`d7bV6eR+IiBh4BkLUD0!qM@Zl13EV$%@P!+Ne>YeIZxd zAH>Pem`+BC5U8;ySph07F^?59O4Pw*O6&B{9M6mr0r6&s9=hp3vfctz)Md6lfUee9;taJiQIjqVk0~nG8pDXn+ah*2#8q*E;#QS>e$ln&J)KWp{Cqxn zJks&p4n+aqy)KEDVuyTugCaD}J{|aK)+Jh>4;h6Je?JEc1K~ls906%PTDXHBtH2IY z93i{)$%M{JdkIQZn-el`QY&Fk{i&hDgyki%H*NVLNaCIU=uiISd&eLNyv4vTi8P=? zMHPd`Ry8C6xEfg~3ZnF@4)>pYZH6S!ILMwD2*Mj`OCT~N5g^Av^#va?M;eT-008bl z^+kpxj#gjrG4vJpEA}1%lx4=O?wrxYUJ=~vsW&OOw28&>^N7n03*$q9I&G*Hm#X!CE%%YxSl{PMuVRubFBnQt64#;wGzEG^X=h0K{-2KDU3O; z5hFpa%XPw`6b+AYeL`%UAtR|ta!LF+WCQ{V1*cgN_H>=q9fIi-ry3Sc`1-3g^DQzO z54J(U61CS!9Z=2=1ElHCfgyl`N)}0gWh!YL75VIV`(sU%yJ&MOC>bUB8j_<%ON~Z2 z%f*l!8SN*QLJ!Qovi*zOpX@NJ`2pR9n;rkFJG?P97Cy%a0A0^YQ_6MSA2D!xl$EH& zQM=)h0%7zhnkoVNx!2x297!G~+aV1_N%w0tTNo=2Ha=-EF;M8L5)Z{3m9bIKI*grc zhjm#m30tT0@6V9*OBW0Qq?6)!*-ppHAm%uJ4Hz7XGAapWL|;C>=apyMt3Qt$I}G?s zDggujP-cj#S}}I8Zp|i+rSo<&0&nlqb(y($uK&eX6T|PB+X<4yjD*RB3HbXo>**TLUX|<8c+-|2(s=*XpZ`1E zI6)>D*r0Y;nxdnebNzvU4ptw6-g%}HAqWgWi+wE}Y90Kx72IxPr77FZimoOJGIi!s zKD9)Zc517;V2wn-kVHTSuVGm&fLFn84Hc3|oN0pQIkV;i!=%M~j4wlt=U;{st0WS@ z@Qz;jjA%TU+^;uQo&j~+E~!Deo!pva7}c(XB`<&Psx-y)EsI#RT)dL3?hc$_d~-;m zN3bqpnXE-c4^=9Zx=MA@#nUl#e|A07Izx&y)Lneiv))J+*7d0ARX9+k@no)qzQRgq zRCox&s1H5R6a2c~heD1uxYpZCXj^;Tu1niaTYgB}e$(&&Gavo_fKzlKukdgsF`15d zkBAvA8P)Pyu;BdWi`{aS=glnS%CBGinvVE@(LT_&T+uNNyDI4KtZe~4vsjt+eLF({ zLa@@dNJ6P@gd%Gz2D7%cP7SWeoEc-RSTSo`)H0H>L=?Tawpp;!wkRs$_ykHWVMOXB zD{b4YH%#ckCJ-uXB^f{Y%|V3>%MghpQI$7qR@!z;bqveJt7z*v)$6j`vj;(p02(kf z0@YXFD7_%JQKhH^rudPJ0VaMVfm8fCF?qQI>_c6@IbH}z8Z)_-J2dF@ex-bifYNJF zf$Nl!$<=k{dxSv?W)blqwSIsWdHJL1>0aC;D;0`wByfs>co zOYKlyw5Vpq@{TlS$uymcqv%@JWeQ*=Ixr`ocp7Lsa}xZ6TB^LR4(|N6+H@j_-ZX zUwc(_25Hx(TfEYgjY32$iQ~N>`MgP!MVhB>37Qr3)?6D#$+xr7{7FklJ2v8DAQp-qUNwIjorctPoO0wmg9Mz_c zlC;u|fkAnqf?T=ruWG0q2iU({6$Bm8LVU^>P%b@oH=ihx0Ox@SU`AA~5K%z{kX~>x zK1e+mz?pcF+r@q`=!6fS+@ zEv;bXVQMu9@Rl{*t=hlM5kN;KL~2+>0K(#toxv&sa434eNBc^%Vs%d|*{l1r+G|X+-($W@d!6|PVb~hS1K>LMXq{>$^Bu~q zKtd~=e|Raiupf^4(s~6&Lsa>2XvjHx|+hx1VFLy!Y1*pI9 z3!%%s6%<8hg?v%Ih$@e^hEVjJ3vPixQGaZg3u7>kQ;V82!IWeLL3wkHqUC%=_l;4G zVSah6D0awKT#=RvPBhRMt5OO<(Qzh-j43K==;?z!xIMMnA(K3yZ4}y&p3D}oO#MSD z66Ghyv@5YgTl^m_LHy*C^``pN7gt#8ensm=S7i^m(PgT}hGp>%S~`|5B`bUqS^PJ2_X%kiGJ{E*}Q{h$3sZ@(K<J=y#%3RbmE!B-wYiG`Fv)&u zz}Q5D3K9x9*+K~B-~x>eI;(Pr*s6^La&TcRHjF}GY@07r1s8~<7+jF#YiqVP&Rqo; zR%1`e&J2B$lz7;Fq3vo(bdQo=y6TdX?6;cLeh5MXsQVh`M77Y_jN89>GIUbXpfR__ z=4LB>S(!M_tauxP`1Z^Pb}f8hYPO{TD***kGn7iyC$vO8+8tN#e&^T!KmW-XFoUUX zEWcsFcxN<){(5S{)V6G_IP}sG=#h0NPzONNz*~@DwH#xtn0{}t$zY_AK^a!79)gwb z>{f(Pph7w{*E9+&>rPApH~odkrv;C)?u0J3Q|~=j>!%)7N~%o^Q|1d?bc3s-P)#*I zVZ8U{kgQJh@ZpkgJ?T)zVzcPr%0n{oZ{td6?g2fe?p#c23M2##_CUgVKrey+sy#h5 zRG6T}=({=^JF#lYCcoST z+huS_Ul7e9Ex#(&j0;A>5}htBAqLfq3j%1+lDM%>ml&*#rgT*^ag9_nzGBSPMh;6| zv=F3==7QkYB54OS90ri9h6_P?ocYNKbgJ-4`MMH20tqF$k}AE8bc*LbSYybF+> zE}@Z36ZT6vLL@%GbgXRaHi`sTUJFPW1rsf}ngL++;W5^fq@&HM zj1{TzV#Ph%I9TMx5)6j9A(#PRC{C7<04Y9z4Wtpw05HH@Z4B8j!HC)jW&n7PG6g}3 zQ8bK3#C+=0JTTQG57UI_io^eg+K5kj7Hd_u4Q0_+)#3%Vwsk`CD$c?4ABS{desS{x z;S@cU5n2hn6GGdzm>^)O^7P6LTOE)S+i1wE1~d{wuJZKP-NA?J&3Sru@Kt$wEdY<} zm+i*&N}E-l{tf_N0K_R6l% zbtnqe?ABp{(xCkID*c9Qn4;W3-T>a6S}^OZP;LYHvaGX?=F2LbRkUE|5S8Ctov-WH zh;UVzB~S+?gLtzRtaR3zY(><9Nb2=!Vyo)e1+xP6hSWc$6H4W5$_2`HC{FF$i1Sl7R(3>t@6!4CYNz9+b2}Z6dao+Ahyt#v z-b%LP__i@zejbmg2WCv6ZM>xBP)e3JX+prBa&q~VJ?ta#F#*9m(d4GmKs@jH(+m`4 ztjhV*hs6a~`r-?B&mY`-@!s?2PsvOcJ>Z!*_L=I~=kC7!ZCLs43zE*k)>ChL{;e0lMHGtX<+@VCF*uUZ{gn1l`IH zjRLA*pw)%}2MJHWkW{Me6E0YvC z(=npZhn}A9NoSb94?RsgL!yyDs6d$nF;W;OsSv>cQ$#DFh{#1t14u|>;^>fgiBN=# zASH$r#YHHe=e>OI`+aNuYpwnN`}}>nXF>J+d!7BRZ>_c0TJQCFpV$A!H}OdZN zC`Q&N0S4zD1VIB>!*EcHu3v(k#9pi_N={KuGXR?gAIHgzF zrr&@lS`ftf&{ENILB~xWk@BI_p9RGY@^g&oH!PObf`SGpEBf>s6w}&^kyNu^%^rCs z?V>?#to?ly4Pa~9fLpfuu26uh4_;A>#PplpMnb53J&H*4HDMrdbgT(ij*0F{GT)w< zRI@+e&Nq_z{*?9ZboDF5wDn&_%@~S}#nA<5z;%gq8nj1?|QqlszI=rG2aApAG-2oU)osU@a*4)lv z(PaRP_x{(P{n)?vQ6=xHgp%&E1QYC*1N%TOhsIW|?ATWp78SuQ2F+1$x7u_@Hqj=! zAZ$F0CRapbk)|qRMVdqx#E4MNO@bh}Wi%oSL0Uu?+^s+*%;YEXmC~#l^N*i=8x5ih z0_UeirPFB*4r1m$V?}B#7sRCy8Az63Fs(I$R8}qsc3w1YQ4rc+i%PMgxGY5ygpwM& zp;1+xnB-oAGM_cm0E{WL+g!IYL<%;s}DQyfciINPU zGa^aoOMRZ=ExDwXX8`K!Om>_85?qy+;Hta?_&0kA@Nex)fG>Mq0{pAL1pGMk65#vl zCE#{`32@9@3*hF3UxK$Ayac*OiU0hs!%dUFC;nr0a3vy1S@pK<84hNZEqK&?(Hpid z#|e`!x^l2VK~y=YvK=1jMjYa_KU$%o)KlBFgmrPj*rD=h`G%+E_6s1U(sJnHuBy`; ziPK`aEzTF^wNgLd>=s)r7{`Y|b^fv)2i&d~+g0BGgjqG!{!RTIJLD7IZWr5J&fcyT z+v@ruP#?d({_SqD$!+i3&0<@XVIWZN-}%Av>1ff#1BCnfYx(9|-n>oL|K5FUp=494 zD%iwtT{f}Q_K>P7Tx^@mEcXWwR%MRf@%(eoNj|)k9U|kzoKty$il8UBuaTR)I(^_N zt7kc;rM~c+|NJMvJeHPZe)Q5X*ptB$@9{Fc-2s(A=Ghi!qoB zL9yPZ?i6_AM=#8U7&qPC@rsKvmTC>hCp(Df!2%rj^FsJ^odJVtBp3 z=IUZIANo8=J=I%NpImQ)kt3>_R^f6DbBrr2C~OEy(`S)_W6(iOJ%ZAHWdk}xCTbf1 zJ&MwM93NiVS*3JRuVf4vOZ%149HCyp50-6+Qm}{7&=i$E*YI%g>jLO4$aRwN!hb<9 zcy{gGUd7PORk<{@{#<)!S$;}k_u{qpX}4%jGAVLm$}Kvl_Wtuf`6vJ7G!9i;nY{LH zjZH|Nq)smliM{8k>GT?Erxg#?n_q|8X~h9C!Q&QOV~p@o&F?Vlhy&s)4z<&Y17d=M z?X=>6m|*HgJ9Z8&4u}hGw=3;NL+(ryVe#{*1L7ySB{x4J7vKbJ4px$sZXc7}?~$<* zNf~%Z?Uy9Q0r8`6kf;+$w1OyP&m~6yZoW>wgvHRs zO&A;DG-c&D8l@9qPixHQCfP^QBzprht+d}Gbg-(A2Dm!rKY7RaG zvw8&ay}kTk-){x8dPILK8b73$e$!!5%jz)(tRfrVUQAYxF<=$+#+v=~bDXSqhMWedO6L+C!hG)iw!iY~if&5>5)AxyzDQ|6x25MR}cQZN(P}(ZrI5L1q ztY)OoG&c8G;jSBD=aTasmYgS9f>u?}CFjeOoIm4U`q7)rIB`rPX#tnmZRn12adYpx$@F(AQ=~nmZRf zZq`~LMsx{AyZX>HcPEZ$y)kIul-Kw*+UK=+uzObD9s4<>XS0kDx+* zXu8^Av8`NZtg4J&V^q1DcaG}*{mAOB@1mK_lsJ_B##H?3^bj?fN@nlwG*byU+#zQ= zk*Pcf4o8Gru2wShS$Qub)l#XxhxejCPw`%`3Cig6gCu)DMxvMwwtDtn?#_Fec8g~3 z<&!el@-CN(rE&war70B>NueX7^l#lBW+_51o?tkQ7}cAn-k|q z)98X2wF!hdj6Kh_y%>5gE@*gsU=5J;Z4WKpi%X(#tVCEyQ5Yj)99z5>mn4`E2j`kV z4K+V`)u?>J@1l|`3~y~EnM(0B(Ilas#&Jp3_k0^~Wb4IXhZAsCHBE@_(UJ;*+j*C-obz+w`a~JdC)@1($~i7nA0* z3X@VS^|cd*XHGCU%b~}e7TLzn!}d63tMic_vfVRWskW zMs|e=2d;KLG;OD^hzWB{krG}>vs??(cDf+4glt+ue1ptldycf7E{Gu{77t(oQwwht z2!`Px!IFRp;0)GK5A7f(1)E(Y!G0;h2vC^q)zlbJ5N`_h6+Ic9Chw{>8EI=0uk*rz ztZgp5YE3?^2_>N<+Dz&Tx`mC;aZ7xz3#VePqx->oAJmFJhGC1_d=FpzFG{Jc37^9j zd9TA~5g=%aQ|Jk&O?hXVu;u)8q`jjf!NQ!5oSy5Np_SJ>Os`H_qxY=d^c%i2XpPj# za=UIWSIqFjD2`6PEhoGpf<0nBSe5WByzkDMK*F#AP%W;xW(`<)mEAn^-1uAqi_EO=86y69Z3)wiAZq2RIeYid8xi z)|?c#%y5z@Dj$2)veITsU|W4qiy4_f-JWDsxlaC1cLglTKI8#k@=vVt*@yR(JtNkR zPkGgHw()iIi4qBx+0bd6{EH z>M&ms?fq*b0yyFW-}(@w4s$`U&XTME+1}&El4~JI9p-|8`sUO`te9J%8JoCZar)7M zB?>tUQim16BatOIbT3~3V-uG|%>&^Oq62_DWOh_uQeu;kT<)djgo%xEIh7=HUM^|v z>^M4fa(z9M^rC9407Zy~DVnGHzzzPVyY%*ZsgAR7qsTr4mw%(VWYaX_!=e%GAAB5> z10X{9YCnznKaR$e7*>(U@a5K9r1?iOhD~D_bCMPMKpLSE`%u#u)xs^B9zuAd`Uk&~2eMyLos} zR;nTa^J+`K+08p`$T$J*gYpCVS?Y7F+&oMr&>Bh4@Yh`WKxKp}CVFo;iDt#h&0B6r zP6NRusoUy9S8g8Y_S9(wP|z@5?m13UXY%6noe!M>?g-UbHR@b-%~W8QN@H>>*Di{U z6cW1j-OO}#$FBW5J9=*=2JIZ;>p@2k#L?8D{^Y&FGhH9O8~DL@|Ly<#mp-CUQR#KN z8)&}*oEBsDA4Ascw(M1xBLrzRb(? zh6g+}X%w3X-B8ek(^0gLYm1_h9+6Be%OiDL;_x(kr^<1Wp_5R)6~aT3?vZJ1<42@o zhs&>gZIW-$`c^Qo6(B=t5z_Jac%=Q?!PDZpBv+?{VBy1%_Q&~F>*$`XAEo={81c?^qMkwxf*+_lwW?|k110wrx>>@)(1wpD476wYT=h7k zN$w6!GVK<%CT2#HTn0_@dw=w;e|@xL{e(1wVm~g{vF;@D>{wUB@7>__*!vS0JtTPm z^tfT$Ly%_LwgA9fDa|UlM><>?G}A5!MosX8(fW>btOJ#T^fU#*ovHTE4?Wrb6)ROf zs2Qnq2Lo<>{)(SyXG-1xoxtoB+cgXk!HrznoE98)osAWk)f2Vp1Q+ z#w?FDdrYr%F{BEfARcpCiy4wSEmf85n3g*ykJV}HlBtXNfNkE7+hOf)-Pncmt*9w6 zWwOd6O1eE=a8t4rjBT8bW(~vmN;~@b#@8O$bB*uRbo{jCTf@O_tG}>%=No=8QgPR% z)t@ukrfO;j2TgdmlkZQ-vlgmBpH z8bwNGq(U&4964oN3WS`!(Bib-pbSLlBLlVNk(B{j-Tjuiqzv4)sH?d>{M&e%~@x<1`5M zYMh0niMMxo#9&&QSNqCgHu8V7@|<|qWk*E9x8kYs_Efr4m58%pz)iae<9 zjXfh)%xS*EdcA=iCOUF&_ALZ+nh&Kj4Bv&p#?++_)g@g3znDO9!fQ~cB32YX)-DFr zU@Y6Aa<*R@ZZ!nO1t?3drN+hr-$7;(|0Dv;>Lq@shRr$GZ<@h&B41yHk z;m@GVS00pU5A28yn0vsc=e{oA12z+CzT-hXzy1zK+y9*}eER3UCn9wX`P=m5et%rU z4k6*OhcOg0?YS}q2iq1VsL2pJj=NiS(r+}=E*LW$H<&!vteDf2sDmLPDu+HbX}Txh zmeZ5g;{laZP{eVLG}C@)j9Fwgj(Nc=v!|bIT<00OHMY^$!;1_#wXWx z=hhi?@L>y>3F9cArr1+8{C8rhEr+?XzX?t_Tk1=;PXKtF-gD4M!4GoIDUQiOa|PVl zv&ZDv6FuAU$G6Nl?H0}9_H*9j0N~Z{ZNqKrYGxG8mYacaTSvDt ziryMt)I+~|4#eT$ZGIXJ6D4;saBZ8(TfuU{5jXu{Na>f~S-A zhI5oA3N21s=lfZ2tPd>x{KN^{<^nF>0XSj0QK$$tcU0+YET{k#fw89}ztkp}v2 z!RgbinV#kapka7_8Z z{N;s8`*S`E(Ro zP{0B@h`MazTMTMGz+xSrf`g53F^9Qqa;!5e$cQ5OZFdvrQI^8Y<+NF$1j;<(OARjW zF4*PJ!BYlK*{f%1dgcGQf&5e1*M}otx9f9x*XOwFyPtmV%UI;;7p2I7tp~}We*P+d z_xx4uTU7vkQek;0gOjM)TvsWMuxnA`h8&no?-B8CJ@K6PLLFrJZWCGCw_p-j83i7f82{U{! z=y*6(?T;>AWw{~@{FboixNXV&M}08$=Z7eh)tT}TVLC#l^^kO(X;c6z+h0S|GsZ}3 z`w(OU;cxYQY669x99`Q+D-0&dqPK0Z9f}gTWR(ej)D$JnfEnTstG;2$l4ZOgU+QoH z;1O0t_VhW=aUmrPiRJX?DTW@Ka4-`Qe0r^svP<8R2)^2^?9WW9yn<tZwrApYXncJXavBu2kX2m*T zx28`6e_`d*_Ttbf#er)uRvt`nwJ|gym@*s$+K{IM84mnTovaC*%yQXC>R|M=NV3H) z=T}DUFs+jsw2}*%6L!0&R!Ge?wDXd5hG5c0L+sg4T z8Mu-sioI@}S zuLCt{02S(+@*v-krZ5h4wKMtw9wz_SEGnD%dw%YdiGQO+Dp~eqB4$47nWhl0CWn1D)CF5X?GmP#NYVapZn`IQ+AYGj=q@ zay``iDVv1S(*QMp)^T8CgB}tyi~@Ue)mg{w4_hijXf6yL*HA9hYo+6cx%pXxE1LLv zZhrXaEXTRzsic!vt4`Bul_W0dWJD6pjMPaQRux}QgSmcje%80>So<)XomHVb#b&y% z;eY48$Pb&o$jZCyPb<@}^w%)l(#fj#-sgPEul=R~y6gMg*5`_%>?@LG_c9b^&$!O4 zdO-~Zu18U8?9i$m)~tGWn>E_OMXjw2#vN)ct6o6IZLVhzXLY4|0nJlP5sFl8TXz zI7Q}KhtXA+|Ah*}a|`oPz#y;@BMh|d^e}{BRq01_TM^94b_BCXQ`J-(Kw#e~)dtSD z8b^Q`%IB2cq#gj1Pa>!_)ANu|sWXRPA`q8k$m#8-O{u%NT}Rs( zzcxJs&dU_3juemKF|qpJ`#b-)H-2ldQ}##2X>JNiA59FKqhe!z#E|Qege3SJuP@`|sQ3tU zCn8S3TSpR-DA?oFBz!)0@^V#Fd_>QX;6YDE%=oSY@*EWdfyxyT%B)t_J`(|X6%{X0 zI+CauZ8r6alcHiZQ%#~zZQMFlJ-oLj+p(~TlTF+!U_AYy_rG&c{8miVyIfEVMdOK(nJu3?g0xrrdlPbPjDsiU+BzZggypFjCoWIXtoT_)dDF}9|HbzO zbgo}%fv}OeDkA7H4X%05v(nmaH+!VXIPrendCzkyuOtWiO3GPjfi7Yvnxn6zT&41k zTb!CC2fI_DveG(W-kqEy3c#SZx?X7kn2zF!s=>~H8c-r zTzEp?C;C$5f!<>9Kr5M^=*3}!Jhw_7G1s+OGdK$5$lcgMzsB~Flbdq8!ZYIyi2wt)Vy^+EZ|`|g}+9B zY1PlUY5F;3JC2WjPBd@C1p|!-yOg?Dq~SBc)7l15nya*&^v|Z{q+K>IC!ur%;+wV~ zc5}!5uxLW98i3IcTU|feQmgwX+i}6@OGW>0Trl8zXlhLttc|O2!Ll9uZ>aNPQ(JF5 z^Kc*C!8mx?#J5{%X@m2$LqF|qv335M-MzQGd7E?{`f2we%=TJrx-d5-AyQV}RtKuw zq#-;V9jsy$ir_1a*87szzw6)m?a}V2XzrG8#2|BI#011mtVY1T`B2-|6NfEl$gzE4 zjNTJWTyRDpI707<4gxexzz|@Pry0RGw4|P_b0X&a_3(g-UNONz)=pY0znC)e9UtIX z(yGRxX{?GM{X?1{G;eh1SOm#ZB!T~fp(Zk%4W#vwnM4C?pV(n4lIE?&!80ZwbLRIp z6A*?_4PcVYcjt(94M9F4hG=lWGPx0`^#AUinCnxO=p#N2|ejuVcfqfb2@b}`Aujqf{o4!w!f}iLrp#6*Z zt}Xb=V9%r;#g|IvrNzfHyIR>ZaewL^Dh1jaaN&zc2b4j3dH>pgslg9@PV(=n!mYXB z(C4z96u1n3Nc*GNvf_sT;RW8Zv_H3Fe`(}MoAUA&HOopA+q~n!JDz^&X-kQu=vFKkO;-1Vo4c;PwSVyp zOB>h@hP9obHbPpj1IwxCF>V^pTKyMso=ze6zp%dZD5NnuteVb9a#hLt)1A_S@RToBxe$de(WLgSDn%tDZXk_!Urs5!VDW+rjP zOma+L%LNZ>pkpOQLjx}%|5=cMk_+xQREdGo4jtbNE3%*jN-l|6<9d=igp`cEDoLhk zToMr0fk|>mgi9L5I5NCj zFES*_^kgqkg|R-ot$2OR(A++W>FGv;xHPmNRe@KPFMob!C1>qN2Njeex-q#!&a;wCPd9SwQefwr zCFW7zbVokbpb-tcej zdw?XgH4);=0{Fi5dw|9Ad%(@~9x(G=wH#vmHaN1Oba=}aDcSBEP4iLB(QHfa2$Ojh zz2j}u*+|C%;!zOoOlMc=SY;@T@LRUS;v*f406Xmue#nX&N%FPng4_HpU-GnE%N8lw z?)3X@n(9D@-VrHYEEx2bWAu)FnzIHE$fhpKQkkBaaL^%lQ3} z?MBu)pFe+~^!rKTIreYZGBsIJ(jWS3`KBejUB@xQU6`XZ;k`K+krXzu)HbJ1?qjhn z^htppPy(mstMmJ``6^F||Bl7q__2@wCq@{3VzB*&BsX;?Hz!?D<-MNzC+A!Tsej~2 zD4A}UImw-GK}OdcTJDSIW{0SMa!MS@`s=BGa!MQnK9S&-Z2Zxhn68S)JfV0KgD`UwjB@Q@-dXLC=W1vT~rj(xS!huC(qMLj|%y?(XX%?<0 zDILKlESuW6Xx-H9!D_ENbpAKox%i~6S~h33F{@44tqj>fAUsdJV(6Om@JJe5+}cpf zS{^B?5u2!rhw7}9W8F`bbIV>_IyVhHVR=Dq-7JMl)YjMi>mT~b?~00{X5Bmx_8c6T z{8_$I)K-fgp?Y+|$-VDEkP7YBVqB;meZ^?$9D|x}mP-9}1SF?Lj!-@Nio2n%a;irc z9BeA5dUV0t^pvZTs9kX3F-sb%c;7DE!zmhSM?Se;^1sd3)#A`lzVQA%Ey9Wpw|#qs zZ(|)_+5g5jeV-^b0;?i1oc*FBuquXBE{P(oV(>UlqQ&6VP%Vd5?GeunLbZTuW;%zU zu9a0*?QkCU`q6D_72rzX25XynMRgQO5B-3IT6QF9=Zho;ODkp?2k%@_dXfSE!VGR2 zMkH#CHPFv5R<_K6i}l?78E|=DE{APo6t|y!CT85a_it?6nM= z?S(KFhTpHeW#QY!OC*&7ttPVS;I7V`Lx8yf zb)bD%Y^p#X0#%?V+tm-2e@>-5E)Ir**2*TnMHK9u!1wVjFk8NfFpz$-zwmdVRT-iubAd6b*B}}d+pKSX{S~K z2Cy?iCkJ_l-4@q?H*9}E#MK-+2YJUO=Ju%I8e^1ln1y6-P1{Oju%el|+?lk_<#G-y zB98?3)I}cUAaB1WaStWeK2g#Zxi@Od=v=su;te|`iz(UsEa7opF=y`lcotK$`Q=}| z{u$G8uw=--;v^TIyl-PbPv+atxG3lmfXmP?{i1wW0?Hl{r^?~6`{amnqHKzT|o zPa5o`qbJR?IAZpxVh>S+t9hWOzXkA^9EDfj0{q*JhC`VP_7F@i_OY1}RsMBaqlHHc z{`b0A!N2F^k;H-=2U+6P&y9RoXWpkDqphm z=&3K0qL7Op5AkrbPYyt*BA=@E)y8*Yy$mIB+U_qi)QTqF!7)_ri#>^5L(^-_t@p=MKR2#Xg9R=U2~lzx^A4)&VnMCff=O=-u~ znQ#|=mD}udChC%TD2E%A!#`4>qWS0ud=#($(4-pZdJ_zHz*`js7jF7^EIhysiWL6n&uI>p+D7K{PbA8Gx#|jV5C0 z;@8<2^@=&~QK~olt%8$s(^U!&pTL+rrtyJyLPvEQBBki?38ZEibs;yu-Ww}s#8N3Z zd?FgddPbJyya$NZEt`QXdVAGX-s6O{kwC4GbLmbO$$1aX5!5IWIIm6@SI&E!j$3fY zGd+WHczxlc5ud2bSGu^ZC(%jZd)ep$$$M9p?O!O*xww5^?O{rBJF?5#@TEx%A^$=c zUDC-KRr_WYY`hxl;Q!ehf9)^*t)xjYxoY{8*TIbq3#0^?MD!HO8waGOnDRLmZZviO zT#(3VWHTWMf022dEGU{()<^KR-4c%q_Yci0iZZn|kiHX5YJxF_{YXaQdPUKsz9O`l zAjc1z4Ki7^plDJP1jUHaOrUs3y_e5XG^ww++Jce^DhlatfeB?n(WJiOhI2Qeo53zG z%=cMPHK|K(5z&g|VJTBPDoN3#E{SO!k~cg8?WXY7_<__nGD!?`XRetT$Q_UX_4Np> z+3(ICka{^!tSLj~tD{%9=eXurci;l|OO%A0HS_&}-C|_GYhNOT*GN`3XbJGI{G1pL zO^qK%AJzE9OZ5z2!RqGho@cmw%C+iYPw%Q#`CTr+n!>l@Z7$tLQZ7EJbl>n%UHV|~ zf~!M5M(l6tJx^};IM6b5G#qGTb5~V4P7}f5K(ntk*FS+I9~m4^dmZ&VP!ZH~*v}mz zih66VU$UNjPM<8(mpNjfB0h(A1NG{BIr{Us1AQNxcpz^8aMHIhQWn$tY$wd7V?`_4Sc99+bxm$I}0V)?6KBCnS$!Ig= zB0K&QBOqJ%GrPz!`Vp>TT^o4vZMBQ+_)p9wY7bqx$OZq&1Y>iK*+mZgC%SH0!OBHO zZAtN;Ai3`pI>2IL5pkFp9QP#i_c%Z^X*SR^R5Rkzwkvb$%tTS-oK}#oIQKqBgLfl6b?qUMpoGM zi1c2sv1_f-%vkW?+FP_*PPs*^B`x|_{PK@{->;k#s)3s*d)Wh_TI=FhvB0AZ)#^yV zEV>6lr_}+@1cQr9g=!e#EP)L|HA(eF5aM7TJN5xQ4gv;2x33TqRgzVxw%)KehQSiZ zJ)57r3f0JlBrz(%ux)r;hiYgDmMcf7hS0mdjgrmqpbjvnt%hm`BBL6~DpW(BPp=4A zl+JnPPz}wjd;OKbI$Vd8qn^i|hiVctsq5mC7}fjf>p+PBD?X_-LbY=04-dKd5}{f; z2EK}2-?xpMTsNY~Aw+66;3)H+g@2KhPsx~G(%t2Y_fp?|vc{7neFSR0-gcu_P5 zUehhK|9r9ny>T5-z&b{jrH?kas^dDRx*IEf6skKJ3x0iESE%kV zLa_s5%W+*$-SyN+a$Gl9(a3RKp}Ir2d8bB_<2vX;rMf!+m)8C~bzE1d?m%-}$6&A* zx%3fKcYOqQb?L*Y?!XDqf$!zJ6I6F-d1xbAwF2sqfiA2;FUZQZ8xXn`wHUQvR<|h8Q0-eW~|H^CCzBIprS{Wq>Y@r6ji9R&N}DLCC)fkbA_LMQ>k4!pImPzj+j zaKWS24p`1!TH|mC(qS)x=%a!^3c)4FK13IUARR&%Jgq@8M!_Ax!K#QtkgD1RF{6Uu zV4Nd*2^5+ff>hNmcmjI}3goEjVp4`C%tDZ=+6Cdgl9h+BcMyFv=SWpu1ox<=s~{WR zsMumfQPnO906ok7Tykw)-AR(F+9k`(O4u}0|1NoB6&Sk7^`9!so?1ksW>gL=WA>qK z2?NKcD$1%Fk<}PKH;c;V6d7ImsQWh>uP3WE(~F%~G?Ab#YA9;6qv#+dmGhlbds;`T z0v+dcPL*Aohd~nTvZ=CZx2SdS=TzBcQf2pk?2~`(v%~T#pwm$e4(|+dPZY-0wAfc> zd0ns!lEUN%s~3eJEw2kA?l4d*a9wIALy(r&1q(h5Ih)QLW?EhsjNvT+*0wC5w7f1D zETA}BHTrRAT3#0{y?f*fXa#9`iy%6iV4?|qIUx&2%Nr|-t1m|T%Ot&xk$l9TOe zvY$ivS2b6IB`Pzc?aUMmqcAgS>6|#?6~3EdE2qeW9X4a?75tv?VZB&Y1$il1WeBXq zf>FT-Gd3WvQSv8-wyK~*pz6St?PPtLJQN|dRR^?`$WsS#Q!-bGK{~!v05HCv79DM!SkkFICc80ZZ@X7E!xnfN`yqB2A#2DHl{(o(_@}1W4c5$E)CidC6ji=Y)lQENd7zr zbHt&uF|}l;;7B{ZMrBNos7y%+eK?#thFmg^{!1dkO5h*Pat91x^OK9NERxFU2}$r7 zX&y07Q`BgYgf`cs9~n(&Q*O=DE_p!GKtSO*O-#?sl9P?T8stEy=yp#YF>LkJBx3Ob z?8Dtg)z^9fJt1{#)Wt|Aqbf~w{*m@@Co6to9H4j| z;YAP!SP@1l{5l<Hl+Zf8J+ zL^_7Nh~5C;9vMz%!Hi6a-hczp8jT>8h97!YdIQ!Z%d1zEn8^+e9tsDXFp{qYMbY>< zmfiq>E?NkS)Fgey0GzCrZR>>8Buy~zCgkgB4y`pwKQ<`z4a0I0S1bQMT9Y(MOYn0} z=3a)$R+3VaG|7Fzn;<#f!G_d#$MGinwM?vzHAn3}Lt?eZr0U393C0hW8Hc16s+t^m z`vP7!R8|_XxLGW(UX96uyjKseldl*`A@3ZecIbx{R^)-BMZ)O!ed4i3m;lqW4Z`*{ z8By3tbCOzi{LFB~(!;$djJqsqw~wM`1oS2^j+jOOw-!w|8_r~{pKf(CTyl*#T1!a# zPV0+i2}}~1A2#y9wZvTB7H_5)dz0L4K&Ppa_}Cm>eHLHyut!aZYc7{Y0&j0JLImZa zF-cUL2)l;=y&{^tz9RKwO!BnCtYVQ|V$?z_nI7;(&|kv6ZaZ&uTB9G`Cr0QkXpWxm z(N5I`UPQ3(*VjJ%r4fFc@>q{E+vKNPo?PtWFAYCFjG|AUX!X)Se(U&k<-|VFspfOaBE_svE$C15Z(Z=CTf=aF)p9)&NDhZ`bbkz>o-voWU z^jfh`j(q87^UZ^H4^MV!d?#;U<^Jjf&~*IT{RJxxGqJHhXa%bz;0O(%IK<&Tx2%9l zN1uMbmeo($_0sV{+){-P!p)cB71@phf%Wc`Re*X9aAMiWjh@o=ZhqF z`Tamg52l%P!OA8RzrSq9{`kT2{61|~c!n-b%`K@6y9;*RTyW)yqf2i^{$IV`X$&je^|@!$ zu7XULOk_!A)v3sLKY#Ty-0G)al$sH?0{lLd!Ia%fas@BWB!R~RFBjiCFBjmec0?z<}~{NS%V^WG4g^B;cw$A9NOLyGeE~G0uawWR%4&ix!wP?x z#?uHkSQEk*UBc*mcMeOi8>&OO?c7Ge zWca0HjwAeC9(}npXpsMyqmG(J2bowJUCQFi=rc{Yc#D{`5ATQ%dHe`jBArHYLlA9sG9$^FMGom|H)9z8vD6w z_MA?nlSVixBAwJjHIYK@Cy-2G*a_TZ57k79=D@|1s3zK2vPvgypn0S+1rmok?5i7{ zPN=q+;7YKaIoeMyXd-Y0qsUdhE^1I7gCMhIj00jxj9n|IQ1UxGr3dNE_2)tkWWaS-vkHA zCqyy(ilA{9XB2>e&Hyk`%qF-)x!eRn8!0|>5V@&hHp!q!gSJ(lIZ5W;qcT(+gm>rC zXRE3i@99x>wHD`86b{vr{}&o4^w>iioUI2y_OR%&D_4-Z=5>k1X3@?;xn7@4{2L{P za_;lEY~+=bvLava*G%g zRK2oybc<5<%X44XoM|_A#vP3ISwBKS7ppv&e`Id5S4P5MrYXq}V9%L@f zMtOj^bTnjeyI9Ol`H(H4ejdc5yN&d}X7qZyy7ZtvAZ(0d&R6umVfgw!Q3}S;AK#wX zV7bVJB?NSUr>DY*#)458LE>yhCF=T@Z~TN)y-Dddla<1(AR! z4<-nb@z$%mY+oS_PBr6#z`&BkxxYu1PmT-10nudee!I7sII$wtj0;+jz-Cr3!HYf$ zL8=)SG=c&O?f`~hzB~l!`xim52}lNhzd_Qz5ftCwCHL#2=C(l?V~E{YQeH%tH2xR1 zy>5&nAW0JAQzTEAuAvRGq;suLQye>&B)|ws8D7w`(?Klxa+lm~*2Ex7AFr_FrNa$D3uy9Fy$lJ*bh^$W>P1p^T$dxdO{ z>u3MloInzu+=n|e4_uIW;LU<)LW-7^sSQR3V*5sk8+)L`MeI#^&#}t%K9!5N5>2~B ztpS|-axc@D`@O&T4}aHZM67~W4*j%V+0iW^S};RESkf@4MaEEqmsbRrF!^*MT#(iY z<`n27R8O?%9)fftTre1fNP!K@msg|{;etDd*6vVR)@nqoNGGBQ?#Rjw3yEx}S+fwN z6XAkj#XgG32O`SqFfKS6g3w-sacGOl@=XzO+fx1^jLc;WT&x$L@e z(;{c;;pJdLOpYh$a3^3HT$aj#M?6r?Bi1@oiXZswB!|5nD1&&X0^%vkHto+RCGFqZ zV1z>zkgRRok?piU&bMm+MaBG_Zd^K+X*KzABK&}n$yl-p@quL9?Abimf=yUn!jEao zx7wHC$1i-{Z}^H=Ky#q2p1p+#KQN|5I|uyr)$qf%0KW0k!+}FYYZa3<$KkNV2nEI| zOPx!bby3zFfb1ie&qD);Tq~`+(i~IXZ`Cfwwa6XpAKZQJFK|v-In+m^Il8HfS#!*q z<9&iY?Ite1^HV_8L0=XF2&ktIKh7Zt&8NnFSlShz~|0Gxa3N~j>66G>c{;PHse zg=IL5F+T()abbes%urwheF_E9g`gxZ{LtEL%%WxC_0q2l=x4hzRYg`-rb2WS3S#Xd zD2WSO5fC;4{g?`IVv`V*#DyOk+_n-%WD8{`Q49q zytoJhUtd&4&$W)YO|#&6P_8ylmLepalcR&vMeZayY7ghR-pL&mn&?1XIfC69KFb4C zWdgc|Chl9%?^bgv`woKOA2X_sX}75L@aFjXGV%52f8W3OtzR8U`hMi(33QGC(xJ6* zeG(ja0O{1$Im9xic_AI#cEd_>M}bPH1#*N7)BZ3hv?F;%+B#oxx27$G;9&*&k4BJQ zauL+{n&qWar&W=*t_V8Q@ew%qwsBQzfqsrY^yL7e$QEcQ038$DEkU76GmEC#PXC_* zbWC!OZa%TINSAkKUr9a4BmsU`N=|^Z6^&Lh-yU2DSWLL)zS}3Adr1*(FL7JACg7Ou9nZa_C?pj!c}%2ikDl+)5dd5% z%mB8zt*_{2PDb$p)Pgt2O?5pSq&Y%0tipXjrN%LlO_jc5T6wnuJuV3fm^opB&e#zm z5Mjtl6;GtOtBeW2kyVC8wj;-&qYq<$P86#$CL_!ponB1WGJI=rHQMBi{q)Z5fx=G5!G|}voJihE8({9o1luWP7oC8q$)8G4N z|NYMyYv%}GR|veiL~HrtT&y$hU}DJY$k9? zFpr=e=yYjhrv&5Re9q^((^HX6-VT;oyWMtR$7+mYc1l2(jccG~hC|f)1=%UVR5`}} zFw7?~9W*OeP6;M=>XaAEj1%NQ{vj2@W51+1WAw2A)o$0U*g=+K$TkXbPzS~y$8>?SKuGACA-E#IX-b&F|-XAsb%tV;Ye+1b~H|*_S59g7M|rnDRB z_$)17-=`z@m9M-M(HiE7U}{gczm~tQ2aY zTk09bTr1S2PAWi?SVyO2g$gjz5m5;hDtM5aLpKU_XuMYyz@)BwWf{J-U@7ONLlYd`qevAJm;5*wkK+>-Fn{hgA@T z&D0%2zNI{IEAcPo)G$By9U=aW5<~T7BeeAQ5H#1o2H=TsAYElRlf$sUoFSS+NTTPb zV~o=So*9=g8l!#6(i6S_{}x&*`OaW6T$>pYe3GAMFfM^(ynV4H#Bs@BTw0?6zB#%^ zKc?b=`H7a&K$NdtmA^~EVbKi1hP8wG-EJ6{K;NcL10kG^WO~NIxFm#TcozZu z=$vO#L5pB<0@Hf?dusG)=b2cX*xs6tKJC2$MNxiluxYTv@}_{}D7`n>>UnSQum0Zf zr;bwYouzvO4aN8)DZuH*Fq)XvZ%%n?;ZDyXINtgCZ6p^>} zyuTrO9~OAr(;uSuspKkGa%gp?E~c!W<>*Q7|E)KC`A-BMPaCNu=hSE87 zmgg`cNp}7039T?XKXyG;Iq5MJUmJP@#doKnx1bi|{26*zbbRWQ#RbcDST@Ptq)qvG zB6PmtfGT@aLMK(_Z>Nc&uFGvkwKkPq3a^>(Lk)I|E~fwbH$U;uygwKW6bXI zN z&Mvt>Ne%+ZmzDDp*jF$JRTq;bLPgT%4kXE{iwW+$Au4M6REKg%yZjh3H@4?+%z-|^&;D$PoR#V4nsXb zn~u~d>#j;>0&~d^@$Fga4VlSGy))B!PG(Y?-QsezSRU#TqZjZR6oSsmU+dRj)Or3U zovR*a9Mj!#8kqJOxkYoy@X?eEpZ<>ZKX^}=X{BKF47YPNg6@(%vPhW$@XBORrm19p z$pwMAVS$gsch;S8K6H4BppvdrkXf#JMP>k9@U#M9zO0A=e#}_qL(@#V;0|0!g4soe z%fb!&3Ko!N+6Aq^$4cN9oN@JvG}A@U0~jpanRY}o?SeZHvddK;K~CD9qnPO;DPULp zKG;F(n)zzPOuHmNK~~sAevP@ukenQsh@~qgum6KvMzwAwgXX9nQm?6bes$IL=HTQ! z>-}hg6ML9PRC^~lnXT{LS>I{5XtutO#`^x-pZ(4M$-U^9(Q=YoUn?#>5h&vA8iaPa zz;Ydm7|5Qs(kkf&E!m)Ay;OU?M@wy621@le@UJ?Gc!Lpm&7o8I4Ip85@uIAS`SzXh zAgTKH#0gQiRP>H0;kE$gTwgva=$2R^)~xEQ&h_OTN^WBK;hc1k&Q*PR5A?KRfcBiI zS1(xscJR9x1L|IBIdYY*MiFDc3v!Y~{DO(4T{G3*a2vOZl0xF4gFuq#$8R`iChB<} zR}!LEN6+_XzXXy>jt=jrz2gmvc*${t{5iWAEzP{y!?DA&qdwdgo>X;3=XoCLH*{)w z7eNu5PZHVDzNlV>P{^|nQ>4KJtI~&%mVdZ9c;*$~^c{_!)NsbMNnkQU2DWBkoL~d? zLBxMnNd1~d5rYvnX#sE^B42^bnWXhWEP`|hhR>XzFz|}LXLKGLM%Z_K)xYvl-w=VC zc0;?V@ZRVkbXYD8!xBx@R7NfsgbpaWXhau+G!-srGq0%Mz|;V30N{3^h@dJeg6r){ z;0l04Sy&Z7)my>A(O>wsK;K8L`G-&K$|CC9hxNd?G_4HxP zN!9Z#z@tg3+EY7+b&qc!#J2ooVum--@ zbZq3ivmfl($hQX_9VFO92zGwQhMLw4lk9>dGemMzU?ViDYHMFJ$=!M2(RtmVk_bqp zRe5ovv?_DlUqg}7*ZkcdTmEclU(IlOq~!G#6sWY39%Irv1t`AXE(nY|d8r7QUSlRt zF2pF*&IN(hz#wZD$q=@*btP(F7ep&NSf;24mMep?*;htG;!OIql32bB)%PbVaqnv0?K^+N*|r`Qjq=_FFhhptLm;45J8#d&jU zBo*u5rz&Zc*%^So8wXBYw?s;Q^y3MmJ7i5po$Z?W?tnFnAbHNP>yG3T@7LEvc2HA# zh)yNvSzS@SKPX6Zcr%N1OPTszN#>d(8YA&5isVKTt|2)Z(Os|6^&3l*jnA-Caj(+_ z2!2`#Pfdf536b#3{%IPUZjK4Biwh&@Ya%>PviM)&gRQ8>71fe*WZHHSD3}gx1={Q! zRGyB?2-pmha_2O}u`@p7wb`IoajWaxqIAN1fI=CwQy;HgK}9lWSD_+DI`tbAkp4WO z+hs#KX&_-W0Wjum>sk) zR7=jSXTL6n*@2V170hm36jRQjWNF>=>~)u73MpCILswpRDW)7ZqN(!5WUo6FQ${FR zN-<@Ok_At!7Ae5rihN%ELsrEUXmd6Ivh>hxPr9arjy0u9*Q+KOCNOo;*wrtj5NtWgUQp8Ld6dS(f{ zf&qU&mdMV(=wp7B|0{;TKI9^YF5Fj0QDJmL*@y04eFc>;2z{S;R&ybxG7>W#%L`Y} zKLgrHVST%L7G&i$=(C^a?ZciUZdx^~HKsF1++{f8e%mL0&p-Q21fqH~JP@^8Q=>cD z=G|=arl$c5N8AXyqvCC8O=EUQPQmEb#bRWKBpBU#uo&4P2|_o7&8;t#(}2O}b|TQC zaWkan%Ww<9=Z2=Z_J?d766bTni1gYhqgkR#1Hxlb=K)BJ_7|$@SiGGCfQ-f^7&Y{2 zUN4v26Qin&=Dz3AuIH-fx$bzb`<-vq?d-vxGr;=x%*OIo2D9e`pMz{Hi1w0q3q%CC zZuS9?fJ2Kw^);0C`=)>4zyIKQ_AieylHGM-59DD+vY(gGo004Yl1^!OYSj?jA1A#g_^?f>jN~QfSm)6|9zOQd z|N4KF_${=luhZ_0PALH)166HBx9B})tYcfCWELi4@IJxJXe})SncXXbWeny9DRwbf zAxQVf1&!x{Y!s+yHG;IhE(l_6pfP05BF}+FHCCkcErJAFnxJrYXF*!uB6t#0$&PE} z`I{AKeO>TWhF0zXqHF}k`nqHctwg__8g%=rZTH@lVUUO)wLIsNldZ4X&)~P{+Fq4- zM4$sV?EY5{-~5k%=YN~8Wm8YGzk%Bga0*6d!}~ZGUVB(aw0ft7Y-+V>+VZW@V72M* zzxkIw>+^!pM;GcSJP<-kNddGBRz~-?&xYMdLn!+0wI7xWZ@Ft<{Yv2#!Ohz6*s)?( zcu|&u*3kea1hc|BZdhx9&yOKl*4!I{S>X|dErj`KbP@L6>lEH@OTr(ncsMlL`*u1< z-r$GVRL1?)=ilb_&tLU?Ez*~g>C-Z1v&=Fln#0}Cy>F-O=W~c z1)x#w#mMvInRrX5kf?TtEJ*$P)CuGj`bT62<61jRqKe3zQDyG_bj`yvM92xV=~Zq-%RzTgBV=?HWsnzP%!@T`3Y3xZku4i*F} z?K@ZzthH|$@zhrm%-VOjB$)4x0i>w=drE@YIL3&l+Pc^X^ zf>cBC~m^6|kO@*&{IB_BoOy6z^7^pb^NiyFZ0An$%kVjvF zm9vsOPX(7uMET&lSN`^r+`qcYnx0MV$$QL$cP*c7SFt_2sRArl0EPyY7#=NJpK62B`vtcMRCnuo}J{J+NMQf*ddZ=}^9 z?i`Ep;HuktgyZ3RU)29Li_4~e=YRWT;@>Eh=p|e^`Ck}eiCpxDT%ZFDC_*N|)*JEO z^DnNEi%FI_aDeq#Q(fFdja*D}w?ZeAm=59ZuOCST9{QRq47`#1jrIz40n(c3>0JO_ zgTg1XLDF8_teGC)#R0_r`e>|3mDxz9=XYT-4xhHANjJ6ZF!`>~&6;vo`sK}+UAOBmCF;MWDXAB%VYb_t36hH!3ojHfj)@WsGazy%axiR=a z2vS@5iX3eS9{_?t1*pRrf^-2~5Fs|*3bGj>%OK7|2vUE!;OU6sp86kanU{MVS{bAW zEbU=Ma4)ooYN{|_agX+U0VHtc_8h5mT+n($n65r`h!n&{b4j>9Bs^tHKPF~2S0gT( zOX3G&X%CX{)!MVHND2<1JHYio@~{K*9o;EggcvNkXujrYZE*t%i^~q3LB2i4j*2T< z9Y#nBz@Z?t3&?<2h~Y;cb*cUj2^D!H?eAuiC<{Vzc z4d)(BTb>pvk5!^#|AT+^kG$a*gQv5<=`UCaQ0!}g6B6Ay8Si$>aiLI}8;nAQlF5}J zaZ61wFdGLbrbbX=J700VXPOl&u9q@UA+IQostG2{2HeADMe#`e&;_%B)M$HX$xZm7 z1GBO2pg_c#^h0lv*cO`t++2I;s%nTsE572eM>@7S$*O7?_=-KuMJt)BhO~J2%>{!Z zY&!WDsxTXSH1%r}%x=G;v8W{T?EyoN6G|iat|Uj*b;P_mp4MnuwW%TE`od`y=uI1E zWgf?HJsnEDFOb${y(=)u?me?(wYswKL(qUWCZpM;Xv)v@B#mdl#(9ys6qzGXRAvef z*l70dvIB6Q>Tq{c9SqVoVjWxaHTV5rrtkm%_?c&Z`^QIYr|?oQPZ-z~wM+0;(#pWZ zS=Aw6*K`3~@VG_S3=Q@uG<=Ui;UWl@Hw|54MY;fGMW9`@DtbKP92mKTAYA|#45y-} zbA>K|3tG!6E@lK8emHFuY>w_)%WKD>=>qtQ2aJ7^_y#zhCB+3Ol02wM!Uw9aTVgwx+{wfz#C8`%u&c>jS+uoIconzXBJt39+aAJb7wcKk zx{2N7SNXrjm|j#yPrdG(G4s6YG1D_k_v66QZO`(E4PaU=hcJ^T!%kbiH8G5kzVjQu z_UqmzOjO~WhOgds0A}@A=C}eo(&;J8 z>T$OrqD8dCN!UTMj>_s0!7suC2tt|JB)K?8Mo4~+Ncym+kb-N=x`kj?kC+Ze6%N52 zlJ~7DL$--~>b9Iu zd@Y}*$mr6wI`wQ+`*&tVxhyNneO6cT;NI0F*{T3jbC!9MiaOF?!VzuVr%r$QTR!~* zsYyuR=B~GS>CS6H4xv}zmiFk~TAi-QDMC#?qE12js0zBLMf)0c+SQU-ogTL`K?Yh9 z_gjk?*QireO%$+${C_K0d7C>-xlwgWF6CDBWp#R7ZNmkB3VyikpF+;N)N zqqAnEPB&{JAE|h?Gb>vhX%g}^FWL89be(F;#&uO~)~9$?9L&Tw)sap}#S_rQJiT<) zpL)8j_U&|MA`x%-)}27AlwwP zTCL-1WNu%XGwXsFG`tsxILeeVm@yY0seN4#z1Va;k<8w0P)VSx7AxjDF2L!;EK0%G z=2(RwwQpGwYFyMbrfC^4E(CKO_XH56P~U#PwAs*Ve$E2|BIkH0OlS9M(Uu&Nu+Dsp=pE3$c@IW;oC zoM!;<^Lb8odsVMSbyl~V{6V9dTenyD$=QEV#i~nRDfc(50na{l<@ETzv-W))w6DF5 zk4pPaTfWct{miHT`M)y(MU%8|s|srEi&&W|a1=!|Rr?Mq)vSHfOpp;2O_>P-M0l-P zo_t%@zB}N1#HHomR#|23YvYsH9g6nPN>>5L!c>CYANZ>r8bY0lR-HlZi`*>;j6{+@ zY1V(nuv*qcf4t&Y06Og8ZK}Hi7A4XB81>P?4^`TiRb=s}k0>K|kU7~pf;^rLue>5) zo~!e`v7SyEwwtApFSC~#$}sKMf}I2E++UqleQqcku5SO}0O^}M%W-;*uJ-h=>+Bgt z^Hz=$sc^p|qdywl^Ngb5YrA}E$sF+y|M2I1<5i$&)cwCUsj)+Dw~d=~*c^0n8@6Cl z9a%up$q|F6Ns=1Nje!|Muu5u_Tm?skH!J2GanQ-3ouo~_=A_2H(8*y)t#)U`p>tAW zU+CnZv(%i0V3i{-baER&FPn3$k{UrLhnair)l?<4NGt3Q7A5$>Z>KP+UW#&5xAj#_ zC+Awx|3W*?baJm^I=LCUa(CDj(|HP-gaoq44b_K*G=Cr#N zXlM-!(&WGig#(5cyyOxvpj>H*omjClyjx`8>9M0)Y0Z`)m<_L`(vT`&GiP2Ox-z^- z(I5g5!A`k18(vJSx2orwtd8}H+3@ao5xOGVtZKo^@CMEVox-(=o@`DVt6AehOEA!| z34~u?cBiQSrv|vc3R;2<`w+F$X3^f2`(*TJbv?9=FOnr)FIKtT524=*!7Ij3;s<2A zpF(t_T@Dq$RqoG&LxTp*yaS&b+%n#)?Hab4+X*&#TvRg&F?C_Mp;J8h*k5NDMt>l2 zmsmee7y{MtWjpPU^JN~B&hcV%@2zMkJ*z)dvYoM<{yc#K#lS)o@$RY~I$P4&l0N_L zXRV#j+5&0JrEjymXTqR0^Ti8uVYpZc^IN2v*~>nlU-%o9^#nK4ABRrbp(Lr@z{ zML}Uso=_{5AqX1pZ>*SeUy+-{RL1tu)PTNX>F`73X_UjUVy+BDhu_ZY*dds6lNc#% z#TlSr+mvI@O-5~IyRo`_tk~oxtv1tl*;Qqz)Mnt3jO8X#5t8iSmNXr-G9o-^z6od~zKW4jMJxs4opW!op@V(#nrZb}Nv9vegafIlNro91@ z@U(ZE|E;3$jqz16`felJ9DSoH11x$Bs(Z58RrGy8uxx^zY<7;mjpqm;Ibh)0WPgsn z4{Jd5XgBv85CslK-{>9Hqyv)KwdCzAEbUQ=e$~2-C9{)d?(3lsTNj_)`ugAaCO-Lh z{x`mfPkMrN`0dVg4xXj^qF!Ah9#^@M=IsC@>QNnXbWrl8>CS1+occJ73 zt563Mn!|5U`|8|KF!l^Xg94a|G-W4LuEv2Db{{mrc0y&s;_d427v%-Hp2G&CF$Ti# zLhr-izq4j@dyL)(lst9#ePffa_Vcp9OxkN%*M~OiK^*>LTSlVe#@+vhX^&6-o&Sw* z`aV%A1=MXaUQ*hq13{Rqybg?4&gFwAMx6}TT^iTUEZGH|(Vp~(-i(9DlK$(-?c(C%JVsQw{92N}b zaQmg_U$}Z8j)#)Ly(WBwIo!T_#{(qnBg=kI()aEj)?ZC=F%!Hv@t{g3_Xzxg|U zI%fDOA+@LIjh>pi51p&<5s-!zI-tzPPamNOYOBTii5(gwXam7(MJmmwmWDEOL5%Dq z2_MwYX=6S@2+~JzK~%QaXf_iz{-s*<5TwR%LA1Fc)P?CstC{ghg&ej&E5`oN9Y<%~{=KC`J78#rA1&}PW*Cf7Y9 z&CYWTq#z!aUZCW~G~dT29EbCB-S#Y>o9mt$TuxiQ&C{|j`SJ(8|IhuvD0x1Hec}xy zFkpl{AHFvtC}^HB@_e*miHaH{&j+|)+kp3|OKMgwsL!eNZ0iZkai(HMq7U<}G(HGH z@voFj9cp%kWF-aBvaH<*AxNd?D?&Sx(i2(B?RKY8o(oRbJD{&mJZ%>s&sSvvzVk+% zkSE`YXZ(0>`OGz+|Ls#~@o$t$fs~%(4EXqrlIQy<#VO?Ze1Kq) zM#=L%Yz1J;3f6ubjhWTYbH6@llgu8378-;nNr?!IkRRp{(W)f0$#=_OG)dBEmo@Ri z7@lk+l#L-d{UN$IdA^#&?n6YLe6PUX)k8Fs=ZCdns0Ua<#HF`uB3aMm`H!AFUsS@b zkmuXxTi(1C9G@zTd-t(LrhVB2mp(VqcH*0$)`!X{D7=|Vf9BGE?zt&t6|1Ib4n(Ug zAMRZGpY^5R^r5egR6h#J2roU1*Da*-5J|!;W8BmFB+dJotCwNC3mWM@1i{KeZ!rss zOJFR;;p7~;g5nXFU<_(qf#IN8Q9N1~MBppV^byndG?uorf`26*tsfdrGWu50sfiB|cP082#X>K^1Th;`%Si5GvJ65~Em7{mJBbjdxdX-6hhVulk8N-9> z==uKamj|R_L~<>_{z|gCLAcQp#K5uaFj#BK+kem13a7{469Wh8Uuo0}REOV)&ve7c z`6E+d@Vy&RN9vM&^bg_h$0wEUOKOBnd{SwUKih{UX1T(kR{7@YkmHru-{K69D|+w; zGEONuOi1Jp7ZL>E9y<`cR`m<4<@rjb3T`J4?Y85Qgl0L8&q_o!-bd*2Q~QBzr{m*% zbL9gbBOc{k`H&YrojH(Pjt4do%AB9xuy_32^u`_G#Af^fDCMTzqBcb| z;}0%_Kll&c{fB<}bAshmZ$|5sgs*{_w@mP7%Tt*>G_k?(z$w`tJ5w97)dPjx9)cY2 zz(J7pirMI;@eaq1Da$qwlYlw5N5&yw>3YTNl1EQQpFgqof#e#Qs?SM5D%@22aeN8q8)2k9-qWe+)&arWK5Px>z5NNSNCAq|$f7AJRez+|UD3 zFpmU250W6=BFWCnOIr;4O?ghIS&a@xe`?)g+VZVYV%_5V*U$Xa*MvgV%DF34#|(iK zNfXZqIE-K3zH0iZ(1OIe1ysv=-2oR-p_Ua_2wp^?qR3h!hMs&I6{-s+3a3>E`NezV zf?!!@A2C!kv{s8Hqe68-R6ni!3lMdCXev|}v`CZ`PM}VOPRor-RH!a!vjo^vgy}zx zpeWQLiK)nvZ9jk(s$H_`r`n^MtE^D4Oj=#BMevi?OnZohr1*RUM!UUmQK)`&pz<81 zwX_;gTC=)6TBss}6Q>{KOCMFes`mQgEdA!17AgJm)k(ECpnlsf=^4=_?ox`YxZUX6 zv?;Dos9%ZtG~K(q*E16hR8gJ`o}fFaZ~ZMkH|CnKJoW9w<@;3gPc7en`UfBRy4T@4 z3H8)Un6oumT?|#&y{f(a@@Rx~Q9%=5S2O4ETnP+ip>K~C4tb?RP<&kzJSl54YR~Xs z+nSu*JQqYhO_DMDE&AM>6~%0t;BJiyuoi$f22PDb%MJDwb?P=s%HVcZ^%#QU>zW`` zo-<41YN|t@r=NN+upXd#NU09>&AU9NjIu*-N$v3ZXjba6K<}R5bI2xQe zTnD8hgNR~azFvuj()ri99I@C*1i@O_{B!Buo=s%LtABmyev*4d@GE55Fi$+CH&^~oz&w=i_~5H{pUBm#^c9)=u= zFh_*^3Y=$|ca@!ItvC5OVgi|QuYz7eF>Ha#a?=J&H?ZHS>0_8VN!*2W zI`Z>`eUz4xJ95!y+j)1k)9&zWJ70d`i(Y#AouFd6%a)7J`h_2V z(+`C0R7a)_6`_$x%MI8yf-6nxM08b!irW+W9{OR*IaCCyfdB(iA^2>wSTTo+M=~3T z;Oc0c!XcPLMNE+<%u}LN>&*zk94hWMXf>3JS^9K~5X_+>hH`QYkc6|wQXGO32zc;` zi9*O-GWgs|BSj!gk#S2Pv?mTL4DJ-k!_qjOVo43AY)vpNA2|UY&m4l-0AG@HPL|kNdPI$NU&*elP_R zyR(-cr9#?dKc+Z5z|U9;!|y`N*SsMQ6fM=hLmiw90AhJD01#wnL#3>rd|UQo3J?kA zYLGDMxUp$R;9%@<5obRJ!;t|iqLl)jV{3b|AHz%3o(r60E0_&5<}a^5!V}N zv=E=l)VZco(BO*>NZF|21F_>EVH6m$%rPU&a`Rhe1C6$!HP?i~SuRgCzNj|P5IArk zHn3GkH2hR+jv7<-q|AFOUR>qFb@L(}KP|ka@VUWE08@Kx+8pSasl&Ut{f)5rw)i%AX(LQR z&%?G zS*%U~Ayt%p&cS?I)iTFhc6~yviU!uh{Ol@@(ECKLNnGHKr)cXsZWyC4TTbAITFJ74=+AUEP~cC_ydxt$@m zA0C59-}=`7;^#g!x-*qI*u8*4xeXR?*h8?KgR)$qD)yB%&KE%hQxXL2fK<`2Ap~h= zT=00<0DZs}x2TASg$+T5RW69>WIBWeft_%7WP){i0WNp|R>O=T2zr|p=>-%)9RbGq z5IbvLk3-W7a6w>Q!PE{f03{}&Y|n?L7vO@xNobY`l&%<1q!^6fbk z;^yVfqTY1$e1CR(j+2o!mxzBE_9n@EgTS+_G;ZLKldjlGGT$N0m0{pZ!upNi!9sG> z2ccme^FQ?a2m*Dx#t`ejodmmeiD44X-?iFOmru6P5_4jb(y zI@fWT8a7T_zAg7K5BIxY|Biq33FAnJiKDXyCt~HeB#IwB6R~osVsIi>4jXSYxf;VG z>u4~Cjr%RK1ro8Y$sbb-=CE;a7*`cG4o>5$!p6gzBcq{+*{-P;)tuj!X$1ur?Kwdd z+!-sLp^C6l!X!$31Fo&Ppb7~AAPX3EaCFp5gn}$cW=2)EDAtD!(~4@_h#7UetFv|I zHhS#QkXaKqU(2R0bSKaTud%j&=gC=b1>NT5CTCgHm^wgGHVBG4v9Ro?3~rQs-W*YK zDAUvL%|n?Uv)P){Zc%I8W&(uE5FmWozxUt%^iPaMlvc7r5Vix#T`Wt44OPstK@cE; zB77)K#fD%NvaEp=(1;R5JO%<(*t`5yS*fv!kB2#&8GkD9 zx5On0*x1A=;yI=$Mo^7Wz`7yhk3FI1Mj~?x_3l!r({9mh@E#3#?{|L1%YXCpV^E|v zoLMK}SMHOEf1`BD)AdAuv$=ca&7D2S=bF2z ziMMIXx2l?nw-0{$A6<{Cnc&gfz)z@NxF_G1)lBecV)Clys^S%{)J);gM4yj(>LFOE znZlz90+RY&SHIeJdm?x=cUzkOOlGpm{4(LAlKRn=E~4Ae|$0Mk64 z>bGQ5QDYBL1PI~ybR#v>su$m$c{E?mJerSQ&HS04`@nCy3RsxFN3A!5QX|Jaq5X4| zgNZd(3igbO&1%NVx5B~HVd2ec=72^%L6P>&l+E4@uvrx3Iii=bQH5E}43afvHFE?{ z1Fjn03>XAjRml8)(Qv1Y4$_7PCotq-K|-N5b1q7FB^76Dt`zvwl{^td z$v4$;s(-ErX6g6J94zW5Yo5?Y@<|<}a~lZrg8bYp+BxWTGO-^el2_G(#F|H5KMo_W z?cF%nubR^ccb7((c8glybk3V!CU5><{>;z);C~vU*R_b@NGvO9pnZJe2$MoF(8sAn z!|N*!&N`vtb-}2>_p~48!unwQAq{U?aUNj;;(%^nVZJ@L=_^zd{Q#wP`&7sS$i?IT zRz5ioRI$0ff2poNcnClgzxI6{9|ig*2qnJ&v&0{|)Z;#zzGhNFW0Vqd9=6iX6hH>J zZs{=}VUbGD`3Q>?JxqHez`3;EDuQHtBCSfrAR^g*mmK7q5+)Xx+^tU>U?HC-}>5o7+O`Ip7R7Ux|I&E5t3>lf}Yn~2-@bT!vP*Pu!G~~GCezR8` zBLFO&5lNtDOh@-7W26N-6l<7(8Irrrj+Hqu`e4p#=REU0Vt!IOIl#`=j5^T zf8kHZr~G|6*Z8{mL<#ue17ui4#vK!H2MB@yjZ3IJustD$3f>NqFJ&?$p!SHy4Tf(B zv^!)N-v;DMZ1(X98f1^E7|tP3@6YWhppkqj6OE8us$w{YK)pY=)BZT$Je(EWQD>1s z3C=K<(;@M3IomZEnbOa(m4aXVe%tM0%O0k3{insYYKVhCb^fxQ4!B=zGa1%)v)EQ- zSX;hp>4wR6+W)xNW-_epZn3Rkm|Kai(+P7s?T_=#_kVj>Y^wzER)X%dKeyBVe4b)c zAFomm)nBV@;@h3WICuB%4S&J+z8wOhfQ9*SJfeks6`xav_8QuM2ORt>q6s9OEzf-H%J zBeBbnSojfd&yMI1nI&fMF7W@JTF!asN05h3P)AWZ`UnH+DA|vCQlE9wZp#uI z)#28sYWkti)k!sf>%-#4s$RM%e=8QRfY{hfO}f}eDAe2Ns0pddcQCK-*914BRRlHYbW@jH~I zHgX?)v$Fgpg1JFmwaliDh38r>@)exo4@_ zZJplLqgbp|W2CeBDR2-=bk}yoV%#Ubx z*Fni~XzCmnJRpm#%ss$_wSwZL70IFTmQ`ov;C#!9kcX6L(zM?<;Iithyv>Bm{#-%x zuN$qtT-C@}b#zKLxg?yo4oNdS2NzSn9zE<$qBl9-eE>E+gnL#YddsKmsA;8DW{|Z z%68acb~omP=GjEjGGa51e^G`r^tGqA9ex0Q-55jPZjwWLCgiDojn$&K3;YNh<@U z($D>-pn}%c9*2Qgqt6q&ixct;^6XGA_@Mz2jklDzB^a5NEr^X>iTyei;9xV%s7f>Vz$#a-Pb;)7! z9EOuFiL4=qc5wJ7GBEAY>7%)1U|A0<WM451tHI*RE^qihm7Q0 zjc00Tm&2}?sx@oY>NCKb2rHf>`LEtFh+^;h51K}`2fuX>P4^qTAc*$2eU&}zP0)D7c zNC%{ukNpuBAufwJhwPvDK7c<0aLaKP(m{EDoNpBv0(o>!oF+kioR0oHp4GgMO+<02 z)S6ykgiYz&>8vtdk|{T>@?>+atr{d;O$KjU6k}opPQxL&jrh2RWYnCg?YM0)#0tmVE%};e`mbMjQ6;ZPWpr|eDLi*94?n; zbUj4&{O(|1Lr#NqA>LkDF zfp!5!GZew0dDc~;e0Tjo>+{uIpTAW+rR*B1;HZbCVg=<~VVvbVDn0uU=;-jB;!{3& zIivWx`9z7?=ejeW3%ThEe~T@6um#UXj|_3k*(9s>GF+2CbZvEGMBB9G`@F6n|98Ll z@BbU)B&*&FhM-a%6+5D#Z2es?yI3S44nuGA|5)N6mpsuYOkAn>5FvU%Ece)6gmh!r_G9#IJB zSlb$?1Qg}&tWYf!N&Nikn8r_3k*p4v7xVqOO?gqZrT4O2lL%D3+r-LQg*N(97k!Kq zfbOnZA`sd}YPp0d&*Nrq1>UL?fY9XWwMV#&Ul-sbNdysmRBtj{h}`{MDfYS9{O+2~ zO~;Mc-qtwI&E}VBHh;s{{hmMkm!rxEs4()b4w=_2WDI=-Jc@%Wwet3rIT$WzRCI*Q z?*SrY{x$^ZV7MT<*Ew39r7@=o4?!9*7u=nYTV}lrWw*|u>0r1ZG{;K2a}GLHA|INX z#s#e$$u=G*l8r;t!EiyVGE#7b!S?mVFl$`|F?~zP?RJ!D!+fx662f2;XvV>%?}&+6m%kPQgXBhdc;EA0QNu$ zzc@OawtQ;p)GUb0Y;aS*~caw%*qb5J%0=DNPzKxkn3}nhqFEjd#-(7hXo--B9jYgNMt>|(3t%zF_|BKM6DVh=- zI$ZQbQ%t250i(b;<64D-8 zS}?0ISyMU%(8#G`zQl{qU%m6~Pe1dn=n0k1k}2cLXuv!+8M#HXt$Q@1L7w~zU-9PG zjge^|wrgsASSHvqwIhM2P1r&dTesGFal+VSF%Ka~TUSuBYe&zGFq2@uBd04f*NK3* zS&_ERSKK4jiQp1~hpkzrI5cgY3!aYPFciTpvWd-#;?EoA7pzMgP2BDGSW~Vz-0C=+yGxt91mGRuN}7PMWd_in z{}DagNfypw-`$0MrlLk}(QFDIjVb*0KlI{9d_z0Yk7fCmJi#6uRJX z!&4(j3YXOaduR$>5KvVzFtB4`WmJT*B9qod&>L!wfS|T3R-jD`sL-+~85r6hvVt^W zv@>$_Jos{F>DV}U18KrhMH1A=ng~yl)!lIls$;1RCdqtzPH;Pr2uB(c@Gy;dlVo*& z)|h)CM_(;1W$u!r{(@Te3&+OAcd!ouFO`3|Iv13xd!goNAKsJF(^EW-YrP2%q9av2 zLz-^KM=u3H_!m-`YDC82_m!zTl6(7-5SxA-k^jmSmLd zSd$Z4E$h6ouM8bh1eJpjf~SMb(LH%vGX$v&To4He5UfHKVeUNUKne9T zg-1eg--b0gPznaRlXJGmiaAgU20Bp8se=%LRiG3Ubl{6upCd^!F=U&_@Hht@{&qsD z)SiSqt&z|n;#_qk>$_u}CkWHbHA+X%x2JTTAWTEBNZ-gMN5h}W!mB|KxQtj(HPF^; ztQ(o~!2ZQZ;$j+!%Ulie$LSG0l^Vyp0=JyI8U8oyd3^Hk{BL~I_lZ(nKOpyWwB!at zR*+yPf@{jKlLlA=AnbW;1OmhfoC|R_6&bDcw&erzVTxiM(UBRaLl(Mq+l5wGhZ+Fk624xW~t;!dvF1YH)=Fsg=Z4a8k?6N7$* zQIdep4a^;axn{FFo~Wor&`=p6Nd4>QI9OK_{p*qgg{4V)O_fWa0YxQH2j~~kp{Cno zbeOWch;pz}S;ujJ*C`xVP5gUPnb?o346Cr2&}9tUNXwmcR;^Kc30=;IKiD%ohcb^Z z{9)QHnnRgKQ}g))zxype@V<|F-Rm$vD?Dk>Bf4J=HfhuySSC4j=M!dUnKLqkClVRB zUF`yE9?@4UP3L1o9eV)s5TSrcV6*L1A9BrScY@`UQ0C|b=kBwFVF3DQTs`L9aH6L6sFXw>BtghsY z8eKJx9Cj!MD@-IO9Of+@BW~gi4|Ui&`Q&R>CKvMz6^hXaS9?@B#d^NSrN_jy8O2gg z0aa&;{uaP^k|rIki+vMB(*wP*d^aAyzGwW#WQ)M% z6o{g)c|1w=fN_nJXN9EDySd~6yvL2f^C;H`)ya=bHznVu&UNkmmjQ1LgfYKUiK) z^BN`7pwn8*CcecqQ%7;#$F~?(>YHzQv&g;{h7ar<<)=kM zz8JS2+S#1Wu;j0>$#!s{^80$;SRgcLCZh&C0?i1_>lU0Z|H4SanD!P164I z-S&3@BbRQXNp%r8Jy?ZB=A@bFh6Bvshwf$^AXWr3SQ+FJiz<>xs6i?Lqb7nOAi01V zD;klK1OqChg32u>pkDg1&bH4q5YrlJc`?9XjdKN$< zZI&XZGrBFP$Hi$`!N`dXH1EDiXP_wTefc}MIaDDbSfw-2mH~hc(iztyaCF}$fl%Re z^kArSW|}n~P%$`|goiAtAE=*0#qsVki+ZJPXh!30*JR~ouQ9lTn=78vJr~E#idbjV z*)b%q#X5_R>JeMFhTh=q?l9zA&3@cPakyOaxZY~dF9BI<_LHP&9DUX%ee|H# zPlhld_?idQHuTZh905~qB-8VIVRI#cj0QD({a8*q9pyH|lSmIy@j1fPg%dE%1lsr; zY4!3DVd?%u#6R9)Dt=@n_yR<_84#&$p@qXT?l8fDsYa5+Av?>%>A5r?0E6*Fd0-?s zoKFtMvrTvy;!LS(fe!&+F%emD(}m$-sN?1?#l!oYlXvGH#&7wr-uoSYFB&=(J>XfM z0$oBO8qp@aNrr}^Q0*&NZ|Z0l>{bU5yHoJw`ZNUTVYncoSA_tBe8&=N{go^a#;OSGP$kLu#t#naY$nzav7uW?J*)URVnK@}*bF5f zgfy286G)Qz?i{vThv+%(AW7!i({X03*l%!v(AHMxS>K<7Cf5L{6FQ1YGT$JuYUm(k zP+bV_uad0p(BAyiZVxO#d-QyZkSpK}I^3dFA0j9z3%^Q$2I{!nZUxSeW=%<16v^Vd zUtd_+n=k)|5;B>EHW+nOV$w6};vDcwDPNvDTP_$n!!>iyFj>^d&aV-koOCqBR z#GCoIO(LTX#GiW>d5NNCVB3Hv@IaiVuHMAHP(kMx*X#|6nD=%kBFtO9EetWB{`i0U zBR~7!34E3=)SA27AJB#I1{}c+n}beFjY#Y_z<}236rs5qP@R&MQPh<-UBiS>^PM&u zV?>A*voZw_p0bZ~*n)EVgkaXC&}Q1BfgTwfArpex+#xxQ0bVps$o{q~R^|?xUc*49 zbbCguGIy{uf=fewsZphtp|^8EHsBTpbWN(~qQDT^sb({^j0}Np+vM{Qth33gD(_Gi zH)>Qic{2IGA({9aC82q*OcN|4I?2K`o-?P@6e(CK=A=!@fO*_tBRc(^x~-Xf+~SQQ4d$6Z=s*sW?-0sC&3`iAZXht~E|HM>CytT3s}{B`}sf#doE|<}E+uFMjjy zdi%RRY8p@K1(*StXi8znSa%R8BWAA?(^O)X!G5OOtU$#K1%V-?hHZ(gcuHX7f!>g@ z167cLk%MA>G{prsaZScyI`jQX4V9Q5dXF|fK^DO4H_pm9bS}Uk7o-t2h)tTAT$387 zyxr5;HD@Yp>TgZ+g#S0^pKZui&gW;cA|7PWeP>2K@gPPloS z=TguXhAnswdp3KaYz6404HCDntaRXl97v7~00fa9rUQEGr+3ONPMzjSY8K`p-9lGc}o0O)WOuFOi3PMWV-%Jj!>D+P{9 zlKz`Zf}WZrC#;!ltsg$Fxw>|C^!@6nscv9yt;Ie`=Gz167lpj)G~|3AC*1-y&qIL- zExUYMLHK5;Ty)`~c%<NM{-II;}FJ{`Hr<+M)dbbzb2hS^zE+MU@X*(gU5h`QOUfN;D5j=SZ z@hQVJN^BjrPy^t!KRB<>N&59l@Ib0n&~Pa^rxWg%pw}51f2(zshqzh*{=bQe)ZhIx zf8+2QLZxby+oHa4wYB{Xb6|RX-#O`s{v^~GpnE}+WwmEdlvrS8DMACoQV=Zr@^*)y z_+BpP>S{lLBhN`k^t>Gr{9(o24khC{-&rLc0bLTs(jCB(*a+sNBl_*G2As=FVCOgr zIM=|+Bu5eF8P&{W>$M^0s#F5+quc$Wvg#Aph*;gw-->RJSVlNSQt~sE4v_6k-|pv7&EF*3IU|`$$9rZnwe``7*m>LU z_{6XLsRgbKZHB=!qw_(i3|(+^J_s+P3u3z2)$>893|$ao(ypElLS^WJhE+rb zW`|sk=s5cdZWfiH3m!3sQ_9H5M(q?V6oOQSE{JTD)_h?CpcScJLy*eQ1u^@L2`(HO zP1voVC_|UDZc(13V|!vrQHCxFFPV)SFuWT~%We)X0M(Er#FvtQB54sjt(otRIrTXD z1{L&n&3t>{2Q#4Hn#dcrlGXjW!B`gR-K1&f_^hgvom=UN1Av~qKMlKdM9@IFd>N;G z-k3CL#ZHP`d>L2dZ}hOsB}sLENh;HLOOh(l%{;z**M)c3zVq>AOv$k^I;Bl_V2;k^ zOY2$FcGfF85&zw{zwkjbM!9&P1eUHAol!+p4&Oo_q_M6?pp<+uWV-;nm;>VgR!#dY zV4jWgFjmYFRR@@d0K)bls%V=ab3_FYulg_hU1uEov0{#>jFAkMv{-x>oR!njP$M@$ z_IhYMh=Ci5p{N;iKG;ZGKrMhBXqF%ZX$K;tHH%wh`f z&lH+^Sxn&@VhaE9Pk-(YeRagsn!k6{MoIKzU^MWD?2+6{|6e5f>v|2rf?C*Ft)zWF z*FtCteZ}a20peLRd|o=Zssmb0TddX3@>2wniBv0X9OYizPNn{R-(mRK%aY8v+%1){SGJkpaH1tu1F&S5DAlL62fX@BG} z&*Gkvf>zoeC83IY30w<+Jw<^`wv%JRw>viV@)SP>o2+!gkH(v^o}W{-S7yS{E!dIy zz=T_$usZJ+wR!0UL3%p~(qH(+Kl%}=yFzb9&lefvl*raDe31c=Nj7%x59AZpgUtTk zowc#qvx;g#_C-cnDk@)OoTegoQrXt@RP%@3sROH(;PE(PwJ>xVL*%v{v zVuYq5`y!*D9oWV2t`1%XAEc?s_h^)+q9&ghrK!mGXcP$~LkaA8fj4-4nhLr+>cU*z z2d&=+O@(fom-PX~R3Vku+6x)?f@Jnw+5zkf=eRA(7?t)1Ar$T1N!|N;{smLkkG|`7KHCKdXxKLHXA?8|7(W6qwP_;ENxIDF1h{QtriiKs zELqmP#y^ZG@Q9*r6E9YZcY}rw1z;j>Z3MI4jd_1qvK6dsV+{N|Y^-M@u1_7O??IC+ z2M3)|dnIdPrY&mZth(a`kP)&GQ%^>g zrQ5I$-yE5K z*fhSD9<2-qqW*Nj17^Tk5fSWr(4Se5`m+e`U^WCdgaJpI>x)B+{&YzrX9aSnL;G2? zWQ7~DY%C-T95t=U*uvKYyq-8HSoQ7BN|;G9-yIB3fWaWXX}2*dk0hCIPX|gO{33b^ zqzkNny1X!cbo99?)_o6N+}AyB#KvK>ksiArQb!zPjauCi$p znN70tqi3`jQD$1J3ho3eznHvqI&}pX7778!`ChlZALnL9=X%}qmT$9q^twO)SHAo& zeQJ2!TB>xbVTDWja}K@7M|q9yE97RVms~Ig%|V5=f>cXIund}m5^td=5)~O({kZGU zq9cfUfGL|p%ai07eSk6zQGc+}m~oEONq*>GdjEQsu?BBE+MG!=D z&~nhmDEevDDOMD#;gZP8sA=1SAg;YWVl|2+>XEQ*v>JfVHfJebw@V^?Vb6hR_y!>} zsX0|k^+EbcJ6f6pZOAG-Tud5pb*CKTyVH4no!h3n)LttsDJPm|4GuM(xmITee0^muq!G2g7jh6 zfhm%vEocQqlN$ekz184y*nwlZ5fn{og2*!KK(aj;C#h2MigNjVMdd!girdwRzE~C% zP3nSLZpTxx+K7V8f}%-X(9Hq|ZMKINP3q@}nQ4;0K>1##4&)U@la>{sL8oenEpMy5ECQ>fRRv<=y-j?ZaoS~3aAUbNn{x~myxAi~)?#Ok-{ORIgcu2$Q) zdY08WHe&%B@G(w#sR@_7ZO)Y(y{Bm&!r{Ia*uY+n2~FJt8_YHY`dUsbKV%5L1kbR9nUUJszMZ;-POvac0|)qlWMZV&sgf0p?1Ib zG`rM+=EkHN&4$D|W|tb=K!CVWZLhZ$=7(T*se$%ZulBHBBfV7n-r1$zuQt|f1@qTB z>6J^3>&PYH{_bE>t0m{FI1DE8)WM@CmUk}0kyLkZmY#j`;WoZmRNf;`A^0w?_OKR& z1{Gf@p7_)%$M_~b&5^04;Rri|s)zFiicbPv4W=-9XvNXlc<6>kB#F&*$%1)1V)RjU z`Hf4#o`egK&T6)9aF|khk}vSEVO9ieW`|bgUObf^4!2--3lU=b+tcHWIhP>mHOVHLoBxo?*d=+g zFki_Y(lUI>{_YQHOknaI{2|3Rmgn+a{2|3!PsKwzGJ#2PeJQzvi_{HQqun1j{S8oQ9Ay)Ga_Z;Q`#RhBCGT#hCWuu zm+d%yJ842xUvXXZYL!hqd>B9p(ri%K>SzhflPsGYR|%ILu;&%O?Qh~d%2GRt1%0#f zS<0-&(k*tyu*M?jEoWEA&0d|(j$4igBdcdQ<{003`QlH$5D0uFC-%tLsEQGt`Cx6% zHxy-RUztPXf`u3z04G54=|hAd&4>#IF*=Gl>THA{Uvn2M6S()Mwb_OcC>8g)LjxKK31mi_zuys^I3IC#;%eJJ)BlHD zB~X6pXFsnTHQLYq5bhv~Rf(7+z1v7|rf-?=tTrpHJHpCr??foxd6R{f{=WO`@0)jv z+El@UslOde{pb9~&-ulln;N@POgyLVDi9%zO}1ZQ;xU(5D|8{4%@@2Bj09$4ciT6} z*?M6(qjJ$4PGp9z?Q!K@pfagW!)a|j@p0(vUEpiN0XfBNZ!vcWCJh8&2|OP$v0!j) zJ)rDeptqh8H^;7EuwZkJwRhoVRywk+XH$6>sM|t-Yf54}|L#evP4ipqaQUEy*wZk> zg~(en*6JJ#jRcglY5p&J3+2=snb@Sncu{g;51R_>>6}KCHitdze9VTuQ|DUV8HSyv zz&+-Pnz;M6Sz*B?gH%o zdexv7br_|UVR3fE**(oVcjd1_V1H{dBW<3c!IUAQ|A1u z#8Pe0rAGsT$UeNL5v0iK!b9`$A}otf?aJ}Z(5EJxb4SAe6&qJ|IVrh)b|~cOOb&(6 zge4CIkou}0h=Xwp7 z!4M1O#>`8k&JE}6?YKrbxBJnr`si;>W5p&^_3CI@B!3@U2)?Uoq?1~GnRP+XQBdh9AYy)FKE4)xBwt=~z zm8u>c3|gz|8%zdO)$|5K@J&D7!YKl(e+mO-dOZc7lKT@xYCM1Kc>i)}ZCm}wI{p>l(& z5JIm50Ex|tVy1mXxb}keKWtWFeeC!ci z5+LT^t!COJ3*QEgE-1`OGT)v3ezQ}&3wzL;AEd)c{OB9D{1F)g9QR#!SmeM&qnsQS z*>09IxeLFUwjn8I1}ASiqbEbBR{) zjEc}W-UasngNWUSpiQx}uaN%BKol@yk+TFVftO$WhO42TELwgt=3K`4S~KNOfN+cw)@oq;ImB(gc;n4?~US0~esw2o>6 zBjqRwJB@BF->4hY6B@Fu<>#T)pRP5864CQ;)~Gm(OU%@svvM4b(&K0mIQ+QMh46wT zP*qQa`aqFhM18WJ#tGe7)%&z9wc4RVTeKKb1n{E;(l(#h^iQ(jS}}A;zhFvl_WK9x zo_*jd&#AYH_n7LH6{g>YGWhfU5UEyNO+|RJUWrsFT=BD6_iVi|EAx_%OLTpI(e=Dr zv_#i;6J7uOzx@CG)%Q&rPgK_wbBQ_BE%=b}o7bo)3|LsS-??+R;TDj*OT4YRgNQcTyU--%}AQ~FlSJuwyf+fG*VfvBweTE=S zwF|;ZqVW-C5Lpf@Y=Wu)~77;$~x}Is|E|T@Y1jhS*qfv*}Tnim7%OgFD#E9 zt!C78rVRTRj*g@-R!hD5dE$#J(trs#(I`vvwd>XPz%FL`={3{yZqZ_<@5W5O>(4&i zeAiUH`UI;BJA``m=G3DZ%oS0z8WLj)L9%Ycxa8VtU9WcPphI7WjOifhkyGoecfk9(~_on+6sE%e?oBI%U$Op0C(zwoXL|-% zJgx~>U=1?mRx%d>jw@V4y+Y~+#Sfof1W+SsnFX3YF@*ZpxvURqm!Z^unAZ5D2e4|s zZ75-|Y+QOZ-?nZt1In`to>lOFm+ZprW*1<{(~AzvjS@?m0o81>ahpwa=Z-y|myW(R z6Y;#|Tbo3ph;RAc_q_F2r;4gYpAPZqRr+*{{VrW*wjr_`65$nQ`m`#j(x+oId6hmL zqshzq6bN9l;X^|sPHi<+)~6k!A4MeL_qX-jN}ul67OsILrxmR9=>ZrhNl+tP6H@rl zeN9od1M{6qq8GKT=t>A!H1BN?@7=HFRrLsRJXN8leM>_4N%!&_jinmVzAZFLpiZfC zQsdqPjcVd9HZz@tWolbJC9FvYyz5p^!EOb3jN_rs;f@ffSi(|C-X?KBq_-W~7gu+m z!l-%^mlj>3_ojUpXw?F(di>6p1UOFUI56L|(1s!}4q1iM>qE!6gW$z=UqO+VeFb|Q zxc0}F2_Jrsxa2SUx*z|aC%pi$-6`Yyfo-pii=VxK1Yid6xDl+p0E`nvk`>|C08eky z3uuKZs4gA)lI>>p0uBh{U^cPh0HJU80>DhC6u3vw9JHXN>;)LlJyXl;9yee10&EN! z!CG_&>VU5D0*;uHZXO6y!mT75m)y34`9jBrAr9+Bla&{+KkOL%A*RDkY9Zc21yR<7 z>CmI2cdNaPQiyX&8$iKW7IAWQo|FE9TK0a=vYP$|xzz-4H_pcDL56F?JXZcMg1h*X zzc1$&UpJp9kp?FWl!F(#s9U6q5<;lO3urr=-W1(Qrgcys)r)PMojJTeCK*cDztAKP7f0VCM%>;;TM zwkt27+tFmh3mAZGS6+Y)#ikd~hio@qzzAl$%B^D3(Fka}%B_xqw)5Q?2W>aENBxCs zfVS_`3!oX+<8?VDJ3KFLj)k8i6Rv=H0q)r^UVy~%N4U-G>$})KxZMus#S0khZ(h8B z7he@)m|MiQfr6pq#R2|;=RMX-=PqFk&sh7c4lz$Jt15v-Y-hj7Ws7`s@!GMUig>dU(t z!5Zc+KFu+cb7blj$DvG{i*-i!Fr{9>jg|W{<>1>094~Q2H`q(s^Fc~UYaw_JQ^x-2 zYDO8bQMmi*fZUG#d;HIUHjQJikZR2P<9rDKa8rtQ=d0wX1#zTH2V!F9+yr@sjkkzB9fxuo3hFXe7}X5tnt!S>w%nSRS}d(YSZ=!d`M zEf1c1HZr~~kZJbBJHV4TQ;jinf2LX$&7t0|3G&I5`IPjs!*9~X(17|8rsLVC;%b!nvN8nOfCmJL`3}gqCS4|8gXcVhr02K?`Cgq^6MhqyEbRd(BcUQ!OUmSkB8j#L zq}K2fZ_&olN`~H9U4V>G`yD{bi(%Q#nkmP0!2yekM+9=Gt_r>N1?lthql0nRGDka- z|21nSt#koOANFG+y|#lEX(iKRe_`DyP$EL3*sPfzdkm=FQ!hbsMZMEV=6kf?9F@QT z^hZYGZa)G3KSuJEdfWxCH`FZF#ORpb^-QYi;;=q3XNO<3-}NeYGLg4jCC~)oJb^hI zpijUs-8i~cLFMR1p6us2??uL7XRpYWY39wKXnFJSx4{>ggQ2ZKfgimi0Uzy6@9;$)86}O`y)bLxb#F15xI(^QHge_lZx0-OoOak; zY%AI$2(&w7H-CHL=;O3MMk`lPAqdp_b36QcOeWspaVcQ}CMTaY$`}KO@f^nf7_E$H z#R+Nzf$I3O9mkhp$FaHF$vES3I=lVFu40ke@y$+A(+=l*$j9Ga?5Z#e0__g@_jfp7 z_zH2r!$pVh@nQjqyuP^lJI$bI*23gFbkOEj*m10R@}5)@2h*bs4w%5Q}xWi288! zU{%yt#yXco+7(lyi=gvDOv#l6`zRWP-W2*q2984d*gM8myOzu-chzLz7;Pgt0n6z$ ze1TU}U5r3>c%l;B#;Pg(n0YLVq+hn2%Ut2y;n~U$n0;3m!+Bw1?o{rOD&?g~NGU-bE3^@pZYs7U=u*%KaDFPWG!FetQc z$%xDp>dsh`JVmH9kDg0Bpr|K3t4N{Rh|UlcRco2iJ&r7q7<{>v0+2$GK9sN60rDh% z89Y+7chH9l!77D%f@?&_Xpf!}b+$rKl(rw*2j=V%XlZ{;2#R%c!PN$%$w_|!25NOk zL$FPu!ebT5?Xf<|NwC*s2-)*zpz$?qGCy*E-BQ0scd0W?pxNHSK56T z+B#Q;VO%RLa2EZd*Io;$d&+Vr+w7J(&Y?QfzIo;jW}NjSRBq88PYG)IM_>4rU;3O6 zD=Ac%o^JIvPoe78iWAz%$_O_eJW85`u&<0|$^|hxfy2}wxT9gFtqVcA+Ai2(K!Nlw zoz@$fJCFrwW?c}ph%j*s8IkbR6l$zUGwXtwI1b8Vi7oe*st7@vSrC0teGC|i|(*yiXOr3j@hgFWszd4uL**1 zI;D8P)~93du}Kg21%g$5UC0^E^UTkzq)L6wZVmi_uDKSExROkdcce8jb;RbA#4nOm zsjmqyTnCh(Gr%Zhl4N?k@!JL1h{qchd;a!(baY3R$NK{BzXJEVHLgM{Ngv;jj-mq@ z+L0YO9W1ryN1yaz)qkCO5s+r{#^}Kpp_jUg7l9eNlFEBB=S9FC{vz;W^CI9-{vz<> zjbDV36kd;mL^wrKMyNMu5S8_ug5zMqDg~#sACbb5?MUGuVY|&KIHZrkh?EW}8Qqlg zlI^sAn{xz_NR>j899!O>k5Sqm=bKY#Tcq^HbCz|^2^Xwd4jIUt5ky9DJfn$}AySr+ zfEq=&yN8usV~cJDIx`6w z&>`1)LCL(+3rA?e*y02>^~PPwu?0dHvcp0U!{IcK=6-;pAZ?4|xar_CtO5C5vtrUJ zaJx#hvb6$qteD&{kjDa|Nv8^K8aVQ5myRjCa%knTqgDlzT_c#BFBF~0u_xxo`!(Xw zRcrzJKJAD+p;V*5$|RYbFZ^!wiprXxy47S~l1$DQ#^|6zEKVY-&pgfSrey~i304f} z*2o4W)=F~H`5H?gs;i$Wsp_c>L?I;xiJB=Yra2;r;|x?ty?#ok%XiX)W0uTS5rhl! z-yxa!8zuU9%$!VII1z526Tu(rmJ{K+BnY-%*!C&|6n1@m@kZpTD%ZeDO2xaV&a|Ja z;=ZN4XKAal?`S>g>Pp@lGMsJREn+_IjkracLwxf#K77a@`S8E?%7>P8qVoK?$v4(N zCI?|mWO^$QK4L5ED@!N3;OLlFntT@=9rMcI!UaztjE9-x;Mi`b$-g+XRPAiwDERcz z*pIOBh~x{cX(n5hs+~_J1-UJZDZ3hxb7=&nYUhHdHG!5CWCF5YQL1((I6631t9B-d zj32puk(C;l99yyBc4MPs1(E4j2pLR;yJ6C5Z(^>Pe3wM?DnV>S_h@r!zC@A}b4jFb z31Y)f$9cBbNOEE>*{zvi){7)gOtogdL8#A;%%jbBXnY=RzD48nX!AWnDvZA!zo<8l z7H~HS&;7O|6K3~_{2TRcSK1-J?~0lwS{Rq3lALs*)KjtgEAXXsH`G(ITI?_wtw7NV z5G`P6p3<${DLqaPM+)aDT%Gu2{?mX%rRU~Uf3k=D$?>RQ@pbP?mpM2-4lKUSAujP< z{K;G`uiLs6^MAb?Bl07f;7a)wVY5s5jZMp<3;L!ClLmn*AtT$7kRh>cl@LRc;$9PC zINvG*g#;6#p1^b=!&RNXY^U?D^J98>A_Jr8G<}L6Jj52btxC$J*~GpGW+LHIrBuX6 zDS>Tvr(A(Ap4UR^o1t9uYwd4#&ujCEzqJ1R4+_sqAV6))DRi1r@FE3Zj7S?AWf_x z3(}I9)9TQoXI&888*r!~csyC2Cw@%EOP$s$Q1tT9M<||Z#o2~c%nL0``Jnb{lT2-$ zln8@Vc5#Eoe|2{xBJ4x1;Hbg#>QAoyG>Z0GyQY2zj#Gu zkato5l8DU;PwZV%VC`UTXviuWkmj|lG59zo715H}o;#TPrewA_->h*FuA+54{b0dg zr1O{UbpCZTp!z*D9*XDa)H|Eq+n&}5mBcjV8ErL2Y6oR|0 zS>8A_Ew2k6(3>h~Quc|qzgjG>OCI5mNVtN&SL*}uC1=~yWD1-4o#`6~l#K=tYIol# zdm1*DR<~cpgv-0ML>NIEqJvv!l{w#-+M~J{)2BCEHt!ZK#`JEOW4`VizWgu!h8TgR zc_R;FJqdOKE(p;Vn+7`rXh(u6XUl@g4UKkfpIy$DA=ES`Hw<&s=rmT8I;G{dtX~n1 zG~m%1orYk}mLZa~a|FdqE10uoAeLdp3K(+GX{a5K6?3-CVsga0$n9yo8-h{;vvWKF zLJm95wA!Y3j#{U5$^D8cJxG#oP7HZ0sre+6L>S9f3Ix2&AyksH&8~)j5yt9v)WLLH z6L(_TJeK6YVe68Oep(H0h7T=K?CnRfTEr4MxAYdvd@IDbUgDaObYu89GwIfgaAz!k z!<^nP{?h9Ay%x$)EmJ?TaL#JbH@D7P?IAIpcU7$B1`_7SaYZStuN%rqHtE9FiWZpYI0;@6MxY?uifq@o-tSv*CB$+<8P2@VAvl@_)_5#&3T7|P3Hmi5^e0K_GHS7rjU`t_u;gI91qSJ#%@U4#gAm>k2 zXa@iSs`d}*kIDH(zU;BTw7#WH@nRysC|Hbp3yJ1xUwdmNBCgh*XGP^d{Hfpn2fsTy za1{yV+ShSW6=aUDZN^23D{3I37$Co2OS&ctiV-tGo5=&`7HnbEm03_+Q4>U`D#{+r z6m3@0R+k0E6*WP$pOS3?h7ps@+ZE*|`iki26Ib-mb=J;kztcK!{a0hEkk)8`vXUfB zKD4-^erQxjkSSsvZzq~E3#uz>lK8a>8$(V>v~MLT#XCRC9nkUoIT*|?-2s)P0A^eg z-I*{u_~9J_h2~OLkh$ZIm^w=CfK#m`XX@0_qNpm541z_8+Mg~rsxzOkTd{oUPOb~N zLRGTBq*4n_pdZ21s)#iI=ZpHk&DYhc2I%rt4{5*v&cQ)letP)2S&YY+=-5rqH#%WY zY>US8jkeI<1yBLV1yXW@7L)3xpkp^Z;TOj>QkL>6YzdOA-x*co`bbnO_ho{CIULa9#-O6~{+h3G1hZmf9 zC0FUV0c&9Mi?j}8M?Y+UdDORCyK3_5Ae4o6+MxfE*BcKx1|Ij;%=f7LA~3E>++3}h z@6ln$HW|J+CrtjT&U4<2gBDtAa+fCzo9&B(Hd=de@a0u6&SZx#pHe@V7Msk(5+kyw9M9k zMs9~su@wMj+8?OIipv}V_5R$B{TqCzcJ#(_+Q6HxU&}ez>(E6TYUQF$kObbiLswV? z(+LadUOHiEs!casw&VBjaK4CBii?PjU-Z@H{l(r#%^W-A>xT=LH}&x;7sn&IiyOfz zc5wvl5%uo&$0R-V42tDoB&5dz5LfF3<=CZL9T`0UGLMvZ`(v8e1hgtBDFIJJj=B#mZ6ayNMf% zuFOT$faS(Xt0vev8t#{9P@boyFQ!tR2NG-9$HP?Y{uZHMlkAg_i$wdL z!szSNlkgJ2KZL|m-a#@K)eec>vPZ)H4n3%vuiZIplYt9R?skB3 zKk^&@`A>W`fO6Up?oNrdhqLVg#VJ@bd!6|z3isj4AnHYMcOYa8f?$5r(n<(2nc;$a zJN3+dwXsRw3M9a)tRzz!! zbv1_|by5*j+BGs2f~rugXb93Nalw+P#G@=lyo&gP{jDyE3=@AgP7*bKbzfphaY|eg z1SKSE#=${ps1AfnP8#M&t3+L2j3B@0f8bwZ7f*GvlQkLEp{oAXhIObLM-x4ThwbJp zY_2c{x^kBK{&`g_@m<6C-V#dP9@q%|2Q@n*_ywiDdaw|H^ajk*=o{C+enf=lZ+-11 z^ixCJ;c4d+{@#!L(r^5~r?DiCQipTjf)FxVp`g@(K@d9AjQpVSb+AGJ>p&F0pwz*L zp~ly~f;p%0bwNbXtY#uCNAsvQl7%3RuL~An9gMVL`a+P#*98laDyGKuW+c)07QyX` zbtXhK7{JtmPBNARHrHk;ojU7^i$m8~5`g$+NpPo~+6yVh*AIT|0Qi?I!$~s&`ASw; z5`2$J5c3fda%bF!k>dKA9l25D2N_37wPtmDd@wEUqRnYYzbN0I!wNt}S#z~BcPmNe z8-$@?jPr3hS7^KwH_9a^-3bk*b3w@CeEr5^d@l%jIY__EvA+GqsVTCjAzziDO}&v# zF|cWvjLcma|98kU@$dZKA({9arTXCgj3I0$v?>rK#k*RLO!01uG(jDyc+{K~G|@?R zW26ZJ@~V>!NRz6Jqimk(g!ur4Q@{iP-p^9TAQ4Da#*l((YJ@**9h2y zE{lhsvB7i51n)NMHZ3`fVmYjV=&Q4Rse+s`!4fOgf;kh6nR8@EB#LD#?2$Rs$fu&5 zW8`g*9SuYl%z4Jh+XBeg=9VQfWa-d7Yu1tuJ@g?tITIXNTTJh6SFAF@k+sDj!S+Jd znc$MO1=O+qIaMYY&}_CZ!!iP2FrEnp;gc5Qu}7T)zk%kZdQzQvHK&5gJGRZzBudD&$}IB()*!+%}3Ng>`j7aS$6%_*)C&e}dNGTL zzux5!@pkLphB)T;_6K+V-dH~_A&yTM8GZ|Mu&&B9YDvN=Y6%eF1y)rWtpZl^*@?o7 z3Bs3fqF_`&FOa=PvBPP9Zl^c7rBm3QNvDAGt>DhUTUS*Y@zRnL*LZET!0Bv+yU+E? zLtHChu>(Ca!;zvo@aOwn&2djdjd+R$VX_d#TJ!Q?DzDYPOOfVwiZs9V`~T)2|LC)b zVp}&i#|srvY>N)a@xt|pV%rsSyimA~fElQX(87c9<~Ff|x0%;SFWJU}WKmXv<*Ry>$+w`4mU9D)C*O?jo=-+As? z1#WvBg)JoX3b?k}#HEEgJKs5)7x(nSs>L~a>GBgYW_lkWPqmu{I`xlKISs7Q<_TNKxug&OJ^-3w?=mLUd#~_U<5MECO@1kmQoHQVU|^z`3rr zuGdx+cu^IsiJtUtxo&g~+nkdY1BnY+agIz_drFVzFNGF1%n;nTiL61}1`Xv=)oQfU zF6>s@2HX^N7l{r+cP!MjC^k`t5(}cYpD>PlE6~LkVjm74eKR zt22}61;LoDIC_jK0ltr=s8~_rJC_7J zf*sX7_G(i@>kwh_L3BwBTVrPc5_~qaBVQnSMqP5hLhX(9^%MT0a0UBndaiI$LX}R` zjq_{kp_XQAvN_sLPsd^t?qGlLe69oL<)HH2nR6yoCnl96Dln-Wjc7wd}DYTx?<>IiriOMT6v2 zAEtP6ra99ir-mg!`JXKFK;x(M4CvzPkP~{2-rUT?V#LfkR$L@axNC$2Z*eAE5XW9<5tcJ%8FgT zT&IqJh{?jZSF^BUw_a%0x)$mg3^UrRM{#cJfcYU$+#z{Q&YZ_>JfUWj5-Di&B&q+q zbnrdDbnvYdWL|p9`zZ6JILyFz$$!4%QNug%`0`yBo?ouNn$zd8_I40oVlYL$UNL#l z{oa4$JHIKwqzZac995)-JEo(qKEnZo14S=Su z$X32ukgkeMvebE_qn3nIa~PUomC*2T5_*CBcqhtpRIfIjUbY=@O_(y#>Jkh-09~ z7^uhgl2vo*7{eO{)|uMQRH_*( zTFV&7m$ir$CnQqCApwWgl`Y*bk5?5`ywpgj3T-NVx%1RaE$v2#LJfJ_b#%;cq zP2JbfFvTW3*?aETdk8}K65r)j`FUvN_!}isI5(ijTjZ<|v}8Rlsf?t@g|Q{+ar94} zQ^RTrT~H!BE5FPBs$4^(T{sJS1H+Z=5V1E^4RNC1OEL^$mJ}Eq`DM_(91QFtdOW?{ z(BtXbJMY(8a?kgddp7lRrY&4@&$p9%e(_WO$6_tJp;2RPEL-*`j@=rFJPM-S zuRV0-Pn=GSTPfHfy<1z=q;fcJPkCZMW!$6x&;A6Ur5YH)i;`SvzC4vbaey7yo@um$ zkNXpAjxnG`--E=hI?G8rT}+!=NwdvO0=5Y8ga4<-I;ULiFMZpoUwBNF>}+Q?_{orU z4(l49{NVm?d^7ZkQn1P%U3qfV|6S&ZK2NXUoT{;4#gkQ*B7|FIboQke9A+3LW_cNr z+}Pt{!vo-0p^kHO*Na_7+>P+@<(K%@+s9KP7(bev_S86*~;~xU)>y$yTi+lj&L;+_7!4i zzP2t18c?!~QY-i*wGia{?t)-4gSBCLbF(qC6N0qME{Kj2)*O*AJ#AKM$wH8J*#*Jg z20|DLf;hevq+NDFgv|(?acCBiX_qtFigwur%^QK>;ecON3ugQlpjPS^ei_Ciry zwtkTznV#&6J*fEvM+iSsdZ#PkU3#=Hy2D!M<8tVW)&kO+>Dj&jyRW8)Fvf08 z^PF^L)Ct&?w7G<4^{iU-kHZfxYeGKVz@N=q8vMt}> zbUHd#BJF^w3s^sn4}o@veEiecd1OpQpI5>q3P>P(Cv*u=H=s?0+yh4~c`MsTqm)I^CZD1lS+)tiG=E^QeGQT*(n zWukLlBE=%;PWKgZvsY)mX3pwajy0X%@zsCmw|?1&7d8>aKDe)ENC;djng0mxrh&m? z^4=(9f}R_k9n$@8p~S@~*&1*PwHRBQTf9@Z8>Zoj+nY3+BAC=81=KXq56HYS^YPzinXQGAlvQNw{ z6Wd{u82HRU1aI1UYu;Zx#aab1$?*wi>46`ga3BJuwG=*R@4A_+SWzq>bV5FQA$x*h$r-fC+ME|>YUY0dP& zU!Ws~S^>VqdM#zytQFK!_>~`>nwIa;_|!Cd=zgB%Dh*Ce%lGIeQ`71f6JBP1F|p~D z*79QFZ|6--%P%EA%bNy&wR$nRonK74yHDhOHgWfUBZP}jE|U2Nut0t>vA*~D`0=kC zjWOa2x2`EXN6B^&g9WGc!L#rcE7t}C%J@bUNDY0_$`_iNV0Pfdva~-Yn2kD*;vOYm z>Qwt;bVe&*yd7C4+p#}=QR3{Kh5!@2&hkYY*SvL2b--D6Z5!7d4jg>PIR0*Zv8zhK z;{IjlnW{6LKh8J%@|<8+wOZ-WvGC<(JNEBZoHLe=f8dz0bixp*Zn$ix{c*ne{_ilR ztcqni@dV=dvK{-&fVkMy$E&!f@T_rg-+b=bF6qt(53z-ZvpB!l+`(^=PEw@Qu~di7 z?r?pvSeJ9`lt`;TtPeKZTfLSqTzux@Tn_=5xpd*T(hVe@%f9Y!U$tvVpL18CO&HCa z6Bk=frv}E3?2yY*)g24*yBZ+94>ejtj0Z{#p1I_A5hng`jw&CJ2-tsP?J4_Dv8Nl-x77%&ydnI&0E_$7_c>s3-9F~7?lTDQ5bvl0< z=(H*J)=lO^Tr5DG)hG%WOup796IJN+Elg&;Sy{lbL0-CAq-+KW2x)^d+4g-EI4c|a zfyxnSifQ5&wK(bfxS~HkU zHGx$KI@#L00u-jUZhXPr5{&}G`RcnMP$dL+4IBT;RF46}Yg1j?!uno9Hq{8k$$m^E z^#(C_{bRDJMu`zug;`aMwrT|{Q$30duiC=Kq2bIilq)m}4X^Ib)zEOmJo%dN4&WE@ z7s0itLFACk_lJXIq(;=gke%4b^ik#=EYj30Epk49+qE#t5VEU;{ponX9ZKpvDHd@uxa9gQ>mR$S;{c9co zT8w;wy3d$0t_MMq!9JW@6yQPge~s_bY*I2M8N6WN|DtfvX7U$45J?+EsiZ;fw$#*8 z-tr^^I@Zz~kKzEXZi1SkOaTyal-K803+$o4B5Dli=u$0s>B3vlO8s|$Yf9?p+SulJ zfB~tqh9=CrMN73{ewOGQ(y+hy1E2Ayes*eT0vI(>7l%d1JVEI%iJEd^aSHYejUOh*qv@e%waG0n<~^JoK7 z#+M|SiluN3tTCQ*Dr(7Fv(joub#AO!zY*)GHvFlh>2h=I|1OQ>x7$cAt>PKiHrMdl zV|}jSov}PEfxq<=%eO{@(4lw!-4{OVuLnz_!h^4F+dxW{S|>x5rIeyb<qS%Q52pDc0djZ>imF-+uA1^D~iH1L5%LE9}f&7TK3xB2~l`{ z=pzRvh~OF#O8sL*;rXGrJIs@y9^>6>1V!Qbp?7PfT4hDxmKs4-crJ-xM30S=Z7-xk zYg}@TaSf97#ON7juk!_x@~B+~G$dl;j!2$X{ULVw?(D&WteI5Om9=Z;+tXnxkY$l~ zQfsb`Ufmy<4ZoUFoUJ6Q8>A{6HwZ(|wJX!_kB#Ggba)q>P;E{N9LI z$>-K<*QnLOhB=Ca7@{zvt^r&ala7&ywzv_@VbW;emBXZf1q=jUIZQf$ zgjPbi!>NMbV!oRdSTV)6r^K*I z8(!Wjd3<%TK(*#Thl;9RPd#0`6v}hR|LL;BsU|&_p+ab*^P5eszd%l_0%~`$&fKSW z;ai@cVX~)x$uPa^l`nl=VTmJ@O>f8;gn74U$uQjwsPrS>^A&&ky~za-oTQhj91CeY z5U9_s$=pu&JFt-A0vNn9c$`2*t{!~|ij8qWR5b)T1;`|Vg?dHCF@9)tYLILfWvUY@ zi;W=T7#BQtdy;ShRJsOis}U3zzz!XtQY@{-nm9*s0sI_~TQbR_`UGYTO+|&ExBw=I z+?V!tf+VB&W7GvON#J6wpaoKo7IdpFfUgN2c`ZKewl=@X4?byx)u>J9c+@an15{e9 z{1cx=RFXOoAYJ0SY=-%{v7)j$M<({8^tj;#OH;*~1iMrLfh3idavY>p%0!d!uh7nr zX_n;a3FJ^)8_J|P@>6V-RSiwtqQxlRjZuE_W8e4QKN3dysikPL`|Cp%znVVk(i$;DA}rkq^lDM-W%d~}bSDJK`vW1?e`jzBB7m6x1cJfdS$ zB4hAf^t&ucjaPm)M#cz48sN>|OvS3~gCs6dH~{kH#$fvv^IjY`ahB{9c-J zL1QeVd>_9PJ*j}pyt(Nbzx-3b>B~Ph&=@*?%hTmHj0+m@z;O)dT>vmaZG?Sgkj^3q zmS72i87gZ+HUw#wT@Z}(hotJWf$Co{z$5bK$G_7`_U}BAZEWQp+X19q&6IZLYqF!8Imt#HXDUG9=d`fF1s67bgAjhEARNBPm9P!(Sx2&G%O)X zXV#b39k-UMv#%P|JKlSM#hBCEuDTY1O%>@Y8paEPz|ps$ZeDU1EU7wX3spPEp{XKW z5F{-|3%}l&E)7Ad$Rc<^0$UK>pr6&go)DypbU_fn5dudr`v#r&OnHVNRiq0ZHaoQQ zh#<6OBPc4;CBZMRGt7w*uO%ndnW~e1lCUVS@wj+JG(W}p5b#<*b;5r zU$imr7PV$z!G7Nk_WKjR=MTN~BN1(AbnelH#p*~z%|sY>8T;Mhs&f)>6pBbwS`NMH zSRNzETpx;s0qgC-Y%VL|p1T zx#Z2912ytj^pUxp=D%T~I4kTR{Q!}=Y&yNzK6sw=3g;lb$?1j`jo2TBzH0iMP{8FM%r`zc z34E{9Cg0vPu1&}f{AqlMEkK97ir8+lx$HXJ2e$mV4#wOVY2Na!ab%42vwz@gf8?)D z#Yjh>DWMUDLmzrz9s`WF5LYo0cvYmt(jAVgHkisW(rB-7j*&)Nh;xjDTYGg2agLEj zTZnUvG}=O3#YlTTR~nyf(NJ55T~gigD`|7P(C<4C@`)2%Bu_&74M`;X+L%uiyGtU= zb)zm`(S9l6q}xrKj;hEj$AswDJI@p4piP&DDO!gI5PYi~jZ zZ__yw2Cub9OSpDB;o4_^=YRBbzbV2s#W;E9(-2Rn3GHDo#HvGMi7|3iU(3$v12I8; z(V`h?)qO?S9|9+sfq|djtVpZwf`BNJ0|h^U1PVIPyXDgbqCWcen88Up7R^3;O6zLHI-J3&;Rd-2{ZG&ioIntA- zXXDrvkaE9Abx0)l$da~elJCRUJb|`EB~k5CtL|&wO~9mvuuiNtG1DBItM>g~W^#B$ z)c(5^hMp&k^NlYI*#kRa19}*axk1mom|WE9j6E^zYd$YXNxX1jrWZ zJ?m!M^%HiF)*YFZ8TIM?vc$=xIBtL_K=T6||%F%dRn@ zr&&?dqYHLmSzxjGh$8z~s~Hr$g5 z1M1lAk5Tn#lKaC6b$y;B?pS+$R6Uxc@e>d+y|&u9-3_mu`^`a- zq!=*&?HXf)g=~k}5!ITr6@JQW8S^Jqwrci<^;7k9rdSHm9F(Oc=T_1x6JQ%kxzN~? zw6-<9sq`TeG#oB9bthk4;;Uv6o_3!(FUM?62&NGC6tf|n1-qLd?M=+YoXpWAoM*vQ1pN<0rb~F*(}k$6??VlxQzX>#_v>xP`KnBa-jVt#rp* z0nS~P0&@aFsNcn(T^5@lK}Q5TSI@?zBSK@GtojEc{rEuAc%dIZ&w-KpWjG+np~F8* z4oHeu;BnyU0=(u92+7G$iv#jz916KOAeZlW^v=hZk5Z@R#*P-5m%PWu|yT;?}B(ze*|ZJomiyS&y+| zol>?5(IAvDe*O@wQ_A34mXz{-FQZp-0=P;kZ#a4c`GEau)$5NJ9n?!HBgfEYbwzoZ zAXu;s$2%~+s!Ay%na%tLQp!ktw@cP3WlY~xMr;6Zdui$_rCf$c?T}xouKA>4*TDP= zfUbVo$KYtletRipJY!y%kA!a`IY3t={}LIb!X)J!_>}1!>bB zImdoFZqT_Sd3*L8);}gi70+1F05R}X6-0f6IaLtthh)V9u}pL9stU44iAHCNVR|*~ zbiU*Q{qmq5oIqBw=r*UiW`OWN-yQ3nM8yIHi=Jf8_;6CTAoD<*2!ihB=($P-yctNO zrCzcD__~p-ZV;XbDbAyY-{UV71{?oHXg|g2v8sf*MDSdf+F?Yq?q)RS@0n5NqpsqY7dlvX2uhP5UoP6@(9HF8jy}f4wRQ>l{m( z;qmKBn!zK5rOt6Xb&gN}f?xRifA53e@|FkBJu6IO5;?bNhJt1QXI?MhuF?#c_<3cl zpVJJ13L6OFQ)~h@17znVFy8@r0Hc?)2RY4fMDMT1<;Hm)`G}lmIALt5u85*d9hTR~ zH4M?_yg*U_ZX58}dA5O41b&-2Uw9z)He|5P+{kLQSu$L9k zSsI@bN z9ap!D@_4feF9V&p=i7CA_|LWLGg9|7H2!W)EZ^EBBD;UrSA54;{#vS13b@wwsVA9E zm@y02oam=Da$R-eDre0ir#XP9%1N^~6-)}F!471Qz~RP=6o=`A`W^Z1E8u* zrMQre0pD+Sime_R_#stFlN=fN(d0D8Ax-)A+yv63iqxo5btCC%)sszDk!s!lQ-Q3f z?Jw2=`(!TTnlI@8M(!^@>FEs(5YdA=mO8A<<>BR~W}5iS2cS%Clp|3~j#4DDjVK3s zvZ{5T3I}1&;Y85>cJ<&{MHg2)aXQQ99a(mCy3{X}p=OFyK;I-+8=s7`~NbQ3X1AqV5B0Liux_{C$6R9S*rx z!rQWb4D1`=nUTDl;pj(sW+d_Bf|{V>tU%1_7OfXWc*&c(;26&gZ=>z@%qY)HF6-}4 zpj#6Mc6GAh=y7PN<@%w~fhi6-_&LFeS0f5?_W(T1PF&4B2M0HTnwPUB$wL8UkIe24 ziOW_}@^UVz6A&ob$*kfGXHcx6Numfv^Z_MTIE{RolUg*iU*E}%@0u){s>5^rTu+br zzoKHB|MTzs-{x!C^zZ!NA({9arTQcUS$8f)j$15p*rx;a9t};$_E%S4?T#5|Nhj!N@+zH>Ys6Skaa$lk7F2U3N!@9uA_QUrE<>F}IA|)# zTqEWlim4tLC?_~=UlTS!sl*O|p!bq4_3c3uzojUe*>jWnSXHa(;weH;>Ne4IeTB@g zT;!Teun;h|5IOeGD^JNUog3R}F|+xKekt)jdj#qJL& zW;*Ij3$_5GZwDCt!TX2Js3NGJiI|CrIC1XN)zh*0+Gp#)T6 zE;u@rKvbAZj!z|EAX6j}ov2#eZV~%X(b`w|Ir5CUBq*-fy9))nTUoj&Nz%Z$B$8UJ z%0hXg14lD&Ns<<(NTM_Wn?*?<4U;5kVu~b2(Wyb$FpzA{lQzaBkDHwaM`+)bX4~o) z(a5+YhG&B=n!jjE66a>ke2dWT!#*9J=h_ThI?sHM?t0FG+KjLvqN3%G!)4)6;Ysq` zQn0Rn7sSr`bLNgcfDH)pVXhCXseP>*iV0XKqTzDK*w~eAJ7p&KxDw zOa*N|OJNnZGHoaAej2=4_@8xId4>4qFbg8Z}ssC{K+Q!u*E!vfv_`BJp^nx9!Le#sYp0oy8f27+6+3R-1+ImYo{PeO-&bvj6 z5x*NF{!JhJMc?&bMEz2W$!^3Q{xUikKBJgMDKTioeZ|8VlAcD~1xL9vDiqTg-9&|A z8k?g|BkqSDC7YlTcfp%-O{j?r3}#9PTbGgAHk;m??bv|P8u>ace6PdO9oFOj0^Wo7 z85giL0F#%g>JCznYh+(ZyhPxccMQWf0)awGw?d#wx5{>;TXiIG+FvH<=KZC{oes$D zw0}d?NPJS2E^hmuWIOg}zf|scMtjg%9oORF#d3OiJY5XqnGkU3AHvlIoKGOanEnJ| zv|gQ`Ot$CyT;n+xdO2_TR`HWg;v4?+cm4EbL>^lD^opewLg7)1!;Bve^3oQkP=PMs zCJS8xc;~JE(AI$aPo?E69zX#rk;i@umN{|OLr@++17#l$>mwo$VkvOT8o>;@u(Bb~ zkuwMxC&Y^KKswADg9HWC*Z~<*10QNOx+CD=5_w=~9;p256{)oR$Dqp;0SB{vpo?ws z?KS8k2RMEiW6~{pEyu%%qxyoIF*XceaX z4x5z>k;#V^!)t=a6Pvo&903L-<_5E%s7_yTy+iI0W)cJ1VAE?sMhnhf1$#>pd-!;0 zYSUa={1`F3e(25mC=DENPo%LdA6gBsNrGyjlamBDz2KQDNh(x7xWRgO@QtK8D@j3K z`0t6yx7%inT*KpZ+>vIAmuQC&z53;|jk%aWHM}=tXG#kVne#BU@oA13*HgRklv|@q zSP#%9v|$VQUn>>@X+}+U5@7=fW|Lt>oN`v2aZ|(GOxdMjK5NRZ#8q+rWQsb6*{KcN zPH)?-l&_wS>YKE zB!T89U9eMT3xX5KuaO}KZ+(H<)CCVnbgF3p=%N*@kP&EcgA%1a>vobG&BqpP>TB-N zw=OXnCX?BGb^8jJT(qf6VjdQ`^Wga&bu3s0aELZ_$&S~EN5?=^)8)SAENz-18w-(C zTUsB%Wz$cPqne0y(jr3*1dU*l9%>x8TKdKmwq5{g|3!^r36!3OK*=86b5-h+0lmKr z=)7Chnx!QJdOI1=pZkROd_tNGqEV}xc2CCzlReB#n_V2vfF5=-$0O(Mq^4nMyNG{) zJXAw$2ZQCx8Bl~~SV%IUoUv8sE9(rXgH*Oi0jh=u)=s;%HKpoIxbiSEb8Scl{0LjJw2!yx9Men z@DN+&TL1>@%^603IW|{EcV%Pj6`WXp$i{sCZ#aBX>iJa&i5E8qDYxRYn;;ITb^iToB~#!t3Q&A@6C53fD?Yo!1wkDG zos43@maOW0km4NWG4w+pPgL_FXev1b#b-A`s9ED^LKUQ4QGNDuY*I5p%d?$W?SU^j zsS`s-HE8JgBJyFXmd@yDo%GkA{wv@3PtF+S zaav1;CHwg~ASk5A$OoZnU%TWvT*IUaj8a8u5X{3lNI1h5V93(9f8^KxOaE^mxU@>- zi8QMj!6n}ur8Z|v_)Ih5h2Li=z`W|g^UhnxT&rWLEgb4xB>B?92#5=svSd+n%M<8F4sb;L2wH{X)|$(bBwbZs6R>ebC!inA296}jij83f8Jzr0+9ZLzi|yksSn?XHsbG*^<{ z`%vH^{6nbsp-|HxIN}Q2LW7y(v`NZ;Vgu`OW zKl+ZZeD|N2YUYoTB=F8_XYH*oRQ{h&8X0oBEB6nz1c8$c`O?%e?xh}q8NzO~` zmfTqB&6*F-~Kz_5?EPH@AQ-N z0Bz9Kn@rC8EMausn}+e^bKT3|Z4jzb9}MN(sk3aiXV9cam@d-U`~60}%vg~+%LP$L zrUS+1_r2vPLQnz|OOWF}YZ-KCxEpnruZXTcy39zcd;I$2 zS1upP-*tzVW8arw%KN_a@#VX)vh9qmN2yPe-}$=rSFc`v;TL>K?Dy(pY`^&SFNvM; z-8qDs|M=V2zvo-0OyL_yYctALlTG1%jIAb{!c_UzRKitt>J!E&YQ{r2FB!MFDb%(R zx0y8il0*S~sj-%WO5RULXo8%Y+k>}$YL>w_z{)Fgp}{ z(~lUwm?bAIwi?=gB0O1Z%Za3(5H;&{4Llro`Q$#n|J!_>mOmH!<16~VLo3JMD3wTX zEDH|Tmj46QDp^}4c|JG2$|W2ST%YPOrUq zD9NJBhCnl!(<24ZN-iu4NuZ7L<6z1iS5y zO&Fxrf$3~6kD6&e$^DMFJeGrYh_CAlsb<p)HzYUfo&bzz3I z6*HN!UL-6eHfe{2d=5;HnArKY%}(5y>ygjtV|=dNotvREeKWEAP=@Xke%n7hbkmh( zp}#^U3cOKm)i&2Iiy9-@roQ=6yUe9aIQqr_DM8l0^N&XHw!BMyXS>1IcAkHbdX06n z2haazXPBQjH1(aYct9>sS~Q@XtU)aVQ-KMJ6I};XPN32-GOHx(&(O%2IM!N?tUm+7b3`VnS+Uli1;YdO#P&jpzgT`w z!SG<CN6(&FalSui{& zq}6)onQu^Fcy_4miwB&Jp6^g#c+fV@>lsJCk*}=kdS0GNZN;F^+*$?|eVSoB4Q-=V zNRkgN1>jUof`+ZSz0huPR_=$e8KQklw-|C!6(7DJCG!FK%15$D(T{0=bk9`;(gK#u z`}6Th`wQW7+8?l>D)$DgO;vu9?bu(cQ?aR+r})s=yia7#lDoRU+?6S$GwtZozi~VL z8=t@Z&~KYD>zxQtCXg_uTaB%8p_wn@OoBlO2|TJ=rVymDD}sgR@o=zV10hJoLT3L;}b!C6QQE zP)L%bFXEDjipg<}V$=rtz2*l=PA4P<(F;lR^0)U>TeYTSM4jFA7BmquWCouh@e2dW9LM#r?6O0h;n)x0D$L0QDO{l)+o%lB?I?hcg z>JIICsXqPtP)=~n)6u;w7B12DZD9TMsB`Hr)wxNwUwoPD>;3gtE+4-gMLz->@PC-(D!7V*ndk#9SRfQ>trZGx!!s;XU=8jo z2o2p=a2sXccfR=U4Ayw@-GR4wAm0_RM)lPku*Nc=_6oPGe|woIe%kRguRZy_-~Z^J zd&^rMJohYZtzuL?@nVQoGzT!Dz#`ba!{JY8!Gt*@x6s=Gxl1IM^RwM-0TtVl~*1h=G-gCJ81YVkvmhO`KF=mJndG~qTYinHUANBDqZ@X^+4 zoD;F6BwmUn64r8XQu3%7Pm&4jjI+a(DjpqGQfos?l5}=_&F+Y*sGKK!2=!T$WYw*p zWkUApA~DwfB08hKCOigh{Y8|Go&eg6v}V3R7?D9vCtS|ewxN@nbT`zhKYCJe#XYJU z2Od4Kl**Gw1^n~K!MnadaXcP9@ubQVeDvm}N0;%}%aFYcfqs$l(G&DZ+4`6L^b5{r zppPm_kMXHZ=)^ZepC~<6vILSVJ@prbtQWn_UEQ()$@JJ?V1OG)5%I>MyU`{+r#qUS z`-=k_nWeG=cEqL)8mbnIE56(G4H%UBzx~Xu&^~ZwKCFEOeJ|@)uZ54R3>@{rq2WO>Hk= zd?T3Hb{BZ=Q!ugRL!1(k+r!0npLf_?Y^%&A1lk>P=5l+w*ydnhyT900!2ks6{ka{f z%H8^6hbOCKUbn}KUBz4jfogx*PW$70Gtby=b+M}&ICo9-B-^n+E?9LsyNey(>2f-| z{l%_|t#*91)Aifod^7XUZhNt-GPe+DcgP3qaK5?GVt2Uc@I9=6N4mb$a!4R?l*5JpBv*%4fav^AjXau2&!&Z<@_1my)XK_uZwAd2i3ko)zoo@Y?pF{D!@&Qi(zz!i+oXJNoK-{TIQZB>kp zLx_v3Ts5AA|2HHPf1_05K{Km>_gk$3z*9>TS7nQCp^59>5a5-T8P;Fa8~(0^q7m6v zg;Dg2UVE)bQO*1d-~0Ri-CvFd8TA9(fWDAQA-e2wMJ3l6Nn|6H0$r1gLd@YE)r|R> zfV5eh4oBYYP635^&8?4{+-CUd&H!~dHSVa+Rq=BS z0;uj}3GX;`3T(*AX#7v`-P?1l0{X+2Gc*~50H#s9!U(HUVDk)zzNpLIL1kA?GbCxg z{WMSetr5DzA#VelihT;Rw#d*d+*bmvi@P(aH$y81nzyGqHLM+IfK_!^9B(+-vaiYy zu}Fn}i%%*IEovWvs$G`{&4_(?En$3Ji~7H@-q0u0rlv)g>62(oy@%B7dy79iM<4aC|_AP47*L~e09{0`MBm3F^&5!-% z)FZ1!U5_-Z#0z`0*&t~RWHev`ZS9#ZfD0PA1CS2emGrA*xB*>&BDn1U1Sce7wY4z- z_7!3mx&TEG%wZDbfOpHt9Yc`%!UchE;0(wOItQ3#W}+zs=>oVQl5sj%x`Rnoq&N3v~?Ty<%4lOQ#OCn|=%7O*=4S2-rlN1-ANUB>QlKtVA zbOBrvtQJ~P04Cm`oPP94!>-2EjQR~nP==GxGxctM{okvMuYb>fuPdWoKwWzGc*rfg zZ!bNv&p#bKvi86(W;*dCuq^LE9P03?D!-K82k9u@%w~H3(;xfK{`b-Ipy6LXQb)@x zO<hf zlYhKzVmc%raux7^e2}o;qQB2@t&t>(nG#MHphqO$L+U@hJdzMj#hN>IW@Alwa&4`&s-~BTSMZ&i=|_|PS$XVGPjoM> ze(X?)%#R&52Qn~?FE#tI!)ong$3I2kv;WxPM6dhUjTALW&XsG7OFPJU?H~kP<{IM` zHPfobDmD95<3pWJ-vV;}Y#%%ioB*EnRFv#hkr~~kDjf4w*QP2C zha-n9;r@Yv0^NTZGgA98$Ms%O{s7A~IqiBpp*2>p@{I9&&5FqYU?|02>8{Z1C}U3} zOI||=JHX=9rht#UP5bAH19~5Tkm1XOh`tr%Lu(c#sHtPuRclTfDNiDZl__q_@CP?N8l~q%f&IndaV_A6 zaGS=A$)+B>wNhcD1}xNd?UQE>2C@hXEg(RdR0Oc*QX>dyB6bz`(}QlmSq1^ z2jHJ~i*c*N#>aq=IDwb zVCQOGqC1}Mps!dlu)L0VrIL~9$TfZ)(zp3yo(2-0D6!2@^!q#%KS%}ihj()t#` z4J!V!;$hw6IiU3|f;!EXC62Z5MMML?5@HrX2QoXDDhrDBb;&)dB@!Y6bZ@nJ`wBG< zvA!;e^ry|lg5}q;K$2v5?2^Diplbkj8os&!Z>yuT6yuTr{gPvhk{f9ett9j9=?*Ir z1F`i}J31@Le1FghpuP-RA%%{!lB{kJnh13qb}O7pO6T#&mYf zt#NdMgCE=v@_$tf05bcKK@57-bDqp3x|zZ0S}+Y>HSj0J;(^ z=HQv(V0bsuvye9VJ{Nkk=bn{d(qDH*gW$0!(PFcSrUq!oh!v}7a2O&cFbG@z-1^Fl z8 z?egwdA5;lV>NnLarAuNknsWu`|AwN8PyU_%8{fny|IYu7Z-zcmYE+5A{4Nt#DkY{_ zr_^I!D>3m`LWwC$cSLN$+pcSz3$*X{1 zkC06Qf;|jdBbeg>qm4o2utM4H|7Y*rgKbH#`Y=^M=H(>V5WtD4T$jNqJRG$5?)_@Y z5e||FWewu4gneVj||~GLo@`4R#C!3ll;pT!yj=8$%I0R3+uu;gJe19+pEP z1dbC0;#dYd0i1%Q?EDeC42JxE>+!Aiecfkw?{m*Nb7$02NoV)ntH18v-Mt>awbpMn zf>k)6MQIFhPVf}*&#L=#@0)__D#@RIQ7Pa8eUB!4j?kl-)^gI5YkN)!aPYoL|(h~*{PSxmuq!wJ* z))Tuzmc2$PUFCCMMV37|#=Z<2Kx#wGbsV}5AdHVgkABSD*owm)sk}RIA$-0-!Bu7Ou zg3v=ySZtib>(H!7t?q(7Na#cZquya~=(=mqxwgPzVvYN8Y|-kzCI%Z=iW&rabra&G z4pe30RoobE1skSyBTmlWbKP_mZYE+!GZ5wxtliIkdm}#^$%HT-yMG# zbBc}4Dt<`;5&Wk_qb7@b`3qfZeuF4CReqU%wUDn~&77y2XpkRhT}Txh56ShoV+D|n z&Xvt};1hM;W9&P_Yclh zkIOKsyBkJj>Sp2=wGRG*_20}es#pJxzxbhFoyy^-=1M>+VqH8(S2tIlFRu8A* zCldYZN5|AoZLq*;Hth3f2T5v)huC~Eyz)V%a*#xutTuPx2&nK_p@8l%?h?!~@^fUu zIiU2Z8435{^CM#&F$V@AtSg@MB}n4Sp3FX9>9olgH+ccq43g}zo-i>3On&hK?#>IC zcZ(J;;C7lkH=ptU{{4UNW6P8#&0!5ohA|sZlH}l5RC^fd=bTeUUEUgj<9IfmUV!cP zM2wyu$)-~=xL}N)2IaeY0dZ)mj3QVjPvachBsG_9_EG+2l3lI$uy-xqtZY~n`4gWNbC9}ihBnYcg})yC+o!EcV+SCw|8 zC;Vb31LCnJ5|C0dQAwsp`~u@hNKA#t3{{>?M8u6o5lDK*FAC2$Xh8)%uO#W}+G+b( zB0S{VeMcRTC8ZsxNP@!(M~CEQCn<(XGCkxM=m+2&G!}uZpkSIc(?gD5i3tN<5ghXN zb&!!veso+B=8AF6?RHSsBEYUoqEJ_7Lm>0rl%Qb9R8m41aRr?sYhvzgeeLsJ9PnVZ z?E~cvQpdHtIH1HD%hkq<0|9?=__5Gy4PG1^^b`%sV?)<97=|wO7b%~x;S-zk321Xg zOKtepAp4%+r{Y5wzU5%(5jdBs88$NHCm)bnH0>`#lH&N}$ExxH;Ge2bxOR2HURCpJ zfj;XTx+@tDpH8P?zaoXyN%ks?Gyf=@NG0OGjo1p7T`V#zH zM{23kag9y<)@2h*VLDTQmG`k2#xtdVIC-!N+kE-ab^Z8%{l1T$fhYlt5VQtt3+kr@ z6p=9t%aus`h$VJIutt=6vPX#EV5$Y*JlhQxVW&nJ#HU~ala~-qXKh_PVw`4v|!0V`U6+{WmJ%cry+oRai z5zXG35WpLdHEMCo=ArEhw}pZw(C8hIrE83;j3@ttge zOjfHp5TyY-v05zs3MPqOfbIrCj1H7;bx>%c0aMBwiXhF53!-9yAPgczgq>D=R|M$= zxM0FyoO{K-GB3adj~y6Axgw@!8SP65(hG3G9tDIZ*x4l95TqAS1f_SBD{jDn-CT^6 zf7s8n!_`Qk97mZ-R3y<( z=XWIEllE^os+ljUg0_j-gRH4zevIwaPg$Ag~x*xY2= z;VLjW!5n1|>0%roU*kCM7A?l{c8udc|Mg$^P5&@BHT7n+#xe2S3-EEzXYiC-=#?m7LsCZ{ga;L3x zL14D*-XWjq#>)Fckbz(kMA5p`AnZG@8w^2l>SeeF5+FJT8Y^PJvNnK)pkyFS5M_ld z#%I=kZ(|-pkhabbjWYvk3iuS+eFK<7khabRqqG17MD0~G5G;aa&^^1SY1C_C8~}KITEs4PVV(IZlS>k?`8KA7CK}x>Z6#fg>|Ojfa3E_Z={(nE9yCt&xZ9!x#VintWoWY5m2+zw6_&IQa-r3 z3d1q@sw~;anBjUD$;gLyZ^inHJV_5}Wx%WnWmFd=c%T(`4OkLY?^R`u`M2ntF-Cv$e|Y9wFH=5JShPG0EgjF!euODJHVYzp zqXlx6-Jly_38mQ#q(+`*)URL&B_HX6h5cyN^=)6aK&~bj>_>Y}0Fn%6Sy3QY6AbpF zb!V|)oTEUlE?C%)Fm1Lyv_P&dSlEwNpx$i`E%`_j4E7_;T(abGseXUzj9Xf|SnnfP$%kq~O1P@GY!8@!tdZ z7TX_43qkzoX#11KHujile@B@F0NGTC&V`3`Y_mlSM+3aqCiQ=MnNMWE+r$C1?7 z2t;2==6eK|ARB?XYL7nY$j~ED7p0yZ82{entJU)vE!NU?M%|k1fW*>X+AMyG?u~{a zJ;J#&l65bT+xnsG9|kpKjgLg}A&j0XyOsfhH#tD?K4$`6r?Si5rwLO!fEi{a(`kNP z@lGt?T557V{_d~(1Hbt*KDsn8YwfRF8LI>a$`Ui;Mdjs&4u*FwST&t!zRkX}@XiHK z4mY6|b3sg7BF&`ql1S%bJ~XY23!-aMt<1iRvyDSjhq)l4MCwJXI5IAUD$E5>&Mct@ zD}twHmWY*c$-}Bsa`Um7E>+VBCXgr*`2hx55o23~AtSSlWzCa=P^!Cgau5og0AKU; zAe8Fq>C{~JGGh8edHsIn`xx=cX?lkQn(@+!MD%*juqVpHT2sk9{#V_OQ?aZv7%@l6GVHeHNBwoL?Pi2l&HrK4T@4}0L6H9>$(j=jZ94v zjtzbmcD-!D;)s~5_l!S1fn1qn^;4`ja%vV|b25@r1K5-RivcT!O+`iuf7L{eMozlV zfRn`Iq|5ObYK~oev-DoYWYE^Q`=r{C)-=Q0t!-u?G?`GXxyJ` zd+m9hQ0$BCoew$Qcsh38Em~~v{9ANRI`;Q}@3+781OMt|yuRsB%EO>pcz;QkQkWX5 zi12{AU=c*aq?Yo8U=9e4kO?cotha(W9^Aq72nE74?I?zj%Au!dM>hz8Y5!1mI~dBD zRn@a3pl%0_sf6H;E!Qb70K1c7*9|u(q55Jkhx?J3STK% zJYqJL@>Ysns}c0qZsQXp`>| ze{Xq}Kxa3gSl;A&bXfOBXD4G2>+|e5HpY)mL>cFav92QwGNAZMlFHkIE829{f8kd& z??o2+HF;&gnC5WZ1Ame6Z{93;#fyyn{YB2WQEi}F-GKyV0fUhcts>-tK;??dcDUkT$?9^MDG1U|GnffSSM0w9 z0T~{b(hLuRFA$ui6NW%_eA!O>3xQkg4+gbu6-t0Ht=_*5C4?_7E!Xm+?Ju?!stCfe zdO4i$A)P-7(Wi#WB-O9P9Lulis`RaHu3F_^jM@7*@2L4cd_%)(7SuD zf88$W!h83zRfPAt>B8KU=eVrAliT8(Rm6AWWNgC)-}&NGm+yG~ozK7h@`1D|di&+2 zcVaSVFspvtl8+b`e#&bMDa{}$;jl}js^bS3`0(r#3_fZ2D2@mq0D2EW)d&wklc@3#Kd zF}ZTWV?QmIwkgp3VYz__XmE_Hc9niwZLQTl+n4=aVIKCKU;h_>Dh(OcAiKp>jhq!w z>7K3}y#ojMl=jEAnA$W<75}2)S_o!L)yg!`Msh$oOS7W9&yH7uI96P({bq0RO{|zP z)#%Oa5$QB5W=wTgP+D;NwNPosR6%nKf<9@ZM0DA#Nax9~I#Aj&X)w;Q!c+sM?YA}+ zD@j(Es^hds-8NmWlg<+(1a(k6`muhO_#*O}D)^XJ+799wR}rl@v;e9vZ$P!O6XT3U z)ZG5+g{hcD5kQ6aMW69cUU+{D8Pz5aFFdi959m1(<^#w=5@f(iPp8NQcN#NN5VX{y zBkU_gZB%$J2xd;i(_C>2>a<3X3eN?j6R+pIJL=k4kqXZROWz!hyw!j)1gY?f;D!}@ zJTy4;+ulAZJQqaxtyLm@zga1o6Dv~Tx!?iCpNPKEi8pf9qVQaDhe}A5?A;*5k^*G8 zq!G@*BCOV;@N&PP6rQ-`QMp#xFbJMC{6dvvb$9x$%?#*qrp<3DK$fow!ZE;$z&$Vv zb3WH~mwq|IDX-_PxLQk(22OFDFFI+roA^ zJyEIzfxb(Lc?PSg)eXl2ow8R6_B+eZJH+*-H&Sc3AfP&}O2AA4)9N9ZLfQ2pVOEuG3~H6)Ga%{kC+Lo!!LpV>;`}&-~sm{N(8p z=-DaN9qM(NdwmH~s-QWPLrf zKd&fSlJH403uYFYStdCq&76FZd-OI@Kz&D=`AWc9aC61WfmbI?JfYWtUDxQsIOrwEm;ZciK?J$TA=8Evn-Uy~)=Z@mhP|(6R+qfmNM{^F=_BV%bi<5Bk zPQsnRN{b_Kcb!kBVa^P57f0Y`9D&Wfk9pOLla9b@g`!Du1Y~|nl{w0$rZ@s)tdNx> z09q~BB(XFWUAv`Gc+<9kgIaYjI(;o(55epRkdi~v`M^yjV$PN`I|7(Ay_V{0B*6yL zXtN_=t=}Z1+@b=!wy@a|0Pc!xktA;TJJo`fBalq-76sdlB%uPoZa5#PeHE8MOEKee zu5XGV)3##|o&4{Tseeo|_4X`JX!b!)XEDBu@qPY< zXJynxsjDN*EtpAp%O`*m?qG#=`Voq_?2B5)t1BC?E?;~RwAOD6^k;_&bZ1Dud@CF)&-cc54@8k5yN#FUl)w=1*KTbzOssu zBDhD71I;<+w%b&K5M&hYf?#4s1WY4@-WtW0Ly*ST1;Ir{xSHL>oq^CHNaI@sl~_as zH(JIQf;7G^*mp+g6aq*9DPj2JI#fjjX1k@3Ep7-y*rij3T`nAoei6nA2=O zXi?^?0z)+&Dl(*!Al+a-?F6YvBrZub5cT^ZurR%aC`=jlrV&FhA$XRpn z&I2|QL3$R=GNzRXB?LiF*}MyDY)6UZ2}{>tBFjUQ~wI}S;)(AjkH z5X>s<3>10BUV!R2%^=2#S%n=)gurt|PZye{vc7rK8?y>Ksq1PLc2e0fekj)s zg{&I61DjHFaCt^u66L^bV}kfJa;s#9MPLq9n03wjqhA+2)=K~bkg627H?EFhv8^kLLQG`kImUbSPx>h^riYx|C> zIihw#w{;ZrK>akTM`YOwZXcp{R#&-QofjuVt$3ONZs8`sc@~;fEJ0A!pTzsnonX5= zw^+hz^+E+-nwNXHwPuG(!sC3)rB=aq1bf=cdT7Axs(_C&4KL2 zkle8Tr1m|fn8dFL0Vv6f@MvtjjUXL8J--)d&|;v>2o!_Zsx{|7L_*}j-&`FaQh0Dn z?u`A@+=mD}9{v#VWAhM^hQoWG@bOL_q7&R4OS99XQS5@&2AsMPpn{SH@l*~_CAJ8> zl3XSk5k-Y+WX2iMLI6HlwL=bJL05s7Hyv<&f!8S=P}wfh{xk{^0+S16m-p|;wUYO5 zAO!4C?T_=#h(HH2mvgvT@Pp;$6pk$sxp4oaS?b`bN3&SB1=|<+HSjHXT*@YBS+L1| zq!qs%ZsK<=D+|R-*{pgr3e!SiX$VA=@qU4`i=ZcGu8^C(I$f?gt7kdFroZ|HpYRF4 zoZ@`^0>e=#V5&l(%TXws9-LIH7KkYpqLgJffPN@MgaZIUP!MQYK?sUVVS-_0P-oZ* zib^&?usf0U6+Is)melIPSW%uY6Fg!`@n$e(1Bsn#;+Sq7WYZIzrO~mYEN}2#Cb`o1xUC9r7aBO>^=Jedg0%WY5KJRdz6n9; zX2>1%V)b1TUFl>TMW~95t%jfvhZWjj5n9Co3a!wGf7dG5Ywip zPg}|(%wru2JE@9|9Zam~upv6O zn7GaHO7ii*y1Zf#f`Cr)t+iks&c)wfo3~flkHv* zOe~%dOgT#Pf4)Zv@g6+fH<%|59Swi1z?7 zA@CuSL@y@&2Uai;pst^9EjcvFBXDat5O@SyCv={fZ77c5BiZ1B%~XkrpA^(ZMRA^Z$-$ZJ|?A zt(icJ3+Q81-EvJ#Qf;vnp)B{K+ZbLrm++lh07y-bAAL{n3Tq;lFnGOloO!XwbO2lt z9kPuS#Kn2edvAco=l2Gi?Rx`oKD{^C8hLN@^tGD;ya904!75K`7UgMZA2IqLXe-NnmuN^%6VEJ}PTA;x5_iMEN^{-RHa#b1N=oXeZFI3?s(*lx=WR?Kz z=isI+Ref9x^yTRvP9Cgs_6p)ZaQKvPc@B7Ar4VMXo+1As7jz^ZvK&3hxBb$$KQ)8A z$w2~S=-b$q?^y{$9V7sGlNG~xAgG?C3t~1b%MxJhCgF@pq_zTjJf)HV!Vj{P%8V689=(>X?_7OX)6_;6~IfD+Kk<|QkT zV2^P)8hr11uw68g86-fxH@jir4d5o71PPF~F^dQj-d>P+LVkO!yo9#1Gj3`Bjpo_T zL=LJBp9S(h>f(xtH7!Gf8ogpaE9)6PXYx38hmtYBn|yhjKqzlHvF&vZi{Zwh-6Ux} z#OamR8}ySP4u;-KaXh)elA0DLF4XJ*2Y_9^Qyf70XTnUdpt~}&>Y|02mhCuy@txDA z{5%oICwe9hTM(n_59UJNG??F@{Qfp)K-v?_qj_=?!&C1{BNd){R~p1Hrzi2oC+685 zJ`*Qd>Je|I9`RjY`MKZsHK`s^ys8#!vH%WP6FMo|pfIBuQ9OVK(>5l|#RH&0Ad3+L zX9U|YfDyiF&y~fFfVmu$0(oJ(V#b4f}z%bDWrZ^n_5nKp~gxOZx2-aBBR{9PIh~WZTNtvwRXIW4w^z06hg%pQB0SCfR zDX=>Z*35SY;|`hRVd=uK8X{vQr~)UoIwirz)n0nWN;nyV!@F=r=;#_?V$}^o4WA}P zP?K>c(pAu_5xU6uZet~&T>xPGG6V*$hJWpUPdOOs>3D#i!}AE^G{>LAA{trJBMGbm0T5sef%zTBDf$H+MP%j(1K=04@26Q1W*PlvtxzFH#!*<7~+xG&NTE_EA8N_)_5QMz64naI#wTv^o z3fiHPwmj`sv?h!2@H6lEto3h;;7=oQSGN|I!wFir{h=E(mRrNa3%CE}GtWNr;F+i1 z`IH4TJn&Asd^_WVWFhIQL=xR7<`> z@D(xC2Pm%OniZSQhB|2sPJy&~B?KnKAG07sGGDRK%^-3cOzX)hp^!l;c1*E zT)Dx~s|5eLBgYRU`;86$wM9^~U!{;S9*DG$0EpLX8=;&e^Zh}oJ^^B^Ik@Aq!#wo_ zqIz7+vHAfP<)Knbw@0ww#&}o$1C6--!*k|vxc?9bx%3k9hd_VbIncfKz86Zf;yCi% zCBAcE{~ID>pD2|)3is#z?=p117FA`;Y9bS?ZN2Lvv_K#IOo59$~N>{IY60nQlK(l6D5IsR? z32w_?vaZHVbEKWPB1X2fDl6;i9wRa({d7EnSGG~XSyx*#E)*7~o41NJ>*}DdfU+EP z(2UP&Lt{g`WL@q9rLGN0;NNY_oGM+7>2Ii3r(QjD1G6XaS<&h%6pT}^;_eu|WzxXS z?a{)ZBif<}w8ag-wgy%W_ezZCT-&yPp?#Nl?gryIPF60{*p_midsQlMnbihhGt&bv z(cH~Mb6@%DfB7}Lh~{*vf=6>cF9fZweiFTiMA>P=^?nF4VFeoh4v3M{2@pW!39yP%=i!# zR~XW&WhWs>51|Nx$X?h-P|_mpxcOq}A-G`7a)G2Ku3|-r=3Fv{xu7jqlVM3Rk7$Wu zE~pIFBAz74avxta4RZmdOZA)2w&oGqoEp2THomj?s4d=Y0vL~Q{BQGhI^tLbjRj0z z6Z7cJEv@zTZCG(3osSw;wd$z`SIe8ChE=P2(+*%Ey;Bl-nJ1l&e{Rt)&Uc@BOW6Ed z_10*wR$Q=br=W?xWZLBGT6!~p%JOS}R>>NQlIT)5gWvL6oXWP|Lj%^OkQB zMMhZf|C(?71K&S6Wdq2J)Y*ZRaWHR!5tfsn12+QEq39-OWwfu_DH|OlFgW|5DKPyR zg1jNVBAN`@A4{6PUJ4XqE`_o#6hY87LdTOQkKG?sw6P-frwf8$3Ec!rs3-F#vmo`S z3qmbI8&VKe5*j$giqxM)5QGr8Y6zklvK18l8InK&^=gjBO5sbMr|YPdJYeVCOO5v-$ae&a>_c?FHjB!pujqfrWb|mq$YTK`a(y;Z7q|@BIFFTH;_sy2 zq+&KOqF36GjoVrNbX|W7032-7x+@ra{TFdG!$9WEoJE z&Gser0KsirJ9gOvJUO*Cdw?g0)>aF&+U3j09;0{O2SC{x|wzi3*@%X3V=+yt zn0d>$rjba@SAYJ0^r1BGRL21f25D@;@W`kG#0jppfI0*P8nY2opn*gJ7uXH}l#QYsAt;Wj2_m_UJTpO{9tgEWMj=SGUJkul zu_TJP3A9%u$UE%Jh zq!MHtF{%LLi>TgF!5WRxe>jc?+Uf9HSWo3T%nO7YPG#oPz9{20zV zwFmXKRM@=b$5hw{Uj6kS{NNN6Q*vy559V%sF8=OO42DDC)r3+H}JZ7QT@p;xBOdhj@SUS0i7i zBaC6Vs)`B^+ifPQ`ip8-CmtZ62SF91yaEYqXolkf?5MIl+Iv^YS7=1rNCq&cqIMai z#rUKoG((1uCU8V%b>{OGQg}1Ha?-|K<-yvQ&Nj7I{gi#;`1(_RT^B{9U7Z9lktX+(B7B z?VAhkQI;WDRm=t&RGH?;9yBCOze)+^fQ2n1FDRVvOmN7mPCe#_KCIU8js+`N4a(wE za3(>4R>cc@ORmY(S#D*;^^si5QoKJX!WA3flBj?+?$rKxE>2MlVf8_c-L-u4*2?Nq zURrNkmX(mL(OgDY?fBHL9N&z6q5va7+`e@3(MJ%8C0+VBq)Y7~zAYbp-tuEU`ak`u z-}!NWDTZt5Lah$N+)7d#fdpxU#xm#jl_6P&A>C!@ zBzUx195d^%9+M-WNKjc0ltC-&V#Tb(LMx8w*{s7tD;}`81RSwqMvnn(fT5l`4E$IF z{5GS<++RSGBYvQPWQ88PrUk9{0OPJo>WROoszq?3l`ejo^J5O`5!G5v&ATcuOX})I zTPdgeodI9y4&%ylj{2J;6UuU0MJ^R{H&`*p@naaiaelaA5AC@sa!K^wU7~m1Eo#lo zlIXpeMDI_$=SSZEiIM14T&~Rsn7srn-y#1fM$3WhX0-OUN2~4?se_ymKvIwcA(>;~ zFmAf?u_8^iZGm-hubh|r?G{B-wP4N&oXjx@jN+)g4!V*Y!(gg+&IputU6^8-z>v=| zX9U34P3CT91Zautb5zL5j(xzO770v{I~e3Qlsw8L_xOFp{O?g0tEFG@1Bt2jUkKqC z7%X{oka%n2+9fAVwc6ex^N1Ka{n8?t)GMr(w$3($Wt2~IjHxdFQa1-LX8Lh3)Aqog zYo=%9V`(>BnM^F-ni!%n-~5*!{+=(KCVMKg0MOJc@4q|CEs?`YJ9^k0+}4=nNTv)7 zWS?s5(&tDf>R|R*vN?1W$sCU?hKJTGJwkDgRU`wFKJ6mjZG<~G7tG{H2J;F?jEexp z$ab?}j%1+9Y+xYr9BmL)p)m*KHym3UTz1tQe8gxTQKX2Xn(=yrIvC(fa+=%YkQ^e% znY;eLaS6O2eZKB>C%GN;zE(Xs%t)NfcCcv&Fk{r7Vqi^GYpX+?BE2HpkzOHFf397{ zV1cumfH<)aPg6NQClOTXp$X=H@HC)SHtm0tL=I>|O0PW%U-0WA^Hai#~ z-e=1j5zu}>Q<5DF#6RX>fH&!+gAo&nkDI}uquRkJ6N!&2Yex)!se(#lA~8lDv`$@J zXj>){v)HV0)+z_1lnx&;$!H*1JAgKk7-NahiCyQ!q?e<(B3?SoIZL(VwOtXl{tddz z;7_~`^))iGYyaZ>PZ{3TrIv}rxfkcda3ZlfAX1l`UU9f5GELFllg0gb#Z;Tj^AOwE ze1U&&2LAo5PkjGZ{RdG^sW+p|)Y^a|7LjmTUTtHt`>}UUGh|DTb=I(+br@7-U^Zzj zb~bOl!xm9O9cDP=8=$c?*aCcwEn<@0k3xRdgW$0>t=au3OXiw+8`d^Fvnd2f$x*Y2bYfXws@#hwI*m%GN!S+V#z;+z;y}hCg-K4eD$v z_X9JE>3IR)=yyY>qP{;spG6Y1c){c?6M$3C;=(8uXp!AvZA0*4McSAUWUi8eE3Da^BQ=-{?odrB=rmf6Y_^@R zIH{NTW+qM3=%k`n$_Ljm&8zPJ?0=iDWwTN_6tsWv@XYxTLiiTwd6$a)N=u1-OIk{{ zL#@<0M{~=;hJc{pIuq9H*_?Q_2O#ZS%`tEJG0pL-pY_e%`=gFfX^gx$!TFAkV9CRK zj#7edHDGU|<|u+*3%y@;){f`INa~#yL8Qo}ppLXE>QaRuHHQm=Mo$|cLH%d`TnJKg zxF7~rlaq-mhDwQ+B?PHCTo5)uGhqAe-u%80q``7QC^srCWc@oY-!aAN-}&F`%IGzXlUO;B z?SIjs({>|CzASlQ;*V$DA_=r`c@P7f#mxezrp~Xy1-sMynzT#B`O`-|$K{kvZRl40 zc|x0tLwbJ7%bwbEwdqoFcy}d-^KMaVkrvG9W-zD!@Y|n$&5RCSj|b4ePnafq@8j_R zDqs|*abLTp(~WpwyB8arR~(NAc1KC*WWg)qft8Kfjzf>e1E}d2%HLpyn`PU=0l%9L zUbkCn4{vi^$FTo@i3e^f9(euhmJZ&_XZzI^mUvh%@QTT)Trc8U?Ay)Qx8tY&@SA=n z@UHQk%E?p-?VEvv0LFHNvCt+52H-Xgk!wazrb;qqe==WDKIvBims4}oBKl}xVQLUSJ!sVx^9t`cd+?x5COa7CT%hPm5ULQQ zhO;fC2KMZpLAr0-JWf{_9_uSL%$=e62I7+mD4?@AIs z^$xjqXV7RSd8T|oJ|lU5Zl~a&&8tZtSKgmbMBbmm#Oj0=N3bNaN{(m_NpN6skZfsTq%kAdROBqK_OcNdzR}jS-N{f}$AhVvy`&Nj3%7 zdxq;-kjB$j+;v-|_z+?q$OF&_imLRVXA1;CS44$fBdEntE{XYTdTg95X}0pQML(8< zqmEFDeGd4|HPIN?twbBPCWgbYK8Dw`KepE+F|)4;&JODjXSaQO^n82Z40KY#j7BdZ z7>o0qjIL?4H7H(17<^fSmm2+!j8C#?I#n#&zdTpMYIhLy3kNa7FSta+?K1vXWycE# z<-3Pgt6Wb0;bA;(4{wE{iH>lXGDP79?0a$$WM)yamom{l+1s|b8UDao2A0um#k+g% zbjhYxnuRpy6s|Df%{<4fm989|iNyLf&yAnwmJ!ZdzK#6| zSN_kx_L+b1*CKAwg<92%A-e$AsCv6~&)$r96OH4@)d1U3MG(Y%>|M!%`P~lH3tqlf za9s6nR#tFe7YnU_h3f5ucOoBpT=fFJYY%;e>fH>Kc~-q>N8zAKxTR3$1BEL7sQ*6u zHB}mk6SN08pJb(aLrro*XY)%|syEamXg}JUQ>k8Xk?d9R@0d5M?~XLN*iQ?V4@?af zb-u+vRg#mcS5=t-o4R3z+C{G=!lXgCrCKs)kL+L01vg#o`rlBR@kvi_OzrAH50@!2 zw13Jfxlz9(;O3%!U&iWpiNNkI0yEV!VIf-+wnShz6M_B44}bgT{qm$606uV7FSr4l zURocs^^&Boo4Mi!?2f`fl?7`zK-eh|fuWnAwMf|w*l#xolNf=am$Ef-l^X!gD@ooR zK-1fX7ugNiZ5aGWU3;(yK)08WeSG@tcU|i0k7;gH*6UZ7-nD(B&)jvenpKG0Y}%d+6@`^F!WQ2OEJZ2 zg~xce!cxa4mA+HlbtJ56VbrCcK;G-rLdxwFNAYb=oASEsU`(=|m_@Kh0IVech=sMZ$JPMuK&$U7?!iJOWm3n(W-vuYtbUOM z>ZX}hEUhJ4v7}gimpq_nQb6A$e3Svp1Fe3ML|><_d4R*y{FD;>yCh4g?1I*U&}M3} zn&AR%lY-@<-*Px1rn)~{RGZOvDyfe+lbK-}CNI`?w#92u-Vf z9H_P0AB0gGR)L}d02L%ULB+nZ9GMH2A&`h31}$XN)h-A!K9rMk#qMBiM6n`ufeY@t z4wMse=wYuJb+rrb);sihA@~8$k1@i>iqzFE2)Y!2%@EvyJ6>Vc5aiu{TS%gyZ$?;MD+kBmlcrF2tujqfr zR*rw8)ag{#!bg7|$Ff-Uq#^mb=DPg!o}<%b58|q!ngQ zq67@KIgPX;%oFVPh+3ESVlvW-&J`4d;9@X(PNJTxkyaGkO3L%N>x2cbk`x{?!%s2w zjleP1B+rc4amS-+)8_hKiLb?ItAkq`Fll7uC`k~ z;g~H}|8}hYmwm^NebM_r;#IG@2jU4>WzZ_ZFch{n*;=DL1cs010)${Oa~S~yb0rgS`{!>Nmr_NPNwg?Vf80~24oAlWP$uB!sbsSc0*MINj};B=1Shs?P)=%3&yOkXA)QcNgFN2c;>mf-x0;c~lOOn7KlG|!3N{O+&MI%UAiH?0 zw;X27w;JQ-HQV9jeOf$eTPy~E*Gm_D3s!A?S#=G({_dbgCdylFf^cay zb%V-4n;8~|=56yslcQ1GWY9mlo+yXr9d$u?$tXjBoqr9S<8=U zyub7p{>U>wFsbpB7J+^YG*I>WH5zY=l(A|&&VH?xM5XaO;K!?vjAJdBHQui4boL?q zm;vE!)_B1GS<((=f_9Wvhh~ju`CSTjHj>HI4776Acs(Q~6^r&88w($TmBvGwTs7WS zk%mf-v0S|9F*NE3zXUzQ+9Euf9vkt}ZlO{uyK?1-jUJmLGp52^WwokIx-{W$#T!ir z2Fa%A5FPkWZH+b_{Bt}Wq#B{}(gCFdB_FVL5V;*XW6*H}c71#wCoCjb{T^l%U=widmJi}?* z?x=9oX>0wQN`>uFMJ4U5Ni2@`#8;4d{68=M=-dBM4Bgasu2qjOhq(e!w=81=q_rCkAgI*i zsR0C)dW22T;CEyIK~|3^2M|>1@$>|OtRDN-3YwO>8HF~i@2|YZtR7L_uk*2hBiID) zq)2JqLy-zJAu4xZYNUFgNcL>16y?k={O0J{eC@Vtng*^3NV1j8_UpLWZq(XrdYgTo z&NJU3;-U&BV8BfqC&2wmSJZ7-w#lPoP(yq4e2;E>rh;l^o0N664Kb^dn+g-Sa4u+| zt69d5_Qn3er#6f?pU*KwdO5X`aih}y72=d?`hiXh&n_(=*zA&u8Vw(sVR!{vPIPT! zBsX}?(*6j%D;NR-^#QpZPOpy8O#6c;?VJf$os2(E`yV;eDqS!Hs^iOcI)3evE9g*s zaBQOM>duVJQjBwV#W<$%CXk*MidotoZ>H_>r~kyKeEAbG;!|(N(Ag=tJcpsxwlAAg zBacQb*sW|dWEiw676gng0U6S611pt{DFAP>zhK2R%zks|%9eKI6QHvLwtzOo%ceBN zf~f9qR?LAw>kp{00R#u;=y9Q#(7`t+os7G0&*Q1uFm_trQ@L z<(i0X2ku68b}%%Gt^o{wx0L~P`7{OTv0DJDUHC<^=GGfE;_lSW4txV#5!OV}Q2S#_ z_RQDBuuul|JWn_t?X~9{bm-9QVn;vi?Ce*2OoNguS`9$a+Sz#oc(6DJi7!uWe8j-I zJ?X-5sRq?kw_~i%NZ0g~hi$!}`|Dn}QE#NjneDwGm6%hYN@jD z?V)KkiXf0fu^Pv1XKK#A!o|>PxFD#XV#If)v$M zKB$f{on{jZR6ERUm(T^E+U&!;7Be0{|F6-PvYEdx=Q}1?q|+FKB@ZHOowt?;L5n)w z4u3}PW9uaxW3WVMcNd}AEngzEuXy{Hy;G|rUwHoVD=xhC9UBkgs~0cd`t;k-DgV@q zHxr?K!T3gWv(36OCZD zwb3VzIkp<9xQ3ZEbXPj~L}_Yx~mBy;@o0 zVH~=$wFvbzLOX!qN8=fnoV2xS53d|(Q7l?Eb82}9*|V!{?3g)JX@m{-p|!{WJ@q*I zcceHapGG;ivE{S1bt^lPR)alQstz*ZIS7(!i1T);4(w^2P}YM|(Z!xF_VmMp^5qAA z=;G=3hCL;zbTlI97HWsUHHNqlu;c1zHM#Z`qBz=97X(Jg0yPMtc3ESy5Trc~!5)<- z6a<5;8kP{GJ$1oC&;lG&*i3u>3WsLw>Vg0p$+kwsb8m=etVnz6f=2YlYD6GwV#-61 z_S6L}7mH>Iv;b<58-lc_E{J?G%VdBL?pH#!ozGG1sY~{#_y&Fpd=O!CyQKC3$PHj} zN5;le5+~f8rRd7C<`J~zvgQ`;kCo(X8?E;6isEMdGGIU5uImFELpjHo$}$h{#7uia z(#^GRFV!zkl1{s-hD2%>Pj(b^%XTCt1sG48@~#$W@4D45_AD=EIs&H`o_be`sh)b* znK4zrx~HL+hh;B5k4WsPx4bRZ5jwJabK%i>w`fUB-crBdYoGqmFGQWaHg7t_Y^|Jm zA_fv_umrieU0&+}AL~Jrepyh=w3CR&=nOD`H!I4kZ-UWTgpn7FX7h?-rcE%2TQ}Wc z*o2sA69lr%&LZ~8bNphxqL^tvG;*h~e-zvd23?4mHo+Yl`X%KCLR8~8vR@9agqmqT zN#$@O4o=#i`q*lwO%jd#oU#FyfPO8NNO9eh#+OF2HN3iA&!q^t+UBZk4wmg-Zi5+p zjzteAfYL)S8h~l3I$ipT9*4dnd;ZV0yR&VEz9kdOw>E>Flt23MpZea5k1PGr8pgZ6 zv!EWm$p;RaB@^XJ=Jn(RScdt~s)KZv2<~NkK^Dv~A1V~JC?8WOgqkD^W|*&pB?nB8 zZPB}&Qafxou~sr=&cNmf+MC{(VZPnE)83xr9)%OoIr-2T=7YLpg#(lmDnMJo4D*4N zMCb4hf1dfe>EVM?5b)rk&211h^YDQ)jQQrx^mF@agQCR;5BILv9$XF!7jdHa{#t6P z4GW5zGPB?yQlxN?=!L&XOdVp5lvx5_$t#M$K!BK})@gA02_MQrCbEXOJ0|#%`BHvXuj>u;yxG zadIhs`{@5t}#o*kQHyvEx5^wd~kqhZDW-WB2rx z&)t0#jMPJmq^mVooHXU5T2LGHrl<=#E388~E!q}hf0nr1X}vAZH^b!{)Z12^3LA0x zQdr9E6o*pr#U{&dp+5`fK_>;X>G$ghKBp+R+g?zkmK{+4i)TIWAZ~FSA3IbB++P6y ziT%6oqN{oaJ8^>3{@hL{jQZf*GuZ7ey6XD7=K5tj?T_;%{T2KxA$jNy7hP4a+=(Zg zjz9Rp^66-YVF~N?*YeHRzi!K?&tL!h*g|nP)Dv_Kreb1~BO!bfOKo$l@_j6}B|x}i z!flNmP9CgsHJ*9?x#xstyW|stfRz}2QQSq)qu(jHvfz-o8`tVw-~6Z>2(JgnxN6rz z7sOpj0e(+n`*KKZMNocEa;foMl}tT>37km5@xAT^W}vCp`Akau*|G=MSrN?DOslrHzYScjYa5+20lV&A)i~ zwr~5X1m}wb?tZi51l9PqHoP6j3BXm-M)jf)EewwE|Hj6*q4*7jV-nR1%X|dZ>Noqv zpyHM+ARLIfYdH0qxh-(t#DBt6w!v%}(T;X8M>y&dEgX+5d2XVAsy;vV9%R3Ak^n1= z71+Kaq97*5)-0qiM}QP8G{~2uaK1|(_c}c8uxhPkj=KNaJW83A`x~~SO&M8H&0XI zhj2WU{mET}``Ek|O|ZQ-VJoU>8ezTH7hn30cZAfH#!76i+FIDUs6XNkZu!FQ)jq|z zu@UJo|8Wl0nU3#UJODF0f*K&PS;J>y*>G3Z+`u2A_1VB&2HF@!5Ksd8|Ba0q2QZ=e`cwt>2Se) z2bwJcVm-{Pq7L>I3>OW%3+@h>vQ6s><7%_BLy&-&3!*-5r7cW*(2+HVW~@^Ld&2oJ z>|nhyuHIOYID!j;99Z+(yA|52s}(2B8%;~HNEL3Cc=1Ifo1riqgRjbxjf@(l7B&db zV2D&*8!+%^vc(PV-6sKI1b^^6KscC!S50n2@CP?youfq%)>JuQbu%jY932j9rf_EE z<4I~L?a%FGGg^)?P*7COxl0~1xcrq*3IYeUw5~balRe@!hjYw{ z&N^8s`={CI+?9-AcO1+%u0w(i8a|+6;3N;mD$DcX4ljylfM)~6e|#r8hpRmj2vw=W zBiag~SsAxLZ&glt8xnwU%p#oQaQd?J!`m+e>N1XM;+hzM)vlTE&gm)9`SzTc58h!z+FGrNlR6WBiO78k7g~iCgx`q_1-|yyY^2*pkKcjSGMxq!?CH(jV^CCc@8Of zFtwYrm@QM7Fn?u5BpK~cgn+uLPC{tX^QgT5%T}5X)L!SX6Jn?bVBxS7tp2HBP6$-E zsBDMk1Wa7x(jWsn2PT$iA(m4;@2VFqH3E|AQx9AazwRM`LYoh)T-QBXrYJOXYk9do!LGEzgjEW z7`0||(#9>J#Z844p!#Rz;nA)nc~jmD>Il9-Fi6A1h+4%o{`d<`gf;2^mNA2fi%StKWY+uRrlq~3eRDx86+-jK_9!@Dz8GR7MNp=M z0N&eAFc|I*D`ut0qX!b4=I4$zGTGhm@R{OR`>9utoF$NuDjArR2n1 z5>q_MOTnY-K+j4t-yP)Pj3FCYw3@1CGOSe#YfUhydTT6wE;~Zeks95ZBoT9LvKjbW zXj|1&9cQ~432K*&*m*(m?PSF4*`Kf(^Z+v8cULxp$NjL+h|Rl2i&?*&mYARW&}aYb zH$>Pvj%!D$3yNmjx{HjQ1^~Y8Y-Y3pN0t$Dq&xud?bb44A((OPezRdl43PTvC=IC@ zQcpk^8dkUDmOusurL}F9jR1&-1NR_}ldRpb2hm)E153)o1kt3c1n&nJ&Q>s&x~ddzQ|CNYT#xQW#-HfmRjJsn1{U^=Q>9-mX~DfyyaWN&SdL*Uh{_k?@J=q z)TUXFHN9j1u-$REA}^f8d<>E7E7%%Qdqx6qKpzQGgb*xbrXeVq8W%i}i541a*CV^q ztSCy)1fj;kDad*b)N5!687qp;GePh-Xm%2vE>ilL&rwvKuh?&3FBw9znWQyubX8f3Q@EDF^q>~IvWPQ7 zB)d~ZoEaejJq%js5vhPVMbbkV^T`-ik4j1vL~5?Rmb*NgMv7-D4l;V?0kJX3j>3EZw z>|y_u2XyfQQk|%q1OJOAv!$J%4_qpYJ+Ga==@7>zAd@>ojh3+L4#O(7P!pEAHKj{f zbu*PRpZ5!Y?wcM2dZ~U*8&;hpQ=-B1umQwKOkB{AILE7fWhpfm?2l;updcomAjrw_ zMIBaQjwZWQVCs+B2$4CGa##f@(gHQ`*0d2_6;`cC+a@b=1Xq3N99Hc&$mdD?g&CTs z!YUxK@B1ImiCR<-R7n*oT=z9QPWO$#lSHc{^0 z-58U<@B9QK}MD? zh`z;r=OtR$4cL-)Y`#NRH0w6rd0DN5ExAi`_}X=#g~j&Q_^ z+uq3Y;?T6TF1TN#DV+wiKW;4j7lI7@iy#V21eOHixofXlEUio83Oi{v=?^gD%}Gj4 zs!O8HUC~qcB+}lRe;}zzbxD+MfOLhY*^@J}{)ME0+9eN%6*Hvlcn48DBy(536tB1> z7;g{aI{^GJ4oLe7H(bgLU9w*T50*6nKhVpl*335u05th=aCDT=(8CGINiRdp{=>Q= z)*TJ~&RF3wI8C=-=?$OK;F38DWi=p2?f#mY;WvmZ<-B3Te9^ z{Ni{2xA^P*&1V%3FJd?Heo$?$^TO?!{G;6)&9vjpyz`7c~yQ6sA23AB~HT+OLxo-cE`X2U^>em z!TaQw*oOx|Ho5&ZfPPzVG5IOBeat8y=G~&j5K^tjW%=zLvRW0mBOjU0NlZ0r&JZD>mn;=zfaJPNcQ~*a!FkM?As_w|Z#Ny-O#pG1=5#&dLA|v;0%|LPJTmc+4#aqk}Z4O4rY9FF|urbcEImbfBew0S6=ytRHi?XjJRlTIDpMB~@DbAs3 zUYN(f?41(O=opmSt*>5+!fvK0?BS=q{=I)KQq|fU>#?GB%BIny6a2wTjrvlf?(^~$obUR&@V#1upcY>N$1 zSMzodB`AWYcsrzj%Lpuxwk3);@vP1X%mIGTr@B!Lnjr~JV`|JE9H(NBeQ4+mo+EJV&rN7Q4cYEc21_O!} zg{xQ|B>2{sC4l^vD=D-AcECcdw z`LWkZModJ^9^vm`*i-CZ%|FPQFe}We7@gte5uAvoAR!Dxf*B1VOqj9%(Ons z6EzAExsyfB-ad@!L=W$Na02S?sv&Ez9_wn>j`CK9EkYN5WxSX^sA{dX zO~2ZqGG0vtPiud&I9;l-!`jhU=2~yqb_^TJ##>ytIDW&Eh0=9+7vVgxP5Yc$cPUhi z)6t(NjIWF?I^X!(i!`Cx7vno$v){P!op*~C<2(Nronw4I_Pf64cmB;OkqUp6dY;u%Es3U%Eybfv++gPsd?`)E1iw+0V52}N)H``Yrm(J>op`x->P>IV#&_4R*)Asq*h0D$O;*6TbNTmi1r4Xi z-eB!|$;$W^eqywZNh5i+W>x;(Z>_yeNSdWh#+vnJ$H+sAoa}B)J8(12zf-2#Z1(At zdok7aA*6MA6ujAooYv2$!OwE8SNDB8ZVDB1;M&e3*l+{z)y_qzyoJJ9?S!|o6o zG%M1O7s2C^>@6_6NAPSmf;8kVSSBa!*Fb$6K^k%wER&PaDm6GX4Y>;<@uoh+9wV08 ztEM3@f@L7mVPg&Q_7#)WG~_P1?=inuXA*6#z%NP04OjyW-=Z5$rWbWG=AfEhL__Xt z#`K~CxM8XbpKZw1T1L#R+iUC3ZiW~o8~+%RTI;FLo?EmS@f$Sa*9N=oS-wKEKJpy& zdCRx4B0-yT|WfkhCdH?kt;tSK64rw^?sxj+-d^c7GAAH3UO4C3pM5 z;2nu`{0G`?SC~Cy=J8mbRb(hsxsuz#^e-OG&S zsz%>>53k|5W$lN%Osg63oUS<56%{h^Nu?jTRLb4EVYt`=rAqRjfl|p}C!kakhcz-< zlLQN)+>s!bwP~m72FqG<=CSZ6o1GB;VltK#)?pl z?Zv1+*L7EAsg@A|n9{=&yaEGaK!t2^P(F|dJN znyf2zB|9@aSly{{U4>y5qWyEUis0TAAFM#B|P zfCk?#xkgbh-w@2C7(9Jin`)A1qUQX0!H$uLuAh8uBJwpcr`Fc=Om#YXdZcllsBG3X zkH$!vB-1l}aa?y8P|pVtxJUbzXz=YvM>Ut6=Rs!M*5@h3u6BqE5SMUl2_Dt;(J0E} z=u%lzB=xQ5AiRaGMYH(^r$5Xt17QS+Ptxco&VEV&OLUMvd~F2H$Ho2c;9nxYnvHO9!sT3Cng0 z-f_OUEDOOr-pzEuU4vH0cI+=D)3MoYFNkavETG$8;33Y-i6Kg-gY(Tb@VAK!rRTocAfX{FSgb7L!jQD+i8ECZw6ns z>x*qwQ@)irC>@{Mu|IyWyeYZyT`6npj_;!nELbS;a({pzhTTh+SsoEyneeXWD ziZJ+6x#_~(l;^mtysZvYd0@{x|J-vzdtP#w0n^hDD?W1(e9oHMr{v0l!;Gq?QZJvS zrI-II5&ONtF|OLRu(0zKnVY@$0y)mgA+hx!@t={JB)+R@ORnZdlhm$XZJpWWi3(a2 ztELwJ6%K|=6SCAISr)1Ul=eF4fJHlWV^qwTWic-UISGSAEomovj{TDM4jM*&}Ga{z?H(- zG&Sh<6$JU#x*%Kw>(N5Vw)!0*$oJU=qe^^_oRGK(E;!FcfY&RYVR0?_K`piWxrPB` zCo`PMvh#_rZ&XIltMN)HYjdGx;$iMs1zy=#^^4Q~d=S#{_ZQh(66L4}ztvezyVIn^?sSl2OMaSS zLZmtD{K74J5<_8CBR0Z>ECk$uovmr6sOY;P;GTDjsNY`-j`U=b^dk&wv3}LVG&E@3 z;c+kA+Wes}xtuKAvT|T4v$AQ%Rtw?QMQ9wMs*rF;V9B21y#?waDAvUUkKIPQns)p3 zPQj886zgJwJ4b4EhkmE{O9)bZ*cRq@9hiDXOuHG@L{YO8!2>e&DmW~OqSA4}9h2B1 z*a0_J!=`6tl?g7`?=}qk86*=vXOL`PK~T^nm)v2-hoa^H;@is>W$u!P-J0E8SaaWD zKv}aUqXd_Hl)|lIauou)qCGk6aow7%b};AIN6oB?c^qzAw>Hj8*RPGUzp!qkeR~1o zpJY8jU!hsAG}BegG9E=z2m7lsu{=eyiJ|lT#7}?nfAxdWprWvtJKqM9 zr9>qd5Lz@@0(3>_SY^a&OzKfg#*vpga5o8=U2wmK&rNr}2cp>sN-*t$TJ8gP9(tl( zF$L^Tkn2EZ1%v!xfMX|Q5_%LmN)!)tbc=S1Pv(aP7%R0?dz4w#&|nR=A6I}_Wkt-C zYA;6AqyLaBXHy-HxytR5>igQkcSxhUq$$$)B{Oyma{vHU)jbAJRX^oqcoK^5m7S<` zec1k8^y97QM~B#K0)aoccblkOijD5D*hsb3nf7NPk-Qla$)}$D^uPCyf<#h%4Y%R$ zMPp(vii#z1$Ps|GzbycoM=nJWwMJ?mZ4C#|T(IA8mLP2Sf!WJ?#T??4Ds3bp%|6D8 zImCfMR*Q=O1_jRbiaEqV2@x7)_0X0Fj}>!>bLi2Js*wxImYWrGZwh)*84EGQ0To6g zScN#Kz1CsHd*m(K2AV3wIfAi59SF>79!Ta8XTJpwq(|=@v@DUBU|N0~cRZ?Ed(Xhe zl}r9NBxN=sbD)@_*j`b-KcJmGFH3St)-8FK#Rq9f<1HP3qg0v}# z&I0GMvKrlKXE);7vp!)-nE}d?++A5x9=Bu_KU{}9&$~r!uzgpzh{t^;S62VgkNn&> z{6qj2dNT&jPPeA@0Smylg!-Yr^;`utmT4o%hv-~GK`_iso0xK32^_Hmo9#MW&X7TD?o zdbHKD#^@!Q4HxW>2V@k14jfi%izq{oM%@MXKrW<-;DC~UwQeCuqwa#%Z2*SB^~R#v z5TsFeLD*Gx4I$x#5@iMWLy$(@1$X@swh#Wq5htrrZwS(;yCDC09HnN@5VzZE1(5RH$Q zQPv-3&X=+nx@;zHXBO;R!LxmRxwBO39P>FxCeDY_6IJ|lf$Vp^ICSv9c&p&rf%}yB zMBFOL*%ZkQTGHhS#q-k6B@?{IC5;=7t^O->tJ0e3VMY%@zZS&hcvuUiS#|XEG+!Kd zThy`PJddb*7(6NIalSx}oYDsz@qV-qpWr>^JQcyhnjj~rpD8$s>4ClgFvPY#R80$| zT=OfXCmO%!hK?_uXplD4YhL#`9jU^X#|S0!&chbZDTGfvr%{-1D?G%5$S1}Zte#WW z%_Z2enW?FGmBE>g2U@Kka}z0__gDI1qLF zbz%SRjtl#7zBEoip@o&8Lt%easfkMc>ilIp;IOvOp+?8hrhuItEJNu%zjnQLA6wwI z^?pWfLRXbdAZut52xRR(7DJ_e`Ugm))%ktetV$|MJ$xw%j8Y7`;~r5BOSG?$o4qn6b; zv0>FVJi@A!S;lE5g{IXgsg$|t=msD5X~J##CZP|=mz^7>Sjk=K!->*qxDfU53?Lg- zp}F`NNde1tIzG-9j73GC!Ff50zgryWw47)^S77(?W0eV1(w{9~>yz%O6<1)DiWsly zqU!O-IFN74&;P>Sw?1CxhEU)%GA*gU2Yq>H)ub?Yn8e zhG}~wk9Xwk#l68Sn%2ck{w?dAbl&iB-3#?n_HUDWi>Pl$bdzx+CkxaLX7X;INO9uE zP-3@1%5CB2c-*dlr*cI!OKa{t1e0%zAC>KLyJ)z8rd7cDp*6W zs|9PT?I8Y>m(6~NZKk~5)@h%Q~;*dB(h6R>S@)eR;*Tf$ge2tRpB#rcJbg}y2R}u z06n3_+pz{RrXZ_vv5=w0+cYmB&C0Knv}V$yZJM*AZx(u#a|q5UuT`#!w7)darW2lG z>yo((X@9VFRd!_4_|>wV6gORqv?)JN=y6PCIFt@il&__i8+tr_iyqK=bB5j(wQi64 zZK?Hn%a5t`-+04!fBZhwx-vq$S~q@ZxK>D%Q4{u1gzAh1yE{Eg7epm25f1hOudTp0 z1gX|t&@>F5A*6-WVGKd4br;<4i26adqGH&5)ez)OcR@h8Naa!R*c)$92=ey3AgLY& zuR)K70E(Feo_F2_cWB{|6?eO>Xsj$qwO#}dtE~yLRE5NFacEKNE{UIyZHg$Kf+e65 ztR(3^xFjG-UNOpHv8CF!mv&OW5+gBh~Oi2`*=R3@lS z25pR0pZb!M%C7i$tp^!jL=~XwpYv2+S;sNqP;~Lh^{4-hZ{m}G=YQjyu}_pr`HGRC zU!wf*p4h-#d2izUC+}|%w&TIC+^t&<+u>d!Oiw3#?#xS^Z-y~ZPkNTSD`8V|7b__7 zK7K7Y!+|``&@qn^+AkdqOGm?p2Yu>ye$mhV>Gw>d+=bciIG{qyrnJB}sO^WnsxfKC zBE_a`Rv3vvI81#9G#uaicM=k!NAIF{B6?4h2&;Fi6D@idghUSs5<+x~wb9$^M3jx* zR`lNc>Q?uTe1Gpb|8wRHJ7(?OnR}n-Q=Yju@WJYR~{tIynq>{({ zcx0(+0r~l3Kj(8DQ9Fq$k+lF#2cw5VSXq)4UBF0;NWbBP7cS<|jjAnfTetOmV?Sn2U!>5G5Gl`(n-|uuim_KUYbXV(4u}VTd zz50UVWf!oxb)Nbr?&EK5+1LUVB!)pritC{I6NYY$EP8kM-z(Sxe7`qYnSm+$T!|Lm zHT&S@#!H}pk^#syPAg#Y^GEZ$AF+hX%%e#SIEn9ya@@BI7m0W1E!zqC&%>r62zpGFZpPsh&@8w9}#geyTsx8 zQCfsc-)ks}*XVG`|1&b$Vv#0wOJh^w*sm>^MZ9`x*WLatqxqA0>xc}IPhzr}_n`21 zx^jF`9@@4_lgtnP1lixt47Rd&K7?=^CCRm3EB|7Y!sh`&p3biOD+-Xo|RsFRGsehZ~cRu?JLAgQ#bdG9+#cz(}Oir?(eLksnb>CASL4 zV5ZL5Olxc9=5gW?if#3Y&h~E+i-OBro^*feNaVz1>YYzp4%7luEtNfg`BmicTZ1G- z$?G!7ETAjFm(I5eK3P5lg-F-ZH+`henAuV9`Uf5LiK4QwbI*!kXg8hfG zpPkk{lI*Tcu>P13C}|X$kw0z8f->+-b%}hD56T2yDSx^9(d~=XQU1b$vg-5j?#lEL zhmc54)}z3si?XE3SC<(s0rCl=3*S#Au^j1h(xi2>0+G^#tL5%rwt~|XZASi^i~6`% zB32;q5#By=;L=*++l-n#6O|4UC&-8eiPJD!fDimYvmrp?7m| zS*-uPQ_i=;K$bsd-&tdokDirgzB^c2HO>m6!3I11>7)~g@8wRQj(uhPI7w{HCv`e_ zQ`mf8OP0v}Gn+3DW=25iGCVao?a-G%Ab9B&r~5Wk>AQuIB9H{3HP{M!xX^&dYsW8K;I7CxBlDk0oxoFlTA6kN@^L$%+oyFxgR!cT35N|iII)kt+Mjmih!yACI@evABG z{zdnj_E$?R*`B8gYr$GIGqxK=Grs(~41KE%zR%-wfCU)n@@yyK*&S63OjwO(>G5Jo zg@Zd@{5|dbt1NNDe4xHv9r_L0e7g0Bak@-)y~Z(`gl$xGG`+}0Z`^TO=If{rFO6h? zpVy}k%3k*5k1Nt%qc)6USIbW%2r~I)R|3ypR0MopsrY)Uc%m~RQgj06Tyg0ANYwUM zfFLJC@{43E1a;Ao2Y{UDh_ccng}H_0b(W@q0uIf%F)~NxSJV4 z2JA4E#!iz8V}7d2a=PBDNzKMctDGYnbEBH6hjHqSQEy3mrz7sBRCshioa8cXUNB)w zgfX7@;E~D%+h9lZUmC@Ix4&z_9$JpX5}3drpG75~rVARFJu9+t7-{T>n|%Cquq z#mJWgy`m$XmS3V{(&c+R3nErd+IGq-XTOct+S+nz-O3ZbcvC!SYg~3nO z`*}JVVMVWQ8-?D_s*EH{AN<^V8L6C_Hs#c&Tt^Pc;gwzNCMXO}nmM0c9ax{u5t%Ub zSQkx@yp6g&4EFC2XfJhK@fBW!Kfs0jAc#~cZA*W(Cad>jq~Kg*`NxRAU0AgHhI^mG zo0|kor-;k+^xH%M*gcrV#B@>8hkh*{I?+M(m}*R3Du<$Huy!Bl-x&EdMr-Aon09T- z*Nn3?QO=HDINww$>fX$s6eIr(*V;eG zhpl#c9NHoxm$%UJ+N%}cm*@NP8}VJ+vk>|7#cJ$dgoyRVu>+3PzIxF z1>Z{+K=v*WzQIVEe78~hm&{KEPD!1^9*AIgP}PI#w)|&LYu_xCV!_#Vc{fxNPZ3R4 zfAj?Q^e!z3XcnN)&*$fY6~=Yy=+)1Qj((r zT+|P{to6B%c^{&iS@)dr@8wYq?6lVLs^BXuW_K30cH#_#HH?xyaj%Ydj1UYc=jO`u zo0)X`A?6h!SOWbzVI4Oxaxp|T0GA@WkLY)JE2lY2vxbvcX<8W~IJ_TakJXB&j$E5Y z7>&8H8Cpa7-=$_$G_!RF`VtgLQ?a>c{@|LpQ6Lj$1q1R zp6{hNgi)pVHAU;g&^6+jOt2bph}Vu)C@h)7Al6#?-aWO1BkuX zR4$^HHxl^d41MHHrY${}o@j1`IX*Q<+!lBWyvj5(d2ktzEAPeMVSmcV@kIB`<+Tt6 z`{G(g*inT+02aB@!U4hz7`G1tn(1~<92u@!<5Y!9sBIdUEdEbn+BEs%#Z`)e|%oJv}>~xOLN=HS1L>5z2EbEB$62cIl#O_{}i3eSe?H zJ{3fas6KMqJIm|MK0WWlbarRnP$BiT>ag42JK>PA{?*r?ApMtdf6v9{CNP~!gJaa< z3;dAR8gGEx^ZQ(L*tW`Ahr6jJA9UO-9<#58IIJG~+WR%aIVe)pDG=Ji zUQ4=&)Wly)9ix}N7xe-1EP(ZSU$W`P-ybrR*bz-LUZ*y=9Nvu4l}2ZfBR<&n}IHIa&|KN zJA9|WyvrTHlEFJgQT@Y8AEpZHfHf#^5?wv+p2*!CInI%_6)veQ(+ECrjGM1|+~H)B zMIFdoU)efTQmhfP)LfAVw%_<_Vl)Pe%=ue0M@?NQwLLQAKv}A}&A@71GyBZ)kKU9D zm3Gx((Q$#JP{$Kw5Lrl-P-r3<{O7{qJ|OS&%x%}(oCv}ix}bNKpllVc z4^DU0WF_rU2Gn!!`cL9`loaEhq5_cjojsboGhLx+Dk%PE!E2qr!u>Je|yR1`vd7Ax24*qF&2z~Cu~h{)>$EjwhaSx zBJ)yx5hqh-0J(N;(OI(GRT^hU@OopG-~2rGm|OUj6y<@`Lk?r3?B6`do`|(6gD3%e zshI4lh`lk#WhlYR3l2W)+KkYy@}(rW!g03zGg#US(T_&;9vju-tBi)ww4q_U6Tct( z6UDC;HzG^fTB<|T8Xk{-@Vl2V|KwIG`9!AH1wy zLvTl>^_j^p$tQiWi=OAFhF^NB_r*s8{xp=*#;kU-P%6t14--yP*kZRh7_hTH@q0-cek$Ucm1=aE1-_%T6-$>_%f8`wYHd&-Ps1O*{ zqoR=`qmh*+Gf4E1e3ht*8SXm!hm4(FZ=Hs8)yF!aut?I&L)+UsrZiLc3(!rvrW&XW zK1@REbGS;MihlV5~IxwOyR zC_&x2fgQsUNs?bz_uMlazpnAh+J3$1Gryq;KGR{+9SVF>iwu|AjU;9)VNA5juum`p!ewQjbw;#SReBrr2# zA_UdIsnQsy!dLdmjpcT?oe^@FhAJ~kaH z$a_P02~*0;a2wqSrkMKF8RpZLdDW?*D1%-ZzdCjdc?=%(%x0=pJmM5JJ6(J}lb#(Q z7UfqV7?z>8Qfkh<*+-xnQF77Eb%GLD;0d3BMhD?`2xA$!=5Ym-@^FMioNjjw4cfXYjIHu?f3t=jJaUmIcA0JqyZT|(~ z?_(pqrq|eC%kNDR@L0t2cJic2_9ra|7FptSB^mq_7>dU^`3}sWpV~h`JpIE@V)1st zSu729R540>OT9%65j)EY2;MFWzHnT$JCK#Vb<8^2EPIHfDU+)jdHaOwxb;Xjc&qld zKqGj!b7NihklXxhv+R1}_PA4_K4d_1nqf4l50ScXM9W zSoZd|xcTvw{>Z6pP~OKdh2g-|^&DkoaYix~<(wgb1kP{7tC~5lyJ#giQkd{dA!5Wm zJf8X1JwHg5p%;7AsLSSog3O@#!S$mSv$BA_!(ZD+Qrku&W&wNEXmzd=yauleemeA| z^aj(4`k}erO3Tfq1|`pFBge+gHAG~zA+cvsGE~_O`-#cv#f|CHu;48t8w_lSSeRxL zvCR61^G2V^%NF=;@2^{*Ird0{fyH=EnVq)E)?W+f@MRtTU9>s)pisPtdc*d*=h5gb z=yDtFe+^8H)?`Z%som7cF922Gm!Sr~7~8_j7ZCeNd_( z_HBP}K6{6jxrqk2*YOC6x4i@607M2Oa?Ikk-&ELtkaQ|bQ_H}cA*4~{^$|)IdAEhe z4GMrRzxbOggSZLqHY{kYASjXs6&RAFPn)Elo)naK@q!C6Uv=`E*l0@9O-C9madRH+ z|6ikiBUPC5zheoM2=SCiX?pnY=7`|I>h@OfKRX~GZx9Zf=JZ=_3}oY}Q>;~91JWb) zG=(}F7Qc(PNWl)+B~nBZKMy>;JqOp#3SCf~LSg#!*mPeQE~sWlE^UTvap-6d`_W#5 z$A=J_jm%9t;eP4Rug)H*6cUNz zDu1d<|F*eau+mP#0*d!dGw}(XCqAfv$OFgYRdi^9EeZF!d1~0> zoKj1Qfmsv0k1B5d5rr&^+-+CL6HCk&v++lv@O8mLn|&iGQBtiCAp`{OHn2(aQ^<8@ zHdoalM8_dyB;gmOwE&HbyMA&GH!lUf!=|>8JEKqxE`(}Cj#(;^VG$zKChrgyiPZEs zMvXp~#@akA@8 z+9!mg|A?I`&&{{wTPe)>CoPgqc)TS-5wuWC2dL%daR536n=m->l8>CCcgC&_>HZLH z3ZMCYE70pu!|PG;V%$UJ^&_4d+QnJVU#r*%2qt0^)y{q_=qoEzR4&)Li3-sfmJQM! zNOV&(iAA9vtFvMgq_l00Pt?);HT7edHFf8B>0Z|uyozCCXvyk}x}*mV)io~ZPVICO z*eV$GNYDMaK#L!?X8%-32?HWdjjg89xOw8c;m}$@Qims{N-tZV@tj6Kxb}Rjb6OJSTzkB+B_g=_f&Zhpa;6ASiuBmd`sD-82x43Yy-@5u{96U&vqqDy$gj<4*K3&|CF7 z_Y}~&>63QOz1oNH4Qb zF|_`OkqxR3&@b-7VsZ?x;;Uk0nYgaLV1o~R=3KN?RVz#G*AUh1U4zL9=7(X)bvl>% z#|f+w&LXV1e%3D0{LyWCqaxy1f3+h+Dk0W5?4B~@^^iT_cT2z5p z7`7d)b~tJ?E0OGPbh|WJWIzNu=vOM4{>7Yek&z{*I+`^7xmr>4SNSOP)315-xr-s?aAaR* z+u#o8e*xuLUP3>(28>CRu9AeffA!O=wa*0(_ic}y#Q{qgdBz$|6-~%g9Ty6|2Pr@$ zF1%`w50*(9jGyOk%l1XImJ0rQ?wi)&hfkta+4;`V-eGT?DtHJP-F~;-n4nWQ$U_RR zNw~>v8wbOnxtk*`R=gP^-d8`N&F|P*;9hPu%XUV78436*pQht#6jn^ZZI#ao{?^fv zXkB@goPKtIIyeB=H`!N+XIFaf3>XuS>M-4`FMkjrC8$u?Ks4>J;371VyZMJdAPamVTVP z>s&>SSj>zbk?u@)v@zKk{QM&-uoXDK`~(`n;M&W6lcSVBe0K}E2++qGR4yt9>oX(G z3ZFQneXOh-V8JuYY8#nAQ0Qq_5OQ;~221?1eMbUB3O1(2kKfv-q;dEX6)7mpIB-*G z{dsulnh$ISq&<^P*XA5Pamc`7tV}I~&Ph>7e&DK+qAwR&*>a8xGLxdd)%FCoDXic( z-SV^LEOa$iDI9kgh^%l7D6ojVQK4uYRA5pexIo>VE{9Gj_wp#Xnc$Tw6KRtejVe#-Y$NflU(g04p;KBoV=!@Z|!*R+z$f6>)}(W#8*tm?BYQz za?mIAJ)!&iwRkm;LqV1zS^Bgp`n0lKfz0Bmi;Ed<%fm*e14a^cm(_n%!&=u@(|$l< zV(DZl3q(vV?`z0#7oB~Rm#k`*RKr3%+lER!t=Z&U=SuJ$9L(J!A{XL0!GRi_D9SUm zuSC=*O?N}EyWy1NR*!c2;Kh5shR;Bv{&W{b{Oh14%vku1rkv$%|!FmVJ;;5aq8X!M8&@P*Bi3r~K+kH1!JYdJ*AJ4EZSog0^ib@?(% z9XI|IYK6eP*3-tHNj2Hb^FffLk#`$XQoV8&vVTpDn6QO>7~l_G{sV`>-kF}dYG~-t zX6B1Y@lpr7(h<}j%uW)Q-Cb!~k6v2cG?k;R(n2+;V#vq{CQLO0R+^7of=Zrb!`=by z06x3bblE`1;bvr;4~is(!5*tatHsM@+xb7;h?gpeBlv66R!IkgCp@B&U7S6k>BO#l zEiL%<__VSfDm+3S(EvnxSpbIVbSrPN`&x=|6R>i}GOV6VyAlQKO6Bxr^T39@GoZPa z47yP0_djwF097GLt(MwgRPK9?8?#3q4 z`Xu*Yx6yVvXfz?y_A}RjySZD#QCm>b#Fc9i1#`tJ51mYn26OD?4ViUirJ+5LKkPR* zV;Po%h{fqUej?%U{TJQtWde~p-J97?D2P~Mu^7o z32IQKijMu_$05iNkA7GdB6{dw(hPoi8%H_P8bxj_w(=0ef6t||mis5b^?rm?D{X&HK$BD?V}ZRiZdoHm46y*?erwkbNt zCgf19VV+BjOo5fO*=}pz_b+S{XX`KO3En!bI zb&I}@h#7^Jy@|41jnN-MYMIxPVSuoXlA7c}mwL1Mc-;AuF8JvxlRn1zEHqwb^Lx&rd|cPb z&O@97OY&}pd~@gO({Tf3L0kmAtH#+)wLOWIv|5Gl9gq4 zFR9d?!`u zYQunpf=an3l(WT1((rtVB&3gDfSiDNdJ`u?W{ZR^t&X-Eeks?bExCyTKJd4nkNCVTTJE(!U@j={#JQ-#qxx&1==)i1Y z08js8O8qqaDC0;vht`rIYOOEZ|kY5T`oFz+5MWe|1 zTUw*PFJy}bRaq&z0eOI}OhuUMIZda=bu|s|?&CWWmy#2N60FyLKKY)FO9bKI&}!*W z*0kJ7w8kLX9ZiN14|_}i4U73ziQnSa-6tO-vpLAkTWnqRtPIgV$>Y@W-sv5u%wgt* zF8H~NTk9$-f-1Lu73#P9J44l>ssT(D~p*1G`bq16v7;RVsZe z4@pprtFdO%P5q?l_Y{68zpRDAB;UxLpEUsnqMibMsAE{k6*k zStmVVw%R>?>#1N5{Vd>RIi&Q{pubOf&a?bzcQwq&n_u>}J z{SuB|Y9a%6$e6UGeBzLo87f+jqvan*>pUK`3vl0rpc=Eo_CGuE^OT{ z&bDa{k6P~joN`pcgU?T?j|W|yk*@b^{&M-U_oWDm8{kv)b&W_KPU#Dw~C)w{`5H zM#b2KVd}r;F7<5p&Mt6*E7djA6Wo-9Lil$AC>-5u4 zSb5bYav5silp$VtHM=Teh#T7qcIRMi7B;pcpnq#piNh{+R0%GIwugUlwgI~3f5SuU z?PRx?sOxUAldF;&mzvu^s+oU2ah09NU&1keS^(<+gAyTaHXBZ+?vF$fYX1XcKJZ&<%KkowId z)EPtV4xzs2o-@_MVPitzvgFwN(Q%Wvu@mq}6=;~#TP66n{J~O7iAwx;jhn@3oV52C z#&X3;zTRHpX^O+(uh{|)Bu>suLaF$*#HCX z-=P^76xNGsq#qtgQ4KdTMyA`>awau@kAQy1N;<_Fdj^8BtJkiH1G2;F$8XD`r<@y^ zcjRc7`1_kiXF}D8xPAe&s(<=))E%bKr*Bvvshx^Q&QgE-1`w2HbpTT3b(H1m=B8n0 zZu_uqi3sf8U{~;{Ss4xlMRHePCp0F41EBY@QjXo&)?vv5?m}nwl6sW{{MaDR~mX%-i!7_W@G-v zVv)41kVFf)FU7;FpD|*FH@T2%ociZD@H&Cp3;sx+HvR^2<* z4}3A*mT|D@94@(>tL5^sG2txF82ol#xEA!fq~Vr%cC;3;vwt%=S@UTDAb^oXi&W#r zAe}j6G)1|W-yEmp2y&Qg+7@!Mxj6t>;Y9w)f$u5am zBG#!4AlkDftSa}zo41J93gXc4n8BZe``EXWvu*P39R1TfZXEqI+SlcBix9v2(02x= zT9GQasgI062@3$E2PjE$xRP%Dwu5f!9mLlJH$Wpec_lnxmnqF;c7E6dP{ritKo)7v zx4%j$>4L?f4<*f;7{0I33Hrzqyo&~8EP01+!fhP&d!+o?@Z;ro^HYyz=l6>9U_d_) z2RH)XReieNn_9E0N8ibD2%u2l{MMOG$tot?mMg>*%N^W+!TJNIrFgjvxQ~RW7*}MC zXJntlD8NB2SC>AyodzStP|nG+P`x)Qp2tM=D~T&hoMT8*)W|5;bLdx{#K^^&gCRv) z>71LJy>#k`5c%%tWhJQZmDADWab=|E(PTQb-FL8RRB> z6Z%fN{L|6+{XtmdYDoc-orD)_i@1!<}dH>tUf&w!1R$nC9Ip)tTBqCqL?rY--uX+L&8d zXn5aUDzNpy&k5OWi_*kF0fVE15i_8h>Tqd<_zDgIBT`YTmC@kf!KY_VvJft%I4>l| zsF4XL067Ns#k>pg>svvNu5XxBG5<-+39bXYY9LquE3ShB!V=gvEnv1a&q-zlH_C~f zk679VYMnFp=d3FkYaP>^(qN;!A}i$RhL3P(s-oA|k780a{}%#>%_qGvP;HSRc>yJDmMrhymK{n5rN(pysBtrwA`Lxytt038*Q z-koW?94}bd7jnjL_r=6_DFb*a_4bB}yo1Wfaq!#|@2@rZ+iaiSgL#gW}vYUvhFQhhGnxcnVP` z(|ifb`lLtD$yC85E2Tu(Dd#Xv7lqiFJjbwwp@QonZ4Hjo4a{}*S*AzJ8KQNM>`GJw zD{u%&iiTbn_k?y73Xv4;4~=jU>}YaSM+styRD~MQU?g?yi zs}MbGs^9w`NG=hwRym{6kpl!Mc~DkWOvdd%*8PKrk6fs7NK8pQ2z$5IU5W^Nhe3!Wq%0L$!_^SDtRa?{hN{rT8%e`oQ`IS>$OFO9O7O)M zQXh2LV&*^+&%`j_Sx1riuC}B!-&H!E_bv86*^&y+*WiKMtzQ+0i4uK9IP?9U+hBPP zh{xfjeIi467l1KNSHDeZNJVuL_+hk6O0+37{E&-@BIm@!& zeNMK0MbPF{T^TxZuM7QISa6MI}{kLMyNXfp{AR>t%w* zTNjl9Iyr-Mm4Vr>3xh!;Ny%4^L6X3Lg0OP=%3gsYL;e$1Noy@*f2glD;`{u8FWfZ(?o=+AZ|-%)vFs&JpL7b`$IuJs zxFucWbh+IgF~0cCg_{18a6xp zL|9dlm)i9oAWrwq0nOA(F2;Wj-jX5tXL8Xdole<0$G3WP4u+WCaViehAZf|V4K@D7 zNu{zag_uo_D>xUf&m9O^6yv@6UmMf!r1^92E5>ju@WBHCy6^sNT=`wo1^a8E2Itag zsqbn}D@VlVxLqgz@|?rtG6uTMMTs)~Ra>_oU9k~PZKiLa6ocLSxi74WO+~ZCs%jU? zy{PL4->A+fnu-$Dx@UFoNK1?_c{MF7slw!ez_$dBWk^yN6$=7i^ly$Wk?N&rR+7W) zThG?gpJ7HykA6$iPn|UOv)DYQQffy!5Oxy&cc-lzhC@PXO%NdzQJZHS6uerjB$;Ad zy>o{jixo1tzW1|-|9LE-Vc!57^3s5$M1|Qh5vShSai_Apf%kQuXYc>zG5sauIha&} z(`dIp98eQWH;*cv(>kjQr zo%B4{{uT4-wS?6u{ohD`k?2Vo-}f2(cDW0g#-`@A zyK$8a7$rR|?6NZ!ox9g6S@f?#uz87eT$>R2sD0=o-XHi!z8IVYjHF8LU+MP^aS6oT zTTr}!TM8s8MjgNA?K~*7tjPCX?`zKL47TU z?h8BTF3_p@K7XVXw1TBbC^26sG0DVZfQ^f{uJ(jN7cAkPfd6jpd+?PyD`s`2qg#ST z%!slEw>ayW-R|3x{?-Oqzh_9M%hY35Og-~KDcDaPB@PKxJ)EbV*B(7SfG2uq>@n)x zc>LW6YfH|31f3Nk%Uqsa;?qIYF%Z@vQVBvXXKf0P(=%Lag7$awD;3p?#i5Eiy%U?+ z`^kkq5?%A_ar%JH0RNOhpyC_s#wg9c1s|^QA+w7mrd2s=lx?Ed~8=Pr42WVNvJaoG?u#`76+iOiz)jpthElNfq zL&cI|k=~I*KP3~fyd#l!uX2YQ2uj3=R;DcJWMCW&?bAD=m~Ut}Q{|=^(d{VdtnGB83@1I_+38HTZ3AH{U&-gox8W z=>h#^7QhOPjTuya6|xQsn@A@sPOmjNILDULt&rh4vI|@lwjTEH^45EPBVrn zRGykh!b|V6gzlj)cczUPB2L2?p^y%AwmTL3_5$Lqvau-AeKYM+#lkM@T2-^M>|6Pr z@?ZMiI4er4G@35qGx8W?`{#%6W?VB&SM2A@CYTDd{Ugn;zHW%H0oEM1#R^_AS&c?j z*KS50HE?xyfPYKV+&2`J%)|oOTfU2Bb{cf3XknlVBQj27Afnt8<Iw+g}ghY$! zj{0=vWqndeR6sR8Lv7o&NhgG(8W%Eq;&w`|eq?dW&gh&&68AF+ORS$?1f2-5awUbN z-F8t2jXPIHm?NHyR4kO6xR()tG;R?|dCelBq5}DMKt2+A)sCu;n9tirtqbOp!H}4d z$fkMM%82=uKf*pofbpBUaGXcXue>hB>$g5Vb__p5hk{2&NdKJ~9e|ruYuRL~5zk}v z);r|RM3K+;L&{H*u?1v$@ZEKuq&o&NS_U^R|CZAE`3;k9x(W%c$}|d2q$GeVuXJTj zQf`5KJFSV=Q?f69Ux!*;@!b#XfR?v3(cQ*rfor7D6zp&;6+RRqVN)`Asu+0*bzdy- zX_%I;Azq1-n$!=;c`}JeSQM6bV(p@lYH_n7k;0LTK}dk%oFLV|4UNkJlqWk+rOI0htM z0($OG{juopff?Dr_i0HUZS;+s<$VG?%Sy;*g-D5d7^%8xnGDV#I=PrY+34Mga4Ko@ zty7xIvsiZMWL!W!0EiXI+O%oU%f_-sGU+EV4~RhSmohrZxA{)Xl(jb$k}@P@jV96q7tDb9eeSf#i6vzfZ4rtbvFiMOL_mv#^q^^>l8ZOSF;__|A?z% zXI!85VM*L^S0zRDmJpc}j~#CKFwAc9dJ*VW(Ic}cBh7uLFx~w?oN#FFdgHYF&3=5# z+yDG<`TX+n0R78Hks7q}|GyGwFVJ~=WB6O8=YOfuVbhu4mP46}A~+!0bcU$^t^KFh z4q&jKg~O^+523AO&}W@H#~6cE3BO$hkKHbJXXeL(X64eEmRY}9(&2TDn&-0a0P-e* zLfBdrtIAHuT2(0yut2*5BjvI87Cgb)QCBw=OGI++h7y4lDW>u1sux{+ul3UfcmeK9 zJ>$AdxmaY52l-#+Qf}4d8x4IS<6AM_5;1EqV1Cx0ST-&Szd!x;k~Mns=e#U$oyU{8 z1o%RHu25C&{2x#*J;2*09<^x0dH0ylrDVMnUSB^|V_N$*iXP-Mt6R-!F)_syL zSW@50t`5NtqQ$+ev~TWZs66OY?9(ketwxl5&gY}| zD4^s4zQFE@-`we+tJPe`A>@CTk>Xqxo40wFW%l8fkE3pD46GIw;E(&O(pTg^!R~v0 z0FLaejy+IEQNE0CT+NF!K>O4HEWZIP1>}?d^w;g_%oCRL7kN|1XHR?xUmK#i zjq(4DlrZ(OEf;h!g599X3HG-^wxCW@~`Q?{N zlf{;!AZBqC2LUTfhye*nL$EE+?J^M$X*hI{t{+F<{j(%sY)=b2BKYH9Rp8yWCSi`8t~v+(6e5Y)*X%YYMg3CNn0blrCrHLz#W=uC*lKo?@65WX zsRpR=U2Pj|glj4yw#BO!;|KnKT)x>Lh`SDwD<&;3;&1V3p+VG1o?T+CX{Ij0bFglS zuEu-4`d1I%Sj_FO$r@DP9=Or4tS<6P+o$pAH0OhY<{c|(#AYUtFMsZ^Y)i!j%sMKW zhZ4$EB|*w>9{L=QN^(j%C882$<|GJdg|L#D0MoPqORT=UB7(IsFGsQ_jvo9Do(K5U+eoPNQ;> z^4ckyIiE~PHS$Yk#GqGO$r$hkJN%IL1eIUJ@j76M(**gS@cn%u3#QNN9%96nL-UJn z=U1(09OD$9f1tA~8wY@MrYbfN?}>=dS1b!W1{|A@ep8 z`E>#Bt`fq{aO_b^pX?GgP#FimBl!lt3A=6KguBo0N>LUW#S)2UMLNddIfPp$Ji~`- z$dM}L=2{Sqf!@`KwKNgHa{{&d+3y{2f`e{srVn;i+l8k>Tm;)0}_l z0j3%=YsZwo9P7DL^BACTVD~@pt`E)6BjS?%W_EW2QP zG6yN!SiQV|!50*1Cl;jcUFLI@zJ+rapLu7231-0(4C<&&C>SViJ;-e<@GMT@0sA_a zrl`uq+Z-RtqL&ZVnkp*#2k#;?Q0-=~?j4?`V+z^KY?Ft~^8`vN0-aCmrhJ?%4ve7e z=Q6LOdnzGYWBq>kMt1Uh^5XvQ*b`RM0Gl{Va_K^PiI&H_IYjW$J-TclySSCSaz#mtMpaxjeeSGxiOFJyFaVeB zLelB{#K?dl$GT&;yXZBjthaL`P-a`zmUJ5HXpc~Q z?xT^u32Sj&Ihphh(D_~*$pASd#ah>;AZk2sw?rxq=yOopsjO$FR6*1)}_%F}l7IseOn z26gQs1qr5)Cg$SrTKJ-SxG4)q{R^30&7j(s5ohx~&rQ2V@0C0y-S2YS2S&8_weM=^ zenf>u1aJ|~==>{B8(QqZ#c82r5}OrFjtY6{YRDALKO?%HDXymENLVF5e2~>#Y^?BK zCZd0zO&6^`=VLJT!u~GDX>R14zG{1aD2;a^7U7VJQGkIg+}%0-v)??HBpn*`efRW_ zSHw0Bq&~(!oW-h#VXYK0W&CUM{W!k0qrjcA2?(IzwNmKHLQqm;={FtuJ5?_B2XdQh zQq?=T{i`$(>Hr&q)1gITEPGR0x-kqtm5|mEKeaV7(nwIxtLFx|o=pPDZye1O-v_72 z4=iNtlqbvjr0XgCiwc@xA*RM)kTFVZ#Uu&%WzIhW*@WwXb}jL7mG|rtA3P9zBAqbX zQa$^)?i&wJzLJ>}uZ;VubV;z$oyga|f973YeHIq_*7&v(iAT8j(T=>npD;7tRcd&B zutTxdx#5)Sm$f9h$`Mb_LSLpF;Gn)_(xwK=yYEsm1zNaeA$!&-9nTiEkU6mb(+tb4 zp1p9vnA>TleUXy=T7@8zXmf{ZO#Z7ZBwwu3@+K@59rS%CY|73C{2ZWp0e=9etUV8o z@LGsN^}1`jcff&i(EYT85(^C#R$t_uO^8DP^2dz?E3TN!W@~)Px4i)-3|)*TLHjid z_>TQ&3+ksc6HvDZ6aODsZynZT`~MGrycGcv5Gj=skuE7|kP@Uzx}>{H@)ki9 z1VkDJNDNRK#zrG89g}8sN{5W*IXCX_@1N&5<_V{6sJBHrn{)Cdh}_3-|ijuJ*hltqXH0hC>k^!9>E@H`nH`99`6W zg4^KE$m)E3EX>=QObd#bmX|sGWyw0JJe*=>oEzDT0Bw%~WrK~)YP|Ik8SR}5Ye9bwhEty!aiwhjFbY~cS z2ekJ)302>|GMW6qD!5%|N@dSAGhG$RyyR}%l+H=>XQkqs zz5Yef#jz})P7IfpTsxl6=)Q@@?oNw8?`XC!#o@{+wz_Ay{jxWsekfF4COCx&CkHFcldt#=kxqP^E>V5{gaG?u zX1dP^5@Dm?sF#-5(G&Ui5+2_5o>)+SVi6zI>f!!R9X`-D>-53;9)`&;9k+Fln$TRH z#)^~WVNL-1+8;_3o-s9&Q!;Nd2Ej(Wux)rj6LomvK`UCTCqBbm%-e*Up#b9#_+jZ{#S=lik^^2-r^|`&tPq!V>1@f7UX!Mw^l?uB0rzXmj$tSbbh^Jg&^POJ3X(<779Se!~Z=;ZBa!98l@b$nGc`KqU>YqXYR zY5CC~{_08EE2UeVLQo;|=MT<8RQ_pwO&H08-^)EN}uRevgEqmJdf3tx$bui9H(ti+~f3ywFvq2IYzqzuq9~E>{%qahU*Yv<#i#R z#UE@5b-ZaE1G9`F!YvHqRU_!g9ESjfaD3v-9M}lswMSPxkCqbheCeSV{(34UWt|BW zlXvQvF=%f*Mb&I;JVjhoJUW8n&5mAvK01 ziyyBx%>1ai%ej6Z?s|DEYS3MA+^=vdKVU4 z)biTk)09r2~r(1370e=n4!4dQEN zhW}JW(%dhT2;Dfmzhc4b#7FnFc#n{146Y@_DipuqGUeW~+3wXz)7TcTWpHev>!6LN zHr-SEIF1gDc!{&~Vs_Msf8+7;s%Rd(=j8+D)_zHYZZ!%-*ESsm#tOx|ZezauLNd;( z$n&KXhV0)HT;yD4=H~7`!o|S?yZfSjhA3}&=qJWIdFPPf^QeZR&rwaHLncXBow>LZ z0!c7Koqi{pEMo^jsO#M~gD+GVMox)2h4b@i%KN*=Em8N_Ef|{Dv2v5zES-**DyqJz zm{SmQ7V7v7bdN7Ikb-8D-(S|SL?digpsV&-VFoJEstB#XT&MZ%7wXY2|5fkX(XbR} zcd($e;R`Hl!#_Y1GWTdCrG)QR2GGtfNMo+4-}A}O-tLG}0|!TCH88DA!Tm$$S!s2C zJH0y|n;bnSBR(66)?tg1EfS;NAKfvC%aO0h^;C(b1#KEBt*>?LENM&3ozc4$gx}08 z(^^oB^NUtnZeepj-&0Kraq@TC0qu2jjpW1~|MLk}!fVsg1Jx!Q=AR*-#2pPElT**< z3IW;TQ3ZY&SYdGb$t~k%{ioHvoE)tjZiw%Rc#GEWIY>HY2g+pEcJ@-zM-^-`oX0$6 zeQ3{8@XMhvUxzsUOCGGC#M4!;`@q;DE@IG5e+tlkOn_v;i6 zs{(*hwDj1~$jed6oRq&5GtNT&+|8H`(od+$*HLVfo zm5a)*8(9x-)-PLijzQnPiW_NUP+W~jgA6vmX5b`|ZSv$%!9XS9JdEepmM&m|%F1(y z=c<0WLSXU&x%YOv%$HfCl--C{tj3|4mp7SzLL~AcJ!62M6EiK{3EagW)!#gsP0mZm z8^n|!%Yu4$|FAMSnzHxHx_%LD50x!Lq0*i-bOfj(=c`Po8gNXuIN{&10yMm0e*R*y z!D}O#XN6UUc;jm0Ohpn#d4`v+%4rq%d#*m_&W-^iIh&=S(`)X^R|VXd-*yL;4Rfk` zizhseAb{B6S>&=eKi;3UCnIYDfW34WKBF(gJ(wZ&{JHSm{~@D%a~c54QIH# zSA>|JTa>l((XlbC!%6hplU-0=yr$;8xO#ieRh_rH=p(hdxmV|^Z=06q*1jMXl%$pF z)5qs+G`X`xOY)-p(P)ZO3_+W53_>Zo#Z#p@90qircDPUCDFV)6oLL_ROdYH87mCCI z!LZjx>=eaBv(OlcQ#Z$wL)IxoF1Aq1z@y5jYi0Z;qSB0#dYkTwJ6iWlNwuQG|0-># zVst1v&8b{svenD@C#u!_`3&=WldASp*M1I%dZ0A`EKE-mM0>j9Z1-B@rOs9D3 zKa19P(ujU{7w_+QC?s$JH-k|Y7CYy&L9*IC9FJooq zTfgMm%S(n8x%r+2#T&m39vTAO#Xk?~xRfss`EQGU&wa*P; zX?^A^XOs;8kbaWWfap!LWXv@Fiq%UO0blyx&O0JLMu8KT%oc59|K^jg)R z_=@c>KeR2-n^+O1N8>Fi%I@6gY+YL9dwl_l%;dxvcQ2U^B2Dx)Fk8!3(^0Aud$Lo1 zMMb6bPxC`$$f7*?*3rAMXa{?(N)0Q=n;e1p`T&&^E~s+)m%QdC0Z;mKLx-rVy#fO` zXBMV8vGCh9b`TO!!X+{>2N+AZ7?7T;xwd%*WVC{h11y9L>%1wABXYWK@%LA83zGAr z)IOUy@gEZb&#ABC`)qQo2rKUFd}Q7-NI1_GA)!vxf_y3)m^9`PlL(&TdKis^-Q|pk znolAtl|eY@6opVQZGfBI+;IwClGtAi*LMx@{Vn#sKW@kadoWh^al_pHL9v086>M0n zmjV1ghUc2+P;%|B2z}|xLJY)jw)bX|I3L~8s*roX#4Y%yGiGu-EG)$85NF$#M~7=T zd^*-bJG6PajH|U((yM^Rl6yZgtPFN#WmD-n7-S{PB#jXdq~JCA3@H2@UTnXn4OVKXoHHEyVVG=HCm(R4r`2@=q3w#> zfwVhaPBW|XKFS5w%wghL!svUCFrXyC5+8syxkm9hCE-*mhjnWYry8%cmX@iQc=AK% zwy6p=@#lM&;TAxc2BakZJT3;RxRNKNKFSL&+^4akOc6k6)=xc@_jxP14LKHkc;6#* zj#?T=KKi3-UF&H1V*gHHFLjm>Y2jQiiBFK(#yHLtPaAz3iRG#+P*c{4yyB97>LgLx zVf^d(_*b_%G?pPASGeVBbJ5=D)2pjTNeS)J7|%w$(Ndkq8h)YRWK2Y<1dA@kYUq(j zRb?^qa8MdO%$)QGfJS@+6J@n`9ym-Pi;7PdBH$2@oplXOt#zEd370x82I z0%`EAZvCq{59?N^8IthMRa7eIb7vK)q!&sAobX;T3`Dd04{#%Rxtor+1U(6QIVjvC zXPfc)w&KlP1CEmG7pSFgg)5(?{Q3Y?F1OwVFh$~=a6Jw2^n@SNWL&0k1~HqiQZ)6X z+sY`EZM{#I>(8i3z7sxB=|to*JnQ{Y2ZM(`&}tb7lb&Cd&cfJt-$9YyH@Dhb&O_zg zSoGbj5gI6`kbBxi$!P-1q0wrlZ_sW232b`E%K!Y!*KShm#OI?(>MtTN>j12~{iWmn zrHBd>rJbGr8j~JSs-`b)ZH40zr~ow~ky?hyZc)kt zPUw-6RcY9IWQcR}xHIJAWpyXh$WRcnS3KVO$vxUT4FMr4KQ116fXCRmGVWtw5j z9YzzqC$Bp2mw5I5JHfR_buSo=eG1Z15x3LLDGSN_F;tT)px^YLg>;uxem##1edai z7udewn#%f*(5f-drg`6;Z`4kZYvj^8l<1CVoa3j|x(w%xGT%Cys4_9*P_k~UFn%=bgb)5qh$@d|z z;d2W7Q^o7?mac?4lE7j{-Y^Se)oR2bq=VvTGZXQwlBfATTDAGZo@(=1U(cr1cg1qK z0Sg(fx|j1+$)ZlHXBOd8GREz)9vD6m(Cx6VJWBZ#GhBxbrd?_LyJBG}&;8HSH^+>t zT5rN4i*Bl5)ZzxG(^N_xnh(qQT;0o6)UZGk5;rJALj)y9y9e$}pr32nUCz@8y59eB-Pd_>HO2N&UIr`9 z_V21=>4FuNx4*f@Vu+5rGtM-y_94$jm&EkIsmOMwAIH*X;U>58=bgp}^;$ zpyt6}iB5{noG`S-x*WP(1Z}i(y0#PD!Ne@@YuorUCW2DJY0=hbXWJ+;D&X<`7yOHU zhQ>-gDd^A&?^v`8>}{P#sTgLHFsn7u8A0`_2NQDP5t9o3md;Niu5x&k!+lg)EP8cL zQS-{SU%Wn;3vOYy$Fu0@UVS0Kv6cCmr#GfxjX-b8tWJe8u;+{f2Zk*#t z21VVQ!V36t>RnTzj}uC6mfY=ypDOEu8|z!Vv%X52)@K5N0(f#g$YP)(ec4rN$DF#q zOMh~YSs#0o+3A}3KdvJiD0 z>I*NE!aP1bHaKe(;CovNhuySkE{PE|+oJ3hz9d7Z6zng8>M?N;JG^~*OuKSiK1&h*-Ep9UJz5qhdF~gl zIW4LW=R0@7b8?ymC&fL3pi`WA*E^NS0b+q3e4Cb8nGA#=7=KIb4(GB^f_ADSC)4^( zy7L!|@}|L!8LxwUMSvj7jz@r zPBDILs!9aFWs2(ZRte$k63YuP#ZY8=lMdCvaEIZ-cLEh438oX&Yj&-aPVc2~_rLb8 zX8($cW64=Sl@4&FkYC4A&@9_N%`V7Zlv2jZw&SU_B@houH5!k!AoKAqwHKFnsXDXg zU=sRIy4zu4lz`q`n(!!6u5=^7r{;-x?bZ1)YI1?HjBt3*lIxb-Wi#N07pJ- z_h51~DNg&(*T0hqC(rAayra%`XJ!H=gv)F`$H=X_z(N5*Y3JSY3St5eGZJ1*5 zt~F=iASvsLQY(GDE-R-JKFJZqK!&p$@~jo>+WtelXgVWsNUDc6LVW^vc;%0zWnqBrh$?<*4IAF^~t4AvEQsVZMr?@SlUI-!zvN=pP! zTl9eGh%VmvWu_7T?ENgPv1roUg(qIY&eWL~8Yb9erFgJ?A*y9?Labuvw?d%UgD7_L zp}4E4l!ud6{pdk-aanBPyX&LZEG)188 zqu7K*rO=_8tMS{~u-Pj34Jdyk`@EFur=XLb=ijnLOA%oLm;-|=%-A%NytAz^!=_bM z#}I6~MU9X^`P9TQ7hAVthG=7jKaY0bFFM2YGcb~2#s_x|Emp11my?R# zDC6GjpH1S~8YndL7ru^Oc}b4pw~->-bwWLH1D0+csb^f(`Q86RABqSEZO7b^oJOXP zmdRUWPtA0f2#q6L@Lhft@bvYUFfQj$iaIGGhk^erJUAL&>9B`XvPWVyN3Haw1wJ}_ zD-rvuhPC~bIZ;xTj7OKB{;MoGxF$t|C@3+c;Jd+Bh3p%Z)xUNwScuTQf{IT|Ijc^* z%l@3TY&6dJ`JC|E=o73MJo$*RZ??+rw$Q>~l0(w)>U%8JQJ7vG|hpGZ;k-rnQic0X-@pn7SDE*9NQ)2vx7iMJ989xVR<<84q zwrrwIa*3D}c<>PaR*Ydru@N42N~D1ZkMYy=oy_QPVbRvCNI$TWXW&Wz@^F-xz6;k} z{ZmG!+y77uwN~8!Nuf|O$64#U&pU}#*sni=KgCI&>L79o5Ka*?YrCN?oXfWvC{{I{ zRo4#Nxi|kwDDaV-`d1@06<|fEODK4Pjtfrs;952)!n!ilVe1}QL{K)|5XPONV(QyI z%|QJy)t?3UQ^U92<~TfJmMRbWK*8(}tg%EkloB!PO*+S7)`vYZE3|IK-$n7-l4cRc zIkvCOd-fG&)-X-V@Lkz?o5z@Vt8i%qtN%Y*CYqXveh|ve-zJUo!?FRma;I<2+i@dLmT$ZVr+vcIeMZ6+2ZI zS7EypeQWh22MC|?Qe%IR6D1S9+B-oCVW^lJF*2$RK9{N+?-dr?A7%yAPc(_X8_ROA z`;acbdqo1z{6dgU2Mcg&y9iM$vTs+NT^^?x>RFvFN*ok`_yEk92ZOjMDs5Mf(B9R? zz`lwHOkB^PP=eZNDJW|{?@Iuj26t9CrzYlhA7uuNRM?cki>%Zzc`B{RLDPF6&k7gh zSy>qPep_1GUdEYyu3rD*=j_6g(P4wTR3%0bU83IWARt4MY z(*40eb~*=83d0;Y%3p@G29k$c9mKWKc(eM^2u|?1%n)a8cY^nVLK8H0Bp%)Glds)g zM;*!zJws-N>}@#tgEgtvKJ3RZ-!lK=~OctOBljb zsiN0^x@luS&QDdX?lXNbekrpMsa)`tPfrl^1MyrS8tlfpvi8|0ZfSm|GV@{bYgzx1Nu9H30uvLl2a;Sx|JUY@%MbT-?$XLVNpSo z(EThm;8(Rt3K^&ebN&Y3&auXncp;a}PjB30qBL^??seU|sfwE6dm<#X z0CUAe$6ep2Ps8Fk>z5DSD%L^%#+%Xm#8dKmR5#LmX3Dcv4>(TZ)>|FmBrsc z87rNvUyOKv*$4KH+hgJjj;`d7q{CZe(C7VxFtXETW=B`TP>cjA zlxw?1DfYJR)qAN?*Hs_GuYV{0Lq5PbLWk`|h*58?z%u1F<#U6u9<>Qk&9O{NC8J4A z^9go3EjkmsDu^y~g+ag4K$QWP$RDD@<%Q8OLvp0Z6pW2GubY2QNR`n>fTi7yjf9#9 zD%APC$>@y1=e4)nYtEOZJ2*6`YW?ZB zJz&)d#1L9AOf}u{OX%uPAc&5qOP>SjSxFT+pS!tl?yOaKH=X+|uV?HHb{SR&Lotqr z+SR;=qr6|Yg`b&s$AI`Y5Sn!taGE`n$gl=x-evawl&ZNh%i1jiktmz;4LoIp*!ks_ z-)g<232bY5siw$B)$KfX0cPa3Lo?fSYTrMw@Jg^GYgnuqjE;7{3_Hf%WnKG=k>B~% zT%+TFH!>-)V)-MBlRf&o-*qsO)Z$`aT!`-U#dAiD)ZlriJXrO?BL^X6;zo|CIJ!nP z_y?`uhJ3MjIaO!l<=IQ$VzzY102&DhQ%`h)p+=;KDAZksSzN^xl&%V)m%2pqPks-) z!?-T8|Hx0c%TL=&bveu7(_OZ|jQN%MX8yZStCp*;LB(ueSR_vKLHj=m-nx=h;@Nbf zdcH5OH);J?-`k@U?GJOA@|c4^A) zJY2iY$nq2*haVGgKk3;$HD8_&mAa((r1$pQ(p=@m=5OPm`z1p05SOu|B!LHQCyqvi zJ>Nodq%;s&awu<+%OJKO(=R~vG0Pl9l5JKNpc@6= z$S+;RqZ6;Wnzy#=)Jab1s)7IO>uk{R2SufDp`h(9L9T$BOjFUiX_vZiugD_)^T!WU zFB(4RKhb_<0UK5bRpfhlMhH{=b3CS26eq%p2w+U0*gUCoO1p(BfiVu{UKMyzyOXc3 z(F+lt^v2}D4v`w(`8olfR#f8WN9>ymWmjEaZ8sZI7~z>|R-aUCSMcVgqCYh1aq7Q@brI zvL~M7Dw$dcOS?v&0cG5j@ohazA4;5mg|{V<&QEkw3A}*7UK*&q-qS1F-F*W_2t}E$ zlb%1VDcjk;OJvt6qYO>&A_BDp*$Kl~hS<_p&g(_NDL zhY7xI0ZQHVHE|~gJ~E6dj8`Stnlrz4CiZ}{{4G{244x!6aS6BJSBE45lKPX$noVWP z(sE~{TM{D<8PvF9BIDuihNM~kR!*z#IN#07*WBQKCbyMz&38_4wXW;=+c1jz_DsU_nnVmvTCD7#qm`YYgNcyro{Ad|7H z*~MFQQ>n3tHOdh8`vGD+-`=BD{I3SWKDa!5vs~S`;22M?gh?zokbkSPMXfq%rZS(F z*pfqB5hfkHvs!E{_5V{ui98tYDv_Q2!9h+fz1lPEdl2lHrEc2g+O*cBg{se^6#MFA zixQ`w8oZB@V1-dUlJ*DeCx!!+QE56u7)xZDq7HFvo_u|+W-F3$0>`IGD17bf#rLRu z(0;vO6vsP1;lEblns>$AVoVQ& zJdTrY>%e)`lnslPZJ}Pb8;Bm@9+QO+f;wEzKw>`}U4cMe+?+Jb2|SlI^cNL39_dR> zIxJU*OI|h6l2{$FUA%fONi*S0+EbzDYFVlP{(W0c98o^8i>dMsEMLGV8Axq>NRy%4 z^jg-XsIjTP?Gb$bK#SuKYbp+nujXNZq@l>~ml`?mgtPS}->2>P?LRj8$NKz;7GC=u zgH@TWd!C9IqI#QtgRHjn;O`?>OP57+bDWk{x*uJ_>Hr3$L?7!dGAJ`m19+qToIqG_% z`Rn9SbW{AIQd+-_1*&a^_f+d>*`@=_8|HJaU3eF_^gP^&OUGkxW1=2G#)^#`FKW-i z^)-b2)10f?RSxOq3rO(g@g<{S!PIh2Kgam{pyE1L%0WtqR`DVYQ4fw!Uj_SbPmiPn zt>zTHZEqj<7j~E9)+%}(I6cHwXiC$a}3h}Z5 z0Sy?1qVkon`G)sRzxt?&!jH>RIfh$sV<FL{%a-K%gTFQ4eD{HS*tfX$dhh?t8Q|z0Gio`wbJt|EyL<02u~Eal@-L zO#WF@BuzyWm_orXuD5Zi+QlpR?*6b9EBOD=Sy8n2G}%1}pGs|V)`~LgZR@ImFH7H$ zjIWR%UexYKkVX(1K1>Zj1q|PWd zJv8gpm4jV7n?Sa7Aj6dP-Yq~vtENP!+w*LpOM-F2#Q@I>TL1?0ZqbTl=d)EIKoNjB zPB|3hskCePO|-Uuw7avmz$E_DsnYE5qrFpkPRxHW4JR%7lp zCSc&X@32o3_66u)4O<{*85k$PsgOwni7^axZDdu!gf_8oLPR=gBO^zR{XK`+H8uXH zD}#IbT!2Urf%skyQYp)KALoY-B$TH`UW}bh{?@}v7KAAOKIIdi-w^h$G3WI*b8d;R zgauDf6U2P;Ne+`ANGq;v5w$Ia+O7w&gUHVJOyjjaY#2Y8)#Lz* zxpl#QuTDwd=xtN=nWgQz;j~ZlaK^61p@ObR5Z7kc1s_NO%3loE{CekI!&*ioU%CGf zr^=1==2m}6hXKe}>Z{Bnh`+3lDD^x^<{EI5qN)O%K7`Nggx7>G^s>6sJ@!yUnL2Hg zsJe|k+w=TZ19Bdjx$9$N|BW+w9p`tymf7=qkPVX!^ zc{E(FbYR@>YD`IE^6b=}N<6*;rj3cpR(4FF(^sJPR7;Cw3tu}l4cekwkcpooU#tu=3{k=Z z^rv*Id-qM~TT-Ki7j0!KRdToppx15(>hj z`R(=p>~eltfu>9?-@M=fc^D&i;%;Xx4mBB{84Jp@3W`Fs?N8mN0o!Dl9LJkr)9>BuH;h)xkhn zcr?@%g*D-4L507_!og)fI5W5DR=u{!8>VYs&t6jX^XU2Oii&4OL9wW5^2V9R>;#dg zUpXSfF6GA$B=$5Gk1WmdLm0})SF3%h{lh5U(TFXW6fbjqo5OPigc81y`JXvg3W6;c z7%nH7{2eu%d`7ynw);|_m><7FZr*9FKAC_AEC&m68aAFTiWoNT(}_CaS7tT3eQ+!g z;$(J#NZGwQPUbX~YZ9ygBMZiLr6NhHh#Xj|PV%?G1OW*9Ry0(u7$;7OIz)I(V`N39 z(on(%Ig^6ifBQApT?&gi{<;JVcMq>cCFmsP6;J;qa790vh5iGTi-m`Y$wL zrc#b@#|>Q_-u8`1SXc=c;ZvRibBkQ})_7}B+0alxdDpFUL+axig!GOsN0O6P`Oo3T z*{nOOtLqS5$DoqynUD=Hadb!8*Ot5u@?;iANq+X0^}6ejFg|Cxr_XCrk8YKiAgjcF z@vo#s)$j-ME(eK0Ee){|ZX&kYqaD~B>vzzLl;}KYnkYyYxw6<&`RVhc7QKhe&DF$< zrGCYDp#I^u{7sc%Sw{C^F%~xM+?=@zmnSuFTQMMkqNq2$K)tbo%j7tTKFT z9!V;4X)bQ)K|oim1L3%bJ*DmD!w0)T;Npr9CfBFY+RP43Rv$WenQG{#lRmutP%JUc zzvH4K+22||z;-)@%k9kJ-V?)4m7TfdVZnxjuvupXw6f}&4W$K{%@GBJei3yzI@3{P zHCDe)h`yWYCDLUO11cEh6Q`B=*zCU2>X>B!GSnc!EIw z!*WxjwU_kK&j*F1m@!lSsNdye_)qBWh*nSXvtytV)tBL7dn(H9@ax2lO~_hBUo^ZG z`PGhZ>n)??OL8=dMlsT561mbjk8d-Yiy4FgwK!p_HX&{GB}m+v2%}`h$fb>44?jTY zn6GLAZi{2C4{%YOl&Qw@&1`wTXs0BvhkPBFp88PlIEjxj=J(jnzj?@s$xVKo&(g<> zfmdA>45qao2oCuTun{qyi9UB|K)sR4;6ChZnye{1r1v3#RB~hiL$A6mrW2R3#h825 z*Pvqtq#WoAoipH*wQXN-B#od7*$8+bVsAQ2^y@a2v2%kq`D*G|9-yL|;Vu(5^sgE# zFgEYn)h3!EeXF1jT+tN&JN<43uGKc08!zj;(i+^G8W7krx7sGC{RMbt=5Xa%EeMZS z1L>-V$rIii&+AT`yHT}-RQ-;fZX%n3uA(3i2Eb=RssxhZV)LtCn6YZvuW@gq;PXOT zJCkgxj6GSm4gB_A!M`NYj#Qdz#J=55>1`OD3}Gj(*m7W5jQbE zQhklQgtlXyt!d}YuF862c#RLM7_Dy!0&mW0z%@XNDnDobYBH4GezN*OzUla{j`jK( z`R7Z=E}VI1WG=Ti>ONAtdl#X((H$*(`BNmN%C6RG&GcI5$^N1wGO7XS@aIdGuLaqd z?h=B@T-@R<;=!V)D5pL|q8`W=m8E~bE&fbs2fh_qKv0V-#q@#A4`Z;mmwq%zchF!#cx_CuM)E?rVy&x4Rtqcz8-KqE7u|Eu-UdR z^}F5bBA~{O07q<(nX0_{RepyYa5RE5&+3OMf8pKB+R^Bor>5k6Y^@Sz< zl@i1v%X|;^akl=l0YP}e9?Uh3h*NJmw~%*(nS$7EJfvL(X5ySdadbY~f(+g>aq~@G zxI0kUU#bSh@pw71xE6bDFIb;#K_2qW+eNg3*pK{?w}=8fm-8$I=UMsy3c9VgsRDP5oIqih^A3hL|K&`^e}k7i zz`OIdwgCr*60}rA)FD4imrX9e*CS!WW^yhcs3GVD8@G1}9%NkCt5-bVA_P`zc2&{zCe=aV(bZUiII8=5ad8#LbqL9*&Y}(crIsL_% z+QPYj)UC>b#-V9cm54osI0JX|3NLgkVRMWeG)6u-PAs=Q1{_~1u>+nSV9FhGN^yrj zt&9IJM=$q8&U)Q(H~&3&0@TN?!)_zBqj&kw4|aHuro^FP#vNcd%8tB3{);WKAYSq* zI|@(7rZvKZ84b7#{tFUKvF5DE`=4E8l1ujRv{uh38PK(J{_tt>gFFjsRn1Vr@Ymp0 z{C9dRRT%nY=bshk9W!CZiEnCdWS4U)TPy+amcNIg!!6JrrHo-r&|W?)<)ed7)yNu) zf4-0dc8A920XH1SY&*SaG>Q*HisQ7RHew&_x2{D90*?rm9yIRbypGIDF{oaX~Qp2E;d}5{U znfOo~s+ifN3<^xni7IrfZkh`>Z{$zRGpsp96^g1*(O?$n^4AWq?^kzi;!2Sii{5&< zm$_m$F;7f>Moa22-UzP7PS`i;s8iE`Qi@hS|CL*Yqa!}4?L8`+gF$lQ7u3IxG zeAq6`KY^{OSaGBJkdKrL3qRld?%@4KwWnkgMgT@&a2_AROrMw0n~L8_lY2MED8@Ov zeVVFmaHzJpAQU}!R}Hi+Pp7MQVJMrs-||^i)j-t}d3D?-#(BO$c>u6B;LEp@8i|Fw zCvH~iK4iDa{-3Q-&E<`{4?p-vwc=MoU;92=VvnXbwYz%)LWTh^HClONlZnA+Z_G>L zh9sNe!TG;$|78ZNOluoHQV>0YLvLV5Ia_EA-qUlVTlX;&Y-oS9o@SC)L=*ovA*EZv zc5|yeP72X8Vv`#KTkW1=es<+$XXk3(Kg1e3=8LpeL_i>_$|D#reeQnf3R(U=6S-85 ziIRkx)e#zlsW0q8C@t4t@#*U$tUhgtc)$Xd2jJ5jh5@(;zLV~X`TxHuV zLtQSfom-Mk&eByLyeG|@xLt*|bt;>lH9l*k{`L83rc-F-N}H4@TF4F;TG_@gCwi=}FHyS~Yj_#eU(qsmg1S9%V_DZl&b^z z6{wH4Oi|9S@=g2UJ{%Zi?PeBxz^nJtJ|)%-pL6_;xfj1~*PtKw%nU)V$SQleh-@CR z3ke`hY}Leyj&}c@8-&a*J|Xg%{WKwJ_`4;#7%&}R36~Up4&bAi5_C+&X5UuZ1ax#~ zSLEoNd{!sRMAQoD9cfp9>yk=>-RWt__Oy%fPKm7e;8=^)#qi|q$vgq__!W0 zuv$#4dZhYy!B`Q)et@oh^^Kg|XhENzwF{WIUV!)b?zclB&u|Kz8z#&RT*2Ga4a&~V z(w@CO-FDsYm>O*soLPRoF;{pEjn0Qmf@juXZd4txVIb>%_w_*61-2{|+^UDs%bpkH zPPnu4A-fhEIv(%8QOx@jNhc^pDkW zuHvUhXUt}ZDzB^NBW(Ul7z$ddIWpSr?qJ^fZg-t+^6f}lG%an@QwCYd3=uQ}#2kGI8^s?#6_P`R?!wLqgT}BJCy%^pp*4&6CDlr=P4W9}8J1y6VVE zirHA@`ah^8?D?gRVSzj#@lDGC1`=_LxpSXcn>St=c&$?TJ+CYoUw63bw^F`l61y&0 zxtN*62Ux6yue?ZFDm^bXS3dwx{W)aI7MN!q{>k;_u~AL|mNO)SpL zBkNn@OzU_S`H-73w-T(X74aj2kY@v`$?~E1+%PZoi*t8=3^;dQmMb( z5ftfa>f3H}wv@F;g>LEEu5IxPo|%D}6_L#Pt@F?U$Wl7fIQ?JP9;f%pQ;F^S zqPZo-tE_D!k}3Cs7F%9mmL2L&p398 zF&0#L<#s1(N!{vM4;6AAlrA+7Ihdx;)l~nv)k<7-qCLI)AWcm!XKE7uLgGw1O|6~0 zA@C+tZpz6qiUNsWg7Xho_;odMVxzk7uqjE*1NaL) zp5ZhN+Z7axZIV*>M|6`gB0?S#VW$zKAehBO4EHqbb z_P$?GxD`?PME^=>!#cLU-qycR;g-!2S$#(G&-DIvo(}Kq_lU-aW)oVjTt#@QN+rU4 zC9ZP++*nqsyqH$xCW^>K3shKhV*SVWcW#1r0llJ9tT>2_0XyygDX^nVE!s?F7kF7&fWnFfw7V$d-a^_j}n~EVV>4 zGl&2g_d5?G&N8IXf4Mx0gQB~To{ecqAK`zrpFY7PpoV{1i@M24g0n$q2SUy;t6mN6 z{Vv>ci?#eBir6%l7u!MLrj)hU+=Lx1pRHxaPjRk|ec))ZKMz zaQe$fqIGKg@jGl0>HUo_!1Kpnc+`LwZ`tR%Y>U0qWA4@>IOopo)O^@d`qt)}>*;BG zyCFc{NaPNviF%+6rR$pAC^9sP@Ds~fPGP!U&nkC00}r+=%3JEy+Jq}gER4}B%U%~s zCmP`fhUw?uhtzmTuveH`#A>g^2N{FQoe&E)la6^@VR?e=B)W=>Q^iW2kU8ztK~Hod z)KtIwQGAdU>qGa7sy~{kfif6oD1W$KH*sHbfa|1Nv#jQfi{(u^^WakF8*R40A0s^^ zZpgpIoiAJi zms+lsdPal4nn=&5{FUVxtH)}tfv?baz-oe$H`>|Awoe^}p3=ECX3}Jsw)*WnHC&qE z`kbUUOei_^fn4=?uI8^E1zYq3kTJA`kTBf;kO*Llq|1BZC$u?RBiYAW6zxH2b49Me z^7HoMlB%rbll-g?J89&C0!PFcDd{aK-&0Rf&e*`yK2l|l2!?VNB50A9MG>#nn`Z@a zgm2~p1Rd$ibCX7@rnsAR!l&-(%!kk_Mn3W?6UCQ0Lkq%QRbiG3%%^Y2IO;le-v3ER zUYg$kN@T7EGsY+4Q+pPg*kE|D{u%xq)0*Fbn?b6}6AJ53#d&p%7Q_(sDb4apyMb-*)-a zO!*>>;K|0r=d!=658q47fAJr+NlBCN?DOj-!7PR%lV_{2_f1W+9V{C&C%G2)+jSPl z2Tga_b(@U%G_(?R^92YMa{{l}AG;0b{CY8w^Le!a^wC2&aD#`&Wid47{j<|OI_${R z0mR~dbK!<<40>E-a#4K#(bG{fzS=*#AE`LymoTlU7E`IX32zH#eHw*2QD0SG)Ab|Y zF7fEhPa`fdXxAZHZV2xQfL9-!EKHC{ko7XNv*}^YKQ`VKt+-Q>zPB9@S=7Z!QS} z;UW7Ey_|5%udTr3!zxSTWBS!F%>-E?>-tp3sc@jp&z@$3G?gas+u!GRuoIhQT_sC#i#hEtC+8f^%m>!Jv~!;iJ`88|PgjO$xk7VGKZg;RFGW!W|)5@w|Hi!vrxEu|X)a1HQ7Lq2SJ{)nO-%2EUT1rBDpSFixtOnbMcs~ln- zOrS+tV6d$~Ho|3op9oMTxpn>|h&dd+aKvRf{zFVae7z1Kc7X@*v$3V`p8fH)5>6h@a#DHLXrT>qqw}6Ur{ocR7=O_XqAg!c;fV4{Y z5fqe0y1Tm@jz|dTpmZZ5j7m4d5Yk3%b6mg&SNQQ%hUA! zxz*_$&n&Y<^o`W$3!bQi#KP{*_V>8>OK*wFs5IleS*y(6=Mns&;{DNZV9#kax{{8H z2?0&9PK6~}Y&E?Nu5gYa)!4Kl=t6!Lwu}XVaSZhH4FvLdH|5@g`?eOY=&wWb|~>k%Lln-I-%M%n56H5r=CiY zx&3o^cdM8eAp(lcb!XV~)uO8EM8_wi6X9R9GO~w(axa?+m!ncTH?gnUq(l_O~ya9YU5@inT_5sWHr5ri+yt`re`oGO8#UJb+{rH{j`>TGbTQFa zg-S8se7LuCMI~3i(ABik--5|r8-Tdz(j`rB6LY(yM#t_`LDJ1Pv7Be5bU_+HLr#LB zEb#T&56Fhx{9fMv5Ti{|ndYpPEnX)@g^p;3s<(oRk{jvRi>_%Jg3a2hPntSVGesuv zw^oQG&v}J=!?RM-uMuV`^}%juozs4g5}(xDmXgLx-W^k0aG1QX6kl3p=bpB4QcH9i z?gl;SW@fVVM9%6<^erj$U*l)6H&p>wok60MvL@`fhLGXKuJ0Y;1Ky3S!wLraAh-mAdcewF(!Zj@dq0L)z|F?&h?Zd zHT!zHh23erXzC_o_?VUaK)NEe`F_ z{_Sm~nSlH08-s>jq!~Vuf^`gHQyVX)Bx0080Sf(8?bhRzpxspzfgL%^7}XuK2};Ev z87#=|LM-ZtNEbAppcL_~EXzH2XakUSz+-rPSC|5FH~vKMZ0Cfy#vz{|m#5%9Y*KZ4 zlJ={x=jP`IwrBECN^MJWW@TqlhHZ+Je`MRiN@vPM=*wfxA)`M;$G3OZxQhmuCsl+j;<<{adJ}&XTn`K9gr!);ea`vts!|SlY z)fou~4r)!`YVEF;x36X#6<13BI1K-X38^_x3d@_h{5n{1EffozdGzm~eLcRa{P3|D zx8h127S4M8(h8IdKo^h}pK(Kq_Y7sp?yAJ|YJUoN-Z_=}W{RCc-Er^4Xld)j7B|QW z5nQGiy${BX8o-ur>E%Sw@w>2FSG{T?O#iVz2V_1ZeJ1K#kPwD>!WUx#UKRPzj-T1z z)%SN6j;n5v{*u@XP`G)Of~LTlK=1baulL{Sh|24WW?{waZIrq9)j@knZVg+)DUarh z-T+%5#d@x>m*ZoE`RlgIj)i7p;?a@VM5Bdq!>4&f$SLLLc6^#cy{Z0&J3~%+p=OMQ zy9raDfp!#nwm$v7@KE9|t@IIYTr<@YMaT2BOq~uc0P3W}>n}ZhJ5lgKLEP0uN>B7| zI_QZ3smp2DTKSYg(AtwEz_8|91Pz5yc*T0lZ4RSS|19|raXT;E3!0;T)Z0j$T%$VL zA~Jt{ioSi(4IXEJZ8Fo{-sRH2`vKTgz|2-encmMkyP|NfK|_xTTFJ&Ip8LbtxzVKu z4**8%YML7CA6Zy*Yi7#;@%y*K8Dn4Xuu-OIe*QIWC;{!nv*H=Y{X{NHa2gd4-T=7F zs<7cBZcM3C`AI-PboCzh8ag)(h72Xcyx{1-+#BS=41WX_$9LA za$qIv8Q!kwdyq95Agvnx=e%sr0RU$#m))+hE5UB_GLtqC`Gz7UjOj|oB>^5^zFPttJmoJY_Cy> zdLDdg#_TnnimmQjH0RxPcH?coIU%QxoF49~)r@+VaYn`CC}w}K^!>Ut)pluReQj;Nr(@L7P#xzPnh!h@0luA9;`K-}b3OI^s?7*|CIv&cz?S5r2>fe<3SC{`hC!n1&X?eg0N=4)KYb^B z*`-T4!knZ{jL*a1qGzz;fwEgQZw7Vt)KV?eXFXJk zx+m+9TmQu)Ox6<- ztS8rBS7zulyc(n5xa_jRrT^_Vy~~wMLCdM5|MP*-;SW{RzUo?YK6I^3o%civO7%@g zD}P1(eLWZmKb!70n|$EkzcW01CFps)`z-B}wX&E;#&%AiVC1ykRzJHdO~Ub5)|SY$ z8Kh6+_1#uD(ly10*23Gp`wHH?v~efj{D%~@TtmwN^*%^9d0)hqj$7Ps!y;|E%Xz-Q z+&Xih!gF%}gXoL4U#?R25k(O%YHmCbc8~J@$Z}8Zx2V5dMD@%kkrxnuAv^+XOai&| z=Wn^9T2Q82GX*+bTNC5s*`NRh_qql9DzeWz>2#by($2EXVh3rS)W)>-0T+MyPjjc9 z)=O+HVO0+OJE5jd|MLKwdP;-6?i`uIW`b+!YztI-Bnc>8<=}ypR_R8ljefPljUHb3{h@MY|4IRrYWbFSXUl;hLyuyBUU*YT%eRibF23e3Iq*X-P#>r~7 zsu{uU9JA1udN#+#pfQmq@FZvw{=5|VxPN`hER4_>T$>#mv_y<^DJltETI2v`AZ_T< z?#DPaJT+Z@<0~Y4hARfLQrRn?ZUh$)`i2Zk;K2O_g@;Gdn>ldb^JB@OWe3;&YQK&m zRyZ<9zx}tx42tZsiimILu&;0{5{kcm?2k>i=Oj^+96QN;)VIzpuIh%M6C17F)j&yT zPug&k_K^KewbHRN! zN%`Y?YL{>=%!5{BVblA4C%-Bhg~;&w*B3YXzLt-V&3XKC9hJ=WZX(LElV+e+)lWp96Hs#T(t+Q2>zQZHQ?*BrsH^iZMCt=m4;1G$mzS-b!R0ZoA8=svmi z20KW)m3#ai zJApmFf;1!+Z3o((eG72%yEht&$|*Xee&G8g;o+tUOVKi%O+tU2ivY6!;T4_%FCZ}F zT%9}}mvz>s85f#*ZJ7HPn&URrMP6Qy8wNIZ^t%rx`Ry6_>SOASsKUmFbG` ztuU}@Dd(nT|A7U7t_htGahr%G|28Yz0f`otQiQemtgXAs)$n~~cIZQNa_aG0x&Q#K zhDNk4+Q`Iod3K^~&+!}C<b*Y`*q8^Hj%}`HVgg>m{oaEAW1m{kAY4ZRS{I91LpVeOo}ila?9oz5%S*P?&E= z@tM7&!5J7KSrHc>M$M~4k@%m`I-BB%7^F)y79`McreI&$|nEIKdx1*}E@R zyuhO>zSwG9QxbbF;m+>;X7`o*==?*jvt0@?o~Z?q3LITLNZVUJC$8{+AV2KZEYpKe*uzfD1?T5x6Ia!9Su15J zHUUn8Rf;>e4(pxKnI4aSSIXU0C`9DPodwlf=4@y6anW4wYc_2OeT_c&ez^lV{?)mh zBu4h0A-L=C>r`Jo3jOGE8MjCFS@i^$Y`m2TU@s}>2h+VCM#rc-s9f!G@{?s;1xc!S z0wSf;0i4BX-tv38fhyzqxn=OAvGD*G^*uArKLat2j*<+OS&lC>k3y(MhYm$%s=lD$ zQl*98R9#x%fdK<=gejd;V|2vJ++liqc7%nN%2~UTrrxDpbj%Q}>Sy5{Ay-YoUhB(+>6NZSFNTe#a5U@PuL=b0pGyrRL}qQrvO z;(+L;w;f{}$0kcvRlT%=lKDPG-#n@Gt%#hyJHjtsZ|UN+*@`rg(66o*$%y$CFMN)9#XQgZ&Y#-=*hz!xG@5$Pu&#=Tp4}OwCgc)_IW`oA zT|Mbceek53nMKe$C0t~w7)EitnEfBHK;6XL`e<|2DD^IY%fmT`qd&$Ch+4+P$|zTy zE-A5lR1LgUx(0P7*L!PC zAmPnxVdgF4{GV-4YE^H#;vai`xu(#mD9fd&X_GhpvJx|eO4vKN14Vs>%x$_Dy#8dT zKYE{66%qkgmeIv8+zeF|I>A=?!d%sQ!^<}$OO}XSBQPWO0+i{Is-ReSIkYcw zZf>WoF9~)SP%IH-*0hG#?e9>+)&8@B+EegST;olQtkL~;XD=t(?_BjFTej~4W6bUY z@v8=Hg2CQ%{f_+>X41OnJ_X3*uhy&UA8xgE%{;+%ZV6**L#RF!4t-Sp%)xD2D($g2 zio5o*FM1vnLs9B^Q@ChpwTig`f1A~RuHZGIg~(!Vau&`%52_q=j$jeBeTryd75}PopmR#f+ph)F!@nOYR4oW*74-GS3I^B z8SOMfE-zkr@vu#A!>4RkSBxTtQ8Z#;HJ#yHX^nH9tX211G2-%9sn$>Q#4^>g+g0+d z;*ek)PT5&!3C?xy0au0xxZ!7N3};ekKw(Dlv65Ju(wYe$v-`zHC-P#>_V&!NL_R~c zJBy9n(~0suR_AsEDvN@N1oSp0%Wfez+MT+ugGM^zf7dXY7~`Dtj9eI*BQ#RSp4m3t zf&s8<*-z@8uq~vlhsHSTR-h74?dj=+k!4qZyGuA(v698bKd_0fq(YV|vUq}Uc2{E* zz4-HQ;hQ=8{^RiSs?UqK!R1VMvV7a9d)WIVVb@)1SCDjOi3cae@_T?d z$zM)|`xYCetaEMdB>CWRh8N?JJr9NmkYwSJ2x3je-?N>VxJ@Bcu3`G&#P|Mc#P@w= z&+$oaqi@GkDQWt|r39{~@E=v*NTw$`GAD_ub!@7a_xx2JIW0~F)MUiI*K)K`sMD?f z>+np|Z?4VD)c{&pSj~4#TATe%&U@79GyoF(P+csWNR!nggN_0G!6(XsivN}kq~D=! z&V!r)!9yg>l2)0PTLryXngh6FL8oB2&@uo8gG0zF~H&)Kzc@L-0a82)*^{T|x z_>%1%-0dowu^&4%dZ+Q8X^+CIiM={HJYIizpEdeP$x)nXRqYQbD3$z6@ATJI7|mGU zWX10eO+l1ypb@0}D}~+Pan{@(h)+8tI$t!jr>N#|Enc z)!?V_5@T9B&*#=?KfqQ5b?i`TD%m~@`hGyWH_*aCofpa7Usxi!d~1qAI-p(bt+#d3}3zM6>00D*9I$)?DJK(Mj{CV~v`r zZ6ClIqgZIVnb}~nBZ?+Br9KQd^Zt}}?TdebK--q?CxgHjpSs6WPOn$D-@%Ga6P0<8 zqacJmRLDZzh>-Q=W2Xa^rTm+yiJ} z2Ex<+JWk7kYv;xcgSMFX&4|~iA-F!-w{I96%YvfU|0La;R~nzV`Ou-VS~K*zd%AeY zs#HX8AJY`E;lxX1jSmT*Q_3U<#=pp3G_e6N!S$K`)zmDb@U1mv@|6VvjQeGpcX-7p zDY8G1!e;RwoLyAP#(drhtumNWf*ltLhqL`B(Ho2#FRSS?a1bjM~sr?2#Ip zCTTp+zt+yZ_-(lLs!glC*BxHGaP*TppJu+cCv}=hS||66PKMPFHB`Kudm4340e<!)0jnW?CHD z`NSqdEq|v}rKn=`BPXqDYpXVzW!W;!kR>3d5sFvVZnMlX`GNea%k%6Qd|C5GtcO5h zt?wled>X^D){dH06uiC8JT4J?TqL(9f{hUk5$GGl<8A-0V>8yR%B~A4-2{mZ%Z2r~ zV*hW;g@64;bX-(<(Z>!8(8;%3qv)fhQ9ST6UA%$m(JkKcwq)uKLY7N({GSGKrob@e zU5Lvw|HM*gk@;qDl`6+Egv>MOTrSfT=odHv+ElF*8(mA!!1$xbbKjIl@d@)I^pmN&b<% zqrQNe{|eMDR^B-u_f}O7s~OqyF`0?&v(zs(pVM(`5Ag`Se11$a%Dw2OUbW!iKcVhQ zBm(lxzDBO4w-B}w8p_e};0G^?E}L{l($vC4RrOBRH}WdB8`h%EH+6x5E4s+-Z2#+J z+eb1ZwJEzT9~7b2w%oPyXiiSBbX-itv5xLcjT(~;8UtZjSxYg0yz7;e=EpC7{fb_7 z7?q1&%;eCg8vOwUC(~Q0WFsck`*vIqayC{fKsbbP=XEfDvSFD2Ivx5fuU%Au`)W9F zTC8O3D|V7Ez~Rx-Vzs;SaZ687-cXH z^FTFtg|j$r|IRG{tRRR)@}6hinTia>7jEPTY70Os(Rr#N;Z!_ z+OkRaF#%z2;Tk*ot5O27E@nl&>GlGvob^g}2e%+dGp)gtFyyxX$L8+Q!`C_M#%%LDO}jSmEgSKg^X9F|L))NJvh*Sb*jD|seapg zK=PYzh0V1pExMT^kvTS>O>g>C0t^q@uBkj*^t;_*B zxn<{|z|*M=yYS^hB&4zZrwfxkIu47x46UE*Z6_rKP1@x}&xWt$;w~Qv8P$qY5^Rywkp{#u%CcHe=xFFBg;`Xuk_T=zLlB9%Zoy0%^ zXx+JmgQ`MwU2Cm+?PA<7@)1!Vd;j-g=Clc6ihv7UGMM@&DE0#cTm}toavoopt|=4? zg9w9z=RVlRi6lMllpsOdo8?ImQ3C+o8$CCUg2!D=W1?czjl$xHgGNR^#>BX`Ion4{wgCTDrQ;J_bUN5?0nBiE z-M2eDMXTFZEBh@q^O_^I_$!eSO^3_m9XD|zNXg-+iRkYlr`S~%K~H;$1tE@Su8$Z= z(x>Z|jRiN`6htN4U3u@)f^=|kHh+`M5B@t*WLAUj^R3Y*llA z%z5}F2@qm0rw)A8v}Eud#j zmp{VP|F-5%P?Hv4F%V0j$y~5`1bztU=a`<=p)o@-L@~13ly!zDJJ1NVst%w_ZoMtGET!f zJ5Ak=U$S8ozsDV)Rk``UjsZPwoA;E!Pj2~XLQJ}UXCRofpRs>U>tn8SvgKYj)`#k! z`^L(LB~BYKj~RyI;O^L%w zAh>=k<4Ljbq!1PD69$3$Nh^V_u2DkEUQSrDHtlAn3UVNxRC!$;k!hh8O;F&_TdPuD zre>>U0!F|c&dv^;#H&AuqhHlsUuDlWzO(emwwc;;vw>&9l+Vv{5B|W9o>@KBKb%MZ z-us|jCIpY`@O~70eB%lAmQ<%1|3+|^RRIBXX~T0>JTu5Xew+K_^a>~LNXNy>8;Hrk z$j|-a1kqAj4N5h-+sXA&LAX~tB|d#s&!E>0m5t+w<)q!sqG0|_XH3cTLJWcq2;RaT1wS$s_*4`FFFK{r); zj5i$QQs(u7^>KbcLoJKjNtALaErG?AIlb2-tW_bx0@%B%)1sQ@htMocqHxWq?d&-2 zZPamjZ^ojO$QC#AmqBPPDq4J)Am~-X&Yc!0J@nuu1nvUie;!V*Ed%}yx~{NW(}=Qw zk=R?b(!+tog&o@(=@@oU8ZT!#tt}0C_Q;`zp=K8xl}Ckbm@D55{D?^b0q9_T8V2NO zQ`p!zD$Sx(qeD}&3C1p%WQW&FFv-1gF@iq;q6Gl5IYF`GC%qLLfhET#fTXdJv|s&W zm(DnC0|)A(`@W%9SfU_ik4!$S^5}2ivlp#|@-9btVIvf>!!8 z8(XmO!o}%Vy71vR=@JhvpxRnnFY!1Vy0i8QejP2XzxO{!%kW8ylidxSPptV>V=#Ao zXia2_aGUsO<&T|7j(j}6?&B}}8qDp$k@7pdlNOezBK0&XQuSIo;N<2p6u#dTmXiWZ zaVTkk8WEuKiZ%d;z76zw*;_fotwm7(JaK3*uJbd^Av21;rgADUY}&_gEk-LB^@Gz1H1?jd z099IOq_DWlJ4a2h_5wnm#Lba*m>X`Iq*xY6P$DY@zv|gJDd%Pg*$j6S((O;Y$C1z4 z^Sg9aj&go_PvOpNOBDrrjX=S(J@yxiw;K4(D-hHPULF5>?N@APM~tVSu7%?kxRfGF zQgJy+SN=}mPIl7^>VG5nLG{W%kblO1)Fna8AY8%d?uBqbFvQ(DW=;LXux zmRQT7;)=>z!obxGrkl+zemcrMndok}tM1E3cG`uD#2Zb!9n0>W+Q49m1CpWs908X! zEbfD~<@GppM3ntCCY?3=*3!}9$jJGVV>46{?QTN*$71n&yTeqpQc+@y&s^dB;Q@=L zL;-(p>#MlV*tu5%EiU9SJ47n?RhUQ1sZc3l-(F+{J-B6XnQtLdsRqLb7$U|`!8G`+zx z6F3I3%4w$-9m*U_l6kapCIYIlTw%M<`qdN&tkRo@~QYP!KAmV?OfTjyo%3pK&Y>D44~5t7!m?0 zveIqPzw+&vm}JX%4R@34n!glR+i#I{_8lVDw+WBfek?ATX?0!_mmyS+h=DRhO?~Q4 zH)x$)7h-5lk_d(kqnSOQWpycR$oZa^9MEUzjz_P4J(qE;Axswf^Sa>E?W^f;wd>it zRS(>bw;+WQVvQM{y|3&u+%SosrFBfCfS2V2-_pIGH7YeOb}@&aAz1TsoC_x@9dU!~ z6QoFa1O{Qy8uxk-hDI$_-B`{-tEHo-?aM2)k{%CNP^n{Rv7`|^Pj5a$U3x@+ulucI zUmkDZmfxm<-V!j>sT+;Q`b`&E19ej#Ib4wHneX6I3SnS?J1#Ad-`8=t&{v=@%2b|69%DIl)%B6UF zD_k+6R4Fz811UJO*-$fy>ge+eSY)QM~J&F85VT@jVBBi zlcJk+70>#A_|t0*PJM~f>Y_-KNKwx&?G*OURxjyLIj*1nY38773-P+LLQwmr7yQNb zeEMkfK603Jbi>hG?|Swe_jD_+1A8&(SUkn@bMkU}qa?T#Z;oK9E-v?Mf0B7z^=blX zdg$=S#zyo$xRPwIO-mgE1=_n@1#>K~gSHojs(3+TKzr4()L~dC`uCXD))=W*$r+RW z2PLEFAnV&|o83xdCZG{UIxUz@Vl5KIO!BDz`E22&h2~@w0S9UDqO9w~zuVM4Jr|?m z6oUR%8LMBu6BI_^b^8&|V>8$kUavJ(jC7j}UH>?iC1QAL)3UBBTU7?xbHBFN z^IljtF5lAKNV|R2&T3v3Eboim-}`V&XM zN1^1XHoII!#TrgC(^agA#nR=)hA^snhf@mN!lv6oCg3&(?z6F2R{f1ME;{SV;_D{w z_~)^I32Uc+zyGfpsBl=0L z+0}g;ZYcaS5qVbjm}GK*L}T1tX+0ZJ5iEnW_=pG5G5p4dGJ1#Sj^oyqm9Dr8@rfA{ z&EnkC6_<{n(M$^mH#WnusqhM$9`5J_nn+P{-^M1piHyOlE;1hQq?{DUltkffc{N_=^A35z)QL$S_)X!B2p!;(KYHmyAN$v|F zSbZF*e?=e;7J*l3|DkW(47TtWwP_W)+VOtosv+ORQ}LXLY5Sh-_kIoNMET*KzsCM| zICDp54fVfxO5TaVTOki8M#d!`^>32{J`apL5xFbcR)o0Te;zue_L-jCUVYI11%QWc zf47&!Y3W+BagsaK4}b29RY&zw>GiKTS-(-wezL$x9G#y>HQsv-FI?M>KFu=hMN~@1R0VaBnwD*g*oVF7}YWv6DYO$j`iR9*D+YH3WS3>yh7>%4?a4ucNbwQEZ5(W~vr6(J)s zeo#zFUuoQ5j|A*xgB)@2uve*~PBR$pbCa*?D{r$~$3N$y(e_zO_iV19uHvTjt{>1V z$h#UjohfD_Mgav&-{;Hy7v`BUJP za&#~pMqLm(G$)UE3%f!gMF3|8opvZ?iRSpcQF~CD%^q)TBzjMt(}^*VNX=iXH|y+N zcG^R^-p&1jj988KbVT2b#J$w(ze{-KK+xQ9>uLsv@`uz1XM`GhS2ccu;N@{+?=Zcn zTN#jmf(lP#JW{}6m9b$ytB3;2&r1@KWUTKYJ-HdQW}b!*B}2Y!m3K!d`P59Z@{^YC zs&-kAPDNy{dQ0EIiHJQ{em9gmFxH)LSX=h|y-!lGb$M2``mVe=3zQvYi$~JeII^i7 zilpE+1#E_pJuy2Ys|b}F*{K8O89Hl;mLaXKH&K|2VwSxDPPK)dVF$TKnIZ-6^H(!b&9JQr zL(JE6E-_eKpYN~D+Z`RtUbJk1^;%tQI^^)hIyRj-hQu_~Zjz|bS0pE-0q-P-d8)v- zKeqB?4WS+)L>K4_4te3aiS<`00cJd$sRoJUO!D;UYU?&Kn)y)?J-1-ncCsIXbcc{^vM_C7Pa zY2Q;Z=bAz~=Ps;Y53Kr8KP{thXeVzil0D*Ha0`$kA>VIp!&dft$HkTyLKT1zb9FOh zc9$#V$t zX9Nv3;fUv;{&&j?ekrt$j4B`e>}=8&GpASpu0V9>cdtEGH2gf}|9rY-GY|BS2H@i$u*9R%yHnz{Gs zLF9Z*c~`Xf{>QW0u}x3e;?awD-dTIZC+O^mD91K$;Xbc~y@{D>|AZYai73NGmc|L3 zbr1AQ`_X$u<6mq3tw#%GslVI*UCMcn9$g&0@zdL9mbK?T{q=x<=q@(p9$_p3gkVr} zxn#XkC_X!Jry*2!J4;s0O*3-n__qZOmX*r(B50GuqAL3~v#zWnuK(E`u4$-HAvnS}A{hrY1LSMVHa6?X;hI!(T?{S4Am{bS}xT zJab+`_+88|6kQaBb?HO8s2#eUclH}Ut5J1#I3UXpS@sz>7v1!1Lv$h~SYgsimF?oz z)Zgo?9Vtn=HLu;c@e{C&b(DQg5TB#xJML1}V~(DLvP1f!_(YF&2Qia;$0ewsgfURu z@&7e)r=`m~so_snKy86=Ysqk18{@6)6rE5I1d(Z}FoKa)8DqS4jiPWXK3TTTuvQr9 zBI;4#)s>TABVSurNw;49>vcBhL;tg~|Gy3ur2#kbUspzhmCV2&o(sYo#=XGT2atbx z^KbOgOV5I|_fP}$mdvSog1xemHfUAX)|W2O91=}zSl3Wm*s#P*U(P3(J=DrbK3q76zPo0D4XOPNvfUoayN8|)!!dV!!m1?HnO4V=|FH0BRMpeQz$r? zd{Z;8SN>Vae!R@KvYrGRMpk^gY1A}dw zpdB4Yzg<%Q0xj1X2#glknz*)9EgYl>z%>3#0Hu9=qO|@Axjw|!lf3W$BxBT@&cY>x z(f91DneXbyj_AJd9v+_dp{ES_gqta*hOP4=MGO+)t$|+Qy{#^0Rz5O-%KSh6irZ4S z0$1La@MtQQqdys7u}`xMN|a;ltS0nK^EVvzInQ%phKn)%PWBRVyM0?+XqA6l+gCO@ zgRD|gGLOcsCP$(=ri{X_?TwjUA*cF@DQqgfF!^XuUP^;(=J})v&5Li-h65>ZX!xwG zlE1GR#7ol7rd4UBEN^ZJp%Wp9qAZx+Myg(a^MyI8N;gO)&SCxwJaR_RzRKfiqH*3!ZmK1@ zE#E8JiQtR19jE1jG2@i}rk`d3AN`(0EG3oWKr)dXb}|!i=0W{q(S4Y%x*k?Jeew{p zpm2jTG#aMkKot?owuWwFyq?OtVAKr}7U_L~b?@sRtJrpBc#kbT_ZqxMBZ0!SY#b>F z{<%*hQPxsBQD3`~K3-KuD#UP%({b*yA_2axxU3~fNYu11zX341(ElhOju?2(q$pOq zHMjDqW?*5Z^zA7RhmytYM`XnOvQ}m1|8jA}M1wdSk5Db&DoilFPhpKdA}soc?=?e@ z&2e>Je1k0FB{hC~KKXb#!A_M?s=~w*>;`JF zg=$XODI2(#Q#<m(~)2%!f z!wBxP)xxH$kN2HaySbG?lTk+$kOL%gGXuufK1XeR^TCi@RJ3|&>|&S3YU}1Y*`xSK z7|6(J-c4Wl0l($)R`K%8hUwbwkOG;b9EjEg+M)RBsjiX5w|43qA?0&-h{03R$1kvl zT{p%*%K}(}p;j9tzSOlcTHAkWm15-6y1ZY3kkh!d)W?6F>KU@_(kbDRTTh)SUjKp4 zNF!CIX#1ZoTmR~iZb74qKTh)kTHe4NZ}%c%DZq}*IcbDUv|q9mPPYGl;YJ0O7y%;-`5m2DH7FDGPC(7UDMNX6-YD{_)*;A?GIDG*BCc;)*a`m>LZg ztn>zM6gY5ziV=t!InVqai8D&NX3Z@&_!|4jyknr3QPPs;th#W64o3S)$xAr& zU4%j4O)|~#i75*UAH06XXD&w_#h#G1S@VziLM|n(a}BVjhsIjr1#6OV@~86| zqsi((BU^D~g*DVj-Ok}s^7blY6psg_TW9Yxjq!BrC^3PYh=d_;xw=~lD9m4J6KcDk z&nrg=3?R{j%Oq70QFikHqlOLav{`0A+`@L1CL7#q&k37LyokkmQb!6F4e#Y?S>k*`CVhpdj*kkcIfOhMPsQFSjLqdc@lSB7ywT!vLtEuA*MDitL7p;Txl z1}rQwhb< z`o+GnYLOEPK($>hqy|$1Ww=A;6B1U)pWK34^|sbiwC-uFCX$PC9|0@A*lF~%;G@0e z0rtdVi?O835p)Bp+~ZKs6S+tiQ|t)byH}=&;M3CjuOIuQ8j}CT>r1Aje}tG6Vw#6# zfunSJP~$ndTQvO{$6WNDx3L1H&?=jziQMA?NjG^ zr$I!9+Z-NROkwiX!`6yS;gH<&<;ew{#1S(2ceV+HX#Hc;)oqFnVufYH=}NjZkIfj% z^)a7Bm}s$c1kvt%-WUlN^izS|B4u1zkMJ1fb#zv~68o**7Q!~g$hVJjr>;)^NnMSP zT3w0Nk0NN{-8AucKh|8<7m7bhJ$H2GDc0V{Vi`sh>n|=YT2h-d{U-$a*HNopS;o)^ zNJmeKEU`bB`{eYL*!~vXm z*7s?q&q8&tu&&AVG$KJf9Ft2&h;I-0%d5fM2TiQ)VT!ZrED0>f<1+#8mU{&imM?jP z^SKv^0?rvwdBLUp>C(3HZI$o< zrWZPgR&UKvQn_XZT9O0hu9+xh`V)!lVhY|JFP#=07G$?GElKhGN_s$9`|`593HBleY6ziao;2 zJ4Q41y1ilEP8&goaUo7Udq~g&JnriFGZKy>Oz1rgqyi1y>a?9@@fOEspSI$^U)-nk z3q59DY!YfeV)Qkws&}JoZ0r&&Sfl_0@nxG5%N>}*bY~z{Qt~1nMx^WCIXd(|7A9fd zs$l!0Gg`v_!|6{OQqI8>iay^*-%uDc+~uA9w2b5_8+w3t;Orp*9_X9REkpm@PoPFX@V5yvw4=Nq;HEkQ?-;Bml>^G`{R|iEU}52%R_$u{RjQDMBW3- zBDB)a^(77X_dZck+SoSP+Jg1F$9m^RGV_;wSlQbB>-C!JsQ$)^XUX>Dxh@7e0x((< zx`0Z$`u;T~$utpZ=sa0?2l4vCQC;&rHT5_DVtGI3JHO$hu%BW7Fl4*a$Xht!u)HO^ zA|Uz=%ho5*aX+SdGwq)({M}VOaP3bfJ~aM)x>!F@aqz~Yd*to^2^Wugl-8M4F9xQ) z)d8Xf0&1Wo^d~YBSGssC>w-JJF2^St3b&KyqaZjHKva#(S3eI+pL15d^?QnxB4No{ zRZ^>EI*%yS%8cSFja@;GoF=|&BR6SaE{ms(u%l)|OHk+?HJvJ=mAX&gME+gJhl;)b zMY(%`(5}ITOVyCzbq~X>zd{K`Q%QHt`^&?ZAubKyWJ94no8%t1p3;})))4oXlmk{CiYY zyz$6a*g9N*9h#Sc_gL|zblT~@@AZy}>S}=2)3{}Uq4bipq6Af*95bkFJhn3gLzm;$ zz?(k%;9E8D>8u=;I3HrGLfPXwMWYwfW3s-2xKr&j)HpK78gP6PM(I>6*%%rrp(jw! zO6KpiBctz*2Zm|t>6xs6@n+l@EmIS~8lN|VlZ%5D4!VO;ixFFTZMzx>>Fen4TMq=i zFdFFdnMGJS_(=crYW-E36L;V6Cenk7_3&(&TR#;Z#^>nEjdVW&)PIk@i#-0ePdVh) zQ+#H*5~H6C5tm?wc;(8rKs7E-t^|NC3xEUzekx$dR;UpzPrkIs0kcH-i~7_t(%?y? z_kDs!QoC>dD5ZAtiGMHY4IOUW{!lCDtBQT}p_XlG_TI!T4QkIA0M}$#M@4zY1i#<` z#Wh%}+ZED1EiKrb@R7D2awK$+)?Pe3nM}gFX7eXlm9mO+ja<97-omuU`tAfv!-eH| zi&srgP&X&CgLFAygTS&vO>a;B{<6lB z8tkaJd?Y0cv|1%q`}9UwuOT+3ISPlCW?eDdRU22WR=9-0{@_ddb0_Eb7FYdW+pZOr z0_Dz2y2qc|5}?PLx-Bkj`lFcY&L_@7<(JT;7LI}iPW=gW+4i&ig&(B+JvdWBAw!&R zOc@wA)0qI1O6|a$+I1wA!8`VgVBTshue z{4F`XLXxQd`NH=weeaU%C~}M2RHGHc2Cs4`<^f(g1;BQ4->S`TBzlgW5OU^FTnUc- z+4RfUi_m(O&CFxSGwu!M9%!lctR3^g%ZF}g_GXTY<;OZV&+&4eH7c% zV+p-LzQEI&9e@FPr84gNdDh+Ava%U65o`(nZkDmVgMd`kfUxy}QTxAd!mU|74dnC` zxA(aj?;xU>aX~Msa&xYoAg07rkJf8_yjFPq5ooVd0Y&EduJq+ew20qL0kQL+L(F7Q z$Li@~^b&gI6R+_F9!R`lm4@1}Sw(FtuID8GPgOp5L{{$cG*Eewi*9`c1)IfDKjau! zx^mKhDvPV|4B%?6GP4`_5>J;ga5ZhMumE{hEjGZQ`j zR-U1OPZh%<%JzP`dY_CRG&mO!_jo4xGm(Vmq6WL>hLqY@fzTxthsO(CDw1U26e;m| z0MtNRn)?gyao3$`Dxmlt+C(C~fs#e!LQr**?)PM;lX6{AsbyxG-FS%WXs&0|UIC0y zVbC{R8Ry47rLr6Xl4c-L0zK1}g$y*JO!TQn%faUwf zjgNFs3Hn_1e3EYz6&l60eWY=7-VlT3mKf5H86WW`?>G?x;~fa2YSglX|}TA55RGFSFt;Oyq|x~xA5hqjLX<`57`x4 z_l2b6&*8;%QHoy@U!n0)wb?@uy6Ag?san>ic?fxz;~f@P13eFfvhN-4#gT|Q2c(H~ ziaa*GZV<%5^=lgxUY>j3XdxTi>BBY>ICItX4#T7rmIbR5gM=Qyc@rF|icdL0$ar6K zE;51Sq{s@zCg6DR=0C2*x~~HP$A+_vVBzGgG{H(^8b}b5x>0Yo8iS}d25W4Cs~g|h zw1=wpq@|fBmnu{(drWK%9dKrSJw^XF=ZGUQaQ1W87Wr@&wR$Zm=_JhA+o?53U*^l6YQ(h*m#D%fRH&e!*j>i_B#HwkMKwm~GTBh!FrI*S* z+TT{EH$kdZgsDS6P=RIOR|-&DI?UwkK>>r%y8t*u#XeVeCN2*X(dqZZhFdFyEe;8N zZ+-#ZV?OulEwo|UQ)rCBk;?vUG$>@uU`GiWqXi&?zupeqlD-K^Hhwe0W}XzF-L>;RE)oG%8<0}Cl1kqwZH@#N^5|>+ znaHJQCnNfBRR?qmpuMGZS?w5&yu0)bi5qrd<;(k(Qs1~Oe8DdJ|91nSP^bEvWd};q z6`C!Jl`8}GmsFMplvTq+b-piDU&Ll@za5Z>jiI5ZejcEji!T}EhW7!-`7^aDgScd* ziR>G(T=D>UMwKK2_K>)w7yBqn?;^{zf(@TdM^UN^ca2eG`l-; zik`cC->dXzeZg{>B!!i*0XprGjqC85RhyBPX7<>|!_;vdJJZc`O18(D_Z+M-oQF~1 z`tY!kY*2wba^LHywppu`9ujZ0?lqK3^L@*;&X+o6ubNtsumiNi@P0iP7H=nZzF?uv z6%ipLOXRQU15_)UJwbDHk4ZdHb+%hV5@PQvik` z0m)g4?(Ivs_Su*EG63=hbW4bVo`yj-rQ63JdHV+eJq40_m5*BUC^6U~6^Q=PRJ8Dg zwHev_`>!jDIT-scX%BxMD)xl1$%7UG5t~!#8gysD@{|wjum! z1DC?rIY3VZZ>ctKAyJn>K>>JPdqf<$U!Lc>?(1H+n^0_PikZzNgd=4NIFQo>O?iCQ zy=D|ALXQ@5UsoBNp|ovlm*jRkbs+-d%`{xVIJk^-AriR!<}C=g(^r&(DX%fzo=<+w zbgT$4#pnxFSus_%O*xG`&)c&ubn2qzIgH3~L((P$VbX%vy5gvMzZ(it6W6~BS|cVv zw*07QimfW^!GSO^J!>ylY;_*DI6g=EE>&*&+=`R2N<>lU_ao%~ps zzdR=Du-?s|!rAO)L*>5H)l4}KZZ%$FvTFby>6;pEQ)DSUWq6rq9iPJoGF};2S4DeT z+s~H0BNzFu#^`ZyO8ZPkR%BIV!IjV?c;F&FImJ9-+eI^a>ij!}I&?@YDxH2YY@Zs2 z=CQU?a2t=RDM`f?wjYvLi3h1@_x*LAXJM4JzW3ruaITXIL@%36O&Uc6#|#7KQgy+^ zD$sV_JRQ!n^3F}0-!*)03ERbpriX2_RFJUbDhw}#Z>qijk?=qsxoD8kRS>K!zW&6d zeuyLJCmn-?mb;Nu@%6fUy#%?1*}gt!JrYP~%EHOErRi~s^Ysz;0gFU6Kh@AIfA^U`;o3j(fEOrK>?o8Pp)F28`R5}hMQ0*4%Ht`0htdQoyWFMwzLg!S^XPT9Q;PD{ zVX-FcPmYJ;mIVXqz4>RK(dJNGe-){OpAzWDRB=Bg9vljdEKwZ8lysgjLogmNT7M`x zFy&3kf=HlwUo+b{_fV4N%+7VO?Pqg?L?+PEzL@)b^LyOhlv(G>AV3~^vQnzN0C+=1OM#e=ZvR|LrWIZdcIL9d=pb229jB!1C+Yj>9e&^ zZiP;Q^7&$orz$GGy`&+1cNO!^>^sI$GcRjW{;O-pYTE=JX8@si(fA>vNgTdhk3LgV&wYGu_NgLY?eRvQkloBm%drb9&zfsQ zMZ`PkC#n)YrEr0@Wu|!;fmxN9h=YfSmxv-cM`Yom+XE>)mqDg_2|G zM8K!#n~(EZsxoeOA_Rh)UU_&k5T20A$;Pahi51+3zGz8F=pVUANl5QIZlSQ&4R75v zB?!H?-I-Ap+Rhst9fTZwslFB@aqRvxut)C>8#s?^J_$}_oLW{PnZ*rB(q zB~5eoU-$ZN9c8!Y=BBu3f7WGl~ETK#- zsJG_Z`W8g)i3}(2N=wSJQx7k$|IBB?X5w*HoUl zH77-JDtqCEHb-G5Yr&lL)b78JTz5|4V?E+K&U%9F?1$SZyFI!CCl8UQ6>Weznged< zYGV3J^Hr}2tA5OCeLg%Y5P{1bZVr@v8fUhE_os9aL=CoTcfYAu=*}`-2ya~H(+t+B z(Ua3;6I#fdqpd%_+wkFz208pLR$IW+jhedsBn5;*u_8{WV=L%R9HgJ>1hw@5Il?Fr zIXe1Ioq&YKCI;)e;}6z!*kbovdKYoq1YqGLGz;m>g8O3kH1UMBz_F^ z5`e38?K3=EI`SZ=KR3o}`EIhQXilFq(j|>1qsCP@NZQVQc}_qw39oL4$821%aGRcVyh5&p-vdW6 z>1;hYDlAC9k+;P~!O0l2o_y9gfO@&@-{R7(O%V-rQ|1#kOR)A+=_CZL-jig6zX z>0PKTVdfSoGE6xV{#gHQYjbkeU)3K3hJ+E}5~+HEBY*lpFarrCol)k0A?9>O00WoZ ze(3ro<~&=wCF#?7_JmS8qxL}g$u}ptI!l_HUfg=$0jsVbANLrz{+CdXH2DaG33 zUGyjJ!!T#7ST|S!-w>cj&?R}i&k8n78{~h95^)Xiv6_C>QfTX?KAhU-c@`nUWcqb` zOwU@XcEmcjVD* zWx8mE!%OCISLU@L-rBi=H2!D!4fcRkHfwkI)zK088spcc9sx8*#KDNb)6oj1KPw$M zlCO(ryBI-Tw@sqURe zA?oi@9IpD!C!-Ag2f8M&J3(lSB$lyLx<=y|;@kUh`kFgZUeYeI%$_>T$oBq8Z(^uG zMIX<{=ka?i4#MlYx4O)xjc7j{mu#XaryF)Ajd%)jtqm9>rZjS*HRGj>)RIHgF5n?* z=Fim^KY~T=172rgMGi}lwxbHI$T2YrwYOA#Rp7SKP8Eq3fICUY?N?CUph=X+)_&z*x z@+-fz|8tKYjMDyZ?W$AeoT~6_D%#)uvC-v}de&I;7a=)ka=nY6n(1?bp@z?#KlqGP zfqmC~c}SLIJ?w7|r-0sCvn-B{E6_4r9gMO5-z`}--9-%S*52CDiP^fov~;wxpW{R* zV|Y|Bbciu+8n4R$k8&rwZgeI5Q?8zBZ|OF1juK~foot5DZRUxIsll!M#!7Y|2IBy& z9p5#jdn}5a^U-G7{4ygWU_Vhn|NT)@>3Gf|y`O)WO7GsnT?NMNBbN{4bYQNoKk~ zlDplo5=dSK*cjlut+(y|7G7P=!Dl78zV?I+^~STV_oA$ZtvpHi8@|`4`R38b$p(zq zpRax6tKLl{xfkWNTkE2ly6Nk9_YV3;rmW47Y4?;V1M9l=5S>a@m6~yCZ?Rf7>h zKBDoYV-j|*e)&Qz6>Xo}Y*@4BJgN~!&oO;Z3A8pE`8|YI^}zu5E2{u151k3k7(O#v zSHw@ncAhF!q2#W*9WeWo+~Edn+up}wSH_;6EjP;_c;A&1%9veiI93n-*}tVwSlWTDQ@^&>jLBQ4wirCMCiwVyws}eQZLwkDNTx~Z96y28<}k!76g;OZM|<2*4qIEOKqn3|cL4U-$WU{X|yO@3dfk=`&>`-{E} zNn@T*`}cM2yYl9+Y7BR<_bbR4Mugl`ouI~Fe&@T3b|g!$0WlPS=7^C@IQn`R{lO_@ znZV(hc;(rNB04M3}kisP3BI3z1e|5!uj@U*28;HnEylfhm1#UAbBPJr1h0Y_3F^oi;}8U3i&qakYKs5gKeC-ZHZWfLGxr!s zw0@?%%HkVMAR!j=IF-Udo6>r)n2+?ql_WzQeEpB3&N{VrsF(O@J~lM>?~>X3+V(gU zQ659-h))x~wYK(FE4XPzB14K5*+mY5!_d@` z@^eU!UUuNUfP=fCms;ivclGvzYlocJxJ})F7X}pIb4~<}7|Ltr8ycIv*GyirEvhtF zru0dVepBKd7kAtE<1-G|k40tjtA0<{`zrP-y7C)`U8bH*?D6NlSG{`Yk(Q$+tCYvA z!`9w~S7H)9G%wE=%vv(G^@|6Jd_VTG|AJkvTF-MnsY|jJS{l4P(P~_Lo?f}Fd4ShE zVW36Oz89?BQccfS8a+pTh|g;CC>KG@dk+|AB8ZB1eMkCIg5RL~k6ZA>TcVqYL0mO` zMp;DL-YMsY@{d9P>{Rr}9Okdk9HVWSYu~1Fu{0Xic+KBnB^$dCLC%3NVSf44c%9LG zlT(shpy-YC1XBWybi@6%@m>wQ<$KK66EC@*KSsf1jY$akxoQMon=lx8*|l1#ACT#v zSTDwr=o5}#yb=)n-i!4a`WRKUlPKZ`O+~e)bazhdD@DIRBae8Orp4Uyua~=o3edz5 zoTHe4!}Y)Id@fcG-~9)=>i?9aKJ;KxyCUZF=B_V8ZG62?<;y!VOZ-5nHRAxTdqN^V zu!Os*fQPb8*iBoY#zjt%WT1P-yqydVjjz6!>l9U;e_Y$^s1YSqXS?fcj%D-eUkP9^bHGo-#kL^$)cYI*# zHGT`Tbv7;O^O<4!72XWoQtW^~oWmWYyV&?S54!g;#{Y5AXim&|7C}qW&AKcC-{j+7 zcRw@CZ2C-C_7S$9(O#`t2}KL`=*!}M=8O}a_G~xML-i%fa9)97waWx^@ff->^rV7} z zF@e8RHa{svOJWa?Td@H9Q_vA+26A4t@7CCfjj4EbQq-U#j6a>gT5t;X%y+p)gt!_x4JBnYD-~huR*E3N40P0>^ll#u zZS$V>tNxc6zhk~{p6VwM%JZjCGhQ>nZc$BEO#+gr}dK`brL zh)?aONLcV^f)v7ygvTlipgcqtRN!j=#ml{*?VYwZb8Ihm&38_j`^4C?`U%(XX_9a9 zhh7u?vX5W}34~sNL_0__?)Qd(g^X^;uumK#M%OExEpS<}?^M5IuA}sSKdh^PP~X7j zD9hxaXS@(GB&PIH4GewO%-X8GuO{$5$NBJ$1s`-Yh%I!Z;FBWClcauwzXwkynAknh zcx6sk`szB{yltL{E_SVnh;gp_sUWyYQ$Ww|qr$VnU=e{J=uiSaeVLKTp6}r~^KEb~ zdBiF~6>u5?WJa;m3)hmVpP!@*G=7y|rz_HV!*qUYtAwx8e4M>U|NUj|DUZPL$rJ!Jj$W)v=-rX_Y>MD)i)GO(pYbJyTvjJo+17K4KNq1deC<`U+ zY<#Eh2Q*tx04H5@drh1-b#B~Wvjn1Tg^e2x+kFv1AL?GW@VU>;x9~A?vw%)0I0M>b z&}ish0WfPHxGUxT+G>*lrzM@+Ve^c(&G^g5yw!UyDo!6qE2{@7UAi7}*Yp?WJ@=&2 zBrluP^~h$cafyf!z~|S|D3KttZ76g8`x8X4TmI}AgF0*GX2YQC@21Su9%IV|Kbr!6 z?OLr*xSnpc^KAioKvA^91QbQ|(xA=!B>0$%-KEH_orEjrNphBffKHlDx{#%_js0n> z`Z7t++1Q`SERT&dZ2H?iM#_^)EF_c$l}nSnQ|-iL=wDB#n$ggX2t;6AUy-k>uzPlU68TRit)m{LB3BJ6{>Ku&2=(oM-r2#9hewz{6{1^J;irn=JT{SGzI~ZUDJT0 z!K@pKFSmfbVIfy$*V`>edLiLmJ3%Ali_y&sRyr-Olyo~uUkX=BICpb*!5;T%-mL|-U3bR6ysq@l-g5(zK_&d8( ztts6hb%`B6DlA&}O~w}bO`VjWX1v|+2ah@9E9?Mb5{AzYevdMxsS2*=Y@)eD>yL- z`h+-je1thGYQ`KEHoKKjC&%tLI8mf!Fu;+jJ;Tik^=4kJxcysvLL`t{pCuA_wSNhS z6m{T@;gk5?{R}7V(2+Oh8^(S9f+M5o4dTS@>^`&UUD8Ter*QJ@sfT(O{K8vH$AWFM zx@f%>b`$#!Fu!a)5&W9Hs>!LNzFVdaaJx`c0pZ8dKxWvwNUT3{G*Hg|`QPVk&;O2( z@JDU|Z)jvLl%eD>KC|H&8Y1^6DpBtD1qzgyx1Rsb=Jp06Wm2{A~TXa~e z7Lgcpl?e zkn{u6m1EN5eb{;(d!1%a;KK8Nc3C}{x+R{2U#4%SAI#S6QezqdbKs zhL~@wl6qv0?t|G#xKr;@`MT8xsalG$qbSs|e7j<+C#`{#M&Q-RR?GwlyTKb;{f7gv zke4$DC5`cgv;R0X-CRoV=Ya^sW%O(R3MUuCGMa>$ClvqLaPJP3^gN9&u!hCq0O}ML zLVoAp7gH+rVP#&MuT9r=ZXI@M%vcbcj|XAGbRSE$5fQvVv|X5DWm!^e+0EH>*xzDD zyeE9W?mbGOuE}V3wwNf;1>iaZ5I{t%d0^wH0ly4!%|mMpmm^pV|22bFC*Qzq+65D4 zl!(tPc)G4winfM*Kl4Xf!!z(P<{-in3c)DgE?eek>s@2Kx<@fpt3iKfJ>dxh7|J6& zDLLET`KZn>-;}#6%)`P17dcfbDS3V^eAc5JYkk*e_wywM6nLscYs}#9n2Om)r%*{miMgPgz8@ps3yXSsqwF(79FVhE`wdI+5 zB%Xp0j@sF}{c7o$o|z?wjUdKIds+MEerp95l~3T(o}wM#;72F}L&x@twz@9ykgYP2 ztX)mR#5sM)s|(f!gNp*xewXS)lTQ6_?Qjbp7(pKM9BJ- zTUvu{ELck?I(UY^m47`vBFp8zuC->at$G?pwS1~|G-?)moiPGZh1J&el6>u$`@Jh__ za_#t_7f+1n)7MyB$hPkGZf+DTdbT)<8p>6cnF>pBNWCH~l`SuGh2rj_2z4|&NTFx1 z^bqUGz8eUBek?m@14qH7$Cl?Y1ESk8PFed_idrmU=U%gxidVYYGerG$!*MONp!`9#?Zh;^;T?zz4$QaEWU2er%SvamZwnQYJFjjYT(~sQtW*| z4)iOQ6I=zyactR-Y#>eJv&k$h4HWVLhOiUyVv@+L8n?$z$MH$Si#)hjic6(MJA09K zT-Dv~{#R~%0l3}2n1tl>#h@4+27c|L#=n-heTSj6ts?EY{-`k%nmbAdCsFO#bEHz| zh)vkM&G72M!hQHPMjMP#1|!J91{nH;14VpXlxvxmL&xyKZvnr=a>O=4!lB{ZU?<|XCCh}|_Ge=;fU^cAnN2Lv$ytq~M&Tv2m=-6#19Rk$Wcjnw z>Q@YWKu)B|MqVGkK61P%$%x+x{^%`wo2A%JesK2n-~F$ko)ERl3;QhAS98Zy8EJ43H}{$sLK1#H_kb5nl#-gE+FgFO z@i7uwhz)zlE90S3rpN)VAU`Gm}Z6Db_vVl zxsI~$uT`vMb>;UP#8IT?M!1LYjrnFNbjIR^!Dfs<(+bq zuuPW^64#ccBVkOHzO<4D=x?kc=4f7@IFHVOI_Bzg9m>ojcKLN!>oXpo)M{EcQ_fS{ zewTMlF&qjmdktEt63@@%CB%Ss5Ia37!!EBI=X~oeAaR@Yvhymv5!G#*PwFqz8GDB6 z&)Y8uy7DA-rI(S52KtO{tC(MhBDbyoIQYPK>}cCUT`qd4SnI7rq)%SoLQPAk?55L9 z0vDF9Hw*q%0>xS-exkG^BLrCms#O*bfPw{J5H+e(ZcNf|?r7O7Sc;tvfOXi^5#`lu zFw@U7a}{U-ax4Ht6ND8fZ%_*nc^s|N5^6lH&ZvIsP12Wl>y!lI?-V|h?E5qSBnxzA$KFVib_6``yDtCgRXmIWOow{#*ZETZ>#Mzx8> z=y$U4xzi|+(%?|cW0oa7H)UtBZK60m^XEK>fzRoFSildyzdgoO>G)+dxL8CrRm{!y zi?o_ZiH~wWsrbk|leqm?-Ii#FY@diVDWwUUvOIo8=-R(6Fvq*muo{fA_ZsjVvL;6m zK>_2kZXxMh8lQ$#?KPO06K!Vyd%tCUE*?CNFEEnss? z%&}L-9BKtCTvq-H(6Yzhpt%{hRV4pUS=H@{)xX>0-fQ&G#+w>F0PM!UrMaF=FCIO} zj_wOr2F$)vPBmGcad062QTS)n!h&|XWGP>md?fdGkbyAlC2kG$C_|q7{$Hhrduw5m z;di>N7(m*H+y0*fvg~baU!6vSqiJ)&(0j|mhp}2lX)ke|u#aYm=0U%PsAKY^qbra zEOiE~$afE}!lGEx*qqMZur%I?#14_XcGB#Pj^}K;-~z|91ce1^He>X1IIqQDowolM zuikr|)MKtFO|$jcN^h$$E{d9=P^-?mn-sh=zb3qM{IRu>>@C1lL9J5b`encVWhGzp zb_<1h3uh#gqMSyOWyE3@mj}FGv!`k=l5`B;OVu0g0+hYEIZ!I#AHHPqPg{;S4tgSqHh_`8WfRAbq;*OnNn zArWV{>Y(Z-Q_FX5@ayXCKDHE$c}c+&{~uGQb4l1Vkb1k-kuvwciEh?~Eor*bXl{$k zC-=B#7t18`dmN=|eYANrLDEdzP0#LA(ly~mE-|g#ZfjQi+$SHn$MD76-qcy} zS0sHZOja(#A>I+}vHNjUw0GPwcioSV21s`XhG7aD|6*r|nuC@lSinCsZnG_5IUGl| zkqkuK1uPw3)nq5g{#Lp@CU%s`9Umc^=TaR(iJ61NTMAKCXqt?EFJnt$)w{yyAQQ&j zL@?^8bcJ>ik9{S@LO|5P#n1VWVPh*dx;BwX%7I`2DlU+CAmqHCSCtu6#WFIh{mJ z=b^*iJ7~-DqNROg$tKrC9eBVwWGhU~XE-{-j2Umvv0&4@{$4ZCV@*7JoTms~r zX665j)UzblVl{U;>n6PR`+P4SJgD5cu1hkmYks~WD?5w24aF>pp{O8Wuk6BTD;|7lAp9HQFS8${ zP{V>##E&}BUlPAG4t%{ht#&VcRhQ^?cf){%E+DepLdfg4Wc!d#O(FBX0RZzN0sL9% zOdd&;8*a}pCcd~*^+wwdbYbmWcddgcxV@X{!a^OnAA(7;4f%V5jU(Y1BD2UX(=p6H zOX_P0_=Psv(Q{o7fGr?TShuVAQujkeMaZm^E7SYy@Ue|I50BOlNS79 z%uj$8<^94Qe6QSmT4M<|evEGx>4pLzFP{&Xn-buW!mlJEKh;K>w~;@X=4#dEW4g9v zXo%V@Z#M><7l^&Hr&9+rn!v&k2D!f62sadz>IkVHb#`CH%Ep?D|2p5qGOxf_pMU6@ zr#2~nKl$!k^lL@jfY!fNk4yeigZwAo7IaJ#_PTUIK-h71ea)Z{wKYe3p1t8&EmeZA zVDq1)(gejaCTKNop_cHtaB(47xtOe6BVIP2?Y8spi6|&@?K69Kv&ZgAz$9v&Lao;O z9qdHCH4A%UxOZnNb_iR(gG|=|hYYJv1en^?*rK&kks15fz^L#PfDwXqVRt)bM>^LI zVxUi}*>PaJyW4RNyC+eyR~e%6#*qjK4aJeTZmI_jNZfeC;LhFQ@qA%8vTTR&Dlddz z47Px?_&V|`gZ0b8R=0s`WkuX*q@KN7@=T=g_c}zH2mO@Nn!3mIw&bv$HTRJvQjdT0 zlZYd5g4}k_*MBN}CSd6tAIb6~i#YgxDA(6Wpd`re%oWkf*q{Vq0e3;rZiP)%h0J7m zq3}^Q6bxAG)ze@0)IFs|Rm@`z z02$`A#XG*m?@tQ5O$)>Rk#5|fuw}F{#_gt7cH?_4a{du71e4jh#seQ9e;9}@E9XmQ zgVmln`tbS0S0}m8dl&y&{8PGpN~K(lq<0!I|E`w%m7dZ-X!!YmZ7?I%;|JN-B(uh^ z*{nim=lCsT+4J=Iq>U82p?jR|8V40LVMo(4mA?01I^&(9ZC)3UT3tR(s&L{7{Zz@DxQt+3MyVp6tu>5|c| z5akGwYLmc00PVTFKjq})xtSP^}x`8WhD6!RJNVij0O;5;?8?oq>6kxVX(=v#TSZl0F4D!3YF;#PC>!$&52ES zHggD@Y$ji&Yh;Evo@KXI;vj@v$eIE(79Z2nObM%4=GTT)08rH*sRF}9Aze$!rpbVW zBr6_#DLq7utur>{mCl7LySv1P*dgfwA9CzK(v#?Fl%D$HWtwW&7LSLA4ioEr=ykyc zp|97}ZG1uTfT`rVTj(Z@q!HpNGN@rT!ze{qnK24kBc)Wei<`h=>yis_C*8VoCGXPa zZXu9zA(X+BtJ71T_-Va%h%6VVa3Jk@P62PP3w%eXDHF6LG0?ajz4yQ+f_vO$SfPvY zS{`HRY+bmu^7@9Jo78!F6|(9$i|OFx_1jZ>K_p)8%hIW3XT4XE`g(2UZku|c?i~bA z#!p9+KvM-wnt%MpGC5}hobi2TRB5j$Y8OjIn}RnYXrM{y@fdgQj$WE@4wm%+uTogs z9)pmA-BS5BelQ~bG)uOA_fTCTR?&CZ1CJTHIwX~<#R3N7jjFNvr`NREQe>F=n0xWM z9VBhzZbZZ4ebe=c{;Imydsbn7=JxAvB=>_m-R_}VcIhnZd`rN2i<`v`Y3nKMszVe`{!{mibY z>TT6mi<85>@)@;pg+2Vecif`>_xE0jfq`alZ=pKt)(g>5VcrmT*$WHhzsYPc=_vs@ zqZU!h=+rqafti}%3il2T1KVJJXrA5--rMz99eS7l4)hNo)*=KOLc#Wa!k7HHg~!)* zA{bUtmz+})8keHN9d)NE`?hdctwQEiVZ5NE@di7y**mJD_S!$R;x)+FYxgnX_sPcy zCM-msAJ>w=r55*)g_Af&?#Z|zFX=f_+RIQ3A?7Frsz&W&=w$}4*Db?loys!m0i;q9 zU+^1Ssl>uE-zo&gD$2(tf=kF*yT4K$%C!W^#ui}sT7JPANCJ94>lM-Kor2;hc=a66 z)|d-ymPJotWZJxaRikl)GX3}e@GMUXl6l5{V81Hmfxqe6N z&ckcR@1a=Fwi8(KZZ;-4U`cll$rFwMV@CO#WIx?9`tx==pg#)QdN6<5c%iDvit1s8UhS}*xZuO?kTkW4- zZ&Xw!y4?wFaG9^9AWf2L*1MwofAWp_fUW?lslpC~rg<)Rmk%4#fTWV^A=poqJ2x9w zr$@5uUM7Z)9s$8$*U4DZGtt?Ht8pOmK^w%sV$zf}-6TBas}wO;=~c4$qpLOrompj; zJ?=M@rxxrN-)8@e{>-|mw6fPSx{m)ghM+>_>PUHOjy3}c&>%k( zOfUPm3Pq;a*p5-cC9_-ie3A5*tDZ>DWPL3Z(zCUL9Azd#ot;D$~C+#nUAnjABvW8a8BrAcP0*y!6m^?+;r+#xSkmoIcrz!=* zka&(u8S*WrxPXz0uEo@%orfY~!i92U)3C)a;SpY`PcQF#qp);T12tjF)he32`qomA zx?O~R-^55IH3Ty9SlQJ^+-^LtW6!)QcFl}*b_;C+Z zcH3MfZ3f2@XGV|3VTUNd6x9QQ(d9qYycN~GdVhn{cUqUn4VJH$8mhm!s_<`JVvnt( z*;^sC)anik%UDkDRnFW&gCCk21rBmEu`<${#I6qJMKffBSN1=7AB?Ayr1D9+6N~K3 zd|p_Uxu5aRfkVONc&{)zw_>+2x-(;8OI0%Ra!)d3O1)?0&&gWbC2SuHyo7krya@WRA2Pb~h70rLYvNzYZr}GOH8YJ_rFMAntk1E|O%3Cvdmix8WnI||e-q7k+4c)q6*cF}q+L@@g^p!){<@WIsue2$X>=5o{y3+^ zi<`KHf79ic*om}U3wQXm)e?x>d8GiOqd^Y^i3*_X z_vF9dX^IMs^cn7n9N@A@5D`%5$uQK3F%%u`xCO_DBMW4Ea%@qpbbI{|M&T@_Q9X=$ zCRBPNLzT~C6$*6~S$x4s@obUnLgp4^Rw>zB1< zJ;zUzew(*3b1YZz$H5^wm1{~}Arvx%O?6m_r>0$o=?O-tN9xN!o?K?&hHj%Q&K|Vd zS&jn9N0A@^fRm!qtvOQBpHQ?c=v^pRE*9e5nI*!ps%Ub7#^dA#b1=^3&*_r_Mo4lN z{4+_!5Pz#3<$vDtiq*m6O0wJF`Am6s0f=VVLpul#`G_h>*Gd zTZY!taH!(2^ckg}#FwG(W@Rf}cow=OSj2kLIjM4;a%Wz8Di7zIayMy}^meN{m9I7{ z&OBwSHgoziFO4UCG+#p2hFPHj!lf>e>Qlm6v)X!3qHkr1y@93~u^o>9E>oX#l;=+j zzU_o(d(G@8jGJq4vqL{GcDxr#%3f3P35!u`WHP>0W4pqbbu3Bh?M`U!o-|*yE zZT%65B?g=IT$F+NDAim-Mk()aacHs(%(%6bk~x8dIvN{zJA9jFX(Ta8C~d4S|7YKR zy@}6^>GWEAzPa-)P}|8<@I4j^AG^YJ zcUsRgWnEg*)OjF(b`m6H|r{P;55?tL*$!5~0$&Jm?(Of>Y>k5zx2OjZ35H;fD z{9v#>+8YUy_^xPC{oc#k^1+9CmY%B655yT^^Vn-WQuTucADoo7Wm1-YVj8ROtyMn4P1p6*F&>M*@t0cRRH8 zmdd!(J(TU_bvjekE^1i+n7dMP-of{Tun0Zr%YHa_Pu*Rs?zf9goRp#rpr!$kW1Jgy zTU2TmgbCzG71TF$6*^nbePt(VKQB$|FA~oGoNn2RjC8p>J!gKZ(pnZQ@(Js|)bx|R zeiAy$j%DXe9XWdETgi?1(n;Ijp~jmt#2oz-)je=b)w}WPzq9NVo{{tCW{} zkp&V)M7JhS@LJGKBoU!`iXphH1J8Xg7rk= zk)kVK;E|2@6X7#zmis>?Xu<_*&IM9k+oWp<<)4c|O&&uGi*|3M&91e!a~bfO1Bbe% z!1vt>ErDuRTe*PHXTZVyF6(ix4voA^^1B*|#EgllAEDW`MW_YYYh}N{S;-W?#}O#F zo0~l|>Fbu`=6HI~PDX@*@}CuIpdRYXr2o0o%3242n~+aN7PT{g=SIzw^m2TfR}S=F zi4gRx%pRVYp0^+9G}_OC^VyjBcbevog)@Nz&426nGJo=*IU3Ea4W$sgYB#LI%lDZy z_yC}(%so`Y6=B5F0aS-u$rz6ij?8Sa&-@DPq_7`>%IMnhQMU~x13v99DgkoYH_0<94mWb$LdYL%ayF&!hS_Kt zF0Vr>2k~65CJ*?txucD7__JG3D%G+?Fe%C`*vhslgr+e`6U&URVEnQOAj;X3jVa>i z(SD4pLWA(L4o_5mN2!!v#04 z0pf9RQMZH$DR+lp@QABD`>96q*z#R`s}6VRcZVBUd~YFF6Z+>oyqJ;D(&M)gv$cBi zEycm?oOvDCR+L~SD z>34tzu0M9AU7aLiN2kMLFURm2SIk|fKY;{Zh={+y``6v&5mFRMN- zN6{=3O((yud!~rHt2#6t1S=fjP`fV?Tt4_*>$L>POXglgo?b7^$7*dvk~qN|Z);p` zZ|AqB!7wHRsR!j(3TBR6DwnfVG_I(bn;1}k2c!26%SXBnE`-Z;?OMwxxtgP7wa;v( zG)7^V_ZJL;OR_U5u$SCduluR`(uX!9R~-z49gi2{yfw=BIO-N3lwIY#6gxQ#6SqiV z`qFv(pbG}z-8j{HKS42cn|7rtUaf7w&s^iFn`AHWWV=+sX3n~(s;sfNjZj^gj7@gk zio@D-=`DasWFt77_xrwCt`m=eofhLxz&=9cuU%4t3F)v4$ zmlBu*ua*w&~ zyTXBp$X!qlJ+w43&Y?d(3hxP30q_{y#->j2*vqd8YM~(7?Ez>mzxdbDZ%THPg%Q6u z9J&%L_+h@ z1*b_g7Q^i%h1#YRAG55BDv@c&4#CY+yC?Vvq-)fnnagNTyWQcHRUl{xOByxRQ@+T4 z5N`jJk1!8hG4li)dmfj~OJ%%vy|y(dO7oUFhU`sG92EYT`~3i1^k2{8yS#d$KAv+= z&QmrFb!o#-Lsg7eXJNb=m&KzjfMo{tH^Y(T&DH&@MyZLcNCEOe)mU@Ov-Imy5X6r- zqDZp)I|vH0RO}gw#5@-Ict8?S>>B@;ajJd1cyz}&bFTCafSDIq9WHJ zN)$oUGb^8fVKTax4dupyIS(j1Zqfz^>l@=CW4L_FT*3Iz4A`<(46J_A4OnT4sriPp z@5%UT_O^fBwnOHAgS3-(Sh?xtntIz~`mRLqLhen9_vn3L`Fw!~g-$udjFI6Y<71W0 zFo7YhH5U+&wN2uj0Bq(4o%dGxn$ww_<(JvVr8#lD)bX z4g}S1Hhm@~@S0Unxx)XwozSjmByVB4N3Q%Lw@%_ww@aGj|HsvPKsA|t;ojp|5K&NR z(iH}20wOI^l_E-&4xvjk(t8PIq)HcQ(gh5lK*Nbf;9q4yFxq4S-D`QPuZyH*wp z-U&-4d2`M_dq2AM^rCNZd0Mi&P5C>Xmc81R`StVWmX?(EF=mSQ=;x#N)MS{l zOqgiJ?SoNmY~bxM*VJ>X@ZXI@+LK9^%-%L{ILe?nGZB6{(|8f(D>; zCN8b=YFgC3bD`7&?`J*t({OU`uQ!MLWv!AC8-dm)``6pk?Iyd4j$RzvyS8ySw=%mm z)=CFb#iRb<(jpDJ2EZk{9RuM(b^K8|+{>l8Wu15yZKs@+aDb`!XDS)nD`i@^NSJ)v zql$TWX|&>l^S<^apV-1#Uam@JV&1X(IQLR~8%m>hd?lZ9AYceh@?GH_TnKRkjy2t-qk-aCH8J+lA2R zj~BBGW8=&g8Y*+m@HY_ce9+Dy^ow0+;6Rl zdEUw&z&Z%@l#B~&xEZd}iH@|n{l&5K5QUdxBEK(T&y?#uRWH6HWmPDGg>w7GCR9Oj zXQ@deDr!AaTS4)lNhGKJ$JWAg_c2czvTk#h!EqGmKI5x6xy9-b7k~@cmj@P_9y=8< zI_BQ8V=*hL9%;QQ`^NeKi(~`O_iMfk?8K~z;aqe3q}=yjC0iHO<;*<(NG`$=mXr=? zc(S}%H^m)-`@mi0n{@wTvP!u1%|(rY-8>lx=}qrU0YZtY#G(BSwVL2F>|erfL$9}n zvXawEn24UUYd`p2!F}yXa;^9wZ_lS9>W@|oZ20k6mTylX*Tm7i9R1nQ*LF~R0qYzK zBy83LovYipF=duY%^GX_RsJD;YNYc;JDl;|;fGjXp(TCFm zs;-(A}L z!ecnIj1W)wivGe-kwYiu2e;Oz75l``6MWu#UDCO2?ha%=(?u<>xA-|eFb&q8$(svH zHsAscRsaj2uomd4dgsqAQ0(b}8#VtZNye0+2lv{ENvY_AKzJWmQBV%O<#71Q4MA~3 zFfGBy0am2soMP6==FbPR8aa69Z!D>m8zc8PUFQ8wwVe+mG?wCOTyyEJn+Ni9jmAQj z%Uk%B**7|CH@x5;hp#zo2tlwD*s(q9|BT&VQUFGrfgNmc+3)^yuwC#?q}WuCK;4iQ4(7Fvx7IMN+CH z%&q)Ro-@R16j6Am66bPMg)LPs(%-QB(BiD5l_nKO!GrEsAek(0>*on zmGk{92+*5eGq6mcS8(6il4avGK%*xQLtiC-u<>9I-|A|GBxMZy)VfUHU9~YV1%e7U z8`D-N3Ml+{?!XnA{|PF#HP(RTOz8W-%x$I1*HhT5Xy0S+1KK#d1TRNn-}t(=vCfm& zS8dNtePor?G(}ugC$#;MX4EO!v793}U$N5jLq-*Rc;*?Sm`TV6fZTydtAT; zB0pe%m*EDAqnZQ5HF|1v(cBprNm9`R$$vjuHSh3Qs^@rrOb6R`QJ4Ok&OlwW@=+j_ zxtAo%QLJR;J}InDMZYr9G6+&pfIg>ZYsB>@kf%@&?`HxW8n{Q(O5VrK@{hW{+~2(< z0It00oGeWG(o>xknl$S#BeFwR9g>7uq}OT*)q?eW@+X*y#KtR6NY^dSFW!!jx;z9f z(8qp{G7$N9_v`nwQPJS0`?mw{)6c{yQR-ZkFiuGa&^(~&dv&_QUVZ~-THL$ z_)DHz&zR+fDMK*jJ=Uexl=Ar|^xPoSH^nLc1;f~HeYAJ{2vR7z zD)!z!e&;!GD@Y4a+HR0&EXBG)wyAVhS*A4ixoq5dsP7!*Z@9JSteZ4PUXG}6p@Ug{ zIE%HBEA3eknh_hZa35#AE|99~A(l;n-|*%yPtLY2=ca!8YHo_Q=K*<=#BG~e8h${i z`#OEM(cs_f`5x~^A=xJ%)LXJ~rOqSOowek$zdDshhUt(0QY+_o)Z#Pu)K?1#Ry#jMvG#NEUn z*Z2Ev$D?$Y&ZR~wqD<%ZR+Tnh@Y3i_349m`^DemBZEq8Jok=4Bv0GQj{|#@Pj8)up zAoLqOL^0lD>#+o3s2eQl%au)Xj~Rhn8H5yPl-0=WiO6NS7#Mn*`yNUQdnn_Y^pDep zX~mx;rz{@=#34@H8ykKgoH=jY1nza~f6fLcu;W$Dw=Fx|C-*6pmbVCpGw*4R`LIkC zblk$v`F+2T+!mO3U*6$S}uEW^O6}A5owyOex zH288(&{U-}Xu|kb@D~K(N{GG5&0VFjWWKIi6~LVAQAUSuQTRF1pN9gxq>p_aBwzqI z7k$c!a~vfr92+O9NO0&&ESD1ZcZ=58v7i4e)jLxZn$(_fC8M2-o+V44Ag-SpDqkn( z-_Yo8VQY9Eb{|ONc!P=S+s`#=f2;e>cIo>t!KJ(NOH;zz=X*~as1cABw&oeH7y~u9 zten_bUF`|U~owRT|z@mkVHN%IEPrB-hU64xzsz(J9A;<|Z< zfqf!p>6ah9C21%Xphf|syu%2w%#!J37XrY&#xL#>A~3iAcU%Hx9%Lrg^PsYPq<#A)ZKv|?-l_hU&p5y2ulv7#GU#4vRA05+c;?*vZm4A+r z$h>EbOT?w>ulg>O;f|bNkH0$2so{oYaZt&WA{k5*;*O;pgdDdSPqKJ-ie_B}lHQoK zsq1nCU_TBvcHtH4n$a?4#SJzK9P5tmnXP=A@io=m$@*6@q#eX|Ex_3+hOU}dw+4%&eGH9k$lM$0ytTEa4LVY=%BkT3zZ|@Y5T+3zyaXkW6Wf7g zQ(*iLpIbu71H~kG()>}l22+%Ez3IY1{{Vv-=2z1FeWWt2o(o<1RZ_cxL8P;z-ptN@ zR!(;_L^9S~*ytKEfXLQG3N*V_fAp0o<(vcKjPm9k3BC4N&b zclrF)P$U20ujCaY5;+)vk`M&(!*(q^d$zvJL5G)2-hhKG0NtSU{5#h5!pg+DqNZ+P@8Z2bDJp90hw9Cs9}l&w zlG((8)*?huIq1EXTH9r+>Zdf!luJW!cH!v)y{}3{!wmY% z`mMEHe#MVCPbC>&S69Zxzh42z!|Fmr{_;D zQ{yRJq3)H&lHiYH^P8s4UGvuR72b;Md*FiWkS!W|ey}5pKSvLOr{VJ#7tFegq+Q+2 zSyHOa;q)J9434&^Mp`sSK#(cU)m2%WHplF3Bf)Gk-1C%~Rndi#_L;xvW+7*f$&kxg zVWG}QhzvN4vVc(Q9g7y9-_n!QAz<57=Kx z-kiq*Z96a^cS@HvFWWo@nDw*n`NO2ds-5@TAKW~LXWxV9Pk4qMku^`tRb3Jj6G`0W z@^&B*S+d(Uy>^2W()1Sa6Tq5Y-LJeX2C9h0U2rLl=87Lyp&1Yx}|TDzmmNp8}FeZres-T+^JQw7{c zLtUPaTWSr3v3g1Vo#u@9ehXJ@N-)0l3Waw>*eRL50N+*^*ta&E41Cd!x?#GfyBF~J zbf;2d?i*jgU3{!=Y-h^GhmEtd2g(!Wv~^51!i9(s)B}m=09175BWBDuzTsLdKf6MG zNYr&8%Pi1P;!u?bs?BJ&G1rw(7p0Ev5mPObR6$7ZU&v+F?g!-bW=-eeuR_^L-l@UN zB!St(Cr+R|mdVR07%0H)CvOxD zGZc2i@UP>t+uBH5g0?#oN6p+5j=xFD&i8*YzFN2%+1T_mOIzSJy(n7a3ho_C%k*bR z(4c=0#eIO6Q);;iiDJFrXY#;;X;;;2C#kS(yZ9T!?5{Z1ZQX47Ak^}mIpu%#mgMsK ztyZx8;#(a93vlQym!CzAH=C(cC)t$8*o%GI=gT`u*x4LC%$PW`A`&l56?*@BGIh?X z^1uqm{;D!w^z(N4S=`*rZI{T3Vkty*kSe0wrKP9M$@Z@MBujX36%B>hY)k zEKEv8vuUT8-6eYsI$8nPbC!}|RR>CV>? zvFX~GWa!#{-^$Jh8;-PzBp?N{>6-eUAjIh{FmV@$5>&}(5rp*F@%S}})j=KF;ueF* z$m3ty(`73lNPf+BHdauZPVt$eaZVE++V)Jnf|x+IvrOl`j&noK_!!+a+!-mY#2aDF zlHgVB6n3kMPL~!E<_u}6T{Z*-f^tN8c&Tc>0eyClPAty;7nrrc+ly&YmpooBORjKK zNdh+s?Ddh3E7ZOR)u79N&BtNzAvo4@38zt4OSkZPYrR%d#XSkw$%0ANhs^i3bOO~q zBISYV&a9}onQDk3B%~!oNhm4Gf7&x~QF@74?+2eZ`8P;$p-AXoh-SelQzh96?#7RXAwwGrYuEPr-v3o?Y1*80%5X(nYfir8E}?&z}wM4))%^WmOM-aVhop5%(OG?QP&7`Aml6ws}K zQ8X0QAng$KZTq?-u4Rd%126P)fq3qGDwQXH-O%Jgm@=fA&>8tO_yg*kqojORYIW;s zzXjy$R-}^)o|FEJ*_@o{-$Q7*{O`{>_<3zC{oEnX{9_w~;~SSV-I$@Y9L@(m*~(Nz zHH#%(!9tn!A$GvMqy9etrbCqAUIzIP<&T|j$wb_8 z{C0-|tdG>vStEHPx$ypv0tsHJPT%%L^HAmQe4~z`obSH4JOg=?TWYj3+L*+fZsYXc zyGRqqZZeAuAlc4@+!s^GHP)DAqBhI1ZKrET;1qVgf4V*k4@tQ0M%CjLYQD0b#;)-<88YP^sLy#D z?_f%DN{$WxR$Q4_8ddD7qF1?@im3s*k%CT)KLS@$1j=js-swgmOB^49bR+=De?qr$ zmZmKvYPbbLp>cjF=y7Jcac&*gM`bC;UGD)?Z2d(iYP7&#`cbR5A{RByt^c@9V8*-M zhq`S2nKNwa?u35?{Qz&3`XRZs1LOIt&lS093P>rRD3?-H^TI*H*a4it8WO_;`d;4o zKRdzP^V&S7uzLR-2zp?=vDEb%Fy}OKiKfvey81ZYnRwtH6ah4!xAxcl6z&cc!Nv0_kFqJrnJDJDn z_ix3-KG7x{>FHj@<{4X*90jLS*srs7J`a=kUo7QK!!P5u8In1+OOLZhm!GqPs9psB zqmY9n3p$-)_t%BbcoNRb68RYvWUOJ;)v~-aL?p#_Q8&t}X;Nw=x4$F$x>^8^8rb_L zPkT36YmW@l9^6^03bxnP{dCM#x~qfRonI`Q(6sjcW;~UDf^S!Z*6o$6XI{*)s@b+= zPt^Mhn{g`@j#G^GN6Ai=y*6_yr&LLgvo3#ie!1};`Gzw{EagA<0)!3Rsh3V(q>2UG zwv!QZU=)1e+F7A8RzC zMA=J~DeE!Fs9&N@geN1fl5wBbr<0&hYGjP8&VPsUz<3+Dil7i^iFkiBO)}LVC(#vtlHyh3I`(YGMY^47yN6v&; ztbE_5_q?&Y3LK2EX|t2DLsDN0ylXTr)_he+kep=s zA8|Ozvd3GIZ=_a(MixEHa}D8Ug)!5>5Pna!zn@_Is?ZFN`s6|dY422jOR`~m`@z3G z^(um7R#pC1GV^E1GKvt{Ci{-7ou-MF@5b+G3yndau;b!`(HqiOD1>ENzt$3D&edA_ zb2Yr;bQw*K?X<2fVB(Ae!PhcoP1#d(bg)X-M0jf2-8W0(!OxyIP-Xi#2+!^%kD=@v zddACmI|+L%L7yQGvv5u^@Z^k(BH(7YWj3V9Xk>CG$&9mllS;9eY>7|Kw!-0fz|He3 zBz+2g@WWNW@84(Lw^FUG9R|M5un7pNCrQ3G22!&2xeVx2<!XLLOp2?3A}~p=HoZC z4_5`TxF}mCoE~R0+a~dNl$Vaf*6x=o^r@+m&UY5U{X)HF*Yu`%EgKgwk3SQ7nx1ui z>cS!}5kD>8NvW!|I?kedY}2}R`*KQ&$u$bu%f7JPEs?@^HaM%Y0y$B|?Mixp{)GRB zi0Es7-LkM-B976ye*F%zgif`^)| zdy9;oy&JyHBsS?usU+KWm9Yz^5Yj4~enUE|WPQ$)WBU1y@6)696qwcSCzB|8frz)% z8Ie1Nd>uI$v)xbg^XLCvc>!}9BxEM|8@!}+4-H3M7byiI`gXg%ymqReaB!&=302tJ zoBky*(de4Od7PmkJjP{uc#_I2_bpiRCg;aS^Z;D3KqqmgpJpUap*bE%YUGd0AB64k z0?IvjtYAp;N@iVa+z)jYF+Moxu*%N<;CCs4z9#RyH`mRv1rEmTqy}Rs$ka9 zK25i$-Rij3WI(IJ1*Sb|mSq-96h`ykAoLQoe3O=ca|Mz+IP{{9ensWgLXX=?oUiZ* ztv(d~oS>(LzOPeRz4r^%KD|05&VGo<6D>=_ZF$CjEU2jX?R!~lEe0*9et=6GY&@H< z6NSB!%v5kX#n_%6B?UI44Qq19=T$b&({J%k;-Q+!rm`XB=xiQRoeBO@ox}yNn-Me0 zO|{lOXUpr6mDP59|7Ba%yz-iPjOiCu9KwC~Ej`kJ5kx<|9pBzP=uw z4bD$l;!oVJQy;knJ?*70W|a2Ykmpcg#hapJ_G;$LBP9;CzvEHjw<98cmKeJp=KOjM zu)79HN;ifI)D3qw&Jmg)`#Tfk``+YqQIq2g4g?Z`@F!*VZ>#d)za!){)9_o!)=ZR~ zh8#%MfO6^?q_fA@MvP7phx8*ZtlVpn;0uE|~5UKT66?5^t)y zSQu@l82C7ir&tvczdRV~HIXL@2P}+tLf-qm?>KpkgY%ey0tm#rvc=e_O;?&1nB{Ba z=9=i}zF*3TF*~53*Mc6+r2G%~$XPp=?6i_%Q7ZsoC+qbBT8lC|I}EDggG!g zZ{Nmv3uGbAc5&mJ^COI77yKY&$a;38(!9)(iQ~hyEQP}KfXkvV;nZsLm7fzs>HjWN zPK6tFB||!5)3=?M;Ah#QX;I1yT9gkFcBcklxDGbl1YX7?9dJ6v{h&XU*JqNS9$7y* z4P?XaiE3^VG78lrexg<`Q88MVhh5y)rf6<&BHSC<+G}IjdU19?XSSz|kOw{h27iCdCtBrkfs9-k+f3a}|M0CZ{xVSK%FXV5OC8#! z<5;6NpQpt8koJo{ybHGgZt_FnKGT7q2N`f?GA*>oEnfVNPIMN-QfA^v801US?iZRG z4!6MRgC}!B%9a@E1D+N5q%zu_6z5&)N++aimfAs0wCk)F(6i;cQkXD zvJz=+TE{NY-x(Oe*jy%=r5Gd=ODCp0I#Hk^%dz85OHVOZ-jQ8tg8jGzUi$!ry@$Ge z!#6=*EL$EwD!aLFEW3T5Cx*V}hn`2TOx_knT1w{bB;_c7p)JWU*UdTn@ql%&?`nv} zyQt%lC&Ks!tREMaqiE}B{ugq{Pt=vZz^MtU%y+bKR$gbfF? z{V_=W?!$X8cmI5oHPMt{j$kw<*5q>&4l^b1*2}wbHnoS%%H1uReSHo5)_hh;0VQP= zLzNceTiT!(cC5_aM)ophtgq6JkgB9-kh(v7w%0_HkW&)ogzf zcGa>n51`b9hCy@CN)2fd*~L;--t4 zjZOcj6bjQo5RQuz0eA3u)v$587ObwQK_5bvQUC&ZWl;dzrRdhF|B4uwlNgBVkf&$o z$L7{|5MN(9xm_Mp45UScTTiq0j=ME_R{Cfx7jpR=f(tG@frB%jDSIJ##DMV#YI{T2LhI)&kq0}MqETYxgoP%}&J9;{EDjI+L2bS^B{oHG zT(}rhXJr3TH8ETt&pHW7r&-F}F7lFz znOK$8m@q28IbiA;as!c^U#R2Pez2tNi&_pE-Q4=5;E1{@98J^nVCN2+_x!=o>g@v#F5hv@BDEyRp1-j16Mtye>xeKvQr}>c@ZUVM9bTkwjnJc62!!7lpcRk4 zuXmJY2|fl1IAn3aj>?BMO}Ge~HH6#j(icmnU1pHddlk^yC;WE)Eg=33h?nzI}&_$3qYKyx`GJG@sZ}ol@UJvg)-VT*QAq@n-VT`Jzo|X1_E4S16cK^SbZDDqUU8yu7^HR9D@=0 zCEdd0qwtP^vXnbNA++9PVyhrXRLHa8iu{vvcMUt@N0aUX%g!NeoTBy~q5*-pL{G`g zb)RZ0(L@oE+!49Ev_Sa~O_4g1bqjmH$l6o?9Uw!u`vcS~Rk!d{bHBk8C)Nx1AyugT zL8btf#2em2KL!R9otoe?lJg$EW+Kf1PZzb=ueSPyW0`>YswP@GrA@KI7EDA^Z) z$fMyPZtP`{<8LkDk3hcz9+Sfo&B5$EvL=!_1 zjXh^sB<#;4fRg4KqUfbbpWt*9k5tcNhU*2LTokBMh=R{++i6{fqf;KAdEHBC2W;mc z2)S24)`WsTYBnH?f|J@=U%hf;ceyu4Ke@>uXEyLu zpCBa|R(O6cqgfqY`~}$k4+KmA%&YZb&%TGl~4!3r-W**caxI(TTCXPrFf$pfe18 zT+S`ZB!|&5n{#wl))e;m&IhWSw7y#XlKUULx=o#Jq%X789&Be2ZuAb42LfLL{GZ<1 zcY3}kgI6(_l9*Z-SDg<+=i6gLNxGNiBf&16_)=YCZ)HA?_w~?mq%s6Ot7FltXM1yF zvvP?<^nFa&7E*tb3N8+JO0dqN9w4S1I~tvjCs2E%jtlT#J_I~TJpmp*K{?^RMH3}S#(zb@9r02)$I*|R^~vDNdJfvq@$!75M3GEr!t+;J zGkYtk5Dib^9b5X179oQ%o5}gA3tt=5n73#y?v(VLVoAW)>LC}?51ExKGqhTC&s{q885a|8wbuu(24@Bn%>4K6fW;=f)MFTdcKkJ={P6=OhBBOXv`_+kdu{%5u(XLgGZBmf<4&6arT@{aAcQE_r;4po9NhcdV>AgESGyU!||l>u|iMbRUcU#Wb&V( ziqecotzrT2dBthJ!HB$ZGa$L6GS~T!q@pVAbKv0z1#78fr8dJbXYb=_N6sr;l|5sh zKtPL?Y-clvV5zn1%%RRY=ci!$d6LalbKx7XZsIVAHgKtdet`PkLvSWowvTeWs9v^b zf}jD%lR3!^854*arq6ki>Zt^4|7fvl@0oxZLR8dpgX}dxiafJquppYDY$R44C z1X#VT4f{&j!sbL`2Yxe`ciVjB{PWp!>}XD9wq{by-G)e}^nUU2A05l#;`uZ0futX- zY#K-7=P9UJit(|NXZ;3(7(+ly0(28V@>vjr8=^E5W|^ZYkXmIvvT`&vhb&;U0MY{8 z$IK1JN{dc?xveu$j1Ag^AhBHT1B2mk2WqLlJR|#3{BT}cH2o=9mv{$^ikAO`rcg{B zd-Ae#x^?2f-EW}>%LLA!My{9ddnqS@yf|yR2)Hwg_40K&fpXc`PjG*n>9I4%xKNM& zQGqy!5A1P^m{uE$<|;G3e|l`$pNmts0`t<@QYxc}aLp7#g};1#;%q}Y$m6h5!-1&R z7A)K7X57J!>?Q>9H=P(veTjWEM|?ac#xh=bKIoCI9XsB3Jzi~6 zZ{95D3Vl{R7N7<_Y-^>ldx+0gf994#A$GFzki8p=o5VRTsO**;9;o(a;SJg#6~#@- z`A-k|SM1vtStYi`+Rm>Z(UyfQl$c0@@f>(9$v2o2C#xOh*g36-IR6W!lJ8#)l2)vq z{b2$4Rq?nCh{l25(j*Ex_%F`Of>8~Mm>L1E{RKRpQ*P%6i?);Ir5EgCKlTd%B?V?3 zwHoaZ?yq~imYBYn@ktfgb{&7o5Na#*qBBH!*>{UTVkv zKao%P=c^a>aN({j68?B2jl?ZWz)V&TQii z(q(`C$v(M6#Fk5*nIU} zGFsUKixk+ov2rSm48BjLv|O;LKUDd|&1ib^2|G@@5@?b70ra>5EL0=Gf&t7JjuHYn z2@aESJb$2Y+@32wukPCx*c@v}-J+G*Rl{Q}AC}OI8p~fN+L%^)c-a@<-1+@?3dw6F zI_p+VvaC#y`?nx;g~|p>CY#|9BJl%v*j}b5vMG>&Rk_xY%i_V7e#rM}eEN5F()jWg zDP`mJlPw5(dyDO!(P&Hr-5jdX4vjKTfyrdf_R`eJb~CH+H>wDJSokU0r+YpVbdaDU zWLDFCmSK@I?(+ta(3|6L3g~}0+0X`5G1i~+Q`Nmvj5h^rr~IYvcDX#Wt*=#74ho*K zKYe|z;gK{myB>B&wNkY%tE6O#TIZ>$xq5NC^aOgQ4GcHzVft0fY2b5G-_d1u4puyp zaR+`%dE6qP3hP`Pn`eX@cb+_f-@+@>IXyPD5_Nx@uM0-nlRab2XzVfHFo!)BNcLG=TD2lWq11_mzCE`rm7EERiEa_tkhO6 zH!d_EZM$J&h)sI&*|J?J44&pot-Co@<41OQDTTxdiR&@9&@uSxf*tZcX%6{exYoUX zXVoMzBDX3B@=n$+;bgXIT)VqlM=8svX`<&_SxWd~iEcZi)WJGj!|yFE4+2;X+><^y z^qvlBFg;KWc6h}IA?@$*H zAICJ{VdiUjv(P#XP#UtX+4C{IS~@D;XUVsEzV0Arj>0B}FVrk@NV`Ov8WJf4ult!X z-lcDe2K5xcq~OX5aFhOwY37sllVp5b6WzDA@tlj{X0hK@n*yQ;S==Av2gFkxIJ&k3 z5x%EKz(m5PAF?~y0|t_7i3zr;-v6E%!o~m(J)Rl&_2Y!7sX<^5*0=xFeBAm(7iXwlk>s0mQ? ztnw%V7M|D*Oz{I?>-{M5ro@Si94H< zCmI|r`e-j@XapD1=8<&-}63+JA$)nMK44F`{ zGaLSZ8FZ)rNWD?zz*rqX6sV=N^{uvAJ?z1tCgxs-b@3^GOZE=atETX9226auMZ0}< zR#wUUhUmueOTHZeRj4Dn%=zqLRnhW?MD%@arP5M;9-#G9O>#4AjH7w_YFDo8Bu-N0 zAtdl0phexhmr)RZBG20G{rff8>7lBY&1PZfwanL+mj%WOBH0Q?rkD$ zWi9tV$g*4Q26RTT;i>G%EEdtZFACqtvhJc}Q$eV4S?%&&Zx7!=>8lMuU_XHJ4URp6 zsp2x;rrTP;3;8lG-PEhE{(XEtejl3MEcIK`_-40{oU>6$I{u!RT-Sc=T$+vGIMVLO2hlKJ1;&=`o@HK{2~@* zcRFU)8!d)}9Q9}kCsBBBg3}8aA%^t8`cmigHB6z~tOe8ZmY`wLUnJT!(6|+#a9PEH z8guL2xMNt(l;B6;n>DG=mR*ayah z4Q9^!f)`DC1hYO1wf){aB6E2FPf8fWP0(MOe1DcmX1s*&!c8tQ)+}+a6b7rSO0yz= zB^eNtM8iScyG{9UhSG<4eJtaA zBy+Qeq4LZoHm^7ill`L1OvP4~Frv0=Y~tF!Kv^q=k9kC~fZ!2JwPqEIn-`33?M;fh zc;hi8NR}d}eYT>&7#qhv5+$;xJJG)d|H7e&2!nUa{(7_ah@ABba1u<~k%X`O{m;!W zR+&1^E@wA_*TuGI9Hwl`IA*rUY1)5JY=gNM2SkKrVkdhw^ys@k2j4H;J3|Ket_Z*xmTOaHd+-J_ z$^4TKp_TA!B8Z#l0KL*sOS@+>Nd=z9P@zLu5_;v+b@TAMNwFy)&`NeK)4WDrjwqZq z4Z>NwH9TvyNlc(PbNeY-67LIOr8@kTo}H#N9W#z1>to|_Tc1g1Zd;EXLQB~7`~{BG z>E>A0Q8q<8)^2gISz-gxC$c`@sGB71fL-r1n>KH|;`s06-ZiQJG>Z#U&ZPqaGr1Q@ z;7U(>1UO2?_c$$RB(VO~bwrR%?cl%&KQp&DPv>irbcGzPpt5k6ktn@ z$*6f-N^<5JLX}}X4*<1vAdXembgj?O9iOtKg2IQCBeZpt8|y*n^IQ91pGXT<4c*^F6~7B{~Q)%1BpfDK3?9m z;pk}(%guS@=-k9NZmDGx60uwkJnUbbBb(KZGn$?^0g<~}Nv~PWA)RnSqKJD89g|wp z=v$B#QP=d!n}_Ccgoix`7^~x(eLf8ixxHp3n-tD*%AmOp#^w!uKcwZY1k>$#$iv*< z%Rq%LawV^V_9GBV{jV&+$L~caX|4^%`paK5X3w67-UbVonbeQ3!CW=%Jz}p^Nno!5 z(y*^lGR@yio>1Ia7B>&s3%w=w@-wphd3M}RlriP#*?jIR-ozPJFvOsh_#T2OyZ-OR z!=TweNhJaEDw{!p>x4ejexnCfuB;#`#jY*dx+bREcGjO;R~q~RJ}-sV)0n5}dBK$tU%^n>di6819>}}9recxfnn$vP5Kh;k?|hhKndP^Al@#SJINY-IyazHwA+QN zu_Wa-U3A;+_{WWt?a0O1(aX<5Do-n}AgYf7iS+rgw4Rq~PrpSv ziK$Y@s?xW#c@eFfet!?;So6r_GnR6FUpa<|i4E72fgLKFQj+;d9Q*QJ!M`x=Gk}dQbDVQ}aEh-~msK6qhGgg=>yYxC?+21#T5I_;z+oSm!D_ zd+l$F9BM_8U&NZtq|Th4-4@m>7W$*#sSjqQ>lWIF9;w-J@dM?KyVGsCJ7-)^`1e*H z#8L0)jfYHCcq@5Y4A<;*lDNmrDOM@ib#qWv%(YUep4<_TLk>=9ixGt^pmZYYQ0KKx z9ru*&<2Qz1kp_%;Srwq1sQeZhxe&(x)Y~LKc=;}f-s_i;-{JtKIJoYTQT5Y1iaBIdmG%Fupv^8D`v5uPy$FDBhwpe-!~(| zEDMlDeUn7D{BiCRd8t-m>X|f=nCe|Bb3q%|I@r8MZU?PYR%Ygj37Hb_K1VvAti9$`m?5_A?;Q zEP2+UKgB94F&Jif;+`YZb5ktdXrQQzTjb6AXhD%LIh#d|ss~5cI@!RfXgiKL3l&Re zlplG*GRxTD*=c9VF!861vj}@KWO&4gD=`7uY024Q@m~0Ca3A7iVqjot3|xXWj7XmpK;vX`uxVM+F2YGf{}KZZ@RxtMD_?6Q z$wo;(i;le`Ug_$6u#{&>@B-HnZ6jlLp(HTp5^omz#@ciJUr=-AFplUeiCB)U{BNR- zGNKfQWaN`X3un2?$^nFeKyy@7=K33isj*_2rgE`T3OY)b1KTu~G$T5UQe1eYpjc8z zR){NKKeKJB*90dJS8q!9Y~c!zK$Oo)b58af_xjpkJhUVFKpZwmtC(mcSRK{fTVM`t zyb&?djX7;C=I|^QUe(!j>4YgjwR!Y#1m3ig-_@hZC0yXYXxHZ5IhrAA?#9#!QeWp4@3elgbY|osqqSBTmd%H#^u~kSqA3hq=RXWh zG{$18wOXzD8{BXHE*3a%i!Q*ddBn6U&oqv6PNY}m>r^yvvQStzq)Ss+lh>Y7)b03) zGLzGeHR`_wrV()X%w8s9KhkL?VxQ^#SopBganTr@lzpd{L1X)hCVhjsEXZ%AH z-#61n_Ufa*JZD_4cHvn3RM8rq$0}8|bpu|&GG>Dm9RXgow@H$&saNi-U>Z8d1x&)* zuHi}=MATKZxjpB8FrX4v{~ENkxk1CH874XBy3C206f9XfRJ=`Q^g zYD%HRT&hVaqMT(Or|t>t^zrgsj~PE5dDBd~BjKR^5LoH9dhXb0DMmiN5Fs7#_`@RtE0V>DPn{vtCI;E7+Mqga9fTg zF1JwK!Ep>S{tdtdHIjlhzB3kr3IjD}`Ei%{h)YXI_-CS3pFh^dgvRm6AFJ&UeOklG zc@HU=$=rS+9(Ns3zk7tWm1SVRaouR#BR8ULsz{9SQjTv?tA|20&?7kY7JYu6J$SEv zE}VUT6tO$qtUW}+q2t*{T;eD)4m2x7*fnGSu9W5hc|bh}ocgJ)MzheBiY0Al!iPAZ z1&CVXHZbDO{yz9&qRGwx$K8OskJ4$x^>VqP_20H%!)ed4B*&sAM88fe2iR>#dW#(^ z`tKc#N!V))x_3=pZlT_j?fN>ep?`8^B zJO&-8_U}40&)`{<3|1t!*#IgtDHdr+)Zx*3meYr7|2Kh#7mh<}_I%ZMRVw@-da_Xb zenks3_sM8u6??h#xkJbHXgYw}57*9D$vnE%3R4Ydf*8%2C>YZrIXt$v&+>jF`OaU! zP9sroq0+r&nMz_N-P))HRgE3XoXb%S_eEiGUW0nvlZDTeL^$^lvq|}22?Bn6<`N@p z{ADmz^|p}?XDO3GC61Nzz(#$(p2zC%dUrkr5tsI$iT`x{`@HpAMd!ryQm{T+#@jY39^!}o_(aKL&GJXISXQY}Pbc~}WV5!yv(rG&LD8jwB)=eT1O*z6jk=-ogOr!}T zegv8*>x=JiCYL+oa$u{nw%#r$Wbu7B&sBFI1I*DCu86OFN7h((34kiy5O0Zf-~KFY z^&@UdpfT3HKM^~w!hKxqSpKq^%`aDCI%Q>RX=6V?#Jg`YTNQ6TQ9Y+1`s+*{>2e+K ze!6Y0Z!YcgJ~dv06M)n})<{a~9Zq%*;YnRJ4fdixa&Zi>fyTok^!iPFQTh~)v^LXA z^$8&^gr%XnQgCS3{op#*v7Bo}e>JC>04U9lYHA4sd7YQsis#4ahA7J)l=Zy%TC0RT zc1mEX#P`)wq3-O=su>2$htkm6WuQmodQMtzMFcw(Jp_gn?316RN5TDi@t^Q}Fv2&- zy8MHceUs<^N7Yw=HPyaxzt)S2f=ElLymX0lD~KpDK)R(F-8Di9krpYbA>crikQyK* zARrAxsnIPK^li6cO3kR^NtgWs0oBXV4 zF(!Di`L(Nr{`$T>N-yFGVnn;R>6ze1E8Z8`Rw^s_<=MES{YIisn2iw4C$t+=p+xhg zcV8ctIATtOnm5IUFgllEpu7?22 zoM1j~u@6WJfzRWwk>@mJeWZxJe`)a-LA*RsF+tI)tU z1h$c6Is8KGI|dlefRA2{HD*$-1<%E`QW9NeM305ZhbM4hLFOUwOa|QjaGo@{!tzUt zz;-Z=1C9#0rT#=^B^CRv0xMZLDCm>Oz+(oUJ;b?L^C}k$L@~;9m&smu_NrHCSNFL% zT~B-06ShdN_Zu&fanTI+OzU}7Rl+~u)jc0r*ucu$O$ARo-EuOekT-Iud@CETPA@bU zz38{d6fh|DQHhZfAabzpe~<=X>K^Sn>;-_YSp|AMx0$> zhiz^Lf(XvwUm5S^jtw{B=KKpHZzbcnOl!Y{rl z^DfOfC(x}_W>}rH=Too zLI?qafucRk+T|9Dkj@O))Fhg~4*f;2^LEU5;!~&ZSf#O)6;ez%(5cW~i+l<>P^u<0TIh)>~ex?|@(6R{CbI8$YowVL;R=E~E z@wGe*`A*s;;&w6^!ZezycD@&=gWM~`zPUUV_FJpd{}wFma%y-M=GR&Gm?~{Wl2m@v z;TG^?4KkF=nkhA4*BVtqezBRS2vp`N1Bf%w;=isUU^umvU}-RTs?&W9HM!Du2?eL| z?z(zfH{91(mO9xmsTS^3KACI)ubf$rK|fA9xid^seUy`_k?e1y1zev2F$GcFNaVVU z4>h{u_yz@RM}*BVbxo!G>9e(jfbFtU0MS=$Wi1(m{p@AiBTYbQ_s!M2NN=lDE&XNy zH4mMEp9dw!%Qx|9Q+e%j4dtnpLVOQ1L{_d7hkS!uen18CGGIGzphSYM5jRc``!OM; zEdpd(UvCBdj1IfA%gOyizFcYFcd37U)4ItnXQqLi86>nfH#FLE@-OW*M7IWPK)Se~ zE-4?s!WVoGo9>l%{jv%zmt20PFw=6{Q}n5)cdI*DwQq9yPYUSL&X}vP6Tb@TU|69@ zDfQp1@&ZS~o>(m9^pr^WcS>mlFFC{T01?d`fm>0g^j^nYlX2q;W4KdZb$%&{T5CCJ z-X&%I@>664B-RR(VYX}RL?H)W%Q^jwbDwyu$i%^LynYvXuu>NuUq~jW$Q01{{xmj9 zVxPB4cPC=kC5a>!7{);xUkl{`T5TM6C$Zu$?4$Ae^Y5v*NB+tD=3xJCS~-;S%>UEz`($S?Hc@m#eNco}YEGVfpk=v`0l6oU|$Du zjOi>upanNDr>&Q{RVsLs6J)tKK^7!OI=G!T``4CAg+3h?9CCl^b4Y5Hb!>47&i;zm z|D76?ogEBZ4yBkWbJrdq&XIV_Q4iLk7`gS^vEfH)ndCa(@|XTU z_gp$Vz_;Es}a z`l-$iC0J5fNsu4aP(xKZm41ny&~Oaj`-vaHVh^*y4a(N_!CLLNPk`mrFB5sku4kZ) zYI>}?*{bZF&0q@NB5q1u9<^&Bu3*XY=NmqWo<0e|-ic=e&8Ie2qDxkx9r5YR*bMk& z&(=PQV2IB{7!NeF@gV+PjUEdp-LdVLMHG!>KqUP>3^`tuu5;XuR9AF#CRqvOwL#lP zA2;zZxBgh&qAE~UpKTjyoC|vDyI=Hu=)uMfqTl;4xD?He4xUu?N`s0$vHD3mr;7!I$P;eXxtQ^&1--4@pE+`@&{UfE?p--QVUam z83h2BjQ3pMRF!4u3#l!!bOy`8L#Tc{NJ3|jD`MyV^*(crS0C;QvHPI`t1xF2Bc_M? zHkh)Qr4+*V{?{Rt$36@G#uduK$#=iUPOleue;vF+c4m%BSSx8`$=-QfN#}W%OoOCY zKJ1dxlpCATMz|ON&H#8CA~&0^f{V9)s3p7026vJ5}7;KktYk7Je>>2Bkx8bP9pIi-Wvl zgkhNJ){A693Q$GKT16PP$uRlX?$3{;gyjgHwCrSeiOyOkIF=M5iwu5w&barB-1|KK zQ}5ee0{vhKRSi+0d6pJ8Zpv=-5 zE^6H`{c9MFnZ68CTf4S}K+y-hK8X~xBuTrzRG5K3}$U%=%Na=|3>2Y76aK zG8ygIv|wJ3-9rPexmsO6vm*ZOAehZq1?BunFL7jei(U}G5Qqf~I(vaZk4zsRDgc>J zAGbrIt7Vg~hhh4ma1N_lYJK_EL&A3?&|kDN;5;Nj8d7Dqt=(7ea%2F@{aeb8!oeS~Y!voY&t(BDIvahcBa+}`=UErg&<)+Ik;})@bL5mb8f{bdZ)1QEE z$KH=ZP3cHx_54TI{&;j>E7xm_wm%uXqtB)UNE(2GRa&~%hk-QbA@V0yR&XZ56%J}C z_QhR8RmF7eGS@a@GQ3dqvZ>amWxNHHqpSon1(JKAr>7|!ytGH zfII0cY~rrUP}tI=1t2`={BY?=`r{PY!GGm7(z|$xa>s5tH0v^Q=-r^ENbiP$ZG6_5 zb@OK{u>)6K1+m=pu6C`>i4e#LCF!kUzD?(g&F<)Ogl6bOD&jiPZpXoitwH^iXeV=z z1o=FOCShf=+O7HX<2^Nx*2Jsq#G1fO_j0j&lahaS=P)Yg{M7}7+fCgr*~dj~V8o?H z%bRAVx6*O{$(Z@u8s|KSHyrOi^DnyXxj!Ls8JshiF*CKa70~AN?L#j_8Y`VuMKH%?p^NW&MOYBn&KrN87GP`#D%ucx!{E zy9&rlIAa~#B7biyIjieCzJRA|9 zverzR($@!8zhXUFpfVvS<@T1{CmshY9gay@)BfrBI4GH_?8o8=-zWn4^+a6m;%L)8 zj@Uya6iIQF2;|EGng>J3&^`{|VaohJFVsmU!sr=(f$G)HwocOb{+c?Y5^3%UqZo~3 zKIzHF_q()2l0)~dwRAt>ggkM8{InYD{!;jm$rgMYHMiY28o5K((C?b>9I47J&FarR{ zz*{uIZ_z^UPIe&-XAE7gnt(==c-j)h#Vp~iIWc(Opx3N+5r zDuVLHzCWJ74bi=L<7c|Z1S4UBB2C%7XDd_E#QK*n zY(`eaLvKZlIq9{tDO}{R1-|8A))3qM6USVK3iV@_=(K^q_w)E%#^2gqXu_q0**DEc zJ>T=`#r$_iOMf%Zm9fWhq{_YH;dsM#&?K4rq+&`l3!zjI+^15RgO_ALqJ}v>!O$;c z`*rKzO_XG1oq(0g@K4E%%+2~8(mLU*$ZTSt zVjS#C>9VbM>+A+J;h@V7Ar#C=dJA%Aw4t7xU^3NzsPTHn$shZs zW5?!bdF5Q=6t?xfIxJ=AAaF3tiIgx;^AYMtH=RpT{$H#)@CW%5&+CIUndCh8T%CXQ z37U4gyV|v+`L&ZhZt(I|>T~L(!TxOJg!o~}kPH(vPB$~A=SK6lwS-TstL}ak=Fb=Qtrbs>%0U_@ce%T9 z1$y3o{MTRedQIYV#+g6r>z<*c#^vFUb!}>?(ul9su4|X|W@o3-JT-0M?gt+)uu$&j zIt;4yhnc1VOnD|`i|1H35G>&7h!Ck%YfIRMNS#g#O;ggw6}cUGig5>*)vX@|66c2B zdplEj`&9q^`zeTdp&IkV65ty6A~oU2NZg!Gz}woWV183ibOp?~&n#!s-bAH`zKoc* zynhRV{&hu@tzF#euEt!0DYQ3{9({gDd@J0dKRXq z2`#sg$jO*epA5i{0pm5Gn3*-IoC`}u5V?;xt!Ymrb38;yP1h32hoh(&=aE`seq9p5 z4D#)Ujz?RcNR~TeGZVjPZ7i&5g$#+HZI7_-QA+S2xx3<5qt{ZI-J`f1PGi$d)gTa8 zF=)2tLI^{AhpmlWFedGb2S>IONEGTV+sQ)3in)&`Ccy07g&P>Zw}Z*fvAy1s#U$~) zutVTRQPXOvx$=DRq$WfMKrFhBZCmEOrgxKlpI&++_qRUxQz5&sfg9H|{THOGK_|lj z-xnAkGx7RQJgCNOoeU)N`f@%zJ@Y%_;yOx=xkhYhCJjZIG0lI~OfWRR+SS{<lwjw!jM=@XColZHk#!R?_Z;tKoY6p?F-A7I=+?#0Ph_f za!K$}!q1YGnfrT-eAtBNZwiNF`R=2*vT1>s!Oz+|ZaODB7;*&oa8Q$*c5{2cC24|* zKTTc7>GCMc;`SNoQ#!>I#694) z{W-e||7x_@^-oOyBenvk5CN6zXdIl9dg^GPQoQk9P0l%~v=F|bRZ4H( zy-9@eHf`u##L6Xw{es5_`XKNw>FQ;<`@fn+sVi&-r^_n=AB1m~kZAX-&%Ap#28YtH zlSq=-`!GszW-P3Gu60ZYZuK{i3B&t&Ood={anch6K}Ui-+Q;+ zFL8-ke>NacN#(l_>S#gEVtOB&eBvuPw~8f$P<^K9tQJp<|40clzBqe=+V@dH_uF?7 z!d?MvAnO#m?C%`C77*=tlV6%xM}7udmc(!N8x|%Y^nRAOj%*VH!HISdw4{I|@`EN= zOTdvVFWD?1`07q%ty&O1$-`)VnepiJ%&V&Wr?EbW+{6tD1(x0{ioSK69anbNDt+;X zC?m0+5iV@5Yf8e_Yq#Oj9!y9y`UBC)or)38v$>(^!H@YoHr=tgr((e=C$qYBKQQ}u zcMZO|KA6+NTHiEsDmK28s01oNSMh;$88-9V29;dPY+T|N!OM3nq8-et-hd`>%94(# zeYWMh9+v5gP1xZOm3(`hufJ)R@20zueFJq2gs{D1JK2GKU6YFxT4(}n0bp0pZuexp zLDBoChUO&k`IgsE$D*Aw{29kZ?rGc%DwI|C9Z4NQ)czQ4PW*YA$Z_L3qc{d0#W0z{ z-yq}lac1UY3|M5pGJKd&FWy>dh`wG>-5J*E)N(SWSVS8nC9T=zZsQ!Ta zwmn^(2EA*~h{PM&@%wHqzd?i>IQy2Kc*7vMwR}o?40Y%v@As?GihCk6`OZiBvsa}! z=|=T}Cp0}uBQkn-wZm_MR(2H*~z}bw|obkHp&=2`x@2m zdnYtuWh=k)S3uvPXUh!iBo3M$l)AjWPnv+|7_CHHomDnLD z@29If&Y@pT%C}P@Ji>wz(8NIilxyA8-jkip-9x@?Ab@89t|s1v!jdHRBCfa2?a|`i zAFFi3F3*t)=8d!-%q*cP=vy@;IK=~eX|z2*8Ks#|#z=izR3b9&Jv`-{-#3Q_Tp&OE z_Y(F2Npp#f4vWC~BUZVGiewjxV@EtPu6{i82c$@!+@q!sJS_tDbSk>QH#m1(Qd8u) zt)b3N7eHej+neyZLz)^B(Pu)tR@E}Y8iZFjAEh^n|J|Chhz*bM& zC9E!^m^i`--c2>FJZ5C&veT;e`Y@&^{)&v--pqlXnKX>xU4v%Xa%ZFK=l}*A++fRHmwXOa3?KIp{)y9@9DXriJN$#o$)-(T`0BG7c zVqI*4f2szGm9~$DGtkzYyMIi)hto>{?Yftq=XW;dENbPR+QXMY5@fm2tSazMsnU@9 z+ay;Y=V$)-NO@A=%S<(0AOVJnG!pnPE^A$-*J-cCss!rI_1Tn}ll(_tp`q8M7T3Ih z5~r#OkfW8#S#RhD5DisL94N{LjLgJ1PpGMzM3iIr9s&}U;TKX$gYv7lh4$JG;zPKG z$=y6MDtB%H1muqsf3W>L#txG zePJ%k_dqLkG67_fb^Os_i~%(-IxD^AtX#sg6LkNhnjalig`xH@RF3`w*tZ3MCMoIQHwiO!jicD%+&QaWb}>at0cT;CpSMF~t}dT+pwM|cfa^jly|8#|j6?`q z|K=P2QN42z#6*$Fwt8gE*YOFy$OiF=4VqNmjC-cYC3W=pmBHUV@3rqHcpTJ^2I z%0b8jx+atw`t;Flt-X9rXs^ae~t)_zl3f0{lO!B(G(a~qX2u^N5yPVRIt9<>){ zu_KuPaUeg#C+E!`?}_N#IyXE^vL7;!d&}v0{x^9j?1m1H?&MxKl)Ccw>AZ7VaUnio{CAUmEeFH_Nx~GZj8VkRd8nMt z3FX^&xL3TG8pD85J5a6z$A@&e!2|KPcQoza#JfGm>+7yHzWX=tGFunWo>d@x>ff4* ztf0nH5FovbY(8k_^O%4lT!DvYjF=M4AyeNRWqG>r*kC5Pi9}wXiz{C2KE@vXa##`% zImfmo?3x<|D}SvB*SvRF(Qt`mdrPM zX_cj`)fGy*p^_B~;yH}G>2(w|kmK_8!V!Hbn&@CNRK0hI$Q7W{Ozu0w65+Vbn#_je zT3OY{pf?AyDvrL4vXOZ5{l_n1u*k6dg{8y~v(6dDBD1e&1Fnn#;hI>9J}W78j_z327Y)IN$S5vrD^iP&X{(Q&z9Ob) z!(>C_f*l*kGpAn-ZK zf{DHx@P_kJ(Yka;yAn4g0qSzk3D*1q}NsQ^@)bJ;?L zPhF~{%I#A09j{ORc{k-3n}6>`Pf4yFmNg38J!WmY!@s&dUB)W&BMi*aY0A zF6yv;w*T0aVE84MFo8{dZrsypF@`}R|HHv6q{dO z^O7&m3$qEw-A3gvKe9n@)5KSWQ>FiDp&#u!tTjI_Czpe%(k3VhxR$jMBE@cE=uIZyrC)ygwuAd4C-*IUQ$L20~aRmdMOC7)krm@dzxlQ+y-y z3plXxhF)_r@o=+rD`FpwD}ZwKaPYKj@=(X|$MIi-E|;|ojY#F~7P#qZC2wAyd<;|d zn<1M8f7}s~1%1at7CRFIxfal0+SC`XFpk|OS}Mqy?k&lawV)b%DZ)g9bDWDapd-4s z!NHt>*ZEkHKKMZSm;0(ir)fh*y7nd&gvS}c81-r>D&^-$16Pf2C?NOJ+PTW zDk2oIxV=p@exFD%QWJ7Pc+;ZLbmG=i?xe@gMREp!DM%i7_0!i6GAv&_qdx+>hP}sn zh@Xe)`jusJJLWD`wuyNW_||KnOIULNr5%oE#q)|h#;=7AGzzEv4%KSJDKXh4{_IbA zAipB;tXw&Kzr%}J%2xqSmWXn6M*`z$R#|Gu_J!}UKj zWQPNZ_AjDkYQa~*E-aA`$F(S#Kz19yh?Pag7WXD!p)@LRh35<{`?{W|g-2E2HQ%2H z)3zg!@GPydo#T0+lLYyoeSPc_-k}#&1|tweXli(^)tBv;>dCQU03%ywm18848yE5W z&*Y3j94(G0|4HvTD5+WRFh!8?;ake|9gVY6T#M zOFSFDR+6-uRmMG6m3pG$R!g_MKwD3X5=?v+K0hri9}7RuE3{%gPcEpPw7Py=@pm$r zk=;EwSdf_Kmh#-uYB>EVOXErr+|_Z#Zj67p&vj#vQV_<`spMP_^tk^YIW)@@5s#lp zw$pWWj6|=CR-BY&z(s{k#xco6tNsmP+r3SH@=<4&kE~nTEz0Q>eg|j%ig#T%I?-si zB^%(4m(0D{TuoT_@M|7^kT8$h+EIgx@Cgb>51_SlM`CU11)t3{&RdWk=Tb%qPaT`r zrlHeyE};#Qx04#-cC>_VG?rg*-mIyY7(VG^);DMBlPbTI(~Ba-`?-iC^uDJ4r&Yk} zHKgQpb<)UP;j5&Ec%-_i|JPL3sJN7?L`j)fRyOW%u&{hzHHlONmW}l>&f8TgBG-7F zg?CcO<$%HB5H6B2uYitcN$a)HNX-ZNoFlkg#1x_KV5|Rm6WzDSh<~fPb2P*3s{FUD zK0YDyQ%~AO%2!%${b9vYg!+lKqh-%0)zgAn!{}!lJ4|SEhkvk<(xV8L8OGX(<^~xBFQ`U#5-r&qlc(J zEW=K3E0%wk2!ny5&9HXfYz(QHY&CdL-p%o)}Nn@QUz%S;+E0me+tT3+%;9dJQd$%N0DB!@p9b zwNCX%F%0q5eo1s=ZvVHt7@^iWE^Ar*Fr|NXEOggIl;}(UFBjk=P<;#G3Ag&&rUl>b6HGICm&GMtuf)nU-?*u&kgr3S{V+>kPc zq;F^_D&0jn<19CJo@LyIi|cqhXG(p;&VHx+N!ffgsdj^soN_ zG;*ludJ(n#Y`IY!_;B5Z5P$t0^seu6GYQNCR+=6sdFBkct2{k}8S^_32D7lAQv%|* z-IT3l?AHbc++P^NyGVmVHZNzys9`2jo_4UwyCCn1Z*@re3t3L>Xz3J9{9?1ox2)-t z8shM+VN^x-z(d0GM>6=Cg`h4m!_xZFun&NH|32K6I2Hi*iEruZL@ z`qi}v!@%kS?dIWz#c(rW>y;9)v!?1_g`otlcE(}^mpO!B%+Y_!tKNFO8w?w^cW; zUSQ}ar06U?Hg3W}<<`EKo*u90VcA@fd(A5s2QM1~X3CB6jlOeGF?IsM$nCb+9b3*J&Z zKeLc!=6EsB*fX0kQ$T+foF8z=swEC;g7~oQ)1Gtn6sAF_d05kC!z@093VGhDo6G;4 zMaFm`6Vg3jFDqNh3D|X@ilAHQMt4^oWsdi3*FAAApiO22ETr^(Rf9K{+NU$$K~yL> z8*1=A2R_sl4gW}n&&=R0f(#F2!gDK@(K!(=r}x{MBA7`KtcIpX%ols1ev#qNOdM4Tog~6KsCstQ!7O z@740dT|2H>{5hyl%_i29Gy+4uvy)0}N^2=)zF&3HV21F=E5fR$4o*J_qirWdcC;;P zr;YNdL7u0$ySs2>R_3a!xN~CuM&|BLZp#|*3Wwx{PKQ%zQJ$wF0#x+SK)MmLt}#Fn z>UlCL0eEF_IA|Z`ciDI15+ar~T-QYpglTHPr93rF3v1U{ON;tkPnq&iyx7CWRtfsD zz*HquM;6w~bCAmeeBsW(c;Av=Y+mz?JXz2^0Q7JDcK$|5skaT6YKD*6k?7T12D9Ka z0uzg`R)sS@PErUGX6(W2Q*c9o=0QalgZdC77b4Y@@)zwtTv1Pn$;nZovi#rIRX&zM z-0t;!p550LX=c3e_Ps0>bQ%pL%I;}ZJ|DHXS=pum74{$0f*5RgX6uI);B#bvmahE4 z`IXYsSraa2c}`(DvDF5<2dBn1z6k>RgEqc%*XUMws|KxCR>vrR1n{1bi?k}U42$#U zW)3$wN!U9z3IPAbxm+NCsjZacA)%+VT=Xs)E4}`X62F#qCKd(~E*AaCJsstFs~Y&Vt7m3QIgu|ogv=QUutd?& zI{|dPP+DeTX2hM@_27M#tWENqN%MtP8v(ocT>gMZ@c%BN?mh7trr2?HI#FMDb@EBy zbzNsz!I}8T-L5v4d!JcjSbp}-xNP3izr|Lg3T^zdi z>L}^}Z5`oYqw!iJYu+A*Jp66>a$e7^yhSP=GEPb$^`p=TN|zr!@=Lm(-F6Qtf=@8;ctAmEjL|4=~GfQnYY^Qb)ASHjziT zQ4OBBAi063P`gzl7Ff3cGu0!PUVF)rAz#YTWtYOyBhOs}Y8eD}Q9@*K_R%jG$Z zf4dz0T#nS```FN?naAJTCA1Lll_C$qk@H9cALw?dFsENGHGct5fXEGVrm%z)hBaoefP{+T|(cU8ZI6C4GjJH zb2zqpj5z6@pWfs&TB{&iw%Fvlot*6zP9&X?Q!Ye+a&?qU{5Xg*N@KA zhg|#DpSX167YFixK8KCq8&O_w{$8MiVt~q4u z+V2DPGh5|{t%4loPtqMp`9{=OUKT`O25mrBalIE2Pj5CeaJ&eW8fw~!nHI%r zfFDjJELt=w!h6Zn2W7(+dgYYhvl5?Ra*AcL=6;q8dg|<5yj-5SyuMC2d!kA(mxyv> z<*I0fI(w=+GR~!IH=!BDqlw;5 z!lXI}5i~aX8}muxu3|rL7!BU=sKdhU4SZdHXH`BXzTc^?cP+;<&4R-iB{F-JIEX07 z;_3aPj%N^O4>W+tNW7VWLi&SBjwq`_K+80R6cKV!eWr{q@yee8IraZQzPHk`=&Lhay)=%=5Bl~3ul(@|1K z#!OGwmkNdmf_kjgKgpij#Is*i-TUoXRYjSK{^V((>)7avX5uBaCg@cY!I5*|$zV>3B4K@) zbV{X)Mk%dfHhpHOwTQdjvE+3%%M!Eu+u3##7~%*G6-TkzoklLI!Y6sh|ICTg?O2(Q zQcrms$R`N>7dXJ?Fd^=1a=4sZivMM)1c$zV@V`|4idTGz>|bqIdq%4D@B#|wp$fl5 zE0vw#hsdepUSd~Nl6&<$scM3s z-c_f+ERCYB2@YvAH5s=bY1!h~xmd4oxnY}qM*d2JXGv_{MegPP9`ru>eAKooQOGT? z-GW1vx1U{{Tt5qkgaDOCsbhs3b=O$3Mf86h5FJM$WK}{ZH9+EMYv1K1ys7f#Eg<`# zxmomL=A%*Nsf-MZ_({K#bI`TiwUedntUk-gMFr z1(bw9!QnT8u*%#NoTSlxq;ghTf`Bm z3v?3Ie=PVjZ9nmvJgZ{8zUu>WFY>%mfjQ?hMS@PPb*B^MmOBSgCe$&YrCfJDuI zS~Fjbb!uuKBUrKLL2z`jDLdXN#xiSa(02OvWLH0OY$gNRh3Z*z&Bj}{?o)OVY>-e1 z5Oc@phw<+QMA0T%h^#jS!E`3_TZeGj6(0Urn#sqC*Q`a7)sSnBZKQX2p_y~VTsdky z_f{$r_vgWk#(420srUl>E4!U{#4~XogU36!bxKXX2{5ZbF9Jy+KkfR}ByC;Gz$52! zwDPJ_GX(`uTcN*yIy^aU{1^RBA|o)dph)5O&|HYl{}L?iddIm{=Lhu7T7%C@R9C@b zS4z_+wo+H#>>f(Io!X1Rx;l@VS0_tk^b9`HS292KJ=gr0E8MK^`MEK-axUKX`g)5x zyJskG{D?s-@=3>>P#F?Kcq12R*HjSZ~f z*zy~ehZ<`n0olP#d+?na5^BhB@L8YFJj3p&*JuV6vSzhN{#f6U2oecfbx+Z?`!MVpj9lJFdA2-EG0dBv zzS6{3xSlk5B4( zkjzFl<-H6*ddiNUi(`Q@0Bn|TdRQ9ieG3YZ0fdl_QQZiUnvmI(>C>5+HIMz>t=?-I zNr;d`;{3KppM)>POx(IZzWIxxa+RK5^$!62rCFm^pNR&2t&~gf$2tpSl~3Jx5&(>l z2k6aSvx=>TG6-jrS#D9#NkC{7a2bPa@y1Oyjih2z zPB)UirA~d2elN?SQ|a@~tYJG`tz+;0!cp1Xb^EUY&F(VuyLe=4)w-o=jux;JB^*N^CFGsss9%?U6cm^adJ- z32qI5_Ox%V`j&5XL~Cj`sn4}NrLNz9P*7F6N;E%+_uQ^?VE0UjLXWkx6Hd)e=RHz~ zM?qA`zDpN5Xl{H_D!Hh7w!yt`KN95dQ`fCaH-EJMZP8Gon8j6eR|8=v?zN0_M^~{y zF)6HDq*2Xe?`u{)9|W_WIR)>g_QW7383=(|6$tWr>W%01j1_B#T2bLc0a&bFOXA*w zVgI_U)8+d>L?=1?YA@bkk@NM?E-{2i&aHDwbL=`@UcLQ>>Jj@Wz#?;i zh`YM$SL8C8^I?aheyI9wy$Y0=XQ zf@0sZ(mc+AZF7yPKd!P~_Xq16>0ew<; zhqt7CTGz3qI+^9f7TVz`OK(}k;X)fj-+UEiQA~TbbcvsiRTMl!D8=1ny&0RRKlEpx#3(iyOzeY8f;ooMb{2%Ih>8^H_syG59w>(~;6J~96 zl&S;-VF28Ckx5cw$H;w>Yg`m;CpJnXGA$#ekuEy-D`2J zSY$-xiqg4tVJ>|v#applYIgPRI|^3UeNpF`E9(CZ{9veSh|5-}eAwNG#1zXEl$R83 zWh*S(a*Fp%u9YqbhPXu7>s6V#bOQ-{rxZ;)#cpXy(b4UJ!&lvj073@Gs6lFE@SoF# zr^?~LIs(iV^?Js-nlt$fs{`f3uYgC0lnHrs)NP-@bX1~Bc$MMnb@r_-*12oUqTh3)^=M&42_Zs7! zpU82W@qO9>w$?{|Rv(+FmC7sa*!;F!beLx8q}GR7*d)lmKVGxShLrnNL-wj&2Ng)p z0c_AUKc{~30W0@Ara=4%)c6$$*JWocSEN0y@CTsJHw~I_+&z^qo{=Jq9o@^elViK- zp+yfYQai+&#r)>zq#H-$L4+!?Zx+$OZQSyhU%h0rb`1X% zg*dp?`l2Ges_wPfb9j{Mg%+wD6uIi0o^{g%Mi0b&4O}DV(c7OHJ7IU_1xdX7Zpxy z2)?Snz0&gGMXGe%<^|;1;_+$m59g6z0yj9rz`ESUtKk-8?ujEH%qKDC6mE{25@>Q5 zG5FbQ$JN-bY`1&0C2k5Bv#YV4sD7=c*9N{Km6kqBc_w_mQ!mA&fCuD<+kl8fvmiJ= ziCl+)0GcAmFY!^UdDqqbtp3g)PxzK1b1%eV9Tm+BHSJ_})sunuvT!zq(a0NVf{-$Y zMlkDWiCcSQM6hkcc3q8pt2oOQYTxY-m6jOm zkK~x3c)^~^e0dyZZfn@rKMT>E=&SnM{yHS{c!)|QM|I-jWX6o&OeYHF)ajp)^U*HT zhumPX#+jMEVH}-aKvt2VI@j;5v=$SY)ZTsTj??AcjX)oEw9&lpd*SjIiH(1Qun44+ z%FKa2(hp3pq`{8muib%`Fh=i#!kxTr=7Sn+9MO}ZSv5Q>f7_zoY~57q2yh&o^?k)$ zb;4CeMz>{SE0=LTQ0_HbWi7=xXgNA-r4s&APx3ZEwQzU_x#Bf#b)NSeF|;jsv7Pp9 z^(4vmN%YO=&u9eoB{+x=(8YZAE}M+8uT5uDa(e0j^sD`*dN$FNBf8yP z(d6?NLCwcSGmIUcM#(Y9o;$37aw|PQY?3!WV0pd41zCo&I5Bt8vt~AEp-|{tiY`Z4 z>^(Z&N6#~t{Ws!5O1naTwM*aoXQ9%Erxu42BXMvu5N8ka%GvzGNlA~n^OCptaPSR- zE&fS4#pm>Z-W|4aR^_j!HRWT4Z*sWH@TAPzcim@HfZ>g)lQ3`D&I%_IwKxJ^SvV-N z0w+^>R_u=J2HJjI=81{9LYF{})#97CeR*g-tgs`gHd3756z3U|doyVyC~>Rk!~21w z&t@fe`~G`;Aj}+VEFxlSPTZ5mI;G7-!4K zLc^JVQ_UFnIdD1zwdo;QtQ36oosGQ8C{0SLnKNw%Z(R}seXEW;p2O?F4YTn|;1L&C zpI)O%_~a6OjMdj~m&l3k*(;cCwhGh?$w-AeTy|oqj#cGTbINRg~}dGJ*u+J7S5ps7Y2W}=goVx`Ue6*Gts%} zE{eG~sMPiyTcUa6<+O{2#8~1E{I({rSS}6hJCLObJN9$~9Zax-P zb8L#K186*O#B8?CEAF57IrN%I9J?P0wz=oje1_nlf7+pLkB39qRYersHz;`ZHsj*y zLrxVp_4|t<_d|u z1#Kv7)bsr#7ebNDFlT|~;UXu#>}?wqEd|J;inVRCRYsGor|BiIWGao4po6laM7O=Z z-K#FF0t>d#0rS*DzykF0WnYd+%#?WHc2Ht}C2DGU?JJ<4ftoS6_gIH{`{-}^y57gK zxi?kpkDZi%LT-_!MQ(u{x~YXYW`QgeIBG6;r{rqbP&MZ1KL_Jskr0w@2Rq5R#tG>) zO+Q<|S#ylPDo7@4Wo!~zj=~-mYL+itaE?!u;eS_}ReQGf*&8`)j3d);}!1+=-NP5P+gZ6pG zo8Bg%4&XE@^#;dT+_$YHO>;hl@%sURY+*?#^y|-l0iTy2vDMenLns53D|Z4vvmX&k z2xZAK`iBVS%(1qf4hKMPp!dDd4{YQ2GQYvSVz1nNCPz$Xs}_`L4Sqv9yDos4J~xn+ zi3dBm2DhX|Mn1CL?_T5<36<{=cYLf~$7)@dBN+6v{c#D4xUT54>fYM-7m+WScZ=LU zGBKJx-3}CeH+{{aUocc-eAY^^$QPlfRHpd;Is^bskF+8PXCbmC-1x~3AHQu<3Eq$J%o34a}maisJYAvzs zCq!|P>^M+S7RQFY%@UG_rlk#dn0q-yhM(+e*Q5Ia=QDb*{oey{M-EreZFIgvZM00R ztm7hm_vj_BJsx3HGuGnKUy{9J);3?=f+5fJ<+T@>Qh!Q2Pz=@kP9dgL2fQ+2auL<~ z_EtbFz6iB9Uk*7)l5mb+3x+hVYZjr*cvYQUUN4J_IUp>K+&lg@Q`()YI~U*~Z(^X@ zDxFuRh3E$n`(8;H#nWZqD8Y($jbsp5*K|9vZ)2mjXvna143fP|`p0*Eo@J5Zdgwm}d}Qp9=A$cCw`tH(+q89B*+R(`On5Kk z2$K{?H2Z8P=iIIZk$bSMK3cl`I88Dvz?&Mi*>fp;|H;3Jj9YqZ!^mw@G$#Kkvj5r> zfb7XZceC>#g#(B6HCw>A2_PPN+?qus%r>sRX-q1(9olDn_04)#%xR6i>rVplRMm}7**l0OwYA+D&i0)S?p6Mb%$=w?9EpHDJ zYMIUM4?egS($FnEYJZ^$L~bTOvPX>h(w?6p9vW@Q1iC3$-Wm{*jISOT&%3Y+2fOa@s&yWu3_8R`RyLhyD1sWd~ zJ$Yas?4$Or)Jug;scu#}+LI4bT@bR}Q8lYNnT6LaKh z5z@A1?}?(tj929Tv3AfdXKG_$ZyMs12)q-<4H#AEPGPF&d& zAO09-L^SU$!3S6P4(oD_k_d8!uKr)~m=KN|km~_&lAwRSh@8*RiJ3Er955)hvV~;u z>d%`D9zE+lJ$Zu~XXc+O*Jwws7XFHI;juH)~f2KH=D z=Ps+@iE}f~Dc!Mx zKlWnKxbnpu^z)IsaG{r^us0&uuJV1^V;>%Zc1*dWtj0zR|XNY#$V3+oiHWH=HdlpE-Q2{;{RCZx}xw2p1hNUe1xBCmT04jEm-Q z<%_n@kNKC*2v@S%vkR|;=2!wqo}HzsaJJ5#CG5QZ+bWzV#Ak`CY1{A>e~Cl)fiS_S zD+Ym<;=*RvQScw5ni+rho7u&UO#<3BU_l4SPc2wNCnq@`4wypu;!z1#L(C?ACbxz} zjhWi!{l5j?_qR*LxvEZ%2j+a9_|pEP&e*9kA#GY3yz%d3DNx5N3(kcCzxzC*Ea;`NvIIDQ zn5d~ko8m&4tWB*5Nk*2uPEv1Qk&Xf?N0sf^sgKiP?-l)b_U@0oA|dZ0{W2v3eyI*q zM5}V;|=!tWgV)clc+CjWv#1y0$tv2RK_kCpb7`L>ra^I*Qvjxae#4QdZQET8Eeb8%QvlN~GDYTv2;^YHW#z zRGpg)_p3VDZhG{1!bsm+4Pv=e8EgBERiEK)>{tI5pi58hO(CAUw~~Ts3sfB!$u{BC zrFgW66p?6(GH!5xdaJ}``ytXmQ_h;R|s~oHxYH^D*#9K zI-EYR_`geZ_|suFgG0MQKt*pG!l-5S|9ln))>qKcVSDNBzQLut8IXXd(9OtI+r-#; zzqTp8cJKA^m+e@maW&@hKY^Mjd(joNw#fZ_wM{0|L9(r39(Z;6R0^Z6- zigGn4LtiJAPL(?`?U&^+NOrqDeb7 z`~|pkPBsed(s}K+8_&HP2Wq$h>nO{7(cBkv3q>1%5&qua5E1znSy~ZEL`c0e=pV%Y zdjrd_yIYtxmIL|qx8#o(f-~ncwjLuDLtnGX8;AyK_DGJsbD0ctz=5b_RzL9@Mi zr%zP~_^fxx7Z|#(4yjQ)`w-=?aWY6zrD{Y1g=`Fqo;furErsxCxEC(^e!qpRa9lMf zQkOC{ArJR`7P`J_5j%$xm}n#oV0Gq772S13R$>1JiJ)NJ*?(}um*zB$h;+FvBUdggOm@{JYK%|P&$q6Xw;8E46HV{g30qkAH6 z;&1<>=pYiY9YPXGYopu{Jl-=(f;yYOjm=%QzZzf8?$-|e!iZ!-IkgSe5I^W};X=6%>1-&R$;4`yRPGK6q(N9v%sM*5z*+Kq~9Mzs8@ zSfosw&P)k;rd(L_kueP?=6QGFDkk!NLH?EQ5J@+YHzLSwc(ksI$>mGI#a&@T?FM7k zRQv7?Nni~Av3@P7xjjH#EcO)>MJo0C$;%g>hc`J+Jgf_v+q!lkjF}8n5EOX7L3YYJ zf|}S}$NX`Ti6HSMij;C!kz2beplv`!;z#?`@k*rb`b`DJfdL1E5~H(D`WB(v@gsY> z)Xz9d?|^bf;1FDR4hCZ`N=6#`bze5S{#OF0m6j)UbPNU9AAW+Fj<@D%)|RA&3HiKVrLJGydzd}I5Sgx^hcYegv=}Y*@bY5etPA7yA9nKO=#qHsV`@8> z10(T10=zK7=5Q;TEx{kJ=Bhgygzu9szxtUpbnXh^0MiU%-#MCwSgmpbImw1qScbpq zMsiz3uaXlmFtvFhiN&WeL+s1{vBa2~paU5ud~9tq2Iv>`GoI8Ol^L-^o^apWmqWm^ z2e>84uO5L-Ls=91)8<6-C#GOFt$Y~1zQYj9g~a)E!{$LaYIyWDVS!9W%GQNU2 zSg$QF{)nx@@OWsQoOg;L(7nrLT@I05`{?7!`eb*!T*1%$KE!n5m~6w>DrH*uSR=4r zkeyHh-mQr?(5$>Xt(v+* zd;uIJ?yKMr%idO*i20|R6Kkm3?pwcp+FsYsI$LpBmGv4||Fec9IdI0sH9cSv>JZ*G zRT7w;{f}BrVCEX9yGCj(dh!DTZZ~S>>*ZR0LsS1Q#B_iQow#DSNQE%dUK+`h!0811 z5-su=iNtF*FB&4V5(+C~7e5~ldF86xUWd+THe6=k0{^fHTKN$Q1JTL^HJA19)ku(DgoE%{5wtWoh z#p+reDJ3BsQ)v9^3bbM5gf@(X7eW*#UigJ)gYRzh@%J~3<>TlOBwuFPOX6J7pKPl( z6n~qC&R!7cV@9u@EKHz%qEYDr#6ny|k*+m9gkQ(4lwX09KG_Z%Dl5i*pWJDoyc`E@ zU_qTE-kRA?jNY~SBCr>OllbCho#~CbpuDGr>z!)jiJKuR;>u+oQyfRzWeWO#m94)R zz(y8*q&*SnJbMiO0gp`Vh&;us$u~r`Pwz)nq&1eZJ38F>CeY0Xm<}8gWHNX*}HMby%Q> zNF13JN$Ab?!`)|oJC7^W$hky(h#5xiTiGCT?+<0Ujg3AdCBGS4I{6R z->(mMIehS_gN2|GA+y+=$_)8vHp1p&p!vu^KgQ5_HU`UK^b+)1 zSA7OM7nfvtV%hexB3IRd4P;V#{jUW4l6BzJJ4vdc8uM=sK6 zfYb)}wzzE6x>`cquCW=t%$d+w(i2W{XDXdDU-sRZ6uG-pHHZ**c2^L+N-i3R{rDkU z(l|W)VEa`@1!#k(j-OmFbC?_8uJYK75(V3Fm{wXtjsBqU-9!J6I}wn%Dpeu(_%prx zlk`>X)a!t13i1-+)ddwf?xpOwnQbWV0o0D?312IulymEiB6q&#lnLnRCnyl_8maZD z4Dteh6@+3;#Q4uU+-q-OP-peIf<*SLm?Pq)yjDmk-$CbFuzm1rkE5j9AB(v5<0~{r z)UXXusZbxT+?oak+cP~yE%%T1Ra(ZO0y+fiRnrm=Jnf+8{eyiTga7V#Sw8n6duNf6 zG?njh)VUra#{>))qIp{7H1OrR-(JfUp)nK-QGR6y8=dQowir4Sx8LL+oSkKe>gx?H zeW3MXYWWT*y?~06QzbziacdgPz$k`*uTqKk62^D=E&rkC_gSU(Kdm&XBwiE4crDNH zukrGX(YqC|3@7+Te;8p-@+p*Lj!`+bW)c2F4oQ_snba05avGbDRhOo7&jQvy%6-Cj zmzMwBb@}vJq!mncXZ-|kzU;mwfn$)Vm_F zxpzEQXtX_=IC8_=K|4DLn;f8OqBx*vKBRJUr3txDyg8r0_nv3>(^rBJ*N+o#?&#~= z2jp6$UG^hKV&@w zH8X|2J^hO{P4Qk+Dz1^X0Bc@z+VNDhF`ak(`=c-5q6RHGI+g74!r7%X_IXINU%9VW ze%uGh7E*6AXqJ&(>HzlwuakAon%)ImEv8tE!y%elrbbi9OmB zx0sCqM~$pb%;QuyyYi7>wo7fFVudVdfUOg+nY!v*pW=PvUFL>U;8P}rvr?5`%48QZ zJ+g&W6_4+N*;oeoDTyx=X`Z$vqMI2d`p&#!FC~MrmSUkF)a~#%mIkzS$b}uQ$|04p zO_?b1TNO^{0FgBZT#{qw7rZ`E8V#QK%#WW1uJqvMiXu|mWN6nOU~C ziQ;Bfl8Y>#W!+o3-f_7pd4{Ws-I;32#{3u_KV~R&BFribeTRX8Y$~IWtZw7?eM&$|COEB$d>k}Z)Xn5 z=L1>!j|81g>T30K1;9M^0hx-Y(W8f$y^G(fCfAjkaaWe==A9lk-x8CuO35VOYiFd< zlVc@hQSt?J^cr6;ra|s@A{lv@b8Wy^t@vrO(Y76NtT4S#9JlT{-{88q-p9FF%a6Eq zQ-`sVNWuoOy+r>7H$!d(ISIxz2Qx0n47Ng)5lywhlnWtBfirL&XLYQyz%8h++5 zOy%Hz67x4wx8f$_aIZNXEVye=YB)u+&ow;ECT~qtNKr10C^zlGd9@n4vMvWV9Zw4< zkNP@SmROi{yldAmkCTGc2|AJf39yO4yk?^Z$w|n)s|6dU{LK?NBA0Y_%k!UW%v3*~ z5%Zb?q+oC=e6<*o&&_-_#l-6>JpN2EdV1(tax=?E%iW&+iwHl+EtdJKvxIl|QLpLb zsY_C?>^6RsV@(gRKSSMZmsQ|b+t`0h;8ia2PTBThReycTdAe|FR5J(gB9OTlRnsBC zUFnwTIK=_2a&Rwv=$jn+U;dO?-uFZ#m4Q{Esy^CRzi9D4Hy=>^Dr&vAZWe#z4KG)@ zR($^l_Qs~fyPy(s7aRzc)RG@Q_4#C3JNDtj&-A-P=e@fl%qu*G{ngeCG2|XzJf@j$}L&W^~1>4bPAHAVqprYe78!|C&9;`Ltr{7+gQkS_ z@2246R}3$p1A{c%1o$eymePNJ3Lhi6BlK?!^@`m?KY3q8&J}KVn8TB`nr`^+T}w^; zQBb9TM(Qd%F#G9EjqX|8Vp^WlcLo1rz>V%PlQkVYW@8;x}>t=vq)}v6*=l zH70K7@4O+9e+CcPYy3AJW^KJqt3XIKsvz?ZD{PYB^Vg$hXZN)|5Q6>#Zq85$0kZzd zIZpw@Do_+5bjW^3K)d(+*NM zwd(ehv5rDkI@N#uL#uVQX_8NO5XBvuda**gA^z0@`|v)&LyyF?KXW@yTmeQB{@qJf zz1DdvH{Jje2RMnVok|I*UiytdN#2ESj4u{{moC!>Z~yA^x(>3U;Pmtawfy5CzBe?g zTdc;C>GFi_iyAd<0baH%z=>+bL`L)K(JAGtN4A&Ffp6BpAD(wz(SM;W8|R;p<>1z% zT^V}*&bA*#Kzy{11;@n3O19z4khGdEyM~9VbD`uZzc*5`c`q#UZSGYvMm(sJhw&Dd zG=FJ+Q=ykH!sZQZCUyQ<=C->tAF`^zTU3$1K~z;z-9dXHf0ehQdq&x-oD%>+L;%nRrU);|{OA{*+fnPi5sLkgUG=*n?4~WrTVv?!2 zHjszYKzZ?w4U~FFWE;8bi!GMPYGk{rVcr9AU=^Ls{^q{B_c>j$)GbX}t9!P;Tdr5R zXFxHftQ-#^QyR83vX3pew|wAg=Sr*nLy=B@;;jbkf1thzgnU3<+0wLYF08}#5Ksxk zj)uLm%N$AVCOHk17IMRW(P?-vTI1 zm$wvUa(}0ry|j6s1oywLTm-2Z!o1Trr8ZCEj^fGUON=C?INhtGpp|T0ME|Cd9mPN#LT(ZiqY_3khV)q5eS^%2^@*(U#FvWmP16XfY6ipnvR!6`89#q8AkCB*r4fWZ` z&JpAO4B%(ipXpSTuy%}ago6AL@QXWpm&JP4lk2&H%9 zCER1KN7YPxGftHxCxBnKc%4h8qK*9Lzy7ajp$2a~_*UR_Kg5mvn@Ygu03 z%3$E%;KACme!RKRI`7;2D#-oge81{U3J=M4gHzpvvkHzJC1>*E{1|#?3^-Up!(>36 zvbdy+^N^~Y5dEKmy1SV6lwy4frIVK;BeG{H{$EGk*ca+pPGpkd71G#T9id`c#|GH> zJm2horGgM51(KF1?ppUvH(Xk*Y3D2LdyJAMNDq3Q-kp)mzVS79Xa9zmyl$;`-FKt) zVBkr8+m;q<;-}AatGn_~6t-PzkFvl*lm+6vE{=FPB^&c}=Rak{gSLa{)#Nk_l1R%$Ns;EU77?AW6GvhF=_8{oJ}$M+JA7UXTw^m}#4 z^MT!H%UlVmie76>>=)!~(G+Re;IH~9$QK(<1v-c{U8b>=l1&u&_^cAF1}M85W7X6j zbdQ-kX}g0GF81|93y0Y(atj_urkgdHfBlLT$|#$KJE2G7`2E<>$ZrH6T1 zebOOpZJbRUy=KmoJRgs~_T<#{=tc6xhDJgI2pFCw#7DEBP?9CfuYLkLNr!xP+2m0a z-gGs+cKb<2S?S5>YYPFt!xYgm{~ku%0nwq$XGhK;o7KQEOMA}P!4+F)KbC=R`|oF? za5!uF71a(lG`J+s?JN1{|LP2&g0q6B16Ij)QdJEglG|5|Q7Sb|P3Sl@kem2btiY^c z)vZ^nbSqa~FnATx;lb{bc7unv3zDjvnd0k zmgX*u;x#x*Bv1^ocHsR|sopg?3OW4%2X6xpBXuYx#Y9H57HCUloK>p=oISRL%nXnF zwI5OXyz_Baf8dr-21ynt7#{8UFCyNNK*&A#c(q?Q$hIbfNITd9bJ~I(-dNjvZK*$VH2}J!6$<9_z<1}B&+18_IE!HcE zyUM8m+o4W~CK=>r&p*~7Gr56$N_1lNki}55d92KrPOR5Ja zI-FI$8O&0s>5J^kV1~O?7#w}o-BOyW+R0NNPB#v+5W~$Is@k^;lw0VDbS=X2HYc>d ze1@K&HmLodt+M4tS>CDjYk!v_IFW((Po~w~d!aegow2}}&%$OIkho!$Q#X;b48)rM z-p;J=PZ!N12jg4hWtho0Nzb_+VY9q+Z%X@qLT&*4kCQZR*?H=;(3c|cm*3j;gsVUL zzFTlJM_S|U8rg+c)_@nw2MRuX; zY#Dmc~!nck4_~Jn>HOd8NxDMjT$yn776ge$tT7N8aYNiVDx(D zdG+TB*4#T1x4aTgqvr1C(l+}iNW6EJ10ToeuyEhGY>S6(pz6$(=Xd)mUa8JK^fSSu z2hjpUVc#4K=fWHaP{17$-Hv4hopkrMS*(HGFbBS1$o>Ht2f;K~P_rieGQINzPHn5Z zf;Hi)0+Yaz8=*|#r67-26Xr?<0zY18SzeK9M$^bx*cL!x>aww9 z_8!-EgC#);C=RnhKhlK9yp%!~)8<1^<2cH+W#eI4r7NoL>F*OW3702X{azJ)-J;^q z%g7rDbWW?GbAD@F3wgG{n2{~WR=9WrF^ z0yW)!P>(lxA$l94RP`~Kz5Qfus+=>}hG$Uk=(yETW$=B7k)+K4EFv=OmyNQ0h0)sr z_L7vI22O$!kOnnXV{l9cd&fljO?T-bQgjU*;krqZHdqDejRuxPcZ}FPMC>a2LdW{fw1hQVvPGCNAA&kxT96D`-jVs4`YrJM+25k zPktt9yqmtw95xhpV--Orar{g?C0rOY<*#xljJfDO2{KP7LM!?qg`*doKJcD_a(++Q z3tN>tP^}>|(eRXf;BNoHUpib&hk^NgVfs)YGpJpzf8e;pA^wr_60fkcVg?-S_Zcn) zM++N_SQVWHID&EDwF`iQXU|(|$9<~T{mey0bi6cmgI9;XX~(|*aM3~mG5n*}fO2Vo z)VO@kQrQP9zD%(S)(UaGzgeQ#I&6z68BejGsgraz{iFfFS%5J!~ zdF;3-et*407y0Ouh@iKjmi_P&aWwHNal-|Fyz|OOsT9{o(f<*5KVlL&_gKOAep&sTGs;cpzD&&y)T;ASMf1vmr) zV8yGLjsTA%9pv?Eq$Z0RLFKXs%h)Sk&kCWydn7JJ8YO$MK0vhcLxzw!?Cs0zUt@U7 zubA#FujBthlYy=nhI{H+Gy7IjBzvaHWJFGH_f69jqQRadXZ~%K@go=2ASE_vDCvq! zSfMdrpQUY%{{pR0qE*s-eJvjAo!h`&_{2qJHF!WWozc-ktY+u&Qc&6z6+AgezkAqP zND{vuXG@rQ1PaYd4nIw|_giy^aVioVxOnnFr*tkaK$!r3imRYg_cWlYR$-P?&()-D zPt8?u2wU^|2`%b9-ci1ApbCT`zf{a2MhtZl!njP%=JO)IH#8Q9=%oMhf=SXDJpYkw zn%Dx7_`?Lik+4hdokBZGX4EcH3yftXy2U#=X{ZeDnP6JGOmeMZQf(%R3J75$MPY8= z@l-*3o5Cq%M_^&IBqNlElx3A{nn&~qfb4M0c6Z856c)_l;fydT4ukhwR`Bcbo%K>* zZz!5t35MPYw9>{)<=h5jlyTmmDD1ff$NWO+t5X}tv%VLfgfDvGc!jV&Un zvK_;~sep06?oyAVliG@aXl%_JN0T$r@~4T?GEe53Q<%o(H3wFhr%kb%U>+L$X}7OB zxP9iOIUL?SW=m>*6h{o@Ah_tqUCX*+M@n;79OR&wCnzRcJQh-AC3V&-j`%iPE$%qS zAW`vSTtgjl%$VYq+gt|)B>o&55Jv*oGXR&sYr3!%*k}(IgIo8jdoF@mP0r!?0ru1p zw+<|KtCkht9y_j9%}UZi;?|vMBNfh((nqeBGbD*W%E`%&qb%M|{ys@@QvyMKdEoh3 z3g=?Jx^}oKR0@GBfFs(?=x~~Rb@K)(e}rbL?dM5Nh2N;o{!!VCyGw0lj_&9mY2*93 z`D}2jZA#$7lJu@n9BY{5&dZM=5LgsQ7-4y{D~zCH{B##s-N4Z%CqrvZsWWMZRzl0)Aut`y#=pZ--g>5~3sh@RDBuU$mdhSzU? zD|@Y3fQROw*;pw1LTlpHP*H%nK-Ft|oLY2M$=|9O_?8sG-T;K;P^A&fcr0;NYdIJ^ zrviE6!`E#t@8CDSe0wJq?xNY`3yI*id}T(S%l8=#)~J|`sy`{f5gv^a9WChKgxD(} zf&t3pLGK&%Mtb4q@+P<5w7_4#?~yJjI{{H`4u-KQrfk;+6E=EHH#D<-z-Q%EoM*uV zi?IC}pS~&F4wVVZ4qdffdqQx8$|RIKvjX0QD)L^&66Kfdpvs&vwNErP@HLCxTC! zr<}sv(JzOT9nmbJljmR}OjLwTO0ys*M)1e>=&i^pfVu>bm>2pFHtlH#p3hWUyDM=< z0_L#<`>FGGC$avxDVDk^eO@o>mBU)c3irV0sY7jhy?J`~``k8@LYcnNmPc>k)R&>E zjP6H1rIIv&MV!W#_Hx*TkE( zH9z9tHXsdtTPvy2HOYe7;_)HMMrH)h_-~sL?19VK{!EPCJC|N@HoU;GX(~g+A`A?l zH+RUy<9`~9&WN0a^K?fi8hA}Err!U@DY`4Db39fdu*R2EU*EQy0oo$?~_?oh#UYw1`n+iB9EU(L!VU*MR2^sgM{>QZl?M@0q(OC`fBS8Z?+fz$eJ~E^8 zQ|ePaSrt_sfHLuSNWY6eF6MK+q*w7Nn&#H@~R4b_&_k z3l9l$U%L^8em_xYAyr3Yxfi~uc8 zP`S@&xf$wV+R@mQ*x%PjY%QoL0=GE5I%5O$4M^hHt1%$8Y@rgQ_ck5r*p*Ps5tpz}jWIp7Cr3 zg~`Kbc*8T7%4F3%=v6owv?AB+ZJrM8%RcS%)b(cLtUf8cN7aCdNZ^@_j}0TbBzD=oyxh1u2U3YuQmOCP` z#A^>pPJ6|2*U>lSoh=jxT!u}&81-zySlGt)>?eQ&B`Gw1eEtdXlT~4TPsRI?G|E*w z9xNkJx+;-WdyDb=nDCzy(CP8+|1H9k%@Aj_BeFW7NPhu5@IwbkI8RY>sEsG8Uy!to z0kF9dUE{4@=g)>=u^6`DSp=Pbj#k2%shUkE&p+Z-hjT?Hgh0=M8U!~ z`>%C%OvAPk(%}1n9k$NGm7>ymLn&&H8}-Ox^I*%gAVt?ZNsDhbPcwgmpJ}hI!q?)!PZW_dIhU;2gG9@JWedr97+X zN_iF$*}6%P)9?s&Utk*T;{ahM4p1%3Qj?#94g9j6GO@2$4DKj#J)Qk$*H0)fqm!*+ z|GqV7(gHW92V@Z(*hD6=PaYP*MKNgAp~wFHX(7_+S7Od;nyPloq@Ev%^kgWH-8*X1$=~C&#b>1bNPt;zBebIW!~v$MK=lRU`;X|C7y7Nd zc_YvL5}R&ls5eZlPSrM{T_tnnlSQ1h=nw!Gcj+~vj5xF{b#3=k4rrdf3%VfQUMtJ$ zc323yhqiXhkdjr!!>-77XaBgu$bDz~k+% zz66q~z|1pHvB6RS6Z)khMOSlp)d~Nkb=Cfr?imlK-Us@Nh%bhk=RnvCOwEwDEbKSw zo$x;(b}b?5=+T!=S(^EWEiUWk_pLIAwfyBqi|vJrlmmbLNShV0LHKj%;~UDpos?Wq zmlFtt@Q*RJ;^^vbV(NMH!+-WCrBgPL~>}K`uvq?HM z0C0FWF!U_c(s#}Nac}LJRXEF&NX*?6FE_MrxC^Y>UI8Fo<{Qkd8%*UFXI%98cMILK zjI6f_o@H9`XXnHUBq+r*5M{7ClU~HWOh}tgD#?pYk%@q_axma@);>PwQWcqh7s!H7 zi`|kgdI(oN?QhXMYRG?zSTF5hWO^bnYX`gWV{Ii1>cF8k(+;RKx~n2~t=!ML84!*Z zL>SkjJl%VHo*+J@zki4X_@$3W=4oRwHgmLe8U)%B5>V23+!2#i*1z(Wy30JqXV=sI zS5Nl;cLD6r(6CH|y}u9|GsMAPQ=m}XTy4;Lo>&nk!zP|)yGkt}vi#5A6bZey_Yrw@ z#!Sv%xZ=JvDY*=c!aYpGrqG;c+~LQi7ILG8=GPC_9BX|xA5Q4voR0R@(WEKABJ-(K zA51>CXQx*3T#I!30D@QKfKE(gZf{CXLT}m=ArD1UUUV) zfkC~ch)O5EXnpOY?IjuK%Hz}=+;Rh@*T$W_s=_O0f=-%oMfnx_ovLAd=`$C{(tzKy z^;3J*)i<)zDDm-;l==~RXK2d+B9uwdH8Xp_+)wbkw3Z5U><1&Kd&>~nY6DB!WmT6? zrBi-iE4;Z`pW;CDqD|3>^mfkoFy;;&I+=UQ*>DMn>t+)t!*p3S$-Hp-hbm98To54# zkTf0t+Ch>UJL)1*>fcPgd9jeazNIb5gv~(Js!dH>ELY?_SOOK6#GWQiP&u}%&4V`e zlW9^7{q-)|K|ej$0=5KkkcqccXhXY0y&bDOe11+R+MaSf+f~U=o%J9k?Q0o~l}g8u zvfd>GYHQ~v1Exb0oU@K$OkpV72SgZu1X#IROL?QyrcZxSKXC_6i9{?;*{Z&>Gk5RKxPJc z1~Z)LuiU3{0*9p?AbOH?1L%mSIzqd_{AhtmFGYV3B3g!qu9fiaSx(Z5sT->F7P)k% zSlKgxHhua-Bnxr)Xtl>2zBRfioG8ZuE%bmWP|~g~IwG~IEXUAs`Rq$3 z;|0No%f4M3n8it<4n=3_K8!w~S z$$PJF&VJBXZfkPc!0gVN9krS5j>=T76@t$n*gkJtng(NFzX-rp%jGV?r%!VlI6nr% zWdPu|yn5;b6NSew&x3YWu)ISnya~)+l!~h@VZxwQ3t-}a{2O13+SQ{T8Yrn^KRsGH zVdJmtofgx26m&~39fu3Mn#ty0_+tvUe zLs4rvi?w+3@d$~`0=q67a4`MTLN zOe!uIn4y`A!V5aY)KHDX9?2Uv zkC-}VbjJR`gx>z!{tpO(7%W5lKlQKMPsmQidoac6+J3Nx&DteHk7=~fKAXGuhL@~l z#tduDC?~2fu4knp?94bX<8I4wWSD%Fz(!GF&Eu*)p-Po;{^YH)`>O%@ru$nDU&iZ+ zOL_?eGt8sKywLzwkM+TegGfkWf|Q~^p)s+!(`0`6ZRHDT6|`S3rc>5RD2IX$D_Yn_ zqjy-F*4b8PMf9i8ZhxSngPRs1cFMCBo`^%HIpQcC>jQAg|M{C6Z_2% zM5iGGPBPLhas6}}px!vA_AOkqWV$Y9BkPFs!PI0#&BHn6g2%1XMsH^K`14=f8y}hi z94s;!j90>aa?s?l<{w`CbgRWaS3lz&koj0eURIybVXiCZtZb2S)1PhKL+K;=6c<8O zla#?^r%lU+V=hddTkMjzX2Ed(eaQte@n0m}lD)e>$*tw?0yjfUiameGp^k%FgPG`Q zm~!A_%xLGcMtixTiZKnBd5|Nw)jGAHejYeNLX`pytiWJqm%)_!d5y&aIDkR7E>Oej z`&wnJ>RGPVnr22?xW8$TxLsFWU6Z+j*CY3}kyuoCBA+L`c5|y9q5Hrr$WsI3-Ltz= z91CuAeh~bA*LOq3^1-hFs+(TT)EeM9G%w|`&DW>Y!9*T1=Isw(Gf?rFFYAx@D{1^u+4N`2!7PM!9! zkq;?y{r}se59njcYZpf{c5lBWZ0bk-v|3(j1D|5&^Ua94E6{ir#MeNgt^e|m|2?pn zv|ddnGyfFC!tPMq4ZnBvrEFp+p6$o)o{2~J_u3sRqsHUrUyPcs?;=bl&TRzR2IyCJ zwp|TvHRE+?O^lI7&Fu<-`z$bsBL|wDykDU+yo0Ramq9cFtOdn2J8!3M9M_HQej!+D z)HDAiP_S`@N{=Czo0>{JcIB?4xnoJuzi347cYS!_lX%0p`j;QxQj4)djzocQ|-bk7RI5Kx)_sS+cEOYwnhZNvl z-NMgX+RD7O0H&_JiDCGv@!fYZT{-QNPduAfbKPb6cS%OKa|9mYACowtx&40)yvw>x8 zTao>y%;;BVO1i{XZCAT0)pSKsRgTC-YTzrgew{w!P^gf zeO`$2@omtouGeoOC2yygYz3G9r%BVqOu;}xnvrBUwzvmms;;R!5_AmL_2K@!>=bke z+>liPUMgBy)WrzUODAiWl?9Q}-W(BA83J$i>>OO}hF8nyB&?oY%pnV&5ihBg{?`2H zi-5s4F_=JHR}9@m6_o|Ds$M5dhvzmilo)nXks#A%q51(o`aEa(qwnXIFd1jS(qHzr zxAb{xt?=1psQ{+9`N-CF%Xl#3Y5HLkIS9tE_8f1>8@c3aEjEwr)Q0;G(PmApGB4ls z+%qRaKcRO_7-sq7&~j3-p*^pHDI3!hTvm}e>iI2QTEcXTE*{L)kprY$E&m@?UjY== z`u=~t%B2*f4H}UKm2Q<%I+PBPTwsA^fu*@3As`?vE#QLE-67rG&C=bS|96-Bo%#J| z7=}Fq%-KEXyzlcopIR}j27!tFG4M^%E!aBU5`A1)s-0S=?+AW|E-Ab(4_tKNNn^w7iTIxJ)KE0oy%#k`W&HV)Y zDOU@j(q5!`P24iDdd!}Jigy098!DHrY5;4*X+muo7Wcfiwm8`@n`2DtT?v2cS+K*j z<~(Wu3NR30L#MW9Y%GiK+bu8P!y1hCD2Syo~Il%f%(g{+~Up$RvlWv+gB-{_Ig;^z>#n4YQ8%KP=~zV=0;? z9cOb184k+XioQ}p;W9-2b<9~>&~_0Vm}T|ivWYC2*2UK^IPZ1XLKjN?%^ZGm8P}bq zRom;g!%YG%#Ti4f0HBbuZMWBg39?hI)!^^j(+W(f0WZ^v@`mS1GUvfX1#dBnjO&>! z%S=WWfOI{{T#H!Ts{E+9(UHn%*pq@5xY+y+%z?F?fssw}!_C!1%c&Y7O4%a{+VyI3 zjrRWC#meoj1^S7cw&^TX>p$)$)efK4dPd`nB-u>LnzVf_5>|F%p;ou{roy3RmA}z` z#(DP6nGSQQx+eG869@$lq<~r@CKNPzxrpR&wIr4nqU(_*&x*Of9#i+Nc<_mMU5AwL zf10qEoNht*MzHv+K5~=_c-j@P(YwGbCF!HU#F+Avevof~RzfnABc}bq(5ultl_g1E z`NwVYs>!|E`W+70)$8ul+s-q`+cV2>F*64((H@s!d!=>#V`^+oCnt@Nf*s~vDnf6W zi@qH7lJC0D+%$j*9i~3;{79Urd(tQg^Oku~PD0MiabZyiHNZT;NV4DOtbzUgpAne~ zO88g0kmAGuhID2E#E75$Eadc|7L0Jin>VK*o|xw1lw8q>4D%>>@oyJC)arHfcaYYg z6qJIoZpPl?V~`W8h2}VeiUqKPwYP@ovg4Tp`%|_<3Y=fN^lkAey%`a}w0isMxvVFO zK69s(x0LW*)W!crJq%IWz#_o}Rq97XH%A? ztNY3kR0iihyvB-KI_4o#n7s%oMYy59v!V-Qg}zkpq&R$JXtO9;n8SyW2@u4nUYmk{ z<=X|31P7ErZ!4FPWI4q$-y)pUxPvA-#ml;$eW-LND}r+sMF@yU-TI)Yv@-AsKh1ub z!Aedaa`&|TGu=$)p0lgsR*KQmcvMyYgFUphYV?%(g!`*=t4bvpvli^)*W64*hn7(6 z@mmF7nF}2T;R+yRQ;&arVXGGY8WoDCD7Q?_fE&EN^Z1yg-{<_QUNQ@ffS6be*LYFs z)e1vJIX1yP%LEE6kDUrp>A4`$OUwTTT`q0eV?6Gca4AHziH(%6TX`~Vt-)2!Ift{H zr@#pHgFP1lNNcelTAvNvJSu~iSofV;dfum@o%&w387>}Md=F!^DwAOmh-3#i!@OabT#rRl0%k^6P}hz**)OUmGPF0%Yu2NI3CYYM+i&4AF&RP| ziXQbCz_A2hXEyomgFOq5aqq4$uTkw(1vv(6Tt%u@O(38uIl}X2i-b_~l-*nBh;!*1H z=TlE%T&YMa;w;zjk{hT8)>JS7p~@>$;(3x0)8f4u0aMS6LqhN9IBMENJ>Z#PWeb2F z&4rZz`Pe>V@4Y#B0|BAOaenL0r==KHQE#^b5D9eaHN2yYbxgJv=HLCc@hGh{S;cx; zTI@cH_}Pwfrs3PAoP2WH|Mmvp>5;TWhhc3|iH93c@jS_-Ct~iF{I;HdKjgcK16=1= zvlTbtYP5{keVk@rm#xCD{{XDzy@*W{nb9kUmU7z z<2$+m@-83yjxA=bbUZA{zv05^&1JUs+K0A(_KLl-2rMqTJ8`8R=>HO8q3yIn{Td#B z-=oYA&>PS~U*Wr=VJ!T$GDxRS8)Q~GhdOUoX2&h~I)cTaHicF<3c$Lb*j7=S29CL1 z1ve0&oM;DU$)`{^+GhWq@6oZE?Fnv%Y8K-ZnD$x`ku>8SdvugE7!j+EKH^ieUC(#` z*)Dr1Zd?ByuiJ`AV3Og4NBB2aNh#FrN(l)6?+J}sFyFkH)FqoSQwRIQU9EdQXz+pA zo@M6!m!r$*$m^Ca@;0) zLb+Grb&(MW!vTX7#ug4d1d-x8`4&B;VvYrsV6`^(qIJ^3B)9YWJ4@=-NB<5Q9;sqJ zUG>;`?;UUjF)xl<$^+}L_z+}Vvx!%?3_ytVsHJ&bdUQ zp7-m$dDiL(4)F-A11KI$R$af#FDS81r`5oV8?b-okjLQ_=1(!v?1h$E(b^BDmO|No z-nPyU!a`R%FIR}g2VNyz8>dZ`C|Pi9$8@<1+;jXy+LHijQkwqJQXrJbIij_zcCjC* zezBmZaq@T4T(2%`=~5`p#rssmT=Q#XvwlbUI{|PDPT;KMYQnpF)wfmo4TrR4P#5;Z zE6-G&WZTA${~+|{2s7i^5w%WtbFo=O$_a}Zq;?>I?^yP#dU7k36p<%%T>QW(~GMRr*c@p-DO%88h- zwrFUiBU67Lk1o<;LT)v+1JBBRp4c$|=?87+TR^)WFKX+?PBmCI-hPCcs31vM1X<+T z38`zk(^O>V69fCdLQsbE#25yKHsmQiKt<+{bC{hbbHMJCDG#=-$5V?Rh1~4ZJNwgz zdI<$O8fvJv;!oIQVC`Q&t7JvGJMnM{ii{4_7PEk*qE z%lz|FK;rT~=WPCu&~-7{ndJ0qb?R)>6J%<^3zcnIk!Anzy-<5uu7?Vrb(5c|Xz#xG zoK!I}o5KVA6(0<|9_X)ElEd!;-us$D0|WZ5WS{;!v?iU}F$#IyVbpD$QF__ySk_M5e!y5qYeE}hfpok}9@Lp!~& ze~{y}ece7Fn@ws04UFPAG#^{;l8=l!6-j1xL{1qMd}VGiZ)^XqXNysMt&N4_bk|2{ zGAQ8eTH6mKumUPM>*m1fFhppEik2Kiplto6tgU;Vt`~zdK)qO?E`@P#O*<)3aU_1+ z#U>trt|>^s5WNz36*4U%iqbT16j;;!OdHf88jGm~yyN@BHlBY`)b+K-6(0WV#UWgL z<>ch<0YP`sWj({;vJ46d<=%7hMTfrmmS3>c-uQzFuF5yJ}&)n*#Y>nyN_+4>0GNOS& z)x{{{KwZc~-dYu9=F}SWMpJ0>{c~X&*X<>06TFa6TygU-=rC*WjxvyW71kzr zm0ht94~URb0$P$xh_mpR!=e3^!SEG3Sv*i0H5lzD44ZSgLwlylsl6CPNM)Ry%nXtu z8z-+d-=Iq|AMhz%H#YhGGE|B?Z-I|%1$rPs(C}wq{Fdss_u)YK5h__kx!}01&(SW< zrYsd5Z{+bVR6`TW96{J9&+Kj#!P|2%UDcqj_1~rpsM4SQTQu6DnAYJ%uo;UkZZhDc zBC$dr!bnOi#EC#UOySn984Gv*x44Af&R!3`-oPzs$U?8f6>yf^?{~Nh_w#K>TPM5b zw5E-lW5AX$5Q!JF{+d+=|4&t_=mC zv7ZgBT=`Jkt*kYtn4fnx8=e(-h#xHZL%^GPGxOPMW64oFpX9LKimvYb7%&GHEsvViN!|0-zHGN|6L+qDwOSadi zV4iSazkYei`Uc4-JS3qz+(futl1~8Ju=h0h@&UCXrJQ5{#T&^!I(+DIqcCs>#cE)1 z^YohfH^6VhTp_2rfuZsiS@u+u^-Coxf3GfdAW8Xl{^j?<>V(h=Ul!+@`N);YWnlR?B_?~;lhmK_?( z=d9VdKaiVD_$!fIjAy)J|1@3CaOxC2j7XM zka9rt#(_nuf-z!rVCVCbWyy1zlHBY)@3ekz-*gs25e~|#{g%l)Zz*^#!sBRBQ`cAi z>9%d#*ZFZHu!~Nbtf0Ug1dpNsDIh8mAj?ZTu739SixXU}*mhqsU6dydXy(>wyVe!7 zl6+!R|2umj;{MlaX5l447vc5pZ+C^df}Q82i?f6!cou$o%f4wYw?r~);YwGor{a`4 z`BtjqNy%7Fmu}rft-=dcfmPrg5E^2uw`Gy(MiKEN3Ad+j+iRIw9G}Zq*uyD!ZvftG zs-e?xURyZtv7KFFvhGAIcJPU6zY(7*NK_p8{1FSGGy_sFn!=-d(Wlw`rwHK z*p>Z-v-q{wf>S|r7kjMEt0FFZfxVcA>ab+Ed68D9B74G~5 zh5-y9=t%y({)fe(-Fa+Hvx1kljS+KSe*d&c$l@N$lO1CN9=+fXqYj!yjb(9V?s7Ky zzvU#7S|=|ysQ zgUtbbQ&JUf;q-On%>PXsiW%(3I;y>-#{(XdPv|i)=hby%YWvj+6T3*5bSk?@geg#? z*E9SU>Zot19qxJ^`XxddWblb_SD>Qnd(7~IzfZ57ZZxFDF-aN(aa0Z73%38A#AS!m zMM8oOnF5@HP~cmIUNMn$_HI?{uj}`hbp0ZnV!%(5PpDKs_@4}o#q_?BoM1b@dma<+V>{=@_EYH&dVwovk+-aE_0<0VoCM z6xMH1KoP400FZ&llg4kwRQ4@U&HF|WD{)GK?s^-+LHl9Vc8KSODfYi^kPTfmMZ|C1 zAD++PnCVx6X$xt*F{nbqU(1+rmfRKvIe3^;$0$QF5}HS^&qW*R6Y79@)@k<#*rggU zkrB$VK*=r|@AMV_S~X5K<0eMehR=v_#J!L7?SX|5D+`ankfBg6Yh^^&|Muo(BUl;PAIYXVzzZca=Y@)TV<`kg~y)p2ZlZ^9j&o_!ZW4d z^r8Gm`=R4X0=xdV_cJ*6I#>ufqI1{;w!FO>rb-?m&St`?EJb)3G+OCtz8F04oUFHa zG1ss*v{06M(`vjY%WT83*?t!eLb`zNL)%3QwdK59RX?>a`))bHF~^wy-P}KygxtwD z#PCZ7D)En!r$qnFMe9g?KFuKK9;XrORGf2%UhkaBUluP?tGX!3K zqQZaq?|qNA=LB{tf@iDMWxJ6j6Pi9Gvu|u0zsynoIfhl=&EF-na@#lQUaYbazepgN zwT&$#{zp#fr2JRbQdU5@?!oW*qwd;UDIoL`(T*>D8le%Plr};;z z#+x>lNn*-KBF|p=@BthBjkC(>Xzqq~h#21;xpOpFJuk!P>SnxIlOmW-9SVglc`MGG zG<{_K4i5pMO*xLw)n9M_O<3Xe7eAsj)2_{0 zEgRQPU<~yJ1@P8v#O8;Auamq~2DTQQ2$mPqTP~HX(Vb%*oZ8?<0&y=``#t$afwPxM zJ&U@Euq|g`1@yIj+Z5sZna#8KFlJ8I0+i{}5=TW{Yb%)&AuOl5oasfjr`i*pM+6U` zfWXf5Cx}Y1BXaN7x0C)zzAdcU?5<`}G;&F&b~1}y^6Q=umIj!y1IHdv0r*)$Rn~jV zoo*We^Hd;K&u-PtZ=5&QhqS~S_V7!M)C_;u`Fa&IF}AO;>&#K$S8ttIH5kR2seApr zdBrogG!rrIdDs7$E}5Ce8FsnOuhEZSA_jt*%7=F^A6OLQeMoA_N1qk7{FaqlU07`(xES*9in z3$(yd0@}N)j92Quzc9KxAiYbwCQtSApa6tR5pdddmNg&N8?`?6gwotuI{4hN8J50W zT4Z+%8Kddr7akdzx)Ekpr9lz*n60ZVU`H9Elv~h8>)AG7V_W5{eHCWrPmmu@BW_;z z{>$}32Zw+bS~3oNPh7d6b#&}P^Yi4C_imc^28m$i6SoN948RweEKDsjLcO~?Bfy40 zKA}k6J*F6KpPpF%TW5?ZoyustpRrb{IMRL6qDoYGjxhHFMW@%<)+RqrvQ2&6R9MBi zb9~lGi+o;`Yrw!15Oi3t8iz+ZnH}rd@?USp@xu#6hi?4lbRO0-JiSUc~ zEXvqwxn*(nz9`dI@z_I5sbmDFuq0U79E1?y-%CZ~PlKo&0NU7-?3r-5OM^n3#!~8@(V5OfD zL?zFDUDah;bHi2M`PfxIK?gbSQ|J7aI5OOh6=8Zr3g#fCaGt4sH(-=YioQfsh5IXB zn}zOm_&8Mjx!ix)VY^4S3(D;|iI7v<5b0xip(*3}M8ubd)kGPP7<*@Vi2BX3yra|4 zzimPQH=A^M)Mcf$L|$@wptdC0)#ZlKh!6=qTw58P&QKJsLnZpp%1e4~R+CI|mz|xJ ze*XfvyNLk;?Q`QchI53IToqXQ!;^~|1SUQ~~%Pr!K4MxI2fB@(1*AKeCPhsKPHmye{aI7Ri z*3sVar-|%#?lF~LT{*|&;=O!)rYX-BH1!%8^TpfmIR~cf$ws%%wDwmo-x5Nf9e2|( zvnVE)X5%?bYx1}{##jfQeK-wN5MWS^eT`38Xf_M@<2jfO&{PSPoOV8FC57nT?f}Dx z0iDf`ZXN5Pr*+#jNxIL77~!$nS_Ty;Wwv!SNT53+%X9v5$XkReA96SirPe+VOGFSw1Vf|7YW^*Ppm zM-Gk-k|Mr+MI9c`$s;-`wQ;YlUAbptkIyy0=T83J4l=m<1=pPLu!k~yOi$mOuKkRf*jM41=8hj41g(T`o55Q>gvnHhMw4su;ka`U+aCFN@q)^ zzJ3_Pks^fRycGgI&xCYxVmDizU1uwxTkZ@P`^NB}Z|e^(_;n$#V1+QOD+mDthQjX-Do@H1B|b^iNm3iE*Vl zGO#70rqL=JP_5~uXWjZKq=$gXRG9T2tp~>wIa^YJoo8G)J~lJnfv~mb%g4Ip@{6y` zI63+1LVd!7nru{P6(hHCqFp-PsF;6^7@W)0M#=UmJ$OJ?^B{Z-c;e>$;lO2lQVmwI z+zA=x?$DXcv}r3uOpC5>mlnz5(HlKY5v39*4&9?AVnKJUMfUT@c#bAPSm|MK(dv{`i*b(bgAD6( zop{0HubvYh;4=romrx@G40&t(ylEGZ#tngUJ9-u?k(>+V|5xJOm5?*L0Q_HFZa+pZ zHjn(H_pgGu0-Uq640Ik(rVjOt2`|fX1F34^@>_JZsDZY8@7iDyxj&veFk-E@q*eno zgIQuLV%v8`eFAsNw)4}C;WJff>+WL#++hpfLWe~a&@=E~t2rGyDk~?!>shX`X!gp> zm42~6W#eiy?y&gYI-PrjKYLe`w)y6GWN)ZuXCvK5zZ8`ZOQi=fw#&5kdl0hBu+HNE zKp3QRr&^^xFD{sOvQa}$!bD2xCv$QB2`PN<+dm4R&oMa&4vJ9Nkg-SF>$yV7j@6SD z;X|3NN?-1AEdyMetG=UO!N&?3nZH!y$K-5Hb9=ZZ>S66uES~4KTa|n@9=BUq!28H< z>lfdXO*2@%m+7<5X`9fU_v`(mC^c$L&ayR@b>F2BgodmD2be;tQCH}^jf3^txo_nW zf6sOmTxzDuJ(O|0<{mB7vy3yuA?R>+1#7)zYR3dw(8XN|SVhPn^&ep`qmZKgfrH%| z$IowJAd8@tl(&4s^nqbC_XNy0S)iE;jJJ2nVEf7Hd<{ES#h1?UhRne*85nbuIDLs) z_Db=DYc#}{Xr_?9lKS!wCw6~-XsK*M3{YNQ9Fjg#pzYI)RnM2H+! zUGjokVU5$CvSlgRVEHeNI;#gqj=AFn;%i0?R(bL_)$+}#9{s)H`<4^j5--7qZW+Iu zH1RQeI8i9<@Ca9iMDqNS0!JEX;cyAPkN)qU&Od*gW&9LanPPbp5ad{J;MRLU z10eV8OV(LiRom1E^8+%J&2gvzI1r2tjLBTD9nm5nuq2S48DR6Lr0tJQw?Az*+8gB}CY3rUqq$0a=)^+Bj~|L-)no4s`>YgqloJhg9r0O!qtg??;#NMBfmiJCT#QMo8p znA`OaJrgu$ODW3+jk~nhz2_?Qkr$4YbS^Gc6hGUk23~h%uIK`M+jI6j#l|`Sloc`s<|c3+65^-KIu0t{I$+K%dM?iz1UJ* z+8+x7HZxu1iKq>(ECIH$`G$=&Smb8b8N(Rj)6g@gLJF%{-J80dg9VXrXudxzpBIfl z|6icXh?(3U>vpGD0_q24ZSFiSOOORfuilEFk3IZ;B%)v;74eq^QdP9`(B zfT=lR2^hQ!xZrj@jM;$$XH6L{lp(?7CXX-{{Aq!^fq^ZnmhIIqhH>p=&lB}4W8qSZ zfT)V6FNEfIMUeRxs$c`<@fj_Rn`+1J&b1g~MdiVg;6n=`H8$MK(`}Q}kcltku^ee!gmyiXp*FLj0;y=yVDRL(sT;|f-qfI2T1p^tGa<>1FtP1Z z!H2rX55gX=g}Dup#GFpfr2dm@!rl4waO2_~2+ji;HaKZkpgQfOnPVmBV(GSWJNL14 zCVfCX(CNrWd{bW%igs=VoQ7moO#@dqDn+X%=bzXQDiH3H0g~J?paMa@cp_?OG zgH3IaZDqT?Sozkg~oDi!$S zkjb%+p88v*dA_@2Uw!QkM_g1(t}zA29CA!5aACP?39@UlDTjj0@wUdQ%&oaC{0+i#rNc#>Hb70Mf-$cOT>F>#I_ts)iPkA9E8H?PTpx58_a#q81Z(dps}i z#-Wh&1O-U=$*3_~2yhqj30>ILb*#*{LCz6c=nXnjbCTfrlx@E0*_@$ftwI;$L?de;KPD6-tkHm8Rh^uYai3 zpAA*B#!cTAqLk1d9YEc$w|PI|TUE70ntne;@JY&#ao&4^9158Vc@yUW+^+ZpF>^LH zc}Q#9C44_vs~S4wWeUEcth44qv-Jojy@cD1^<`FB*1qemPO)>t)V{7sY-pFA9&g?< zguy5z(UI^C3}`c3NyRqB!tuY61Elmzy=P^QH?o0o3uv`glv_fOrsF%68JxS zo#mREBf-GJ;<$!bM<~5$;fqH0@AtM1t-ipyulxhRQpoB@Rfzp=#5562!Y`LuOkpm{b`AXlK@B~i2Wb*_~f~(DJEq$lbB4H%C-0#39mfaPvDTs^RiO%mX&6OEmG8x) zqW-JH3}6(}aIezr7MVK%*QxTS@W)RlC_JjjkK)dzhlh z+}t}nvv$^Xnr1%vt$%U&Y^t~E8`YmhdLNj(^7shUBmu+H?dihziUk81G**+D2dVGz zytm^`4_i^0!M>Oir`&o-bDElMSGBc0!6BOq-wdL(yKu$ULH=9oEL;hDU!l)hPWL1T zTgM&tEW2iiBK_WEYNN7O?d2zRgAMUrZ|FtS=bT~={U)N+0Es@sN`_6wk=fw4kL7W_ z;FDy4ISV{`pu5!E6MR>sGGC>=7^FWtjEvr&(>wmDr>`2%&0dGBek^S$5Ha!Ipu=6 z6O~sDBv4)UqdnD=Wr$X!Ky?VCAfvM%bjY6ieFFvt=Wt$pQMPqL$#}~S z>TyDgGjS72k}UsAcCwkC_9ieBvBpq+K+u!^iB_$>#dCj?iSH!*Dy5->Ke;ZQB9S{~ z7hS_*au8Dq;MVIl)x|k>HZ`AhCT(8f2P%EzVIv#6O2+TKU6T^9GQT-_6yq4~&Xp!) zYB8*#SsNjk+g^1he+RN$nT41BU?dr#Z?jUdHGX$(^)!PHlAFT6fZPZaef1uN$Uhi<8`= zkhdEn!e2L4{V_3l=V+zc_q_G0{&M8mB9Ljb5yaH{8jPu!J6*Hcz_rsb`hTiH&(ST^ zPhJ{lzd#FgOPw6SbM3LBJJKI!D7*OP;wl&a6N;!u>-gWDov96&*TH>|H)KfY$1Qz% zge7M{QYWY=yUJG2VEz8;K7Pn?C1pKW8vq_1k+xW*nbe%xQ;dw7yGsa|i?)XnX*{`e zG$o7~0xjr_BYI@n1gk=XAMU{Lym9xyMaxm~L-it$ps7#CwWDLEn$zdC+M)3N%1i~$ z5~252x+m|RXH<7SD))0BEM2-WRZYuQB+D${u1^sQE>LUwRdLSA-1S&}XzNdljgZIm zr)ae|OA#bg;t}c#SdGMN3RVAfw(XT#*`bu)ZkiVLw}M3KzWMhpJy`$lz;Ds=$e?ZJ zT!d>&xHPm*w;Thw(-$fxkY))VBNys^crLTH!J`N=$^zeh7em_gO z8Y)Y&me6De%H?; zQY9=QB*@GN+BX@PN~24o&US?@@offu_&Ez-$1WW6XBEOl`cU%TI+TiZ!H-6Rx1z*- zW4&R~nHnC;&j*#ac!pw!wq5uHIlY{v?; zEnpO&Xb_}bL%PKJjaZLaU$_YHbHw9F-TJb+6Vy|z^CZW3?b zuzesY%;AT4@DKJJKIO2xOE3O$0%BDm6PG2c0fbk;3j&NF0Wa_d5nFxe>B#bZvl=L0 zT{TnQn7Gj;hYvi~!hf|r5i}M){+c_@+wb*^W|uaOuUf}gzdYK8;r`im5>qjuJr={8 zJAL>z-_g*T5*W$ZP2oPZ?_a;|imi)Ta6|aH*iJ?bo(L3Xi@YLKXfdkPb`1PyTchlu z^xzy(<>y2X=SeLT_KVlLv zdtz3RzTn*XtR8A-C_TsPKB8C>em;HXhd0lo85feN`dju3AeIMwWXaFscbz9`f-VY3FQfNlT@QuW zcHa`1TQ(WDwS-2VG)6lXe0hHaHT1^CA!bf)QWf97 zH*WsvKUh(wZCufSA}VEs$JN#EIM&Z@UKmeUi{TI;jEc zcCQqo1V+q#7P+sVXE=#Tm$#33G>gf5@5bS0OG#iHNR0!ex1pKwJIR~U&xlyNC7FRF z*?m9va1uzCs6-M{{pNOfnoy9ss*Kw!kgLned(7qilDB$ocSdhT;iPicmPr2MAxYk$ zO>dmoHVuz+n#$!XS9v5T1uheZ@Smf1Z`+CHu$A&8SFjH8nd)bwMK`tegsK9og)-3K zqoVcj)rY_x`{XzcTM#V9#1E>gR1$oIrAB-rT`pA}@oMpHd2>&v#roGRku;?eb48Px zL(qCo^o6tlS@nh#)S%|(1<&62w&2)s`024Zn((8x0_C5^Qyk6B_#oql%G|e#Oa>lb zfIrHF?Peo%^~0FLkyiXv_(5kMs#g5^b<3uBXTa~LRO4Z>1l}M)!4D)T#Hv%9 zYu`M0d(MOd_B92%AdC}+qqoqu>-9RfTa@bw&oDf{7x=N<)cyd725$>TZ_Y34*Xm}$ zvd^5<>9UU<3qL!el1k27RJ_Q@-?pXAk)0^_ay*!^znqEO<4wj#l;6)VHVf0}gv5$x zmEUOv)N+9D#`U*%FB;t`T3DRp@mToJphrycdFw{%-}725DaD^|oPxipF7*59C3czZ zCVC2K2sM!{*AK!2|9i__r(}xuzX3Dgd^cbi))I0C`D4pvQ3kO-z<&?^SZ;MhL)+Q4 zVx+fzadVo*Z;ZfI8}U_?nkJ#Pax<@mM%*f-r6u#Jc63~}OA-#q65~4j84^61@Y!-O zy;#%+c*z079;%~m{k6B-cA)1+@9FvyUR`?EVy(La{Yml#(JrJdvybozj=B01avHgb z^Z))nkD?h|>V9>yI;;H^f_yjt!W76sk>LTB>1H%ry|3sV)?*}FcZB%i(dK9!!wj?N zsnW9Ub2ZMi>R5_Vlhhw)rNvf6oC0Yo0TMXw@K9{!JrjX{S+_Wv&1;jOq7S*MoP;^+ z$;{+I_Y@Y}Gftl32}7*E0ntzBvvpWC()seX*fqyk9(}sql88Se>L{cvOFj}VyKk_= zE|TJu;v+L@8C1M`m#H!;@oQfgAMes6+`3wiU8GqdUnePl7Ss=PYWBgF{z15|2PRCJ zgEy(d`0694p(r8|xUu?g4vc;1lIZDA#@E#?YUD^pof1ulz*^^Ij(hXPwOS5x_AUGB zQz?X>{tOgFQ75V|e7euf;cou1kIz(aop}vPbBa&IX|2vFtbTVjG4X3ner6}NnOv?@P5D1sLI!U8oQh` zo_iY&e04ErjXG`_yZl%?-stxmBiTP1u z)QFGk`F>EGIR9j(b+YGqq-U&XDO7_CkEM|>O|-+f(%kJKh~og^ZZf{j%Gv&mb3B40#IP4>NL-ci z7Y#pk4unhN<|s0>W0KKG&o>qW)0HWYbSVE~$6ZiFUB+%>`SG0(P>KeW*^BO;^$?eo|b;jz#O*6B4sA_l3L*eaFF!n>(n-mfy@t zvu~$xsgosuLBtIds=wOr$TjZH<@$1qV7lD?ewKtR525l3DVDW#c;eDDb!*ODXTOQa zWG6UH<%53Xpp}czosfXx+Dz$SsYJZU@qj};(wzwG=}|GQpW zC|mAWB#`Tl7LZofoxCcT-Pf2j6;y!4GlJgDz-bopw)WKVBsqa) z781}fK-z+klrtM$P=)B*01h8u*;<}fMJi%PP9k6j#PNw>)cj5UY+8M>?usiqJ6Cq2 zEC2S;Pt>a8kF_Ko5n*Mhn^MWHmTV8MAAe~L>)X@!K`Vj*61V|sxPm>fVXhUyX}Xx@ zU+K^D@x?`$NR>VV<{KEh7KC)U&aDmwbDiE#+^4kJ>q_H6I^%H&h{(X69XHW1>+BfE@5IBw8~!Ih`|O0}`#xfI%89V3zdRvj`S>sK1tUWN)10Mzwj3if0VBw* z#|6XS*Li=)q+j9&aJ${frK{V%`f|5ZP>zbAM-Y-}Zh0^;=E2;f@fr!wbI+@XKVY|XH zviFmYuNvP(=Ihn?fTN6W{okLn5t??&8iljFbnY63%R@T9NBa3!NAO>zSaWQ?r`z`a zRDM2gFU92Q(!G2{o3(tLukfM?%#4Bmy9I4ML+uatE#5k2N)xh3!z6vaCv0d2=L<$c zearXbSNa3#q9HrLi2}3<9%uY)0tDMGm-_#+7A=~RD0xfnyeRwp$#TTfl$%d+&e4oh zV>>W5><=rY0?*0$2&R?<`4rX=f>&!5m8e^`!f>RaiQgxHb7t(LE0`QEp;*`)btR>+`A!Rx;|1o^ zY~mlao$n8TrULMs`$TKWCkItUwjbtsU$vG?(S_0BboM z)V9FHk#N1lB=sF%5fE!N*Ezj8-MxnGy#$7_lK>gr`h6XRFLcV;STtv^RJY480CnYy zFqu@k8lUu<2%J7opHRSSiW$9=&M13Yr24Ed2+Gxb&RNh1pd4BOqk}kIUaeAWrNRe5 zm5YNV^Yx$ekOG)^e5w6iqj#{UeCW2?tk6UycD-sWRu(^Jd@ukXy#W9wy#xfM^m#L_ z_OX1HkUT8-+-m?{^Vn)&;~-7cDwYZ#b4TeJbn_K_epOA#|38l#B{$1+rnZ2k`Cm8t z2#-xS*Kq$6>*H4};}87*{(+i3z2_KCPfawgfQO73^~MGvakc#c0x1(YMRBCE3^L4s zQy6uW5OjN{6mO_(Os^cl0DtX=UDFrKkp&sMAIkIw?=+ELB-F>nZSdxYh&{|yQ( zbWJ^^-jcQ#aYqEeklXzwO!gbI5v-o3*HInKwpeuoaQ*zatE*k5@v=;`64t_cDyYl( z-*_9zP~d5`7sMQve|sZBjLOx+QI-q+3$3K_s=#g!@9IrOI{MRJP3)nv*m^kQG?Wdv zk{HKFYoTWHm#bwNCOUs%34bjtchjw@>RPOBZm{A^(yhtuTAY_P!tY)LHYH2T9XVjRH@+|XESOYyNr96%kd^BIfKe-FKFmU6D9CV7Fk=5h? zucP?wX_W<+FBdpuvD#!mwu4KiLy}gYOcnw2(X5Je;FeLVx?0*UJ5MOF*(V9)ATx8q zQH;r{>a1^I8)h~P9b4{g>pfySl1wxXeOx{w^^+O5iVa_YClTRm+GWxKhT=Bu;CDvsDp zVl}qg=?ldlUBnaMX1qD$Dej8D6y>nJxQRf(WKj|+KW|A^$+XhAQUEHSdHwR|#V9V` zy3kk|$&<_U?>I;e(uVmeqe{Cs3I;C4t}%{YUi-`ATkwJ%c43u3)6NnSUQhKc!#^}M ztfM?2`+L5Ihvd%+%Jta#qvCD|r4{(#G4)m-i70!zWJ^U)<4UqXgM_WO%!_Gv3VwYW z_Y(!MrThBL-VIU9DVW~q!InjCnhF^tdl#=fy9xb%H$|C_&M;Rit2MkKmgacsoITy>q3 zRA%umUgG=gN$|=Tb&18h*yX!kfam0kJmikSS*$Fxi0Ju=#A*qVKRRh`zBCVZwa;S5 zr`_I@E-w3PFEQJ6i#jJ;KTwc9_WcZjj;uS(a;|Uqhfq~vY;pRVJATBZe#8g#-=8a^$#_5|xx6`}L{Y@V`f z_mADF{a zpACw53nVqljWHAEI6f_<8DT=Orc1 zQR3J&RbCm96$+~^Dz=hsFL?)BR6)9IKJ)8Lgh$=%QUCXQ+ivYfL-wca95I}3+xElI z!&=uC>FAH=)iR9M zEF6{b<+GGWh0t4J@(HFk{5RR~QnlUrI;b`W?5{Vd5&&oPJY%wZs!JO>RueG+A)q1g zI(Vl0am4yF+xmF%#H=P_EcW@O$Ky&nis*xSp5;Bi8H-$RpQHqn=Tx}e-+|KYu})pi z)Kf%d$JhfVU~tc96&x<^dHPTTx(d*}HXn_qgmsu5U3AIAf%jA8)L_c}H@~0Q@=H&f z@bYV}vfrWmMNVT~hXO%|zUu8yDQ-U%O->Gsv4CqY(ZQvDOdspOBwgamkBD4*B#DLTy#~%&*2-KUYLl>SFS-(9b9qynvqK9H zU_uYi7a~5)>hLWf*ZD`;^gxG3>ZjTtyq~>N$2J(-4au{0T43MQ&^L1RfSspW^(bMy zm{)f$@=KN}a>_=IF3`cbz&$|htZ!)zf<0mq>JW(D6bJfC4+;|*RvT(B*@SD;)fY9W z3j0`j>tm-1r+f7%3FKT4DGBK6o1S`4Lw_^Y!kb9JIqR}VqqUvY4;1%eU?{Ha_q-Td)vYI-4j&E%JDNUSw zq32P1p=Zuzwrbo98N=E(OKRnG0$w^8&yE|3Cfcls5u1rKM~-pX)q#b8ahXtjPAl80 z?i;6=c$am)B_T;0Vx7S`au*T21&F=H#Ph!XS4~n!S-Cge zv*?S;h0vU`mH=v?xdF~SG)pJt@WN@-gFSix2`JlYRlqBQJ->0-jvx*Xpc# zx_vw+C#P20HkTr9qp-B<;Yy4HPZj`-36RdB8Sm2j=d^gOL_sGCuH3=TbNQ_F0Ty6q zoCt1av?4PHA;3=;>lVrQ8WgIUw#;3%8{8Ab$2nu0EA((rfA4EvB^>pxlCW~~*&kMQ z2dJTQRY={w#<*9Tj(TUI)P8_-$x0utBi?4VrB0|YKQN$2`|OJOG%Dbnax!zA4T?(K zK{oB_DQlk{9`cpTKCLzq6`DUCE0ntWwCx6wJ!jgAOpA2Uc1n{_69y?%+^Th<3fS9Z!Cm-S_a+;&|An_BL zv4Mh^h?^QQ3n+a}bRM>T##E|n-V}4Q4NQMB)2x;d%V$kg%EIZ{`NMQIi;~m6(s1Q_ zi>{bjNku&TramZIC{+5Qw+VDoYF2fh^|N#}nJJ8B6MU*W@N){*kHa(2#lK@l&}pFCL8i1;SrBGq-`b@$ z9`}B%z9H^XN-hVO)BV4uz5=Sr_kG_+1;HRhN<=_fLKyu4RFD`V-O?bA?h#5#OG`^m zrD1Hq2mt|U0jbdq0;9Wr&&K!s&;K0G@rZ7W_kEw|x$o<~LhOaz^{Cxttxy?D?<0Bp zn~X-l1FNMF4NvW9s2_L=#TP3;##&O~a8jn>A_Oue@IYhBplfHtOno~KUbE{4G!$?i zrILoc$?}*s4YxQouYszC$BxRV296wI-=dsx?+s+yWaPGIQW7O|Ns z{I%X2pjRr5QeLLC`Il~R5KQsXy_}TY{3XODTK_3ou39Hf9!R%<5-E09y=bu z?0}A&ffPWD!MH=MXrw@1lx{n5l@_q~!Gbns*L&6#k&}6?j|etbKCAaaQihnk{Yd-HkXeUk5F7AS~$V5y`*^S^r zs>0FY()jY6I&0>pq&hw5`{wpeX6TEsbm?CYH7N;mRemv22~kySm@6)~_fkE+??$?< z#pK6*Kx>|`o;QNukx?d`7XHgPZryS%q}>Zt`uY??LuNMBGhvnW`H?N^!6TlU%(n{o zR-mT!s&pYX@twa}nPg^?h~_C2>4k7v9s?dkXeMP!-+!Z4*=JVS-VjB<@N9 ztFKURg2$YPU{ zX$#YZbW=xLTl*L7Y-y7nY`**@ahvMAYXOlcJKQSI(WEk^DtlLt49G!odhcjkclKT8 zK7ATq3|owxaLnwisp0W1)7T2($D8kkrnJSo;gl}wo0GQK+L-7r=G9s^TD|uSZUmPk zQB|;rZ9mC^6P!s1^!3PZ6Ae`)k?X%-xy-2HSNDAEXy3d+z*QFxgLE-GrLb3U^lhJ( ztz39nqN?ZlMcp3U)hMS|Iw(_a-Mfb$@*H*+2!<;u*R=|2#NoAt4Kh~#vI0XJCco9s z<=H|tK4f4g5?2CoD@_|&4Z5er;=e45QXTt-QgUWq$P|3(T^ng>(Ro)C*LcEL9@sLWT&dl43U<%Bc z>f{KCeUn{eAKb`fu~dvpP5pBH1de6svO@MM`ZvfZ4B88Drj9S~SC+8j7r5FcBTT2K z_ds@qsUCbbtEKd!f9+Z8i~jeHDD{f7xok^T=rkexy2`{#dw3-Z1EjUo)njBBm3D3d zVl#n$%h5FZ6Q;u^y?CsG-W#T?%)+I>J;?NIUfdd=64gT706G~wD5ZEMl-jXoA_Okc zu#Ck*-KIS@DBH*J{db!1TastR+5o>YH`JHz|C@@O5^2+@I6NN+(l@vCmeIj*f+D zBViDGO9}7CA+zVXlX7xjwbe{Wd%}?5(2=2VbXUg1iYD2d^5~n?Xmw(f8Wu-yU6@Uf ziqO%{jK7q9wB9~u+Zl&fLdj%E7M?vC?CZf6t)6UuHS6E2bd0Knw|P`4SHt?tXi`H~ zR1Qp_-x}-1y~VrUnG{*61^K}p+Eg`YXa<(d>C!g4IbSf{+}niebfB?A+meIYO__KR z$Q{)Ki}-EK)4iR!;m5h20A~8D(apI!Joeygi^W2kwob~mw&_;1TH2a7E zcg6eq7+O{k?8i>3aHwgf{6z%KHNx`WaR)zYj6CjWG(Hf2%J(_kL!qlGj$KqALrg@_ zW3lfO-;F4pqIs|`G5=#E_hL|vcMVP!od6I(07~4Aa$!PE3Z&(km(GEbZ&)Mnp3Zb? zA*(DZ7mP*5i(_uN%a?IgOwxjY8%5&qFB;yzka#DNjOabjiNde|S5hP+j|3lvFFt>w zK2XedR0eh9wt5Y>Pd`mb5;R3>2_lL+{S_FVK6ZU_S#$Vq( z>P>?x9J&Ws8ywG1(3{RU^)(M{JgM03_k+y(E7Qa1BCE?-MqrPMjurd#D&CGEQaJbX zx(qEWUeTo&biZ&DnYyU!kMPAC9msUiNtDV&`F9aKl+9&Sl|8dxAw-;gBT=>tkd zc1E>pRSSI)>GH#FDQwI-=3X9|+~X_N|)LKgaVASsJQLICE>(3^3umt2*%`Hs3%v!oHO5$gS{h>bPeb6oe8} zL9jMa$;PGdbx5lcw^*jN0g66Do+&qO9>OJd9Yhophz($Cy~UhRq0Hy47Mxr1s!_xx z=y9dHCdZZ<0mnXd_)!y`>hqhA0$NO&0N zM2J)YsmH?w9m**+s22&X2Pkt2eB#s;&eE{hivHX|}0gH%+`=9oH^nU{8KgQnd zQW{t)^jWq)b5Ri9-@mDw^seAqUTC()<%P}{?;w^-J~is0@uUfANYqg6`SB|#(EJ&Z z9?YnW=e25K&rbine*pKu!MH&;@*g;_N0RQ|S1!meXYTrXb$Q4kewQ`8L_L0DKZz2| zwF$sL<1!$+rV0YT3PJ?R&t3yywL8D`tE3m!1S%rno7_(}(#tE>RG#d7wqc9zys=ti z$e5>;Dj}4obe?ahfmiGOFRw0wrp^_T5O{Fv5+Y*>To9_4cxYrB6^`cF?>I;k9l=Uy z+)nMoVHjCF$ta9%D(Fue$Uh_~OrRd!=!g#Y3<{oyPTL00I8t}VMY7k4t9yv%xN?ux z{qp4u>`j%ycmNeEt2h_%q_E%fu;GR%Z?5m|!f`W~8ra9dGRW*%7zz7bWY;Ebu6+KT z>hzJ3uqTiy8;)=41h^tm@9={*pUxXJIsc>UPjE?k=%<+eBQ+CI25@(`(p({N8f^yH zao;fz8&2o^6G!U+3K5`|onol$`e3n!O~+61?twv`V`+bi+nhC4-BKC9*npCmlIvbn zQz6r-=A#gn72`b49N}<+_YA%!!D}XgdkoM~N)#9q)51c3CSL`nGn=b-k4HSH$^w$h zkcO4tVJq%lyQqJU@RoFp&#h=a5S1ZxomD(G*fb;v!R%%pOq%*mqZi=%&A`+F3i!2I ztg>HnPIS|1KE@D(6vNxJ@m&m<#aoScb(1cMC|}>L{v~oXyECoxfm@s_#8I9IOf@zx zYHk|~Lh-89wIp^tcUgVlg^EI8kmSa6Gf5<2@Aysbq-E-=l;Uk z!0^-|4H);Hg0?DF zQIqGMjg{|CV8jQNbVtd(UsRvlN2|`_LXN#vS*|*1Azg_@FNE>Xy?b%^zb^6{^^uCz zftM=)N{?&dn}-gV{uQsovVu*5`3d4=PZG6;V-Q-A*aB54hzdZAxmK-Wjur-y23l#8 zB+8(ApUp|&vbZW)z&+BOdj>Uw>$4;}W;km{nv2=?D=lHjbQ7F?v=N%_9og!NoV`@E z^6i>JR|l2L?$O)+y_si&2mDh4J2Zv3oYI4>fVXcf<>V;@=^t7f1$mh_OI2m>S}b2(3L6o@H%3 z(m86~AH1jQxSAml=s8#ax#<7-`71#!*D#x#@M+8p;eleRQv#U+5 zdj&*2z2_~1)BfL6!C6*yC_3m3q2hkiTL$G-Ao4razp0@5Q`={Bd{5H#*o&e@dIp}f zRRnnv4nSlzaT{!6FJ-14CxLlsZDsU?3-IKyE)_RF8{#B^I8eq%2n1Mf_| za26g4$ZCa}EvjAI2pR9D7c%qx!pmzM=5rrId%M8vk51#8$Xw0_ClV;g-tYD>5p#1i z@m(^QlxQZ_tuwXe$-x&@X}JR8F#D_xT5uTe2Vwl3h4bj0lj9iBM;%;2AB20_~Fny$?RX-y=(ww%2_Cl&Gffv~ju zXQtSf5kMB)xrSD#r%wS8p7ZaPNcK$>T1$SO{s2?9G(D3~%lv-(V_X*AktRr5@~)O} z$leTEBO;T)_9~#s)G|vj%@Po=io0chY82;CFyW9C;WNa8`E~Ylf8}l+9xbT2kXI4p z{B&0$)x7&PN9WZq_@s!~xhFjZqC+^FP27%nY3f53O2l@>T2DBHD_H3iHdM9{nfsq2Bkppwtf=vdL z%7Yx`)K|dL%dr&n$&|IxrnYZW1eU%;D{DB&6Kj^2QVP6^siAQkjhp~YTrOm+CRV>E ztIcOPV8U|L2>w6P35(B!p5}H-AXzq^VQ>k*P0ZfPMLIQEqrLGEvdCp+|P0Q zxqqh+-urK}!Dp~QW-n}DySS>lc))Fhi+e+cTF$?o0=5d3cj&sl98!ps^MW7 zC*||O0`PzY(XgxNMNG89%>Dq2u3H0nLHZ$6LUa8O7nct8Ot_7KpYX6k_%jRLT~dz| z^WfhM<;|t8RVy#^f?I{AG-+|U5JcK74cd+sI_O~+J>L%A!XJ?{vM|n_u;vRUao{8b zf!gY;tDB}n^JX36wUctsLzv97`~+ifRcvtH-; ztp+S_F>?3b|BJ%N#gsWc^+;IRxocYqV+a`254{9snkcjlVrZ=;&S%u9buYl1@m33d zn`q;1Vdfcqod9ZVfTNg2vy>M*y#eE1&VqAN=5kgBV9=+};4v8R^(K?vbtFw^bNyGT zegv_uV7Zm40HTgAl`y>m#Xr*>cCxz}y&dEDjBoxU9bx&}Dh2z%n3bL$FUJ1FFF*BHdBqAj>8CSKn4lpPiB?UMFSLHX)>xUgbH(D( zUE1I$_fKjHEM}I;5*wapLx7K0akgQ3kTzdospjxN*{Q9hZh`fvOE&YP9AND}^_hQ8 zH$C_Xnc_l?6?U%(+>L z|89D1g`E&t{S@^9ms*iuLV*Q`I-9vT#yo!P)eS)gurhpHec&ianopzDUp;K0W4)?e z6ZMco^e1YS>Y}4#_Dt@C?=&Z_J`pkJ>7w&c#vOiP=)4E#6Vg3JGA)aQ-+muO>s|sM zBOpBF)LwB3CE*Z3R-B!sKNHx46{DnI^)tG-sshOBF1?nH759DrbmD9O8;V+xS^uIf z?q`>^dm>!d7EGFKGh@2lKQy{?^PM_!!bLJK*rdY-eHRX*A-+~ON^5K^>wx`BNYc&Gnkj1 z$pxj&p3>xB;!ByTnl!fh_CF(0LZk)<-bl20`!XxtbA<&CM$dZUUrw`h@5Y21X`1H+ z2Y`>JhH}YeE+1zXR{@c|==}d&2N7lOwR+8qKUw+w+)N6yTFV`=#%B_P<)es|i+406 z5TAfZ0HX<6Gwwf~Y+T=*JTxLoa^smB+%%kZpDwm17N=Rcr&9&RzLJga6b^G!(FW88 z$nBfS7d8SS7{Dhc0DeXH(_xp6t7mR7OMYk%kgcjsdY1c1le7aEjT1a|WdEI6fmev_ znci-c0g82?LEbqb@8H-zA*Z~4=Hsr(>;_X#Zhk2VMdtNym{GicKqCR^hwjEiYq0Ud z5kc5l7OYcAKoBdW3=Os$&xOLeAs1yK%>xoZA!k$uzPt2G`&LU*>Z=cOr$OjZF%k`1 z@R}D)m_J>!;?(ciU{)8wb_JjHnT|-4Vk-ivJJ?uZrChUj(Wld5857eh&${jr{hj^1 z0LC9VVD4?Y1Q3dUz-8;amhdR}VqEpLS=HrRTG||G zZ%Km+9(a+CMa{sFM{|N6`z`s!%gmqy3F$l9^&AyhdLhHE|Es6*9>H-XqN_yRKn>2i z?3R2TKef;j@tnFRD%C@jE&tx?=3)aA1c%uboJIW@h?fr~b5XXFvN~l>K+k>F{df5% z8x?wLG0N%RK*-b-e!@bUxc!x^&+>%ZU)G-Jg9?*twtq^rfolvM#4;~8N z;p$tA;xu?m6FPOkfDL<%f6~G4`O?jeO2nJc`p>w zbwJKc^AukMeN_yw76V%{gmbmrT*;g5LO7 zWYfGZmn&w}uVf$IX(3yX|y!1j5K{WgA}Rgcqldmd_2 z3|fET7XZNqSifp-d5-(0fTV|*S9)skei=fG*}oU)(Sxib4W06 ze~DiDOtPy`up{K3oKWUPd#d!sw%e~QFJF|tViESl{8HbCN4J07u;&X_eoNCs_c%X{ zGY|7$G4uV8|2_Q_#Zw~XE2YVJ>5du_DADdqJicjJimf8KqZbxYA@{Qa5wcfcGnLda z>SHmEm>;jQ?f}=ZgULyMigHj*vRCunO=?kj2sBqe zoz3Xs^{W;RjF3cYW2r^AUzz$TNr&mSB?$`%3X5%W+K&(EYD=C?2$0ZElmK4K^d}Iz zaXXvp?BIUOD<3a2@hrsj^(!G+-Lr_?4JGE`jICTwMtEdu92e)QXa3Ij*Vq-+M~xE9 zFdZFJODn4~kddD|#I)#k~JDfRd#6r(UunL2^o40T25Bk5O7Y6j^h#|<*o~3!E>tSGH;>(*|xDDe3 z8_HW|{3%-|^{;erj}(w@AGkPK#`0Ddk<$;A>=()D0O`B8`bSWg#olY$Y&KF5MZ#a| zyyxXgR)S-M$Pr&5vN~0eA>uWEeDB9Kia5RJXDb@77p;0?hItk0rIO$!O%dAHvbj?#$naB&CUBrYiRR^~DLhYHkHHdG`YrLI3D7 z^8@|dPw^6O_84U&)v#KwgMuKNx!6f;*-Y18u0`;@5e|$W!I$eB>TKiOq>W?0T>-64 zQ@1-of3^{%L^neGu5b-^)>MxADW$8oiMkyH%%{Bbph`8qT0#!~mEXo$$9!vRf14`b zE{7E&_nH=$77r~saQB?sTls^TwsUQg(y5yC;Y`>~XXvZj;FZ39p>Zi=O{a8LdBRdv z3D!8KehpU$tAO+MwKk5G-*VNYj;=1PhjPpfZkT}R=>zBE*}wUAW*+URh8DpZCbHz5 znzK`$GNfkNA5Jy?lny>pB+2+b>EsG#_G>hxEw}%X*+URXct%ngJXZWYb#~oq^K@>K(W-ZHy6m8s z_12casXdtsSsi?VKJ1QCExT8v1ICM7-Lo<9&wqBGb0HX68#+k??foCf>Bma5iLUuB zn~#<3KF{BP_F{3UXEkmux=N4^*{k|I8%O2He^17nEU?iP)3nl8gD|YF*Qj*Hqu}rK z?A>%ue>feVy6;}sbC~E}sgxoZDI?rk?~m}x`(Qjof?ngl9QtQb)O&9EN|Q zaVapEu?}e5sXa8C+Re=rBT;yoW_jjLx{ihPF;0+2uSR2$%Ckfy4E%}mhwpR*67KV zK3^Hc`oZOR)(Y!(jougbIv|K1dvsvEmY8$osx7U+5g`G{V+yjjf;vvhDV=y*)dlvt zQJrz4b{{)+W;8Icfd{e)*!=Pynpj$ggtH>`+q)6l)?Jmru}@BwHk)~iNIQ_acKf%B z3t7{vq{)X|B&lLmvd8;8=oo<}sif)0tH1i*Hhqro=<4c*w?|;@zkF4eaiKMTLnA6V zvDkL<7&d*NeGouQh80K4*)@{`#-MW{l-XGRc6Tv4K^km7V0S69_CuOt72)t#_TW*J zbDSGp<+Lp}$4xd{WFO!vXB9^RcDwe2Z&lhiv8HkSmQrs?%ZQo&uh5&U*pW>GAv7VZ$XgiUk59I$ZF}JbHy}N@<(2c(^ta9Upi~(yL+JM zhQCDi(Spujn~;kEos@lIgsWDtUvQLyV2%`r35nG7Ny9&ki0;}^V-S0JH_T|oYnpbh z+WLT{wSA3BE))^QImJ0*xGjs}LqJ~LqZJA8aX_Pn#BE(Bn#5k&xhU65=~VDzPT~fH zQ{1ER1T`rgtW~~x+V%*HyHEp-ewW!lu{Wq#5~2{21!wQ7Mg-fwyMn>c8#^Ta8i`1V zwNH#7oD%ch?t>cBnVRWt+tm152_B)VV?M6c*H;hr=$tC_AhWJ=(VfY zRAd|8i*8RrG!>+ki*?$%py$AqLn131rF0HQC^T+&dKIbLs;69*D%_OWKc&~*+KH5~ zyr=QMZKa=0TajaJI5L~9>kGJ>3Ou7Gyq)QLJp~}~j_`1nw!m<66uJkDS_?Px9(nC` zi*(C{&UcjI5_f+*?XA9w6C^VEbo7C{5)vbD zpy;A}!0ndg&4NKpf?JVITTdATE(77NonAI9^$0LPY_>HcXs4gue|Ah}KJ-Y7@5BV& z4ITN?{EZ}+7dtP}%gNg9_1MbfK^EV3$5Iw?!Z;P};{{ieta2f2PK;e+L4YgC%pgPY zi()q*OZCkmo*#LnRmBYczRi$eo0+r2@&uf2u|P7l+m&W6OLQErIdrrQc6&goLtGv$ zP}MoSp_xPZKi`GjwiL0Lb`_`)ekdveTzW{`B8RhXNFm&t#DCIxKdlsJ&F?uTU9A+z zfI+ohT#Mg*cUE4bq}A?$LgX-6HTJS!RuJ0JUR;cXalJmA@tAd)vbuUBqmPB2N3K~A zG3U#4`v*zvr}6Z6gLWr6nm;bZ@9I`Yldtr>!TL_vpLhux?PPbV?(_!Yv8=3Dl@8Q( z_7hD#Gke;VVT+b0$;cGL9i^ivgQbq?mzRrHv@y=Oz3PEcac+Cat8aD?t{%IETYACm z4AY;k;!ke>inZR0B=3#f5kDl6}3x{hk~Ru8_~p6~7U@~hxk}9%Re`hmw&+w*gfSXYY*c)#v%jbIS*QzzhDqv?_deFJzk{o zq=N-zj`2$ejs>wPcy=t($%dKR%i6O(t|HrPDz0Mt@I7-^Ijl&i@`-LR@dC27DoE^> zVTWFG9-K#1(%}dyDwzq1VXmiUO4@Hwt=bY1m5g@rsY>IOwvT3km^_DcbVdH^XBa4h zKljaoKk35txc9cu-a1}At4+v~dW?VRusHqbJ6W#n{kyMXMi*?k4SRn;xq&4}eCr#v ztx8nNh4Y8B-r7d}cI%t*F^X=C=;h^~18jYpmZ}LSk2~+-3&zxGM@p^KX}jgogja;k z<}>B-MD~0boBi^UOB7)#J>*KQoRA|h!l)>BCPaF`G{YB(XNz{s9s`##Ru=NWF)0gLGx^&?y*vB|^0r zJEh2tvxCH5H>fI@}%bNrxBQ?ECl0N=t z|5CYrq_xO?>$s6=H9Kr#dOU~n`W1Y~lAYp|m)fH$v10qOJ0&M(vq;w=%3v&$DTWwE zSaL_sW(&RIeLV}#rrZTEEgdtt&8h{oeZ$?bq{N&OYnBz02g^I7&i;~ZYlo;8XwycD zN<9&TlSgr>5bK50kPM9=*%3lh^K4~%TutPMldNln2{UY$E$U^(d_QQp=deQcL(nvJAt^h~Kz?AnNq$;e>fXIAXf%5O2G=k6`I(FG1_aH5h z8Tkm}bdxfoTz|3HJ(QtWoMHjO4ARa$(2=XsM3+TJYF;!Y9^E8;1O0L1O&HuGi*@MZ za`AgF7l<0R7}@r-7dxMAU<&!3fUkodAH3L;micJt-SC^FaRZ z)F1KZVpbqKMkyDyQH*wBp`cmza-weB#jqu06a z=muaQa&Z9?;yAX`=G(Om!<7suo<;DgEhO;$!Tg*HtE3Pk56f>SO-R%Pk54+JqJ^$X zDsk-5B@_Tx2aOZI9J16Hj9xcE2}8RR>SqOhEzu?dY<)Fr&m{4TR|6}8v93d zIEF8J_NQn9-|ZJUl4TrrSo3Xi>L&%8uXW7sf135lK(_t2^ma9OM!j{+b-}d&2v-k0 zHpBB023-?V`4y`%EB&SGqPTHx5HV_&!As?0U||(i`#3P}dee9RYV&J*ibDO!Z1_vp zunou!&6FEuz=}|3ukMR~kdHQ|%$MU1aE7=V1aF=rUHCU0yW`@bZ4c}Ui%p#-FN$Jl zUA6Q^hv(jQEx;s_>9wrUUEpX7X>=G55nBBtb9%pU`XN`k-mm%>w^Gcm?1j5UmXY8O z%ZFT*)q@)zo73?$F2jz*g!)AXvMQ{59O-LVXb#Mu3w&|z>P0@rD9fmFht=Ry{I zf2Qu8=46AYMCm5g`At7jbc%di1c%)plaag^54ETSlQQ+Rv8=qlaNWVo%itkcn>AW; zk_j(RZ8;fd$LSf1d8gDAlRry07NsPpjN(IW_0{Z>W$m@PhMRAC!o4)p8oxyU)weDG zfxlpW$cokPsLp$wXDx>hRP%BrUk z<=q<)lqHKY+PgnJ^7rY#9S>F)GFTb>6NwyY7sOKGw~o=ZkGl4s@gYfn%tQJ~f&(R@ zMcv}o`t-dmIf&{v88yhIMppVB$>h{|mW_>Uq13fiuE12Szyc1Zhke_ArodUXeAy`A z(5ck=gPG}RgpG^b!vl|^mCrvN1_ST*=rg>Z%73vo>L=V(pEBL?`*bxie|vO(*kzsV zc1n*fSi;5pn29wsuwazn!V8lsEbP#Y!-%!RKlJS?DKcr351GA9(xRqU^I#316UvaT z-Cek_@mF3ls0JziFM ztjnrlM_jQs$=wE+zvFP_y@=8nqSn6*6dKrc*I!>E1_y`CWMO!y<|r1)3;jXT{EG0m z*a);BxhGU=!xPEehfpqW4D9((Te)>4oExKgsJrpibk79!00Dbaz~@936@)(o%0a>( z0HJIhUNk>_)|YVsm*K{kqs)25_~S!_(~xyMMa6DV7pkoA{KzN=pYodg`Dqd1;nR6{ z_gLu$fUZt+pR4Jnt>%c0v7r+lSp|2*%o10sKN&i^eBr{`ncGFv=omiv zSANd@TygELbQ~6GjpAdRowD}~lit))=WO-g{q_}cd$yI!V4Ho9L0C<7MC;mX#U4pT zv=`d2cx7caH~5p;;5|X!GPNjy_1)xC+Ki#!x722aZg>)9|4m!FWLP@Xwe>ds+8Xnh zKGzDK56(sdjhu?p}H+jJcr6I(CWN;!OcJ z=UJY>#A==UrO%9-rP8=R#qjm*-Qbi&_S7fs*k_u-bXTPu6=iNMizGz^_Vj<{4Vl&n klpCBnG)ne!`JVaU`C>o+ETuE~45Rn&8g}XN|@~YGUhu z?qcra&wpgbm$#u2HOspRkACvU^Wlv-ALHi@??;xdv@0Lv3I65LXU9_`^(EK+C8?qI z`aFxCU(rx9Y>Ux!7KHvRm1H1aD4$BVr;|Q?r&u%`i z-onwwbu|{eh%-l*Z-pCpce9^B8~6Us12BOCUVyl@|8Vy5en_~Nd%t%=9RH;|`1XSX z4=>+cNDFS9y?Zzrp8q=T=B0<1%HiFw<;D#)PmSNdncHRK?lEla$Z{)w3_Z>Ee$Dyv z`ARt)O#ifj`?2l4aByu+s1J8=Ds~R<+0Fb#&u1&T%bULY^>QoE_wMrKG|%77{rhqU z{oh2-!*sKK&xa>>_ehtO`OOpE4og}OJK_5Veb2EHbXt8Hy?l}5+a9FucVYe8;>#!3 z<68}$`;!ir`H4*J6{aU4Vm~h$968_O$<#B+_kvFg%b<|GrmPYF8D#8;~ z_yTiE3ThmLKT8st!hky!O`}iGiW@?jN3JlFd3u))U*MZ?lWc1{_fHv3p>DAD+%43- zJ94h}?3=@l^AEaPs~!W@)@!|UeGcD)vo0*Q-JgSR&h|k292N@d1>r^WxUZv*6;GceeavCO?3{0mTO~+x+eBPxH_)6wkJdcTZ>O_Iv|iWSeJ9Mj>3rI)))TZ< z&*so}ihs!I_pkb%cjSH7z)9%~%;)Y47IJJ<+isl&`W@O=@UsMMTCW!^WEKvJBRU8Ay(H zSHcTXNa*`P5HktS3Q@bHV!P@k^a3D__L?mQMZo3mT&DLBaF_gGG05BqiKns<#E zKdS5;PH{XJ-!ShM0saGpJK)9uyR&vlY!>y4<)H9>5|ay08o*>U|I4?XeGKD?kp9Nr zdB+1i$*Bzs?|SieW>lF^Ebe+o0Zny6ae0U=mTrBh^jS0q&JW;7D#H#MN0}$%b;UN= z$uk{vlK;Yk5KfqwbjakvRIL*VeCdrHSI*%qt3%$HnUB8<;&XRaHE)cpi@NEieiCpo zbGVt8_vYbqP<0US8w#d|oNz?5tA!QaV{_K6Zbb7>pkd@-n2jCWmCF)qHmi5NHQk~o zUq8z17N~c;-`3H4@9n=TPK&wJ<0bX$oq#gRqGusjVWIdH0r zOUQgg@AYIFaN!tsT&z5dOzsX@ljNj>T$P)v1L2iZ*CZyXA)kGR?BuqtHpnBXn_i5P z#)O3<(M*C5x>HX7;)F>mDGHEGoKn;!^`IwTTIt}|NAj@0!EbC(dRipWHoaY%9t043 z(r22ud53i(*6#-_`%z-v#FxeGhvCJIxaK|L5A#&tIP}7|ejTbjj_X7d#$zTWMvyC& z`GzgNSKBANq5q{EXMIU>U4Xr#e{Dhk4xeSbV6cfitCkTVW=GofBoR*di!WqHvR6{W zx*NK(8``U3-4k8v_|0d$hou%_Ej^ZhjR7SJ1iz*19O#Xc0}25`;3rvV#O2)L3Sdja5hyktYSv4aUO^ zcpM{nb}XKe%r^l;N+se#V1rJ1xNhLQ24HmYl=y@^+QLeMolZ@u^gVmgP}=0!C=E=a z!B7?T5LN2R%#{JITev$A#0(V}Cque~TR4DG3NP7v9}!gVo(5fd;*6$0PylWJwiRDr z%tc|H0wnA~n1DO4ZRoCjm*y=tz><%s1YtbhycnOYLnZ@=#77&VZ?&4icWkYSVRonN zb2DxC&-SfriF^7^(ISiuAd-3#xzh!TBfb31_ub9w+0SFVcK`|dk&trKPC)7L2A`MW z6W$@Y)e(x%V}$o4%5Dke6@2ZgohvL!OfltEbuW_gW^t5u4wo6cH;FZ8GfcI9YS2Oo z-UXm}$s--pnCU%3)*{@A0R$2LM&a}?E=x(on@j_kBEg^6)2pqxhMhK2rba;f)7Uw{ zWY1(vkuw*@kCmZ@+zU+8tIZY;#X5-u=+FJ--E|A@WH*uKpW+hRZr&k)WmIkk4w7^o z+~y{=h*)ZW`{3cEpFWrmd=hOmc$17+q=W*VRdh$#l$9(SsTO@2@xS-aiNxlA!A?Fw{&t)Y=ran!eDl zT($XUbhNdZ1WXcIdsf)TbrR4W1U=hiXQiwu>r#S?J!BCwNvX93U{R=&FIoGIKxOeO zTEv`L9#+3Dh#8p^ex*w90>B4rlb@76V4w4}7GCN}IMParb`8MBph9;3FQWPzLKCBV zk75W|sanEt;)$ZZZW3y6(Xc_7o36c{lt-mTi_VPp_mr5QSQ6mLxhjTd)Fy?9loka#R{c8n+l~OSIG~FKE^}vSp9coA;TUm!rHt z)|Kr!??5KdH@HyX0DuIpP54-pjc0Vs?mieoa0K)R1VbtSW%Q^Z=qAqPL09t#6@ZM8 zNCir#4uWj%twn-z^LP#v>i_wM05=1>j{y6XDe+&UY_3&qHLfVF)%VU7c?g`@x1E0B z|FAkNf<(m{AK5Um3(XfKd!Y1y`-fB4dh{Il_$L>-!Q+Qq9#ja02uS zS*al-npiiCStbnQWvEEPg+pf}jAUomD@byPq-+kUtDdzWo8n+gqq zBO?%JVcS1x$zY!7;~Dan1<>A+_t=B!AiDbwB-cjpx5bcPxcgf0_!&Zuk-~KI{>?P- zcOodR(30CNn5~2Ed#@lY=)Yy|y|vx68|K@+4oQOu_p6m%GK^!3CU^zak`OOFL!G6d zLdFV)>9&nmC=KCjuE`;L(n*BvfOPfRbMo+B1ImYPMAed)5X&Gq2r*qQ{{R|@5&q}b z?g!&w^{7e6rvaI0$TkRHEY9h)+Q^M8CmYHGL4W@J@v7lEhoc9t(i*c@Gvj z>9ocyNj#EjEXzxA4yIbTqmaVYUQLXsznd32#?G1OEhk3w_?+8AYqgUPKSy zg{fLqyz{mmjfln3W)6F1v75n|NH+4hOri;AF~<2``1zXSTg+x0zG__civA3%B0LgF zY~24c=0Oi`+0ft)n+H~)u}Sh?UY`tL51Vgpbb~2WhV!CYjU#-tslgRK`yU1>At&xn zGlCc2`mfoiAvbisdBClkdu+pidp8dpvULQJ0_$(GC3>~LY4s7_@Qld^A-8@ zs#<;5pck%B$n7X_afJPv*Xe|~0Be0;NPGj$a`9?#?a9FXX(jYi*y3e05}7uOAMe7e ze9!mL-lyX=aYVcwUl3>_ZUh`hJP(1OHdup6s0;)27O1X~o`ECwk8oBXI7W0pEw%%wZum{H{=qQeg{1m5q;W(%B8 zo`BE!j)elnzi92(R(mEzkDPcF;B0e33`Jukia>zbQ2u#x*n~qHM>~Nyo6-qDfz1JE zX+|>{G?-c{a2iM(NuONuWXJT+h$it&|8g$m8O}+-gPef!&?6$>5+kB{nQUQ@Dc@#9 z+l9~ra{-QA4ES2oft?#*K8L6dK6$2_l9;PGR9!1F_91Nbwb&rK~W}FIG&nA*3J{ z1K{{o2{f=viW|bAl?GQh$_>i-a4NQ8b+qHdJKDu2C^JYZh(M^;;`w9uTU72f@D&*g zSTEEjFg}kO`U7Xxz}VNl*oX)F2@@V9UOp=kpW9K~wfC z2Ex7VJ^@%@c4$)Zx4ilJ3zI)!W~Sq1_UTG&>3@(^B4-UC3ujJLcBc25n00Lp@CQm3d{U$%yo!(+%R zyseqsA!f79DDFaPI!WZgP(^H{Ng$-cloDK1An~-E>LlhPs2-sM(b24N&Z>Y|0D@kZ zFGEMHr>$^+`$4EQ9g|3tV_4xDY_QG|Kc#PRnI<%j=BW_qnwciS`eg3JAAt{)71V&{ zg>Nlm07CDc1G=P`alQZLOwQt2D~#0@?~(>dC?P95=H7uRMx!Pfw9665g=i7!n0E_H zl|-^;hh;cD%;oJ6Imka`RSd@Vyi z`o>`Mne|ONp}-R`7UWl3;}3-=y}I6CqO@RK2tKKsk~NVw^afs(3WbjWlsPuE<;T<8 z(2irwLxL)GJhmRP+7&WJO#h)3Z!Q=VF4G`bEKj4aWqscF9?kzcbK`Xo-18Gv?`&d; zroL3Fi(=|d5-V+sq>72*BQP`u29gr85l!DyZ+2`(c&(UND6gslS%JU_5Hrbm-iVeZ z#+tVP+c7$|jIp@eE~XRjUNi6^!M&(8ib}Nt`pXeg6@sdeHwD)ac$&eDL z8YEdH9;6MZu)N=-(0x^dyV$%D(4UNlz;iWSd6g7ho4#IYX+)y-P;wAl>7g+yUAI($ z`V|yWuMcw!jNQnj120w+F&Np-V7+QAcVdA&*?=iKP(An;oK-<5)%t}`{W?i6Hc6rldTX@;F&a|I zvCdLaN4S#8QR5Zh$v;wuxEtL8*$+S};VqzyfHV0P19tjGA0;jiM6p(Y`!z#cbm+l@VV|%kaC8 z9^I&(fUSQUZu<2^AWOk?%51j2lAC|aY`&;-*wFojtQV~}+dRW2DC6WAXje@%2f=ghMRGKD>q``-!vgoger%>%6 z3pFQ`#L8J}26(sDRChmkaDZGTaYdjfTKB^66|KogHUZw}%FfEe_I{8@xq+u)V4R%C z3CJU-cq8Yk9F%N~baOdN8xt7CmQ*^ZPtG({PZM;q1tAwRhH6T6>BtxVVF3&67+|~+8)5w$Q2J-udA$1ykdRqCOtvmt15Ts7y-xn(Jla91Fs?vb`su0Zq#P+8TVF)VHx>pG*U5IW=#&7PV=h>L7y|#f;OMi+m$U1W z5_m1SOx{giN6WkgXu*8|m8AAd3O`ipQHg&MVgzw1S`OML3)@6{yRidb++bQLQ!eJm z+u6K@`Ju9rU1V*vF9sG3R<+ zj$e@wipO%qln~e0R{~B07^2q*w~E&ABViBCYi<~30`TxeOTOXHWuFHJk9oRg&@C85 zd^H=`%oZHp5qIvwt|a>ixw#NhM_JVQQ4gP${bu{0$2Z)&7=3`ny3O*SX@AOt{9Ie@ z9F=b=G~%wQ@}kPkg}P#o9#HZq>z`0@@|TyB-(+vQnEK+uOF{eM8Jfl^K4OC0aK+Hh zh%N3NJ{@s+D#6MiGHzV_3i59^LFUkaIJ+r-K3U=GV8_Wwx#{(-N76 zP?C!&_R?&DXi=Li1*8Wk;b1q`huw4gl^rrK#?OS5|5>ePivWv*aJRE)=RSwe(8&1x z?eKo_@bD_4yEAM(RxROiM{2Q@Ek9liqVMx~`7Axv^ScgpCbujuT^iQf5O7~O!$HT* z!q`1i$aDPp+-VylymsHygL9Nm4^F&x#K$$qhm0Q9WkKh=nv5%gHr?A&hi$HYzF)A= z;Po$o?s@EyDLRF3c&$q)Z#%A&nJR_Od$$TkmejA=E;4lwE&1o%kD4m{4=uN+eh(F_ zKHvAk|Fh>Nokw2sIs4S|PYa+Y5(j8RiT7h^KaDpnExB$kt!olc6Y2mS&|mvS1Br$7 z7~&F38Hd&&Nw0no?tk+^a3RrDwI`j>yNs3*BoVXJcDZ7lYJnnj7}4bbhfCucH4#O{ zFm_IY_jNWSM*_U-DdoFuGWkiVB9y1bNw;eOjbsy53v5iT9Hd>u+w#w6#tXIz|sl%m`0{u2<7xD>M;X zQ$dWhOP@=v87$TMDJhh4&-L!6L^t%WWU|& zqsu9>hW zdYAX~gqM372y`A9qiqx*p!d{z;C-SfdZi8}5tDRqJ!#uTtTYh*m(kyY<)ANmDL?CM zMFK-)@WgOZ&Pf}iyk;3q2HZF!#B9z)G;y7x|F{_yaJQWtM|ByRV`q9%J42ul0maei zFl>#Dyu|7hHF0zqHpQ-Z1OABKYrli&{3UGWYz8>$w3MF)@5}=XZDv}WqS(9 z`l>sIj9hAPHv1&j-_R3a0~ zb7d|Q>{AEgA8b_m>qctJ2e(Jm*1HLzUGVOmQj0f&Z9xhcm3w_I%k4}H;&HKK? zc>}3$wk3F_I#XRz{)oJHVe#@1*iPUJw|T7vVMa6c&|$0)YhX>R0Penc0I(3C$DA)< z5)^_+)s3GWK&pdSM}n;-)c<0FM2x(N{9`1_odzoKxTpUq4G=49zlLkpxF@Nn_!-s) zkSV-OsZnBaZVNbdSA9=t5R{?F74|HSh_JGe9{CebaGDITeR<+4*-Ks@p_Z8W%~{{{ zU2G@U1f4On7QgZ_VGR>NOF$H{crv@Ddl{0g-`pWJJG)wl+g_HK$uwM4@`zC5VaqnX zNByb{3zG-j=AEtUIdWE`AdItV<2U`fUE=v5*6{j?%Q8qcf)Ug~v{m{gW;zMKDhgOG z+FAr(s}O@x@WKs13MwVtG(3&`%v>_9Ck|NQ(#3@sur0s)u9dzcRYoT8^P4K&s$-Eq z)Lzu1s1h?#;sxj17D*=UO;N_ua`HFfV%4-JyfOP<` zrUixwa9?w(!0#Ml9s?`uGTHz%R&X-#CdkIab^=ZkpOf7~{XxEYJ%=oq^&xtrsS)uc zmUBRlm1rx z$E{D8#yf47ZHG5o$@`SjNChuiEpYXwu{4^F85cac$?BQ{Hl?P4w3$0uX6)EfF;aZF zExlNQHBoi#JWUo|wXK5~&obL@#YNv0%eiIy2Ucbhww`ycNBCfjKy{f6k^OE3=|Swg zN<*QNpnhO?aAp8P$2=b6SSochQoDr{W6HOmHKF>(XNLS|oSs`x&~9{nU>#cT03z&h zaZ*`u7ACnUMQ&+frd3Y_IPEj6ay9zQz(O4K6AU2dL4)I_LW0;*5OVB_u%3 zmGH5$!aW5g!B5UZdSL*d3%khvI=R0)SBSxx!EB3<37oj`S?p4*YyBGU*}-^$-RH!roB{$9dE)Tte=i zB=U38D*%T@7naq3%n!|PZk(Q|gA(%xTXMQB3uPU0KnUbRZ_zzKju;yb9JnXSJ{Tt; z;+go#tPAJV(4+4`9ujYb}9;c-D~OVXBN?`4SpE#JQrn6YGN=dt&>Yj5$+ zV2Q-7#3(u z5_U7oMw!H$0DcL?(Ja#%>Mh9PrsP|azS<&1=%vJ+`^za1seyk>-hb_jopUI*M0|>= zI2C`+4&S}OwNe{9ta8x$vYvCR1%bVP(qve4UK$fDs#bvxe+39eD)jErkFN|g>a>`g z7*Brd;13-zbHF^)ABQL~$TjS)tw=CSSg_bfk#;OFiYztHp`#kpM?w$*I6>m5JDAn$ zhR6iC__OnNu{G|y!+4}}>8Huxj#_@s<0N^{3v};KTfUSAF1)~|G@cZMmeDH3137XJ zqtBnk%4j%ge;%bddfC5)hmtOIB|S4d%W&^PNNQ(EwAl8{?w|omqiKbJ>Zi}0FX%+- zK`EAqW_{syQ}Vc96TJfxB2_4`4j7;zf2qN=ov9mV3`P;FTV9`zze$*VlJ0>O+FcZx z{hLN`EcrNdHWyUQzK{EXG?1rDWa@Hqd3SRQ?JUdCN#OTKDEU0o8iLryzzc~m`yD(x zZ4$3h$Eqa+6VXy}L$}P&S3uR?J?mn>+GOa5b%O>lw@jeRhj$mOp5lnre_A;219Moi;>E}zd zfzCI&=$$Z2_V3q`6arI@Q7Xb?E~zmY@0Is{Fn^w2ya|ZV7Jw2>{tVa-KujOu1^+-v zBg-On17wFYu;nfoS_tBRc|*i8nnX)&p(5j4GvFjLP|4xqf*l7`>>%7Z0(V{tF>t|5 zbhmxQE4g5V^kvs@zax`sWVvBz`OwN}f<kR)Z%emuy(o-vNf(NcSQsr- zL9;|yqoBuiaD^a(Qi%(dxEex2+|}1g3$~UWY7#H9me2RKhWbRK?MHR)`BVRqneWj< z%?Oz<6KTnS+C)j9Tn1t>tup^$9K?qNK<`E=Aw>fz@mmcx#vLsD>y+H5mfSRN*P$j5{B04qn8bPO@|@dGtcmeES#Z?}=+ zT4ha_E%b(zQlc;Tmelv0rSEsM=Q=}3HO>-FMbd37P#x7AL}GTJCGuk4s+HEkFVT1Z z3Of%T+h4Kpg?XN-D82BNncIT0liyk;F(Tj`uu)T!Ci(&niGh%*xVW$Jz>s*hGVBux z7aFz?NK-$umV7EbFF(}NBc9OxH+1?$t6D)nF0c^y?^A?TbZNOu2Dcy)%ZMAnRR7O{ z{;JdC;>008|LL+l31=M(DL;he>^UHt_hv89EK|6 zdBUM5^9psr>f_DVtv25mOT>Z@kBp5n652=5eObW9vE#BM9<&@ud~uv~BY|0?m2^%% z*OH^N=HH4V9*dlDCUM+!qk&2l`~SgAy^JGH2Mj{vf|an5s#7yl=|F>3XC6-#2h2DR z-Yy+m1RgeyJiPxlk8ON;23$5)Xw2Zyo0=Hlau)L?@3LWj1wV`A%a7F(v8>R~c-v6~ z>#R<)ArSW*5W3KJ6#eUg%gWi^9mZ-4#3)U^Qk}ytr+N}GE0V#r(M3{UBE6gYd0+ea zSAQ2s;>0{j02PsJ%4rhgIufEI1;jD zeKZCp6R^?d$Fz>D?R)B%b~PLBVvrQQl6wO^x^S51)~kiCAS zi8XR?z_}Nw6?EtT9szeBT;oaR|YT{5z*imj~xUA1LJxE77R2+9v zv#=~;4*p)^W8XnwckUxV8@^PiObJndpG@C#K^?$lA#1`a7F=fB-Ud9;$i{kcx$ye# z04~nerO1dc7_8z)6sDDB;=Mi;Se{-)oOP-*fD=SDIE(`z_{v4?Fek{=YjOF$p5@V1 zt6~yk*$F*i3Z|B2LcL*{)3bqYuK!$Qh$ZW@(17VYxZZ*z?X_^*_F81Pq{s5k+HG;_ z(M1!j)NPRvLyu(}h7JpE_RIBOqS=_4#P`eNJ_{hJFcW}@^_bXOMuQbz^h}9*)PBATn5q)vcxlBagH#L1e%tg9ZG)w-@Rhdk|B?JE$|N zk?Qk#9qS7Y0zAH62M^7f_f|X>7S|SV++1?`28M3 zN8*X&(@h)frrJ{38rxV^jJ?IYx1^A@LaHr=g^xFEZAc9T2rQREER*w(TAZfZM%b8_ zWwR8LBcgL(k{j5~>PCf?=@PWNx!&%i)#9B%eh(r3tNQ2sGzUnWjQ`1mh{h0?>|UF3 zi79&zY7}sP0u#lwVb5SXOHdK)Ayy?h72W{z@25(4rpTyh#ZX}QhiElM4Erq>Ljw^7 zpDD`pr;3RJy_kd9{cVI7%3num_E|D@%>gZZj@KJ)15ppT8dZUe~_w(>c}+vV7gsq;ibGa#X}dJ0B^*l<$)O5@6OEBFYUMO+*YR zx=j{QK1T?>j%*EhrFck{?Zll@7gbV^Dl2WN#gru!1Hn<|^+lAeZ--u#SCHA5DbCn( z6rW8(_(F7R=S_!mds9G1IzgkS{^=ROL#183KtcYBg!k>6(`8@)?DkDby1D2B@KuMA!H`dkw%V$^B6IKPD8TN9v zkY06)i%bd=g$P8UwOkkDjQ@&0&KM_QwFohZF9Iz`2_^x3B-?O@)kw6IMbRJ;wN8pQ zhK&S|0;5-SN&9$k5Dqa(jX+4SZcuc5$gOm1Iep(CJGoQJ~9Yj9FA&= zBh=HTsTYyGT}(Ff+eD0kq2~k%fDx~pelW_pggZU8Rreit0EWDYY_~NS%&m|-I%Zdj z&;OwJe~~sMNo&~Bn>O(S0cG#2mg|>2S8VG~o-E~FEC$}+QXzK`blQ+<;C3rfB=Fz} z*r!D=AzuV3l&iQ0zy%G}u9INf0AZ{SY&bVy5^t4qNy*PzE{0}P!6zK>qX7M<$tJiz ztgt}Rv!t~#pic5+5#;fjGSR(3U04O(+%v8z$dwkP;ffWd@lH8G7{0l&jGj zvn?6wdo|`~{=+V>EJI1oqPMCkXJIg~z!JWQ(7$`0?xD#v-9jw3~%QFwR#snh+y;#B*VbD|v;73s!2< z&08^yiwWdlLeKsa=M+VmVB6#lodt;dYN5XvOlf-eG5UQXk&f1>7MHCwSu~Q^ysA%R zqaP=`PYxgW^X_Lf}$KF=5V)~V=Zq4jMfw%_~q7#*a6;KabubtU1YVS zT2?h@zAIhr;+-s4!%wRA=hB?_2~^)(s+L>BUr0OIJd4GU$_&Uw-Rh!(-7M8X|74 zP97*GrKMu~Oz=YAMkzDMchTrYQG$kr+n!9+wyx=WKq`rCYbSILKjq&DO3PPB5gl?K z_L6iWgrSD^{Pb~UsO)TeM-kjHZ9Ll26cBg+_sa?Dllpgj-;r^iqv(zj-4CU(_!QbB zLwg>AD>6d3Sw`aOysp59V^}J@@QtT@>;cG3(2GPA7gQ3N1T`B7M;VHc>E?*~v3Di) zA|zpi@=MYCQB=;Vy5OalImY9Pf@>;AdN0wQy>=sJL=tq6g3U4Q7^c^Pj&u>@; z#pN}^d*eA?GPfS>3I@V*B1^c8q$Ew|sq_<_5hW-);7gj6;V>WZ$p~`^tnk+rMCun# z>kEq9ZBnqOel8{6$^MAtResNGM$ z8FHMivV>uUBR{rPcN?!HGTlEFk8@u^&@za0wt;r))^xoFsizLN{%;m8<61Oc#bfIL zEO>I*vt@ifP|e!6t-2SR9A{1XV`M5V|2}%|+GnBfO;T{jDRz{pRgHN-Wej);p{RhB z9}p*oZ#xF4q!X-9O$X6TRa=oVyU=byfN(gBZk$~pT6EkIpk(F`%Y~b(pjsPMyAKe@ zwuB~P4@DBqGpgp!d6XfHeeH2H-T1j0D7bL%5LRG$K(_=simNqxhdpUzpk92VNkwsy zve?}vIkeW9WC5T~iUydzfZOwkR7&ddqMtHiZ)cF2(%4H^ga!MUs$95k4Q?@9`Chq7 z*^g{$IcHUtWdus7wxD(HJ#8Y~XI<7ki8hETP66P7s+n%qvr-r#1pO)OJp08aOJ#Q@ zd0PHr$=*G=|M`wRR94DYS>urxNt&ON4)+X4CpxMwvAYg6LW5adqLtFqRLWD9mAS;W@waX~$5jLjml#i}9IhoHe*&-}Qy+k`h$;T<*GII6^%# zbI)Rf>-KBceZqA$kbtYT49+?}KMVM_j#obrtOEV{MlL07e5Ah+fMV86Ed4qiC}X>oQtVl%NCqRl?nY*ukvKl#3Y zXPMpgd#gJDXWr?avcz|*y(rV z$|yyY+W(6JX|+q49sxDhng%=|XhI2yg?3^vucXvUT9nY;ic#3m)Pg}4LlM~d=fSJb zST^DXBL2#dl0k%H{{3WP*$7xjU4@WfBjBPZHQIs^=A(d^L1OBcRKAG@u4g3JV?n)@ zn&k?KZ9wi>O$QdoUPc4Rs4zlvrqqX-o>}yIM%-)$R@}DmS)Imp{8!X zq;Dul91|7OA?@^{^bPF{pb@epu_(rrj$xjR&&;0U*Mn#IFh8xW z#u|0d9MP93FCUi6Ir(mz&Ov^Sy9Y^_!ZF^rIT@g|974q%jc4gI?I{`UH2ef)ZlIpn zw}=(Vro7Gw02CC*k(OJ!sPou`K)7+>ZK%)Qb6XKU)b=l+n&^`*2d~7A+9O9B#4is= z0UqCu(71JMo^r3P6<{NZ;D%cn>(cq3&0B-OWZsHIzv(b!Hl7XQ&Ht^r{$oj=vp8^? ziV?UhS=x?rYag(IKD+o>SeBxSMG(Jx@hTdz@eO0E^(Imag4!rAzyeY~v1qb|sEnp@ zv)Hq(lt46OV!7UB~Kr=(;77 zM?Ub>=iV8Mf&kDM7BgFvqmD1S-XrW{Kyy4Da^0W>1KuiW{9WXO{!&HV-{3k(wUJHRyPcZN- zFoPCU;wy^)Gl5&b4x76Q;(}h6*isMqtYD*rY%!pwTO+Z#2BHkHi##Mm_@B5C<%2L= z<5e%A$|MDncj&uUW88u}Y;XHy>D zU}!WrA1xS zzW>rR+CN$-Ezy91PzlClB0<`?LKAp;IKvFp2$J+~4ucl=aY|oef61Eg(vdyK{)fLQ z>3fTqndZV&O@21;P4r`ACGR}y&t!Yk)g89v(^cpC%&uWN!JX6sb8$KdA1Umj?4efi z0;mXc$KfOF)m^ul1twY&2UQ)!+n&c5sI~BN7FAZAAg@ugRBJ8zw}K*j^SS=>qz@{^ z)`3}SiRbkhF9iO&m@449oe;K$wxOBQD3P!pKJ4#4kO3vd<`d;hk!99)06Tht!p4(j zb_PZ|oVTDE!nXG5{Z8rM733nL!=m{;7($SGBOk#u#*|4F|09FFrs$g5d4*dh$g z$UAP-(G0g#KgmX~WJs1VX-1HW>*~oEV{L1m+a!fVD#A5cP06_tzJ3j9qDZ(!U4@Y3(;ZkEbT}ObW!*EeE z1i_4{8AN%l1peIEDOLBBKm!S5t?U4D%r7@OCVV}>H)5*l_M;kl%&>#{BQhY^ zatgR6aK$8mHKrnojxRR2qeKpN7M}t8v*T?`_{Ac8a{?gj% z-|w(y8oABy$$EF6Rar7DRr3STx9{@DsEunfi?xfx8a^HCosjHEGWMTgM1V3fpw5X9 zgV_~hEfQ2B6c|TQi7XkZ8FdhRkb#|yQw@M`pP1LM41$flilzWc98^d{euu&Irm!y+ zUthf#ny-PXB96D9;Yh`ndFIG&Hor@{dowK>-huvNQK>wRBb|EUszrvO|U}6yi zWCuokn>3#U5^Ccl;E2Ta-a%`DedL>2N!ckaG~n{0)+ZfRP9fovvU_9X*(anvExGzL zj-7U_O_+}5ZW03k6y@S)WXSAVBd<_YWim7?`a-#<$X+_v&fh+}>-%fD&zS!Quev%& zE&TDIVEL2K92%;$N_mCKq(?YhZ>0&74!DRbSAd#ugB^LrzBzTGV(u&&Q85>&FLt9& zLRyO(dEaP-T$dI6TpCCroii(FKa-%sW4pGx;-(3y7?lKy;5GOAo-;;7_}jnRiV+h^ z>3fA$P zDqs9ChAVUfDnv}KM2l1{$7SVoGO(`A9Tio771d z(n7^?u#?*~IuAwq>?9EXL$z)^|7RaBF1$)7F<1*#ggBH%qM%Eu}!Bu*M@X0s%n5=qKOxL;R&}kxxY=27eN6- zz+_3gF8aUuB>bTLuMCgFym^W$f#tvGDPUBTaFr(G<$yt2t=;A#B$j}*H18!lSSG9yhC-o z*SS}+Qe@G+-iplfE*Kb>e%w@@s9A`|?l~GNa@X0c`6JVzyZNQv`k8Y5Ih6kd{Ijo| zYj{bt1Noz*a+q<;=KK=^1d;<-&^fNDy z-r-G0WUEP-Nqv;1P=tYLNl68by2TnE)#G?V123x)7N*QU+=a?jP8qHhGgH-Ux0SuA zHUl3&i6C{h-uX?~C68BRoeIC`VFVtL1W*d)gTpVPp@moE@gkN-L|*?D#Wpz+FTn}+ z55w|dHyau6QX|H=V$IsK6`lJVV8sq16cvlAvnLHV0re;=H&sfx5sp{c9oNXw8!gn> zk{iWeSMF|=1CJI(bJ-KtuBii$O}H^SCNpV-xN=*5JbjIA8Zv1~Ql=HK z#x}fLJ!RDFh`a@XIGNZ%AgNo$+H78 z^-vD(NElfk&JQ*)Xc@nL-ga%r4prF9p%3`BkaThi3kHtZ zV|`orLJZiR>6DAK)#V50Z8-J~$Nt>&&$7L%n1(d{itr(60Dkby`n~+(-Sd~8d-=H+ z&hPNw$yQc7A}7^j?>!rjeg3)gw_#f;lCaLdFNn18k7XwWiP#1a|ArGVSHQ`z1$%FI%2eVw^lqD(I(P_wK*7 zhQ6uMIeE(Cq6k4_JVN1=W*8FBlTCPWL2}=dAR;C9^TE!>k_s}xzCm$>(KM*4ke?q{Bo$46o^vgM}~%{#vM8~^cVdg(zz z3u}0>KzMPU0DO~#>Hvjb)oK3Uf|a73elDR9^8}dhNvIAQ;y6wy#5_Uj0-WmPk9mUG z%tOo*5OXJ&mXBZ;&%=L7t=rerqLr8-DnQO@vD!a1r`D4s21s!w81x~E%n6c_z6o`0 z8Q%wgORLa9l7d8AvztqhaBAHoXEP)`ZOtTSQzRUao8(pGNLVXh`EV1_^<{;|Q|nn` z{UPK|R8!+t8vQ3S6Bqy*awp7d9#RRC3U&Xf>@%T}p&5N9_f^E)13hA%Y(QiDgN;Wm z+g6aR$Z|KQ+E$pT-9PXHX4>;iF<1b?YYz_*-!3x6>@ZTMINzkS4LpvG6d*1X*3B_WIkv$k>H>6CramIJK|3KCNyR;r z5pxxjDcV>{Ig)+^zs-^|b*^HQo8$z}N!dFEuYveeEvG5v}$cgt?k zX72938MO>83S3?7;9q>ryMOGp4uh7K5Hok$AOa8k;SqAqb+?hZvui|A<6`DcGIu68 z%iKxvv++2~YKn4zU5(-CdC5X_G{*km6|oyDA}Oh`oAT zSxu4%lL0FuNpyXbYf?OIl2_5+Rz|e4T`B%>D$^(dXqoZl1i*=fFgl1egvikA5HOL2$p0kY9Am38&J0wf~+1*vaKm+3PsvtauF%g__0|% zCdnRisYS9FfNPUmV(*5phG{ z21NFGry=Y82eKiuVgeptL3UIw2V;`-mzNlVPoKuE)YNYz5h6iz;O(c?dPCZ9qt`*e z6ES8yb}8g}i?lDj&k6U6xk$9z9^~6JlL@F6%aazHfSf^%uD3|K{B>ERWy?=lq<1{` z@o)MRci5$_VHU}%9ASRR0cGSa9P6(5gE5S1(^Jl6{yKGvOFM1U|P$3g|8v3t(Wu1aUs$ zUs2a9Pn`p0ffo=#Ld@pZm=(Z)sFfLZKoqM*@^iCAva1~SR6!&Ii@wG33u238l405% zeW&s4Cv|PoMxvu0Y${1m!q(mqJA|fmot*YYFuSHdC+bd{(|(0cJL*p1MTfP*w~MgT z^l7mP?*=8a_4-sF{_E1G%a)(gr{DcQzw`h6$diBq@t&!wU+qiuf7GX#$pkhU1r(Hm z;cKRc+B+0V#T)gh^AERZO3So`^fD&3(t(vykOu~y)Ln%>1v-G}B!FB(d$&05E*SMG z2A5C{f&|e!j#FPWIkiKQLKN@*z#2MsQDpkG2QLTbR0bL}T6~&JpB}-E!B=?%=|%au zGkw~14NmtdCRGZBzA&L8ODLjLHzL#7bUB3175_~s__#k^+ms;xjU?t-I8ZT7K3m+STM3?H10@<5Cd{9gX_uj$^CeOEM(1StSsa4^j3%C!Tpvo zQ^MC@12N#3(3j)DFSx!uAJv}O+t|XlnN&4|(YbeHx_N7`*cvQe9Ss)$>?i)+ANoS~ z5hQrCm`g)Bu&NMeHYN8^Z_iUG5_2WNwj&A{$^s(k!}dyd!BCSnF+nw!lm(=+L7ona zS-`~Gjj)D01Y_nZ&jJoKwTyZWk8IJ8b~5!5?9})OIv2MF;c{{6EXC9yU&xtCa8j4a zy_jLbFavT=klOO2dGE=P&?TIr~dpC-!!YG#qv1aDw*l|>d1?6>SAbTl$9 z|0>x>NS}NUs3Tt|s9i_b8E;RQ2KaVIph$}~v-{%{d_i+wO2!+6FH?Lod^GjV!nYLj zw8`s%B=ZCLw{+4&A+s&sh?9cJwtFYC?HN1SKE(|tQq8^0&+yZkU&79V$hH^BcIP4Q zCbFFk(7g04bMm{9VxF2B%;3e`@XtFQ1sD;o4LGF(`jLnFL{EVN1vl-$8s@Xa*Mbor zltUZWTLn*xIJDQyQZJfeq;1r?(QjiDz0~V{5q;X%#)+FRa&x}OvRhQf+Bb!%q4S=5 z!zROPER~eEON}u#bnK(v?0M^xzv-J>&;QEJD1DLT6-ihR&Q}mdP(pmSH__N#eMJ(9 z+(HEJo-%)KszXZ?_&$NT(zQ|lZSni8lRYMpX z#rtZqrxFWdHLrtVT~6J3iA)Xjx%w5@<4FUu|M8#infi?+LpecB&3)C^E5Z?1q`uDQ=-epFDK#Upiaw$fB+47|gB1Ff(|KESh>t1l885xRU`cp?_ zAf<93v;>VU!eC_9O}Yzl61j0En3NbGVoWsSb7>UcO%CT%IACaqXc&b`v}{P6#`qKo{JXW-vX)Nc0q(4m%jKmeE zT#@81hU^p*lPobjAe@m5vaiUooGpp+A`-%MkyMf_E|T@9Nh(7qjn9)A9{zC_#WSo* zNO$lrqMtN+IlmZOE@~?R*7PqIMJ3Y_jGRvS+UX+n5BGu=bX^y9VY*`9UHnE8^EuXS z80)$mFUR{qACmq{`jE%1!i8AfS)~v17P-9bg}1&eU}f&QdW1TwmffP#YHX3q)pS<< z-e=$Omp{s}lyWml%bU$Al%$&8wZihIoagn-Dl83Zw7f^ya3m;Us*v3&ZF#i3C>;un z6I1I(bNHg=1v3O;VaVtcdM3B!6uIbkfFZQJA_?vRPR|7k%gY?NkUR*7sM}Lu{|G^4 zYXaU*ffz|z#?!xYj$D!?W=Ba)768f7*`r?sBTm&@d_`yrFTW!8M{P~5wBqdbc;=+I zs_H^fv${Z#4uf)dgzJnq2wWf_g2g8~9zdm9eoOHV;YL#Y3rY01=aP%O4)GQOS+DW~ z$=^MD8k~}aCEn6WkQE<6yyHZGwxv?(#f+(7e%V7KJZ%RLgkEvq%u}hr=L8La01Xvw zbblm#&YngW-k7_xG(gig^Mp54npz6be){ix!k2xzvzbYxXJ*3kGCJS|dHjjI6)7SU zTudc2n-hw~oY|C6tmVuix?_TC2GG4o2?gb%fIta9N{C5RDHB1)%?!-5Cav? zM^B2s$s>jgq^>e*VZnEVA{GT~m#^gR;bVTOVYSA6&7fEJ0+6a|jTHCA9~EuBrIW z`OUSn>y#Y^8>pMCyKo!X{WMABnq(di+Jh847$oEUL775^kD)z?M}@^lBWvY>>#HMF z5A_&q5H){tX{kY{e2Vy8w(IPGF#vE9AV*~J%4$h`kuewjC@w9is76mFyB4-4+-U-1 z;i*f8ipL~7+-;F;aJ#Z^{-lKxTjX#^GBe`LYUKiRVP*TIyDUoqT_Ez;n6{G> zTnhxjY}wdv9?=^yLLg}S5%#cz002UenHOdh3g(Ts-Mo-18O`bHG1_42kL1}0(AQ^t zPTZ*z&d=L^eoPJkIMS^%+JGozTs%2|5f;9jd_8X8>fi{1Odog+v}l{(Tx{Z4D3(`{ zx%-5-e|`O}?nVn{)ZA!AkW$o(Vg%i@x&q!SwxZ;HLdq=%!Dfu`CUa*iYCuhCd>gT1 za8+UCG(k=DaOcseMTyLv34*b$hDoNb9vYaKUn40~6GR$AvJ-8EnJlcN{M2ObOb{s) zX$hrPogCbq6Xjt{459gPYQXv_-{H9SlWNb^oMWtl8$H!iKjVPMUG#h5zK4ai2qCJn zi=c4RW~$qC)a;U#{cI#1inFH6>?gcP_Q?H}76&}M(vnaL9&hPl!!^877rRJSkc+*B zw+0sV`uOw_`%|XQ9^>2CLO7Fj7`{t@+t({CUGGG2erX?l+457d|M_bk`{D2L6tTD; zrnJl%8Rqb_LFGeXFd-(A1Zd08y_Otkiyl9Cp5zEsG)p^o<})7<$yD)w4@dNeh)*yY~uA{7(f{2Ph=Nda81Zn+quxyAlh75fv@JG9(- z&7tq$TFtS+GdFnVtD{xq&;0ZUKmDnv;F)g0ki9Mw8My@mPn7hO9DxeT?M=)w&CH5a~ZM-l&Ows3ncW`x$Nlagj1c00!XyoEDbeR0sQ>bv%03o zl#33Y_Tb!Oz%QYX<1!ukmo)853xdm*p9%`z_1nJWD}Vk}P~eF7hG<1{O*ySnCwk?g zN}X+iiz@YqQh}%|L=UB}A606HHa-R$Kmh_5l3!y~sk5DBnM%F5vFxMXfGJ&)j(pC3uZ9{ zFoM<^-r-{vk}-yW3u>+5!{{orO0~NLpiL{k^DKsNp8&yA{-vL^;K_=HSv2B>du5f4 zqYGY3|F(D%53BoOiyp2pdO*P;yy*ZN;@j;)!2z*FcXMgO>yIto@a@0#JO7baNku!A z1qbwiQDKn?JH}X_7+biioyhA%Rog=0abaLWW_PA)x~7Vum9*LHflOIm9{i zs)mFLQ-a-Ct>pTZ-tT$OKlq6ky#;n!={drBf~6=by{yVwdRkHGL5W^WK2#_@s>gN)PhCb6!~P)Y-KwNFXI0(?Px!JkN;--?5FSX z-{fRda%W5KygHIQ@A-xoKI#pg+>y~F<-jGl zGBmCn*e(=J$)?6RL1cVw_)p5&fHp#>0qQOkU&;6;!4|$Ztq4X2R4eio$@rRJ;$c8C zgnjgQYBIh_a9@KYf-GPsGfJW$8DA63<|vU(je=x+lb{gl@f@X|>|Ycl<7$o;xgg#h zFdhnfd*qeH(8z-q-E}ABtM3?l+5J1QaUNS1tK7L?$HMfT`zc<* zujih)!6BBqpRERp@R*&A^wd(PEZ0pL2g&T&~;UsSO99 zy{^mJ;n-k!-nOP~|Nd%RZy%3ucXfsshX9mGIG(os_y+BFarQ#p@0#rMLm)ezx5M$c zUYMoy{nf`^leGgjY@+@AyzR&13yYT{k5_`5$hVa?v9}?W3DD_N9e4LNu*SC8U@vYZ z%Flb+JKn_SNJ};B0@!Bevpn$Sq!-oSh}BM>(R; z__UY5^pF0cSG1%T$0}OdnS(SaBhio}-?ym9kc!k@>JTMCnGc5Q0XnWl=er;|L`m?7 z;aubypzn{X=y64|A|?pug*sy(2yCy|249h$XA=ZL{lI8BOrK%*1&~jS_yw6Fu8|xf z6HK(PEpoO3?R7zV!c8!lC7L}W!JrG01u?*8r?8S1AFF@5Byi83_wpygz%9G`C}el_VRpro4XJmPcS%;)Wy?>6f$wd;@$57! zwv&4x;=wEEczp>2wSohdHDkp-37JZBdOLP>41nzWt?CPG^m9%;cL6hjB-jA?nSxGBV z$|7VKRp@fa6jGhsgGt?;D~n&EqEtW1h9aO|X{^{6d+XE$5uI1+W6qp!iRIk+Le~R| z{O+xhip{)52xQ(KZ@affjnC0rL|g|ra10L$Z;`o2!tv;Oi0(1EI#w0!5smoF%#ha&2$@ych#e)qrgO4uyNp;0mGkQenK0E}2=nNFaO5|-2q@K6T9d77&{~`xG_7@;2q8dP z&&jnGDRB;=?IFC4t+cm0%OcT>V%%zHLD5>DZ>#9vTt#2+@QGVg`pp}!_0{lN|BXNL z8DI2XujmW&so75DLFMufDkpe3F`S&z9+8fj^H0ihoM-?yUTd)ts6wzKsoxJGH;_BQ z9B;hV`9mai75$brUh65Sp*tp1zmaPLXT_Y8@+X{?0_H3QlDUXy8xfc#^!Ytb&I;l| zXGuG=#14>=j8uUR<56NUX_6&&U_OzC;Q}L*0!6`?*y*blT2Km%>_A7sSPq1k z06C8bjN~ppOib*6@7Zd1AO$J}V`68Pfix=z&QOq^OaY}lP4{5 z%T$dlWdqD~OVc`{TBnjh7kNr?^(h(u8%fUlRcPPJGQph64t|wDn?mZEbK9Q!MN$N* z;CKLAv+RKAh>TL-0GZxs>KoS^ffAJZ&VUk7LRspaw~MS#*v#+?R4aXDv4Z~U?O(g| zVV<0pq`c`ct6t3#^3xY&f993VLU`P7WceWZi3=J zFYe89{L_Q!U(g)KKV>FOa-QQq-ko`l|9E@mIsUWa>nz7Vsfu)+vmF1i{5sF^PiDr} zoagw@?$I2_KUdFe&3TUhc#q~e{^LD*5RQLR@nTS%>{_-f1(z69a%{=O^cX&c@RIr$ zV}`Q75MWXk1%@S>A3wVpH#R;Z3sj4&w-&)7M=9@JI>r5d8YQ#B+<|I7hZ!;^KUl93 zOcFJMSg9o-W@fGcRLsoL2fcSO+{bh%laDn+IzFI&m*XJDc#k(&5 ze%bO<{{7ScK?_$T;Sts(y{ntRp*A#p z2Wz5YUB)Zy`I;o)tU^Y9^ymal%se0AcqrQ}4#Pm1+%VC$AI~L= zu*qi36#H!|jrXyb9bRsvZNgsY`FwL}+D6}(wQ7BG40d@of({*Tw0>S!W=+7qd1#ny=K8)?ys8phoqo3ikp^iaP3{9gl-H#JON} zFSNUx1OezOK1|R+C(by?ghLmd_5$%9~*e8iP;wkK5-OBAUR+%ku;0? zMP}~Ban844T&=A+@7zS^Z{E4dZqLQe%}J*r*;)EUOuEO2!2^gLb*Z0jX>=%_$W({J zoD)G;6#o_WpEu)v`h7~q|3(t?E<>5gWKeE&8R*%JE<=gBg$ajA^;nAY1o;8cDJHG41^EW^JmR;(Id+|AJ-+j|tTmt)!`!f-< zB_^cqk<Z1S=zz9=v9dL?A z(EwdF5RopiCji{QHr8PSWN38j)?#QwhejQ)4)#Z(V~b!34np+QDQ`RMYtH^hK~^Rz zh=z2k4ua(cIE@lj!GVf>7*OPRkuemDv=5Et(qzt7L=4$!z;|ZwbR!Pw zu&7y1)~*0^U&`QFoq7WBy#X5@4zOW?o+ZeXy?Q6~?B&r_^46SKzEtCcuKF8a^#Nb^ zlEZ9;GR9Pp639?4V0sv!O}-9q{=nrt@=iC}NUe4Waq0Q04%UNWc^%DbtxGp%(=$R`0v=GC9+kB)e`9 zor)&N(RIe#bF3JFMl58Prr1i3eNeetr!tBU5PXR0)SuH4i97ybrH2)T$n{S1Y)$Cp zEf9GW0ug-yPN>IAYu{zdPif!(?We!!W8dRLm}H@gwJ$2!;xp+Pp)1J&)Gho(fsVEB zp&eM%U-KMDb?*!$j@t zDyVIENS~|9lMbM`YFAuBS>m!?mCOPy12{rz_uIU`tM>lZCp+{hUaMoaqQ}h@J(k^~ zQcrKmj;l#_{PJHI&i}3_J0v++7Cp4XihA5ZI>ipM=jvlxeHL6zw$3v30Ld-GOv8&t zwiO;Xkc>&Lza2CnUrWtH`Mexv;>#MLg|1r zl2$sH=#>YZN`jFQrW!G3+Y-rkb?wdb3z9XY{O$ccVaiK8LD`gdzT-oGI$)|LV`=`p zrC%}If~N2g&E9_0f|ez8dq9&|5Zq>x1F#&Z(2(1TLxYYo@#ib0U~@t8=S{H3gf+=O zq?CpW#)K}2dWGe-2QRLmyj(CQbU_PBv!W@ZK}S$V!v$kP7o_4erv!p1!b&J%g3A?> zsF4B-6|w@SQ@Tq$bUDjfWb2ZZT_KWmXy4G(K~zMWQ-9S?z33fg@E|a^J$_2bnzYi5 z_Ns0E|IPXTdc#-h_09jk8vp;3KmI*G_j8V^mSD_mqlRYlDXf_5noVKFTvvbSk>Y|| zkGg>Jwd=`>O2b3Ub#@gXcnp#o8;W3AvIt1-W%tnGyOdIGA9naj&Bx5=f3~7QVr`a%NCKPZV|K=YgaY03n?5c2+ zIKDrL>|((M$Sz9+fV4(Clq|{-ScPuEO<=w+MB`vDELKi38E~^BOj^1ycEF5OG5l5 zGH#MdI-~)tre{Al${I9(J)AVaf@I;NjqLjUfAzon!1r@xmlP$+dQ0kI#XGAGuvRY= zTVS<}A$6mG;J0kxi1ijQF-pfNhFa;|C0~*Jd0P>~+R@{Rzzl2)8h5y0thc1D49tyC zl1CSe^%if+fMO-3c2T`0HHX4cFxFdAZ)l6j9_5Nzy=6$fp>@wip-7~YHJNyC z=z)kHNdMW#IjR3dTZNGoqNL*dq(gwb=7ct`bxs5Vg%#FtEF~1tEGq!6#9yqx{UB z`cccp^7DWmdFg8hdNx?%DB{m}|;KJr*6ujv5i<3aClgW7u%6T&TBMVKEy@)Ycn+aOt-!74H zBUAXmrTT{tT+Gx!Gv2&YIBLg316xL|B59D&PDjs~>q$|4A7 z5<=3bxuhGjTGWkM?Fkw3n$Q0iKl<~Iev>?mRlh7NLtQ*f>x14m<^R!L8jYFY?C527 z@~n++ZuD|QhRlv$W^H9FCIaQA((uKvF++yT&1jCu5KOZ|W{DC)h_R&leQnNlA-vIVU`W1*|KEM18W?=vN#$$Wvpet1ZK%_ zn~iik7)&{gvRdbdoR}(&@fM3Y-y14PYn+NDvDo@bj6T*UwECzLvmm?0P`G8wmqvpW z-jjdlM}O0go#Hr21N;yjjba%pD4X}~6vmTDSP<<#x@_xKx zbmvnw8AKx^8t0gPN^svJ#&4A=vKVg>5P{*on3y_RF*sug)wv0fm>{YVy-F5uC5mKx zqdM{1KX#UW8X6*kL)z__I(33%jJG;OFT$`=H3)WBC8|if1%0N7z2dLl{b$OMeu}n? z5ldKT3PD_G&>%g`%+aRZrO$hu47JHGCa^8R?1Id6r-c| z@=e6*glVN4*F+4VI161mKh4K3RB zlpY1ysxpk2%D8|Ub*fMm?Yh&Zfd!>>DWY9R2U2v(p$ zfo09Ij*+`evNn`QJrHq9w86-Z#yl!64J@l9iFVo9JSx_dVV+d|qBXO#N3YALc~*iF z$Ry+KNx5dsr5I(evplNL^Q?w~3GUJXS1|cwh5_Cg9SpbN;kYTsLY#x?)+F9{|3(~) z(y<6J*hYZ~w9{`Ar|3Xsm?~+iG6Qj(rR8UksT-I}-KT zlV**R8%P_Npf*TWx4_uK>6a)-%D@EsD$Q@NxOpfFlCCzvb`Nl}oZ5IMbrMJpsN z5H@iwDXz#dm`S!ZB1F=_Z7UFfOpX7sXsyNRN0RaG zV6r`M-gNd(I32Pz+JB|i0MN< zjjT_0_IQWDI6;GiVEa({8=XDgBA5r6Nr~Jf6QE{m#(SjLGrG>2VQ((E+NO92>I9l% z2-LKIv?kb%SakD4N6~AvKQ1pZ(^Kf87s!?Wrg>2B$iQ)8n}qC;8kC1gB-}6~m;(;KQOj+}1=$wb9oQxyza4Oz;k|}`#_Zh5gUB}*#F&g?UlwCtx{SDN`O?@?8Szse`vbq>$GwawW27yF z)uGa8?Ik`-pfM?xCsC5_GTelXxd$E)0cUj!LkgMCZa}bxr}n8m1W6OvitW%e(wYO` zOBi`vkU}IA1k*AiID|8(`Eg_#S7h9To_aVyRkI)vkyK5Kf^}n#gfBmaI<^#Uasc*$YFvG6? z!hD-W=HsXKw2AJC%*F3usM#6~#KIpL|jQ|Ly)ETC{1fOc4UakRn;cYm)J0=}fagm|+(cG(3*XzObv}Sa>)O+1zs2 zuMB1<&P664vGXI)4PM~P95BcvFhrD~lQMQe&sjEoPYgsjKRpb=e&Bk6TT3uOvd0;A zp9x6f`N{e7h-p0nfE<%?l(-!hG}_qU|RmtN1lJzFE}_~s515gQV*B`k-op+Tmt*d4nHr7)!b!BZqHWiCjz$OM66r;f7eu^Oa) zOfW@d;A7Xhv%Vtf852Z=rL;>l;;*A8%Pd4Mq#W?sdlPQ`_?=QMSs$UQ7*zI=|QJV^kX z!)oSMXx<8zP!d?-HD(JvX4wQfSjn4>B5}QK_`o!@H**_KwESHUI$s~;wNc=LtR00V z_U@fnzKmcft@g8j={5a(J*_6iDD%Fps6Pw%An!W~0v~{PAIK2&zDg!acd5oR!CAmZ zL>|n-Gf3mvirNW9IF2zqgEXEA28@8rlW>f{lTVPwOM-1hFb;x8big0vPzKU?COD7H zptPDv&SNt|J^0oli z|7$x3LdeZ19RVOi$+ripvNd8Qy=$=V(bQ;3im;@E7P(w7S{jt;T8?O78nx8pg3%EG zS3K%(3~MCDy4)H^M*x^h0YbE}+ofRU2w+^e1QnPJBI7&!)X@=WTWU!lN1&k$Uw-P$ z5x_+XmK3SL5lk|31gvBSo6OzD(Fx(uM=u5L416g%IS{Q%-r`ruDKL}7$&q%HZ-_e{ zea(|bTP$SYlVS^380qQEBOiJm5evD=rbT3V%tcKrx&;l=4;w{~0ur*fp0VQ$U??DQ zg(zn13O*7FwuV@7V*ZW%fSA-1{*rJ)ruzsdyzXh^oYM63Qw0xIEXM)R9~Jx?Q0GB(nxCA@}&`?Na_RskALIqucses1UZX! zj9JJT-4H9Gv|UTcY{bGcgo$aw)f`#k0>-ky2PS$2N_3}N;Qe4@+J z0g5gzaA%@?AFv-PXmLfNom)SYEAaEyP-+hwcUC5`I4KnrTd9~w*acMkLDd#CAw5!2 z6VkSqX9No$G%2!9Yc%PhWG4r9VHG<$XW7E}!P%D;s}A&r$LptUzkaSog-v>UlO`wL zEznNgSiNbfuGY8lgejQP)&I&@e!=bcy0a?)0CRQ~4sc5gzrcur&_NtY-KEa12_BEt zfHxO{m?K>Rn@Aa$;DDY~MnLu8NG?}oWuHUA-~!K98#)c!%UPPhIDmW(YkVw9UQG#fXUG3%1dJD5|x*M z$;e|D;8%_6LGigWFjg6T&fOA&96no^|8Ym9>^ z&mQj&4Z-Dz0B*cG`eDs@gTS3ZeQ3}HARsKicc}o|b)ppv-xBOI?of{6JL$eB?R=iY z2mJ2^>W;mH1D@O#SPYGH1xbYaMwx3O!5dg75bi2MZoMkoXvI5VLPZ zHA3`!)a#6T^df$#$Vv6xs3K<&Z?4F*@(Wk znIh0PB>+jd11hE*FJTDu5H&5-j-RSn$pLXh-K z5(MOk^$hq}mA}+aO^=8PLX1i@luwYEI4iOQ^t!pXcYmsenndUq`{yq#|7L%-pT+KQ zh8uAs4Kj`x1!2zraDTenYaD?_%Q53njqdd4tb&Fpkeb*Ef0`Nf@fN?zkS^(p2g2C zE~H5c&o)k8OQU@JCdGwRNi76JvO#L3JbS!5KB)w=OGnqqE~Kql)nqELCJlEj*Npe4 zM+SqlwMgp%1rtB}Nq0}&B@Of8^2v6k-QfDuP@|+}S>RmrxAz~^?UU6oD#aDITmjUz z{^F%pm+{|B@!L<|GxZxujh(!prlH4x%nXWpi}v{icT2(1f6&dj&nSA`RrMvKeSIO< zs_G40dUNQ~Ww)p_cUy_|YD%mh{a?KE^M2kt9mMx2gN?;3JhKq07;MZ^;gCs6p#dHb z2{tD9U}CT_3pt9x#w_B9T!wV&i^*UXTSK-TWGwg>F+@e@L=w5c^4Op4=af0R*wpc! z^J!KVThV?_t?YjzsbKOfBC(&_G%#5FtaU^t8@RzM(irSZ2K&d!d^dPZY;$9=$!73w z&fr}xzS|7m)fl{=d+K|C@_RhHCLm~wksaH=2b~(n$a`hW)?Hd=F~LMaiILwrs?r6? z;F(~WIn*@`W>!*Y<$`4JOmH|JX{IG&WE>)KoePq|GeOKdq8J%r-GRt8^A*Y9nIMcZ zShu;Q0kMA}NCwXYwLyb|PXx(@AjQZgh-ufT*3+pmpFmhxbQhH`D0^*^plPA02=r-? zsLYmJZ$rhFU22}3nK=6?ORc3ib7Sbg*^2hl_xNvew!A&UGJEB{mINrNT9#QLeMy5kEC)FcWkDPDNF1Ql5Tl)?g-?063UKr-{QLNlu$dCIAjfE?oZgHBag~(jKfY zvR_1Rc$rkT7ZZe-O0u6x>6Myk79!)q{+q36KYh>CZzP4tVMgO^4PlQ$Lzq6uYc<{$ zJKS9CuNd>7l4C4nphMqFPs7zEQa~I z$6lMe^~r+KkCs=%mT97qXnAL+nr4>QD63It8xJ+j;Iy+tO{3+V8ET4ngc=j|(_va0 zP3cDDf}uqihfvu4=obx#9)#ogijD*7o24X$cDCkF)AR$9M9+pqZ2o03Jl`Y%b|#1g z&JJ*%L}Dg+(nDb5eSchEV}mO@)loQihkHRE67nczsN7`yt|ix z7j`+tr4*a$Ggx-fdti9E?Yo zcWl`$+8m7KJ*jI3vHsGBzw@)+?#W3hjaqV23#Ek5I||&U0~DJrlkU>wWD=|f>WqYy z-vcL82xbToM7FYGh1uzaU<8N&Wz%rOV~=S=CFq143_EoK6At^D;fWFPLYl?|)p#P6 zh+#wJsmZ}GL5xJCEG7ie<+&1@LdHZ)(-?~EM#^RkyZu7H&5$J&$S8miEawRqst;itdArXDd;pNKfb6w z;w(~FP?VaU4BC&LDm%6ItDy7%_+oi#Qb8t|hJN)8JbBiBzGAG8beJB){e3-1TFOry z>m#^EYL$Vm!erF4hEvo>4#Yo9k`;3r$B)ez!gQ5r;}#U(p;sO4SIIGsNh(J()hc>t`q%zcC>qMm7@PH;Nc&;dLHa0& zf4yrOS?Cr86x8VseEtDkDw*Ms)&LVsERy8Dmym)8djR)8=qTyba4kxcnVEI)R`RLA z!Zva}qFG0x4`!cC>tWzg1<|xdv#vOPgh}XoD(z)%)@z!QK*qY$ndAQTx#+=irRhCRl9ZR0ovoS-~P{;fNe)^}!3;>rL2x7Uq1NO+U{q zuUTCE8DSDz(eP0y8tNOcS>8uCr?>1DZI<_Xa(dtPv;X!_pE<%_`hu-!s9nMsKLbM` zbPZiAUAnr*V`$U{| zwNE6z5hVA5lXA($UI&}?%;g~a&wnT@kRr9P#ZO(1$h&YUlC~)?MAn|-bdc-r&qJfe zIp`jWMd%Zb^RCe;DWdz0+u=dD9Z;1d|Jz>R+t@lFd&QYo%%er>qDH&U>N2FRx7KA@ zoO_j*?8Ne=xux9e>woZ{f5#^U3LyTV7Nl7r#QV4FJ@fFy(h5UgwePn}{o50DqFDa% z{%uf1l#Sk5`6snygWqhf{L|98DN-U3yMRjd@?p-h@=voTavf^)1|)?8B^Qk4A8+&q z%A#D69}@l4L+W-02qv!+DK^6fioAn5DB3Z?Lxgik=!DX;(2RZ-yTkI=kxuoqomQSj5sJtjGQzQq z>yqAY&&AGiUDB{UWM)IzD!j^2o?$QP(rj~qs(ZAnSpV-^?|joITpd!cZb3T+ryKJm z_oAf?w16PyY-?x zkeRtz=Ypay6CA)s#pD_-s9z~o0<~OCd~-B$Jo*g%fUt@TCvs}a{py0zXMlYL;DxOA z9^`-=|F~e*SJziGWPl}Uuy%pOC(MFfWjp7vY zCOEM|EVedVrVfIWT**nMTb!e#aZyOPL}pEJS3(3C@)vL`LOkmb!BJN+A`}EaPIU-@ z9Oy#Z9vl%cg$EG|1dvMepUfteRzdCF8enQcCg$eDRNiEyJJnII#gLa&~1mE#D_&+0CiX;K;{sAGnB z!qMo|38SDuvt=&{mElDXUuZIJ4$wc?fDEf}xu79bB<=bdmOjvHZS?|IfC^LgzMNQos)qGFf9l28|7#!o z*kfmJc--40${LmqMn%~Nv_OJ-voeY3NZkU(c&%i`s3?_XpR9%jI&@Y6&;&eD!3!^< zBW=aDJD@fW4FEnrZpeTzor@%7;MlzReqRQ!)j|x zP!&Nm1{5pC8WvKX(xu!tlz7fh%{tOP&2iX+iv{O~q-Lh=i(I77L_6F`e7$!-pl*K) zL`(PYq>}y}Ym-tjXYRdoA5$7!<=jd0*Kjmc;3&gMq8>o_Z}j*0&-eImasNf@O>p){E#_YHsJ@Kp}^uF!V z9FGp^`O(eim_gS};X7U;ZTGjEZ^#gpOl|K29{$lM3J>!RxqgBGBk;kSSH2!X%4=() zj1C|!CZ(e@rF`s#`_Lq7OoUNM&<@1MdHF+no*vTk^pN6j;UUGA)kpJ(6bG0IreDKD zibK+5kw@eqecBMOW^(N(qB`b;%p=PAG2&d*3kRUrV@(JuVPrTSA@Qog9|*a#5Ez3$ zvR)T~cZ8Uqw4lelHTR~3^A8002q8EGvJjfL{djJL@y+_MBj8*bo=`VCJm_&bhEMqA z)ZGrxcQ~Nh)furG1oHFKb~qufw~xoSyE-FQtJyq+6VkRH-vHne+c@if*JPg`0@?X_ zI~7Hq3=JZK-GZ~9u;>0VM zhy*Vs6b>RLBq}LXCgV&LyiIPvK!Fsgq>v$IqJ%)iic~3(=Xo#R`+nbAXRo!-zt8D^ zhBZ`k_WJj`zO~j~YrWU!eO}u_*E9e&(l#;BHpySy1-E;QcM-P-4wdTQSdm7>1&_8( z^6_>-92sM#sQ(v;Uz>BJNeMw2c11yO$Tfm|;9U?1Aoi=nnOS09ZX-y|;DT5N;|X}^ z<+gV*O(Vv!PE0=iz9tI$M>;Y2Ko-g6&ATRxucF@5H8CWmL;&LvvZtf}RxH+aPL+xc zXMXj#Llxn0m*P`Ccscd>T0ZH~>aGocG1|X#`1pDn@yh6o^jqFe_-M~^XfRQteciac zc*{#Kh18tty}MNJv|H4M;BztfJjLKA|CI-S?sZ|NHLhyY7(1|d!C=Ab!6>CRJ0VVs zMnI2kL0JM@3Bj>ZO3scpG$AR)eh4^0N2m*3-`$!QE^S~yx1_I!uZlGyV&$105hQf$no6UlZk)M$jL7A=U;!gzWr z!=u7gWG4pa4(UqkoDLNWH^mB`OD2pfSM2v1z-94CaL7c|v(Y3oo5C4QK!kU%c-jbO zko1^&qD%aOU+YFZ(e_A(k(^EG+n;&oJ1*Rrz{Z2pOCmUTgon~;6l-2Fp@b+wJ*gE;7`jcVIcoG-E5=n|tz|J2v%KQ+%US*hGKR z>%Zcq9|`6GO(=K_>)A}OZa(syKL%Gq&A#i;8_KEX!!dH8oNB&x+8r@wUXS#WdA3!J z@ylQFbMv)qo=Zm0t9^<4HbcY%$0zf+&7!*X;t_dJ>hzp=s+}(5Q7$-#;9T zWHtn8>s;_~Ky*n+&tVUSm}W&PFBdF;TL61xP+)Eck|5J1QJdcijFv;Ow4@uymLSt5 z%Ya0ZVkpu$NwTc!l9={^t|p!)Say4@!OW(+WFhPY2}LvJWHfN44ORQs5G=$O4Td*c zUEQ-+fR%r~vdGmoloou*jH>`ju;q|f+e{+v<1_SN_-eB8kE3zvgm@dU>N9WcY3)41 zJ(29XB!W~Tw<_+ z4Dv1HneB#;+@je9xE&YZ=YH*Xyyra;?kYmwT>x(Yp$SCB72P=)8by3-ZYrUXalz=$ zL2a__e4z_a1Ocql78!K$v@6mDaKY^kp|*g9SHuF>ho(9yf*{owOSsu}*rOJt3*dqf z!}Kng%*__isY-B?AryO|=BPm;6_ZmwxETx^)BB1d&DXM78N6ilyeGvCUI^d9yr{!P zMxwIxSs^Jkhn}LkCdZ3z)e5!KtF7|cN#>z7uc*~l$lD&35ucQ_f6JL6tn0b9EA@tS zzT8gx<9svJm8IVbd8$zEv_Cz@*nc3V4Ll}(D^S*-p0KsYc0@hSLF{z(c=jN6+AW$b z*z{X;N)Y?*r$6*3pNRg_>k_s;du4!9om$87^*GNn2#SP@)ssxk}{;%%dOiWRA;T(E?47`BL(r+USlu#MR(d_)^TYAat6 zeKxSdgc5o+?b50QL@0MjebeQBty&LD4bAmyX11zWN-4LvDf` zViPgAX_GH$2~I#6os!DPwc*!Ion-`h!YetMGTNT`5hc_MU8d@|Y0D4kxF7%9ANn(+ z;5rQ9M0fHOoO&58It`~T;JO|oGIgARa~|9J4ALP$yW~(EB995;QgYb=&#wMZK;b0V zodRg5jw^>Q!%9)?QC}=pq>gjJGOQGsdSK_M3`0;819t3~R=VB-T-ZoTskdAXn#a`* z-8KE*quNkaS0LJT`;6c^(~Y_4@aRk~Z4xg?l#GlbZBr$9FKg#Amk9)2LeTgH=v}c+3t@Kv0y@2?9WXi==1;;1S>uf!5{Df}+_+xH})=W`X7G zBb>)a`1sHK3qSh#QP0u2Q=WjdDOAE5Cx`^JQ_BdXn8jvXcs8^ROfRI-lF@$>re;C$ z`b}{1=)cW=O&%3Q@!OSTD)WjGoZE^ZxFcCNQkqNhdo!asgsHtVqgI#bLyuH8}T^#3(z(If4_9@qS)XJKsza zG^#{IBFb8=`fD=0@|&|+?icvYNry%fn`TYPJo$M#x&tQxP)3%VZ285qd$eE7y!-=N z333XQpZ!1m{sdbe$;tSnM;+pY(dB{Bun#XKjEA3s|BdyAK2a*ALfzW?Ul^hmH6fvW z$_$E?OPN7n@hgsPM7wtzyGze_bks9;uV5l0Rw((XAm=J%_4Cw| zS)cAeW-6F5Br1D?l|J2fn0+L=Z!t1T^{@bNfRdOGDD;x~ER`_RwInbLa+>?)T7UtS zWY(uUENYg76x8(vX$PsTxm#`k(4&q%=+Lcd&FcQZz(SI+{`IOgW2#%)8w71G^|5Nr ze22E0x2DCzHMQrNZ_yTK2f|F6yoJmgtk%r;$kNO>Puxod0Qv(rYD=hORZ}mL#mF?7 z4ZdA9e-0q+UmTv7EsL%UiWJxQ{#U>7EV5{S`zKrG$^h@>{I0fsfMNWfp2ZN8^^k3e zf8zm?mGQ>5urh7J7CL$);X2c3=GamSY$e0|#N(aRJ@mx^?;|?g;802#gjjcQZmw<+ zTTU|)y@J~uTi#u4Iqep;c6^R4&l6jI((8ZlPyV)uEfu)wzL3EMvS^5xUZ0Rck_{Ux{ z3N8gVk`GNw>w=qZwS{Ac*$tozQ%f5w($E$`98zkpfIXt$kFG-q($2bIAt>8mc!frc zAt=6(OL82fV0yMd0JcA*#Fj1zcL3-h>b!M_)2$CKzEF__L`Ndq4Sa~+(di4h>Xe86Wc6sAeS3nK*M*?rl9A2Kk=k4^gu|3td6<$tTzBNk> zFE4({Pro-&Gi!a-`7*593O9enb|1Jni;ZCMGFU_c7)O98trP?|U5^}6!b=w{GcLNW zH_*Mp(gZ}N18r1|K9O{ttr#;dHl0k)$>%7+iwTw)7eMD2pxdq!+FW8669hz>nL;RO z@JlHSFa#xZ@f8w@%nG!#$C{>O1Q8!6V1{_g^16%E3P|>ThS5dV`zD~>EN%qa-p#eCx@JB{6 zOKWgB`z8-#)iYGVkpZqdsmZ7};SY5v1R80=9=SA9x=$wl1@Z;4#lubb!})F#J^!OY z&1~=0sp@f#eC{yvQFSwNi&_&iM?U9?e17i#{mK9N9|x>o?R_uu(dkW7rtocPu-FjX z@5-$rpUG4B_A6!7;;j2NR>+Z0#8R78PcTP57N;;o;^2cirpS>GqC5+_5T&(;&XG^V zGfR>{)+<(#PmqF;e5;jY>Ze30haGG#<1?IP9nXv!-(ok_ur}Su@{cWsS`{E?}?If`?w(acb#n+VZX8 zU~KY9|JjfI!DXa-)JbS#lc1SL+a>b^+4-(wlfsR=(Sl7DtYQ=A#@#J26|Gq@$0k8} zyY17?=GbJv#uONdpHPHvN0H^&1mp)wGtW|ywkSo&kz-kwDDq%|DET?+{)aT#8;HwWfX0s;N>-(3CfKM(R*e_yy6?{ z&S`Pckna4a@Bj9Hf81e2BdGd;qhp(s!`M1w5A84l7En85wZn)sjAUWpFxGisg<-iX zwq2~X1(gnC>)urkBXCWcrC&K_%GN*vC_9WXF&ZN;+vI!gFmBk-j#Lq|P(8`)Fdnw3 z+>0bc>$9As5k-BdL-y!8jMRgAj=S7%<#U)-i{q=%h4N{37&-2;DLX%ceNxjrx*IFK zY0D2Oy>I_#pY+DpM}NvS4x>&@CIGZQUb%7@5hWtFgW!6JYF+JFW`}XV2JwXm;^l8A z;wB^W7<@2uoB+aX)4$na1hNT!B0MaNI<{8z@B=G{(dRJl0P|@*%j__MKm_$e$tS}R ztS;tMy~9zoNew*!{YJHEg|+Mq4ZP?_zOGiQI3piatdW?p>@XVDHrPG~bEJD<{@2Wc z8{-|=14M-n4nUUlt>wxJeFB+K+q;v~{TGE6VQ{Q*a;Y!&`;G<#&Nnzt>l=vy$m#jL zIP75(X=?Ue+l~v#^Z;J~!UnP&uGw|4q4krltX+0Rn+|g`#Gzj;F$ptEp7oORHBDHWbaJ_aYB2V1`j%JdGtOi%9F|=5Ew{s! z+wsSk?hJ*VesCH0n~vX%|J8BHasEJh;Wf$o%X<{{A?%RPzc)>}J8_ya&KI3_F}@ko zl&eN>j7P41f7y=T4?kEw9eupKMe4r(T4fX8VpKCmn}^8M5V#wN}r)<-6H6dBd(E~ygFTmDXV8W=AqWF{@kzn{4t(w zfMj$o;(oWYf^Cq-P%YaQrWmrFgiq0;vpL5h$fw5z0TQDArr-i$8lUtK`imiDlKlZ4JQ8%C^38SkamZtjP4v0o08SoociTu{O z<|eY=@^$n7ROn8M+5QB3QQNnXFPd3(PP2}JqX=cG-c!+GViPA0&1q?{1_ zqAOj}+K(WK4y@kM^W9;qtAglGr~EADTCHo9bqH7*rPPLZRfmrLTej==FXw9HXyLu}M9f&m93q8~zH8EI*X<{JmfM#J#If zrrMz5$RKN1LCnD^IMN@wlLSw0!;_qm^#DeH=)UXqeoLrpf*`J=RzY%fiS|ZlmAsKRA-TQuE)hcEa+UFGm5q zzNUnCay^_dRA0FIq$X({E9|DkZ_rBS+k;s}-t~!#t0YH*s8FaAyD44S#f}fHb@P(3 ztY>>gtEw9HhRUlOH%+?AwZF)qK4~XT@szx^5l;c?yuG`&$f>ILW-Wcp z3w`<5Jo|??p?bA$<*L`JSdVR_+Z~FM^uwyw>?`wQUCw+*Is3UO2)q2sQH>(;u=mluQ2naZqC&{c~lxCf!(?BWi!Wq7e$rnkTN#fZ)_4=CVdz)NoyCYVu*~ zVy+QgQ~LIdP&zL{36y2&Jx2l_%_%-$jg)1m#cXb%KkZ>3@hxA0a{X)n{&QdOSE5|6 zE_Ry-z`s(Hz|lkx8hxJY@&Fhh1%M+2@hVHJY!>A2T7uUO83j=!EZ{aPQhye~9SG-T zMd(?@PTE&c-8m1i-D4gW)yNjf?KT|Fc>wr~ScvC{YteLhteEovF(YK%iDJqZlk)(e zWL5w=y45TJA1hXQ01&>=ZAQ!v-mCT`MSuErZ{V@xC#Ph8?nspfIKT`@t{ygN+a^H7 zb@eqt^u_WB*W5~raK0cZM!O_X7bL%eE+YPfEU zm=6^i8qF!+TsmWkZJBA%y>7#x=y9edJlYO9?RZNiSHVc7k}Ye>2*^r}6h-w_RihQH zTJMmHqB@!~_9r*WDK+Z0C`}}3HFr#ku=)6W)5A?hofUP4bbR>9M~m80woUuj)3^2K zDPA`=Q9(YvW7!__Q?16_l6QA4dDCuDn>3nR^3Kze_n-dmFMr=S(ItA)U`~+z!t^i& z21mXqC*G{wAw-wbzMj)XIkR&!qDxxGykeDj%S4wNp~g8%2yf{pO!q?HoFuA_6J2f& zE6vc+mbX`(6K{wnNsUI*uftAbx;S*5ctf|KSlh#bGc)R|sSt2 zRvan_++D?rIh!^YGEVbL#MccouUvh_t`iA(lW5T1g;VYN?Pt?|>@)taAOB!v(-cMF z*)#_SZC6{o(|oxXeW(-lsS6$uW+2|cj3sDbjos`k#BS85MNpLh1lL-h$iSqGvI4FP zDvH`->&4z!k^0nEL@x+p44xy1gInAd^{ERM&@UKwt-mBzq>oqx_cHzx?Ij3GR6~a# z^{ES%ipOTjz>Hcl1Vx{^BpgCiIuK<+MH>`7NwSbpB=J*9j?UIwVx$5ofqqm~qqgGL6{nRReR8kijESRXwBSSLf>A!(O2_`RR zSgwX}DP%)PR-sgTEG7tfDp)QA+8wHpsR{WAlfKiCPgq-0$cB)wg5?5dT%SL;lP<3# zK>c|_wId)z%KD>cVB1gpk01U;uNwoM7Qj6jB<55H9xjl4N?bbR-LC5jLp|fg7VV+EY z==3?PZ5VI}R;pb&_E7uXlcKo3>RPpX|2o`UQG{UxM%5eL$)dVcHAOK)w@>j^O}RN8 z?vN@Q@>h8l`M;aW#C}{Y^;!?8uo*i$V`poCP9K=b8p-9eeAxt=DHzRC469JS!}~rL z`#wi}XW94d&%E;;SoxV3B{hMq2k&^{Z7=J%^iNY(=Ci)Reklj8{QIr_B)!XPrjI0mOI}645q?uCh+YIQhkU@~qP_ zN`9JBznG(p{5k7n=Ll1}aIRcigCH(u(|t*V9L#vZHML%p{6kh*r{jeDRn{q>Sp$Up zIVZ?y&$3Pfg#7vT93kW%HTfE4oG(9hLz}OsVdW>9Yx@U}kQ~n-HeHVa>{s>@_&F^8 z(5J==aP{kdQDUDFUuQ&o*$6g&x9+3Rc8(EjwqBT)K?BsoGu)8@ntcS);;8N(xkYnj zNbfP-AV`q* z#(UP`Htso@37GdpinLiVhueF^_fqxQZ_wt_2Fig%!&r2rD4BTN*a$ZJ3Z_4Y+aQxursl(9C8Kz9Hlhl*(IKn2n*HG*bK)w= zoJqykq=AzV-k?lzaKY91X#!==n}hVh7O!lg1*Btc=MSHjU zQqk~no|An9wYxXZdKSBWnG>F2(g*u(^`HQ)*oVwEImP}@kqK|Xe1s#(&e=yeqmLki zShqGiXLAoOLwc_PffUU6sjO`F28@`W*+ZCae&#H~H4jri(L=9BvO9df_z~8kL>O;> z{xT9f~^>hwFBg)l0`5bzV+|fpbvdIpI&5p$XEAH>g@H;zt)!-Oa>J~M(>S{=wSRbS zFyM9}{~N|NKKXb4H@+GAM5*KH`l>TBLK z-pe<9Bg=k)1Pbsk6K@ssv&W9)zL-9KC)UJU#=9v~F!VIOcy(!W$oto51scJ0Hj*!Q&4Jg8U)w{7gerzrqoGF38(Wyb z*M@ITMmE@br|OkC<#%^r^l7)K)y*>){XAgw_doW*?YMm_^I>4$v^M82s+I+93%QS# zLa4zRi!sx+*{bXtEV7`ow~K=ftd144eFKFqonO0{(%Ix_TkjD*0uJ{Q&+QnQ}aCAw?LM>aQVW^FI+zV zM&MTj$RhX^Af*>T)CTNt37~bY{ zlo+Q-E|<#cik`JoTbtF+B??wGZ}rR`Mr8W$bqXqMntbxW(f{f$!<8UCE&@sW5Qer{ zba3S%89mz3w&mR3Gy_N<1pui%!Xs*WF8xeb(`PUJOuI$1C7phYPO+rl^hba9Pkrud zMlzvbmQpU2&BnW~A_~iy&`6mMOpwZv;tm7t1RSzKi$xn!=14JetB5JvBy5fp_ZU+r z)*c)rGOsQIz-BNMjI)44w(Gt1V8_MKlG+vwB?w)`h)dI19rmWQ7C7+{otogP&DM$~ z^(D!p4tNIXt;o|-FDa!Tmo)x(NP@T8oUmdni%- zllPd!Fb`S5&-SKR(KeVaK{aZ8>G{U66%uO_{InuF8=WivsUevj@QX5k9vKtqWUnOC z6OK_Y=Fjq-(JslfW=e)!*p0@~JG3;mM^DfA1=@_rvI#o60rI$0pQGRVY_j>%ADe&Ttq#7hs|GVR5|h?$J2 z#-_b47%o#j^806taj>tyIQ)3?7YBQ{FAo370oFq=4oBhK5M+I13XXz$q5wex zoT>oP66SE~3fhcI#R5QL;t`|b0)eXCQnsT;(P8RJF4$mf4DM~-zp2<@hg{?6Fm(kT zdgb_>DpOT#AW$El+v)h|)d$)x_Ftbi1x7GYX*o<{$?djlTuwz8q|;ZTfV5s+taHT& zLt@s@74iE)pgMoqjsvdO7wgQhv|e7Ufg?=&Qx&F)5q8MezusP~H|hG<-Nm|MSb{*k zKeyBIalZNfuQwO#s^Yb#GR(*4cI@BP1uT8MykvF1o>lY2219?nw1!X}5} zctHzGt)ah5L5quRa)s;u(Sucm?=tVEq~g4pO54qf=_!H^7P>}m^6K=pr>vgkSXF=F zpM1~TzA~!niu(4dx?#Y+@Vz#CJcu@bV4PUSCmK21jBmcAyT^(hn8o{1oxN;tr+JuN*~QR^11PIEY@?s z+=@St4^3av1ry}wV1_OZt(9jxHYfwNh5~%Gd2>lpG@xHMMy9GWf}Y~`a>SSPqnld} zWw^w7HrFE<5toGW0!IZM9QdcbHRb(pe@rl68{BI zP-zdHXTC%BaNs_b;9RzD~kJTz}U)(5Ip;^fZlN+cxt2yc6fTx~B2JK>32c zA?tTC@KyGyM+ec4r30>@GRR|N=>%3B2ALFsl|d1Un+!^0fz@M4`-_2322m*b^8S3B zl0m}xW`hbN0S71T4-cg>XtEvqi&LF8#eBO#d*X3*hxB#Cq&EDz0CBp>YBurH;-wA? zRvC@DG8ATJa*Izd(KcauS{YZ5VU`AwWNng2jM5jr{jYr>N(G7;^HPB!$e?i87>f%> z%nxQzx@YzkY>gNt`MH*?SCjn)f(V#efT?Ib7xaFbUDsJ+KUNf_=Yp_;VnV<$+Czhi z&ND&Il7=8yWjCEr!sT-mm1lw_q6G7;@Lgv?(Rd~Zj##5Cg*MkJMVzB3JYNx!l$HuG zxK!iG5LBb&OX@%bR3A2n%~5PCB059CqNt$lL1|5Sg8k@ghP$|M5DGP*-jmg>s;dUU zrn+=xsSFRfPAWwl)vkKbZImGNfM=}rds@Q&;@2xf3xEd8szqB`lWOUq%Np_?qT8xy zY$=aWL=&=|ln>tV9NYkH24$WOD7k{H3#r@E{un8mgA-WZ5#`TkCGC&%-KOAz#-s}F zBwLV=Uk7)R#);+h=W$&sq}zB9<;j%xl=LdYaETo%slx}GMT!EJX3 zZZkzSa*JAPG=tmD18)1+SAXbVeD^3bpwC}DEH)$ktQ*u7m_O>4GVCY%AnSfsFp`oU zl+1w2qLBru21*a66H*^R2a^`v1q&e+nl^iFowVpKXuKTASsj+s4)%Wgyr_{|U}ZHY1CV!xo=!VJpiYd|$$$=()tvo6vXlO4R4O4* z@6YXs{yS8bbET4%kH04+0miJ!d?u#N>j!_B9 zh4cwJ%MVf3&)NCY%enE(mIa4?T6%FtugM=)Z&B>)mvG&##*3l5^2+Xgq~8^~vG4th zfA(K|S5zF-ANDf1dB=>Tf*nge2K&k?4lalpz%273_D6Gqx+@__ZRLXK5I}jA>aGJw zstJH|{0q)2oqjgNWkvnvD_S*}WYm2stDK)Wpj0hL$-|z5r3KvrpJeg$0vD6>6Wi@t z>$b?h+8pAzm?}R3Y7D_<9l*P#)qxPK@)MZY$>u~-c+m@DNR%XVeq!HYO0yJ=0hsJ% z9ddr6jN8NHf`(H3L^sKuq~a#4mpxo#knfIAVQ~@N|IrxkV(9?<##(Lgzi8~9s}5(Z zIv_2OUUXRYdJt%{iHgOICc)TSb81>(t~=aa-C^1-YEvt7-QhfShfjRpt3LOGVb>KL z>~`It!jI7a0%MP{-Ds+B!Ou)jxnM!9jWyNVj3d-Akr+@f zv^Ew_y%P*VJj^nVrqYDOh81au^-41ogiB10hC z5h3@=3&=QB&~SlDHyQF~PxWltAKft;({9mh+Ha=|@@@adyZ+uU3)8MS@m}Rg?F_Jgz!tP{xmyv7=YP%G1II#e3df`2>7L!0t%W$$}E=47pCE zU63wlQwb@E;zc7^Ri4-;sVgEFt^{uw3#*OF<$`k-3s@>JE7;c2&j`5lP96HVEC?3~&Z?ZIN4*UZnlDvs!heYg3ksLF_`NBcM4~8vn0@ z+hKOBjXYTNSERDD^l@Q9;>cn^n84~>W$8RH}AY|W%2G{^t6Z6GeDPG_@4 zOG=Mmyjk(0V6qDNvc1;oIMDsrCSPk7-IyAgID7t&@kX5d%*xut$9Ne z&cH8%!pQ{EX_wn=QSw;Y|3=6<^l3PZML|H*+H*Sl^=Adq&*^M!;4S5BtD%8whb33`yMTzUSGPNGEv~{%s-~CX1ktTFCq`TY<{~#A3pGw-}ghmaI{;yjE>v` z-VM`{`<5lvwT4sVcOB*E3k-w^Bfv+neUJGBJgL&ugP+HwPwCSD2d?Nk)LyE z{7C8A^BpSKOiT;dk1SEs6hhe5B5+yoNyQQXWROQzC+| zd2H&>Q}Pll3uK;;3L*EA*d=}Vw|@OU|LN$d(rk3EwyB&CQrk4mWNB-|EZG){pj$%k zA$UF-IGL5lLt}J?D26yRb&Vf-yV@&<1nR36l7(QdZEp4}GD@LZx(01Xvtq7o9wnB` zwapEnmJ(m0^xI>es%o16cB9n{;ot_NfYf5eNmev)7-ytG9suY)R=cXUNoPuwEEYFX zinJz;LHUV*W`}_xr@F%0qqb>1RW6AuLJeEavp)evN>wfi>J{V4TcMe)`8B1A<&vOh zH~PJmlV{jh_eNDb12t9TMxX%=uiN%_puVc#*UjAeZ$0u1j~4x}ilO@|;?_$buVl+* z{IAOTRASpQS=qW8((XgHApVVOqrB?c-2Udcv`OAVEget3vK*Q5)NIbK@YFqKjT%pl z>Saw-0W(r%t4GS4fQQ2hB&`f9Q{kxvKc2NR-|eJ^rI|eK53Ey#rye2d=qIle>KSoq zdhd~5#;;p*JLOFjo^NCV>|q}XdYGDi%S$hX)C3E|1gO8{v11iXIw-i!l@hl48^8MM zzyAfVE=-{6p}7fgH`da3LZQ?nWy&6Bfw!P*R;I;e?{GLC7#SrW@c^bHwQhdpZu*>b zr14~~YCd%KHsOfV1kl$->ag-Q6}IYE4GwQs)x;_8Iqx|h*g2CpN)$c8DjwJ$8)IL^ z14rlBSMdNcp^O|DwygWyQTgudu&6MB0_Ad?z3KQM`y3AdvCFgBn?Nd(GSw|Gu)~qqRS& z>dz5|{fk3vvJujiLFwp5#sBITZiuoq@>aSb#EAXvf>&e#TXZaaAr}NyG|e&*yh~xv%8?mutqUHV$W@2Kh(*OL z4uFTNa5#a7EkhU=liXqqQy~f&h8D!+#*G<*IUF{sGzwyfR~r(N^r>788u_IQo*mC^ za9@SP8M# zj?8f;OLaj;eWK!k^qZgD1@gbe6|xU6B{;I4(W&?^-3!sVeF)T8xIIIkW>-iXkRv*0 zJ9qB3MgVaPBT<=!m#b7a_z2=A2hj*NQ9wulZ^-)<}rOvha$RB zR$MWAk@M+FpjuX3vp1Mt!4idvMle?rFc^eUDUn}W8_Z;D0B4XZJv*3q7*ZBIcD=zC zxsI@3fhJJ}7eWr2OG3Gfpw$Deh~aOVHi$##DuTfWSZ_crrMZ}@(u3YEx&_2Z0f630 zX5^POaC1$ZZ!5`4tpAj$*0MDol{ighk+WJ0N@WU;1C+f7j;oMgyY&HCEL{5TfREMD zNBsgd=qF*XvfV!72I$ID+n@8I*Es~b;zKt*AF0Z>Em=~fPtYkz>*ON0lhH7O@D$B*fdQj{u!&rH$L}0~2oN8a;=MnYmlHmWQT7x92((Tnqa=A!i zhLeV6z%Wt$=7UQJ<&sFhv(Ua_2-W*3Mg6)Y2&6#QDnAj~JoB2TDb=FQRDD^9l>n`( zLycG}#%!X#Ty~8xr)X9C5IIj>W|(n$igukMqsOQxX}dag2&?~{IV4{HXmCi_6Mb9i zblUPm>h#b4$k%=2dp@S%mUN+3o$f&=BWO>2eO#ToONoXCA*jhppjM~CWHYW#_kiS{nsW_1eMe3IxuQ>_%inGc=SDFL3EkwU{`t9P?H1-CyBP2C5duF^hFJ(<<12ILgn zuEaIZhpyD=9{Tib3QZNkQZ$r)UZu}9W;2wRd}{Sf(OdGZZB_V7gUHBNS6dxe)+{=> z@{o+KKUsqb2SE5oaJw;+C;4~kv8NvY)`P@zU%@05PF3xY9YP?ZDi#_zlqWUyD~>ds z@ToI=aK3p!DzTEMu<(enO%9=?jKd+6)`Zh}@29DlUTtTpLpW{uAr1B|e`EV8zw+aX z2Gh1UkH@V^4psC8lFIPPkcBo<5&Oy0i%#bJH(1~ z2wkx7pffU5Cnf}`!CWxrlwbmMD@YCIf_n~u)Mw@50^0!LqBfM>gAzCAHcWr>TcBu{f4RpU*eAydhWR-~F$WtV}9p<}O3 zom$fB?IGje27Ulza_R4(lolfd^C>~EK`}ATXWiQdX zbFxg(oz%DfcAV;5&Sm$zE4!Nl8@WZTd7I1b=PA4Y)nET9f8we0xd@%lJVWxj>^kg-9vNNH6 zKotIy7tl{f$(PxXhrv$!o$5WPgK{duFP{cKH>c8UHvWVqrc#Ro64LV&m?|6{>DrTe zNAf55_9h5`424PlM0&ZU2@f59?oY5MFKy251-++_3Pix{Uc8csfWP!rzv0C%jvT7O zGdzdt(7N3o4TiMH;6KH<57sj5E6YH*AbRu}-6J)+-S&u5X}?@>*8!$4)saKjO!lr( zeV9*C~V$k)^6~SYoad=#eC@2%ewOCdb z1KDZ_-ek)u7N%Gpeo$L_rfg>z)XUAWhQP+qm9ydr`F}$)@o$tm9&69+r{`urJw4HB z5AsN;cH>0nwB_4Kkd^Ph`*;50Cx0+1->UPkO>~Y^+&62z%1V_b+$pBD$!}29F**Yyep!ECW-;L+U7DXFpjESvyNh9b_6rG z8)FJcN6%4AX%yQm6_}8JBf*Q>j?nTQQQ$aFOl)oQ%Tg0@Nho(UL5CG@DQ%S>eX`bW z;~m`&rjepQ<%5PMId^LRaunsPGDGktJ=$>3w<~M^8wxr;`FH*|z8U&NsifH3YCMvt zO-X5{8hIG1k^8KOp)2pBXLj>n|N77R*54NiDs9qn)ov|28rVuSnJIf??S=RP8Q^ zOCX68554Z4BUQT#27wev{#CWdid5|`2y@1Q9B83{1nrS1RUNbF8GC}1>CqW^+b|~yE2WK~H=DPzVqIKbd@uPR1`Sxsqxxy83O?de2n$`UQ zGqKt~w4%0mTvWTCCkki=slwCLyY_sC%CtfJ2xcn%haWWpREVmABjKgnVE}YHx?Oa; zhArs7<>HzBOTWWKznG@+RrXf6JzCwL3TZQmAm54v+(0_22Ig`*WxDuw$0lrMPJCe# zZprC3z@Fh#-TS#%c6Y_HX}72ik>+CAd5UGP{cUggCtnuq9U8B;*(|1a6cN~AzyM5B zPASpaS(u9+)iv4kk@nhxexeb~*(~t&cQBw7Tw1(kYru1$tt%^HM4;F@pr(auBOf|v zvyA=9Eo^QFd`-zwkt}Q z>5>5G0GT7XIhgL|B*hmgl5m)G&CO1FX5zX>?XeiVs|QzZjUQZ=Pfh}P_2ANN^8+V> z#J_2hO@bbeh4{CLf_(i_k$P~oX;9Hv%W={Cn3*T?!UK!<4t||XoD?NeBdQx=|I13C zGz95=z1VMvmnY5~o?)B!RCu9DTF(@-xE=i0`kB&(AeRI|rvg`ZEA%TjlIelI0FX@i z@sLR9y&{TL^feC~lC7|1phKT_vu1jvFVOpiE+k6Ilh{b6XZm8dKzWD{AjoD7@McdO zf2H(LUlghr%-8@4X}zXeXFE^y44_#+5dLUUZzWUX^#w>wIaL~odW#_ zUzUd&|7MRe{;hoShZ_HO!b6R<{GsN@=Ap(~{!sJdojlZUxZcH7y0}1|Y6o!kxJ2V7 z=#t=#(hlf_I)xE*C4qR_9}J~c1{VU*is^v0PuDHUagz22=Sc;1g+R5x01!d%zHEn2 z*a<tEx1 zx9Rxn<;A+fRzslPpWAVK{9t)g`D+W*xRYP2Y~tHBD@Je~p5ENMk8juL0kX{}9$zQh zeeXWDittu9U6`Bl9G8{X)q$$I_M4u6?z!tI#hJq|CZP!W{0L`z#U03UlUJvII%V}N z$29*>z4PP0`ay7nXyVm#L7t&K3N7M2a=}Q-L#wO*<*8ilFLuGB&?5f6E_f6xoYVYA zp~5s)zM^&R4x@Vc!noj3ybv{u3u082cETZ#-G>YDopQ+|aG_BHRQwQif85gaJ2HA6 zj3yv*UZW?%#Z|0Wn99EBF^9lB;ReMgu9p9cZ-zcm@_0Ub?#Vb~PX?&7sg~`L1ZoGr zE*i;2GeX(H0R=JG>6s2&FO<+AnRTy_IcGZVF4HmX7R{NC+nLSy>Hpm){iz=dORGKU zZfUJU9aWbDOUtCwSXm9)zCwH?-jUD#QOGUaF$^=(%z6llzhZ(h!)b)G-MF(5YyuP1 zt|;D;t(Yb*A(}%Jl?gf|Ec8QfG53&RAut-XA6c?-jt-FNlB zb1(UMd&yz-C2Y|L6jmQ?rrTuo5lY`7amQ@+@6PI*CLM9iW~+ZYR{ytt(eHTYGOWJ3 zU~cuzA$x2Kpcb;C?CxDITJ%?ruTvlnfG_MyK&9&VdV~6h7_~htW!t)1#n;H~DvS;o zvG&k8z6O|Aiwpq28NH>QK0K2gU+?96SV_P*gqtd#V~(%)-39Dj|3;}}C5QWP zah3@3O0Ey?$+F7TX-OiIi{u@scmNQpRoO^E_od{jY)5jg*J%Udgeti!oh5mHJ~V0n z2C%?R;3~PR)q!-vb#j*=x13E~qWh#uZfeaS_LuE+eCB@BrZ|2j=Z9YltO$`l?1j+f z+v(hw)55ViVxF#;=c&inSo!{7YTEnfo;_%~+4_=-?=Ae6m(M=)qSW|bG49L1@_Rn} zcYVc4Q;*y5Z8%~E7Mr#+$9cmM^SP!TmmyjhzbiP!eCQl8$CNY7;ZO*A1j0FDjwxr| zPP$3+iaBCVQ_c{JHdkFm%rWI`xfD+zFIh*-i#0L&jO#ZxKr+r!?TSat%Et{8j~OX# zPN9mJaTT1-3=@S8;x?&Jb6!-DZHKqo*L}v0&Z=A-RG%lVnb5#6&X8@a&yu zzC$sQ3_#M}c~-XwZ3%*>1d_J@%UAaZ6H=tL3f8)rx%?3R4P68c*8}w^4urZR=R?1T zR}!Dh<+&C)tn2K-k~8{QEE9CJb=tV0#X2a415!Zrjm0(gqIwbMU2pmIWy($ zFA%XQ+(#ZG@o#7m`INsJ`1-6edg8;(a;Ae2Meu)5FObii+bvIt=fpQj@t8n!A|4Zk zetMPCp4$;6(2KdI>X>QE59ydc@q@qO-M=Gxa<0h}P3Dy=?UrMtF{RydfPgONiAopc z5CL7z6CFi%P{)*{S0;^V(?2;+gw`H%{lbadgAnCNo+z3V(Soj3mq<~`^W?{l=ENoN zzs-{3>$+q#CoWl|sxxll>$)TeiIu<;&_ZjuPe;#Lygm9H#WOs>f$bfgCcxJ;Zxff^ zt&Fufty$flLK=hS)jBgc*@rxtvoTXsyeN62!P5Cf8{HOxH=LXcm+p#sm-h1@bODM-;5I1{Nzy5aWV? zZ|XVXqP2m*1t;512`toD1u|2&E&Y=C|9er0{6qY|S2~C}VjAZl<`D>D^tl~Tq`e?! zwsm)B>r8))+@jgmO|R{pGBoWAzvtV(@Xtputx10eU04Z#CJsR&p_bqzYO4dea8&3h zP?+e=LK`j|jX*8Idyd)x4-IZtrT}xPCD$d&M6d&w!LG9z_&_7;fU0 zL<^ks=p~d&)3c{Njo5=Q8aU&F;$dTXc%M@_j%0u2+9^P%SDUiMuOCPXaGx36Law20%N3E08Q1pq4Bx zX=v_(;DBJ+5Q3OJ)e6!cx?u5ZHXB&kW<{zk7X&ht&`%Wk)<{S@0@X_@NiC%G1Crket6$yLaQzGGqk@?2Yp)qkJ! z|FD_&9*192HMYxkGQ*8m0!w?hUWs6BYp>+or17Ww4fe{M>NiZS)lOT!wRyyY{NWG% z@Bhyz12?8!laYlm4|48gt`Y0x6Tgc395ETu?FdLMpBa=W62F+>4uCUJ2;0M|N8Tgh ziwOd|0bNO&lg-8uCb6PKFD3{)2+t9MVE?9y36dYh^xJNT0ar}-Lh!I!X%#e96pzy{ zMxgu<+yjbIuQ;lTRAX4<8{?ItdgL49QPIzJ(_3Ut%P!pC|I=NZtk1S?ZQfv<43D2c z`U8c=Ih*>*XH)G#zAZH~ZTTTJ^Te-t{5Spba0_)Iuh`hKcp*W8YHDVL8V%r55gemN z1BnuRGbpa@61a<6DP%PRunQe22o5lwWi@kD!%2?B6&ECqx6!SU zF*UC_SsSXlassu+Inyr#->cgvDaTM(@ky4sx)T&ei`7lJ9htluP6-==s#Hs_A*rFg zX3JBR8$q(C^1@_0d0|LFWO^i^8cymUVlF7>X2=^|wOmQlP|oczF4_>=$Y$9~|w(OIeI*{a9wg2T3`qc$LvtKPuc z3)?CM0o}F5(K#puWVS^^WUD8#dW^{`rTiSKC#%Q(dO-&ep({dsty70!R*xIZV8O*e zneDeW`8fo$7Y2q&YGw%T0J>z>b`wDW+{e#^Um%QyT?*lJ>Yxqf@${oTD*ch?uItJ2<{g&dT`ecdt=qLuMoM=hP&Va?7Lb9J^+8vTnufv z3&K<5$O@1iSiLx3HEnniJgmrhO~FNvm_cl~OYYWaKcytn{Ts!(*;hEp3iW=#^f>8A z1VUZ+_u|&|9hR)_wV_4NGAS`5-Gvtv6KHr z&(Se9AK;}^gq7?yrSFoJ)16*(q$_OoNFV{UmDw85uh5!Jsr*<<|q#xjd7EYrAj27Wu}?{W3Q!^$Unzcu@dZ>45BJFlOna7R z67U8NmedAix(DJ$V7nlxRlV zARF!}_}?)5L!T&>V&nl3#+*k#e;)mGGd(R4+l!GWq~d8eCZ^(B6C>*%#05!(Zr!WUEsY>!6Bh)M7HBY*+ZKo=8bQV;MG(bM9GZfq3%FbiV-pudn+%EI zpm$cDsI#wd)r?JCuyA7lNxW;%QPiVL?ho6wBvOHLHf{`G^5Ik&^?>LHei+hU`ft|< z9%a9)jq0fDv<(IQznjYF$<)+Bp4J;sVe^2L(;^kIo+;9hhO&q>1de;U3bO}wjx-{i zc+?_|X}72~19Q3WJmtPW{`K$tbw3nDdYW_fG@oT)k&BZ?NhA)I&c)~y-%01TaQfFc~=c+UzXk#$_gJ{YU0-y1Du*cR#yGL#9pf*tfnvtp&= zz~&Wjp!&Y{^TT!am_40F)NL_J@xA z(U_j$@W1;AA5hphJwUMaMzl&V$1&pp?+y<*?H09)e-04N6CixepZoD2{`xSU8m+at zHYhn_?KIb>2z*ZwHMusx0K|BLKzk(DW=z|_^rNGm#xqvTxwbM*VT+!4Z6^r9oNL1j zMMBtcj=P;1&k)SHw!@m8K@`L(YHSjMIoAfXAf_oX*S7AuHiedRZD7_Rn;iugz)jW{ zQ{~!ljx^lE2|>XD*r}itvG&yAMkW;GA4rlZF3Ay13V=9Y{!Y#L(lB~M7F}CJ%!;sp6B!YFW`D}DdJHmMcA`Eo9Q`^N7w7+7cQTF6;_ z%sGF|XxUem$8$mQAE+RVhXU6^P%uC?O{fsopdUb5@A8T?)4n3wZaKb!hemsJb7-1r z7X(j z2~IkCzC~yRwc*TQwdh?DT`B+NF%grUCmkswje^J59L+%M3E9f2* zofs7!%BBt_K2_Qpx@{xpUWb7eDrjSwY$NZryG+>3%bme9;`qF>1if$#=zq~w*8mRZ zu}rr(mZ`&!opKn+%1YEBQ!SR$r&ihvFgr(&u5&c)7R@<~+ksO)@r`eM;{OPueZ@6- z4#Tm1=-@xVvfzDOta{)_K_fWX2zY;C(#hQ5B=)uj{0XtX&Nb4(K+{%j0oBwTMhJ>? zWP)bUnIG8pm=47Dx**7-6y3A#jJiKo6w~X6-lNY#G7eiM!Oh$NVtGvv*Fu^+92!2~ z4f#+?4X=C<%XBVwC|Olk+!!msAQ4Jfx%f0CX;4cauS3r4P#>}EP;<)u?o#%qltw&~ z+1%ZZx%K&r z=6Nx97-82OI;ZSWOE4OqVnE~2RmvWz5)$Xaf`SX7tyEMg``xBv#)6V0RjYr=I%U7# zDbuEv-ehqqpa3tiD{`;wv1iII45WUIDm&1jR7R4|p0$#t`lUqOa zhCWOpfEX(zKTpRe*h?~ENqhK&si3N9%eRJ_psKF>13&ccs0(Y6-V@GNvd=s*d&%o% zf{_YTwFN6AO~TMDQyLjx5j9-)H$ZTUsq~^9>?@cpDlr#KJTatXuhE;-VJ--5gA_N+ z7Vx0L3Y-z9RADX%SeQYe79d<}4owZ_g1cq65M2k@SC3YO3d{vJ%OysLLe(91d$6?A zAlO&97%?(Matz-hmpn-gYP7+Eky~3W>Ot?RWuWV-J;ga%n+{gK)C+GfAidDKNvIBKnjz}p7>{u-mGm=Hr0vyt#8w_sV>bR zJHVBXnd+m4wKCPm3~M&kM~z@M)kkcgwo4WRe85CfHPwsbraF2&7+F_84kEJzMHu``=?0RF63Xnhu*7pL|9C8{Z6lqEz3O!W${Jbnfu@sO|8W zE$wV+pMU$a1$y<-i^BSvKlQJD*;kHDIfd0krA?qvD*+;BsErgF4U=QBE(q)wYa>l_ ztcw*IO>n>28iT>lt0||}4n@Qi0O+u^`G>4fSX&^!q?MD*3@z|YGOzZ-K6V>ZPLEF{ zl|r!H$RiU;n<=Nr0_Z5R{Q~*Y_S)$S`4#N}loM(>r>Ku#Y1AK4m%!%#=!T8Epg~t> zB1T<^;a90sAyc~7CPMuoCqjj$Iw&eVG~rafxdc?oKIAk)9CzGhnJ1m{l}i~?q)hSL z1$&-sr-m-(zG73m!MwlgJ}0alZjd3J2CeC3NN1JpmH$L!3|MBOg zp;cmQJX7yhA|bv(d(haE?34uJd*8_Q?fp=-iQM8^3a>no{b)?Hvz?jhHrcR z5W{~z#{}fwE?azdW%2^Z4J>tYF`}c~!@`+K?jO_7k+QEn2x==oG!`VIJ6w%!DSguU zsw-%C!LRR84(~yk1DlV!d4XInF)+P3c;%E7$TiUTy;1TNG&~^JOU$sT)*LnVVbUj# zH0KWfpPJI?`G@coF2UU-TfUV4)g@2GL5Be!`oy)5;-3MEUxElQnznbG0F`W<&YBM! zhmlekX_aDilaUoldRS~w;JZGT zir!X^Ge*+2TVk|CEtqquhy99WPYABjINJ#3vL_-zEu@mFM{yQ*RwyY}xm2A}$YvMf zd+I~yTxwKYQ73Fy%(+yX_sl}-#!N^YI+s0*GTkiKHtsnD>$2ybvzu9>1Yo8;Nr~{{ zCqF1C_pst8rOgEN7QJNP7OfV#KWmvE^z9wdHYm4mx#DMJ$ zmp8;EH{>ajE9y}Et&TpbphY2GMgNL!kIWzJsn$j<>LQO0N+nLubhYP*aToBvr*r*P zbaPU@qKcF1f-bG&k*2wY+6k`|Cg|chn1@2c^6YM)tL@P~wf;I)S5I4hNLPRLSAY5b zwV|sOlT`Z1u_zx=k25^w{P2`%(+KacWVEZZ6HQQcbY=Y&ye&;?ug=KwLz?tA ze&*Hx`m=!`(BS~?_4;IzTNnnjSg~Jy^%&I}^=(w_j>D+`cle zw+MP1zXg#c6=YtKn$!i4PK}`^ErQ3U#!!>GAbP=wfQC1TW4DJEP3n^9hDLe=Iu{%- zK-AYKDPFHj9-TxZn$#takD{TSbIIeQXlUqM5~Y;$kD^h3)EH&x0*XVZ|Jqg+!dFZ} zaAnP>jcS6b^6vF=ENe5kSiUo6xu>N(AkiME(1PgL#`> zdg5Hj5Q}_0QcEb382f-VDTxMwcFlZy%5ZzI;z-i5TC=)8-I@tKIzhlmwrl1agkO=V zQ5+q=Lho0~cL>dfOsV18SIidHPm!d6{EC2-P?{MG8(y@}8xr~PD{*EBj*j!(9gJos zet9We`dJnr$mb#=77STziCs?1SFfzO37I#~Yj=o2b5@*TLu$L~AIxf>2 z+f=?YzbSiJ>Kq*(y~`u!|8zCy4iC@l@@V(I?&Z~q{*CpfT;SEN*K*f5gcR+g zPE!UEwG0ffiwxpQ$`v^wDEXRH{E!@3+5y#uNA!CzIDKD*dr!|>%(ngl{Kaese zoiGHdl&5S*d8WhpZqxC>K!Zm&?=LB@xM1v1VK(^M$8rdBaWt>?Jn?v!w8Fjn*h2Bg zgWz@(`-124^wN;MB&Ygl6Jl^W4X!6gmT&zFmWJN`F~90>eIRV3M%!(=aWc!u7Q?%t zeVIi>JO~|-6L0*PEt+>ya0sI6)Fubz{#Y^%X-m!!f*>jnTFCKanwExq#Yi^}5S&!$ z#x+uXTyYDF-yXV3Hy&d`$>~P?JlFG z9DEQB9l!ag-c?O`VsS>5-g(oFp&wmyUJh&3th4#KQJ&4_urAFA{yE+F^CR6jN4s|y z?V6%G(HhOs?s=l!!!P=nPaYk^iozI(J!w|Eh4hby(a>nu%_?cbRB)_-sO~>aKZ8~xiQ?q~zQ(*^T*{&%dBARMe9JJ^F?Fk8KzL?sggVRicuER(HaoI7(X900_|PwoPT;K6IBjC}%tXCB*IX-yyZ5}5l10&Jatz=?y zP9mka67*7@KO4xSP(6AYCDLarXk5JfdBvP-itHJfA6vnkYKr99W{-kny<$!VB95@! z8GsAzidCWs*NPAdX|Byu#yI42tdoI0;11b12?#4m;w${R4Ty)Z41+A{^bta%oD4if zI<7OYvMoXalIrf1bX@N?jw;d;T&OZj9Ym4lnLw|k!BU18+rM~%=u{BflmKXoOhiiK zLDCE_eiY!v_K=Ub)jgFuM?QBK`AoY-ty!8QpYudMf9U%*pZ47Wom32_n|8-CTMdo; z{(br?8V?sdtT3EO(Ce_=3iz|HU}k9Ai(nxkS%cG0-1QKoX?Hc?h!lb} z?JkJ&jm`_$18Ud`(zLr^3}HNAf^2hWnsygFfF@dTJPS-&6dxcCP1EjzD8*sMD=R|? z{M7RaK{4$viNJ?koP@dSP=ksGjHcZsw+k{!izN9-q?phV7QLXsrfGLc%%)NrMO3;g7rIviJ)28Gt zqDY0BTX{{ubBSMfA4RHWligVGvp1)XWU#mJR0m^f%rRnb#VX2l*_7?k;~si+itQNkc$XwR`x?52bd zqGRi0SN%&x2?N2>^lgnQOlpv#|H=mqj)I**x9eJy{@Y+km31jUtLUj!{ZMo9sa-j~ z8Tv%&;~kqF2f;>3Tst_EwvbM(#7Y45fR@g*1E{BVS-ptHJBYP+}UT=0O|Gs4QhLn2afBq2!48-jZ)(=1mqZZNah)AANU zOb^x-G5xJQG%c?SqThgS1d2%*sLQl!UQsNsOYU(w5`1mpy45E+YEQ+~q0d&#QP{pd z3*(SUZERR;?C#c#>d|V-_alME%)xkCKd=Pc$&&H~O_o%c8BVvP_6U!t={Y$$oh|3E zxcB1ao8R!(i|3ws@j7MB0k8L_#$VHJ(QHYl-=gKDTeMs-hFyNi=ltk@@ChTcZWDRp z-YRg$`e8AwQ~OktX|<*og5nz+SrD4FozTdOWg$pQ>MI7J5i+DSXPGQWQWv&jA~fnx zrlln4jS-?@klLCCIv zeRb)f_tk?-!0wB~F(m5Uix34*Vz?Q8l+wZY;3~mILM}e}9)%n6!PTw-nBXAJkI0Yt zc(D%8@>pn&oN#*DFEG21*k1Uy$h5T2rpDZQ(=WD2?@M-nyWaI^xs=qyCGq$O0vV() zm@i$wYSPhR&(#HHGjYrZj*cb~&2q;hr&NTtCgy->?ivMm>-b5M>4CohD-9_j@Ni;0 z6|?d2AU*Y@M&Z6@w@@4sSh@N~PY?VB8fcVs25Z78Zq`f>{Dncu`3ieOaXQcRz+a%5 zg)b4ZB!G4Gu07wQ?Q##lTGT?<8yGE#Q#-^3rhmxz8M&?CBBMZ7W@(%LArN$9%hi}}W(IqF{&>PZKNjC_i_LuFnKh8Jb|MlTwQ&A^vL@~w%%XaL)rumOeeZ0ITb6!3Q-NzOl z&eBJOP5jnn6H9G!!jg+^IGopOHusMntaAC^^!#(ry-cd|%V#Z8c+<0Qd*=6mxt#yE zjF7AddPFfMR~CHv#b+*Gdj93-U$}fANAjgFpQYDj$GK!M(mTd=yFQn9l{n_@&%E;; zaShmdkVa!af0@5~{xW~Z{rr*!b}L_1d+|gik6SL1TQ9$G`TQH@dgRi|C0(2GU0le8 z^aHW-LzMO7*Jg}W)LIer^Bj{a3l9CXT$*QX_kG0W^SPp0#><_Laq6E@;U~X^BNnk!9mBEFVSQ)FTnN~iE(0N5~3)2>_? zjaR~i%68aHiOSQa7+klR_fbt=;aMzJud-{`*|f?1u5-3=dMfMe*+$bMVdvy_wl;3g zHcr1qr(_#H_CNps{_+Q26WK=TLGrqtHBZ1Sp}&aHFlRis4OS8gcoQ}lPhd@8$iU>Q zXq0G&lIY$9fmUS30Qm~QRunN4f)Z=_iXagY`vgc{uVqVu3KO&mZ*ZLv^|a?G(U%{( zTjG0G+15V6EDkMUh94T*NWeNkw;&C}a-0iJvUqB{9$Y5Aab%mc&L(!m1cn0jurrmU zA^dztaEsFn`GFk1Qns(59&Z+x&4)66?xOl%_(2k{>JRBjA3Q#22T?GS|By(c!Hx9a z^}-NWcz*Va9xXs_lDjAaaM=aYTT=ooJ39DNKt;^^4d1GL)RbP{&r|1pGeNWLb9|=9 z_W~@-Ob>znVY%$+*~O!QD@xDr#U6v!_4!41sD3P`KSaodq=yJw?L#!2?P>0_JQk45 z4-q%hLxiQPhlty^{SY0=&oSMWPa%$C1ZTewLILTD57VmVgC$t>cNa zCh>v1SCxlCA`TeF$U_N*O3s7vtqQM?d9R#DV&bmKBSE0brsU#koOGX?#~Hq+^m@*AjE=FWYH@A>p!{if)XRmg*zQUgE;#0s%62HX+uB&7!Xs)3@F zA$L;LBwc zwFX4JxoS;*nB?&}cnO)~6)3FPn}dh+L#i%=t#`$aTEt|FJ}yYDjGyOfsjb9tWQ<$b zFKE<|tHC{KkZ!iPYWfQ%#Stl$8Mh71RfMUHPkBLpj&I_VE@Dipwvn?Rz@CV(e090A z%t!3s81{jh&rE=EMgoi{9#;ldeL@joWkfT6H zmTyfKtIwbM#sBiBef~-6R=IclJvBl$d!Yj&40YSW_im(aH~Y1!G9*8dl&=-IBxYQf zY`5THqt*dZwuRqHF5U!zP<7-lX$R^RB`4w+qfM!Bl98ir51rL*nZAeNgy4g%SFF_S z&XK>UlrLK-qUM_YEDQ1%V+woF&a7^sIe|-tvIn%%NU-DPH0pN23^ISBol$VR8HFz&Iwg%@r{AP#C%Cj+r1A3?|G4oT)~T`qTxDhaVt4 zwB}P?`qU*co(@b#rC=guQvpnJt-dH_OTYkvh{GLDmUwzMKeO!TlXsX4d zdrQ2apTveeBV%r~`u3GY_bzzoHk{@H)b#QoVUjMgWRx=n}buW=Tbkf?;P|J7bFxSF1cUup!hKl9Y-`!;U~%J{*+8MMa5-fVf{65MV zcRB3&TF`$${;%&Ki2i{(sW4o%EsYe0-uFl8UWJ+*-nVp8EYXVq_BnehPoYtIU4ykqYr}|gF6x^`#$jKX z@-Bj#Et685gNmWrc?7QEx*BFHtL&v zXew_PJlY0F1|8k(% zfUXBG?((CT81S*L6@3{`smc1~AAJ^r&<<2e_X__sJTTM6{BLO6p-+@bZGEnM{Vx-g z>DdNH#)_3Lz63hVC_jnP2w=bZ1^6U5NfkBwm0M`PAy_j#-4`fmuwjAk%Tih!sx{N& zeNnuf!(wfT)U;-LzAwNI%YjB*bJd&JA)R=Bo?EnmO2QY_KH;{`M;BOEku1YK;FD`5 zGLD{S!-7o%S!PLeFC1HtB+~uBDdPz;)Z)j)(Ios!k3FRGk>I9Vgsl z1nEv>1i|?t(OG^!GJ;f{9H989kI(HmzI0T?rZjeF-D$N(zXeTt*+j2K(v@h=5L)y? z!GX=v*a5c?OMz=7T9LUJ$VSpX96eZ7_ME#pW=0OlUy)0LIjfnGBk`mV6ZNy-`xP&L zTqK+{`{yx>VHO3 zf51fPyZN(!A6sZPDdrpT+A0%fRR*{&xp?(}y4csdtu&6E?#S|O9fK8r#4u#kmMtp@lVq>!i*d7c*VisCH;zK;`W&AN)0s z*SYuAbs9jAFa-Ef{o{k`ZR>=_`ZyWWEp0N`bU1g=vxlNnwU;hhN^Sdjqpnx%pD(&HLAGK612mCmkB)s<;vYRhS^# zaeSJ|*wP;-s>sj-+0&n@IXsT4R-j!>e~j$pOWQ z5pZ;-b_>jlg+j>O7QFi|xJAb&-bx5=&}PyIN_42r?~iR4BrBvK62Mv>3jPmjjJ)lMJJVp!h~h9U_%6^*n9fN8}O_m-f# zv#Zoe$?&fkf)Esi=Z#Am1i)4X-Z=Xpb(5 zrL`~>ORC{DNf>5?Bd7?O-6Of_>~FarFdv3 zgK7rQ#q?q@Hd4UDm1Mp@yB*4Ma-OR`!G0b?fHX9GEICgD|{%v6n*^|2hCetpiLR>G-I7RRNe5L(=|y`Q!Kv3#|S;9)TgVlQe)( zY=L&9WOg77n*S)gOpVX%F+bH^nXo+V=R-6AzvbDl``;#Hc0jTz2^;ig9nb7IPxS`n ze^G9chsl{8;`l|k0W>1inUP+3>PbE>w%cQ{yX9tpf1q1g_**5l5Hymr2*jF$05s1>gaA8hO&RKeyBVLJ^nthogFm zV<}NdET?&VckI~jb|dZSI?5IH_v%CAX3ZdYY<{ zpoD0Dp-KTqIdU_g{;S&qnsP0LE}1@P&a*O*I>;IZQb@?N=yV+_u}BS;o13aGv_Xdw=3reDV(l6X^kyRG_kHOTk-*X(T8AcCM=gH4C5nzvTtFxxZA|Uq-Q!vzbwLD8928Fs)7gjx z77UBVi3Knw0C-Dgx}X$Q6~;MI!}&QP$HbZ*kgB^Sim&y>P{S3$ZfgUpwg|@?L25V` z-0i6WxgyGmstV)KqTyT;DKX<}1GStg_9V&2)Fm<9n%qiQ6SaZ%;1#ie;l?P**^~A4 z$iwYx?lG}R>kX%q0EpJRGOFRCwe(;6QI6`!&Z3}O$xdYzUe2e{qElq_R42Fo&qTwg zrL$VxDV>ti0CpeADkQf~SEu$w-2wpt}y#iaBAcjeoWqyI^*b1IFm?)v+!Y42mS1qktxaU^ve4 z^sqDD$V}4Fdza-O;{WAWoS);H_$06SE6tZUVlaja*%t>2hTPzp5#hkR==>TPBcgbvD3+ALP0Pgg`Vd@rZ zIdo)Lb#$~}%oa32D!A;-ZpXz`Nn6kWkt-3$J{7vnzZ-YP5nknms z=KrlCf&fM8{pOO=@HGLuX6G8S&|7OC^ED^gZuOlTqzx^weqou7p-JH?(5u_3l{QqP zZCp=2uL5_{x#|%>`xggaFcmSuYWRnkQvD124gdpA$aRr#5Gl~ z3zp$};0%%CUp{n3<8b1JCVjvO(grx9dVNWh;1ul*Z7DV^FIk~+&?wB_V$|TUc>9yL za7g9n-GKYQCz(UL;7i$dy(_QakYH*t3YHxklIt5T2|~Z3QUN3r?SE7l704ced* zq3M28{MEJRJETKiX!FqJ+XIc}TeQJc6a<3gg9Ns_iPhMy{SYW_<1x)s<_YqWeDz?cTENov~T zeLSLo6TTAo%!(jR^mVQt^0ABRA^K|2sFU^wzO;%7AyDHxxgGn15RaZ=Ay@;H2hB98 z@dyId{<59+7d&a&|8N>XpOiOaIcaMu3Hc`;?-Dc*d~Nu3Q}wgi#J+s6XV}pUJJODb zIf6Y;1iOCvr~lg9#@wg`YF1*~Yyq>OMi2=!4cku!{H>gWMa&m&)Uh0Fa-;fL-E5^n zSv1v+qCX}c9P8e-ivZ$49lwxmG|J|ryr(_wTk~w9(btByW(tC@R-2KND?uIWCK@mR zr9;A0G~;tilDVq{B)-Pc3lMhCl}MTs9>kg)Ep)grsi?UgiD3Qc=EPBQDcFj98!I;| zHTQKA>sFH4&5iCpILW2C&ftar+S-DX_IjAGETFQK)>gd%i+8*E!;+Nl` zsr{%M1vzpvGxJWUMSa&RHwwqLW}?-?di3KSWzJ*N4|e!*K1GLt`BvP1igNlaSrw`&Hyp;E z+Vv>>qRb$lC<@S-yIJjDxLr;Aw6;ShFQ(V!()K&UvpA{WS%{01+XFYQBI4DK<AQ79@^S-k<6qj^DPAQ}1TCD=BQaUHElT zCl_`XFyA(t_-Ub7X1D8w+3lL$uD61^*M{Q`&cRwPrp&?8AxppchyUtly)g<0N>|{8 z11AqLnh{X8U_zld;1SL&jEkKmpvyF2Et}P%5%W2^$TXr zw9Z^OVDbs2rx=dV^Ll9J!wEPFhD4=+KD;ZF``E%%_2L8&D!ILZ)wlI-t5z^=`Jr0D zm;B88pZs4!+iHcxwQZ^I`50Ubq_$DrUP@7I>w+-`cc7ljyHEs`{0Y9_dey7G<}xjc z57(2MGludNbK%$6Gvgd{nbs@<`hSE1>iRNm8H2l7u#c5-QLIS6)(;(Ha8br)sFiEZ zb(t2En*{ZKK+T|S*O6L*uNh--0o`hQilkQHk}(DsWHUX&*!@+DE$t{0ZOj>W_VCT&+u03;{IMdj0M;YW)~Yr&8-qr#=MJ z$!a5-Fn8=MsufID>mXcYath~&;TEkX%4!|Fh>FtR0M?>KOTX83%VgpE!psUwjD=uU z>qmh!gNVw6Hf=~30m z2UVvzCC9IHpPY?L!b7MX-NAEmwsiu7{6i^fbGea%E-v>>hw~`V;n-_?TTb<~<%gW= zpS}7If9~tYnC6*RMABcnG_4EKY0oW%A(_V|I8lTb9)cL1tN_FilnkZ|D#`(Zo$}ab za(0PoToBwgQs-gOMP0^NQB1B0VkD;$6awKV!vph*)V{VGdg*PxBe?6W4?R{CvurDp z2~7(asJ*KS3PEb$vf_ej94Xcv7{64jg`j9(mqgnq>F^;5P1s(IXkV9vFKc73QMS_# z+O#H9#V!f#BhaH<6PTX*H@~r_OggS#z)+o5PePO(;{Q!iVzSKLv?sJ`F{ww4u>h%X z0aqYs276cv&?N#-ZXK9YKqr=V0I_|A=72!u2g-Ihem%A^lsVuSri?&?=8&pZ+8-H& zhhiJU`EJwsllS&G{Dt;AjxRkQ7Wci+&qL3Xjp-YfLqJG!(Q+r5q#$Qw^qgw}-BR zq@b!rBxE?6& zb6PLZgUl!_m8c{ON?31#n>{&eDY!*rVY8xy^(MIM_6vaq?$L;-C9t>{iOKxXE5s+n z`*A#t;{8HU!g>=t0Jf+rE*z2>f*RJBle9saVCfUGynHnp)|(`F{4h5Tmjmu8qb~O~ zM=dt(nA%bU99~%}6YR0^os!n6Tpgq9zh!f6|6-6pVhP+H%QsgTx_g~LbwU2UsZ8w0 zRgRnJd4Ak!tm5KPrZ`=aZxN?U)5{IwbbX9ZjngNXbq0>EZ$_4HV@&oDzUE#3<(tOG zDrnt>BqUlY&WL2{JBL^YTgkxK0RECL7;Gg2lUu0oTyVRkD^HLyR4#**d}!*sB3LGC zt}$$?5v0CzLCo$2!xL9rp#YN#Eh zQouvqD3t;pYDUQlc%T<$l5$BJp-H^RH$}7Xga6m)6aQ!b+kBmlV2lwIR88f*9vA(6 zAikpi?OQqijZ&%mqpLk;7N~P-L^R6Db(4aSyKZlOlMLc>(7SmoKU%*gv#&u#Gn6|?-|2M7_b+~iX|#1@X0d5-AAE48^?#3_ubp4pvw$N$ml_QJe&PVfyaGNwh0ULd90ZAb}(DB;@c0_et|( zB%$Jm-flP@7m>&aP6ApAG)_b+>~#=PzC4;x@#}+{k4mD!wmo)@lK}BQq8lPAx`-1g zz&K6auYZSwc0}Y zlwM_&NCZVuYr{B3I>eN`bJb-E2r|It_zHM16UwnDgn~&m|rQ8_@R|h z41!B=VKkSgw&GBGb0}@xk$;q(1hiiBPql3gO&%P zPQarFUO0t*q@(BCV}K6&6QFr+kDl+(afe!tdLDbB9;=R?Zx9&o$!~!*N#NP8neWhk zg{ML!foZ9cqz~<*h`W#m42sV}UqZj=WByVP1B4SQ(pxfeZ^ZwqsN>$lF&gHgh#W$E z@)iAWeAD-dQr+A`ZaS6Lq(E%ak`E&;8>$K*IM0Ges=ZDJ6efra@s!6XF;8$i0)7yD z0U?q0N3rHC<_nx}hKQ0fvPwUofvAG0%61%I5)82^0Mx=faTl9Vg6C%#?0vhb%;mJ@ zTU*M~(R)7RQ@-KpD3xoN?WJ-Hx-kdV2g0J{p+#d)!KfW@!NUHFaYlle%cYU3bc6zq zVCq3w+hIfG15sAw*j6p{>^t<1IdeHUm^x=J2j^1f(oxJ$?eJ+%R!8#A@+FlIs#&af zq8mLUPMi1K6@mY4zD^6@3Nh|Ll(bv`fmdP%grBR5GDhuOy!a?qRp@hQs;WC&idh+a z&Ic&o%uY>4u-!?~K%jcjWjpQPV%cSqbl$&F6b+Ugieg1*C?Oq-&7HTfDYT;45lxcM zzbCVqPKSlVP&Bw$x0%YE5LZ!q_-i?$$NCh_H~#3`Ej>hkwn!c)umoz(sgjED%}o&O4rJED7Oy(8QiFI`fjf5RHA;L zZ4+s4&tb8JZm&#_SOzajS^xU^PN6;NBg%A6Qa$tb=N8UOHB4W1apN5J z-LzZO+PgVPbv;R{zyHgB{C9rU7_sXhUu%X{9Eb21IXHPO5W60c9uj2mC_nxX18jwB z8>WEGxVB*m*oWUK5}!FpEi=qx-xnG znyjzsgh8Hv4vQ<9ShJr=R@ut!gFy9zWjnczb;Pfi$K5WF?ooglue|vAFRLT=!ZYuFW#JAMHtxk&FI~L-*)fXIYxmKn-J;n?o9;%R!vg!p z&wSzE9Zw)*wkWc=82f`>A|hIHUbylC$^g`!mV)%U1AFfb_}hJl2fp$FkQ=uKfG!3< zy8wd_{3?N5CZ+Zu@N)t=CZ(Paz*i%5*#P;J^u6W;vK|-Yd7k+wmeM}lB(2_@K%NuG z&-|$cGajqO=e_ft#p<$B^{0RSAAiZWk0y|Hf(DuLz&G7w9;s+N@puYe)4ee(MdFc) z#s$$W#kt7jwIkC|P1%qWl)>$A0%2Vvm=nk-t#c*^`iD?e(_WuAbWR{24`=|8@C8|M zExUzaP9URK3ZzexMb&v_Ift7Q$S9I2uhMDFAs+e1OiZHQ!@P&2eeR(Pv)ZhRTle}V zK}H5uKDnOpzs=Xx;vF3Sy)x0^!Mz?VKmYOhqREDi5J#m{YQ}UBp#Nn%R!X!l_6ku2 zP6b(m4zWc_v@d|rqz&YJC`{_Bu07UdKxQ|0f#kg+RSpMCV`(MRQ;ef;*ief#_xOLU zWO|Gj80$t_4HN`Wn(auY=Xi10q5z^#-AbO3%%&?fG(XQh7#{^F)0@0cPx7L0KAtd$ zt}d=jd+sjk=MJ0sxx;3D?yz}{RuQYU&mI5CgVes~?&9H}yJy?5u{?Ov?0|bw3XYnT z&*5WBo;5=`a@M|$hB1PKb-^GX>&!I4&%b>Ch38_b#oM0yeDNT#1bm3{@)SXjTQ8BDygEIUDXV8WdNDunrSJXuFN;nJ zRi%!%F~y2>rE#hE)uNOBk0IK9wdkb&pV(=m0G?(fLTkhS)RRg3<9m!BRqekOzbI?} z?8O{U2c1%iIo7Lo#iaYaRFAyRX@{Xy+2^SFejn8`_^5@V%TRoo0Vp8ZK624`mm0?ZmX0@ZGG_^U29R?7bwz(eyz^*<(Hnhc<23>?>~m~tft+f zHaNbmTg2naebM+_?pFW9)V)RAmxXtW?VsnJF8jW4|KgRf;MhtTW%wu1 znNR%qXa5gBA1x96rrAbxPDn5y(gvst4kjjWwmgQ}G!JGXD${(x|1lGRt_&H8o}>J1 zng>%B*)$)K5tZC15*FxBU|QB5L4>(gg5kK-BOnD^K}U&yT~#(^?sp1}+Bx8D zDObjfr_gX@w3U{ABQzsGt_lrhfJ#1o9U8Jr0tutY1)yp2oGu9gDnw|fP-PFXm}$Tp z9>JRo3-u@TF1E_I`zc5&+6z}Kq4g`H9^qKyepCTjf2c>>GI9CVbTT6QyC3)0zxJPg z*y~=0AT_j38<7>YPjcZ_vm|fr2>_Q(N$(DrhgC;AS?v#U24}SoptDZsWtLaF!Tna$ zz+?nsfaO9{orrX54xQD$$R{IA;m6C@fLt1M{ zDz#tYODOg3xU9GVr13a$_JJt#29P~bNtT=;0~pn1_cB``(!+@_5L-24U<5!(h2C?X zKwIy@?gdFOH^S8(RbK{Dhq{NKkb_Xl_8H?+;;0s*xr%hv=zp2EP8pb#w%%f>baQ93 zrCjVVL0X=wBjDj}{UcjSq^+0w5Kyu$fMiRFRQMX8edgAz99&uSWGVgVcnB~MqO)dt zs26xZY5SRGICiMDMcvt3gH$+(JmtYc(`x6pr^kAME=abu3S_0@!$~@xG zT(930!DYE5^7N<<$zy#4yLgtI_E;nNoXW!T)F9uSNieI&8sCjS)>zZ5ysbC-SVvae z_sEYm6W|xAFvRVvJk|qQ@eZ_H=mUls2E}tsr<%WHdSBb4wUM*tifOZU5B)>X(#dXrFRW|YM)^j(^itiR%$EZnGJn1^* zz4sx^G&nY0n43~;C@XK}w)ke{CY5A&0n3MbMPIBKg(Bzy_Ly8*up8lf-o{cmJPwlu zcRI(oY*)z%c>YH~`T{g7hpdrigE@oC0cr#31fHnyf5Z`Aym;~D7ccI=RgzMY?8n%UvvM!+WAxwrO{T(w4N*UHQxIyw>ju zef}T%@BWvM`?Aqgk5B0uow_(QOfmcXgEJDd&tG~B;Zk)#CrM{Ku~%+#nUUKW&zsyM z(tXcU7jI$eVsiX5LIL;$^^@$c0~F*RD-3*zRW35|L|QgGYVM}#+TL+!TW2_2JZSze z;)=dc7Hhb|YGaO>F83{TN~=L?9b`Y>_V6j%)^xtPsTW*4)|8G(FLpapq;drM^Ci^f z6Z0h$%{aU%*&bSriOW+oFHhC{6`%X}K6e+YS;5_&j`8M}-Daume8dxLKH9!Qpi6hn z1%b3;2M{=S!DUX^QwAPWHM?Ny`2y;y5#-Hs!64^FaM-R$)$D?0$ioig-!0~uchCg^ zFa&Z8PB_@n5t!EJ$aG8*RK6hyZjW0gc=C^7-0Ffr3xX?yE3U!Z(FjU9#w7vA;t&ij zxfB3dUQ+tRT@uv{G-yK-VZIEGtR$IRaLL^o=^#e9J8&n~N1qnqdVH(O(NfyyarjV0 zHL5G?x1O()hOP27RajdGwO0yhU3<2Fp*m4hEETb{8C;@_y2#q8Y+H3ZBrPRelmNs@pejLqUFWwSz@!BJo;+SN6a0P2VR<4dbF_ zo{jU$np1c-k{_I2ZYXwXmMx0C-khL!ui_S8nhlCv(B-M6NG#RI^A&e!$f5B(95Ag<%&e_}$dKV#Sy9^>SkGHp*h|sLl;##&%8PnwJx;ar19`qEFHXWz zis%0>vwdSSu^%Oeo2ux3+0{bXbqx>!fNr>jR(ACZY28;Y8KE(|Z-fUwuSgf52p$fKjNk2-d*+m~ zU{zsQ!XuUBJ@9lx6^7mJh*XYb`8hABUXd!y4~=xv9(s1!&UgU z!#QcPPW(dr(CPy>VwW=tt>MzfCv~T9rD)KWT8rAa3&*r(qXwIGWl069nJj6?R{~vk zW%|T7sCTGrT{BpKRf?*)STW^cI%PYVP9eAs*8$e?JW5%dZ*Hyz9P=y$zj*R-I(m8B zqOt}9g4*+&?55@IX&-alb8=aA+VXv6f%p92%OCoN=sP}0=Y+@Yx+P3+Z1cg;Gc*Zn z@L^wBS=9v(Xv7i5YE%U+?g~M=XfC)vf-aFtZ;!mQ;$lOPO3wuWv{jfft~s4Q1kWKz zrB?*^Yw%Yvz+XX6HiGodToAZ1B{dNmOvpdZ*I@Pthez6*UhQbGIRk!slhdZ%qSgw`8Sv}LfWQ2GZ~BzCjRTYl z;h}epn(b}?O2Gcm*#|RX52lBf)Lru+d|t0*Fj$TXs_hWu9@_rF)3=UiiZi-0VVZ>~$oq+l zxrAYtP$bzo$<z&f%69Vp-r&AgeiB#3R!B!3B{74Ze4PZ2ULTh9cD2}9OjafRikRP$k^!i%Cywlq7;pGL`8YDQM72Q z>K++z{G6#l)%^7I7WyCR@5VDV2^5sxVjNrYI1UP`3MDnzCa2^51)F1_V0M?TFK-j9 zTk)pw@LW6TyXl&dTQtW&H$(dVlmB4xv%huBC=Z&@grflXXTUP1*LgY$2xt%{GGWH{ z@Zc-sRHJ~9YlP*bAQ+BXd)I4}5dp1Q{75#+M%s)O0r_BP6Y!ByyI9Pcy6H^=Svctv zz#G|8mSw|QrnO$}!o^Jbeoky7-dc(c1mhG*KPjptW0)XNFox+{0uZXr*)}q#DTQKf zWtYz962%U7Jzw5$KHJ6)TO)1q<4hy?JHGr^zw&jXwh=Hu;qvQ?Lo3^;acF;dS=mNp z>10JfX*;mRDqsb3uSSh1^0k&M2ds!0bxy%ycQA%NkMMNRt%rig6+>hR8n;WW-GyLn z8v)}rL94X6;3V7V@gfbH$BM8$omS|uKkW8y-1$@Wy zr&GmD@8@~kP2sdSxVX9H=cbxY6P23BH;(hBpU%carU+E*>;1k8&7RWKz>w!s=A zLS5!7?!hFFbSDJYJ3-oIoCZyy3m!0UT~o4PZq?{74oy?&f=FeZ0AoSD3Ou7=2TcZRrhDt_ zBe`3b1U)V-JDdtOP*jqmc37>ZdtQS+)h|V@tYfAbz*|jd>xu1_Z@R^A)9KQ+%p5AW-726hZOQ zT(CfZKz3(dnhBn#5BN5VV&Dh0`&MJVriA(wbumSz&nNMBz4cA*+&wJvjP28+B4d^G zOL_|%J%P8bf}CoJzml)s+P2F;-oo_ObuhYoG}3F%;M-N_bbFLe5+$cxw#I9aWXStSB$Sa z1gkXUa!F7jR@~}5%Dkc&nGSAdzcUW*SW#}aAKEzrU@kjwn2ehtkG{bFuwy4xTH|I4 zNx%+FYR%8qm&y)~AkJ6<9zonpQH+Hq;G(C$`zE`)TlMB@4=zrtdqkIH-679m(jBdf z0%bFIIPH-{SZ{GT6Ea3zob(poGHzySSY~hYLnyI*g&H`vNGHsPRs^T zVIzst2-eCFONtwYkWZ6k{mKyVbmYibyg_EGML=X_h-4W-goH}0jle8ctd-#!zd|HA z5~(@KN*SI&oS~H?ELB^J$*!F`OOc4u?JI%^WswK3c5TR&U#k>nW%w3ivMZ(u3DHu# zD%;6(Y#dQ}O^fsQuim2|-v?6N(X9*Y&}{kb5XWOzsizRkd8oq*nJ5+XxHAN) z@O;G+lsA41hZ-Sc4yBVv)a5)B=C2%7aJ9kGwP2Np!XO#Ryq`E(Nz_;zS`?li8xvhv z*T%7do^F>Eh3Aq-1jSHz=q5lCti9yYq~el$w5I6MF-TB7Za?~{YNQ+2&44xkI<@sA zE!2mumam)tQSEsvz_I}qiQurx5J`PI`-^R?+4h6N;jCh5>HkbBMz%x6Kqa9~CFS7G z?FW^#QraK=py#MKbfr}6N5prX)3ze`fcQC@0CW{;G`ju>5?GySbem1=`%tX9w){=$ z(`n21>C>GRa9q&Aa!ye1D)ALMg2-rR0~d+qk7A4hIcYc=L} z`|D}9|DF%}{=fUau)Nxt?UvX3v|}C!=vL?~N1wJvGAtPX;6%I8SS>w-aQiVhNHWU?SFZxQqqI${c`pk+Z? zUKfmcAd<$fR}{?zSYDTmc_3&NZAk&DcKb9B1UzNc?-{kxY7vbLM>j09 z^SI6f>9N#vAanhJj6KGZ>CS=7!$vN)-iVRtWP)ZpetUL&+AW&x_|3G8{@!2wTOa$z zu;UtvyB)W{oGfu#g25Q|&X^Kra9uD`mx!RXykTFN9e2Spa`A{+(`^#3%1D+;iu;Yk zoEe^$Gm??xM9I8O;q_)DPsf!3o8ugNGm=O&TA3&W>x`sNhFR@8=)EcgI{d zw`!dD(m(jgU;J9_BTKf>6QBSFThN*5_)x%(Ct#d+`LYD*T?<+fA++0iB1+_Aa$6hH@~9h&S4mY zP#%T-HFpP7C%NGM#F{$=F-flOlC@42Ss&$oFTMkvX?Rdu1IY;LBwrC#e1bBu;u2nr zD25QETjYX4n~x&As+tg_PI5sbsAXd^dKa1%sgqm~*$wtYQ+chte@9Or=g1sG5ma(q z2r^&RtSC7KmprbPporv>KoYbkDYXKZMAej+9Fkjr`P((wdQ~Jr8YZYGU~oHQ0Hgxz zl0ol}$$*`s(`2}0@cVB||4{kqf^sR6h2Vd4>i+iX2BG4jwxI79Rd;A5?d|yOz^`d% zfE^AOUJyj(=w)aC+Oq4bG|6nJM>!6JRTXbUDY~)s=4)UYXbHlDOt$D;$`mstzBC5y zRz~LH;YaZ5FRgpF-K=zd+!mLm?RyCb*5TO~XnYOCs+d%SuQvg~WILb%YjE&Zqe;Lg zT(v1d039>YsUX{Ff0<+(#|OnPYJmBK#oI{xb35%Xz_GMHfM}J0X~($9cG{m4uG41C zF@~#!nQ0HvDW&h+O?k5R7L6?5=gGd~{eSHnembm%f^OYv7z7t^sSPKdP<5|C+)%rd z*^`B0H{ktlD>UTU@64Vo8Frx*LBas`;E_Tmm^LI96*7o^8^P?! z9?@_KCmMo#G&VJY*^}MD*;Y>$<5X+GQI({xf0|<=s)Q;)U*D?_F$vvtv9p|U(K+Qf z5B4wgskCx3u9Nsz{_)cPp+tgIxx(?kvmc9ot4hs`On?$+BGioH`W$b{j&?M3pRZ=7 zVdm#T?DWLs%-ws5QRz-xqQx0rTNAF9|RTTlZ3WpWhXF` z6q2ju9ToE>Ub=tr_Gh1Y>pS8QLT$;!&8L6-KltW%eMkwCG?Cve#2Q5j3$bcSyna}> zhMbOT!i3R70!G8ZLhK$@P#RDaVmlSvT!^)vWCD*57IwvzhkNOM;N;q}9-2Wb9;D5+U77h=)90a{@Z^mgc2u`0ycNy2?x zZY>j@B5aIg6$^Ncx->2lB)O!`vX<5z>)A_dRyPPyl=L7X0cVbjOP=RFs8w%rVeUofmzRb! z`t{ZmTD_ocm9hGCcn&@)z0{|(!*PRS(p_V;f8k*?Eh-w?q{yH(xf<(4D1f1k=k=5{ zp%8mYZZ#B=9-26RhC)>jHzrQkp%k~1Q&C4odU?XfK%j()%#tyd9_aLr0~waSy>XhC z83=ZJKrmB6msoY51kr|yGobr=0No$C`t(bQGUGDN_NLIY7*OnKcx0Cc9q$h&BnN;>?sb!D}->0(kc|LE>ozw)A$9+*!kmt&%&VURUvbE~9;I&N`90Jw(%XS=avt8hojQuyrPFD^p1nT{{o%Y`^@KUAyH;YY`tKT%a zdf86<<9rcC72?IsZn3Ex)J@}{%69C(ZX8s3yo!UWSF3Eo{olY}-5_)PGzWN`78?{V zeRG3v0a`{iZpm-Eo45{TsSRD-vRRd8-**4`=Pw(M#k@v&TZ*7(9o%)&0UAYa^6GTC z%3cGjXE~-c{^SpQ<$wRhDT6OPm7dbD_Pr;Lt1T%fNb5)r9eV!J`7BCVTQQMW0PU9u zLVvb`@-&%XY5h7JH$q6ASCrSz1fwzhw6T$_acFtIe8uAdHA21ss19j+S_sO6WP(S) z>Li2!Y5_VcH(!n@v<7(=3Qakz%yi4LPoK|Zv7d1!EcL->qBhoqi?JNj2lKB>a zqg)9|c;!34sJcfOZ7WwEXDJNd@!LmDyXH8oI-EVkzDBx8bUbKF!?MmrPNO7YH}u)e z_tjJMWX|Qx)x8d0P(HM-#KGs<(A^)R_1D)lQ9xfpswimk@SY9D8l-%@;qp6#h`@sp?S{M6V?dUEd5{z)Yg;RvAqa|viB*fitl~%{*)mJc*UFIi(TLdg@ttQP%59wyO5j-?T zTeqq#8>QW9LnI|M*%mX(n{%vG-f|0c4+Y_pw1Sn!TkkaJI&FM9x<4fr$C>pHDVJopmfx2HcH06LZh^^xKY zvr#fWE1HF)%zX$Ij>xpSKZV+4jT%e4X1+ljqAup^wBAady;?KhA%ha&Jh${Ao2yja zqUC;TCXrZyMlu_v!;ZF{#uIF+_4S-=l#&UtEPq6z4MnpWfozrRUmh~U-)AU_dE!}= zGkE}1?B&uhOSSaDPY7lyc9W$q@Ll{9cT2beGf#LBJt&>c(k+^$$IcFY&Nn=@{Dd(( zlw%&_Xb4qT*&%I{g&hJYwhcV8qMIBX6)_~kLf|G#V)SgYWEC8R-dyQS zp=!-+hkzCaS0L@`YSrNttL#vL1B0YrD#O*9`Su`(3F9Yg;v%%?*>8tdn10ks<{O0S zIm_n=xK=A^I`++&w^bNO(PSW~eh!9@qFcJr~zcYO07`s$C58l4tQJ)vgh z(-ibUTmC9#tQX)|2#0LE%4V*%gn1~Ec_ z<7xuvsOBoKSYw1RQC!Rrq9W6q@oU6p_`%V{p(9zLYCC6H(Z|{ta@Y(2v#4RKqvyMG z+%SVkOCYn*8%f%Xa&*{BND}$8vSt%M&xg|5;sS@7eK?h^inR~B6CQSaQfXtREr+IO zuQmWZ361atzH7}wi1dA;^zdL;VWJIHt*D-)s->us(@anSxPPU{K$4;=P1DisO`7Ja z%(7QS0bx`_Wt&j+IwwsgDcDfedU;$`vu1}RT0-%p_Zmf#^et;9)#e0EO6}`!z`2Tg z2KCIKp8GF6x8O7Mcn>}Q?mu?-zgRsM>`sOB9ksvKZYIS7>Sp772Nf0gk887;9fqKM z2e%cY(QJtsWV(3`#&>WT*M}Z8uWHvCoxB;RDK*4VN96+bs$r-ff_l6SmV zxPoCBh1EElQVFC3uL0AEspx43yqlFN(j08HL#}wh*i@l_bOyOS=f)!eIs><8kl=bz(?Zy!&~ z2;N_K=H0mw^O<)?m*gG!&hd=cNMB89#Ju)iSyRGs^1bku7JoALs$5U6${+dazxN|Q zn@G;29N>9y%iiNPT%)s-b;%xdCtq6^9ISL!#kn%}2V94BdCYhg4fQfu>CC0M!Ad7h zv!CN&r8Cz~Q474h(3xwe!*xz3D*f2QbFQHxLh(r5g+FZhVU4xs1RYP^CLIWCXFagz)ES>uIj zWLVN*2eQUXs!<1#=M^&=AwVGeE^Z-fJYYl|_^zB|dBv>pj!J4vY=_baWWh?~0iGh* zZ=glcVo}3Nvc@aD^uX=egh{*KrTfhFx5M7am)l;S3N3=fJDACQd(fw*kpCkFrgx5>?+?ncUcv>FXLXULYi3o*_a0Oo z|Mwnvf$$!5w2N5Zf7X)rRl%sEIT4|iD9S9x&o1g=Q`xTQgzi14vYgnwoKnco%a9&r zQ}^)o9THf!?RcTh8%6G5K>y1JDLw6r-HDEZ0H~p>s>=?^^tdnfJCe&$677tgo1UKc z1sW}kY843Kj%0e^7l#$%I9anyEQ$wSYm@qLE&w_LE{WB1#NqYh@zj%oCU?zTEiMu_`I-eNd)%)(@K+^uvo$fD7VjgEz9Q2{{jO_E zoku`O zO@$S7OSZ!&K}#We7NaJ>p2(_;WTXcXy?|&dO#7oJvg#tyX2HnS$aWlmi}S^ERcaFG zJls|_2?$i@FWa%dw28##cD>kUVApoL*aDq$06yhuT-iHs+KLg2)7kD9+uXysT`jg% z4<`ib<8wP55a)YH=fB-8wiS|WE3aHSAh%=x-Ey(b@Qm#NdM=+Z1ghi9cG@53oA3Yj zwAcYG6+d_Udwqt)>uzWiDcoi2_ua=JTm`sczkL3F4uo{Y0+${ z*)VdG)j>qL5M}+i+Kdm1!%+nNJjdk9f_;~kOY^KPKgSJln01bE*{;U1#(Sc9zSsO+ zVeIvl-}>7=^4G=+K`|l=VF>-A3@4ys^s>!qLoeeJcqW~D7lbN6BP~r-4?L5W#|4kz z4HY*Jt_~WG>O)hdx*+;l0SKl4a-?fk3+6%)0s4ZG+<|;U0r<8C99$|{Ul9YfHQNM6 zQtOcoLAp6Eh{4e7ad!3F{HL9Bef-!sOsjmG+4a3q~(4c6Q z`MT7yiGdcocDb1hBUztVzj-O%f|;md0;@Ca-?z96#sgaR9rPM5_YCHm(@W1>yz~Cc z_g}oYCzoGMzPS$SML?^U%RF+6)Ljs_!##)C_YvV|efDphe(Alhj|{97d0RSiBtKbm zg69sN2{pw*2PNT=?6!IW^Aw2LC%+Zqez9F4C@DS@L|Op04J$%$r#3qTCI0jk(L{_D zQGUj_Fy<^X!MOxlCWu}RwtXO+KqS+iqokz#&>OaFb44^Ix92FKmmeB2k7SQv%OrN|Hf(As1-6WB(lGbnl zpKNr;dS{HhE;;=nLTV^IMA*72KN|0!I!t(`(nEx$tK>AdEhjbQ0huDxd58vbTOIi8 z%#YUOmYP`}l6#L-&3fiXJ1;kh3zl_O-~5R?;4WDHD1=#WmH9 zIg$0!;`tX}dhV6yUW(jNxrk#4syUIR8Mn*hrlme`XQdaJ$oeyX=M!K0nV_8DAhG4s z4kFMkytb&;Ne?eR?3Ja#zJjwt7uE#}lLT5`t(+c$^Z{JZI1ScMU_`s|K7=4$SQk9O z0}(=n{SxgyaDR^e6%I{(?t;wX2u2!iv=!oFMY^y>5D;qdbwLnkY;Pe*o#lcM1Azpb zVlWCBPmv3bdLQaVwi9*qUvIj>r}!r~(ktt3YERZ?o()-*;#z|LhQ&a9_i#7VK`-VK zn`jm~KWAf4XoSjDn4R_PoU`#sEX54Z-Tda}GUKaN2&P;~umyugU(O2UZ z@wkt3&gS3wmT&tDZ}{MmoDH%eEDj*(-YkW1F9+RK&IW{`Du`-En-57r?-Tpw0el*g z1v(-zQit(X&gMYMTRHT}ibfvu*29ovdL0JW4CZWx<}l}+O@S!GLUyu1DJKC`3?M9$ z1wxBcC)Sq=x<>HGd4bJCCAT)!CVoxMNx;Zr0<-0+x_OS2lfWdrEz@7fK_RiG)gWJU zvR&5r-Ks4WMy4QS9JsczV1F}|2AUGQtMvyA#y+&1s1HA6vy&ERj5II_PEf}t*bD#x zG;O4*wwYr?RC0y5&^Rv;53(J04Vbc;5Cg0Z72@Ki2{CZK8F52~z6zqEu(LRS*^cv< z0$mqT42s1ABy^GrGQosD?h7z{GF~tdoDr$-1sJzb!FFU33y~%(RDESe^mU&?{iCn@ zZ+`V#qlHb!Bzrm0M~~xbvLeIcpHk&xtb`bMYF@swE?5Ye`$pZ6PX$D?zLE51_mIXRm$niS(Ygn2G&)_ zsNrV3ltIG8hLj6BcXy55sZ`K$aA4#^G(o^m29*oYCa9TY{+Q^rmx zdhTmpC?AdA{0&`~0Dox@dg~vHV!EcY5%Dg6X z8nI?7@O+9~*6%f(l)sVRphlv;pKuyDNcFHcU*CpmE7M>!ODY(UIPDe1$2Z&-i=Qj3 z3tz=}9#FEkl4Wu*w`4$XPGy0rC25~c6Hg4KgG}a?PlP-trBnH8B5ik-L_lE*h+};@ zb+RHoL+U)V7~?btDX0c8wI+SorsQSvYVKIT8uo|{-P?N=g{aLmH~FUy#C4AD@>=tg znj`d)Mh9H%yO-jz5b!KNN^+J%c~nei6lcfklE)Y0SM-Q5eQjdRuVp zgLp}4NNpL^_~KT#;h4+to^#0`3`-`)Mv{vXD4yzO9V-eIP=861{kfk7^e|B!i}`K0 zfvkyH<`7I`dh*tSJh%p4fP8eIe0TO;_F#Vfut-BN3|pTgx^~YmG2#N0-1s`in(=)))3ep{FKQi@sfjBzUjdTkB{vL!a>Ps6}M(kR^h6bjsPzB{mpl7oNL?Q^TEw-VAftO-bUO2oZH-h)Z0?o@j?U<+ zgDie#8c7m=l-ND0w6Q&(V(EE%^4Zxak9L-xAbk7N3+cyAA4KnO$Jtq_p{wLWRPoUk z=~av%8VId!rkxhlq59!~V#Ico#YKu|SqPSnD4}#YZbX21W6rLcaTtVJW z&qj^=oB7{e7kE-*=|lE7{4%_-Kceulv(gQ<;(A8rFs(7o;@Gj~3dgW{7ga}WipRQa z&c&eLS}h~f$c~-AGD}TufZ`6C#=72r`SrDV)?@Hu@@^{!v0|o=(PbCl0iJ%gW8X7t zdOqD!v!d9SJ`Xc?iJ?x)fNs}@RS3&PhGDYW7f2%dl+JnL2oC5`1z$X0L%Rc&FplVw z=LCqZ8*&%NS83GcNxi+~*G$67CwLe4@%brKh~!c?Sw%K_BeQO+Y5Z4n-`_j0!U;0V z)y7(I1LL~W1_qqL`KawMX{o{jw4i3$J}lf9Fs(dgq{ueVE~xEKjYMShmQFGdzl5y( zH@({tH|~u0boiVp!c~Fppr;r^G zee^#&S{*percrKuBBOKl{dk9=L%&~Uw>$9eHEYABB|A5z7c(+3CyHhzJI(&ZVzRiE zJ|+eQn*h;yv=meNe2C}v-k6Pb@+dh}Z+0gnV#*hTq{GL#f_GL-(W;ZrBpVoJDdqmQ zwk_hSVVm%5)-g_jj*<)AbWQ2-$CXjH!CQIgq2%Fsxk8FapO z%oI(EP21q%{tKfT{}d=WW}s!#>Q>kN{7hS^hK(=NX&d=qzNqv4`U+=wD*jQFjYBoazclLtMU2MrZ>nI%RhACP0QS|aL% z8n1tpW*l}OeuZVUz~aB$Rm1>}>O~%eAXpq-I1W$jxG?=oB7z?TSC#P(6LN)m5KHZa z;8&<%;_rNrUK>)(9%4hh#QfT?z*@hHpVRG_9jzf=R(mfo|3gF+3>`)NC$Y{ttvsZib242W22GLy@%dVb&9lIKV)bw5^m!lZ z|B5hJi7(Ja2W{8acysP30;)4Z?g1C$rRgi`)H|oBLthtyA;3*c&ZL-#za*3~ysiQB zYfY&@Pk^K@Gw);*m7=B$OAyNcu>SI_wiuSJXW`LUiw1%cA`HV0@qN=qFHEiL-=DC{E8i{H? zHWrExL4<=$faTAF-CZw9<8<<4=`teHgclO6;lmmcNMUchh!0g2`7iCO$89V#n2->w zP^-rs1AsN|gJud@PpCfl#SFj_M~qa}V`Nb>sL2?o_O@s2uHoQ!89#VqjR{O?+w5#4E(NZ-J{ykLI_h$b2E=?lpu_F+_rk81mA|=GnCb{=~(IxYNX3I+ew-`kzWlWdolE!wCB34&Fip)YEyh1W*tK*5Dv; z@#4*trC)$nRTA6;{(nfX=6+MZmRJptAS5o{l9mRE;}C^NMm9`S5C~b`tK`B2-09-e zM->}lpa*HQeFL91AjBDRe+WX}UT1E`;ND(F)+;nR=d z{8Rm`_}#pcuu!LTYXA*Q4&)@sM1GJW1tAH809*5?;u`JNXUu0_;)lVzG$jmAQa3vu z1+LEza^BJaAZ)_9Sj&5*_dUSxV`M`1oYCYT#X?R968Acb$2Y3}YwzAaxPX;!45%PO zr{RN9GrA`U29V-F$T(2&f=%fj*0{>mx_w0MDvZ3H^ov@c6b~Dp0_ICPp7%DNillI= zN)f8n%_#p&P!c*LD!2E>LIEEP$u}m`Mv`F2)RD4mlKjk6Tq@#|3ms~skuG>MaAHZt zpT<9p^a;lAbn+YXevW(9h~4;{L&;bRSsVhr?~wdfG*4_R<9M8A$&BNqwD*z>_!WD~ zJ?zM2{^)%t)4$X5bF9f5^*se$6)_37n|Bvwg5nXmD4lV@+7w0C@6uDWC zY`qbKAS%x82Ng%cRk#>}M3E5QEU)yXsy#u1D<2-SgvAfF<0L~SN&ip~u-dMkk4QT2 zcvFWokQ0wfbA4U|w19DD7g2Zs z$D$mF2oqFK3&B$wG?`{V(2P-AU3|muVwIrEn1%v5LWgve2&@`NoWyb!LD<7`*zcJE z;y}f8<3vz`K%4(13|!nyzX{v&l2vAj|e zKz3?_$HQSw!?;0-xZ>tRc|4%ASj={8HL1WrgCi<4z3 zF~s#vff3b-{kgPafJ$OiCqTw@rcIPmO(U=Cv~oRCK-gZ;KrHc?E|qz~WpAL7&Ws$0 z+%4)@j%gwaHBMi0iDRTr%kAmu5tP%4Z+uXp z8>Eb80)TF1Gylj?H`Lw8x)3c(dy;nuHlL({CuAwgEA8xUO|@PE#SQQ^L(>Lt99>+| zUkE&f{0i#j8g6-v#`q|2;)_RQRg_`t3M9wGJ7)9W3L{(-oJG}h3dU8 zJ&eUdP8+tRv_oIPqHNYjg6frPgddaB&Cu8C)5MM45XWO`3X=kA3Dnw^5@>8i{j4$P z)ca=)xn);SF-asD!*Pf|GwM8r3KO3s z`h_`~lrznFMF#SO;UrF4VJOTxB#5PwO0Vr(EG08dMyMN?4Q8*}%3Q3A>AxmkFbs>&`8c0V{-6ER z(0LDmT!F{|lR+@(Q_{@qW*P&%4yYwg{@6F*E!VRkTpDG3;!+6q>A=E4Z3GU`h|u^6hK=k$iYn>&o_;E=*3m5}#YVcnC8Q8(Ah$nd z#fnn)7YzkfwQfR3Rn6%EO*z#x$$-UJB`k^jI5JD0SOFki#VA0cKAWkGQq6?KkT33F zR*}i9K){xUvOZ-inZg5w&p>~6YWmqiZH67Zm7Y?=paA@tU_~rlKZ+JIx(>MTftU;F z11u1dvY9M1_sCksukutlU6-~);BiIMC~9YNB2rhSc$CoycvWR`Jv7_uPfZZDcOqg5 z>BqftLGnQo-F8|URK{!ZqB>sZF=pj6y`^qqLL|&e^jBK3(yW3aU~w{v-ou*p?%|pU zb^n_~3h(p@RuE>4hnM;Ef0Ed8Cv6vAhn1VpgP+GRKh{c3O+)9hVW?RDG6`aw(>FKp z3(MxZX-bEI^o9^IkSATCc(pmurPJ!3csh{M!q74hZUPSLmE@7gW{+MXOU*+(0Yb1= zb;KevJ(=H6Z=6nrQv>nxXbOM5OY5qQy9xd5WfF zDZdUA?7C28drI{#C8II)6@HB|82nY6zV1G$kOOS5;#W{{rD|-i4)J9XNq1oCs6wSC zT|_NhmQ-Wt%W|#8Hi0`m)cycY()($W4(t<3Dy8k^XtL#o*E^)k$))L9pED=?Q`GmK zj*W3eBU~{e`q1|TxX-}MZO&m9c$l07c5Z~XrQr$4=`#Li%DV_EVP({E=B74J)@EcL#tDleHVK1Ga zz{(P?(DiFga?4aTVHsgP{#0E^VRH5tIl^T+@sgnMMs zYQM1%?)-9&)4-T-|`U#@qQDpvA~i}}!hq2e>8=L?PgJ_4Yqrz^{q z0hOrygc>Kz$mNt3%0t+%|PP!B=>Lwf_w4#?m)iur+N5v^3dBW>#=5<{EAXX z>$T-&IqK}N@;h_;dVTR*2}5hedm`V0Ww-%ywQmtWf^mX%OGo+p`oX!zalINmZC|`! z%1l)Q2MSMZWpO&2RD``77OP;t`3rF-f<5{xN)Zl)X_Uw_RSO4dB9a}QBW&0P7w$-r ze$3?7Md?VvF|Mc=5*S99_+F`O5MR=Jt%6ZlF&6|F=5b!7Fi(~5Bl0A0sqzB8Cch;C z7IQtfUK7Mm&b57WXxp<;I@4<=yxb#A6eTxLqA7y#eyQh4<;Pn(Z5N0 z0=Drn<*-~!^%J*jg)-@z4nAnb2$QC+QlhTwC^qRwb>X}JPp{KxnPX+PWsTRiMEE6C zyH+fzhb(G?6i8+dMFe9aI%)sth7D&}PiaXNAm?nU<7RL!X~;ONPSoON@c}fp43`0f z3WoeT96#g(0QEDTLh~-;)Q2azw2uR zzKppEC~-3b#h@3;Hpo4Q%`L-npb-RAXGA{PV1E3b*#?180tvbTi2CIhihc@VfRU(T z5#8woEElZ|)*&jXs!ZOwuq@HFgEPrkLx)3w44@CG zv=r_Kird3k(V`9cq`!^_{+@>z$CMsjR3YJLrIBFP0co=W>t9qc4&CV;ODMs76G^azx8|IS%4-3JuO`)|eav*j&}ePR7WYgQ-KONAx#-g$>?0L`BFPxQm0 z&FBzc4C$wlNKT?i4680tKU1GrP9iP?v@jC&7xBFOU#&!VIBWreF&|Q8wFaZO2!L$Y z?r6G&(FoNqr-wUyWr@Tnahe5Mf3>J*aDcP3o6{W?F-IVR*z(!`j5||R&g!@eIbrji z;&hM7brSHx;v!+w(+aoZS6}2ON9wq_FS7AaZL1KmB}K(nlW`L-IC{4^FuoLl{XokV zSgv5Vu+fpSnE8e$$`a1e)+_bb&~Q1DWsUP~OtPklY8^apDP%4N!KLnd(zey|6Q`Ao z@9bNdc!bTKxZWCL4eU@Gjux|G`&X?;9>4l>*lMF9vWVUGYn_okVo4@ zE{xcP*$90l9U6|QPBE%um*4>_<^L98|0`6}q4C(YM0@&!CD>?@QxtIr`{;f%-QiD!24Op z2v!iLau*QKjEDL$t|u3=6l zUlZ7dR2`FM9_HgUjb5qGOx2i>UUCT^JXe|O!;n{+7L*h$AxcZ#53_}V^Xe34dXe#} zUS!_VQ#%r1z?x`w%Yg3?2cXlvVUK}f7jqYO_s;y&){YU2U+_fyBq=# z9!t4dPnp1MRu!S%4*k*HC&p2`Do7d?m=I!s;}J)YWIu6_h})&5bvV5wCI}IjCR&H> z6mD#aQ@~P_F9);mWKydhWFP)I6cl(hbrwo{hoIngkOL=8>!)M;2jT?f3K2UE^utav z015zfCDz!2R3cu~}Cx@(jv_@OBRp5F8J z&BtY4U+?E#>f`x%eO&CT0st_GxR|#{B9L=)4dLu_4GHDDX}~fZL=&!vM*quRGy^}Zv71T8-Vb>^DZPun{V~Qkz4X^6CPX@;E`U<93<+#;EO@4w>LB;CNr;G!5ZW&Ng zqi!WSpeOB_Nzg1&#rc764pw+J9;kkf$`r|NwU}e9`bx5r{PYfT3>K4~12N_8OuWoW z7tznV0`DefATK0gq%Dr^QP7{Z{DIgGcaPrRK8`F}HE&Y5ocdOMr(T2{($S-o$M1 ziwXBB&RW`pyNayplD~9%BHR0d7xpu*+rjyq8NjEk(e=IC|JE81a~32cDu66E3wl<@ zP^@PlG#K0Wo0yeTUhcaolJk4a(R;kA`_y&^j1+dG?^isl1AQ+NY$Wmo{5E0`#@bZ? zk>;*Px4bpe)0opm1YuDrti%Q97(_S;1@r`@VOs5Ig z^_PZ=2bH}CT6UK&=d9W_*7Hwg|0(OrgPw$u#>=~}{KVP$4e5%YLnRN@tOK|E!uRLS z#)9A0lkKc_iAc{`bJ6oWT<=acSQs#8&3^sDq9QoSk@oIPc|ObIJYU*;tyoGE zS=%w@zKVOOf!GK1DAr8OmVz@%K>}#o zFqYLq_eV5MHAirRIGuL_WV=?iI6Q~y%jtmkT7<}WImN1;clKu~Y*;7lsg5-EXUR!F z3b0A5Ra_(uWw}b5c3pH@rgZ*8cVC(`yBNNyFjxWo*Zj|!+o^I>c~|yAM;Scb_Q(Q% zWtX*1JYkbys=A;P`sZ*Po>& z`v!as+o2Ypp@2zp=&+5up-N4WtB1dw=bGAe;k;_D(14Xy#!%K~%& z0p&}JFd zjufDZNrKh)&AFy>qah;a5I@UdoHKpl#*5Vg4LZh03sac4q0?s*xcr%3pwK3q8QJHq zM2^uwcz~8r532HYur&YNHKMnaO4-W`PbkB8q0aB}=dL&Xu2yPiiP02DAghljf(h4Z z2hZAg_TQpDzLTpd_-1+&^AuHt5DvhX74}mjGxW-kGfX^gS<#FJ{0TjG)2k*R90n0- zCcV*oBlFZRsbCinP999n1VZ9y8Vm{O68%Xswvu_pa1~9qh1eqH8@{%nQ%c5lvE;oo zk60BsPvw0@0_JFcjOX-=XHbN(T{3Q=l8l#(1}`o_`L!kQjd159w49_$$U0}RNbVn4 z9cQ;t4A;=jxUIMXMnFQdNpD5Akhq@?_J~% zTj(ACt-}lYmqh>BiN3?|&&!d+-!d7icB%PxIZ0zkR0mE`R4acH3y#;5E9ABv@Tb|> zL6}kn%Ql>pz^Yv^(Z7063b7`RIDgSzS6KK%JdEgfn4;i`5rjd_9=cvQ9|#s)heA2s zaZ_j0tI#M@qCJ1ubG=*zlt))^rhUA*+;qm<&A)Nv2EnQ!5pVL(gZG!FN`|)r?Ca}e zuKVetaza+p_}@65CpW)qUdH~Stha`a+2|SLbmr!0ZxKI{b@bOo^?9wkY3Y5Kzvjws zv)E+kb*0lTz0VwDx&9n)l|@4hgHGAZyePb8y3047JI^+L2Segwc1B_ch{>G8X^HMn zjs)k3S3gJy2IGOt06>Qo)8cU0pamRZY!2T~NDeYRL_GlLGDU5StrdvH=(~XxFCcQ7 z#1i!Vpfx4dnG7AdmoHTUQU2SlHa{c6uH;WD$?0IQVr*X-bG#l z5vbXQIthm?FZt@V;UGIu3FVp$FL?$jrN6`NP{5zXtY@IfMMkH^uh0~CdE5Y+GoL-+ zsDxL%c|c#gc>A>IlHuAPe5At%hz!RQT&j=a|{;QR-9 z*#oElMBC_5&7y7|&eL!S;UpkIjIMFpOP~6>eI6aJl;{Dv^ut&UEGdTf2&aN}OWMy9 z!S2C;5#Pg5SK@8;JO|OiR1UbC&gB>+<6eQR@wylOAb00R3QAa&nt21tV|>lr{{=kPg6ZcSj<{bdaFN zwa+Qg3=SpefyXzL6#*BP(hx|LG}pDMbWCOT-&xqL3xn|;#9E}Y0wb0Lw@cKF2mh^Fao?<*w_i+3vw>duM=k%<-85w}&y^MOe zEU}Bdg9W#j<-|i;ge6fyRSP&6LDWF)=KjNkSul#i3en7M9L`Z1_b@~A5p;p~!gezU zP8C3$>2QQSjWM_NjgzMfcuc5pGj~-kWE&jCkL%a9c3E}s&@wl6;(7@mc--9q$m)l8 z-a0Z@QU1*iqp{?;`DB;{_>whbsKuBsn7>VkZ{MY3{b22XW!Ye&@3sHYs`1YQ`M~Lk>UE6P~hJ@$+ zh{h=%QT~b(9=9CyiADud3jW}n1J)^-4um73(G}BZrlZ=&sT9x;I$E~|G?FWfY0$>PQOy1@)SU~7j7jx08gs5l zJYs`tqw@RyntWPWaJ72J)h7q6qww4+AMDOX!L7MVwBTjEmT1N=x<|C(fAi+@{t08) zMVKv>wrkqb-s~IJH1$-`Y?!RVaJYNf3i~{h1(f$uh2BF>DQn1S=N5W+oTq=q{YdxS z{+nmy+S+o7j^PUX3Uf3-LFI7#fT}BbO;i#c941bNL~s;9$ajaG7E;J2Lw8o9hACyX zc87>`I|~F)hJKtkhefJE4ZJ7GATabj`Vtl$>enWu_)dhxV?btk5)}T6fFi%8 z9$Y*uPl0Y^G1^W@L06VXyio#@3>AK=*@YmakW0QPq6`?;u+X7fo8T}hEeG8kpEuv; zm(j1Bn+Z`PDqG~3n{j(v38D_4kKzbFHU~6g7MI#h9(Ooeu z$7W2-@*S%9lk91{=HJ_$q$-wctct#;afKQ$kfm=>5X0x>+D&CCp0a(3iV=`kkxz;_ z>aBXHME7;!ahU})#@i#pCub~n%ZWsddiz!UM)-8gvWhh3mDlL=ZakF}`S0DIN7;jB zZpGoP>|_LjO7e>hR$Ph;T+KFc8^IVE9ps1#$Z6xb zs`4+Lh`cL7o5oy4cwO%Snn1m-_ibMOAHRSImyXluAk7JQhj>NS>7~q)B#r5J(K%q; zdZ2bJaQn+9@6!jlG{crHl8=L;NxGlcYWdm%^fGeLOT08ARSx`ipygIqlVPkwtSpG} zmtnIM*s*Kd2EHqBUQeq$tt`J7*g=4C(L4ng%qAxK`z1EJ2|7_%tLmALhobz8+ft*$ z=s}*6O_Kpvts}ymK@O*2rHUoB+Q?Y~OT`6ybJliAkEL3sBa5vU&3gT38znB>b-=qp zaA3vFL^5;PSI%OQ#}?jcaCA@^r|H4klfb@YGe$0QEh9r^Q|_%$kR?Oqwo21d&2kfB`-cBh0A8fOc_83;KJ}FfE>tVteFhWqX)e>rFeY}0QE=1 zK^j0Gj@8O>9U|1z z%__G72yLFLXpz>q$XR{Q3NpAr0cyOJp2u$%4S2MF$-_JwB83#WnGU#dgOCKF z1tCyL;~q85_)#PfZp76gKW^TYXN(k%HiZ_?<#VBv0KQz6>eucvUrS(2t^gCSKVIa0DPe{>OhbqgS2 zUYh_?%vxM~phnhB7q3ek{WLI-JQFb?bC`6npL!+x?DXw9yV(o{LTh;$!f{+(r~c;X zG>jK+@EOlt+RNPBkH z$L>$QvVdHauXc73`PC3d=UsdMw+9ZMsM*tgo`c#jzgM282?hO000sbSGWk$5S1O;> z0ze|4P~e{NmzPipkX1vl8n|BPHcmk-Q>bYc&Kkz1j$nnUo$_+xgw6&1d(rz*!QdJ3ZVu9pSoa#uQ4MeJLooRJ z8v?c)b&^2xy_L@S9ogJ;zwCGEegl8+{oBm-c+22-{{i~>(cp6VywQ*?f9deOx2rKb z-&{#+VDIg9Lg!VV=;NZP1fz4<9^$M#S+@>olg~|V7t(0GFWQyQ$5EbtW1=Fv+5$X5 z7De?%pQL16!~G|J6e`t2+7a;UdfcING{f}*o*j7q%Xg-&Eudf@i@f8^H!gg{_oxH= zK3U53c`l59y%o~sD?!InQnlWK(0`%!T#H_XL|!sh`Di9Ogzj^eNKGB6YmT2p4gKt5 z<8TI)x&+%?_`jAoC+yq+PcC(uYbfEA>uv0A>Max7AuXyq7)4^3oA0NcuiTxUNJ*5h zRl3_F-W+YGu_&(0dST#rZCJGC(8!88a_dik7`X^DRfLaX%tMJtJ-~_lSh!=^V zECbsLCs8C|pEzM$dc(IJyGJqf`l$pY19U)(cI5kH{;9tov;gAT_^e^ArZQ~;yrnj< zi!}K^c*TkhWMHNMx8qy7pSMkprdVEJ&i=l^pV(wFr*7(*+XuE0Kd^Bmd~a^w?7b24 z-#Ncv*LOa}$}F5IM#|%D!VnE_l$M8e$%Jg@c8;pn5O`gw6zxEPl|H)7y%Yk$UI#czqyqeHYrRf*N3udHvsf_;e(=_x~;y!HOcDlExsNN3X2rDXdx zL&+~v{cdeuAEg8w=^Z(8gJyP;<*%n!bUCeFde+wOsGGhI+=VPB4a@3zdRo{uW5RY- z1t~U3@SS)<(``bc$r8=Ocg|U`K}w(?4Z4OkqMI7&j5jA{WZX!rN#kp%U&+smww({kB{3WO@y2f||KCoBxNOW4#; z;V@6toRvymIc+gI?mLn?x~tAIxrTKCpBp7ZrBIIVJbe(n-``o)e5Xk}xi=sE;?M6x z{R-M4d_Q;$;3_ z3w~l6LFhIyFrZ;LuH#rnhuG-4OHiJ$08xE<(s_ty9hYf3!r%g%c1f-MAZ@?S+tl># zuud`K8fq4?b0LnOo10=c-~ULHO|C<$haAA7;N<)-m5YH<&pm=tNU7gH`EcvSJ4y^# z;0c#Xcf3?tCMRM6A>KDG(sc_fZuzq=5|GOP|6c~u*1ddjYZLrZHWn(F^B~`_u1~D; zC-$5<1DACWD-kA@FQ$Qr45hgcYNb8Wf<}rn8nE7tf~Xr)Hod6Xc&FNghdyTIsZuL3 z4sar1$5J!Db|@7WYPm2UpWbtGpGcC0pGcYNpxyvHBHVAUZ6Bms#zLG7Z7te4J|ZsE zN?u=?TMA~qJNMJEir$zS_us$2I(T9MKkna+8ECXL{@0!FS34-|B7c}R#@LF%EV)7t z{eJ?8B{>DLevOTZfF;qRP!aws3lyxv3P?7w<%_;+@GR}yaL%Cs62uJ+8<=Mf+W4}~ z>!3iO@Tbn8Sl~mn8_beYbR(p80JiKfF-eCJJL6LY4)r*Ns4|XWlr&JR+BK^|_T0{F zR&HogNd#{NmA5;-pi_vYyHskzsvdfqil;ZP#>YfKC(mg?Cy_lAW#|dau)t;N-3X3C!}7sq5r7g(r#8f^zkKF{-YB zzS>iXNZ?Jhso{53cKTOrtVi32Xi74Ixn_#TBcCm}c_}tJWG1PWQDIyC1F2{}MO%?A zi5{JB>13Wk9}qoR7eOBFvm8IM4-R6^$g#Dbv>L9p{-k+sxoslay5 z3=b3mEm#VXYnxH0;U(7>zi3WX&j^qJE8rrmB!|mE21X+$AP&-K-?&B!R6Q44!Bb|Z z!8q#fSC(I`z_zdy&xZ2#m%A^vtw@`|Pc{yNVYR^NlG?U6Cx}Lei*-eF5lS*n=>$yi zH%WJ0C3OY{C7t3yl;di013G#B^&4!hqKK~pT6SzILq40m>OLwb?l$ochL#7jFICC zm>M62R)~ze%?M1VgUu=G(;IH~K!&Tm5JGF@urrNY)oWPk{~N3~SnUl8f~^|n)NBX5 z-D^IPWJ8m~845bJ_Ry5x^N_fh}!c zPE2}greIqsC#Gwb=rldlTWRGqu=!cUWl*6$<~Sa?X3{X@m2TwAI|^`lOL20yKb=-c z+}`)YQoZVJ{t=x7+@9^QkW)s0L|@)dJPjI@pBOJo*m8xPv+V-GEY1Ta>2ypZutwJP zcl?&=$xEU_-^R(X>fr%2P89Jht|Ya)mO7?ujW?yQIQM)AZF$#D?dxg$WEt8l{V?ln zz9^9X7?l5d-}!MedgU_q19%~gBX|6!9gq1JV$>5Gp5`wbx|_TF0uy#g9d)IRfTBlT zO;NN_?3)M5+*@mpcL@NnDnX-G?6yZ;dKaoQtULM_@V$+F_#YzA_Y>!0*DdNm->3#i z@N)oQDdO){f#Y+OK0k24OPcliA$7nX7^H{|fc9Gn#n&&aZWl3RU+=ZXF?E|kt1(vB zX?TGfM7pUjtS{p;78`awgY;8<-pj}orYtkuieB5uHD~B9cr(?#>czZ~UH!(wwK+f7 z_rQl;v)XKOCeYmf->y-cN{*A|ga5&U)YX1C*$2IaP1}#aehIry{M2o2N%ZBQktCesYod!wZ63>wK#ueuu(4+aN?F zOF4M|Lz4^TraVkq&?~wyz`ubh6MD9c!WpUX6%w>HVMkRm-?15{P=y#fP^L+KgOXAj zc?V%ycEnlU_HB+^RBGCJ(eRXTIvJl|U})Ki>3lT2%5OqaLIbWM@`Gw)zhEY53H(HO zliZPE)GBqKU9g`EER%J~7;C-j<~eIMvR|aOM+NwqCxZakWW<|AveSiDuLQiA;-;7> z;|eDW`mmgTC07HFW*RjR&J490w=s%3G{3&Ga>#z7qnA)X%h3xPD?u>VSul5f8yTzr z!wj=f1{Oz|c*W*;j-v56E8&7YAC`Wm>5Z|X*#S594m=FT=gnKh&#QDVd30T%AlEcH zfqUX9#`hNd%Ue=RT@Vu+bSB=5eX4c0%31wGvaUH5z?h)04@W6^Z5 zhskHuLP>`mXev+`UzQX9zX7V%dEsOSiC=qyp zEWLCPM)GoevqOV8FURw!R3>d;jv^!h#tW9FG#W)p&(BW&x*8U#Jd$eVC=wKlCcxzR zT<_%>Y8U3_$taRhF-!DzcqvLSKfpmZ83wfg9_%KiC%UZCF|K(a+{V;9AA@Bc|VO{#=t>sdV~H6ZvKD=8EJI#bAhEIBiZ0b zmB8Y^IDXFT-VFP9Y`&96>KlAwE?D&{*K@! zd%%r!wxbmW0O(^C&@>5e_~0ce{V56$P7bCE2rMUPUv=EoJF09k@eF5(%fBGV%fGn( zN5Fj0m_v5y1H#xT<*=pG&LpEEJ6M7M&k3q*K7+`J;rq+ka-%mzZXFq8t0L)QO`lz{ z%S5Z~iF)E}HRzwy$87j~@e})ZRvbC``YRx*OthM|(4b_o8}Gd-{u8~oc_6995S(Nc z6_t#9hQU8pJ#8{M9E`(nupxr&r<-5gb!Ry8Ig=DQS_f>QgDyJ612la#`eN6ia%U6 z+Dv5Xj|`yFv9qL0r;)CS#Qn-|Xn-Vbsd~+N^xPHZ6H9NIt1|;$#oIV{{V`YxY-`Za zh@{G}Jv7H&_LOhRmm7?9wqBogwQF&O<{{55XtI}xGwWW@|wXLZ7126Fc=WCVGcj*ch4Cj>PSU$9RrR~7P2>Q7h=2BF7^ zA~g6FWO&le(Yi|MBNL?EpzY2V(P`sh24=a5Qn&9ZFV<7>1Nei;@(F~X%Ay$#P3h+dWatF&XHseY|p zps0FD>cv#|sL*b!cj4wp1IB2@WR3%aIW7W|Hsy6p?M(~-3F}?mM0q%Rh&^}a^ToX) zFx#~f%6owlX^mOQyz#ssO5WYtj&347v4Sl9)2nW_dWhuG_94i=byIJ>ibqh=xL`}UsP`i`T`4x=5XA@Y{~H{|^A(Kq0@x<*D#P zhJpsf0!ZWbLTY&FYc7$tqb){l6!0KgZC~@DZLu27ZnC(TyKDm+gZFTzI4@X^(yTm1 zCU&9p4BXAYVPsx!9JrehL6U{ghg8U}0qgag@PslUEv-Muvr(QQKm=;P{Nly^w<29G z)0a5x=T6Og(H*%&XTYWc=|?Epl0>Pp)BXEOE}oB~W$4SkFWkR)CD2yb%4vCi=Mby< zt0^e0bBywre$&7C%dZp%mDXCEba)ls>71~sF&Y2nzm-%AEyjU?){!_d8IWo5@ zWC`H5wwY3Kjx>cX2$<$rve#LUeoZ3d0Sa2%9O1(`Xs0Dh&4t4wp5< zoH{K#6^0wR2{Lm5YYxm%=ji$V#8^pOq|VXv4T`anXa#N=Jt_=$jFm*nLNQHtSy-gc zwX_dg}q{cA%wndt()F zr^I>yjCc4?(*fVQ8oG-j>mdL1YK?kwkI4Tb{oKse)k#i6jzT-C37*9{{F6W*U1?Mk z0oyqt0|5D1fNg@Vw<)0mL=&)_=?4pBJ0(bDJ2D!$U^!o+epJAvHvHP(kGt4P$^CW{ z`-++pM9XS=#>18$vC*B1{Dj&9LvTO)k>%THoh?Yeu=rbF{>HILvk)ZQJ}>eh-EodI z0owu>AZ`wMf~j#c;3r3#rK9n5+#5H8M`k+TqlAT^Fc6KmTW*YjTdKq|w?D3+sH|=yaTK)_AbQXE7d& ze-jE&FOO@yyVyie%Nz^Nv7l#LZYi@)1N1moF#pk)zyHJjSr}984)9pe+a0VC6kRKa zwZvJui6Qqz7|a)4E6Z)CGa<43;|a-k4y+vb-zexaGtu7Ekw`t_abHCYX9m zmPR=cD@p~^1drOa0;{{+fI^Jgz`!;AXpZ@dr*3H4a|sf?~MCW6`TR?H0A+-`uNuJ-w>$`MrPYBfsbM zg~dZF=Penbb=dS{gvG8H1lBkP`=P%HhKL%i&8Z|KU`zB@&%_bYX06>H}>=d-{Q@Jo?-2KmUA#2jlRjUw@>Jbdz0*0yWxEzfRlQc=#Ru6`h9KR~ygNa*hRB@#)KtiDS>Wrz5?5!PavrOYdjJav`s`XT=dl1!RBjJ2=NUU&oMX;ofeTyRD@^v4xxzW& zmGf8!43C%o19&kV!77h+1Qni2qWg{hOpTwle$a@vEclzB$@YZ6FQ z=h=IMkZ^E`1c&&=V` ze^-Yu1t;cJ>c0m6L6{>A(HGe$vIxVEWYx?Dd6fS$!e&-rw{+|BOI#B|q5 zOh?!UG~W4DJA3cLfL5(y*~nHSndki7EjC-IJ{q}2^fz9OTa;WRcaSbQ)2@S+d?ft{ z%jX*7&Lh%y_!s{7C;z}tjmjnqCMtNwaKGq0Mn`uRK{ZA{?%Uue#|ezrFql7htm#GP`B8*eG#y}zX<$zjqiB+!KtnCEv%CMU)6VW%0P3 zj*s)r061nf&&fi{OxmPiECXpvDEgE!U!(5g^Jucr1|dP!EQE_I(c6X?^*k|_da{? z_4%x4U46Y`JG2(*KhNvk*E?_E+|L^|Zq2k6!`8}yxfpn(QEMh`XwaHDdvasu6~SRz z2CiCRyHKojQmvZG3)M6LLxbPq5`Ky&219rKE(AjtT`ta2s;CY7J%ED>TXL(7wU`!1 zOJMJYa6Fj#JaJ}PUhv4qit z3+~S~mPoMdlIJ^17)`k3lXRBc9P@e#`@zEmM@0bIV&O+EpZ$OhcOJeXaoy=Z{|2G3 zN2l#iPF^d>iP+D+C%LWf;{S$FeDd%7-}q+i6Qw4CUwVl4^DaPptR1QcWOe!{TEgTe ziAYr*=_6uV25b4*moT|Wu2FH}69`rg0C76W^iVHOpmE0ggl83#ofv+WHR=5Mnn*d3 zOCOT^EeNkW$@Ew+5L%!eSR^%1Rn|<;^#WA;3JW^{?8QK}NTvsS(XiXVkx3_QmXt8L zo#z2PzYJ;N^2+FjBAFiSMe92~p_93Cl1zrQyvcI&+Xs235KG#FjkqyA*x0fM8xsCt z^P>~oGxlKPU{CYGJ{QbN`%kimuu&zp5sQ}XsYJVJ2N>iMy#eD= zf+^XKU}g{uUpnyTNrPdWZ-K-h7%mRP1SCfqM&oh@2ptJ-lLLidwfr1_rXT`~-;cq0 zaiAd3?~sGRK`@Mn?M{PXfe^*{GZ-$(1Vp#x{AIiRVEJe zL;(3GP(XryfR0M;6_!F+9qttu+wSup&K|6|OD&3Qc##Mr>1fRfH^=4Lg2VcVqt~-+u6?zUrrAMlZOhK~>~H zcim#nO{U}k=)PPU?UsFItYj{DP*5=hH(=frw;}|o16*)_VzU}FHXt?9V+Pcp9GYPV zRjmuc=^!B*%35fhQ~Y`e(o1r|9U&r$J;qFq?$ESlO>oP3NCHyU3PCpSP;vk|&7 zXG%X>vgC??N~w^3z6Bc7izlQ5qsk81^dWVD)Ja%U=3Yu!K;cPge?B{5Nqa~QQZpCz zg(EXk`r_e}UzAFbNGDE5e;zm8_pu4;jIhSVyq-yR*mE2fb~GD7J)E-<6+Sw?yd*p3 zEkBm*_`CnY*MIFR(a$@cEe>uc1ZRD9j*gM%O|4-^rk7hkucwVFw^L9TjSQ-#!t)h_ zxd z1%tH+&B^`GQ;-3{S_IU=fVC)3xQ6dU zvqmzF{?L*l@{18*I|NZbF*?aOG>xwxxDBo`2xj5w8QX014(87NJ`zoc3iYj8Jsx-eiuYn z?J)sbhrbf|x_}!Q96c$a1)6ejA$)Z(h`R5f(M+YSX+ueH zMQGpYUmXEgwJUN6C8Nq-yC{^$@1~AU;p+&No9=bg6uuuFl^)cvaGqPlG(~d$ONIhR zddaYrUNUU83e1f!8HD^L<3}U5;l~?ZGJG|B$@s^c<%cgB z2P)bxClmVK}6Ah>Gwm0qWl~v8x%Mn;ee&&B}Iqy zgUfcDKQMHtGsO85&R6iCg22oBQ#q#nj|APN{ZXbU*T3y5K(d|o7x-e@9~GdIH`G@mfGd6r2ANGm&pb1 zpFLPf5w#S4OU+*^Fz?aU+g2-F3$?g_x;c}f8ZCQRY|E4yaHoH2{7+#jvr%TMI)HZtRi+oiB*^kWTylK zQ8%EIkp(3ta>308Jr^Rlk|{1(P+}q%)Fxf52po!nU!1<-`L~I`Xo5R*R7g7qyU2S% zaTiUnu^fT;27Y5bM^Tz4c-XRq7giDYn^nJ}Xh&ahxuOG#3JeI%weA$>s4Uqm|KJzM*E8u_SrC8@4G#y}r$;Ld7F?u- zFz#oe^{9ciI%sQz2l6RrJzFZYlkvl}ROYZd1Hq7|Gx_m}yEFPkW=m)y7Dx{@@Is!! z-uW<}bSu(Roj8>?n{EGSDF{|?+TyV0Qhc`X6fzFV&%d-O*&!B((~i9Vklb$ukE<4k ze9Z$8S|TZ|Tiu%J$xb{?2$Kg7YbbxiB$b5K zzZGA?H=k~_F?)4Z44V0BL65tb5%Xj@dVjzCNB{5N@^`1O_p@g;py!p?dn9>4#iwS) z(7Bcow=E`_ZwBep`*XpwAbs8!7i|67V@P@4F&8|Gl&6h!LCo1Tj50j69-~YX>4Nm+ z6!r{m8uh>ID<%~g_%=z*kr2aoTEklv6PYCG{kbGs{?+?K4Z`3=E;-Mf!8uD!N$%XG zd>K5bgj2>=h2h-boejV@0!i+KWi$D6?*6quwTv@OS?ug*iDa4Y;{S%w*e6O&ybHbwn>R*a786__naF;||f|icJhc$Tq3-W%y-?D7QHHR;Ncz(X70b7t~ z1Y3kgRQA=qd5<+5qW-alLzEtCY^BE?Zrb&x(+TG+&LGfhbHOq z@X#d6cBkvUwz~V~Y%ggXOF#6I#^qWv^oqGhl6T=hTTKmLGrrG!#`k;v+gI0rWs+RJ zyi`j|>FoD*<5bgfZ zIpZ6-RPZp=45>m;Emeesl&4a+Tk8y*okgM(T0GxD{Y1WF#iG6KYY;GbeY^3S!Af)C~qH+f=hyAg<74BuCKK=WWu>ZFkx|&HNa_%Uq|2 z44b$37^zq5hwUFDI@QV- z*aVcLGA+rl)OZe(gBBzlp=Njpq*vkuxI0w-{C2pB{IUD3_pglduc0OKnRb|JOHj+KwzD2jtwu|zd&yIBZNahNf?3;Z>*!KD zlgW?I)b$ts%;$c`Uk%+`AP9P5Ey$IC{B1igG35eR-^s7dmOB zNZd-qj+Yqm(A39jNL1iZ#hLFNeidt@f6Cw=`L5N8v(p~|M0`?dz`N~3UsFD~XLrb; zirAlLb}qqwoe6|o*ORzx>e0Gm00yx1-owX$L+mqc;8d_hH`6PY{MUHbDl=N%ZV`pT z+ii+<_;{;BjxOK#Hc^%TrqBC*zwr-F4hPA7Ilbb|$|4Q99gb$rs{CvNPf-=y(@{Y7 zV+}l6l>>Kka|2Jhr37`k&PPW}rzEo~KZefV3^ZR>rrH|iAXs^n7=G)VhKKLJ?(>p_w?@vzZyXK^KMaZNv~bPx6>v3 z-p}~n-~N{;>m!Im6u-X1fKnKzoHiD3#EKLk+R<1HGj*lmF$<>jGHMS?mOaqua$4<` zLC9+l%1Pu!3JeQD1V&ISoQOVOUg{&5fF+1{05}FcpoxGF2KO zk~_aWwj59}(e?U6qCz081*n>#s8A~eh8eTFjoA}hV`9R%-u|(u)e(Jw02D`C!`bQx z=i}nx#cezc8yiY>#K20BJnfAKDjrG=yzPp>D}z1`;kDWTH&>sb0(}ShCw*TMTb;T( z%KV22<%skUVXJ?LX4OX2ofG^|``A51SlS;Ve!P>1=!+Z3GTG zn&?JGsPZ@Y!Vb}V7E_m2qyc3`YV4sCw55U&}y&eHxKQ8Rr zJ$U;U4FsmY?(2AyNCLs?ou};7v{>2!StA(L7(JzplqqXe$rT1!vrEU7JZaVpB&L~T zlv%UF^)Z2OkrZsoddZ8MvR>(pN16*@7;ofau>%>mJY4E^U+nnU&-&cwzcm;@@lql` z;0^b>B^gqV5)@}D^fQ% zLFI;`;L^gKU7<$0geptg@u1+ZuN(pvDf3UGH-fO)s*S_M!#qgNh&$rryf(1fqNi0v2N z@y-af@ZD;8PsJRSHzLI_ogviU|Ds>^jX(9K;0l#Ar<>`PipQiO<;7VNc2tv|xe5R? zq&*E7B`idFH&p>(`Ixgu5*IbbKHV#?zNO;vHHR(H1a8Ic&nxCCz;OwrvS73BjFD6$3_RBRY_mVzVG*+xQ+x|5x)jp^hxjBZ2RD0VcTQJ>ygscyZoN7Az zmQ6+t-J+u_kIBT}cn;^GQOgAY!L4EpPhwTD>6*h2-@iAI;P)>TZq2$E`I4Z6` zNaq7gpJENgL!|xruq6A^mGog?E*i~9uztqU6U<+D<)q0tf%j!Q9lwS?`s;+bL%knF z@NKf}>}bHM6wMDA#U@>g-t%?oX_hX9)Qnq18-_{b>=R8i7NfW5YVPLWqD#!(zw&n; zeC%tHF;t#%M^m@K3u^8-Zek}rU3oYJ&7BK^f{8TE5Ih`YW?&X%RO*5af(NMZV2T9I zoeOddjSyMyQEt~PmwknRm>R4JuGh*Cy~kKZMWTfu&7BLvE+Sb+ON&gKCIUl{=FSB{ z{h+XGOp~xYX$UeZbwLEyWT2B75|#%HL5WIT@`%c(5P{&KmlA0HeMIHCr1AUmEI|bf zqg*7(YT=T*6N1f;%9bUZH=`nJuB&fUL4VDu20or2@kctbkcfjJ1$N;cquf&u&bz; zc9JQCMkhO&_4%aYWf?rF87YPt8fajr@V2PwF|oBnclQ7^h7tjn2wG8o@CNTS%{_9neaBN#%=p@yv2h z^io0JOyI?Vpn=Dij(_Q#W9ej1`vag;DvyJ)05$yX8s+;&ac%rg$%Vnt02yQ)$LCmr zl8xBNn-)K~Y)3YL{nMG{o>K=3%RK}tBPX|G|DM*LERp5fWr-lw*dj!*W@;=P>fVWx}J6nhi&Y-#i`kIOX(%UBOHcM&iPesKIx8=8k$pqqBR zqNfafaOSSitsLIHvv-BNTszCV&hmfuOaJ%3^}fi3C_|y=LX58v6NHSw{)GIx+Ipul z)C#=|o>m7A-G$cJtc(*p1nG)5!4q;DBDh`4{L4(u$XtjE?l9+9XjZ|YC|IW~NPX&p z0By58L4U-F%j3{=#a)n;`BKV10?MQn`Vgc>bwLXkkUCgyMG(dbuFUf7OZb6wTU>B| z0G*EHLICj69770-EAEouZsO!kVWc#wo+Oz`QBOzAFXoyY)~SwIl1vPrpx8Jf^x&Ex znpKA}Nz%3SqaRjilF;)6FDKlqd_m$`x+J)vFkDKGP7oIzv9xBsKdA9AVZ7uWLw25mk|5xBYS1zF9Fv$)^ucIt+`KBD*xx<(`M+SmrH1mScJU*MHNetOI(b3-yW}YMN8pps!aP zQ&8XYtU93fnLviewmNpNx#n2xM3DqvbKU`~Vwn8atymNX2=7F(gXhD>(%TxAOF*o_&D=tt2+qYqLC08{7J)(N|j2nzcZ&UvgS0 zjOSr@6t2={VdJ6{$0^GJsbqDeD&-`=XUwE(1;LBCY$k^#1A@jX4%Kxd`q|@ZT|+_?tNt z9t4dz6uo^Hd!7A*E-Ol!l;VMWbQ7f3ph)<%9;?N~icWr`?%i&jWAD+0=8m=GPdxm) zU;c8$IZ7wuagLFT;DvR@!-KZ==Uz0azBy--f_b1XSDy2+HN2K=g3;Bt+YjiXL{*z$ zYcatOIB2aCRqcnyaBI=P1hF#C$>%7l+62*|r|o~x?E_9Lc^OO)jLbUw1!X^p?(&MF zs{I_XBorI#gPQKif~u-r5>0;sO*$ekr4XI?eNP& zBC4B#v#Q2gP0y9#%`>kZMI#!?l%Q9Y2Nh>j=|XeGC!S^gFTNT3M9GAn@fXVfg;y^j zQwpIZLdqIXKn*3LsUZ+xw|5!>^%@r;P$?WqWi5qpU8N1RpaSDXhu}n|l0j06nfL~$ zP5F7e9>gXb&}cmdj8-4y36(U+>|BGSy9<&`^-SENYmoGGV6;E`^MB}P-W--!BUZP( z7QaU62)u@HiEqv@o?1l+mRC_Ck(A4&qgD}u6D~Bzxi&$|+Ya3dJ^PK}PGZGeI@)c3 zAQSV4fzN%lqhuHrK!&0W+DB@!LxZGtXs-yNCS!qI9J*#0y&wc^Y@ggLrK2baZNPn0 ze#l9Cs@8L?q22AO7%JVcKw`!)F^9(Jelx(S*+}y9P3AGnjmgB{rj4nzpd@1|Et%Pv ziu;$0Y2Q-<^-*dPPr0XL&_6FMjOm1@`sZ=JC7%jZ%_YWIqUdBy<>v`wDj=fEjj28T z6Po&JOy_IrTklDkcZ;sZbp9>6q$lOAANc-H`^Qtp)Y4xp*J<>XO&?#>us<>i09CmC z#(=3|e~K|x6fq({n7-aT7h?*h0Li=|R6Jjp6Yucb=H(bwD^NDaZG4~nUiro5R=HM)tHX3~Vq9)Wkw zv9Ve39$|eB=Q_k=H;k+In8X=9Hon!P;*q>yUpJIIVX~70JKY!h;0avPtU1UlUK-_! z=?qVVQ7#^uoiGyMD=NZ6#w`Dqlo=>0A26BMd+V%l+71CS#rM4X;X4f zZrA~qNN+m|jreub4DoIgKP`~HS1;h@hYutTsvyq>+gxFI%V`%q1f|#MaVtk^4d~lOGXYxq7+x(u)uCEqXE2*2#D67L~8& z+@edofbaaRKlFPh`I6ccO5}G}YP^g-137By4Cn5#tTzw>7&ECBDFmq;T+pUSfLmp= zK5Fb8g47ExcswBtl`7#5V)qpTw4vtRS z?z+Vba7k2IalNdg0<~0`l^)Z!t8IRq)VJ8*982@FdI5J;=+mQBz9h5dXqZ+yu=WyO z`I6{kT;dZ*c{a#?`F4&1Lz$95Vq^TL_LZ6GCfEohj?0dCK;c0y z*!T}=S`@z@EArKM!In!$ZCXIMnMi?V+66H)3P2VBjzBaqU~!9k$?X1k5=y$Qf>4lV9&J=W|U5BdHniERGw;xmY0Crnf)X>uuc5YZNCKP zx~}^sYH5njSsKZ^M7?Ry^$fT|NlWS2fwDu+ve%fsuzrSq932(P-RgUXNlk~P+KLqLt*X!R(mv`D9ozSKK z0RnY@ZpZPvCUWhV^0eN49eClJZ+*))S${NAOCGPa6JQe!=xq~AZ8KDmi)~?18zCL( zt8r?+dUvqWjLdyU6L;?hcI_qv_J|{u?OOM>Z0h015Z8JRx7f<%??(UcmA(24Z#5f(3JVp#KY{mI z&Owf{wQ|94*d^Us^qYjtBbpPfT((}QxDsB6^6dIg? zH$+Kg-Yug2x#nt|Xth1;Bdpbzaa(`gFMaqO|Jn4sZ9z9+JPdoVqFNk1gTEUq=y)T6cyuP%0%i0J4dywEf8~N8`4Z3Iw9!$R`5eV5_CtG^478%O zDP=+0alaTKFf_3OD=n3hEJ!=Q>;Vcb3QVq9DusL<^E>ad+sIUwS4$XL3L}ml_RY znR;s{fvEpJhb0KADGA7;1bF#sirzP{1U!Glf;2isG9&zVsC=kC-6Q(%CG*|Eun7jD zIL{T5?!9EbJ$p>}&?`dgeO+_5j#ee79N7VOF_c00^spZ{|o`%Q~TM{8}sqd%(@FnLPzfod^uL7GLiuMpIgvT}nCBJJ9j zO=)I)#g?~5ySY$a=8`QHg$r5~ghK3wEHm`a;?VR0T(FII->(lgTRsGHSs9GbL|9=( z3|Q)G|qUK~{MS1})XhfgrYXDVQzhWsXqc@89Moy_(V(of0rK}7^ z$x$A}Ee?^8wXBSv5I2XV>fv;*jDf@HNf6H~-*)&aB$@N=+3SpFo+l7%{dwm5W5_m2 zuF&R?uV~U=pvhHh9lkI7gmMubdBa%`@B^AchY`_nm+9TmC7HbVCs z>|Z!_Euy>9i(M8GildPH2EM@o0b&k4V}|DX4fbkJ7^OkfcJ&+X&Tp7^i>`jd)5#kD zq0ji+Kl3%yS>raR0m)1lhaAe5__QSjwtF$PSulsEE!Jm>w-2~;_TYfw0|MYWE{}uB z4>^0VZ@k|pPLQnUIG#ONuMF)SdCu|d!HVZVlNf^I*#m&g`sB)Z_TXfsefjqp&mJIk zVa*$H@RBu-?7<1-f!&3dtZ`%yz<1F9MB+EtA>dee_5c&@YVKmv8&G3@3mM*UD{^wT zItF?$u!?%vZ(e{yz6;-|%lNX#y(LhH7|^~0oU}wWyR|`>4TKmO)oYQ zyOLaR=-XTu!Jhd`U4$9SKVf3`xBY7$_|gxBYF0aWb4Z77u)Zf`Jfyq5ZZO1C5={bz z+oN!ENQVJB3f@7qXsl&s^bvOEkPcBPo4g2n9?l)kA>G;jvK-RE6tFtU@M{BBm>kw1 zR3deYoe4r>=5s}I*xOb@IxDGR%^kDG-I`47`kE%2fZbvOJO3Yb^0~OLpluT z?4#M-)`Y$%3}x0J^)P@_=e8!$N^^jxgwnu5^+(Tl22JN?Et<-$&z=aVnE-g8gM!y3;QlW+WQi{&bVzyL5^urH8g{9uW|>zg_0 zX#{LrvtQi(g9cE&;y=SUmiE1vd3i$#>_2I1vi{nriQi+IO;RpY(Q#88frPIlLG5@z) zbZ}70jmgB{C~+R?a3!I>45=Y*LduKL7f5-r$0ecGm*{CJJbM==mLF4i@Ay@p@ozl} zr&pbGcX~ZMMV~YrPPU_tjDyVi2!mroa01147Mzyz5gD8&{&tSwOFjZ4SHzzL#NFmt z+v%Ir>lbraktslG`kOU#^m)ackJzmU-JpjGK$-g6v0~0gVB9t5Mgk>(->09IR`L-s zH&P~&)nnIugwKrx?qkS-n9&SJfY-$B1ek6xS+nLN8eidtGw1WsCzU7_jkGlU6rpBm|xW$}nU3C(Ji|@Sl9ekNtPyYpQM;s9ua?g~JDpiU6?BHr}9m zCsM(C@RrgkWh%JWe?|4C46jkTh5k$T3Ac00aF&WvQBpZ^n=(ev9TC4v33-Qtsz%+h zVphG!&5o%KV7WlKQ#Dl`*$ZwA==Zr%u8j26}OYhBJoDr zr15PU3}Dx1XtLweS6Zvr{<$CgzA2?Ozw1HU_on^D*mX$aPr6>v&ki(^q0Y_e)>!j>RXnET6t@H+xk9%I|OVSKl_(|3CLK$8(2|TO5!kj&?1DMtX?8eSztvJMrS&6kC zuhUxR;bpa$l^DqWm|%nwLj!m{$4S*hA4Qc(BOQB0(y^qF8sC`7p*A7kx)a2IJN1;m z8u_{`ZX@A*@!anWZa(+J{2cN1;NUkMAx?@C=RC2h>lZt8)!`P=F9*P~dpdG%U}0=U zNCIP&!N7i`+`s}oX4<)NfE^h~riXaZIOH&3Rtin|zGtk$V!uBkZNeMBVWVBnBH)VB zW4y5GsnUx*h+U*0X3yXPSWTVsdRimQ>DNpT^1@n7_}CqV=P%B4{$mHGIZp@I$1Z5@ zD(d zeHKRz)iD(4ujMT(;I^Z*Tc`Wj!Wdu& znQ#-ob=$;JVEv2!mit%?w14RjXAf3fjYe75NNa+2iJ2t#H}5sU32wV4*A^UON8-|r zTS+%C4WjMq$GB%C~X5O zbXVS@*Zo~#b^ITG%@2Le`+`eR`6t|OHZ}_Mb{Yp0>cv*Mu&=D?#Rbm}a~6e2XZ7t+ zEXVB@p*pMM&+Lq5J4g6B7}25N)wpWWj&?DqUz39gZk~Zc3l9-hU;BM-V@5MvGRar@ zPl;v|cTmpx-}}PnhGEyKBo2Fvkw`1>(8F>8C??H~ zC!f`1hTR1*lM(6yMh2xzLs-~X5Ts#uL4b6@)PPV3bQ!|zn^$Be!3B{oLsJG<-0du5 z9xE~-=7Q)&SxYGrgPXgtO`6qr!NU=dG9LPX0;1;PVns$jE(kaYhe~r^6(&bYY9a(_ z*j*4KI`BOOK|R?E3JSy}!Bj|phF1f+>9XXcX;rgkS$$@h)S6Y^!1#MLu3;VJ6UFj> zx|E}LZ7VbKkCFs-i;k{5CZp@uky8F%TvPh@k(7A`=Reyc9184eNuM=KI`0ks=g&TO=0&5U)iRwWPjctB+&C zVONb&0J#fBecYy5MgIKxx0TXTtB<2})R!YtMn3A}C!A}ayQd}fLq~la1*3jNTGA%i z>f@OFIH+2r>>v%O)yENZU+d!!qfC`R;cis@UqFDa_3_tnef*AM9!*8lamD{WS~>qs zB~AD{S7Z8g$PylY|G)mx!Z*T&K3twF~5?+r}o91>zgwAfo% z8iJBTAvpU^V=UW&+*5g>I}C{634kv)68{*Ks%2)$raOOOff5tKog^G(HE2U{M(A*U7=Z71xa%MShla_NZSXserrj`>gOlO+{&uDic_z9 z<~EGuew<4o=Y#oqEOQ%wqr~}0bGgV!DGfXm@JQW?C?^7GxXMT=Ak_*`N|1;N=p@?# zG(v$8!`K4og!ac(0ZIw#Fz?TYCGFqw!UN6-q+C8o#JAgt3wyEG`Y6&ZlOW-=6r%M^+eIzo1*En1ghDPL>}RL!IV=r{fV^ zMyORDz(AyNW(el;C&=*l^I*kBiV}jQ{CNU`T$&aRVCWqbN~!YN!GVO=Lg{{QLveh~ z$Br|@I69-gSjrhdZqHbYu)H%2J57PV zClkx}R)a{+&;IaVd&{>^(SGdLdk}p??V#6UP}wPEs&h^1)OcRmiF(!uUukL`0e#$= zCiPsVI?Gp@%T$@KbkNOCjn~c*`rVq;0c3%)&E4#|Ots&Few3;aBcX()H4BQy^Ch9? zXc6Gaf)GkIo_z(=kz0E(V4H!DNP?bRG<}jxtv%=fI3Sm>@x2?Sf!A)`@q3CIl`lt7_bv%J{4lf>`@Qt@hUBClOW#nHVW%nig{Z#r!Cgt+B{MGBUwmG}n5yh_ zYErCsq9R=-j-da#w2v^4I;7FxA@B;HKJI9AYXIKmo?-Y+qR-$pw-0K(qi;%~=e6 zPnpzBtg8zYHq59HUI4J3*z{OYUVRrt!U=+Cphaw_l!hTFbrbJW+n@qNi-lpsX8c1? z01Lk28fruYms?oF+E7MQ?H6-`HPuAY>0~3WVnqQg*oyEr&+?NEU z1<(hKPuHm0bjQ}pg0HEFLR=2W4fDJr;_bdG0n_Y!5)^0tGgW(gyuXtD(y}9-| z!VLC*)$-1DIArJdthpU-gp|9|ro6e~20Z3)NPGXTZa}Kd-`qPtr&kNz@hax!{a0Rm z_$6Qb!aLsipdCVc`{!l`=iQ>K8}M}MNx%3l|I@$vMNv=E`m5KI9C1K=9_$>9_C}3% zfaof25t9rNEh8sLf1pS3iJdX1-(kD7zOvKW0QPn;i`e7PoL$0N4a!OVisA-1co6eJ z6zs4&2yIk8M^Pp&xLobDOHOQZMnzQk1dOImenyxr!#rZe%WNTyg%}ckQ2X-a@CjX~ z3^9^F5k6rb&T|yIdGvqB%w+tHQpwB7Fw))Wy#d^}z)fG$G z8VF`-K|UbW*bCOOH6Y%q3s;!0)GaB|hF=aSK-ee^^@@piiY1HdmT1Ezaovd5L=s~- z`ZXDCxFje8t*08;^m?9?dXs7n{}t^#L-NmYdQbd)aTI6e=0CEJzA}Z zpQ*B3iQ8|Vxc%}JqCL+O+HO!~xuy{BE`>Pn7WD?{nnJvt6ygW|-cSDZADuGlW?^Pf zaV$A6ok0eI4ejZ%+l!EZv4h)}17KUoHDblX0mB2U!OTYew1tfpK`f}TL#&vM`dQ8n z#twey#w3ewA{o7!t$#M^7%7PA8Lj1ZDMh?2Sd98P&W>W#&y#j!EE=309rAKmqba-l zfr?Q_b2DSM2+cf{E@#quBgtZDls4GvRq% z&HB?x34Zz?|C~Snu8(gWRf-1k%AjF`F5m^(a^^bfco^^tb}E_}Tf@w{uXsY#h){H# zK!j%91;IqZx$mfE8QLeWNVD#OXPHK5)?KhM$?ukka&e9s9!ay_1W`#t;sFIepxo7? zu&;12H0v&i0cX5w3hsvU)L9vHNn<%7OZXNL*82NYz@;ZM;8L9jTmmVtT>Ic6Gy1bQ z%>uYIR1(hf2#(MG==8eUdFtFx0E5P+yyg1?CU?b70q13zf^_tJg8=I?2Cv2rEZmKUNF!vwq@6&xF73p! zT=#XiNy*g1Hj;$xn!*$tl(0N~R&oITL7nX)@Q)0ctl*#01-F*0f22WWJIZ`ICoSy{ zQqR%_2Z0Jc;&$3!z)op@z=aM4ydSzq!yL*_QlwNTV zkfv|<_h#f!Y1{pMny_uxtipWTcIy>M(^eC==<0sVzeOMwpHVbkEmheQtd6jS@j6UfOEm?(F za!;5Q;YI*j^^(jgxa8>sR#CcLa8xAsk$=eoOaf(%;*yU`R2BL)GcXBN2fA{E8)>&m ztU1#Gc<`tIj@pbAno2d>4^S|$Ph*{PF64$EGj-q|h~f3U%<#9(m&P&?v(33LW8kB< zsjJ%NLkA$WZ6h}gkHDOP8_Ni2*GbR!0?9H~vynC1l2^UH2+}ZPH+r!BenHyY=ep790d&B0|w<_zBb@X<{V`2tTi z%Y}G!(MX_PyIlfa8wEY<>wt+tYnA~axn2&AE<+Lh+V@)+f1W46hpYaI6bS8Wf^bP+ z+SST>uhP-eL%ukkR)jEM&F$V``bje1BXA7EvFCYihRpnemuly^K~IE8Zr9zfH1Ea1 zgrEH4VAH7Y+lzy#K3;Jfoh>9!CWQRO;m7>qV9EUAaPt;k94wPx9B!r;2j@^;9B$wA z;+&Z}v=+2k@+!sCOK$X9p4jjzG0J6wP^pdCf!E4FHZb&qF+0?(;dgE@B?r$(+aF_l z@D$|z<$+2Es5{5va?$7PC|9-K}WE|Vg zVq3zHZ5M{fcG@53oA3X2x7e02WGl)r?w@SO{@oBGeY{#2qQ91JV(L)Rm-p^ts|6{T zJdI8K)-iSHxY(W&5yyQjwnMYgP6F-~M^(#ezB<3hFXfvhs%e9M8by6@cQ9b_)c0`q z%#>VPa4aEOQlh$HBYFy>^G&;6rw&=CeY<^*+>E=zSY~+l&fXR7a-BMqWLErdR=3Tm^jJ&- zoSc#6QW55)NG!XP?o8^Mo`AKj$w3e*=W~^8%l6{o^MBo`#Q8j|crMqU!>p`kVZ%Go zq-*kSGz1Cn07+7`P{t0(+OCT`^R%<7a48Py_y|4VV&oGRpCO$vx5J%bW;DCA^rwse zCyCVLj>>l0zjBM|&*Lr$$4$W54iBdID7C(tEu6nPVd#qckVOl(*o@_A3ui9hXANmJ zUi1zUY;w*++w&M{Z!Xf<50@f+_} zTOohSf?_pXGRjk+lj={h7Tj8S3OJg9B&|lQY4+uGI_=dG*;lxpQgAzBDMwP7Ux0M33@4XW;P8WG)vvic*VQPQrPm&@CVpKns4?`co7flc z_vMP+-k7JQ*yk-jrr7`I|KxAIlm53X)K#bB$rby)n{+=fcZi+Yl}5!jApl-UO{%NxgBox z(`m7`_u<*ozW>`F`4ivqoq=Ohx)CoVJKs?&B%`uAU`C?$ZG!NEMX92j%Sa*YD<~}b zZ7z5~F;~iGJ9z9`LJC3N5Erz9D(I~+#6s(AAxQ1(f^e#dW0!&e5<*mgAxQ1(g17{D z{7}=o&315()V?kVjt+3HQg8)xD{4Acr1o_|pxBVxfL6oLBYB{pgMwqrFs(`M;QFd0 zYG~b(;#Rw)x$0aK;P+mV+SesdKm_76Nk+w#nRo}t`C!ntyVWjxU}K5=R1UFN6YPy#4h=YCUt*v zq#xd__f;u}r>@(Tpk4o#^}hXO2wBrFT;q#cwm;n@>p7Jq3;K51$qRSwIvy2DjnMq@ ziK40N*mb}E3mX6ya~SVd_(5&}yyICV3JXihRCH6L>2sD!n@ow$I+RKvbSP6Hxzfg5 z&LujSsgQj>VWfIWrAQp+e0)AW>G(L`Tqz@f?vm6@*M_WR^5m|kmtPTXNI6Q^FWq&d zb@C>vdU#h`C22;Ygw-2=yDv2u*S@;D>#H+mIdO~nkmuT0cRPJ`pZ#N>_0_LM*r+#S z=(tFvMRLsDz}6SX1*Qh35rT)qiQYptc-e8m@MuO_a0+(7jdz0CFz$h;5q|_>rnKMZ z6|-G5OOLMlE%>92EZGHTqxM8f@>aeoM}!F+{RQ#nV4 z$2dw<82*EO$b0$9{%?2)W1lE>jU0>DAj4sjn*=ZD60hayM2_>U41I>jD#*-RzBghl z$b9J6{kb3hWubs?AVpdN-bHl@QY7H}7?lNu5npkqFlyZN2ULHx*b#zMz#+KephT>Q zz8Ot*g&-AhCkWW=lC<~r&{V)p&}j#ukboDgf>gjRh_bknBC+zTDZ@B46|f6p9tNpb z(AW%mLd{l&AQi9+8h<`(K?eZ==buLuuuB5$XuZ;a8uV8q3fLw0@PkEF16ti3d{PCf z;+f8zsrFHh5m7F#bNFwkZFJ2`%hGwlkwpgwy-6lch7#w)r0a~0%leumwHr~FBB?!0 zx{pl+jT#q8Ur>6B5hkT?=l2EKGd|&RKejTQxBQqg{GM>bxygv*$Vjm7%X_;CmDYmcxonEn;Ad zuV9*vEG3J^j$@bVG%L+ehDcrI z0ns0SBNkhfN&hiw&Z59nhZ^`?H*P36>zBP(Dt`?S`k;!Td(HGtpSo%uf`hJAjHlp> zCe@r?Y$-S+`{oI)xd|(K1uj+1*M!yGC9LM%qTak+6IQpAu=>Pb^KXCY=LHdz`Wg;< zZq7SKPf5*bY^HsQmzD=I4KlDA&a_XS7BXL0k~Rn#FexPE5F^J+$L*4)5*=*-5hy&% z&dOW_KgWY}$?r#|`sR2Ev<}2#APm|7Al(I4IbJeW3kqt^D4%1FmoS%Wr7I$|QNthS zSmLDv>^*)TED6h7dt$Dish1G^06QCfl|CsKFFDHjx$>R6Wx=kxZUVc@JLDq924EankM z%7+-@(vmg+9oLpL>F7-nki4N00ZAF{^4gC*(pOX3$kOk<^sMo*KNiXF)s)_jDgB23 z`p19ni>6KK7Bvl8NX*9VGiJqcz_7+llB=0rER|T2O}qDMo7Av%nvt?~_v%NeO?RqwXKx}N-}iUpAUGiRmHz9t z?@KQ}d<`qV@QRpAY~@?T?|j|*)tt#Rmyitod!O@JpYpdqp^*%YLo9aNB|(J*MEKO? zz!2~)wXNsO)&XLHB0lYLF89;Sy5Ir82}x>`8NUZK(#*P`C3lJL7>@SL5Q|1z1k#-W z@R4TLS47{dVH9`TOq|b=X0{3H=u?6=(A?WyOo3P^VI$!XoPb@gTFk63Y3whM+$^P3 znvY!|7JHDTXht2uZT|yFNaAar+U#rKax1oE(sXN(S{YCtkpacNc-TH=XoP?1)Wi=0 zQIC&LNKgDA=Ceux@rjWUmW4*Zr_=!cZ-=T~potGX(0BnbwGJ2=x@PwC zQ!+i+i{|Z}ws<0Y$@F9|jBA@1WvtadHR;h_z!N3@7DwN#ZT?O=dV02TbmVR1Mcsgi zs9!TZ+~6Tvu@r(Q5onwK;TCM99by5zGsur9iQe-5ofg<+la#J*>A5gAu5|B7vy7AzXuR8 zlJ3YKJ$PvE0lsv9mLH$&J-`Z%4dTb{Jpi4LzX$yI#P7j53ZNe6E4ba1FB%Y2BEM3Q z$fyEe((^o^5CV@&$=5t>3Lya^N4{tS3L#YY5`~e(i-DvDM^Mgpb>sXtU_i=@I$*fj z6rA%$V!&8VIfByhL7{{7XI!C2(rKI=@ew zrApQ~WSR>Xee-npnk8w1?$6yIH+yxul5R1I^yt63_raiKQMKvt1yiD6X%=on zxLYHwIN8$!0!d+|fEo-MXOck#GHZv{OPY`MVSp7)_7HV}9ogYT&^Yw$tgJTS=NKko zq!lMwLwKuaI&qRQgk_U75=_US5ogkL&=5$@pnXvHDA|b{5*{kI^00nRC3o`A~8T{IEC^xErU%IG(rm%O;F?0&>h$I5euB3>D-2? ztkBn^Oe@sX1=xE>fC(P=jhx%~@Sl0p-~8EBLJ-1Iw|GV%dckD(D}k~`Rl(V+B?RSx zMbP*9CQ#0?-;e{gD_>-Lsxe|V-^t*QVWPv(#;3d>KgT!mNgamF`dT?(E92{pe6ODL z7=hqj2Qyv9FD7)+$*`C30h})q>FE1`3I=}N6r2Dyfmr2ov+vBuEe061DFb};9oZBf z_oIGn)4W@BHTzFzZo=}E-p;BEbdO6?j!NbgXWJdR1iG6&VGs0^+gA-2H zL<8=iuU7DBej87RElM-EAVlsq)xs}me&nupbq?}ATj{XN@<|t&YwlHgY!qX+Y+Cz? z4ZmBA{$GFsuZI5?4L=E)&{cZ5X}3prB3!(h_W5?>)<+`EyG2*iKK~Y7f>!v(uYB`^ z&yRj&y%|H(PBI4LdFU-tHtkl~Lhl`q)K%`^hpyj#S!jk zfbPzbDzyo2kJOYRh|r~83{|QN0Ph5= zEyr0TcDO#GG#Nz|Hd1yUAF^ze_jtH$+)Z-Fh6j6^O z6K|?|hXBQ@NHZTMsN-lX;zP?m5tT}0glaV@Pkh(JymZ* z2At+lwGXOGrj(wkL1*_Ia|*{4)f{CuM`ldnd5UMG#&c!BxqSwlH;}@+_lJMv3#MnV ztVy>8^>kVuC3_yEC#vwAN9DAZ%rx+u>!Y=32agIBo(l#Y&K9hSqIE)$3a<(7k0fFy zZw?~bPLK-E1sfd>2OcYCJ65E^bHSj)Ie~+}TagOS1y7g^ERGiD&RB~T$@_+$;lFYZ~xBDH?@t?V1|*^UNO7J|rkGW0hf}KC7Q|;g z+38x98%xZq;`B(}wv5JK_ZmyktDMt2+tvl-|8aUe>GLqV>kO9b43-BD`jbH4-nt1# zdCZgjj?ey<_pKw)zae+JT%!G#xzjy5NJJw<7BOe%qJBEr>D8tH>}_yJtVqRSTYw(h zuoiZD)&32oFLS}*?4RiL0{Opkli*?`Ymp0%RP4T@Rb-iDg=X)rI+sNgnXX%axR{bV z<$Y4>v=Or5nmgr;0`cJ2pwsORUdp07umf9mc_Yb#xSmPXN?oJ6D)>%x+grR6U|GMI za(kPXoRzQcdZ|tgofx0;s{9<^jD4cSd0l!9^lvfH2eygsfj|7DdR!q8bb@?j1@5u{ zIyVhqr~4Sn^Sge>|M}e?3QWmV8UO=p`=EV14S;!reLy^#1^{=2@w|GJThjpKh}3pI z4r+oDxhV~Ryd%f%AysiW*rcmiF{c4q(;>oZ^Gri9rvb>)Bz<=WkW2N6%8~|HlUjo5 zR7|C!g3sqz(g4U4s0Vuh5xctXacohZwu#D}=W=lpeX>H7XOnDc4Nz3}zmQ-`nxr;7 zqJYlq=;kf}`}V4fU-vpNw{ zgcbLg3oSuttjM&fuLz%zfJz9COtulLuQaB}ZJwEIBUax8Q7uDKf-7ROL4Rnm`X+eT zZVZ}rS{v9a&QYws3!-GFl+Gw~^((5?H_1K5LYO3GG<27(R^KF%A|NFbvI!%@ge3BB zzYsuans)$mgyOyYG-uj!5(BA8eb7dZk|irycoGA-Nc;4tF=TbXsm^B4iMwravI4ny z`;#LNgcT^(_VdBqQQyV?4WY45lscD81?QDGOL{97N11*He-b%yah8HS)Y!4?_g>%$ zWL8|>6RXMkt$<{DtQTlh()sD2YZGjCSu;J?3w!s7fZ52T^&*)bY#iOE)1qjt$)a@h z^kgr9^47`Zc(yF3l_b-ny|95MI69L--2c#PZnz`2enCJ@Ch+cG=YD_{6a0v4O0*GOYabR=siOZ!Qtgr%l}nTuYune<*qF`p75(2anfM!} zQa_Lb-`ksYAD-I^4`3S<53dX3F%o`U0NzQ5VctdojKjCaJdeDCyzl`y#}1jm4_sJf zGCdLYmyl=aB>Qy2GWb0me`0KfW_;Vy zMIJNbZw2ZRywdo_y$^nLSK>kI!2>J0dnNqX1Rf2VQXV{Np2!1^s1Fyy&YGTz`yBpn z2*oG=&i{>X#y(MMBDIvB`o&(;plApJtVyvr={}{$et{k%Fp1;dAJ92j?-No>>A7FP zab-S`YeGYGPqU<|m|s3WHX$s_vOd+%D@4wqDJbgsF_|N1o(Iv-^2N2(Bdt_R^0 z%KmkBCKg8|bX5{(p~;=Nc7fmd(X9fCHIawzTOEXi%q0OvB|!n6d%&RvlIgi$Ag#KU zE&@Q=PJ=7T_sFWMI69)6{#{y^dxQ(pqhoq{e>d0p9$B6oZ!+c*DeXaA`zGn8#SztP zdy|)jDoc_{Hz7@l5xw%uBV?$*=e#!=S*H9ZV{=yaOI`zw2jWe}&i*FzVyito=zBPkU~8VfwI4Br~PrhIn)4C`?iD{ z;AXG;b369O50*EPJ=#L|wBHYO<`YcnlD0`ZfO@_3CTr3sem~ic%ja{jWN_Fpe{xi@)xTI|a8@4D-k?X*A6H{bu=3TnL^UsBp}{=2~smVZBeygXF9 zue|eZ6W{JUR6~9Y-|ig2%>39cDZ_jBvDJi;5aXr`b5qiOZRMR5GJNxOo&o!q&v?%_ zzCYSVRJT69r=9u%W$;I~e%Y0SExFkx&z@Z5)KG!ZzUI*X=^mc<-IG0o*L(G}U!R_~ z7pS~iYppFly(Q0X38UPGwlsqr=C~PScdDBq9+=@U!Xqa5X&T@@#g|fj-Q-=Ro=EDv zr>#dOta3|W)=Saf6R9#drQnhX`@z&*G5F%OCW9~Aq5m;{18pN|f6V+VqlR@pN9cdq zPW#Jf;Iu#5P>TPs=6jxx&+XX1qw3I~$MyJqY$8Oyvt(Ye8{=aDm|gN9eIi%bC!$FG z^Vx6xA3x`pg=*KzaSv?)^pi0VOZMQIk;o=W%wmqU77bpp%?U{q2%;vgt;``P2GRv} z+6DyC9Nibf#dJ2oBgf7msDe7`4^7o>>$6eA=!pPG(YPWGEw|iOj6vyOHSGl%sk9Xl zbx2+1fV7Oph_RwLk+$M#k6Mm|h|3LnscQwkKwAt`zzY0vfaodE7P5#)=!I}fK(bNQ zpBJdKO8w1>P~(vs>Kt9*t_guL?5VEe3eUDK=!N#2f&bebxZAYcfh#yud~hjscpGR; zueFWK6!zKL#(k7Jpw6|JL6=yyw?6nNb);|SS2pY|n$T8*%Enw}IB)qeW%$GY{+oaA z*M>5@ML+j;crPySbI%ZD6h9YpFhz9%zGa=+;W?+Y4f_c&b`W}a2X@PzCL(2R&{McV zn*d{cjOhlIK{AMK`-78q#j2F%~bRNuJ{|7Vw2jo+mOc_vb9>aK1si zn@NXJMap-mIsS-yfP<=!n*@^WJP)Y!(0roQi@v)~vfLx^1_;a24rLncjMdu9lS1r7beLy@qK=K)u`mya^8YA$ z7J{Xob4pW4+9TyQXqI8f{Tit>}YAS%8P#Gzr&n3u^bN?yhU=QkQ}F+7f{ zhmFRn_~K&Z*|rt;ipIdh1E&4{Vk9r)f|_K)iYWRr)059p^D-v6J8Vw48cH5*giIV; z^D-{!5Ci0I2JO&d?)*0g?;ispy`I6*C2MAD+QfE-*;}E4iSwLPx~e8?Q5vw2zS$T+ zkOnbvUz z^A}w5u!4G)HQ_F35S<>g^o*nA3KSZnXrjdXXIyjAc65+xGUbZL41=N=Z|9?nzu-sb z@MTR?uGdDc7DrbAt*wbyTlqDiEX<)ylA`DR+E-(P zt(or;(ziOG3K$)U%*z!eCA5G7U}TPK2SIZEJ?FhRz)g&!Irvz~lLzEP?qZS$&!6io z)cg|xUx}FQ-(DPoG~yADM>sy^h50$YiBG<&zc^U+5Uct*`-_9q$G5R}eB%0ean3+D z;31&$5*8a49}icu_=4-n78@o#LnjcD!a$@QVDqbs!0qB9@cC#i3Oo?p+q}PM&2Vu# ztb}4q(*6R)$otE~llJF!IzD``>>>dj04Pe{UtasPKeuClsVv3j=1Am9oDPs6=aDEt zh5%|3I{?{o5p-mL6#0-XwL? zu8jqOyOIat-dXyC7azWcqrG7BwO@Sr;I)^(>|F}umi_#29cu!2YhRVUc%niR6|27d z@a1>C{P4wh$i>N}aS*j!(v5^tOQmy=3z&UZ=wG)2(cl-0_Oo%hcEV#nEqAf;+5BPk z7R|dWlomn?y|+qK@w&e&tSSH0umAIZ?N5GUi(PIgqv#|^gpkXV@J{FKe@@h&HY+xS z!H}R<(U%G6H?)lY5<)E~3tCV4oHEK1z2aJMnJl9SyDkB>o*j*Ymof^9 zJt#V}IC27`6r9R@a|$qjcU&?Ag(O%Vdgzs;>e>~pK_#J*C?=IP=Q(SLK|Hb4y3Zxl z5vK-Km%V+b@xaG-M7F7Y%$^n(&;Nzz6`%6= z{2bqmeWJufsFR-Z3D`U>x!oDS7|F}e7YCWoLCG#X=MwO+y3sTyVDke=wgfQk z=(C~t2)UK}_ypdt`UD|0nql6D73Ma{Yqs<>Mm*_~@bsfw6DgkGjYj_YNv}}+<#DI) z#AYwamLi!H5q>37Ht?Om+dcr;=X(TvBi}PPx#)IPyi7XJe2-A3B^L(YGf|A-8ws7<{5{lnX1E2K!4KVf{3LftH-9|P&s2-6`x&8@I zJwWh?pjbE$$M6Q z*;R%T@Q$ExCPC~g_-yo4o1l_L>nvGK8HOMYgbTJTI}%-kf-UWX3+~XEC^iSv3kJnN znujKcDmIemoL-<5MEM+P9b6FO1DLl*fe-TxHC}wTufE!(s6apPOJD!RuLL)azLmWKMMBpG$^dPn zY5LF*S%Jnt8Q}PO!K^@Is>=?82g_TpJ@~F3a6s)^hwc_yo~%f+0)?JoP5^olXulrH zkrk)~OTU$s*}VJ2c$ zTtVt>AYl6n89h;CE*aFSoU__pjf9Ub*{D@_%Y#M3X-($enxwO&pTOnTU%1!|mjr7b zyQEo{S|MSWZ{uUy?q*2UqR-9LY3%51O3c=|<<)gS)DUJiKIxZZjIFw$ho-WJ{b|^u z5bKS7>Wq5dONVUTb)L>;bP~Or!I!q-y3j+H-gflR;nz(Z*WD&u3g96x_X6w%xFPho zF^-)PCNnbeH*sQlismqx$-D1*&u{zcpZ>Up)6u@^p8H`B`T+sUj8QsJ`&zs8bNeM3 zZc!#-F9N;&U@Un4ZPdOlc$R>H_rnE`7$&N~>XpV1c}2OAM!*2{zQS+NJGE9dZEHXU zQv3Rf)-nbDh3&xx(}y6nZxh4^DZCuEd*iDPL26$Ygo0#E14K|8jCAO(THQ!H$(WIP za)QxVQnatHnMST1jhNCUXK6}RJ|oFH{bFtPhNrAAU8(xNH|ab7p8t-?#NQ}!HstAJ z7}b_J2$AP71tC{n>k>rC&l6#fusd9?@a$oo&`3<6WsP)iuELwQ{FuV~7vJ*}|I7as zjKR84ukiS~!cT!7!;s)!j}?U%95x$s4*fN)3UBXFM^L&P6ipX`S>f$5l2D4781*du zAvYfG(h6Xz@rWXgxQ39t-RVrC@j7Ur|Lqduo15C7d@V;O;ZS#j zPU>C57JS3!mj)gEX+e1EWqi!r?w=IR>6j$wl`utt&pnu+`=?ZpoObsXn8*zS&srIL z{(;R)5ApB6xI57Nt>wr=-^n=HBsXloM(EZ7o1rx4Nv=njt|XGs%Ck7O;EfF zHWj-J-aBogGRfU~&7-5;YPqyo8}X=W6O~J1Dg_(^*!$JW=xdW?dZ-tt(-vHWeEe6S zLMfiXq!m{~-SDn6aCo){nw6RzJ!4pXeTTLJrQ)!(elBh#od+VcgfzYMvpWx9&^HSjv2CfZ6x_N)G<#EB-A^So?92=*jyjRUNLaP~0zHQ=L z;1Ly6$2m)Y2Rb#Z%vnmo3R;!WX63>htR!7m4UnfjT5aV@SX*4A*2&U3R3et7bJa{j z6ZEp*lw4bIn323TB6-J>6mW;t()MGwA2EJy`lNI2%D7xxaO|#dmun+ZY7b+A>tWX-kHv}0uxnLVH z4qixed_$0mqY1(-frA1^3hueO2rf9!MHmGys`-v6Ujpbe&OrXEIS&za4|abN89le7 z2Krnm0ddTwg;{eh$HOA`AG4sD^tVJWp}w`i83qpZztVfG8I~fS)%_rK01aBqh5E{A z|7tDPcCkK*k~424w?6IrP} zRun&P`LQT|{qncJcapN!I%^QkY?cGxzee$Bn31q&in3Ozk;e0zDd+)$P*$8lSqn}C zjko~r?qi-5@(|Qb_9$o`6r}oqD@XC*iuEDOTWhL}=%hyRppB5=1f`z-&^3xzz&IQh z&dVub3_{Hm@R0CvtMNXLsnbII;Ip-v>W4^O>b5ubnNGVT$QcD=>#8lhxtoaH)!I1b9RE92imJXIS%mvS~!BQEz;8`+QDnl1M%LPki z=z@sj2&)EIdbu)ux_#AG&<}v8iNnSL+6tyilBoj~Yaf~YfeQhuA9d}LBp+`-I{1Z{ z&mwdH(}_gEy5ywVY_6DSw9NV>UYq`PZk;FXVl{Ey|CxttUprWCzk|geK~j8UbZSda zbenK{k)^uaxwPe@**ZJHyVt*!zZtQ#>& zigRg_(7R|EWCj%eDot3Nr<(m#>S72H50?Myg6!FmcI?O1 z&W6xe-}0I0WwEFFQhj$L4^ku_Zzfd=N~I~7DYL~N^}Q_fZc%SDuDvV|e%Hg_`Cz1x zh!519cB9pjsoJ0W`49g$pBixtGAPK(v{bEZfu`WJhq=`fwUudAkOrD|*S3(WK%zth zm&l!nPaT4is`V9*$k9q>WefVuPEb;{CJ1~l#t%uV7S-fVkapJ(jU$u2k_F-;D#a`s z#-V9W##-^i*2br7!}&SBiBEd8n(6LRK=5zm=~`a9@V}5_;!3_<$zvno-PN-NkdjhB z;v=_|H^Y+0p#!%E_EIxl%CgnidY+ti!(Ww&<$DuD_4vs@`e}dVw}g6BTQv+zq+0>e z#_D*M4*UT2VT)go)Q0K_XWEc!%|G`b0^}Z=?+E`qhb0G0dPm}jLB!E|0z)u|B_R9K zf%A+usvmDqT@NBKe9fYb-GF~Nhb0#1;Ne**awuO+4ofUKju4`a@`!URVaZ{|N+7NV z8E?_yc}dNA`&sVyq~&5*LVm9LOLlbNPKdVI4jG+G(5RE_@LPhWu>M3(s7OUSQDLca zdP-4F)1|H^?GN4|`ScnkQt5C|j}xSCDjyCCY9}@f)(Tv~`zT;66q0O*2BHE(QZFia znAcDWkjk(f`^$FPzXv!WZn;DuGBK0!I!KvM0Ho*##qsfjl}&kRL!rueip%pn_JU1l zyvK(E>uWf%{Fp-h!{7VP|M1U5?}H|cT%lU}2oxVn3>e_sZRv^Jtd;ZTX;Sg9MXlt` zfr!*J#tIA_;`G_U;KA|^v_os>ne?(*{W1i3Z(I;kK;Sl>5xo>e0J&_M6$-vM{(rBIZLa!GAthh)o3Xr1eMJSr!N>q4l9ACE6@tFlo zoAUF7UXp3Wsn*A@{jt7J9T;49GrTk^BHw*NsXVsMva_35eoSZm)z5r+^RtngR*D4I zSw@)f+-{2^7IhXr*kG36QlQRq!L!5=)LAZ=Mxd=Eu*>{J)LAarlGwWu1_|mc7mR^r zn65@Gm{;WE=z=k@Y-Dg1b(Rapys|Z59Nmi4SxvBwD_i5bIze#)T{4DyEq&speZ{IE zpGue9x8YuE!rklTh!fZ(W4M>kxJhf~yA#8`;9;s0=#r18TUEyelA@_i{lbcbjk&c9 zLhrPxt4;s6`?@My7c%Yp6rDhirv2Y*x9k_*suO74vi=zM-Lj9{9&77O=)-}!y{5)* z%)4TU!j9jOtbHT(E*Kqz&Y$0ggbe;-at>el18x5%#r4}ej?2F*~|eyp+8_CQ~q zh*@)*<`5Cyx)U+)7WHxOwZ48k_4PmgAN`tN{1uTqP+-105eCyo=fajFSfy!V&;m{~ z)daOf0l^i5V=-tB^{>+WKn&^{*1dCMtG+GR0ZkM>WA9@(Sl z{$J?mWo=bLr&BR#S|E#rUe7QOi-~M?Bmk9Lpvaeu9}G}#geIb`7@$EVhmT7#K+^vd z29OEW(n!`@Y}rox<9u`HIhiUiNq~xXnU*m~i zrakpn+xYC-#(B5sY8!O|8kn1&!gIzaDaq)q;LYJiP0q<||h8Q$6iTiU(HiMu& zCqQgAo3wFD@ga`>gbswprd=zy+WpsefZZ2H-OGS?aSgEFKmqm)AB9%UU*riNr8j^? z#{Id!{42ioeJQXJM2Htf4SoVtnqX~F3q~-|1KuRCF+5l6>|w)BkLRLxXe(}66lACb zZLcNF0Fy~zV=IC#jRUuEXy}`MMG0(75b6-U-2$OoO1?FN&Lpt$6%nQ>)^52Gg1Rgy zfsF|w9jo)UP7@6~hwV~(g-&aw)XFQ0^7hNducza~NEb&7QzXTAwS!wRnAjO52`iFP z#rM?d;adpOu)81qYO4Fs0@WwyWemzxTLneX;FHv71kdX%`Z#NWI?bTsgpz=o|X;d>>o*H5*X@ zvdWLu0%Yq=DE%uOX?`m1)+>qgZc!gBUhVSj*yS&H&#(T8_eZu=og}x*9w!Fz#d<9^ zFGqhRq>EOx4M=!uKzT#JeKL|BkNvcB!Vet*HzMjj)-M6K5?-@s4GG5X&?Vq*#1|`= z`c5zh+>Q9+xWuUNPLOul&k>1S&?`x-zsG#qdetT1KI|~LRwdco+ezkt8x12{SreQj z27!(rsI;Orz!rudiJy^gj&N)Fd19zGdhgf@vKl5Yv~K6&ertq-`!ERNb3z1d#5;kD zp%cc&-KO&_H%OssAku>?R@c1T(-0G_=09lUbbD5~e+TY{o{fe6^f&Aej+yq*KQ86r zAL$LN2XJdLhhe zl+PiJsnsZADmCu0uTZuU)o!?ov(qf(zB>Q|(;uXX7T8tHA!nT@Rut9YD+0(Ny>5rY zQnHU(P&9`LT0b5%2Ve&MIf`ocLvPrH%yQ5cMYitHqT2n?cqo+zVYdYfa6ZRbx=7W> zG6gys^u)XTXhaRkxAFf}AB>T+>GB@)*wzKpv2&C&JJLB*#JNI5&g#{!cUUZbXgvkc zI7agRB@mGr^%jOM{(fDfoGT`T8Rd7qtgQC)DWe!&y^$xAzW?(-_#56Erci+fZVDZC zaL@rWC<3DETL)^|S7r)b5Tj~r681=U22G(09>8v=SbY+wikXQOX$qU*xi$`(LKke# z{#bVgO`!{(?arVnbiuRT83hx9Ijh?CFw&hNI?tCxf(hAe&~~Ac zyAEq%laJb(8oPj<5{>cw&5@ZsE>IaE;j!%-==0J{dEsg*3k2hc#~2?;?vwd#3j4wpk43Sjssv)jp>j-AIv8 z+9@Jsrri^{T|#m!kupR3L+y`%^0>?X2o&{7gCKK+mk_)lCy2UTx?p70Nzr)U?jTExMZ0r(;UL>Sxwp`DLHbSP7KH!^?oi z>4Cz{3VB+9UqP-bjr^JDhcBuNegw&gET4@U7hZYouCTL05KmL;=V-++Fe{dTI$)Y~dZtnoQ>0 zLRMVPGvA@}luh{-ou_On_vjpDlW>*!d7hzcdj4%<#9Z=-#F08>>!riaR>_P8B>2^$t(d{QqP^eSpRS9u|>`zwGB;&ICPkP9`7dyuZ z9aLznL>eyE*e4$3EH-OUsgw{rxm^RA1Ys%&w}qw2(pI__?>hW6*$zJi^L*hCr~MC$ zO>vtbP~0BbPW!JHoGTKg)zfA3fice)rPSD;^NI`KCaEkvKl%roCG#@NUVh6|o`lCoLPPLiOav$4)r{it&#krTlvwce-5M*X;3aX=>o zmwODitS&{i>Bh%I!4r^BRWO@$4BgefC>&Y{tMWM(w+mwwI8PHxu2>AZ)srW(XA1EZfC2SD4o`K?QD)?$xwEyBU>vx9DoxpN?t& zeeeB>kNZ$et5=k}n|8yzlarmnFcPF2a5%ssWpZ|^1s9BlC)CvY0i-nTF1ULfN_uH| z&WEOHZ!4}z2M?=>VTH!LWMAEzWw%t_kLUc8O^ayNjS>ItOicc2sY?;`P ztDOxukBdC30X|2uFH&xa$Pw-X7?#4Gzoh?TC*@ z+P^O_TuQ)%^DX8OV1WX{m9C|H{&fH1`1J8&OMaUcXNsl1Jj}Gm@lsnf16@bu=r6*= z^1U7l{{ zsT52Z(#ouT#SCcH(8#)?KZRGm*4CGD^n807!OU*C6(|!1)okG2Mpm^QeSIKl%?9dV zW0PrJa#HoG|2@W#px;EnRkug*%Bs0%ftOTtJU5t?F+Z#9Q^^Qg0k(w;DQxJQFGbg6 zwc987dbj_|^qPt8Bww0{?$WyrX1nw)iSEkg47DquW_!{n)b1d8IAi%I)apO@`wt)Z zu{TZB%2Lv1Z(U{{?boEu>9i;I1KFMJVM)&}r!S~BY&UO?XNqNodP5b=NgJ?uf~kyY zjul7$RKc9IX?<%uR7Ls}OHSIH_GsA>J%ox-O`J^XA-?hAN~xOZIH#L8);_ql2={C7 zRa>%?(IbqFeQYYnKIGhkAJG5p7VS1Ebr$h)T9mnCc-G+WB=4@P1Hgt9K1$GAmgIog znCwl}y!{{>B0bvqc#_Fa-Y2)i|I+M9@+L2xCxr9O&Me_8rEVfUSNZ&h{$Dy9`ElGG zeCu1JvBF=Q``E&787<++qu}k>2^GR>e{aT%FM4HOSUk`xSE`n~qiUIVi+Ydy+7f;{ zE#aH5`Ltj8pT{sg1?G6Ogu!$$Ob>G;1ltJClD;QT5}FPhY~<40m9&HhY_KDj)-ng% zFFYa$B%)|BTk*I=Y(Y;OcoZ8v5rVXDevZ~{Eg(p=U1!9iO((S#F&IyM_aL#16~!;~ zLvI;S@zA@y03zaIZo?3&0UPneM~sl0EB@PkEt}7TF_ctDvM!SP$kwR?1NO-cX#CP( zEa;SMO>Q~2aV3{tf%kRww0v%RsV0{1^*S>tU;fSi@pEqqe3~va&`GVAdA}16Io{6E zUS_D85#_~4$~8!9dPdgOSfN5OWStZUZZu|T%TowuorFA#c1J_Y86i~&(&zR=Tj>>8 z+7;aIPI+aWwA-#&_5J_Zdl#T<)~q}zToZ1QKvlv;$UzAq^|Ntby7y&BiU~6)>QK>t zjyf1-KF`cKXE-%8-}#wy92qIbSf~*Q5=dfcNg!ybNhAbGnIck>7}QWD6bUAl##>23 zh=~RbNd>5A^m*3hUF&_jzrDNv|NFl4&zXig^X>lk>bJXhcdyH{)_N8NmG~lGwcu-} zyvXom%=mr7j1MeBTrZb|c6Ui5h4Pb}q~=ujT%pk0J|Sc2|CZRH9$giV#w$--`VOU7 z>54OFsN{SB$0M*eghbb6U3il|ye*l~wOlcU0**EKUjjs;-thm;?mhKKZ>HzQ+h zep~a^$w*5HV=}s=-N+^WOlZ%VL4=lqT&u=ny()unfyQGiL>=K(kXEEhxIm!FpvZPa znXJ{TXiSdtYW1Y}GcDJY?(MourFZ)kp4vEn*6LL)9y>7@5wpv7Iv~zB=bLt@&Z}bX zotTHTe;spo>(vh6bSY@q9ade1Q9z*j{bW0i-{E}o{okEdUDe_NfqH*#$NoF&y4cjm z%Y*)hTBuky@ofh?je)VpcK}Mnw;gyue6z#1xRoeLUh~`GCeEWQ)rscx%?d7f{-qaR zl-YvUFFf~tiK3o=;l0m&aD^WN|DXJ!BKYEYxyh^3*(ny|%<5T=4$)`#-}dJ}Hd0Hf zyjzC|Dqkm_A9gxDIJ*YPj-bYB$C7Y5LP0+ezGB`e%ol$2FWk$0C%V4*|%ngC|ci7o+k^zWI-MnMvDgs znYXs>u5yU*uGk2}9xeaPNoFhmz-r7SLPR@Zw#XN)l6pAiG!^H`TqoEh{obw74b+<9 zpQJGGNvHBPi^}E{hbs0nXUQLRGe^(_rLL<1LKWFeW@r%mwHX}*mz5d0kccLnSV6Ub zE-N!4=wq^bvYqr+n;@E77bZ|`s9~DXst{mccWb>V$Z(vF{yeVT9%2(lE+uHvTa1iM z`gS^U>KO6a7x(}i@t2Jq=d`(0UsPe^mo)8}la`$}7T@n!w z$wlyE?!Zl09sQyzrn(Y!o78a8disUwVGmrhI#huY1C%hK^G2C5eH^+nKB>l^+g6iL zg`Z=+u}_pp)lL3#`(N-3wiQEyl4Z>-W;*;$XtKJ87y(1&U_zjB5M?`585AEXY(q0b z@y^nWgYKWXJcTG}%9eo<(VPHBg56Xrw}A&?*P_nW)S#pOxY{ z6ne2TUj#Q6hy>!1QJG*Q8cD`yROW0xOWZxh>(LZ&CZCm2nV>ELhi{t#$fyiFBX;RA zy}z|Nk#R87wQ9-tPPJq%cL7wxs0 z=^=iTYjW+x`s6|dtQIe^kxRD8q zH$gfiomD~LFKv%S1?luBUIerrDymTFTmO(%>jc?X6@J-u#y}UpWCiJ<#sKP@YvMFo z&`ZEe|1A%jqYml9uitc-L67I#bL{pkOkg|4PNJ!N>5|3vHTTF<=+V(wS0DXu^tdSb zjJz~hiz?{3Z10s?w~ahM(z__+tLyy?j`%pe%K%V|v@g9A;wyz6LkhYI#`s<*wJv^8 zsC8+Fm|wVTk9Nc*RZMdu{Js<}-(TVKv|H37V@u)k?G!GbKKsLme=B?jokQe4gAYNn zTnr{SBQ2nW9Wk&-qA#C;grQEbiJUSGhA-H}JXcAgFB3eX=UT%w_zVj1#fsvx`HJfu zQsG>&hcD2qC>q5DeW=oD2bz>dP@*qCw9mnWOCYmW@;QpuF+sQ^Q`U=Tu=N;IM3bMXl(rG7yS?#W;N_}_k8?&lY>$R!P8B3ORffTeLVN zcf$em#;o43e`E%??RS;RIVn>*8c{hgv^042TI;`rW)@c1XaMz#<#g-gUe7(Agm= zQ#e6ZF&NibIV7c>89t7+Gsh2EIV5QeCr8lL*R61{y97Rl^GG+Mm8{%Fzzk9u35W?8 zMYCqUJ=WQQOGigWdwVK}1oew($D#8e%zn+9`3A)pPWXXm9TICpKy4TxuKL<%IV5V_ ztthc7m~WgCT66zTc8cP7_f)P?7~>-TS4G_&5jVm9$%|;l%3{Xc2|d#>mRVi!$p>*R z?g$^v?0-FhJAz5z>HP<=jq0D&_T6rxnEi3Oz^56;L5a))rraNxGVK;EzRTVCE)Txq zhra)_!go<*%fXa_vLg)EEC>=XHOj8Oiwg#K6^st;QwHv799^*R*CDTRHUX3KD%MD8 zYapdV)95Qk@$DWQPR*g|ySQL!eCyZbxTi{s6{*l%@NhgT!3qeRBwLaNsk>Yd^eglU zkOBcQd|iQx#0HBXT@ntM_GX@BW>Ee6R0)_KwXC}4VMrHNCt$!R1K0&e-}jbrkL#%t zFs0WN=^vYXm?ZP<*<-dJ;0z{;lv^h5<3;a5?eJ_yD&5Upg$tmD3M0#6A6oiZ_!IKY zHArv6S8$)h|He1*$-ncz@y*yLN~NM&Pnnl;q@}3#wJWNfnj5!QV1YNDUU*~LEm~ZJ zyKxcz>CgGfKe`GRK}(+9MeqV>1L`?6NEsA!r8EQRBB*H;(%cUj(`bWS@Z|6YY8GS= zU0#v!h6|$NTB`+6Gyt%H2Hn0g7r_NF8ju{5B!)j2vJ!%H5sKiB5xsiU)v4Zv0h>PHoKmd^0f{_ME zO|RHW99&!kmt@(85KQhj+wEY@e0TQh>G3DhwwwH0N{xoExko{~tVve6!J7I0*f3+( z#^1^~95KXd_-#xt&2akH>_n&>fQQC>o8!<grl1xjEy5(x;W5%8_985P!_(_GOuI#k z-*7j6!|u0#*{A+WOuf+|%FbP2Bb8BCc|e69uy3@jifKCe$a)xWS|%3+!2oHWk;K?` z$YwBfwy&(V(*+TWBfr8GVRW^~F$8IsToCn&8#UgAX&?ltL5d*kmaYg+I$HUBXzCUh z1idQU1s)oEC;{${@7MnteP6yF%#^@kAE8js5{MVn#c=|Ec!&2 z*Q4z=3I|QbLSQtHrbALtDf*@}7;J68lu)9%Sl-uRkGkoa3(nDEdGE&Ze(>*o)ffIZ zmn`r8Kt?OIlLLcMS>6uqeK*<39Nz7ZdlDaGjC5(;8@IftHNkpf?0t{wx7w;@%L~k& zq=gc$N>O5%Dy4UKo7NXj*)?(y&5D)fMc!Y++CAGG8^PN0qQ+2c`39`ZjbLSYQNqU( zOITh&+yfh3Szfpgl3GW&E@NBroa;gz- z*k?2P?+e^m@@Bjd-a z+U>3$p}C)X*tgf7Q7+q0()-^=vmWIFJ)zrUzxnELb}#|!8H=P-qjEw)dv~-K!wCON z)g=-IR`3}&T-+XC^N3-b@Xp{$VQi@aC`pn|sY}|V3pSh{E{sKhsHIVMUA6Ah!d~!O#M{ENTDU%qa&rU(`pJ^XHU zf(qN_&EM*1hH_ktPDp1}6{eP10EKuk7(VFe78P9tU+a+szwMiU_1FADBEORsIxh#b zW)5dL!jp;dEp_`@-R%e-Hm1ylr$Ky>+({^pp0((uCPw7-p_sFv_#iF_NCTlb2_1AU zKo*pj(VPCFiBTsiWkGrBe8tklxIq_Vb7*x z_z#(S7?FZ#B?&APNw021f2qJ9`QU0{{WMDtBc}8WjxH9~Buft?5C&oPuGY-ACwdq+ zxVZK_^Zkh)MzlB(eW}*0Zjgdb>|sPZVc>r@VSe*|)P01{B>O-m9$3h2aHHOwlbsLRbfO6U?dnBOyb!E+lxUtP2Dx>mu8Y zIZ2z99!Cdbdil}8h+o&u2`mKZ%5t2P}i`5KMH$A&ei85qZ`+ZbPEJj>`Kni^A<$zo1ca*BKhL^+=;OwwQmvZG1Wi?*PHfYsC`{9hW2bRkyzAPtVr$af-$rQp7Yrj zN*m6IlSI>m;s&u~)k2vr=V015Ns_YCkB$}*)v4%oFw>YM^W8zHpp6)KT#Sg)B`@k! zDw?F#sx;ID)FL!iT4anRp%F~dNF%8J-3O<_&CJ)WFImEL##BeQkRm9 zgmr*?vO?kkrqoykx06T97dmZ<(^Md66tFy2NrpYTccoINEkCAGzxj83?PtC*>f1CY z=xBsx2%)8RXdS0li6XN$KPfJgWshyxAp}7*DG5EO^j1Timw zt`$qrH&$8~f^u(6FeaveQ%{5P5EO^j1g(dhalZDs-;@eR!8j?6DL5QKFAlA(xCbkr zR+yoAOfiNyv^unYY*h6jG5{0{QsesfnWWTI7evRFD1zdxB_vh_FZqf|VU1Odhnk5` zJXroOzKKuydwz~@#y(NvyjO_PuQ@jd*Bf(FGTbVUVC2^Dl5TP`4Yq1i!JUILG(Je_ zop116z%TbZX9ee5mC*qLtwx5+O!bsZY-X%Wypt|Rex6Wa!tVPRUZFn2b5+>F0e6oa zaFcFPYX%lV{o5hb|5x7pw?6nqpIC-li=kbZSU0;+jayZnl*jTtSjHRae9V#`G#=U>Tf-3L3eX zn@B?epP~JS*j(33QBhEAHl(3xz&*!XGH2{pb5RwlJ~5EKgf!zHTu+&ja{vb!RM9iK z)RUu0x;)gMeaQHbpMw7l1vmDIQYio%Ns=xB*wYYz+0%MgdTiSAV|wgweE-`&`@1h; zNX9@6Xb5eE=P@LoGXvT!Hgjx-RmQ#cE-OGg4AQSMaN6K zBtWWjY*)+}QkmlmVg##^i502Ge8r4P*#O88%oq|XVr`D^o*CTwsw)gB=Jv=NmCi_PB%lMVO)SuL9o+Cg7CYa zeQORkFqRqx_W+4NzcZNBT!kCTl81BL><5#YbGT8u<@$|h_~RUNxPf#b8!iF8pysEI zbZDl_RbyC^R73Tk0B!}vOuHl$7_l*O|HBSf;RXT|n&~2W+WPQi6lJxN%$~dC0W3^X zBzi*St-40`6-!jBy93rn6rSROP-wt4bNnRw*Vo*4n8T@;u2aD)$$WnhRgyXqSA=w+ zIv(lh`3CLROu>mHCuKiIsCD(o9f_;!@(Jw+YVfZxLCHROHz2KaatW>;m5Nn-v>*u` zslc3kiTKy+kmYP8UwKeEb@j-3jUQcI535=EkJFqBcWL}@I2&W18V6($pW)W0hkj*l zF>{O?Bg9I#DLwToV643Lc#pQb;Uk(J`xX305`ke&3>v9#=(BGXOvetfLa_#(4M+k) zZlY{RrpJEOA9q__6SaziHPd6iI(0{~Vj{)|%cXH~p1Xd^}6=RWU%KGv!;=R)5n zS}M_rZTp%u|!};b$;cmC;s@ZH1sE^O>IDU`w zg+CJqJU|2H^M^pSzig-dx2rzaHgu;|UtNFST)%9m{c*ne{`VW`>gxFXfzt2S4}P$G zIr?~&P)vU<-+c3%`lLahd5A4&#nQ@)P5jnn6HE1(yyhVm>-UMx=Hc0cRp@(Z+8y*_ z;n#gCyL>jAUtDoO*ew+o}j}Mxm((R zBQm_L1xiK@Je_N$*W_fX)|0GUIh_hZH+Clp#O%E%lg3Ug?cIWJYWQ9ls$|gsjqQlW z(TzM>Yg-5=gNDq<$-PJh2DLe@eC@&kdO}XPHIkLDecZw;QX_eQwak9;MT1sbreec3 zsW@K?^~H&E-;RI9qYOJ$EZWQrs5+)&XxB|{V?K#7nfNzKrX&DV2LA6IP~P%)y%2W3 z1#a%FrrooIoydHq7aUEmphHc%6cHMVR_9}2_99&XSRT7?YTEKGe#2Df*S+nF{@zzd zs#9~Ot`9AP`rvHI3x6&bJX^^^eOLs`#GWnaoaA-4uMjX(AG%-+>;dC(TSP;BSOm+w zo{@46>O&Vy<9ga^j`^BK-cx=3TQ~X4E1tjN=Xl%W)6F_XmDYHD#gvuf7?g%?ah+m+ z;n=utIjyn}%5u%hhtl*%IBj^dHrY!?xn||_$eWjZp7u>_SqhQfteivzq|@>Eq=e5k z=otn6U-{e;j_2d^xk&rte6!ETa@ES`+%!I?Y{&kzk+G#ePWWiTJ>URZ6zs`E+48ra0JyWpC$LAWByE)_|L6~(Z- zpi{mdK)==Ye2HN0XJZi2^d&Eg~NbZZYShTQ}YPPm4?vq1rn7whd+X*;4sN+y(HP2e~ze9zTcbxqN}Qwn=js~afe zN55#U)l_=Ez!QKM`gq5TcUWKfG$n2+X4*cqExGqoa=FwL%`@{;YKk-r>YoAll3rzi zFH&(-f~0!00;0zW!HB1L#oq;UF@Rnci++z5UA5hXTeMj8yCGfwp5OY$cmKvux=? z4d+P6C!+0CUE_zt*OLwS6eAxeMd<`i4kG5dSnGV%iugZv2Ozm-r>9oJ43bjmSw_Yd zbr>p1w5WbWbFtP6m3G$cGSX-xu%#azL1EB2MVV^f}Zx5 zs;2}E$#(4D&?dAT@TgC~+nbk@kTOnZK-vz{_ljo%0)Q{89jf!k`R3vilD1cg0OdbV z`_pK|`74)t{C=qQK`~A`VF*;mm+iE_kkqIBPxFd*8V-DYygU=}P)c(OhdgNEQ%N6q z-~q!S$`+4|Jn*OkVs+sLlziC4QmEsJJOvl)azd06xU?G%R{887dBr2!|L~vuJ#~M!(=nlt-0h7j?4M#fne$BLD1c13z*8D6EJbTF3xJVlS90MgjoW5r50haLqz`XDk&mFh{4 zVr)nIlUKSq^yo&Xi^NZPQID25fge0nVn? zW}2BLg?u|HVJ?U^O2np!Jka)P ztT`b_Q|*G2M|Z>KBZ}aP7e;rZ?Tl6Z$hmHqoo1*Pho-6aLu1|m`pzhb=3GtVh9FI~ z3!*2Lh$B~2y8OH%!zdR-QyCVb;Lgb=Vns34E(ty#P9EUZ%pRVtMl;dtxM>YDn{m9l zJ}V$Q`P4=Wx|BD0*_NTndfYtn(FZ;@i;k{5CZp?5o<6b=>&z<#6GtwEA_B;HDDn`2 zH3F;omed~M3u<~0Y%G@a{w(RVTePHZ?^E^?eO0Iae` zmP(*G`|9Q@YuJoNke1X}OtUIMZQ2M*1&7rogQ>!1RicwzSsCKcg29_$DV;)=8DLsn zw=Kn3zG9hGiBXm9p=n9|9Luaqbcqj!T1xuDR!p-hnQyBPEtb@mEcxXl$S5?;7FSbM zS)++h6LLp@p@TIAA-AKKp>AN-z(lg<=%PkVvdpT)k2)wDmt>@$XViGhAdP0te1BqA zC5qb>I89en-JqCNxoHNTP7lu2tY4@W8<=!8-5J-ke;Kxd>lf}*$V&E4&K@zfNl$hg zB`VbgG5;al4Ev{CT~2Q&Wv@rj&W6ie!OR36w&mLcv~rnM8F?n4o$2KV+KFHHwVPGB zI3V}ufSA6z;3F;$$lW*~U%&mwGAH#`+& z)D$BRb993Pa(-BL^n>j;1Cw3ZH^k*y6tvlIG|?G{t{f1smRo%rs>m9Hopca@Zw^vI z+c9|B+jx~vT{IBx~OnX%asML#No=hoLL4FivQ1f92 zd#W#(lmYB8HODz^`PO1F$NBaD+n0Xjvk~qoitXCglXx~1kF9wd@#!Otrh_b85bSnF z6gWaPl5?E+d9D5gpk!M#k#n4-NEijX10p)!IX^UFGBOIHu;>J;Gbplrj#Z8`rB{U~ zAPZ94`iiBb_jIHUsaLG(PkitK0v;RfYHP4oB-furP49+GeD#u5{YljHVn7GAZ9dB? z$B8wKj0IINiWsM(=M3}#k+m2kKHM4@CdqtzK-&UVMjD!}_B>Zd&-VvF0B3fgMgeut z^^Y`JgR6RsN|mIEtq3_yyOJ+6@EfW!?N?PC=X&Ep0j3A_8cx~rk*Kzjt3U5RlY6;? z|3$yEOlC^%(FRIYFbB5* zIZqTF!_2m^N`+Rg$pOqm_a_kE))7?><_fHdvA~-)^s0k7#55Wvtp@>B4(1S;!U)60 z!lxPlx;dDG{pX4h1U}uKV-?J?^;acNC?RaFrV8c?fhZHx?Jp_rh2I=xjg4jw8SKHD z`R)L2W(yPo#F3;xg(hQQGTDVC=tPNc{i}<6?nm!?_*Nnb;*x=6zCpn)0#3StWOawY zEGu=zNTDN@kdHpuWl65aNYJSc#O)S_HEvEi0mPr?u|)gw&C<6vf7tyN{|o=6p%2j} zm4rVJif0?X50vN5sWnhh}b7Ktt{%l)}5ru-I{2UagW_kQt8 zuyGY%z5n{%?|jdyu*JUrC2T+UuJ?olzT2#yQ88a)MCwII5fS;4Z~2%{NLAm;SLQB@ zv6lg4*zBdz2BRs3Ry)scq)~K1LI>j8fPmdN^~f^=Dm zAZo9KzXJu+mU|CDT0|E_@w1Yz_84HGFl-3YAiCfIgQ~Th3m2t8bqG>pxgel+RA#e) z4vp5WAeB`S^x4;(KB^`*Ruq@TC0XmO$KGPxLUY;TvJ^=a?FlB)gJV%0k+dcg;4TR{ zbsGA8%LIARzz25R;1T>ntZMbafe10cRHG{kpn?cuh)-h$v#CCAG2@5vFjB??!ECCJ zJtt*T5GVvQ4f(1oQw^dn6C~q9Em)cAL$}fFGmb5UBzei&RCkym!-Myj8LsF;{E~t$ z*e2VL72D|dKn<#+Uo_QfSW_i=ZJzaOV=Dn`iJNNv9h&PDPmlGr-W*SlMc=yo9gv5O z)O;SpVIiW(=SV|d1a}N}*}jFjzKo=^pcrzOG#&~lHZ*)`#XuZe40(}6MgeC@$=-@8 zk|bkpm%NRZFg2SIckA}8HC<;1fS<=~`fbVRsgL)DEk;~Mpj2#9pt(RcDA8OV6S5^G7q(6^IZ^qL|OxgD4Ee@ z7L;VM3o2t2&k=QNjiBU;O)wa}(KD{DM4Thdbh#MB*}(Z>eNm3rt|)mtTk#mh-d@lc zD{401CAHuhOQOcET~f38E~%qCDY=(PXK~$=qv%VC1B)@@JNkoiX`V+~rxIs8;oz2Dg3VCKWG1v5S$=(ZPNuHl^;+f7@(H5$wX{=|pB zdi6^5qG&Cd1B_N#hS`M+J0DbNCWxiND?iV|&WC)&3(sFY{{R|6<-Xjj&sn98#W+4C zIn9?;)%kj4=X0&=e^D>Au=CBUS31ki$MW&V>P?weAv*|rrfT15%a3W_-}p11_r=de zdPf&(wQp(=L7juRa&|<3J&YP{i;kHVGGbo4)J^1`2D+Orh~c(6u>FXB3H3{3MY@|V zc-(bbyMUaHjC;u{knW}nf{cD6SsRRdJG6}#bT?hF$AB5}B2VmVY%YfGW)Vc`hmiV! zrW8xp?IBtFT2c#64d~_v9VfXr1zbJ^!zj6zF2wkKE;^BPw8ZTyA6$=Rw^2Vke|$@o z7jBz;(gntHF}ln>bBwyyYX3ruEyO;zNbG|Q3}Hok&XIw^ubarCN&E9S9;U4~*TY;& zDekY7V%jZg?ZnckaXXC~ANmj8{mXytQ_92^4VhYK2Q$dP*iFO+JhCiP2%MpTb}%0v zxd#BZZkP?USN31&Xh&4$4%7rxYc%nkP)RD?QIEP$wdz#T_B3gU1TK z@IW#>)T=|81H9cxrE`8mwPV4K-X9NWcw(|}v)Q#+sm$Lfzx=RSPA2N?m$ck|g@-sVC+}@KopzSX?w)74U}8!hGO)uem+Jau z{7Slh*^b|@!};dyYq#M9mUO`ofU1ZKmhIRdKUl`J_3`qyrH7bogPqGJzQr^b@51J{ zomW(`DZ5LW4x?fAAAijSt@1v*V4?XuXg)QsC_2Lg@%2QOt&SX* zaE>sA$3Qr{P5_1C8~Meo*9z+)l4wY&bhd^6JjmqgiYHvX!g{fy`unydT9$w$;@B9L zCxvGDYBZJWlBk>p3J1xxl5kg&;=Z~hs<9Cn==Eq7dOCW(JI6f(97rBeV_i#5bGIM{c@@QZ%03Xok=PxFuQ6qcp%d=z5)=-dpFoxP4F0Pdnm(C=?;H#5gQ4Aq*O0{Ns$XELf#)Zkz zF$k+YPYgYTr{UKQ3R{W$@s=AHAHTf1D1LNs?90mt4!r^AgN{KoNgEh|R}5jD_B;BT z(_S2jQ{s7)5Jfi2J0Wq2JcIc1rWXf7{^IcCBYon1FUQCkrNkp1k8pgN{Ni9Ke{uLR zR<&bZ`-_9q!MAaQ_+&56xwxebLu5o`azoqhd4vIV+yF;?R@?x;mmFrO{&>e~_sm-u zH&lMFjxh|sSGJSiiN?Yqn21b%h8wo>W_KD@A--3 zO9~#;V#mffGY$!hB3Bp*z2(xjK92MztPMlHIZ=!j6yuLu3`Y#SR3k&5ClT?a&y^P4AR~>iETq7cEG!5dz%i ztxXKuHuq)Bbc8OJPcCV|R$8wV<|VW??{eJ_Y0;a-Ws^(h|HfqE-za(Bvyyj2f5?@w zCEWnQB0wv!E@t{oy0e>TOW?Htj!`)JRH7)BY&RtgNgI@l5ERY=>Eth$1#&xBw2va&VfE_DjDX zc!?@617It>ve*G$%Pe$u0LRMY>U2PXAJh3aaO7Z8x1gPQe+jPQ{>kL-xL{1yuDnc6 z>aM&D2-Fu`dl_QsV>x}iis9E^t8C(1i~%p`D84Ojg`lHJvB3v{zv{w-L$R5B5bCw7iA&X)yqoO>qB29q{Vmu+)rV${nhldt))Fmy&Oi30y z(7wcyf{wc632AM?Ji#9+%o~+tDjWl;Alc*_KMG%T*wu3J|Ii*LB+3-7?+% z&QsPNs9L`(JnzzWvlM>ce&M$}5vZU{FE)?|f!Xxi{S-a~+G&3~0&SQ!l>Nq}U>Lod zGmMd6tf$3~C-p>{nK{eumB*_()A4=fY;<2q@0ESeCS0E~$_mp`7j6;3ny-81m5{op zTg2m9)>S`3<(HrN!Y$$smUh*TdEc{n@B5>P{8xY1zx@T@5e1WaGg=n`Ng$Nc3+PNH zsBAIX=7clTE3ysh0aa)^W=GP-dd2J_Aa4S!3kDDd-H0c{tYsGg-M3&?7D3QZVa06u zlO};BMOsNrDj1<_^QQ?^TOTQz9$6h)RI)oZ=a^jtBch<`1(Q}ISh)xQ1$i}Mq?_iA zi>8-Ag@703gId*L!K3{<$f8`LSl+8gFt=raKt){8lQ2k?rX-9n=*&7Sl&i}?YW1lE>9#zW@)e0b#J|#%q4F{4WhiX+)*q|%1ZtZxU1Ol_oRln`o*9`~^ zO)BjpD%nz(JUSCMpR9rFm7Zo)Ap+x-XYX)A*<}6LOSaV4>`_Rp?i^C?gJ&u|&npz` z@-c;rSjK^;D@s1^3bSYE9OLO*p9T)Rbm;og!B3!^t0(}*fSY`tkNcbgmviUv3Q2a} zV0o;7v)OYB34c!c@#g0g-}-aPkHfT{Kc~d-?&Uc>1KhPZoZ@N;$B{;ngaZwLGayw} zdQNk@0sP2zAT{bJCEyCcSq1!vUzHEYrz!0(`OCDwr2Fy-H%OUaL9suPwVYl9kOUwq z?GJ$(c;a^KFSulEwm6*PYI!-yy2Q^h0L}osRluPDW$F4`;H=3<5;&{a&=sLd2jn{z zC#-l@{C>dMy6XBNP+h-lr~L&eO#7oOvI1_AudMgycI@9)iAo(pLFzO&?3+jrCglh$ z4j?UZ69VlMnga%!x|Z;yB5|6sfmjTg)ASGeEc@yY>w`^B;8khA0?G{&c;j*lc(;@` zo{fL#T$hKWCSiY?z`O7I{Qu#f`H@d7rHx9y=$^R~kDldar($%$6J~9~pV)vtwi5=J z%z8@C+yx6ThKXqd7IpeEE_jwjot}9S+;wy&;KBpEQ_tMK0vt%&2SkrxoIxQo;gfcvIOJ zi8_*vgJ)YY#9#->_-29X3S?WzzA4el`!<3wx6pI6Hb}9`_ zP$d$OL8ugpQ*|p{Ip=*TVR&3j#+FVPCnA-@7=B_SutQK3nQc;mbuhDnEeTtBzU+*a zpwMi~f`3YG2WdAc81gky7sgs>A_n_G-Yugg1Rs!fqLBw@oz@tq^USwr-*ILvCD9qs zrs%|u_|bbj5}JD3g5R#WKN&3nwVw1k;5@f&#_^)(peEn@Fuk>SV+P;;0=p=#L)iX; zR}ihxbvpMH{9jn-td4?z<*BU#ft13vv5KR+tT*zM*3i9x{e*!IgOBQ;^8{~*{7_yL zJHVjNVq`;UdyN`^N)@*=QK|A7N*;~W!iP;q^-tAINtmUI`Y)6V5j8E)LyTKt+N%!V*@)O-iT-jfT~jgCNN-6r@qv`iCoXRYyM-N8$5 z`?T%%rb=4rL-5eWS`S!R$izBkRzmNquJo=1V`0$tg$I`W$J2FNU0MCH>j0So=|JO# zMkH})DtAst4=8k5ffpvZa8vxeY}WzaJd!ZQ9zxL`B;Or)-p?hOL z>V+n*^q600;!4kX-NZF`F4BX(!obt5I9F)*lI&UBZ9GBgNnc^mJ-I>fT!3w&eJZQg zEK6Wea%--GKS9sFRqI*xSzF;b$772%(bKrApEXDdBx;f%yw!9d+Nf*J<^Gr`VQZkqaBUiL#5qEylarAtTPTMUD>*<1`w5gTM_Xt@iPN>JVBX`=W zA~jBSMb;;YHId`0*PQmAA*qrpe6ZQ}Ng}b*rf~A3to}~h?N$EOU8LcA#&ph$qi=!j zJb2Hrhreeyso{IZe|*gM>|9c($&qMFSMpW{IgX}hi%F3RfKjMC01(*@_l8M`C+gWk zHE=E;XBMS$Z!S~=Bj-_7Lo>-z0WcSmB2A8{&55BeVURX- z)%9zeRop+>j{DaMw?(*@Xz@a)ReE3`0L`8D=XTn^&XMWkTu>cW`s&^^6Kvgk=&3&Vcfabpes-ylWh?30_yaupE{TBGqC)n3HEYUQJ~&g&YM!cJP>&g|3VWca zwuO6gK?j(hY*;*Mg~WnZP)Y?Mb$bkyp(+P?c8gxs5Cz^R16|--!_rr4W)P|G$oNLk z1wG({I^q;aq7e`k9XvW-b$rNh(=JNLdhW&l4O5W@f@Ut3m&!O0sQBLVm zF@~-PKH6upDmU%A7rsiqG@86)uY>M&qZch-P#mtbs|*7`Qf;pS9-*)m-$)93&_2}w z7!2y~6~Jg3oCsi47D^z9WMO1GEDS6(0Go8d07NPaB_=%YUt1_~)YEcOq~Ip$A%3l5 zgV+%yR9N<6KJ!rBr!YxLcq#SO{LND8>)keq%jtHjvFRJUp3^bE?|Z-d_>;Gnj!~A- z@hnTm`_Cp%vMoKFta$jiMo)ZWA#*y$I47a4P{!CA-E2wErbMzKJ)04so^v1Kd_sh7 zw@aQ+UQj!_^4eb@E^sGH=TKV@A@g*ScOmJ7Y;2JZ$>|BWmLJXC-1_qr?*f}h z)~NqL??PM~e>TZkQodCRleAeym}iPTY_$k7tKN?YbMHOZ@|}P7$G>GB1K!zO3;Hl6 zDZ^Y#jU7Jw)&y~awFJ?Wq)_HC;I)TN04H)WQyK7L^w>!zJY-}!LC~Pm=#`rowQs_M zk_82CQHX@2Ml~OF0u4%wtyZ}f=wBUNjtq(<#PgC>uEh%OSTk_M;xz_b01`;p6lcVePL8Sn;= zZhFX9=n{d|#=`@GxeE+LwCSKa&9Vbsr5qh4Cj-g! zkgtxX4J%3D-Sy~`ZeE<40S}addPS%!J)34BJD~RRbmXAPFXOZqXJSf3or#-Y9AqQ> z#o@;x10J7JU*tAk9GrK4ak!aY9GpY-;&A(>7w23yL|StbbRgN01Q=l7M5{RjEi@pYG#)hC<_OgInHl(_5VKjaLI{+| z8Tc!PfVJI|6+!@34;UK??hsnnsC-5U;1|<^KyW<-8L(-|Qs zyvzNjGd|-anGphvq);Odw{PFJEY}D@#OQ92)0+A26xO&CYCPL3ud*SieO2Bz+;9}F z=HKX|@eF$wg_Xq}Q$y$O=1x5}_XT6mVlk&xQZ{~{Y^qym$%V3VR1SD@A%q{hYQP=J zk)VH}3z^r+D*3ZWDQJ&KQS+=Y-RGb`z1J;W$o;a1Ou!U~HM^p`fGJQk*KZ23(=#9Q zgTE3ZC3T#+EVMTKK~F`}r8r0afAoNpAEjwL1NcS)3;kuv~fm^cYF zc}bE`Zjs!bs8lKGGsu!;zB@Mhvd51Oyt}z_QPjRBPELUY%)mt1YW|HbDr(h`6RY4= z*c7&IxNe?W37^$GKaXkc+mewpygr6~Nts_)*Zy}I=ld3x&d(tnn4+emoM?h_WC@VJ zC>NCDpt${>Xn}a#k9y`N(>oV#QER`JQJ1$f>hd#x@oj(pZ+`rx{&3|(MB6uPf`Vz$ zdrd3jz1IK*QG1PG4c5q@rr&4Es~B0!7oBN{B<#2XH}{R_(6a1ITO-O&`Do59pW>R1$|4 zXCWV2d;pi+gGpWKrH+_6(p-)B04@pFNKr``Zc8TESI=Cu!X-2*BQ6s@FDA0s%4{6f zz~wk80+~XUlZkT1tgYH{#7Op`rPV=;=s}FuoIPy97SdmTwIQ1D_B5*uU{F{JIEy zl#|{AAD@4)N6!cH0%-3-(m_4uAg`y=D}w0GkW>RkePZ4z9p(^}Us6f#k?mZwNrZvV z#sHWQq|);hF}RfDkT57=zp>Jk5R`1Etr%qZnDe9XO9)cw`HG+^LpLv1gg()bA_OJd zX)7MOo=qp5(a;0a$`><9?CVFaX!w_84Cy;PJ{BU4n33)??04G{RGSl&h6Dum)X&vPOF;0#_48Nnf9d+&?|klE?}@>iQtJ53`|;23Svj@V zh}3ZW<#>^d==Ev0sMYIBKyW(&!CyW7AD{7ZvW-&Q(so^=8ytWY@=7%AoDNIHq^qPqgj-n38HmVIWIVNs4W>1 z+h~GljMlDe;F7}2kt=7!Hku&FDbUBk+6P2A?Kx7<*%@=Rq?HtG*eab11uT10B+Ec? zIQ&W=AD6AR!_RWRC+#LHfjS}Ph_jq-n2RY@Q)x+)sta?Z*Ey;U9G_#Cvs+#ND@)$M zJ#n+CZfyEw;~)F+_`_%bmZOwOF44f#5DnOKy;$B4y!_r*pL_QUE1R9{W)rM|VOPzh zmJY}fo607G+qS%_YcAZP#q#RiQ?KXzEy9583C_`G&8YOh{Ewdh!mp3I3B^S{D)sD) zu|&h5uGhvkWnbNxjzd|S7B7S#g9=}9f1<&qiQe|c5gme6Iu5kt(kF-np718*?0)p5;|vJ|{4BOVw@S2ev&6wUZWfO);?}lv6M-^9)3k$h zDkccA?Obe`tfVnA@6SAL-k;kM89ynhIfkJMuqV9FUG7Jk9W|D!) zg4De(n5*ET27UzI=4d)is5Wt)(TzX#+Lgz6_wMoH0<@My}bu&GvbZ0R3;oW@De4c+KN9 z{|iX9O~x#3ZGvkgTy~FpJ3Eq{g+6wzjta_-7vcfp3rOw!Y@AT0h${oE68} zj^RpgoFj0}Y903ZW&+o&)(hd^7=bHY7guig+N^w0weejS9Z-D`byOO}K4J>MLYbKg zb9d8Km;Z$dgFAwI5@{iUppy5et$XoCYlb@QfMi8gJtfvAxr?%0sen3xJ(n8&NfX#O z-<;NBFYO$6S&V+V9C40Ag^4pgKLKqo#RY%xu@lhKmT%z_x|_fHkALF-`Bgy}rO=q` zCC7%XPXUajU@|xdvL`fNN4EzwT!ZYX-PUI)1lpWIc5tb(mb*%jc(hps^O9kcC<#t&e73HAMlMDBrM zIiAncVUSypC(k9ppGe@IAp1yTt~``>;V}UWts3e?#`RRxrbxYmn3hK;<74v^X}Z_f zL>ZAd&?x^M5I(8`Fi9h4>;&i_+^L6wky?SECQjL@nE zXz78+YJ@_?#wV3V|DkVz2@!p$)Bsrm%RNw=ItllqShQPdHdVR+yBzXe*fEl z??nV_>X;1NN|1ol5Mug8+xnP89pv{n0^y^i?QGZO*(fWAI%lJ-9O{5w@u0))uo`MRv}c9EL(C(qEUL5pok_i zRH027!PLS=HFxN$xu)HJ}rY+x^H%5E^=zsF3fA^0@NtMw;EN#b%71QMiX7-+dPZ9M631_5sqmqRnz!0;4?_OjG@ODPYcmRLw3} z;z#tPOY%7j@(#LSkLG^u+Ca{-ZSSCJE`rW52Nf+{;dw=>W*0QR05rsdOIOvXeMRNS zL2{3d5RJ^znpDj$*`Gj~B$5X2$7x)$PPrf8oRb*V}*VCtYZi z{75k3Ky+Dj1%(8ip~?5KdX*7J4U_o~n4Bk(O9*Boe%39>Mw}H)h(=&=cg|55D^^Av zLxYu*9tn7%2MU7=bI&23lRk)#;>uuKJboEkeyaOY)@OcHmt~&yHXF5l@AziQ!0y>;9u zOna|>^NXreby<_s`s5e7H%BNYX)O2cF-f};LISWL)K(sH6%*GOS zI_Wg62^(I0|8v)`y!7fzFJE8D7f8S?Ty`r5rDoco1){wji1t%o{H8DdccKJFOVB*e z>Ls2aO48Xh2jukHLR3Kvtj4lrMGwcFG|)zk<&9Of$S8{O@#?o{-zu?%tr%6cD4SJ# z7J?F6nBZY|1oJA)^AR0YXRB(rfGAXOJs7kjv4vmE1|_8;xb6qF#I)n(=K(3Yl&c&! zdjnqM9L0{iWT~nF209!~BS?)O{J6%j4g_DA{RIYcdPHf>TvcoF94{PYK&@oHJH}@V z6dQ2mp#D}uKR{H8g;0wi=ZziAaNc(<;D< zplv{LI!lR)rn>6_7*&T)&~nhK+$OARHYvRnz*LEtkDdaUspyows~2?hnDYGO-~1h) z|NWPhXGe%giUNgsBm+A1n_SgJ^&l9CTNo>&Q^o!5_n4=1MtK5pQN^@JE0Xf8g<{Ie z6Eg~c3=wp~Jh&Fd%E}Yu37p*~f->YjUrbh>Wpe#~=T2Z8x>BB+dyvsrMaQXu_`?1m`$K0hECRo#Z=#eEw0g-`tMfv9gb@6+^wFV zk7cj@yGO5e+F_w5m{(SCwq5KY8^RvP*|itpfsLDB-?f|@W3QeV3ui231%Llq&tYYbxc6%rZL*De^t!jOd3 zoT&@mlFm{Ebj#ULT@=jr?m)^vT;BnN@7=5ZccC133l&LKZFHf^Ta0;B3yHEA@K{GlV+GV2^c8glYzd-o61L1$}pZx64dS4h% zg`C`Y8UXbmLHM9)5YOAb^70^qevRX7oI#_)hyi-TFpK~}@KNuc^ReZJKHqu`*Ef7ZKFc`6D6%^&$%$~p^YwTA^<*-bOyO*j*Dm?PbC>lGkf37|4QZcTLi!X0y^4q)^S(sNMC0>&OX6 z9@c2K$=7|+?y7OT%>Jy_RPFD;PKK?MPnE&7jmxy6ZgBl?v*_TUlpB+Ye>21D;cxpF z;qYR3pNgRm`W(+Syi>C(_G({PzBL-G`Tg6U_ND*%9}R`4QJ)7I76YP9QQ&xZz2+Zh zV5>sujz}8_oY!IawY;Xb1|pJCl#V>kHL#^A>2hdfORyqpW1 zbLfoHq4)-M%gh6;55{&7=UAb1JCe!}rRzErDA#b_I7+v}Ct6i0Ia~cgkAO;iO?CypGBU2S_UD z+_ezW6MA!KZD@V3+DY?nEHJOsK8V#f`iiA#`_zG0B|9tHr(h?-K_NaBY$t_~*;A^W zG*oMflh&govRScm(m?G6okc$h4PK34cG8Zh{*)91m@^0cUA2?8Mkj+T*>zI2m`}2D z(l}rYniU7{wq1Sj$$C(ou$viT^!vwf(&#Cfqjo;I>du+}4QDGp`FH*|z8U*OsRbRW z3S;hJPH!UBN^h|c#iWMksmgGghc!@!OUB~eP~v=1=@LlXV!q!3iTRlYdrmKS^8@=h z!TeHTPmD!c-A;hxU;Od^;`9HzPrSfaW!|uINwzhNxokA5K}TAUsh7Miv4Ehg8f{mk zUUI=b60OP}v_)?cv`8-Uq+Tk5`xDZg#4S+1$QU3CQZKn6#L(JAL3I3Rj?%t*X1W$p zjW7m9ly^42$nnC;r~FlsZ)Efc_fo`oGYoNBfrdCh2+<`OgkVW*o;K2;sUTK14sP=D@qI0L4;CxX85Z8--n>mD%v{k86NPe8) z70UEkKqN3p#b?;Fmj|3kctjT>p{h~%#TOWAOV2Pz!-w|75Zn)7ro49|S0i!dyQgSc zt|^x|dFh1q6MX)K_dfSQxx&rr>t1;!r0yv+qD^4qww;1Ba=SiwraIGMFE{s<`$D_L zkGNZ9-}k+Aoo~@g*J*08eCNLaHquwOJuUYS{H4$SfBwcN7yG6`Pz#2^4gg=0jOES- zvRbs{l|l|(d40|O#i}g9qHH23+<@=SF{HqbWdUTrwv{zo) z?~CtKX7SM#%V@=v+Sk|kda}_C8%(o&4wTYDWcy)P2Ol!DM=qoVNi$ryDuXxoJNO^X z-N|s_>gdzq_Grv#@1w&1`c$rtN0f=njRf8H;OGg=U7aw)SXyQfVA|Nvcs6v%kga)u zsDhPS23<8s3qxJ_s8&5FUyy?0jN)~3MKd3x>-sUm<~H3*Y~JEygw@QqlIMh*=`p%) z9wTl)$z$|R%kZFBSVj&*-RfUh{?=((##oBl3olQapp#!kWfz+*8>O;KCZ};LxPB}L z3P)6MhL=bBNu+MWOBDjh^D*`Z9VH=&w7(dFbU<#W{r4-pENOpmNLKWl zyXN|3JMAxYnQ8xiwL4VD7i*A?Kls7&>7X7S@3On85B1k7oA`F;W0`t@!=T#4U~$nA z%%gR9ke!(BnTOaa!tiOi>B6?@OAWu>)u*wOo93rcg~89i^x}&(%Z&R_>co^+s|dQM zaY?Q?ltWiXmlp4cAL;N2-Ob<_H|<(nS?)@w>6x=W>^bL&zOP>r7D>+28NZ4rDlTQY zNN&CQ^7TvalIsZupCVa~Q3=Y0$OTNkD|8O0+?8>;a>8RjEq5{ZRh>{?$1{`ein^@g z`G)`c5r0>R>HL*1`o-t}iBG-c^PbD=CZ8d(Y>wo0v(Fo3+#`A2Gg&R;7j1UI%O2DXqho07X>QdtOYT=KL-?F}WjhrT||Nj{bPzMd$iW99#rs_Ee^ zJ26dhu1qY5v#-cnSN_$D>8}b3jzvlpdz)|q7c1MYPD%%mq9QGiuh zjYa|K(Owx1BAywfM+R%AXM5GdQ`e{K)CrGCE?LlaIQx|_8HdADxu!PlSCZ-3UV-kC zmA8ljK%CpwlL+Is$4aQI;FvX$w3%`7uIk7WJ33~@0rKV1`wq19`S+au7Ql7)+Sc+G z;NQ|)fGv9qBE*pA9h?4S^P>+C#YZoZh_?WXgzRhn7MzJUwqR?o*weuxRJosnsh%fd z>EUmZU%n!gZ5?dcPW~$V<6O!Hhn)@0$zPV|DEX`0PW!_@Mtx&JW#QoCWz74FwMzSQ zJMEA2J>>o2|IhK)@q<;%weCAE=dAm!Zg4vPe$`>YxPEn_Z_i8q;}3qYd^-Agxo7`y zC(5pD;@fUt0t|lJIpdYY8%g0Iz&M65BMNM~FgHcvmX*8eK$Cq}x`tDH*KxVCzAJZG zB8s)*#!E!;{8f#FpUGp~Yn;c3;*)>j2ln3?DgGuM2bxbhYX!l!;1gKXOJz#=|Ek@kXnZuz$ zHdzabhVVmU=AQ{}EK6+HiIjk7Arm~I?MK{0mUp%nBVJd1jxb#qvq*0yU$tVyev)fU zVx(_+0`rEJ*u=4`e%r$a>>Ios&{>PmSFI^_!B4Z$TVi68*7u|}^WEt;oSev?XxHJl zYR)s?p1n3vK@y~Mno0LHFZyR1P&9Pf;?2|TSNH24dVTdYUW+U{oSXsyFRYmhYo@-Z z;cHa6S4S?1S>-G-b@TQM|H1!!nMh~@wzNq^Lg)@cY)HnTZom)3m)|OxKumx+)Y5r` z$WVhbFUD(HlrYa48BVzH`<=ymAxPa^RzxKbR-|vbY1n+8eJgdd3m%}mm5<vIsl-d&{ZdA;(BkzCd`rYq*?p^PRG>oQqstB|GC9*bm6*N=vFJ<|+Q+AHUWK1o!4rMQ+5#J2@c^m?>F@2=opHs6U}ZcHpqduDz1~@^QwU06QlnF^)& z#U;;D6=H&UoaY&?LL1lWYr+>XX9OILntHOYaEByaACha1tE0!%1AWiG(h~$=>Z&*- z?g^qBnQNDi*@tQDh$?*Wy$-QgWQN8*@vMe@ z6L_vXCWA5G;59GqjrIs9)K-Z966&gBS7Qeh_yT9oJ2WsWc4%->Ok|J!kqNng%k$|9 zm=>^l1#=VUuZou;P{G8qo%YB1W|$piF|%NP@qW^B0_qp^6~7je08u!CqM7n)V5(~R z7RYVAIl++98E}Pg!H`lkM^N`=NO|1F*HJ@r;TE+)?tR@N9{2TJ3jHPD_8GtFM}rqY z>(brV@$Q%yuhC<&si1$}9rKYlzc9vYV4^%z;<+b?>d>z`#%ln;RLnE3n!b(;o?^TP z%5$3)#n*Ak7_R{``S#f2>$qf$*BG0LNMFY#W4y*-CgMeVA7(mW3N^!C8e+w^A$W;j z@fL0TO*T4v4`VX%Z;eHFOYVOGSS-T}m*It_Whm7&E`Y@_`FaMhFlDqD@kElza$N_6 z>MZUQObHdM6ELOCnrQ!D{Kf~{p9~`oiX4RQ-p{04NWiY)X=`ztN6+?^^)tC3%Fn(!#f{agG5Os+79!WujrWR?2ATzN=umgH8D`J+G*42d|BNi95vPsgTA7#ET zO2y@3=#dbxaKZhaZ6yeD58c`_5+O(nTr$n+}Mmyef7Zzy^GXQrL{Bzly@q7hDy)X@O)ETgi6X zUs|5y_=GLypb!FX#d7-dlqepXz$oT-Dcb{m!DK9<`E=6WTTeqwyG2WAKK&NWvFbnd znSbST-gbLwh^|K~vE=ZFQ-g92|5W%$N2UhRsyon(#0QKTbNHAApjJH~=?djW&9<2T zvGGE68p4T*)}2*D#fnu9--(IPuVH=9A(+z;g_!7cLO3thG6btML@*O!M1uCqCdq_M z!bHo^I%v=}exY{FoQ5deM3@X}G=OQ%DoJ$g=yO4rsmILIyr zAJXJ8XeJ>{G{^W>_1xMmkxV?Fv#`l+q5&SoEEA6s>nBSz;C!=-!$d<>0FTT;eZkz0 z^Op=(+LWIsTny=4ow_xmF)T)Ty`%p>`RQN$Gj9ywKwGXH zIIspRw0Q{u;cc=4pCkK~5^igGgadjr*)J`apX1r?QBgg|Jod#)+es>gva zjC7BRda@PIc8`jS;U|gO$eY?nXPa3XEH!#zVs*PNkXDm9YG}ir$)_nM@U~>)&|L9c zhbGDOYW^)j?6WDAI`y!O@N7VGo=hoT;;NTT5&WJ)KW34F1Fjl7XXr z1;jj?(wJG)56Q=}DLn#FN7zXCe`7;IV#RDq`@>#~?9f|2;5d-FBD)y&|0r00Je;3` zbmF{2qWU}bPt_MwnNkBOD5)v;xa^CjG)(6(=^fwQW{F#vyZCfxrqqFMi%JnEN(N<( zsB|%b@;7=;?r)?VrHk`qB)@Wcl?nb|Hk)z zQmM_?x`Vb}?EuRq{c1hx)!H&Enpv-%I?G{AGwXuLZzCxTqu8ShSG#ZRD>Jh$c$Vy% zX4VDwU{C}oPQ+Jd_n!PKOLe=TRlW1j2%BLPZ>m>2cC6j!IpSos={U}j5v#8Vo_r&k z0ZSu+`@EuX@s>+;=xd%OE|)BFkvzv-E)k>pknO(xV%UP=_WE9QTFuucT~io<8HKz#TBD^Q?cSM3Vb0U=NU^|GDzZ;3KN{#Yd{E)iwoeD?|6U|D}v%dnF$C@xsGDtFK+B$3RIGTDUsZwvHMidU7cNo_Un}Yc-y(gxAP~yW{JLNVSP+Qo0WaG972E&7+rIAu|6iCw z^-Ed=0&@~bgoEK&$Fj@)YKuN5 zkXwtseT4|OLLe|6T!MQb44u-RgylEB2n8Op7Vyd4gc$K5Gv<-g*{+4yI6sb(F!rOP zNR+jjToXJ=&0{9a2`-6JO%8`7KC+kj%CY9mrvf~>nH>q6ZMk5+F(Y^3%-8W$U|D}E z__28^K-CL|BzY?M@rj>`Gi19<|G|^>9}H?01rkX&G?=n_mSfx9KmWTv z<7a;=JOS;N@aj41odDEz0LvVLVxaDp^0%*Gj_C=wApTmd+qRSGm$~ik{+Hb zV(6Dvs>h0a5?t`K+aZBZLDZ<#tzzm4xFCA%P@Ya;xbJ}X)8xd8d^}tb3u#ID0i!&% zP&@<~6c<4_Daz80V*0k@kGTjJJONK*nTv2qG~IEa6(&o zY1UovNM>z0^rgPLb8Ngc>t#iZeu1OFp0b0q-RGV%nspaEpw$moO~DQw{Y*XDSFo%! z>n^y5cPe2ddgTWEz7oW`q_LH=n{I8>Cu2!5>n?fH`A1SQA@vRUCl|BslB6RLf98b$ z(_a3xCY>&q4En!KZw^3`tZonb@}T4S0-!C=msYtH%t&cPLi7jq^oWM4=ZqBE;$D=hll5r`@8(LzsSx<`g0R^>01?&9D9VQiQ1a7!Uj{JA-%9!t{gT z@KK_!fxinzWj=b)HJ-PxU{q;>To5C-_DWC*>DU-wDD}m&M*f*^kg$xOjG%wR;%u8%XTU3 zPuV4ZZAQ=W-9DqcYAYQX&bV;1=;+F0GVyObhoLb}=l zv|;{w%{6xumZ$!mxO}Usi7$TUKmHqj@!9Et2v|C6?gmveW-2f@NRT!T@fdPqXHOU1 zI-DGjOx5OyCZsyF$$>~u0x$_gfR3qBaF@8|A?H|CQCw&xmV)E#xhIDOK;@1ZEksrO z!+?Y%2O<_M317y53OolQC>>^;hVX*5x6Q>=fe0cS3t2X(pKc{tS>eAVs*S;S!Zk6p zaI-uvXuM+YdK>RvWMO55@k7a^{hKAxz3`#AFzm6LuhJRCAqUVrEr2 zC0V5$wlrBLzSk*i5hua3Oe9Dtc_hwol&WQaI3H?}bICH*n-lb|sC8Ryu3DFQ=#DYw zap*DQ{N|DA3v1{s*3fCUs5OlX_4n2m;*YT`d|%}EjSC?BPN|Q zhlp&V(PHXXp1w3ejCf|pGOF)UH=G1$EvtP%A6{crQNZ0fny`7{p} zhDh3Bl?~EqRhc7Ex2cR4FA?05GPwfEz2cM*pfxFT*-qLUWwTWoD>Q7?wIRMt-k%_A z+P_Wxp%b|>Mw05v`}09a`|s<%y|5 z(nq6uu}NK?h$=ahK|oK8wKMXL`k_IkaMCikON9Q%t&#>KDA=@Zp}9e_um+;_Pjp$n zm|TK~iLp`yhlB_cC+%g+OXO!+9DLMyqD!LwfpQ5R>bp@3Autb4w=V0usQ0K{REvbm zuG^Mv$fb4q#gh^GC%xrK1zC2q-e64Uxjyv2V>0n?lo}!`nd3m{fkM$iGhEO#4OJgB z*?Gra9Q{yDgY?8)x}PUaPe?~YTrlH~N;NAjLFh)=j`MFDCa8EzhXEY(^pZ=vB7V){ zm_utn7WJ>a6LXd4;+nsJ!uOHcg2H7tfh`_sh^Yo>+AV4g(Bhikj%)t?f97lcr++LG z40)Lw;W^52<786{#59m_;8uhWoXL zrteh*qmBJ!Y_;|kqJnJ1ql+DZM7`o9qZf9lswL0nPDI?y@Nbxv!B-<+rz6a5AI}H9 zY2`Q>N+cWS0f7H~<{@4EQ26jN;M(T|degy8i0x#B(j!-D+RD|NRHv@a`WC z&7la3YYxL(&;=sgBS84qN2~X>uPmr{!I)hNKJGTTK+WNTJvvdO${ERpLB$OeRo_MXjZ7@>;c!ZsaZ)*IfEdP-J9X5;`L( zr;mgt;Dv~6UNS)9!=%J$-IEd%s%wCMUQexAVZ(T?#vETRSKK);RLnJ=69M z#}rYC6~S|3ifHOWa7VHqHdb_|KkX}Aj3_aeJROgy)PP<@V}}BG+2rrXo|$)@HN5QfRwPDCuSdso=1iX{)%V&Yq4I z46Iq*pC_D=l(cE1l0_RQ;-Vxug=1Nj`IBmBJqffchW@vIda)!_-Asf?d{W`FFmtX^ zY5lh)^T}5{Ih<{O-N1iM%KA;>t-^g|1@jJbjj@eP=zQgEFUefxyoOMd}(~u?%EF;i(j9=0i)?%T~l(qO}k@z?>t}sn3y4L|L&%iIW6jHWVqZsG+nk ziCKuYWQT&QdP&VLnk24)j28&(b{m=9RIN!T!jDcQNV-jcTFH>dN;2P_Krq|Ly(aFN=o)+L zHBmw1P_zx*D?F32PduXphYj=KT8b5bm{wjTfN9VmBJon}KoeXcVi!^-9B%YPrB%|E z5y$87ra8lsSPzvuTHt>`%j64|mp!F?xShhs8WPi=$4j%2@<|#1DIc`UAOes&aJVZF z26w`Icg5c6#a(%N85XDAqQzago1T*Y5N5P~V)n4|71&qi zuDBpP2J%m_h8rBuFo+q#q&;*&)>gsB!XCoXYxo^2X5bdCg7t`QH?ZH-I3bvU+vpM9 zxTh3?8Muue(aqlaUP6!>%eLT2p-q#4KQ6{<&_a;P$^}b@DB4ra_X@!pxb+TEpbs)% zBVUcUE52rQh;HF!G{0okb;`-jTEdN5@0sP+G;(76_(ARF#;T+ndI*tH^O+Hc*l%Fk zRrqOb4b4cyQoAwF5jk7C!8zA(Q|6m$d-CU+>Z!S{Y0I}}hOqD-{^ft}PhADyjxN-y zNO;=Oz0$s~j2ZmJF45AlKNyXUeFa^bRpi<3m8>GI?Hqb)4a~Js6_ttvfGOB4YHN)~ zCk~xeWZ}F4DO;mb>f9D`X=qBPirfOkZVsJQBvvF(KRZ&u_0tGeD)IoH8!1C$?4nfz zev*@7m87Ulm7&u;)RZ>!6`jW~;>&>!^RNA{s>r$Fi5#u@A79b`HeWZJltM6KK?q7O z1k}#;XG?197E@aYMhFP_bN3Kis9h+*2oebh-0n@oE!gS(VW)P>FBqZLN-Wsv?O>-r z@xkBo!#@&LBno7=30MFagy+~Ag3O_qlE~au3D|AVxuE87USRA ztYMYlQ|uHgVl0i;UBsbjX?;bEt_7^ioZ*h)3*(>=q@{I1l$&(87!6(%9>AV}&J*az z?F9PKkfu$6&mHu8NK1+rx$t&QaOS1S(w@@`YMP>7_sT0FHDP(Gc7K;*$4NwJj1_+E zm#lu%=2EPH?v9BhUe3}z#?6&ug@U_)CZj0`(-IQ1YfxN{yI>9#Q!p8z$+1F@E*Q~Z zCqNdh!=7V>1E@Knm;hv>$-aerGMqwUXGztdqtD7*+`(!{ywK93hu(BDRV&VMo?cQV z)s#|*4$yCIrwdQlnwyrGqMAZoQTlu`0?#cR*3xK)7YoI=37Z$lD7~hL((7^0cv)t( z#5fr{ zU;4z`Z~!{c!+{A(MRe9Q*K(k<7Vm%Y{$G0E3oAYt8zm<7kMQP-XHr?HAxA0qWskfq zBcuXl-}iBfMWMgO)>XtJmH?r$aNIK=E+-?QaCL_m6ha+b_R-g0e<6j=@1)ndIV<^MibIT?Y#KZyA-de|gc8 z6k{cgVKw8^6l*(0Mh`z8ER2A1O$UtH++?E!NY6&OHLAd!stkQgQYqWXian`OmC;SL zQJv%L6DRAFQHGJ8#d!&@CEIxYdBP~8Ug2?Ix5o??7Q=gghIiU6q7kd&xqG@ruXn@y zzaReDf9g*K?54ztZg`DG5zSjWWn?)3`_eei85V0^NsORk_{MRJM=49o1e7$qzG5Pv z+?!F06=`@~5HT%F;#k{w0#6$)bO_S$y5OPP9i<{}jH!}_*9DCgfoK|Rbh9E2ZxQT~ z*s5W-IJ874##D)+80_-GifAxvR}|Q-Ea}~@a1x|{Hm>GkcpHW?coxLU#TQYHH_f8T z?jrhVELR^kG(JtSlv8Bt70<`FOMdHVz}@T_z9H<$^p=&Lo)98a$NF1$Vfoe!5O>>s z+9&?hzZ_N98f&*XdQ7GxV+)FoK%U=XS~*8=bIaiRK$o>BS%tgpj~rXZHfM0Vh#m<{ zE9dBq`36Zubd9PC3&D)LS!Fm@-0wgpUjuX*cRTJt0>fwoMMu&jn@fo*+zn{}s4xO_ z=!$AqqzbbW!Gt(uBUG>-Kz>z@-cFJg2birPA$w9^(M9#GYW7_3t?KO1;YmB^vMXJvvoPp-W`v{%@hXNm#C1Qdk?S^ed#=A~ zsBj4Fou%g`r(`ZfCK-JNc1rd>#Z|H$VG;|UGj_t~dGChyO8KJAaf z=PJxW7Ngya6f0?ARKkTYUBEIiC08+s02HM-$^pd!qk`kA z70fVdsV0KywgD2cVun#slSm#~U=T2x8nuUDhEb7ZG`zLjn0XDs45RiKNdcRQZjVBh z9fBD~-5*aJ*+F#F2W*63hEXxS$jDZXJqA3kOiO{25ZEU+WBq2}u9QCnlKo-R*3dn8& zb+(cjkc|#hndj52S;OVlfjSDa=G${za|RTl+pZt<2MY~`-$?K}(oW)vc6&@!&qtpO zv#L^VGG(fCLu2dq5&-nQ7eZyAfq< zQ$)2FN;y?iHn}-8OMFs+*Sg4kDFS#JiU6i5nt{)`073UxPdDurwYFdZf^G*0`nDf^ z<;`yld#W*73j_hI0pA~iAXJlUp-12s6%e#Okdd5-(RxrOmqJM7IN=6&A{h9zep z=-91Eg~txsjSc9GLuVi;CO=~Wv^wD-n1P@Z0syUk+p$KT-g*dTAjm3fn7P?mJ|+Y! zAZWcK1t0`TX56e;0YL{+>WL)6r5Y%^7%3Fncilt@@kP{Ps3E;npHV3~X}4%GrFTP5_x3;b z?H~7jpIqqalq=9fQzvXS8dkPsB69&-kqKMHl#(y!9pI1D?q}4FVUjkDN){ATYJz15 zAS%wK*gXr1DRn_$f~Z==p<$=m6~&aAU@@g&utzqcKD3xpUvZ1nEFm&5-w8yP4=twD z1W%i_^5=tsNla-L6jN$~{c($O3W9DM)N3|}R#R${@CQ)E3di8of%~qNWL<^-*_EKn<%?+)rzm2enQK3JvdpGbRwY)u=jpw+bXnioyJTI8!o zRTbuyPj8~(G>JmDe>FC))rCB+espzR3N-Q28`Q46s6H+H2xXQx8ErT3{5vM&XK#GA zdDTNX=JjE~(T~%^_pu^(Ot~M&4*f4UMFfp?Zcem(^gxYC)o_rO{QRtCQsNbun=yES zk`SzK4c1H#`f7hfy)h->!0iX*$>~X79X3Gf^ypjRLadIS9`zL{2rx>5FVDUkyb~x3 zDG%_fl;#`(FEi1Y*Hlgmeb`n2%u$cb*Js@e!9gXN9`#kCm_7(*T}h@#eT6|l^lqgR z9UL{y(UT@xS=$Y+XbZl$Rx+ug73!wfTHQ``tCdW;2-lAHTYe?faJ4U*)}Gq6gQ@{< zH_sElXRSJ>y=d3mnR-V{-W`tf$;q3CD6kc4a+_0i-slI z7mfc^jydw8;he@^w0E|se0kG^=@=QH!ep@9km@*9Bk$z74X}E*Tk(#R9k$06pUx;> zh5#P)YKL4eyz5tpt78A%c7?LHyuUnFX@71<`SI?62@-k#Zndk*mmyFepWA7FoNq4Q z-tAYribr}UFMm2dw_|@HijK_=)X-oEDL+TIU3FE{YA1#(mh1k1_TC2Qwlpgb@&^br z5GW9V5ztFQBGk+Y=l=&mh|`oLP$AqNLM5TPX@+TNhPi#K8QQT*3Xl}hRFqJKmLZf( z#8e1sEQ|>xr6yI0TFO9z;YW>%q%gn~U_>l}VB+(vpLebG?){y;&v(Cj`%cf9s-AoH zch-LQ-e>Q45viHp9QSo5i-kzqj(Tr2}$1?SEWs z5BY?5i*337ZFl{$o%YB1=KH@rEVczNzZI33j?eA1KcA=A)W@rJ+3K(5o6o#;m$c@+ z``E(6=~ZfO;>}mgiz0J zFHjSF@r73;e{+M}?A7VZ&sjaov5fMoJfN7sxLM;g-}1#(qiV3G<^PG*gV_c4@=>{4^&urz$Q>tM}=3{c;GxQB7D=?49Py z>W!bfuVwRQrKtz-NiiY(019=^%5gMPo;UmTc%LgnnY1w^H(Mj@aMQG}Askt1-{KcS zp!lV-9gf_f?LpcM(*ZRAjswbe+P`nBytM5B=bPgKwpEt6WMaOJq@V6w;?-*FC_%W$lq_r|&|SvKXk?| zQllDzG}A5!iWUM0UG}A8FvQ<;0%R3-+;pd}+4>R-B0>+9ATYSac9vO2L z1j22gg%M>DC- z7V^WG9o4_(fF#VHXQ|b2p2305v5|9R^kixWpvN6%Qga+~1%5pZz%P4HC-n5dl+HB+ z^Oo<;09DwR{_{Wljlbt3Ta^%FZsC1)Bv5E*4hCz(t9v}THtVk8+g3M4LfWV5No6&LfNg9M7l__bmEd$;-Mrv1oF`*)nZe4C~`TBhjj*3X3t~% zi+w_$mZ-eELya|j7uS+n)oVLt&E}%cQx8#eaz%XL$86aD=O6AL9R?KZ^IRq>c+57~ z54<=nK~Sa-_0gzs>?_v#(o?-S>f?`s?RshKrAab9)?P>A^RGkP%I!_h^`cF#M*-T% z{;u@838&DgP5(L@i;;>!P zI^(r6*7JNtQWbPbyvZ7v!|T9chWx<3?OEZQ2}u-9Wlh2lddYH+^mT!gwS;$6BtJx!8r;-1%Z(Uyehyaf=0`IthVt z{<0m%*YaKbeyq+FawiDX{kfg?@2Yz!L0wkeLv=KlV_DrR)zK|~p!EC8b{rqo%zQdZ z1=X?`S{n7uXWlBMLRUuju>~TjmJ!A#e(Sc0rBFA_#WWQDprE333^i z6*6?5s9-PKug0x+zx?2(x1%Px)Jr4vgj~{%D9xp^O~?hzzAL%fIQ+#tNx~myta{l_ zc{7S(H*7CE6|L4prXx9SruIW2NHB3(q+2eYVb)}{R*bUJAV z{PzLykTC@$xAd0k?;{P&F1bhZw76&oP~HtBX$xHPQBo~*a>UnGH@=%8BYy;JiBkG` z%!6wF(|Pa7>%O`Waxdw)V-0r^0>r26leJTiuVcjrXHzclOj_ypTD^E0su%VwKYb~e z)tCIzfA_bdxT`MAAmy^#Ga1ZG$_D%mHAqU44=@}^*=mAhC(OJ#?n=QaSjb6TLx&h8 zN@h|{9^rN=7vy+A6rph>0IBuRDKvdE(9@dtK>kC*-F)a2nIgzV0$P$8>yw~jvS12K z;p=WdT_ahKrQuE7!_z@mw)N&6a_Mq0xGLcS$}5(X3y9R$!kd7~ttiqWnNu#t282Y) zM%jRhWKOy40f&(_cPNq7lnaz|xjP5eo^e6oIF@n1vF5C}G}MoyKUJ#^>%StImD!jM zo1LuIqs-NzDs6aA+jv(}@eZn%uO1vsPsa)Jw1;S#tWx`tTSCi_A|nM-M`Q+KR}_FF z6kZdzGow)o1-DE^tOu{DfP-_-EBeG6y(G$>MH@)_T{^2UtYfPYv1s5 zF%CpskUsKx4GY3&V=3wg4*<{*v6m7v2K*Wv%f5oMkPE_R>%6%je74S;BcHP&Qi*)d z$4E5oemRd4&!|1~#IRRMy09kM>5)c^uyjdoY-ETU$nmfy+xD9Rl{qqcjI+TEe4Q4| zTyd;-h+`F`Djdv~cbsc^?LnSU>on50BCDIXd~Y?F>-l}({PVx-y%EePI^2Vq1|tU+ zQgEd}uv;?2VdOdh$pwL9rDM$&jT4eSj8Q_69*+y|_ZwD**j;rPjL~40*#+4%3Pr{_ z76&T~#fsE;E@;Hq6jajCOf5%^=YrPI2X73pQATF@9I5eK5Q9<_0&^tJz7wRzb3v2Zu!;z6swG6H;;-=cyeggHMA#fhN$}8fY~PN zF>AaA=fUzgU?nM5W=rM{1cdBJ?8#HIl>yI@(GH<>q=hxt4%*7?=ScQEQ8++98%{U` zvkt>dMXhcD&M57JS%(!BwnP`T*sUeFkvg@QHCP+IctlJ#(B1+9b5jU-fLa95Hw`5D z1o3x(iL#HMqQ+;PTu5 z{Nhu7FoMgQKrM(y9Mn=#`kDuJct--YY=yWtU=tc#HbI{VzsHD?enlz*7i7LvY%fMR zS%ha_A;@Cv(gXoa)Mg$;p?xzC&AtmljJ;0m<-wX_&3(m5<42<)CPIzMSTU)IxN&NK zsJ7+I*Xam`5MRw#LJUyz0n-;}ql*B~sAfsZsy;-u=@ed*FzESH*+Yz&SkV zo;(Fp3rl`cAT}vtknLh1szpT)WQjO5tWC$`laluDhkghcBU@x~|I+^4PW$70GfNkY z&P8klbOqCD;_n4^14SMnw=S+A*|RyJ9tRbqs|9;<7Hr-v>VvMU1-lyy_FMm}`yc%S zQ=PCDMWJsGU8I^(bfsS{SOQTZ3stN`wO|Nq0k9z2a-?rHTd*CbP9YwZzSTi9T()2U zWun|Df?KIOX5*PHn1z{K5g79B92vOUr6D1UX}mObdtgm_yd$-h3mSeCZFrmY5Nt_B z)+Bc_U6@Ov{@5K`(xWc92Y0`LN4Lg2Y+reLv`KE)Xb=#Kd;-B4(>_U(fvZbeQzdOT za>+$<(srx;Y~-BHI<^lkurX6R+9b&KSc@FBQ`Yusw44uzw4D6Yc>c~0IWY5R8VqjR zp{d8A@0`5{ce_ERsAZXsn7D)k)2hMzjaj>QnWB+?HGCqAAwMr)C7UwXoWuXVRs zTUIDZrbm3S#~Xm7;}PeSp>m^fp6M9}TP(8LD!Bm}Z1?b_hkSv4JdV4@qr1cu>rS%t z#2zsORO$~q^kxhsnVs=#$DBCLS?^J@sLte|C)V57_rPZLM^6uV@ZTcaD3k5->mYbh zKRVuj!mio7dqmNqUc1E8E@`7T@Zy|sNkwwri-R^+%lWkTrG1d67y|ag+Sw@F{# zyN@jx*w$i;O>hafO+2Y!){QXbJ{H?S-?g~qzCO!09IVtST9a$TQb*4w1C|zNHbL(} zosw${jv>Oibi1CJiy@r7 zAQhYo#@Ji{H|ozb&$V&KMxF7ooSuF&4G^c}lK<<{Z8fi_izaRmb$Q^k*oRz~%B%A8 z*vj!YN~F9V3<>`CTml1VCNB)GChn5`(ZXWbpFyz;di9B>=K8)cq~;13V5%<+=gSf* ze}~29-WMT+02(4)u=xMU;L3J5EE3tK%?bvykcU`mb;l(!uo^HFvQ=y)M^_{Tu+k)V1g<4Ctv$)vn$DzVrj5QDUgunHk>8VPmNsQ}HN3CB{B`eE>nhA&o<6}wV)vTU z&wdGe_IJPkyFTk%KYXem0rMkZ1>hB<`lSi{Kw!CeSP|#ZQO#5<&1*?d`lrGfe||!L~G=lqGr@NN}62)-5fPn1C3%8 zOmOVa9)wHSP*4^@%R$;7JqxAGpzM#4rjYIM2-t#vK)gl$D2ah6G)MjNmZ$x>9s5h6 zF>Q8vb|Xpr zFbpR+F1=*FJD74p7EYiT(3+{fP&&_idx#p5t{F@v8{8g2ulRX3ru36B(#3gB`WR}Y zCn#prB{<_~M2a9%5DtaVBiM8jUCHwmwl(Q$sGLI~Y|HlQotf@bx=l)-FDvu_T*=xe zdn*Pt`wLxDBHKI)4nhd%>kipKYZGHK@R!oH^*xSA)t9n{Hl-v7fvcfqFrIp3tmEK;qz;C_uk;?!Aq4W#Kk)gW(`{JE%g0Lep zq6rr>0BcgMH$f!?B~Dc9!Ffed>n@2nP}L>pM5$u6uV?@g;7#tRTn>&s9I8S0-`51w z50HCQ)_dUCpw`RLsn%T*7p&=OT+jfRmqCeuscfLlu_mJ8RgZklphP6ymwH8<@lvno zVznOO;xGd(`t;@@?v53m7$-5V(|hfFPLu0-j8S=ZO1#@Noqi^0yz2Bj)aj@Vr*|G& z8^3M>V|AOb2YhQT4jS#nnozp~t$wW?Ke^iRyj#>;#%s^W-Smw7*zf(M-}uC-pfQi5 zm&T2V3_A8Zhf^`cs|X}bVYgTV6Q5zZC2|v{oV;QV8e!%%MT*?GC1@kiDM2G>ven40 zfY%oz8-gWhJirP|kOg~4%b5?I{7UjLaB3C_=r+>ekp*+mxLaW?0R=(9BOz9fE^E*T zA4wqk>?G)qU4q6PdTNlu#=(uMCLg>6jeCT3dYWjjsX^nUeW%S6GdO10<%?p~bt6;7 z#C`+&uEJwP2J%gejVeQJA2&OiOHf5Utgxj#uMC%>;bbF;&U6A5ncvJR44IdKyNp{L!d2a=Af$kow;hR}Rj zMIt(f(vhSQdCKTfgn>qC*)w;+vQR5&N6;tLWAgqI89x75#w3r7*m&_)>+}$O) zHvC{Y^(Lvaq<^Ia>+(>^9_&j)rN^HD`^>j|?615W@J3~Nc6g)nqnpY?-!6cDs$lFZ zy$2G^&KoI&>H9-jSR=MD5dqM(+ zf=Jr*=g4Q=1<`{QVBuo-zJE%hv;9DC9*#< zk02BM>yjA7MTSNWQU|!Gmt+FWC83}(_?RguOvh1Y-w!^i0aX`G)C)p0jir^s!%K3n zmAk20w^Gud$s}FzjhAWMo*sqoY0`MN#5da0da1^{CWoF}a%kQyx+aGn52E1nKkSSD zpMU%#=HeScCu}?+0pO$MZKyo8lLSI;Y{+~F${TG^y98TAZyZcefZeq828qVr7HiQSv@5E!u}!_IN$Wz%5<&tnv)dK08w5Rsf4vadR-l7U#nM>5YmAL&7z z%GsC}{GfY9Cdw|||G7vhMm_%!jz1ot43SP6lLUN+w0l5M;`P-UW|B;R-o*}KyU?ZJdn%qrQfn4}vf_WsrnAo(}5&R+2_BYuJc#lC(x^`=}h=bNG7BqTYO^OsjH?a%Ev;oXw7A@Tcz zzXYry>G~m1u3xs({y5)Ero08~NCATFL@~zkWjprA50+0yAFl?a(qGFrpLy#p>CSui zu_YRwIu<}GY~r_WgeX{Qm)pqhW3k;f73J@rJy=N;HJ+2!FBP3N!dl%J#$ivQ*dLumvc# zmy|ca);ytGN(??E1%8&#Qhi~QM17cs6v;Aj=Jjie8gWUCkCyX1><;4Gmo@Y4LB@}6 z5!OTnr2o|ghUHguz~nPM`tg7d`PwHqYPTNoXxPoh8f!qk( zC${R4)Ob;)ci$d7V|nUc*IuElM`=I$)DKnl8=m`t-}!xkm{mvG5wqr2!m9T9*;UVh@g8VZ1n?Z-vBe%$Fog;5&t>2}R5hxNy17| zDTt_n^9Ww1{?b)dYZS+B5{QJh)T^={3>j`5VkRu)G)e9m7a>UN}N@rtQuq87-6}`)*A&> zk2^jj)%D5W9u$MS!S+;tC8E$QK{(j59S$~IC$odjYH|tCraC2hicC~5N`R)(e>#2* z&=B&YGbF4ZTSH5L0)Y}>$ab8+Bx_<*e;zjmK;fcxn>l8J2h?OdbTUL(#DY1xjA#r!KK-F{ba`yS7#JE9Ug0ZFTFz!4kycOR5V55L z5tBt7tsZpYiCQ~hXKo)O^07ZPU@MODWT36RQEU(Q+n!C)_X0GNpao}w2cW+G9_Hv9 z$$n0lfwKZci-BqUC$}aj+gvnw_I`a5x28nOl3daUV{ur*ipUd$Ipu?+r>A#;d^mh5 zmIane;YKfOrpI>y@C&)oiB(z2sMjKyvLg$WpMc6_oW43)x2|8&{D%lB;Pen-tAB`) zLLMnWjXgw=bf^kHb`KGjZejP6dWg>Cu&?p#CY?X*A6 zH?xhfrcqqni5f)jv5Glx7nMF~m%c#3;(%7rBa_znmMq@BNkVm`>_?0((+DH7>=E5qR*t2d8HNP?X5p-)wvMA&0=>)hS`lDC?K;>(N^1OJa z_7y5A)K)Ht7n?IzpdMF8L!pKsT>uxv03g7VAb7N9@qB3NGZ)0{So*pUJQ7PlT^%dZ zg>^wxF~BJbO}kqH(j+;Z5Twp>L3lhs5R0HzdvfCiwV4aPp0f@napOErYM?224v`L^sL2ejW3eFnrF1)r$90?bpwbCb>LvxEY?`H70^}S0p2dToSo$ z4UxgnX9ZaM3K~mtf-Z>|neQ2|NT+<|UrC7DToNS;W{q)lyzUzDr!|uxK8cy6}(!8~Ll39;B}d4KafeX(DMs z2Dje*@`IP&jvVz;M>a9OkO@UMwwomX`x+Hxn&+qGt}rV4oL~L!pZ@GOw5UkQPCP1d z+9xACBq^5zjO{Cfjk%yf3?R7Q2rMcyNm2Q^AmCNZp^`#tW$1=jkygS58<+GB@F{Ii z2th_gF1UyP1DBs+#LC>T5TqyKf@y9ndZ^(s<#VKgaKYB*vBSysg4BdA2#6fqP8@o_ z@rHvqv_wTs5}9p@b-;Nj9hUjn5*4}R4#Q}X3@0NPW=VBtDN#|A1mImt9|(_zOk1?z zE(z~*EeHeLoxznC_AUbDuqGl$Kq3?~VT<5~i$CAi1aLr8@u0_6gh7(bHwah~(ud<$ zGWy1H?fDMvG0e)2PO`IlhfofWE849OI6eMKaDE5BQocus=TYvFqb~IL}E>wZ(4kp*H{2qrmk55223cU18xOu&nTNpT8+bbLhDqdYo`l1v3EMfe~H3 zpxfk6aF))b?`~J>>Ev|`@C`k;G^i*`wpT)ugxHqt@JssiHUSdFO_X$Q-oLtunhp;) z3HXNzzq<2_giAZ^j|^}@Oizpu73%JEIpUPNyYtLjCD-on93jp?i!?w)w~3#YufWCl z2wOh#l~;Vk86!StjKiJdBj(*AW`7Ef3jQ!0(U7yj=td?+1}XTR)3SONNo}2Ny%{~x+U&MpgY3FX$L3r;%ne`- zl4CtuS6r-^Q^ET!5F3(SM)zKyUe1}|6DAUQ9@>areZ@%=)~tt{uVl-5gn(P5wojOy z{ME?UY0Ls_u-b>OPX=wy%Jn>kc~xj$kJwI&T>OU;UP0vtBv%9Iq|&J|gTB#(t;Qoo|4 zr(6(DEd+6B6o>lGTS-ruU?NHbpr|`XY7Rd~@QxX+8K|PqbsQT{GM@eAN*wgu$}8z9 zJG3R`fLjMtkchA>sBf1^?hZg$QN5wpNU&o?Qk*51bmSU9WHuBaeo3kF`St9eTBUM$ z#Ptl0E+qk56J%8+oL~`PD|pH{`lQZORk>6pRrDs6mFh67V2yQ>s6Yc#6)08fC&@{# zP;c>Rj#|`HLvhMJbO1;)Bk8#uvcj#$NE8ku>91bBiMq<_RG!MD-nuqlMhKU<)B_3# z>7q>s6eMBNXR;mo5S1NpL`MjNlICSy!=iuF{-`6BkVdeC`S^U%1JZ{C#t%qTj&lyW z7p>_p+d}67%0wPJC1c_Vr+od#p1T@6@ zPMahg9)-nhL6+M6Ko!2TAz!6HSTI?lmn;Drj*2x2c0tccFPU!-ni>>r4e*c+B}!M6 zLpC%^DIK))}-{Z1> z-XsU*zvmuEbcHS*PJ>~F1-=70#=nFvfuyB7x)@Dg6USzQ0f9b4n2~@kToP>sa9=68 z-5H6C|4NfCRX9%56-4!B3<~sM3=*UgK0PCF>kZnDuX+bcJt0&U!~d~A*{BW22DMXt zxc4C(jt!Dsbua(UNmF94!;9$L-v9sY6!P$fbD@B zRKmc=jqT|>VS(+rs1p|FTOjbj?3XZn0)j7IS-4$F?b6&XY)XM%Fnp_hMd6MIn)Iby zGhXU;J^na$`|thPpO{3eB3s3#6h*7{`aCPtNR^>J)GO(%Pf;sU+T1C$YUI?w1)))z zD+;BdXd0!NI{+2tnLFStdv%s|>3p;*D2k=C32~y#4JnG8(6x4wP7O{4IWRbkV_mYb z8c(BD;fz2%6W5Ba#7;d+&dJwIlWvD;$AVUEgbZM=GVW(vWPny}sZ2~6?)?@iy7>-j=OH3 zrwlY>DsjTYxMmIJCw49Y&HS0)^E*G~+k-1w+wMGTU`=>|ErolDxU=?3(~WY$ph7?# zt-g#m^4&y*u-(fADuj_?l?+NgWGs6Mh zC>IPW1XKgmKe4aiOVC8SU{E2zL7>^shnB2?OExM5%$78^=2%jYB`yi31f@dQEj>|_ zB*|9gl0k)l>TLg;-#~@1-WZd2T9aO`uNhPbYw)_3E1GmA)c#t8d#3rNxdZY+_wv}a zHf3K>MUZ70<-rq%&bs>bf3Nc*zlgPnQVZ6wG)3)v*&}Kd$2)CG9j^tkaJCU5N2jpR zkNP8gA6xC)`2nu&K4E@nVoS5c+yHmp^1XFqq4wYZwEyNOKQ!7gHM#DZ*;4J58d~EB z`|9RG?bfnpA;?$1t$63u(2Z#WR1#ek@@>z`4fYZ!Nc<&Rh|W@LHH>qlX7+R3tuelw zkq$=Ro}Fj~zHB6hA&Ag=AXo~u;P}uv!k|YUO6EfCX-WP`tO>GhvmbGmwNQIRPlB8# zMgpk;Ns_rxixC2(uqHLMYUU`^BAc9V4~JsP(E-#MPTrD~nEjfk{hqlGvJH7KnvO0- zIg>=FjL4Edrwmi>%Gvo2At{asm}}y9?@Q?lvuO8nuZUC5JkVTrI(jaq+q41xo=A|x z*2J~Xwl&FwTuwJ_rpNP~+1jW%@f_>ugyOMKQdczI=@tw#m3p(ZwO58TQmA@(=bes= zd{EM>UEu20$Nxp(Dk#j9N|gd}PNfR_Wl_qNHyRb>OSHIZ;&i}Ed80e}67oiu3cB*@ zr4!}@6=s8NnweA(ncs`rH2Z?O{5)=j&}Ny`0eJfG>!LkNx{OHbCxdCO_3f7*JTDQf zho{uGAK;OyDXvXl2|!-^oN`Y1r)u*27ESJ+qU8cO)4S?!ceuL zA(#{X7(Hst3+wHmc$$;RLArdqK%Pe2S+z{o;doX@>O-&p@ub#Sy>s+bgz$G1$bD$AH%qe=vn?L|JP~Yr1{6x zupY{xEVgB{QuC@K2ks6;iL(F}wjpFnKZM8U+Zupf0!}!hCg5n%JeR{XK1~^S%k7AI z`uZeLQwU7*{(YFo?X-W7xDm3NyuTzOQq;xmw7;|-#`#}!e;YL9JeMZ8l#*WV=g6r= zD&|jr=DYr-_f0G2C0tx;N2IL!vO|9LjqD4Gd4p6?r^~Wp2JJFaTcW`S+Oa5RjNOoi zF)C*Ie4!!~+~T?S?1Gx{j75H%j=n5p+18ZRs6)}*mpZ4J*D zf<-Zd;8!t{C$LU)$$T}XDg$s3QHoTJoMP8W@^$hH2V*fw!9|6O2V2(6cgF~Rc|ixG zO-tvQZ;xf)sKU3>D_7Pm_lLGdj=oum_a2h7Rk$k0Kq@B9I#l8EWmce_wv@$2^JjXP zyM!d>)3{iV8|))H(S3WGw09)6sCmz%7Q1vC3;}Q>X$MI!Wj#*YpY*7t=aL@1w14AK zr@p~(;dyB-@Wi>4mh=gdwPAZ6td?ibY=md3;iQ)v*)y3x63Mi(Iiu!6C)(e^$K`L^ z+c~lPn7{pfAM?_WeOa3JDLF%Ds4(&naw3ww5-G)zdCOEBA$VqtvY2XFACM)C2$o6! zrYT92Ugl?_+Gs06!yrKCh~T53=dz$w3~WWz!%1a9e_Q!5v!E0V{LmZtrLrP&9!&0M zLEiaxXgvR-FVWW59ePq@@g-LUQ>Gq)`@Wxg1cv-+ZVFqg_ zLz!lRuFy7L|JT3>_Cqd!@+V(B9-qy|jJ1g?glVFG(^zzTn@-KyewJ1;BB50P@lhgs$J<9>*)qqCBoy<0n{rYp>*a)oBI zPwzCDeGqcO)s7uVCR*Y(q4TUeWIti^K{z@~)C@S|ZFH7xKJ!)(_BUGsMtRJB#hfkq z79}}l^KY9Qu{+Hnd6JW~iU-pMJvE@FhlKQEE}sa+!11TQ;NSh`_fPSH1c&!z6QGO< zR|1i~Qb85%HGrU~MugN?3#g%+U}LBTNWB;QfGd&&J2h)M@NRA_k|cI&pd5!;!ul~xT7c_MF$B;=IiNHF35^`K2uug;~;x0r4h6n^CTA^9tqMT2? z^&v~&!7r8~AFxy9{h;bT0=%??Ul>&4{Mo@@EQ7QpCd(k(Vc7)rnKtF;X$lX?UZ5i` zM<~pzGrR_6jMdBU*?oT~J&n)$GR9eGa?Zz~$YE33Mxe?3C0mNehp&wxj&nA~9Bt;d z$hu#%M!Af!U$Q+9-ZO7)Um?iZvR3d#mzlNtM9wG$)NsSm-6UCmnW$S2owH>qIiT;2 z`6~GBwbXKZ1*N*i7?yNnI{b3|<^Oh>r0|z7?hNu3{ok>b<8PEo#2_AS`M&`7!bsz3 zXn7L6b)eGATB6IhtKLdG;9V)ODq+q@ueEHa_qbPUml7pCs#?SOW>^&xUl$c9B&JN4 zBR`L;rDxtMX)%9{30lLi*_<}0aHzn`m6okHk*vA3(wevYST5+7|Ea(8gU>{YA4Abl zEAU*9Zb@*!aGE5f2j>PlbYQ1k)hl}(rBpqS{k$Gb z*RcL?Xw~?nr&?)QUAd0a+=J69fbSUa#R=CB$rc7mnMY%RdLc4IBflD=3;AD(1j_`Ht&CbjNE|3jDOgNr)#qtleig^#h77CHdrt(8#+*=T7VENjx*`I@*Q((lO? z4Tg}3cjb}?4Kaa|f~hE_4@Y4=^t1`6@p5L}WZ* zmAMq-A}loF!V}|iNo|y!5jkey0II@u3W1U&mhI#-^Tke^^7FV0eV>RQJdICkrc=pg z)?RndWKvc8+c;q~#rs!1RYmSvxXQ| z#cKM$DyA&>wOmb$)DLWUGVtNKtD^?`y8S( zXT8rtC3DvMEK;&$y|qnw94JYbsa?z?;3UJr4qra>2wIKO#vNz9dFXcdDTYQD_J7AT zSNx4q3D!Q6h=HG$J=km3TR2Bk)?T(lhh4MYOhf|*Pkh1`e(W1R=^sX#MmuWVp|}28 zWJ#q-2O|JZC*xQR?f@|uMl#TvIKgi(=)#~5&@_#&Xl2EOI+&3u0vO)%P?2JMmE@~`jdv0cigEupfh=nTxI*ex+3y9_TgzGOU$VG zuGWZh-bAgiL%p-Oh+7gM52RXZW`x_JW|>LJQ)rkeD#cZG9>dj??XrnOT{oC!t`F-iYw5+Q7eG(+uAxqP!x@=h%~)+ z`k-VdiT!{QYloAsc-(>Cms$=$_yOWs)J(r3sCJQN=lzUBiy?49fJAVPc$im!cXWbc z2u#pWAS{2Pk+u_5L*SA-R26EW)Q^3Uic{@nx$4KJ=E56|Pp$&}-}ojz`FH+rd^7fm zQpwZxsNMeszC%VohDLzNnwX2#cYNZ(FUeswP@#fkJ5&%<9$;$efYMNzR3HS33Y6{G zU$f_Fe@Ql{{U%Jt?jGPC=>-O$H+?&wyv-is z$EM!&^_f_HOufDQ-+#k@{U1#Fxp4X5qQGjvLF(Nz`E}gqI+F04UMV<86c-l0jHowv z4P(j{lmj$EcKEU%g>h8mil(2tmlpginEl)|Wea5C-HO?ZG6r?{aOg^{Uew#3&3-PS zEb3~m_5)_H?B})y&f{hUGf*$4`nigJp$E4blA>il*DAp9#=)r4^X19&Yd^Pa!Z z4QuARV^Ij!Tpfp`X!-Vlot#F6zY*?ee?|HJ*z{qNqIr?g@Axc*Eeg-Ib=oj*G&SGL zm##7SulkmcR9n;okM-Y=DPonjbgOOTHW}wX(-S*bi%hI!iri8a!~UrI132)tgHvAd zFhtVPnKUJCaUS*u;EWQT5=->U{{>ESY%i)V^a?q0!o+`|p>;sAs zssk51OEFS1splw0s0`YQFyVrS1JGFLouZq&>$X>NNPu`(fTTgcWI^6jUlAOV3UWio z`>JPP;oWvYpn?^-#(@RuwAxoFwUtcjS;`UyGrnX?APgx>=BqZo^G$Q9Vu(Onw>Pcm z^O|Ti9yI?K`fBVGC6hBcl6Jj1qj&F&UarIJA)fFEZ>_`TEkEXP{^mdWil6$SH@1P$ z>KNY~PorV0k1Ufrj(FO_G0BG=~#{M3#wGbir8)M_rQA75qAD zE%%tWccDzj+po1aY*xtlGS#sLIK2FjMs?+qh$+;0#%)L9q2@ZIAmWnnn3d-qWW_f9 z$ZrnTl*F?IVf!QF3c{`TC=2v!rl)toX>aOgBZt#xgEaAMYl1MJJT|BruQ;c-JJ0m| zE{@3V=+VKYP_KRdL-hJ?Ex++8x;o&GNTI^qa!w3gTgx|M2_k@z?TA?LgPjRrls!7f zGwc=zO6vF=37j#v51kyoiEeRhq7MZnOnf`sL`JSH^(1@AhwbBI1BU2|v=KwPfw{kJ z-xqCu(M`Ly2}9iV#dl!Wv!jJxdhjZa<};CAdhq4yf3l%}R5V?SYT5Uf>J8MU-@`5k#!H-|IEjaema%oP8 zGD>W{MX|46!cDvKI@+$hvJd#XLTtb%|KJPX`a=H{Pf;5>~|c3}_DB=D1fygaRCKJHB}ELoON@}~=n{@d=**@ZoUWZ4CU ztvaumOG5i|3{JHqbTft11rALIeTqo?R?)b6+H<)Ha&*nlH^tRiZcHZrM#M42uLo*NM8L(w8jVLi%mITB^MWMoEhZ~D`eil$3OYLq?K z{dwlwa|G8uE*+($&1Nt@Px=*n&0WKz4kolsDrHrk(I~9jHV#KOOevqM{)94=Pfa7+ zhra#yF0n{iPv4}^+ z!0R}c4~K54+*nZ@43|95FG5^NlRV2W!Zdc1d;~6#W);ucc1nrBgGVcY>KeOwAmcF1 z@rfsr|BG+plOAN81!Tmg3j{AA+yrr#5N_g4rCtmeq6(=4M^i!N3WToikTZvm45{m8 z#uHL^QNKFQH#6B0Mtun?TB;q97uBDqr7N)s%=G2)mp#jun(2~xtH#!|ZW4dZTfR3j zOd-ARxBQ%v|b426cVbboS6zi z4ArUUI6JhE*S0C4&@=1kM(cD=yy$J;tPxDbT-eaiEjqgLm`wbQ=Wrfwv0NaS3~Dz) zUt~Qapu(s>C^k5DK&*jaGnyB)>R9BnngI~F&We%OKJVYT?stqFhFSvITAV&(r!sI; zx)ZXRq(M!3g#e$lDZfsr8R`AFT+P^ne5snbMg#A7@Kw*h^3sE^{>m5L{>~`DNX6ma zEBNy}TeW3GYFH#WqpIiKqFz;Bqk+4L20r?e|L6N38qt7;W_`Lbl7l0BrWv@1bR-lcYVuKPc4`QE0`{r}hA^zVGk=TGTF06CDtfSLoO*<5S?fs|9#wonh2 z`6%PG%~>BJp@5NP)Q8)HRgh!Fq7Q*-v@!%{<#xIz>qFEYSXH6oWK(!mN?9Kot)NUp zGor#cbkT<(1yeP50_d$9r&c#i`PFNR=8#n^vIL49Oj4NT5K|i;k)X ze%(Yd)NL}IavO>vdt;wIefsCWg(>5>_S`f_Lg@+<>?`k4v4xLHGI zeY!ixz+d#~Igd5#)3Z81>(jG}H|x{gImKJ_DY#~>&1X>j1r$2_mwS*|s~uT`hNSz+ zi#|O&QJ|KyeTMv~HqW=`yf!cQ=Mjbqs1p5PD`y+z2*ks}nUe`MuI22@s8;)#E|Lt5 zoYXCw7@t~Q{cYd-<}dv(r*-w*k-_IC0T*S^k_J<=+|TjGRb34VY|Sp9Nxf5UMOQcI z5eKkzf>~E1_ppZVOaB}QoK7(7YRmyf;)8KJijs7aON5`B7}1Nub`VN(WO65&b+rv& zrsPV3gR&-rJA0%6JNrN%8JyhU$Xlo)A3~4h?NugW1lFMss|%kjH|A`dtIHFL(zuF!8v`F!} z1May&%VK9liq8$u?gqaQk~cVw-YylNyS2h2niv=+DH*zL0EeIs9Uz2s087pHXOHxa z9)0Can*M7}=AKn~J}#e|YEzF;rr>%OTJ^I}z*WI93K5laJ#JeU%*_pr-s$nH&wU>} zARm;Y->)U(Cs#5yZ8Twd`}F>`WPCRz#rOX5-Gzzg=*4rGc$Qu~o3AKBkHnKX zOl++z;JOhO++4TKVdB}KE_sre&Uq%L%kIVbkS>Rb)*Zt-4t$c{X~<#X9=TI14Q-4u z!OgbuAh;_4XNuF0uqN6{2F^`!{y=dib^6_M2WV^;q~_bxsMdC?Em{{l$$Woy_&K$t z5AbXsoeL_-&l8iNy|7MPLCy=`+7VG}Z?UCQtkaB(QCFW~G?LUEM{DD{mo*(GAH^!+ z58OYALp(s0oPznk@G9a{{+^%Xo3T%nNKvI{0zJA!LraHcRcvYoiSZ##Ag$}LB>Wx; zl_o!rX8=*!NiV;Ik#K!HjFeGIw;|k}XWa%JEHlDZTEv-QYi~SR8~>GGU;e?rH`QHD zP$^A(Y%3A&?spxhP9+Fx)uFLAY$}$v;(CQ@JYqKJeUM#JUBscO z_?zGfX*@Z{?Mg_^vmh0}3+_-RfuJ;Y56E43?_DsMWl+-Y4=oRkfo<8jCaxWtuB@~~x?Ov!_l`t7TGccvy)``rK=>U2?4hxQ?A-nzs{qhO?PH-Nxg!Lx(k2EX9lL>FR?-uXMe!K7?4ZB+_iy z10=W0sa|Yc5mh4rm&|gOqvyMGfVIMHWRYbn`OqSnZ%>N`07Wvsv2>pK{UqnL>FO7M`ZIs?XQqoDb9pnA+Gd;%BP)ZJLl~pO$hzPx9Y)SdoTbCax*C&i7#&ju zgIcW9ZCO_XQ3?lA1h-P5$f~XAYVaaTCm1pb)~)9H3K@i~t3h_Cv_mIMxF2K?a#rFz z!$vM%pJ&*}y81lBM!r2!av5XT$oJ4iyj5=R#`lq zI4In8uS4t=GGm{3HfM7legCbdG*Zq34YBZI6Fgqr*wjFPhFiEA(TOL3&bmWR5}*PC z3}@`mxfErFhft;G{rPl-SFP3Pv_CMRrQukz4tak*Nojv+5KjAJhDYhdQ}V)e!PEh1 zf4=BxQ+^)Ld63R&gI072XE}polU{ak8>VkbbyzlMWGEh`uzsz;Tq`gyz3UxdHKc!F z+=%)SwyPyU3(?n*p@lmbIih~d`@ZWOJLSz3l-e-a=p08nCdmmir>}A)BM|@5TYmk= zKV#b0xdJ@8khuCfSAYj_l#m{Spdn{+R(9AR*mE^%+3Dp2*+XSn(d9~?fzuYB(B z_<|n|)P_z{@*Zh)vlj1MG7X*^Oo0|qs2@GXK%-wk5f*Wp zQkikd-GQShaGvP>1&cua(UnKWj=tMq?zKoFKdw2Xv}V3PNZ%_UmOs+q=;a3O(W1*W z53Gokqfh2CBDY`=(i_+dG)b`0`~i3J|1`7knDQ6l7Q`n@SB&H>^i(i<&OYR9N9=D7 z#+k(T6|-^K7-fK5a{fYjhg$xE^l7)@6}8vmhB(s6$8KMw)E9DMc}Ap}kD92Azx7Su z^7lUJ4UMo&6Sl6{Eq%+U>*jvgoLW7iq$O(jh;mq;vdo#)vn9oxSjF7sJ7z36+vS?G zs%M*AOE3uHGf8|itJbH|OXB+sW5F!tCNI9~jOSgqwNX&^caSSnD~PD;HU8^gyLQ8V zNZ$^`vZ!ZhAv(gs`2530HGq%jGp_kW_&GG#*e6PyV`)L6Jmn1+%?zPbF<`aGoe>N0 zd2f^`W4}d5UWNo>&GaNMnh``Fo3P^PEGbIA*z(g*D+#pJ2XAoTp%rxe2el=9=brxf4&Q_7FoN~+@O~E z+MnBLe=%?A_$WqPlKkgfD0r=nwh*-jr2EtPLjVqO9ACE6@%dWE=4QP>G!e^fwhOwY zQA^qImCeiT7ogQmJD`G4vI`q=HPi9AoeqfeEpx7R3ruFp2Ncgg?O(lx?NVknwd==J zsBO7^2$U0+?Ku7x=bNWpV4TwyRphu}2-N)tKUlsTp=t_*mHt}p%RKYeZK|K%yN@j} z!#4aWHtB>*rG`VP){8CZMZqP3plQY8%x1C8+)b3gsrl;sK5drb&AFLJbb;Cn)C8Sc zJshPXH+yw@Kyy~la`cjRAOB_FwvO-@a49Hq_mXrAq2-FS&v;;Ws6}ghXI~lXbrS^I zU7%bX2dM#82-38;-~j;`9E8H67}aCaQ6$Vc1-TbvB| zsErDb6{!nca0k*lu~j?3=+&nQK|b_N5Tk){F~CS+Ji7+{AxNv@f+x6!5-jcj6X*rS zOL9q!2F0XzE_qlA<95DmvHUIxDk&Ybh=5wAgcM0WkS=-HA_8StiJnktFAd3AHcgGm zFsxLsY8`%*3T|3R+5D+6Y3B`EGiO6%77o|rFl7E>m_5UjUj?tjA88rv+`tPwLmhVmt@v(yzy0e+BhVthOIP|XThvI5i{tD&|os% zJPT&!3EF-lp@6Ld_P$=sEM+4xk!lt?&}B2J8u@#Uth!h#f5p$;*JarOVFjFJr{aA6 zfj2wnT^`{3IY)@2Qu=&Ymki6|s8$ll==D;f5Ol*d~~%z~~hMeHKxZwlPS9QaQ*~)C@0FhlZG=UZ&ham)=U4kLQ z4_3l}9#STRCF%E*$x7+>)A7FX`>hcMl`v)^jO*hzPPE1A8DR_rN*E*C5e8|T7sn?M ztXw|?>i*nL`wQkR?Z07In2+Cu(VM{!mY37Vt3@{YYxyQHY{^C-E&_wWKH?^9A_8w= z6qZ7OnZhV6h6pqL;q1X?2RbD@nj1znxrQ|w0-O-PB8F;1sC45x(D#+ai!ZS_){;IL>gdjan7i_)|MhRONR0z_$a>4x`{PlEO4j>cKF0l}#cjbZy^ss6p z>1Jao^AHs8s!2L8zyZk!MfE31TF8*xpa{%^ugPdnk1a{kHn=3(T}fqxL=-p{25U0K z)Fd?(fHhag!H+Ig>n6F!kYYKyR0F!B=lg?lf=xYM9j#L=ooBv5hZVXC^orI(gI%sD z-yzNv)!%cyxA~rF&3uahE3jr?q>K8eLp+#vMaaD91Ghs8iuigV~QOTJ1In(#l%NZZ}HB5f=&Y(JX$(hi^XXJ$8 zEx}t0?}Of7@jf6>ycgLH?*%Tw>nVxf<%O|{C_fyY;?j%{dZCly@A;+ajVna&$wBl? z9ZlS#K1FmTR=OKvrLXy8KlxSv?QdyF4((faXUDqOfl=EaNe>@}qvv|238~l^(}X2J zAh<(1UQCLu0k24+FBinbZ(R|&6}3_!D2mPn8*RqQ2U~|AZK%2Pn5A3gE*wIy{brv%dC0 zE#ZPYz|)1rWxqZNBXM3aB}LziIacIRVLc4xlGYBa3xSaLSjjb9jqIE$u%DO27Q`q-)z0BeS7uguY0#@$alT)o>$xGS8T*=*jdUG zb%wj09y{+AUG3ZBv2UO7Gym!P|3skb6l3i6%@D`19djqfG}4_dIV73Zb=0y(tiEC+ z-5De9rG0b3M!JKhrLzQSLAnDhoW{U$XzDRvF-UjTE8z?dWcAygWyI=&LAry?vs%np zk-E$U_lmo)N3BiSfE@>q2c7 zv(*MiXS~rQftZw(|7lHVd%ZgninwGD`JjwIm_*#iNgqIM@iHfaLo04mCC*eLE z6r|--M4Vo1guvt9`#>P9`3XfF)a^&X^`+ z#hgq8=!%5G%;=F^o!TS>btSWUjEYPQ`_FvS2G5!|vmm{*+CPkd)n zM(!qXpQ@PWz0#KTLcISMrssxWu7?5ULW7ql>GJ8_8qDQUN*}!-cbw9#i-Y$$<7S%i zikp+ut&3)g!ImmO?|d7@c-fANBbt{{i6xqo8QOe%%y>1)<5rRDhdtubUNR-a7pLQ% ze06N`UP(uEIeL14arC7!+u>na_W5-Uk@R^37ICG+Ht{??+GBJh8c^-gojyiS7!!Eo zb3|vL0KgeJ9wETgWJ~KmXT$?o-}3nPIq6uxlTUMI+{Zih3AEssH1_AW5t}EhX%BX=E(FcliIx}vznN4}a|BwTP8;-DOZbZx#XbN{u{RP~oVJHmj0Hk8+} z1ZT;-aA#Ad9y#$Nn8}DmK_5A_*-a(5*WEPE^OG}a=$gxa^W;qAP;-fNcRZjIUJ8Ji z-!6JQes-y+_?kwOh2MSY@S`MI?+^z#;SQa)+K(RvKpZ`}Ic&Msu;(nV6G@U1d}nq$7EV2IkrlgoI#eD2G5zK5{$um%?e<6!*2I2vO&x_bP=2 z8LE*A??TknzGb#C3BL{sm7o3m?hk)%bUkPbiiZNxAGc%|AB37kJc5bAYJfy!Vn?x$9JG8?gSYMxFBXatA=7LVeR32+p|=U zE(oqCv=N}69fE^HQ#-n#^@5_Jxn6Z$fm()hL5>&Ft_KWXRtMj{!c|Ks;F5@D*pi6i z8X_Te@LlpU<=9;3!V0L&m8!Ka>Pj$0=VZB$nK?<1R{ICN(rN!@ZyiXN<}RwV z3Bo8&M}etL*ljWz+sjx7e5MRzjHL^yj#?VF;A)?gvWh7 zj|KXFzjXIezZjNOp|Ktc8c_Dl=r1Q`0z`vbC1uKxe_GNesCYk87p^g0`sQwf8CIn3v=i2(4#Zbe#BUl9b)%AHFNr~c5i zq%O!XL;LH&+~4;vFwAg4v^W|?1PH)-L9wJwaxb9^EGe+1OgiQtGE-5(XE|DPKg{?* zl1VjR6F!Tw8-u*q==kj`+VOmMAV&sDHe~E2OBhGL+>SS&Up{Dzu(8OcT5&a;8Bu9} z@d#y%BcKZ|(m^hbXFQDYf5%pizjaZJ8~BJ~XjhA!lLAMwlLI?_rKQS2js84t*saCT zA6WJjW*#L7BjUT4~TAGKPYW97_J;n|TE_W;> z&jB=e`oZYW6|r<5Y3Bgi<{2S?-eLkfY;?YAntea?0fX?cA_Ot`xDWD60F4qO;L0Mo zL`!5{Qp|o^6MmC13LS+IH6L6;B$qs)VN(#VNL>yYnsR#MwB0a2kMo2dQ^R*S2>JG$ z;K%5BuGYeoS&p9X&uO_r?uLcPYQSpAB`z>y@N}{CLUwgho;Al38HOQ0(=b3NO z5hIfImtU=hyi55W*+_+3r7j{{5ikz*Tvk>9w1$$zt&seb*9%8d?*fG@)PrlU97z)l znfSr7E2NDv?g}wKe&tAd>D@2A{NQ;p&jbK+t}a!neLSU^ywX+Lauv0$^l9rjHDx&A zk6v>ekEi4GGyaD^^*6sh0f%I4mghKp{@rm6TOnf%k9*7vU}zpw?PyM2(24*^?yipA zs5xn)UKPM&Cq#43S0W>RmH&&pYkbPz^K*O?pXSI^>9fcb1lHGLZ9%3; zq>_rwUF0&SSR2R`rKHmb6fhtuxE6Bnh6whHKj%%K_}(zHieB^}$6<~~@Rq{hU`ojjqzqym9OkIp z4KCQ&au5^^2xe$zU2qSUH(e1ZtA0hASr@eUN|2y7-8>G>Ajbva0dV#&1rc=M95Ymx zX4VBsv#%)BB?#rai=mlyK_qIGcpk7UIdq1W(#*Qx>2yHP2o8NZa;81atbOH>D3`>z zDr=8ht}F;ll1%fsr1tc}AfJ${RMVX#8RRs{6@oCDZjj|z>xxUxGTmbEXc_w?rF@}w z`bHM_*l%F8bHaZsrMr2we={T*?=v2oK==wbY&MUQat&)SfI1<}DdaWM6qKSw*-rMP@BO}%{k2C< z!Jc0<&=2QZ`~kE_!`{t?G)uBNU5@-bZXSVLN^Ty)TKu}+jzRiZnD*NMmhEZ3)OgNK z1l0#U*4B}Qj z_q-yNRue=Bq}|usA)=H@s|f-pqARY41G^=S=aMbH!1bSd!Qeej-0prn2!AWKllD$nr=%|=oEoyI!`)-tmxP|atb}REP6u!uz?M4b{3?L zbiuQ80;wZi5V;(vMy`k|i{>KiD`;KnNEbYz2m?h$$QYQ0yF*h)x}ec*5C?|Yf}J3B zqzj^KjPV1qqSkuz#ZX7O;2tc*0{A~{FgdwfQFNqB9#7DCdNmi%zf)CVl)-%ORYR=O z{Fu4C<9dKZSypxpHOZcF=`&-=A6{>P%4@yMWoGgtsx(pe>b$&Ol?9k6sH^J&gd zg-`=&YnUbV6;IyrbvPhnA?7RuGiU%);>dr26}Njp-)o7Vmef}y#$E*x^3+4ma^uw? zEt92Ft0xvm?bCG9L*<^Dnt&U)5tn_rC|%7`=}##D1*D~%N9W=r1yRW81uvgd9(;UK zj-UraA;{(m~0?o~|5J z9*(DtfRfpPrDX*>m{kk>(5KA?98f&;NMltFDlt}_tWk_UhomSusKi3rp?$!l*S`5H z2bBN?YeoyBURQnJSq>_}6s3e!z$gF!QA6nxRJL+Gkaol2@6>mG-53slzAGB+wNggq zh*lDBE(v5c3Nz$x!BC_AGzGovlE7M!+KNBXt(jJ`eNQ&Mt7@o}HEfr8?hHD+V03mI z`dFsp>8aKTw~uVy0YHR%?a#h!eokMs;VYX=zHo3|Biw6Kk`s|Wp(MoXJ;MFGAAj)v zpL#AJDmubK;p29VHff&YdOaA@lOtSfAp&{EYA0-r8IfNi+|>pgj5stn83!>l z&3gNJFo#a?^B8b%j)Hv!A4SZ%O9m_2mh*7y)o2W8mvdS#Z6efmH)sbCv)zW&V|yr`uWU{1__7Ux1l~J7IypjhYs7rd?#m+#Gdij2MwZ+zYcjM zJBu%ybIkr6nOK|Bslw(~+yCWVOHcIzPax+i;nCXk%?#GLZubH+rMy;xr?7wi6{eu~ zcYzlP&3JUNPI$WdCm}u9IJ#GBkEg*KfHs}>9Af^HahGCoVGqquw(zX^dG5Cx?XX7& z-?q>4Ab5{80npW_3!Q#O_-BjYS5huEyCS%XI%M&lHz`B00!!Wjz04e%y#+GXN%}(HJz{>-wjg;SQ1|C{ z+JC#)W~kD3wb+*H-*(q8+i8EywaWK@yIE`tRBJ1?J$^sgj{Wh2<hwgLg=(ka z1C8P=vK$dpZ~28k@*)3V5-|lW4|B8vd`xnvdUsaseSnRl$X*|1J=tve0}RH z4R3SoQ|Ez>6pXr_5bah^mQ200(4^?esPm6utBdNNYT|g*`Nus=I{GV1$!Xv#bh=)M ze#3Or_b9d!Lyn8-lI<`Z;@_rCabjJC10|c@dzny#dXzVR-p7}Dll?EJCr9^JEI?(1 zbFEID?&=_nz^ukbnt;FZ+rIl>{Okzw^?e3dNy-FhBHOr{%FT4(0_b;x=)V<#S7%V=)1V0oGVG zLNGlFZw?bM!T_610IC5t+Xyo}dZ=(Q0kDv4nSjE?%+21G789_;geEl>hz1A7BAWoD zU36S9x)Oy>J^w-ytol!c2~1?7qp?8gp!?B_34qZf3m%Qyc4(^d{W&2#!+GM0mS8dH z*UUB=WOeMrge0)a{X-LkT?zF@HjESFSzZBeYpFDZ#3*2@gRVCrR*E4fRcaP+OQ43Og@^e1Vxy%C1JP$6!Vu}=kHvhs zJ32I9y*0WH*_eo2hb@&bc459S0J$k(jM0FtN9t6PB%G>IH<1_NE3kv({TyH)_h#4^ zBDq|XPS_WUUP2A4-XVj^Ke64=z@$wGw5P#gb!W zLkaQ)XHX`;QBqx=lJ}X>w;LSyvF`4+Ag~vDh z7jPvDBcs$w^SUP7$t_%(7M}QasHkEaFL}3rgYX^0F4BK`I?KyJSWs zb(~L_Pfj|%G@Yj7BV4~EycXX(meZfd{fqn9M6i9i%V6)-ggv^t4D%cK?|iP!yjyg2 z8Rp-jl{3uQdnLX zqbnU!GS%|)gsHwI9n!qe@oK8)+krbb)$?xA)l|>FMVFZBH~!^6`qp2cu9I(BsSpb+ z82W61tEoOiL6S|iu>xwHyf4INQw`=3QtI;1L#~o)s%3!5I9EwF)n~a%vZ;-=Q=7E0Y<6G3P`5 zh?NxyZd*}r-iSLa{;z)Flmp`6*q??NH~j)-iHQ2`PwwT6F{p&_*e9OHIb7#`O%tRj zTCxJk7g0oG_#%DS&p^2V$`piHb|_(ckD$9W>=(pcI$;5*Q zf*aw^%Y9}H*IW=~DeHzqTil?{jNzI~qRY(ZXQA1=JGK}gd7|eZS@Zf@NC@6Z}SH0OPX1xssg>BUyA6o;~nxUPq7(+qP?cSTr zV%9k%N&rqmw@B|RRxD;6JxdaZp>&KU_9~dodQ1=9Z*2BUtXRx?8y>nFGN(ys=T~D* zQ1BF5nKPEGX1xs$g+HU^*d)o&&ex3Lq3fdrSNX!LS#QHb*IVn_OKaxa6GJ)Kj8%T4 z$>2(jb0TgNW2%3>!~xJf&;ryMWBvQsL~{A&!Mqr&TU0jP`uo3QGJ1@0FTho||BF`l zo@_?)w<*TZCbbk}K&|I47g)uM2yuIG8S%PL6zYuL{@mrlAn}q~u7Ku~Qy?K+3##yI z-D=plJ>{3yVrQVkXde7coLIh3PO=8}zx?p`{P6oCv8LR=UIQ~GU?4Wx2aL!DL-=fx zuYG0cV;9VXU4ffJ3jeQzfrR?dyVQ zgy7f`G)OJcZ7d2SR^&}|!L!We)V?lwYF!wBqbdwFR;2cILFN8s8VaZ(O<0AXXkV8E z#spm&h|>V#9*j&8?dy{JB{4lBxw9tFv?jH$OM)_tguzI`fnZ%*K$0YFqe}veP1p?1 z6C5Lh^USyBxPcv^Bo_V`}~WH-6Ob{)$lRI{4Amx^AJ~u72nWxIl3DLS@Eu zQ*A17!B*Wk95<-2R6*(u7i_Yg>gE*$NNx)x(1h z$mkSpx5>4W-o0kZ?jsRaKpgbh?<`Y>c0M`?{#f6~7SNzg(ZavJl?QF>P3Xc~tJ-wBUfwTQOOrmRjqIsLD?;VC+}7)s`dcdKWTV|Cp{QO zE*`Z1K$r!>L48n*;2avPSyZhK)x-5{2q37}Q&erku;C=Ef6oqgZ&KB&#C?j>`>X%V z|1>rE?ChMf9P^${3*?X&W477I10}FM7?YC+vUT!V3@Kehsf)G0v5g7MjnFZsa0L{CNHvEw4EbQ$Nw9XiN8@Q`HZg3 z{NL-4j4xtd_mUnR0Rp7FsyIEKSB1}*Qd$VkLBXDSXkmi^?73XW*)#vRblkk<$9%@$ z_b2}Pm;6ZZHS0nip4+mtoaCU9xXuRb*jHWFH8PqA8R@^|9O<}@Lw>JM>*cK89{hLG zV0RiBn_P4pnjV390S)8G*yO6?gh&V;9`vU|S>j@{j)T%9r!>M5I$PbvWF5zbKFvI$ zUr_6Ev0~A2NJW4%gQ4_+T#)tmDOp$01HtL0Bq)cdiSsWhPjI_ACyW-7(*#FBy`K4c zQx#nD0!0DoUoT?NF-l}u=nZxkTuld54Cn2f;43n^jI8l_>#K;5)f^L!HDq8o!VZ9r`Kl!>hL7>`oMWoYv zhzj+D3mOa_hsJ_R*c^wZU2lTR%|_BJaJmLl0BP413Q=8S=AlTgP$aH6nu28k+mhj9*9W5(h_fsZRl^VC z=pZ3d7b!{RyMw$uhcVGFz4d58vBZ{oPqzPw&0#Q4pog)J^AUOEBtWQLG+M%S;Q16*gpzij04U))SZyU-Kdm@tsm8Z8T$i~8 zgbJa%1A;lzn8S4dPcf$fR&cl446>m)T;CxF3VasgdZs(OLzi&9m3Ve5FwA$yuHia5 zQ#4%19ESb}D&ab3CF#)tSE_;iYZ4#CEf4I*%(eY}zTWS9{ z)*JgoDdaoPnCfe|{=pKi&$UUn3pEKk{R{ucU;DfVk^WTUHiZ1o4U?A0b5Ng7g-Ls7 zRs#i_aH{6WqJ&9MdP-F~68+>b30jAwVVv5Au4Ykc5iR5}31qSy+D5@1&i=M%bC`rq zW)A8=b_NN?KAy^95;~qyQfKf3YttWE^r@W*GOnU|kxxf?Ta|vCWC@c_DAU6--APEJ zTC2}(QqO3NuxXW;_*iwlW(?4IlOl7K$A51tqlX_4WL!)7zer|cglie8Dg)*+ z%AR&T5r_>a(opaygxWnUU$#^BPbk%*^_VdKGRs4HgYyCT?8N>9#x-e0PA5FYxQ6rH zr5UnpHb$Z1fV}y0m=bbN>pAK8Qs0T=3#uY*%FpA;Oy-7fRqwIK`WnbYv~mq(mYNin zpsW(O4_g`;K)s$xQa^w7=|8#(!#f_x>^B>o>WYaHpaZQzLJnlk7AQ*~gR&cnWz>-5 zRIe+RKxV%oLpcOTDw#QuIa~ZIfy}wuUk+r>mj1dx=GK)zHHu>xF#V=W{E6{8W6knc zHyJx!_=y(#MiPB^vCxMSBge&FCUYUdS~3@d=5-WzN*9+jYu6pJeH++ULA>Sz@*&Cl zb2}NNN42jwUqFfCf?;2=ezK2DWT)c`c}F@vLE~vt;EG`%f!8IJbOmd>yEg)!Xy48! z7@z0O5Ae0u+TJ@JeAV+K>AlC01e|w^u1UbhlLY+K|L?1R=+%#yAQ-ptBIFIAKL5pofEcTTp0h=ILMFm5Rpj9Tnk@*kEJ)kwg4QX6 zBH)Q(F0FAKTGXP`f%|w@TxQEr`|9Q{c&W|Y&7N)NKn_JrhdiE34H;ykWWIc22HwyD@u>^0`EBUL?UU$Vn6!)hx9Jznzn~@Fwk`1N>Vf) zr1&)F0h}XKSHwelZj@=q|E|Pt*;^9-Cy^BN%xy?N6!&n7u>Db)+xk(e0O6PP%hV`U zA*c`c5Z$L3t(q zuBOeBXm3M9TV>hj%Ms8vZ@TEXTw8FM@6e?iXpZ}f-`Y;b>(@8!+W4Qit5mcl;nWUU zOXu%;>A|Z}ImcEitDi|!$!>lobuXT%gdlOmmmj?R?w23D^mc&(%B8hSx-nQ;Dv{N& zB;S6Bwtn0dI@&D-+ywnRr{vm#V?S-mL-B`IT;sjLF>czG*I_6~rRci%fqqw5HG0eD zuYbtD8I3_|X}xM>c9)~=Sm>n#t^-lCE1LWk)>r6_@Wu8*i`#B%v@|dH$hn6ih;|=^ z>xE$QTG0HxH8|Rc7o40H==B|^6+(gGL+=PBpM{fe=?B3H2Afsy2PKyUnsCQLI0ScI z2={ZG<%o!}R`1p-HoEaR^HI%Lc~aHv20}-@X1M@5qnQu5zN=zB)N2m&)q~ZM9V-3O z>gN{uZ0=Z{$<-2;i;B60KrvUc9rg+CY3bKycB~M^s{fjfU(GFwLA5*uqLJdROjL^` zGE1i;#$e z9%P-g2Np?-=;&wBq<@@a)=9wmlDSFZy+Op4b&^%Gp_4EjwGX3G6ot96D@8AHI@=)D z6i3)D`vh`3RdZwMgY?*;c?i?SJbj>epSYYH>7peQC?k=?g(+cRlB^bE$=gUJyTwrS zn+qfCm zbgL*5#uNX04n+I%FXBS^cL|+-Wly?$_N2>uF74&HR8`;Tn%^y%szx}pXA)FNt+zcw z#i)$?)-B%AXeX}ntb_fOfr;ncqTbwHdxY+$N9et8{M3KpcfYaK5p=GMgNc0v7BY(* z*@?j;JEs)1udMgC2_7(^TLia9Nz~?{9&{O8@a&innj{wl5yH)5Lg+Fy!E;kW=rXvV z#bo0{Lg+HMAV?v>hfCiABhnQ4YhU52=`y&Wb@ZZyvE9Sc5}q7_;;lAGuqVR2W63pq zpGq>3m$ZH>prZ$4M`oJ$=O6Ywwltezdr{bM zYK7-PvXE`68eo(t9z@~7JB0C9pXBj8>0^99+vnkKKzdsaX(pa~`5ybW-UwMD3MKg7 z590sIk~jVzr6y_{>G@tX4z|$oPH}n6(j&e=Wy5Crp%H%Yn5AcY5wrRL%N(qk9`Xf(1|mH1K(2OD`O8NayntU3 z-h5IW;W0xZfO&!*76@k)uaGsI|^)+{xBq42hUcAiVa z>&NdYock`h1EL>Cha$kG_t!2ddzZBN%eZz7YOYr_@5OmA_2NLlTkJ<)oIwRe9(4ZI zIYEan4%R&P;^6E4#o?dYdLu6mj(6J^=Uly|FMmimBc<Wjk5cb}Ks`RU)BaMQNykTl zq$FS_>K$vo>uI^J{GsKHd^r-|#^taoPzp4tcNDlR1j_l#b{ufKl_En+SfQM;EeR+H z)cv`g_TMkIIRS+_#I{`jwo5?CcG_R+Gx7UvcZ+R-D{m!5kS=)egXPoF$EzifP`$y) z`{pxm-6nmBiVC1pM@uiUz=Gc%xP8e8FrRc>Y@z8v8UlT?!XL2M4hA^>aQ0v&L)7x? zEjJ&TB?b;HP-ud~WPg#Hy*hpEIjd(mrk_6Xmw)~%-ZPmJclPcBxU~ChL`iUXvIyM_ zgG7uxV3vmg!8ZEQ@kSrk>p?9&r#JS;jdHZ0^TIkVBDs>&Phe)ztP+fk&36bvdA9t} zEnR~lb$zl(w3Z3(@$_nD1q9Tg zd?04P|G97bp7(xUK+5&4?W=NNJ!Ndg3^=@VIH)&P<(8aZMnQC5^*Pq8f-I(lg9!Tj zb5%K`vcih1l@NyJLuVDVqt8j_6nqX6|7F3ff(}3hAvVXMp{%NA(OxdoT$oC^!60x+ z?vl&7UbWaf*H!B^<6dNeL9pGgDB-Lx2{;xBswmlC_M}!*Wfb}1&~grqTU&3|T0%8- zcs;rV&`lR;;pA{IiU)T7Z>J4q)4%h7Zz~h~ai#OUt5V(z??tP5$z79fq=a@E)azh( zi@Wrg>vah3>I@e6>+qk#-InMtop6U9N0ANn$#lVY$<@bZH}raihxM3M*flf!4oM(=ruEZH<{sY{r!jU{EcKvS>k%mGs8}6ZS=F8oB&oR$@Mq|bsgFe zOyVHv)*NXFiYb-#5!QoDS5nB}n;NVr-n$B0OFF$nt{tf-?%3C(wY*v`)PvQUDw0}*!~w%D`S$N%h*WL4ENJA*lEpt zdkm<=AM?1XztL=yFUC^Mck0Mi17D53n`Qp1-@Cmh)ZPB#sop{2_+0jDko$_vmor$z zY2G^PH{_ z@x#{q|LnaBur6y_7KUUTU?7nIC6g2syDEj5cW3COFLY%neQr zv(DliG7Kb85N>5;Ob{idLTMBoAO#x43|hrSpehgu(F8-02qcD!@d6^T3NsP&Jn!Xu z-|y>Q-Tkk<*4q1=X{a;n@7-^I-T&X+@AY|~*8)&fX37pXNb(QyKmO1DH}=FQ-{*g0 zPki!y{x|l-C$)Mt7s%B(q{CvLLa)=J0%|sO6}TByUo=cqRaWWXP+4Y48oH$&LEKoC zRXTw!AB}Xp(3GS&QCK}voH^EIy{}=kXtF*hOr}AJi;Cg~qV z0K@Z^W(#e#xZ+A*#^|GIw`h(rZ>Nj-kG=N`{%NA#>QC2#)Qa)jgmkTz{wJqvAqZ3f zgP5FLttv(cawH<`%j@QJZS-#-t*iFRvKO#dIbA!L*R3i>M+BLYTLr0MTPMruTFjLq znHQSC4j8`Le3>d;i}DxY7D(5EsgUtt{@SYCJp~L%lS+agtUmc-%7%Ba0jM&(gZ)2Mr_j~?KcnW9*6=D*8vB}1RZXO) zNH38z4bhxI?bzKO+k2xjVb^)4AwcbYZG%kVpm6@?a8Mq>KzYq|(kg=0XuE{4S|?3? zk?92na80VHj$@l^sB{hH?iw2^z-+gZ7~E_F6wSMIJ@NOpY=k@4_o`}dHwD21j9NfH zBGxI#3Q0o&PSz<>*>^YD(;xcCkNo=Y8%IP6Vjb2K=%5yulit!{Hi2wrBgh23e9Nho zT{59wJZyP(6eoRhSDJu!JPN8*A(jL8>qpEaYsb z1N)Qe6{*5p@VG`&mlA=S8#0t>U>eQo( zNfpSWA?bBhqt{ScgKhyJ;rht`qJbXIEq=k$WCH&ctRdO`ZxTzSA*o=7@F}XUoU!sz z+p#~B^uF`0FQ^w*JShj=(O>h&JG`#SW6Pp*dCN=g<(HgEdfn$2b}(8gDMl6zP(4g9 zP51xBU-_KZeZXjz4M2axF?O2_YWH6R`kD73j{t8f?zAH>p^vS>xem(USjIdlU45j3RJG<{x=Liqb8ANNnP+|%4@CU zv-rSjuf|~;DhKD>KD3i3QC8CP>|RW6wp&I2dzIVm`}wy|CjN~Q!%q6#5cRTbh?;SP zcZeg@+V+UILOb**ycM;7FJDvm32%P-rxw9iuaS{=6L_3-!Z<|0Ef^i{J5w2H3X7mN z=u)r^sG6abzz+f(!ES%&)wDGXN9Zf=)2tUuaD`wMMxw({m?%!Lg$lO_!77ZD{R|@! z>()V^Hs<-MkDDDcVGtDhwK_1Z!pI|jAKFX~d)Bm`pL)8@1o??$GRQ)o?B#>YieQtB z6tZ`~j^$lfnK`wsU9t&q#dt(XLY9kUBkhoD!|ojp>As}@#XXmVq5W!$9R_w4$86sh=pB*P zgIcTWoc`E>I>a73kc{NV4x9P0!{!Y>b|f@u=!E!Bch7M`&QlDnE!hku9!=SdP7kFN z2%q zR~GDM4xDU}rXVpdP9rquRh7c9ZU7oJ$hSDt%$K`2cvq4O?5QC@n#!?$=lgCb4}E#k z%zyPC{?_07&mx7SGesS!WfMlJ7s>F;{+kmzSg9&)mz7^!@Nnb9i8)IVr$$nWv)7wb z({C=P-lFaz*T~5`jUfGI7c`baY83FuH-c1>F36mfRgjlfBC=ih*3^zJ2wotgslb?d z#fXKVID|!V2X-RKN`ZD#2|tn~+g)68aMrRoghg^_*s?f;MRI7`vN(h;c^jjVHPaRN z>=YT8N2YFhMwQvWxIdMlWx5<#Ii|!2HzlJdt$U-~hO|KeN=$PP?s-n(?eyT901nxeT&O3)(7AM3qEbMEYRz}o-tvp?p!za2fe%1Y|FGvg?A-V9LDqZwD3 zIBb{s04|7h6w^%*1YnwZsZ7txpr{D?uwsxJFKG<3Aj?QDxH}yA`K^Z{HD zJ_5>25ZnPzMjs%rNFTrjLD->8efTnBu|sfD$|2dVoNMq*LrGO-yCcWM|KwdoK6a-w z^i3F0L*z*<+j>VgpLW&CaUx0#)`r;A8*#3}CQ_WJ2)T$86-N;VptZHiD~Lyu$ed(5 z0?7`_;@l?PhecW7dNX$^ZFm(|BT-Vt)v_JeProp>^v8)fSh5cG5W+t|(gq%%)C~~$ zLKtCj#JN=@D+;lMH`!?ULp|HKL}2ik%^;&ckihurPJ3R>-#J~_}=}!skYM&A5qMV(W;{T zgypHs{WTj|zSaBW#reE{^GDwEV;@p@aTH(WdAZU+DULH#(J0sT>coC~3a&c*u^HNB z^BPby>>MXy;(m>hAo=u(Qg2rWsqS9*DnV=?4my-u*T@!+s zG^aYt*08*suZRjHi5)1oIkoUJbx9@l7i! z53H|=vER~Sit=lNk{i{Sbjnq0m9pl;&vds1FMXr~=eb`sEQJ@4|BHAbKIQ%V9DCx^ z6q#CjsAtm(+;%S(cmg>fPuhYp7O1&v>9NKr__dap_jn35i=6Indaf71bD;jtSo4IZ zt6eia*f{%=Kn+O3UufR|DRBFB8ZQPUF(t6&gVuOLpBW5R0S-W(*wt~{d19p^XOg=E zs^%gIHsy9rEs(k7W{)tOZ^3%s8E%g{ad`t5yDi)b8SoAskG9uYw5@-m-Fk(|D;xz6 zh(Y@+Nu}5&_o(h`#v4Op>Nc$DZ$VSsmj@aDrie`6aysWy;I|!@o=`?*-k*1V^rUjU z1z0jX#^SEsu+W%Vpam+rH^=xx92dwzRy>9j22E}d82_9v1J)26LKI4JhVc3GO$1>wmt zg$cps(NI$%NZaRvFl0I$7Hs+e+4IE@LEdH;Ljy!?`q6LK ztjIUV1wpawf*YHj8>h~tR&*vK@a2ktDz+6=s;07{8gdHm!OmQN8FA@c@`TY}V#v{Z z&?y{?OIIYf8)Dk9CeUwfiMcAZa`=K?=9+^EnzIuyi$_^p;Q`j3;O8^mHdX*k1HCCNT|WL?HKVKK_&i1jp+|UF<79^A_`z9?o+%CKf?>YCLJljzWe01FGg1a{ z?8+gRh$RISO$0NDltF4#;y-=ufT)G)hX*eC0P1E$i`UW3rsdAn&2tah9rmEjT=s8y z@W|Mh{`e34Pp9X{u(T)S!;oY{l!a7e8$9I@0tr6EbrK0~k1b9$M-YV@7*pr0d>9c# z4)6}gwFh`Gz*PY8%+oo*L#Yq6PP|48o@=kM3h+Q*tl7OaAUDm5IlwzDk+75~2fQrp zm&pMhSQb&BU@(R;Gx&QtR@BU9eB`mL2^*uUwtP2ux zYW|L>NvXkb^uSdJpB1xsO!I{x-)LVkk%%2-=v)@0<#oa7-QVjIEEI~0Y}(fRW}KRq z*H=894(KQ*aOcQmY<-Qiye?>cxPSsJSCanCP#{`f7u2jfEHAphyN$)PyhU<#L^hX_ z$a2cO6Uw5>BhvJ6}Ef6a-TO$%}dQjRkd;&~}k z$-O6IDjmsTOs_eZ)t=%xjD39JveRzSY)o$lF8de0?)!gXbjEoxrZ>jjABeIZN`4ws zgOof`5M_Oo{F3-mP+P6)}iTYpDPf zom$+Bq`FOT56q9k!~mr0K$B=p%ej?mhU0a>lu=#es4=CQ}2Kv7qltnr5fo}zbOUC2o!8g^nz1j2*rI6cr69->$In?P~5;lAqh=MOm1)O zeTCva9=2-*@@%&aGJMqNip}iF2&*k!SzXuBs5)=FV<*Gb$tPX&QRRf=Vj9EiiD3Ub zCxl-|*v_L*2v5`Mb3%B!R-fn3UE#%b>5%9wJnn;WUh|)P-1fcE@~PSR7HfMva`v|v z-lcUhSQ@{@+G0i=3@-x71HiNrqlI8qialUSTA(qkEtrQkXy3q!LRnBFNvl%q`b6gd zHf`|~in~=QcC+HCX(dmcnr$w{mW1$O54wcrTUVu6B)@e;?EZXY zs+-edBHfPC7U^fTq563+70g5Oe|kuN0$BDr?#VW^Lp01`e9EixbL@#vdba-3>Kww} z2R^o*pMjo~a{#orlMS|)=?di+tpe9<0G+mc8-B4{;MYF>m%i%X3c#tRM6X@as+f=4 za#2;%D&6fpwXa;#8mxU$gL$>+fKrtx&jYe&Z8a^Iv<8c0xui8%`^qJ)!P=K-Fu%y6 z>w1e{L~<9&tBYR%s1O}cBv00@Pp(`|7TPW%`x)4|WVGu8Hp`zV*XS}+FOKkj{k3cP zv}V3P(XhYVHv^8Y9pZ?tQ(YPHjc$*(?W^3mbvixjPAG7^a_7;G{}sgKYM=VWlx;Vm zLgr=xzVWG4$M-sIN)A0Lch_tVuqXXoT|L8K?hXbs?H0B6Zid0!3=HOTzW!tX!Ry0k z=xok9@s zTLNqHic+~VK@2qIxFlIog2F7Q=|(^IX2r&ON^VcA@l}%2SnrZ6PBo$=X9Kp9f+#de z;AznJk6#G)M#zP#H6A=2Vg4Psaj@{I7k1CrQ%lD zhnUP1@mzdTp~I!+b`K$~mvZnqPS_Ji#V20Pa6J>ObGg4ASw=MLWd}nZ(bQZhY&X$O z$exaK(vs}{!)*>ifEDTd(+MF^HH6D{Sah_MpkE~&4=~O--GpmgFZ|y)KNt-T)%oQu zPhYslqln89UcG1=$0i=#^KrIwhsy=pC!T%RgU7g@sp@aU2b>*@>6veE;J=UW8V=t+bbLlgr2YsbTBs3d7rIFHet!Z*b3|>3uX(J91Qve zwOz^v?1-!ca+`E8&_~h;X8UDiQxL=~^VT9)4#pZ>v1;T=HdLRwaxgY)_Vv(q?mB|m z!8l>uxDpebj$OAwph0fp2m56!2SW!f!UEtTYRBrv%yF31ItQyJFk55$7bDo2+=<%S z@35coX^KoOo%&8ap!dIXIrDKTXHHV;b2)RmQos3f=A>IR+uiB6=p4KI^l$y2ulSFG zpd?$qi#?QXn5($Ru++iehr)W{#q63(V^cHQUQ#Y=2)H~78yN@M9_gqnj(4CpQ zDBPFqs)(=9Iu+`_oLe^5qns|owyfJoF9!*Paut_{tBcK7IxyWTvL*^r94&c)9LI~B znBwRr@Moxer-8rZ{?i2`@UQsw*Wy&C3+8r2`eZWXv{mxUaBdwF300; zo(^`}l%J$lZL0@#kPGhuleU)K#I z%eQu#vE5Jo+Ec&dr^aGCraGZS$#Ar@Zo-F59uMY(tJrQRC!Axu!JKf8?U1^>IwvfT zp#@_5<&v--AubY9f*h#k*ba0Fwj#`1ofb9r0#B|Fr*Cs?H=MrB$>ZVlZH~N;50SoA zUDl_Oi*ma-KY6RG_90+H8^)(rW5=HORCKO=c*vx!2aWz0!Q-w0vVm9%E1tg56bN!HFm&^$B+9j>>^Qp4DsV>^V+FusodJVp0n6Dj36Y5V-e z12G>kR06c5Yib`czP&ttgCjv7v+*a>^6ITonybP$Qx*Qc&wlOee{*bpKOwGK`uF6P7j30ywDv(9*ijge1NjL}WW#HqPr z%5ApbrMkm)fvN5IY2m>D%G>GR5m!fV2AJ}#sEsLaomN-5ZRZc7wtD{`lVDH@U{| z+~Jv>=^>kOIz@2tK#~L3Az^HTS5&>v30~VN^9WuMP^J??psKpdc6dxWl|CFu#JWt* z1r0p}>f^bcj+ZKZ9M1?AK}|YdG<^i$;O)=HHy{8(rbg9Wf35K6kZAxpn<#+;qGeFf zqvyakaV)6Y!rQx#qp?5z!{F*wI9wRsZ;A@OyI{8qMt!m}xs|@!#aoefFUNX0^{PYX zDepEXtMiLx)O{b-e!0zkKP|mT-UxG=b-3=dyTU_Xo>Shx{FDCfYkx9E4`>dmQ$KKi ztg#7r(M+&D+Ke2}ku~*$(oE1ZDlg}!OEW>=sJxt>F3kkQB(|yfTtA3rf}WXrba?EF zk!3d`tsQXkS~`y}Q`HYp3y};y(kwFXC$iX<+wmo%nP81UI&HR{xt$_enhCbcZmyro zyGVL70rCk3fr!hzqM4v)_Ma$KUo)BsdWQc=uOf8uzgUT%qno%D&#LoQRiV z%Kpc`>W6>-Z$-*pr_8!qx0$m*VM%Qk^SE~yUI%Ryv#yo)&;<=V)j#2zk-ZBRI9A_; zZ>n_{jA3d}FpAr7>7etam zGx7U1*qs_ds&yAcMU;dUs2_vdN%g<&VwV>EahF8r)ee~tNP>T(T~gv$mplzkHkY!n zOAd}U7q#w^gR{*=t-IvI4mTfE)S`W=#CJ#IjfecwuoKU?GM2p9<@QbbE&XSMs29f7@acQ8fHk)^d@?Fbw= z8kSDTdWp<-Bgy#Af5|V@O%4}ns)o1@G2zv1Pj|Q!7rP2% z-A5G@Zb5uf@1qZrZQg`A7`A2Sh~v${Xshzc!I*oxZ?LBuGY!+LFPU6mCv##qZ!&Fi zx<;bG-i&j-kqLPWnn%|NBg?mzl;G??`kkNt5zhp(hvv843Y031Hvoa>!DtFS5nd0t zIiZAv5CYiI)oIyQ(Wqv8#po15KW$4JMlIulfEQ_}(DBHQ82bihuok9n&VzsBP z3wYisvet%9G~z+T5B^ZP>qZ_XdzUH;gV-Zz=~dU|rrIiOblr z<+m`1xWzDVDp;dMT!f-r+klmW%pls@Zue~fl{`%lgpl`xLFQ^NqXHr-dgkdIWZH@p zTngQ17OaCzh0w!eS%K8K4c>C^*B-29>ar|1(luPInS;!58kXq6Zr99r2R0nmpnHS-daSDhe)fbc6MXu z2F2KE-LS(JN!FBblgxn~i~b?afwWX_9CKLM{W(&;G=!Ykd=|?xEvmtC>zbk(R88 zM^aE%wz)w4mWB;FX}PuBLXu2R?*iFVq@XFe#`5ji#h0<`#C&`B5gbRqUV)gixz6Z>*@p}rRb^)gRK{Dj!+3A@Y!phzZx);C`$M2Up4;ho>9I=3qtB>v9yX2hAlq@gq@824 zK_4sINbv=*cXPC?^bSdC^k;dJnsg#t@f=iP+`EshA`EOYH(i+QB4T&S${V>YzF8%l zOaDyii-`srwk4I9rwDp$(iL)(S7)Yr%IaB;+3b&d$DdjLs{vP1-P`dnJev&@VM*9f z(n&|dFrWs>fTnLYT~g6c6c2-gW82o{q@iIJ$T>v~z2EFwtzUT%X*wi!KkBdygJ)~Hzl z6_R~8*Jy;5;<=Mo(bG+_Tp&GOv~?(xa-_1Cy%g1Er85S4DeUzKwJ}3^g~`KJa?QT1#JokX_b~HH&*=pI zUUa5TxJ6o}G>^7u_TF36Rw?IH?9HTNU-|ET*MrNz`)FR=Q?Xtm1hFz_xIxpckH@w^ zN!#dx$fdDg1`ZoKFx$E;ZKDegHdfL$x?pasY$}PGiY60a zfL5dc*+3@0LoKMbU558@L90fi0cW|}(l+J}O!`MI2&>Hbw@~aDp4a|7Ri$^YsaTR2 zu5d`bWL@d?o*tlXE&Cl;Sy4S2-Uk^n333?;CdumVpnQzn2(EJtJ}`*{UGj`bFc3Fl zH)%4}?hYV%Rp8e0nz!ZvxK8rFGYi-2ZUe`gTmbqn{!rf6u@8Z|5yA}LoM2xVj`(Wl zx&RZF7xQHoJFr~q0_FFHad{JKtwg9wVvp_v0`f`$_XYLE1YhNI{gy!c{B&2W~xgR@M#MXeE< zW2l>np}zWyUj36F6){wQe$jXlqy#0y=yFP~$}cJi1A;xJ&YWM|qwoWZMnQ1Vi#s3L zKv4{qWd$vz$_${p=B=*OqxLvM^?(t+E#=qhQC1`p1m*u`#jG9y!eAkvTJKG4r!TM@ZjHNVdkOZ7+i10$gzW|Dr6xrsmk24odOS5;nSwP zp&o~jDHaI6l+{TuFv60wcR!^}AW_IP{nLp;ZeP9q$~(XBpM6U5{sd3zX>aPVSf; zY=jl{=6KZ@Zrp8z74_zVxe=DCBZGQHy_w)(Bdn-5Uoi$*F#l1nDBhn5rs2l>PBW-@ ze|~DGfkqOm3)2`W%ziO^Jy$=-Jr(WaVcn!%{ z^t8GEozv&Hl0FX>;|bbMm8m|@k*w*hxn5tHPFlXtSN!_-{rDe!ODI##Yq&DCEJw~QwvoH%?__;U=Ujl4B~>zorOE=e=pTTFFtzD$)9 z53-^)7!zAbDpUX7!B(_E>9UoiGf*UvG?$1UIBiqA@x?`%x+LV3PhodhTYc3fN0q56 zs7$_JsP|W@SufXtX;xKd$@I(Ys_Hu1OywimtW~U`;^LDYdnduSE711utWa-Op(2Bx z-gdaEz)S7Tk!1!Q1;{%j6r7;VsYCtM8`0){>h|d``K@nw*Jx&qk)o9BpQh#arYN{jb(9_spl4jk1W~|%;-#(vdZ9TrJ-!fRo3aS9E>mBlcziB-ItncalA_>6 z(e@_Wi(dq8P^H7m_@5fa+017)#*LE>eqE^Hc4`d3#rRItnOB&e*HR*9)Ap9 zcvy|k?M^qyHS(l%?;xfjPtb<`ql^bQKU}x>XT-s~cDO!m`9A;g|NZ$le9k8agiRM} zmA7@)LxV!|sV8M;Y?XJZQ)5oN)__7VYX>kRkXjfkLfhBxe675XJ5E%ma@ZkVS}6w& z*KK{U@uLDP?UYNee8;J?@&+i5S#2%AxJ;F z2!iVfHW%G6D*_CdOAkTDK`s~*ZV=tj=4L^fQWvyqL_5lAZ^Q(#B28%#1Rs-F$kS>g z!*z0p3r(pD0==aH(`gA`L(ILeIBFm(8`v1brn5yYU2hDawkaD*``^Kp#LhgnR`$!jN2CO@}wwb2_4Y zukGSbTfWupL~s40|K-WA`H3+X-xyAic3JN_35Y5K;E5dc#0w8vc6PIikGP-wCJgJ9 zVmu4x_`hU)ken6;mIZTuCK5Tg#MX1qE`B6(z_HrqkSZ6yBytdCw26=G;upOH3KpRl z&(~PxXLj2obL|W-kX2~{7J2eK7}#ylY-oW9c(j|W3m?iNsnbvZ{U?2FE1B;O3F7oe zN83@o<|O^8x~_{IQ-x^-Io&W$0Nr?;RjGcbI@)mU=~0JoLz}kBQIuU$E}e$`R+~W^ zo~6yCEk3DlAs`g*_&RWIk@AJ@9;OI?a{sXwx~`n4^v7-tkt< za;7D;vCjGtTDrXD6H^FQL!Q;pAFtb!mT~ESan}f=VG8x5H`51C|2DCkpi?T z#a^&3u)#%8thx)Hkdf2U0|7VnHHuX?L3E!0wj#;Jjo@rz#Yxt(_~&Y30=J^u>mcnz zHg3RBhO=K*%rQc<`$lE@jH4UHo>UxOhdI=EIbjJ*r525SH=H=r zZV|1^qiDJ1d0)=P@e|(lsbBb$$vE~x5>DU=6-dHpRZEEn7#j~)wNQZqzpNk)mTph3 zfFz(DNCbg;Y71&P-Cw|W%F9&8z!_ood5N!~hLtcxv zS-@X4p`^{Z_V5%VT#SNlwKet}+tor6Fqs7`3jzw*3YB;jM(2ch&oS@gFxqmCc`x4b zpysoC+^FuR-J;p7-_B^u5Bs$jf9_WUX{agjw!*gGED^!N2m@Dxy9%eAQ5X=>}!Qx)7 zuz}+K>f&CmuniXXB$I7d0n>^Yx`n&X zCY0JPIu-f$*kl3LHMfF@%;iccW%-&r{G5`!01KjlP}7?E2JJT|O(C4tyrpzMTyius zpjN#L)KQxpNrDo`Ctu*)Ji4CiVIhj)wZx|>{!1;Lij+N>gL$~+c{4~*)U0Z*e1~^l zq_p9d{z%?mqi7esxI2x^ot(E>;m0=nLp)wVNVY}{)DxeZ>sCMY1^)4d$ zHILU>KIL6SzLC*0+(rZwC*rvWb<1f1jUVs_JU|s1K#W>uxE6dw9DsMHB5>1w@q~Wh zvy)=aJ_jr5z!Op5a>~nq;Dgw`Jq>Y{@b54DiZvbp;u5^#3On(G{V*! zdGR~Nys3I++VXvRgbi#5~USzC!@{8I1u~?stG(p0l|G}U+%0| zP=4Uc&1U75Fdb)gk@ZSws_j+^|5-5Wl|%_DSoKO%WxWE>firz#=5M2bXmaeULGNHR z8xt_#MwawXJ~f{q7d&AuJg{BRR7fqgN>biEmn^e!F#Fd==*15y4y8+m;>9HNTKmEI z%gxH6c|jV@bXHvEBt5B4*$Ci)8b}3ezAyc^j1}uuoGRAO=^*!1y#pCD+n} zic?8)LK9Mz46Cxni-W2>OZPl9>5X8s(gnlOsi1BEFVv(r+zxFAtVA^oXeRGWmF(v) zp8w+KFP?idisu(^#fvMG0;E!2YA4-NIhD3Z!;W}SVQQJ32fU4E(qpCKUXGQ_PdV}9 zofR^*HDcdd12p5VZw7b$hhFt*uXA*;Vtg|M_iCQ4O^M%-6Cg2GbK8a=&ERj~&#ftFFWtHEN=0f{XY z1nGw%A#gyS1CWoFCr?~}1W#lTd7@cu=;JkAV0t$CJcYR!)%+jO63_vd8g)4^5kVaZBQc;|Q-sKw?k% zh>7WhP%|EK#zVe;;32>3dq4BnzGch{7;HPvb_SDxIS`1P5ld8RYU7^GjL~X=dUnJM zc!Z727>CYA1Hn>sjArEpAa82G$!*77sJsB1Cxs=~t8VW?_5xJ(iD$8usU4NSkRcxr z(;Qv$lWJOV)qX1yVmm>m6&ZjTqCt!RKJ<_D9QgK3oxCGCzl&yjUoq>o88l)VpTp-X? zP(uhZ5OKj$0VH`aRbeKH7w1MPoxvw@BvKqJ?$>7dF5z1SJr0$%775=S89+ ztvR>U6pJKgzzXyNP&s3WPHRft$i7eMGlxx)*0uaoDmuU<0nWg1cK$>s@ZGg%&$kEc zr%Xo@l}PYO{TnIYf}b5~d!K0bSlx9O|s7mk(GGt;`Aksl)M{%+rHQaNV~@9E@cZ zOCK&YPRoZ}VE=TpfJ}6H=ocWDB}+Ck1bCC%hhD&2cGnjB9cc|IiODaWTb~~L1!yPP zT!}^#(Db%%oTg3nAz9cgOst9Adiy46!?;PFfJMNXctmlkt z(1fCwHqvfVN#=WWTCeegbIl#QW9pX~!WVmc37 zckv%zrBD^}NV9U*C)TrmG#i z&1NAF8lGOzI^KKTX1Ab!9t1KQd4>Z5wmE?PF=5O$>&2#Gynz62e04ys32aV_O|B_y z_KQu$5dnevcy32_V!K*w;X)OR+vd2~R>OWEP#rJZ>3Cf4E*-yJF18hJVk`D9u3xs} zc>G}1{c z`}%A7=2K7Zk_NqZA6v|xI<^;^_^rz(mfGc!uv~1H8Sd{7o~(k{z2&*Ly{*Q3L@O~X zOC>@of=+cXCRcDAeE<^0jTj6>H%`lYopW4uXhD$hP+~~#4MInT*c+aGS7L8?_FdD6 z9eANqW-DKkTaKj3Sehc~KU*y*UqrsZz7$rxfF#y<(Lr=4bwF8B!wlj@ z*3jjNoNB4-+>w-Fm2!b2r zM;k$jEs7v!LoyctHpKT&n3|mh9n^+H%1^5S1H&^ z|2{}Qpz1`)E!a2OHLJUW)2k#K=vv8qdw^5L?c1INBn;~x%<$Q7i#_xeM{QRaoO*tsnx-bERU=ck7KUNywNlOQ8Azy~T=qj3sX( zsT>{wod>!Q3T{`{b{Bz^s3VUd_h|WJ#KjSb+Q=7zQhPMPhXpblRT zJDaVBY8*U+W&e-r8~(-w36akB?Rvzc!vDIs7ckEwkUHtdUFoxv0fZD zNV3S9cnY=SH9ZLFxn2O&hP%qM!z=4N2a=qeG($atJi0v^l1lHzSl5CUkY+NUTZxp0W65Q<@ywoWBuG@Uew3h%73VR6vmMEVp%ojxZp(64$ z;;@JIPi-NGc9qTa65uq|OTg`ic?kwEAV@x-Ei`2pq%kwOgPrUG`cN~518t+oU*-cE ze|OF8@c1^X#U`g?*2e|opTICcpu!-?b~?TVtt=OK%JT8@$b|pefHr^wSZ8mY7?#R^ zR-iySzV@FbTazva5S9!n0VHJ@5(CT$FfZ|g19SsSOS*mtRNr5=3&^KQb2rieQ}GfRMH(Em=tORXJPbK>`diW#fN%BX{|04B1*Xk=v_eg5>?hKXN(pw1bB;G46 z(~oYW-YCA-@bYb-PBrtvpY?ye{-?*(jFHqbB<#kH$=3s*8))IHV#lmzEXV}S(Rf8u zX0>-+nK+KC%^hu(cZC--XVpI2+_Su)?iSrg4-jbQ!X3-O+P(W)H+6*W1pPyu zHBtXO0`>OxFaMU`TzqKBp=m<1Q_tC~`2LR9bI@yIt-Y95tg6F{D$hJk^=4b(pTzu7 z>Oquq2-8mt+=_X0!CcQVJs2xey%oVFdkiJ!VFO9MBGsD<8VrNM6)vI?qRZS~}@L>rh~UxPl7V!Q z)D9$=$^G(B|MZX~%)dyYsY&kU0aI)`lGFe$2_845ZL#L&WYllAi`ljG6fZ!l#sPe| z@oUV@ZJvJ>z327wd4{bl zj~!A(nOH?KM)9Cvv!|~p?y!8C@=&OSmd>H_v3cw?Ph@*<;ISLX6Tx!SbYOz2Nok7? zotYSi$WtIUdEPwMHZgTBY(^4Uaen5hsygLbT)D{e$adr@ke90X*N}=p-Yb7$@$k~| z+>YZL;4WI?sJct*4%1GBrXW)*Bm5;3Vx z+$3f+k(Dl3@;>SNL!inN$##5y_IlB3mF6<^j#bgqNUxXl5XN%)coo+}lZ3vBOikHAIQ+~wwwR3hX1iN>oU#=|JcUO3bk=F2@%)LTP3w-H6 z`Mvkgm|1~&5DemTXAfPD(q41gGvo#dJsbsXWwu>#Ip}c|LC~I}R)m_?nt-T!MP?se z&|1hLXo=5!YWf>4SjZSqP7|zg7Njk4LBOSuLB**t-iXRNeVIw_M)(qypMknm@g2$s zcO>K=;(z>~{cr4vPwKc9r?z!zS%Ff|;uhW6`}53-%BN_t%d#Ctu&$(OJ}7LUcpUZ+ zB3b(~pIPy1&%fq9pB6*Vlx@J{apNBF*lSIk{gl<%r)8s_;SaJQq}Mk*E@EDz=c~K1>2DYCEaL(2)8(Ym4Yj&lVm~h zQBCl)WH$r_!HCj+8F9wyYeWo%u^QT}7}qH2M!&`-25(6Ch$6iz$q>}X%Ox?zT+WRO zjm@k`l2Wntt1JvJYuA2BQu@pNGC@@%@CYCkB)^cqc*QTX*RBkZ#lVX|KfZqebm*VVB?b<<^_N1WcvrFbMRun9HHj`IfN^3{~PP|eWFzAwDkPF z|Aj(m2lhxKMRMqcu{i|9op`p8!vFZ zxzf+Zi>lH+!hkJ#iMSm7d0gGzXB$ao9H_#A{sIe~Le~~i$-UwFjlNtX%hNf{eHnDr zKJ4A^{>HD29yc{4u29{#u~Z+20D+sQ@@*8bUIgjRdIXCxN4OrCQbDTT#DK`7zxtO4W6(|J6hL+u&Tr|EjF(a@(p}=8V!uc3mE> z=0y(u&b5c~!S~@I)=CaaW>85}3ugQfGNtFu4niIchPdQ)sO8Vms?4M1ycD&3m6uXP zP_hbqbV3ayO;@$^5x{m%UaxVf#by=4V;d-Gk2Gpn_;u4j$z~JBBBvL5qp2pqmLJj4 zv=&)M-_@KPp10(dETK`nh{{;?=RgV(jj^AUV+Z+YuI8M1;o|e(^mbg4@d>~19JZf* z^V@@!MshCqRLt`@JyKmtnSLux+vqBFMnA$V zm1EyAL<_`y{`*2OZh-yv!?)<1cKdJtA9nXHVu(RMVhw%i)~~RHF9I8ufoKxjDKHQj zAn#WIB~6PEk=U*XdcQ(X2Si4!70e0R?VEP)XP%bW&Q~mr4JdfFO#>3!nP7pEU`&rS z*#%fmVmn_^vD!ea0q;`dKAw46{BaW`yQf4~fM0jucN*K7B*rp90ImpoA?T{*AF}FK z0HqCoa!BsT(3mAh4YwLS2VIXFZ(6>NNe;s(pL9)&!fYeMsHfTOX3@?`%a!yA7U^Lt z-OO6mSS*D?ou<9E%N=aNm6aRmvg^cd(nOw5c+0VyOoL5c0xB|9m!q}-Br8uxHmtmO zt7%hS(}=yq2RYyHUK2YvHwxZequ{h#G#lRAX%zf79{l2m{9-h%UuAeF^4tVe9L;Nt zT(e=xhBundgsSPi>v(!>`+mEv09prGyhW92YT#B(MAH-;ZTbedTKi6Su zV{#~#|IW0%UQsaptsJG@HBWx9FTW^A+#?sSkd2)DRUf=qYbQ4OxpP6ZkL&POG$%{E6?1De z&UC@Uk<={A=dN&-ICU1JxpP546F3Qn2;9AOZ`m$fBh8%)9<<;`!3`kIwA~@d*ue!) zm=K7V5T{0YQfr7ID05+jA%pFD$Ap8%kkv~DPM z0?Vu}hM#>jBBc>4wofgo<&qt0p(gY?4G?o6ru;l%ss*)req?G7ZDiuAEM7*hRVm>K8E4uKe_2PCZ_Ny=f`941edQnf z=%@0iOZBcOZkc9F&5*1sc-F?4A_cfnbC$? zYyFKz)vl_>@kC%z&GJEynn-5(m}y@RkGjNR01>QmF-(ucJMoZ|+okK5X^x z4yKByhP3ovqnIZh0S*J7pgI{D`6EA~I_Ds2x`%$_L6m8wkz3SSx4BsN;L5b_IYHEG zKJQn5@S`G#>dzTYrk4Oy7}^{KU#S42t8#{DVcE(IAvZj0}4pO zGIXiQ{HeTR&KX9!vWMBC${B7!=PajQDS9Tam~)1M6d1W!H%M4f73&5VE3D(seg!x@ z!UQUn!VYa{kVK)hu2NpxnkS<@4Ui)T#Q)@6WC_$_cUt~V5bPc}^_cKE zfO;GPsA-9`j+vg|1xNrjZTZ&3Fo61!fAL8#eqYdH=wx(f-?2IAgj11R5KU-ky4G;V zc0rjjfO5ftmV|0C8v78Whwg&F^^pQcNGyy1F$Ad|T@YA2(Doxd0H2Rh5QiYuqYDC} zz{!MQUqj2f22deL^%#O%=9nmWFf2m|Qa!pLf<@pB1WvHTR076DAxQP;f(W5F$E#F^s{gf&_|6%TY*^66u63cpJJsWha%f4Y0`ie35U4T91^bg zwrMfW&jHypJmSV)TZK(qzE6dH(BC^Ao{k)l!WTUUMg98Zu7+d(pkl|}) zfdv^ZRF@f0Ocmy*MykY`0)Y&t_RNA*VJ--g&1)dTTl6|rn6FsIO^+hOw;16<6$WIu zsIYvEqQZPhcy0861sRSB$o03Wk>SKoOJ--kw5A+e1Xe>lJYO@C^ca59S(9(KOGcL? zXxlWMpVp*>amm!?xVFBfB$@9ID)1nEpov*+(G<{pqkMx(9b>=54_Zl%s_#(D9+#rCtb^~?4*RO+Pw8Ezkj4MZ3Xo+Dw-dK}Y6$!h8-Yjuf0LP>Wr>oYPO zl_)%kZ(MJspOSiINAet4yL%HnN68=AJ8`a7pD|}wCMRw@e>CkDwU%+tAKgs;=pVo8 z!@ud@39z@iDQ$psLbtypaS((UQ@Z&xZGf}^iM56^dnq#Kn4$`hFoaB!9{UZ7%rG@s zFb7B|#n>dY-PX8^;?y}nI-&lJYebX+v#fyh5Uc{EC0mCjKtf#EoH_?cK$k0D76`8~ zZN0w693X+_)PUKrux#^WOY3)MfzM>kI!c)lgI=XAED?|l9XzgWvH(o$7= za;j0?kECsC+AW$b>GWdRIhB~t_~sva_Yb8?jI@G#oNj~(pu`|v0O2=SDXcNuxfC?j z=z@t7W7DC0pe1#|5(A^_*m}ZaMOxA#h(ZkP9!NGY??mJD5Tqq_LF@*M1Oel5g~^%{ z28N(iVvIt0X#$_D3ur!WL_1gmK7~U5GzU?h=Firoa>T`#k*AzROJxJX~;0=?SdJd}%1;kP>r{ufR|+rc%@DV0||9)6=SJH7XUy)+$g0ub`NH>n z(w~i>ND&UM${mH3hC+gYT*3p}WkHb(ChXhJMgfH&Rk;hI@XerzLm|Nk)T~HV;eutj z&<<>}E#R2<)ddfL1d2ujJXq#RT!~CZIbSiq%Z<%!h->7tP*z-R$Z|n69TZw~ja20> zxLd7I@24Qp2Q^3y>Cr?OiTkuAG8vM4V3V6AE7%>l-#F3g zRjE0Dd3X8CX}72~Gjsm(X7ZQc_R4Si)b9^dt;u9J)s|X*Vz*sl@CR#|r~|eo%3Oc5 zh~`mLM@t;nSIeaLaRIwD?Qm#dw#U1(l}e{8?@92byArfp$>jsV5tPniotcj%3Or^Ljz7NH z(`mP8w&S;xJ^h)#_d7m)e12Ksk{X*|HZ=QMvZoGDSHUUs%LZm&OKQdWoQ7s!OBTfh zOE8PE|A`xnW7W zNT1l(WE<5|wkB7tIjEmDC^YOTsBLbWJ)?Rq8sJULNVWfaj)D`>(U%1z8sW~iN%UP31>S0Wyq9;PI$|$1Dgt`<2LD}9WT&s*CW=-K)Gi_8tvs6Q9{?&anqLX({Zo+-fz73AatDi)2`!6w6B#@0@aTlM(do4i8RL+ zyknwhYz^p-6r!O`Wo7v0tq+d~LFza^^#LrPQViK|Q8R8gjJ-DZuFJJbg#Wiax( zMoi~a4EE~)YcwzJRT;*qdr~oiKbKyc$eTQbLh4gf*~L=5v-LR#+0RnNgI!a6q??lI zXd?&AXod{#0Ac&rz7joyZKshX8ga4tK*S@LPIamZ@i-K5j zyMk`2SFD0XA9l^gI(6hcV5@^glu$Ws6a7{AQi^U3L4n%XHIldl^;u$a*4FeGg5pj2 z4{1{;5LQy(H5aK+8($Nnal}J=92ts>+jhBgjp$GoQB3BG-JDA7sJnq2?#xj95@smA z{qXU}|Jvt1n^+zc=;;d2V4vt-E=|Wf43?_#>Qs0x7<3SrVz0`?cA0DCg8Kvc?4V5G z2MKx6l_>okY3iU%(8g>m53wQ@p09{DW-2`CPBx0A5TwE@f?Ke_o1hKU4newBE_hla zY~(c}cov5;Un3Qs3!)E>v%?^`;qO%cJfiSi@}zUbnMdO+!A6o*E|=5>C9b(&3-~gh zTzUXq60BFeO#VX7mv6hK4c`tG)s7`py>&H-t+{$WPY@2GtkpVorv576-L9ISa+74X zdba)+fS$N?E^*ypiA#!Dc&E>fJnezKEq`#@@_qi`Xa3Spf7@q&*av*Ty{Ddx8gv_Z zP6h!~gQ(-Q9G9p`p6^juO1-tqiIPy;Ibs|9$Y5Oo~+*dj~`J` z0hl4*p!~4DBLn#HT%=!|kUBgi^g3ZQfR-txvOojC8Ws@vQgoziY!K-RL9Kg82JpJ} zwjWO$fsSC%(veWpB|L;YcWeQuD!Fe-6R_^&N`!dA*W91h3f@GPS7<8plPFAkMH0<% zu>HuE0GOii)^zriSHanjBq>0WnT#yW(=9P_S<^!@wCYI_EuURTPF)h@w>v#MnSz@& zWk{k+8g2%>F-x@Tw~`6tvOpf5^q2TX2mGL|WWGlz27-2glAssRz6Ss9qnRQ#s$(z0 zMR^e}dS3+mtG@{Rm|p}O>MsI6#$z3iWPI|g@fQI{v@ZhI_ZNX5ANoajlSf#cH{m`9 zm1WWqr6WxJ_?^LLV75hVBPOkZd$CH%tR8Rf*xb-H>ifh@y7*vZ_)`NfYy^Z zUbfTmn+4z0a{Okwz;m9DmmEeqp4;hoTyLJgxmhppRORC(wULhJb{vl%EN^c13wZ76 za`1JkkvJPkSHyB#TrbKlas9i+77GT`F9fRZFWYhc?Rv4zv^bm7Vq49yfk1scx6|?4 z#TLnpa{ldVv8}%Uw)y_DosQovHu?TmS6Xp3f z-!WK`S!(FYm3d@+bLx0VnR2Ha;1%ecCw_9y(>$I&WEbEH$7t#^6d2gy(s{?v3#jo<=;IU$kEvyx>zo3@1$`gP9z?tHT z$oIBhRgNtN%U{X+VtMT9@>h;6EFa!i{<2;m|9#*cY33ZGag8oJzIH{wgm1ByULY_! zN!L(z=xX|Sevb;&lj=9b|OpO1=w88Z<%GcW2c3D(U5mE-Xr8f^{Tb)HkXr z8uZ_a>*a;Ki=HB#iuPx(^<0*#DHnB#iRR&&#iuq46npwUS-j!ur}ef39$Qnp%~Q@B zFGJA9;bllHl{Wdp7SQBKy`rtGUMH~PpWgM=@I7{2~8RJDGM zha;NpHOtMAvlgtg+^Zc&$1uyyfVYv%S#A_a6%PUWV}Uv3AE?Q4vqC6Y?z0I%sa!DhrMXDz8p>jt{%3w`mNTSwsogjZdab|UntKx%ROhg-};5mekuI#KK1zRf8*Wn z`O29H)YFjJ6CKRNXHRr66EEt~J<*v6lxln?0_}C1!#OsWxOIhHyF;tbM4(JWro^9e ziA3T|wv!Lc7dvh8_02AEdhg*9iH z{n?h1JhO~VdEP2#yZ_jdJ=5G-IO>DxnyV9KkA&;&dQryQb$>Hm_domR{`|YXCA#i4 z_-OG+MBl3vZuP%&r1mY?+}_Fa}0tY4mg`%A@O4yPce%QfpUbMKE=1p9DIt z+7)T0i(sK7#SB{or^Skr3b#`yN>Uv`l&?{M2PO!HPeO-K_y=RT7P(?YnrT1vez{a$ z&J)_l+Akv^hkxrrNea?AMcT!RVy1mbm~*yX;M}L93~ImUURf|r8e5|bGl*a*D%jbLw`2BYCJpkTNiS` z&=_1OFUZefeeQ9?>1nqt?^XeHql z4U`FUxD`rBKxhf&(==|;kYVb!+mlVpe-f;9Us2u4;To5iROEoO!w&AtnwW827PR~wr<5`r}QE?CHBcS`_B z#Q23E&3+LqT(dwxx2LArFM=oFfEk^0{)l!9#foC~T@w3s=pl@|e4EUOA!gqtk4tjV z;s?U45+Q%jKaiMxmqhVFo0?7={-*h-95v>}c&fEbhFlDx{;NUZrxj84kg0}Tr5*L# z#rzh;@5AGL-Eg;m=Lr2~BXlFh^B15c#k(PnJX`);yFLN-LM`ua_Q>+BO(HJk^}px$ zeZ*^`@Tz^$p6&E5>C{xYwn?thPc4qRHA%;e?HxcJ@@|KqpoLtp%-G&6Er}U|5=Ob8 zPVGjy?zHXnrArv)f@K&t$hnn4Csvd&$^;{Siae_7pAeKV$^>_4DiWrh!)Yn)AGsx7 z!YCJnb`*UIh@Ev`#)=w7nIvd{(9y&M>IQh6=J(Ms$|aSzl#&~pvYXZvc(_R(*Gtc} zI@Tpg=DTxRk@7>*x0sOGo;}~5m>P~MshYdAX1+f$HN4Y6KdQ}Dn_7yA`bR$UgZ}zL*t>3nX8BL4yMQuaYeTu!FBBSS+ zTu`0!t~Z!>z0b~o-8FDe_C$|_NS)4r8TWK|(?+Jt94omr8{LSxu;n^_jnz3jz zt7+aBSbxNFc;=+etQHzyP}La)TL{*fRj2XY?7+5=(}B-CoinRPj0TZbH?Vq3)-vZJ ztIR4`cclUec3vUf&4M|z3Z`LHW|^~O>tb{2oLM#dPrl!km67Ai)R|Qdb!TREwURPv zl?A0)x8t7Jt&S$SMvG2$?$NlpWI@XZHJV{mxkIg#^MbU&@>K@49C-={w<3-~;+uFOcwWfzg+@aal z-j1#Pfj{>tU-uCoQb@hDr0llV3e4w#mu&Dd+U~7g+}1FRv9DPA=?^H7w!k3C>KceA zCN#s;DyL7ZC|P$CEVN5r%*(hS$-0{$<};Heh?9zyUp5O$;?7SU6PmklD9O6}ibHUy z3cNIkhpNC!NEjHzst*t)S$DropV52-T$^c&{Cy;Z_8;ANoH$RpXPUEQ-EB>bM#ivJ z{6@$1N;s^lHLLrxUZU-n<>=K4!B`TTC_Z-KJ}9+R!ej{(Q$C?QQt5=;PRF;*Q;6m(OxYOolwiQp`9al$^@Di{R8jy! z#`$GCou9qmX;Xfl@P?GPN?*r&_p!A`FTNz3(SX%#0#3%PWnm_oTwl=TM=bB0h?s79 zXNcDo57SE%+5MG2_9I{WwWE-P!hnzbc#Aa1Mekt1hk?@|KT6rT=DjZr_?wNTHbPL0 zuNB-213n-L)`%2>VtY*x`7E|eL4^P--qzbiPrBfCL%bS54xn^vVF6-!O%VAE<9P({v2fMJoPekNihy%5(}K@w2fSSzUpO8G5rqJ|`FF>%Sj3mrU!BD?MEV8dLS zBzv}~;?vpl-2qvr5p`giQAaZ09{e((z!vbr7JS^zn)&`9v0_a9Tcz!+&R*RhxK9el z010>t1(E8qT{fD<*U0C{?+HLkb@tJ8jd}q$0&~!%&YOB7$CLz4I7$~jD&X=~36;Ei zya$lp;LFvSNw!?5OOq{c0pSFcSG183sEogCCu`q2KLCW^sq-TM_~g96`8j6i1ufq7 z^>aI}zs1*!tK-hgeZu3f(-3Zt_!TB(#yiY-hv(k$RIY zSxPNyA5am3733Ve)HtR5KA87YeE{b`7{BewmR5{hDK_+f;J@-eHIG-P2a1drM50&` zpVTg!9kq>IJ^?N~8Q#5-a``3r-&n8j6Q$w1j-cDRv(NdphkF&Bc%DDVTFQx4-MJ{D;5vhOnpVe>#4!1YC;yN9^3wNsuGR z{ZJ=C4j`>Jp}&(L$B$stWcxIy$Y6qa73(Q}(614*9(EGn!w7;vr4C7Rd_UMpP{sGK zqgQqk#4#^CNS2GcSNvzfgeGyD3_`It}p#a9-Lfs)EO z#-K2PVq6ijzaY*>ORypWY!?DJnpqbdoB}{ITLf>M+}}cLWcmOyP^^4hBh9RzdI$Pc zsh{J!Ag9gbS_sn2y5QjaZJJpZ9Gt%`VQY~b8o(`Sbe9|)K_DSXksO*qP?P(EL0ggv z7{b=f;KmSwe0zq65YP=OXCE9wz`TM>o(6{yPNX;ug27dXh$+CiQDR{<`7PDm#_7k%)sbFZY}5a;LIt7`5O23b`F0NIWL zKwHm{pT`RTPd&Lw3L9_*xK*hCiI+w6LcNK;c8PGnkoZPSUbr_EyoTyB{hxp6`#$t{Mb%hCd^dL%@ROW^gH@0uS|R-^5u5EYb5{g4YNFuQ1}uai ze|8tNN$fy4VVtfOFhekBX@hEHzp;XK2v%9z!xF9Gk^tS>B*PHQS=#*xgdi%2i)_A3 zm8AuHiKKHe{-D@sy|In{cb!T6VR`zhpVCY=?r)h)U<1k*xa6r6Ytn!FF*lKWVB~`7} z@}#N(U_#ZPjHm;d7RbQT0iEJ5lNL$UK~?4vc`*6FV&&r-RS7s&bv%G+V zJ>d*tJ>!JlZa~KFJvl=D#d$Q9set-Cr&cUvYVmdS=W$hiADd+eo&?0{y+%Ns_LBI! z+MJ+CrH$Pl^ASxt!_1}!UpEdjn|6y@V>h?4-%K0(r@!`d{_D{;b|?@~X%Xj%ctmZI zbt#&|U|WEAZ5dl|bkj~KDsziCEm9E# zBDmaaq>T+&RBE?Mkc!0xOKBC|=`vV5uSkcX2yRiOrDt*2E)VUuro-TZ1i<0c5L{_b zUS3fgh9bFKgAhn0SBLi7RBi0hLth|awg@9XYWcF}3dEtZCMa+;QJ*B~42R@O9R{TI z&9!jJQ8z&CZynEx<^Y-4x(?|;J}$0uF*T;#d0=zn9q_#`V|)NSl7#N4;R zIySel&u#2Ng);yJqB}A3f(zsB7=h4i)~9=3=Tw(}{#XC!kN?Rrg3vu!=Opb7c9l*5 zNyFihW+V(S$D&Ob^ z-;RqEv+lp-esj{Q`UzovC%UIucge^Y0QaW;OjhEQr)nO!Nox4AS|?e(&PHF1!};(H9v%hk==UKEo2QG z>79IrnbGd%8SPRTJ-ysA9}LOxlJ8vuY#y7poHdxRyrg&SbsR}bw5E~i-Jkux|IBy& z7vpMuqZ5y)*6Vk-W+wsLGkG|X-W^lx&_K{{5JWFYo4w3x<9J+y_88F`icA*Ph0@Au zeFvUQH9gS(Et7Os>%b8snqysSy}~ur+B)5N+;S49P!OHf{MK0uR%#vTFA3{lCpsAA zO09zzj1+3*G1+u7#+6#P1|CwQY$Zy~C$G}G27KmaZiMo+lFVuy=Qe)oH3oQ8D%h%- zuGR}b^=XSrT_t&KWv%)#YIUMl8ApYJy_|?{s#LdaWLU7PZaw9#v#Pja?rFuD)4Pu< zy*oj5K1@D$!txKPnSbB6f72&@^jP6-zcI~>zC|NO4E31b{m{%k6}rmdE}9ukOLd<> z-5wE|c?TU`=?H~$x-u!6`FN!MVID26v0pPU55kU|HCexAUT&rLGz<1?=H;eSZoEP> zqwv-SB>kHCgf7Q6Ea=zFXf)}rneR?$W>7%1zh&ichi2XYC0qTNvt|}cQtZlHc&iF` zk4@oig6e!2%{(Jf?+%G-di>0|W3IH_Or`C2{=i55XCD=@n^s=D;iHsjP-sKQfpXXB z0E|vu#i9*zLHspVh0T%RrK;SOD{ZLQaef0!ataL0#i?9r13*P1tvx_IEyZ%Kv>gse zm&uAtG>J5)&Xu;)mO&uD%yDlmBzF18w9!NGFB2s>a746av0Q1}V_1%si>xYbJCIf4Dk+H=vX#u0HjD`(?*#CWdsHJU$(yz28YI0GC+ZFa zSC~VWp(ucc085jgC^Bd+@f;|)Ca^2OJ^_o858xwIA5fW4n57DP0{{v3C+v$f2vuO6 z>iCDn-r#!o4ff_7o(6Fw6LyC0Dq51E9@bkaL;}}%KJSvfA0sw^7QLF=Ho*&!0BYLu zeW|VA{5{|P+rB#jC`DL0a?w%FWs)fn-j?LCT?Rxbf+#RZ#tI`|B)^p5&{U5uSP%<4 z6ho-YvLJtQ7c8uSh>C*ffF?Kov=_+(?TZIv%90J})Wi z(Iu@4!ZlZ-#4AavN0$VsjF*Yj)}FShzRU`!kC&GH7FZJ_(mU6gZ_i#a7+e!5$9B!? z{wPp{lE~JzlKBSV$5aYse6{X(&v&R$1wc~9vd4EHRrt)6X+|q>le!&AHQkOPh;DBR zA~M$KMNm4d!T;*vo=%HI9V;O&<9}7Qzy2Znt`Bwh3P?|aZRWb+Taj&0W5ZCd$Tnz@ z>joW!>E%c11K?0NKn`_pH-WOpyLvWJpS|7Z8jLxvySun<+AV60?i|8icPD)Wn3d}`=12Y~s{oY18L^HUpcREk{^*JZ(+`L#Jo z6hseSvtpI`g#x3~#F`xXIhx<6%KYM2A&W63*HSsmKY5k;Jpc_M_|4OD-_1K$cL!m) z_;!F=m^)(Mm8i^od-hw7K9F*xruy?&mr%!lBn-&K^dVm7+8Gzqn$-=`iT0FiQ}d(l zh}!hN0r0xVoRAigp*wS-&;Dhw8&74<1#7r#;(sGQ9G`rj|BXF;pC~m<799p983-!xv>`+0{-g^=L&iD70@+1@_cIzo(2!Dfut1;+s%1Mxp*{Xd3QDE3 zFhMiYJFfo8vG^wwBFcx!D^FPdAuE30^_73^M?Nt0em{ZBak(L{D6(&xrS*LoAAkj{ z&Z4r%%dg1!J@RX!*Funrr3h-z87W~vvepPnj=(5S_A5+RqXt61uO-!DMXHsuA`o$U zYVfaW0xSgOaj+GG5(a$$t)Muve(ED&5L9UgSQ1szu_B)dKXvJu#_uH2cSTZ~30w@i zh9&W}6*w15ir)7%p`tm~4U$m2n)!3d$y!nrSP$KcDz?y-R4}4=TpyKx2z68`L)&Z*Ngj)-217A#~Oxkuh*-px&2?v=HtZ2|B{K+Te zvlGX+yzj^up2d6yWnQ)OkvsGpWiC05xE%d?B10#=cS#whw;0MW?Va9tY!C9e!Prz~ zIBoehkRUMafBcFc{n*P;hU&nyPB36*Y=Q-h3`4Bix_Nf909!*^h`#N1*a;r=ZL<@c zeOs%mgp*bISYVWfhexk*yKDW+>;xY$vD?65YaxBi?qzm@3E#!HhHr~HgJu}x)X6|1 z!G;{9ocg2_yYh;)6O3`xn!Q8zy2ZLxPB3&K$hqa@>%HdB;^dVRjMtvb(nwowFcG|U ztgE{NRD+xyZm5|mUvpH!Qa`rJwJ1>C5$Uk9!?mjlnQ)v@jg04)?mU zY4j(I_K#lU4jqWhKiIC;51okwThCThum6zN;R5e+gDgn(LrzzImk)|>`QXya0S~~8 zQ`93QTn|3DtO~&o0(Og!7u6xI8F4g1c2P&JI{k0xsQBdj{BP`uPrlFp#-6@UluCdu zuLu-h6Uh=14cU*nK!Ys{>%=Iaic|fl*6dm&H!G4`p#+a`sdJ-frCbs{t_Ej-IqOI! zUu=OXV5}8l%>yE#_UtL7S{T(OB#}|-NT!%-5hE&2j+nO#W1=VpRSS?z>vf{XTB>IG z&4foA-v~J$=H~!Zz!Ziy@>5q?N?9M+n7;q5?U*e+`xb zaTC1#_&GM9TdE)s!qt?1zs-Jur#2k`s;Mfy1p&Mef!UDl2*EZOgmuWr?-rY?-UfmC zcy7n>TNKIQb(au$b69M#KQJQ@sE(KIbUdy%-~Y{Nu|>F(ju*8T*T3a<9N#isvAiDX zHT|_pfQg51%fu4K=Dbmk+Y>hDYa08oUKg(wt!Gxb57ZQG8VQDNX!1R7j%4|46YQEouFCX~6Wk=pssOm#5_d~Ud^zzdU2@b1 z(-g%G6zk}RpPe+(0{|nk4=*NWHFy90?^Vvf@8{n>nfNzKbVCIgzLJ)nyYkL+B5#g# ztui@RIRW6Svx)Y3_qX&m0oG~#CogMhSf}#IoZ&#p!J3Io2}wXnEfh`S9PWR z#};403|KjK(4~0xUGH4@FHn0MFI;^7o8BH@>V@a9{p_3H9zKVd*n2AGOY}%}y@L9e z@ZX)5cj7IgXodT|qg$k|gg6KK5#C$*nMZCB4=~37C^Y`Kfz_}L>+rikxXNMS5H6wY+eUC=5D5X4x|7V1hHR0NfdMe-Fw zHx~F+?GAOp1EzI}orarYcG`9!T|pPY1@~ZA5tcO=LID%y73m_l;He;G0K{hcB?L#! zUojeL2OH)PF&#yOAN;>CDn-V375PR+&+v$g0jCArq7rk$hgnrIr{*6cW^C)Uz_3(7 zwKyy(MsCZLZRtU(peaV}G-5CX7BMQaS5;pF%AV&{eQ~`xDZr|46{Bm?D}CQOMz3qY z`r~-ydLNr8A>SFwb%KGUPV%?n3Z0e}b=JNNE?)i4@Bfld9vc&M0IRQ}OqT1;bl;Q| z1*7{03WJH(o-#nniK1S>K?ux=o=ApV*9%fg6fuL;OR*xn?KX9rGNRN~%6x>xtdUei zMl>Zvk-T=@F{UY8&yn12t1YM%jg5-z?JRR z#_Jy1uRW@@RF%{WiRwXnhToH=>qy#PY^-SM`BG*}btSOcH_9q2v#S)^P07TmxngqA z-7NcG;6~;#LFeI4B=$@SwMxESd*Yrw?ISvdX`8HL?g|CY^S<9Z;nQvrEykm`MLh4z zd4la%f7aoTzb~0mWX6|obSE6GYuExb7SJR(xGUHSP#BBfYP-BpZi2=)2v26YHSYfq z6jSOeYAYfwq;o@spqNqkYYJK&6;Np=$SaB|t*;UO<`QYG=I2pUYLWn7a;iKqT(}19@r!e7 z_17hpW(!{ja4!W&B}plP_+@IX6l;Qpk~y_}ndcqI8!e3ZP=G(JZ^ecZ% zrP&I}$tGWnrCMzZR%kTU_}9ihn`hnc_MXL1`xhTJ(+8jryBweN%=2u7^U#}$z>K(y z#a?7ZhYXuv|BWL0k^}9{2zSHSKNE=*g(Kz&wd8R?C(I5uJ{B}*?I!@u4r)j}#3%z{kO_!*%r;nT#%mu+h1H;AebPN0k>`lgU zWW32>XACV^S#hW{CgV-Oj&yZnOvalG_r(CDRL(uz7en}7xypy=i&1-Lh!0vwwQ?D3 zdvze$Ye*41>Y|TdJ51N?wk55(^{|61W*(%;8K+IjXhan(H5bZYz4RVmxAoAT@^b^r zsTHzm%eO%hD`cX;_`0UUp;xKK7&LKi} z=&Ndd?S9v_^`Q9z5o7E5XNP{zehCQ?(1p;}|EUiBTkn@!IQ)zyP}Zu8@H*^;{BcBAmC%_yi* zfKn+=-LP_78Z=#E5Y?)EHMgFq zwF!{$oV4@Fc3D}(1wl4JHX9T%MtErt+Y{#;L$HTMmN&##j0uoEEV6Vbi=Y=V_5dX) z;x?|4`qKsXd+_`SaA1#7&*GqlAoZsUf-=|O0Q;3OB84E`$s*{pr4KtmshSlK2d?YZQ^% z>*Qnak|0e|j;9;ox-Gg@_4DLekt%*Y9E2Ww8#NKNA^ig*TOAGEJfoLy9=&|N_O-|Q zwzTiG<@>bnC;sSrpSws!X#pa+_H_VLun3Ley9$fYG<+A;G@^M?1a>m$b1{dGh;kO8 zJ|I}ecUc`3D*^|mN;Oub_Vq6_2n3U&w4b`L2w`ZFW+r0AjPEKeLcpR4A6?Z#%V6Hm z9V|jyP>DBRTZ__u^1>nnn%?^4lYLUv6ov@%KJVm~>VA#ui;L=B=T$*H_p5Tlq@=QO z;jFK^=A8{uawpXP&MZQ&yA2kh^A(;w@#iYMIg5UGw5`)_QEN2jEc(sRw*KjV6{o~)_iH>XOCPQmwV?RIVCk#+TVOEZp`~OEw^Meerby7A$CEV# zpM&!+F+VX+?r+q6WYNps=`4G8__?<8_EX(|<7Yqkzxmr|U{|`MFLJ7TaH2&@fjz-N zqzXv^J99gPA*Z^n$(`60oiCkp;uUsfXskm{bzAZWN#xE{hfz1YsDZ1sOA*QH<6$(5 zuVbplS&|*Q5^CsT-<`?OoVeNK(uq^fHH!b8u`4&%M)uT`LZ@UoRp@M}K%~YbGz6Cl z5_-^J=lkT-a#jBJ^aEiZ^~UH3vu3-#T!N&owQ^9TRP8^R~o0I5xefy{$6 zsgk;~>8ln=St-J{QpX{Xk}Y2v?VAgtQ~}0QmPs*Vs1?k~Fw{PgvuFC8r2S3$C?~_v zpTK%51xdnPw@>C|80b+zkS#roN8>$-FO!pDJfk%`Zqd_`R~$8jYK#)NL@W#KiR=N1 zTYRpE5A~QJw{UStKU0WVKu4kMhe=uUs{GuXxY?vcYDu)Ld*%#TE;5Z5oJ6!7XCJ$v z;c6?W(DzjLqPb;IXFz(r;527E!yW0X-kEw z@wkI_3=zw<%$+^Rx8+7pTfR-75Ha%Cf96ZRdz86B2K zb5A*iiK^rOz3&MXs;1IvUx$hYOxO2JD@Jd&Ngwl^dN51CD9Gz%sO;UFtE*H-SX}N|e4D+(0-CnTxB20UPU& z&JS)|3Pq3kl4;g2hPyXQic{y3h?;!X@3C{0lFWC>NHYV3tULg=3xU0K_q$}|nK9eG z#x;*Riqwp%&E@l1~1xSiU9b=kEk}37SD7a4~WiXPbSu;J~3-CrTf5SoA3jEBkR?g%ufP>%5 zh#f>=ooU}vhq8-V6wWA&8xy2ZUK3OSNi z^ij2DQa=luU5Pc9T|JfI$%`Fe_=4yJX`sYm)tdPp*@QV>=Mu~u`RvnQ8j$u|Y}E_} zkVhB)*1j}2py#FOi~+F2F97!RN7?`SuI@{NRs5yFncJ6!|8#>d&6`?mXM|rIs<=-T zPEKB~(l=`fu_OJn0Zlv{*HRM2fEv7IDPIJEsw67gk)Qz)Jf8MAegoS23jf~W)#t;N zjt4D%MN~> z{m?x@-2s8>_ml0o{_R${@yqWI7XEFO?}I>nJh#*F`^7eoDFA)`w)+0tCf_I9>3A6{ z5chApTWqVrd0R=|q%X|vI9{e0#HK!8r6i7_1GsqKeCo+<(v=tlfbx4x;p8SJ9+c7+ zmfB|i#QRummup@32TxXotA(t;P|7>eA<{!Aa+MdT2zr&_3c1Ov(?6WDdX{5i@XP+h z4}J76M=Y#Cb+`WDWQ$`XJqy|5Xkt*hR*}XVO$-_<Ae%0u)0{M#oJ|3-;a2k}SV|IUfQmo^x;(su*g-q%n5z4pO({O{+G z;mm*{dHGbQ^uZO&J3H_a=UqiUUg~s))}^IGtM2d&D1vgUozj_?I((iP#(#G(evj^p z)ve|gxGUSHEN2-1&A|9y@eRN7WuFrLbDBTyl&{u%&O>C#jNFH$k}T0|kRjV*h;J}{ zBx^P26oR>Ym4+|5mm7lAOTJ6b()8sMkmuOR66CD0||rP7o>nDB^vE8>M`Oa@R(@-{~5L`lu2N0wBtOBqkgp zBY+VKn8}b%cqX6-sZaoj((%Y}Vf{$3j9~VWAO(Ob9gmDymEe*LYPx>ePS@YVc2hDM z*Jen!r$5YOucq$Q;AdlccgA$uEt-w#?Qo<1g|GYmUl<)W0$x%zrU#T;)S^X#hk0h( zLKDb}G#f;xX%Qo`Hp(pLg1h};hXKr6KlXjNU=ZO3Kv) z!H7z98fqQL@v23O6(zT7f~O-mk|eVPzVPvawnG z;EDkRr0%#eIFkLcXd8luBWr?NvUMV~b;Q?PZ_z-DZv@LPRP6coXdkyp?v~(ol<$rY z^_rv3fg17gl2@_e>I|5paOs0cIEpOXiH@s~4S$Gv=}VV%9vZzOOK01Lve$&^k5BE& zv8V47rBe~Cjlz*9ZU+`hLwgEl5iM8MegvccKYQ;2Y}=ZZg@Hn%p%X!q(4fRaAz*pk z^m@(purXA)w-rf~$LWqCR?#Q7Z{NP0G`;W1xtC5uECeEA;j<%_n9_t4!GN(sz(_hI zT2zHHAYx2nMavQ-1R>Jev6Pe@u`%B_9{(8sKj)rvu6_32_w;2#-9BsXHReC(nve1P z#y1e{rsx%LhjXG=unlf9JcH=;3Z5Z!ggN@H;TaoH4Cd&WUF;P+0|NC4*O_p@Nvi0b zlTNDa0R-y(o9sc2HqguCc!K-b+#Iga{d!H8;r5GQzwM(x{sYfMSG{t1xvF+PJp6ma zn@cqao@~(@_LZd&T@Z83+0h5VO(!rbpev+LgGouI5M4034iC=I9fG`}MX)qHu255N z4$V8~f*|*^5sSN>B>eKBc~4#Ngb+pJC@igM&Nwt*1{d7#*ZkGcSBH&h%MhfhE`l3O zV^qQQy1hIS^|<5#5Iw8qgM+L2HKM9rvOBE2iSlH$UU6_ij=JP&bHc1Ho+hAp?eCG? znoAOZZSZ_jDF-s(>WQDtw>59o_H_H0POb5z^26?Fe=EfE8AflZGPHc0`e?xYrguS`djAQPtW;#G)Im zp=h+T3Z0vt)xCyHg?w}Al~3DxZ*)Oqv(cQ2Z0a60aid!c`!}_awkc4h5=mC0m%&GKxaP4moE`)M90|kn^pYNFh*L4Q@xy zR#L)gQy`4RYT#-C@_0UHg1sLTQA{sqJJ)K=TfPmFh!y+57k%ab`dfcV={L}!PF{Gj z5l@(o%MuN+od=Xw6dP<`nbiov6$kKfnjrB1c#C5So#o1W1fCBZf*uvGGz*GbV1mG5 z6Ko7Sw1&lMRuud1g6JWIAkGn)Jw+wQIZCd~1P|cN#gE~nJQ%}fMRB2g#T|HNMG)v^ z`mXsLB1tp>kTMW2j3+zL|rApFH8+zttk$^Nuq)U z*cq-6?oEI0pky*l(k2b#mm?Tr#8RzEtKs(%DN)dA@;r|)nXP2LKX48pKuKJr-kQ}7 z+A}K(Ni2=@XFmE9Y536ojdst9RF&p@=U?T~P!<|k{zQ1TeHdo~P@#iMA3|D}KG=NZ zOMDZ@h)+EE@eE>98&gG}6uWc`Wba%^q(ZSGo`9lEI|#_X-l0;AjbUJTai@ksig$7T zGz=BmSm4zC*>m!T9H% zXQz20Uo+erSju+I>K+yM2AoQ@JB+x2FBk;#7f`AW#LevK{+NV4pVSm3DvUxo5REPapGp_pt?Mu=GzgoA3_@QSjyrj#1uqL|_dzx62mw@i>0yW zyq#^YAy*;>#~dJF5o=7)B%_dwIgo(C1wjj;6)%h)(27@JM`v!W=IA zrd4UGP1(wJxbPC`r_H)F1>XX2k3{z;U+a?zy1(=-xWDVo84fo~Q;xx!P`te=)?yp) z&Nj}2r!2Pdc5LIL&-&u`z9X17w5QUOoz`Lb42%e=#K7|eXoyx*?JKLMx?nYOxfP^s zbU~YDj1|FK#kexFC($;#;OU6O9D}<(fG(QDj6>5lx*(?Cq0mdg?W)HxN89Lv`y(p3 z6vTMso#rrOMcPIeJON%PB}D|mGP5(zand%bNo<+F^{>X%q#K6o@Mx{r)Ckt{R|8)s z3w$S`qT9xPzH70KPXYJ0J-p`{yczbC8ra{BiRD{m%>>q$e)FfS{%Fud4p&uju|&YP zImw=@Dx<}coVHJB4CIkS(L}K%XYEi0q zJ`SC$DyKD&2qL)ZdKi?Pu`jCYxZa!UiWSAzvP}>gNuP`18+mmr>)O0regegKEhC4K#Xvxw~bM<_)2uH{d|EPAzR7=v7(*p(h} zkQ;)vQ?O3ku8+`3&p7BBH!NXke!X|AQ(@`?P#(svlmvNbd#lq^eqjchS^3SnSL{lU z`Ne6!Wl)L-ZNKZi4}x~H#~h@Vw9F#8F~=lc2q`DHB>W7bN~L&+o`L$2R2+#u<`-6K zLk@GtOm!of9`g%pe!#19)Zybz3KYq%KtY?fD)RnoiJpNhUqcbJCG1uayu`=B@OS;X8ZD!2NjDOLmjglm4dozw*Z5 z0R5MYe{}Ai2fddJr+b+Bl8w<&3KA!B7&MYq zp%A=|b)k;i5yyiDk%-As6xf1BvqHQ=px&R`v42xHRNBc{PFW_?ruVT}mkX))M-NuHk%e9IV}^$E zZ~u;O{E~n9mlkNTX6-!~_9~)rVB`Kx6f-q)uo4Jj_!;itnP zz|nz0Zm*^E4!R_~5$)~)Ldj;=r=!!sa>))Mgsuq$bX(gNH~frogw?`-lXfG zZmi`%bfc*esM&V^+#Cm$%s?Ugi<@zG)ybWpD_lul?M;#4bQj|49&Pm$$Df`>&rP4} zKX?tH{TG?-2IkDJ!O9!xbuG3HE69}JWy1aOvLB-2-fhU;9IQUm3i^-X-Dr5Nca(A`7xq73Qa` zPpf;6FJF4+doG-b`td_-KL5gd9u%I3i+8^Wsmj9`p=O*;LHEkf~ zm{tL$LcFUTas;TYROx`yd6W*QS+m$*wv!2MToCpaoz-b2b64Z|EY^>^Ff__>eDSx_ zrerOPMaR{GzmRYO^0hvwaG%n*#0gZJGt9-4-~?tYFJ+VK)wo$Ux%}V$&)2=%J5=f+i`#9)GKF z(uC$BLu{3bn?N`zEGg{|GJq<`6!mgYpTSXmARlf>3zP8?E; zt9#E*kROO8iqp}{6Pi~d&GXwR?AbpzK$@#g=Plnl_f)4JedVV=YsLiXWzbCJ@zq3| z%b*#IKf6pl@T#KjV$h6(Z#;>2Z6 zBVHLQqup3?%2Bu$*IsK?cxbj;xV0!xb*Mfxf~ge#Ot%J!uw>IQld%<)$Jtgym4l8l zLKDCR+S)#SOaC$5rURZp1h?QRu0a6ufZK{78`~&$XT9kOR*)Rv4+_esFDX%a`Q7Xn zz`+mbf$L!^a-*{mAR^X8t3msF}mN04vN0e#qtsW@qGU?o}I zp8)RwFVb8j^(6ed`wcp70ETKM^BuD83Z5r^Q!ANo(H>`~B@}^A)wf8!HaofzNPCt3DLc*8~f}(4} zAZUc1c7O(7+MM^GOW*8RuSlw(*XE3Ci7@|shuqY_xeoc#2XTje5UQ;vZc%H;mx{&B zR4l&yFZ{@#{43E1p-z?O+04a455g8B2$*LZV1pK4$g<}~RRTd!7%Q~F)XlJUcDrDJ%bXD0SuE`M*Ol@i$7Ps=`31hsYe*4UNVdiQi_e*s>JJXm{AJ#(hH0-f_O2G6POW;J>6x5 z@BXdd`yJEM+O{CjpnBu9HcinxZsP54P=PJ7-~vXhKgARf9A9{-3Jtru8`yp%w^e! zS5i{TW&GbzRPo8b^MB);p-+@b-3q4Q5Y7rq_X@eJMZuj{Jdlcg($}KFB9|p9?EF~4 z9_k54w3n}1Vuibl73STdC03XZKwHlOpsiOdB7NrJZ-2=oy6FH6E!f{9RFIQXxg5HEFIaa_C zY5CBFJur(V#|pSSOxY6F0VCP0Sj7rJVA+g;lhQuspIxJywg;pkxh4vP>XxMVL-M8; ze;jrlrp!{3py}%9vkkP`%-0azM0=_xy>vJ^x=GBho*=$+^X&f)8Rz($nQ1r6198ZL zZaS|6=~pN_1H*7ZH>VpV?P=uk6& z@?o_@L5ubk{BF8DE@-r`)O$y3)CfUpQWs34Vm9WVham5c3!>+RcuB0dg02)j5rWjD zE_m7@E|GIQvgwCYCIP- z>IM+=Y&XVk5rWisE=Vp_C=+;k>pci0SbhybYCIPN)Sk^|P~T9ItspgC5!?$sD^>(C zZ2POJ@m$crsSwcheIIzc*O)YkS3T_;{h3-em4g!9zmN)7RlH~PrLz!WXk)R5! z2to1AO%Ulu)>+VQ-f{FKO>_v>1sQ@GBq!=dKLo3S%x()_MMl8rMy@Lzbyp9dE*h-D zHxQ6?K6G7>K`<*}Ehub|9j#BYF35lq5IF)SJNs9%YB$GBRYgo7+j*>Ua5HW6gGXM9 zAseJx;|F!q`GZG})h>K>kH}YN!s93khN=0##;hmX{=nPMBXq`}3e)2NNgYThuUn>R z%QMAzBWsZ!>I+LL;W;QYLh-;T{$HQL3p{~nPhid)2_SV7lVp0VFFitdkH{i=&ovc3GlbW*8W7m^OdJKY0(t)l7}~731fj z*DU@<3FMB(xEZCo78poy6-{s@HDr!tW2zd0g}g9v`!!^SO8%G*C|rrD9b$sqNC}E! ze~>NVDa-rIYaPiS?2tj5Tbys^CfuT9plS$)K-J$T+mS7j@?&h0+A+7=ZSixecEOe^ zvL$oef*TR%7Y)fmUYM?5LuRPtkLmXdS7P2@3iz0be@eOU4N$CBK^RxnB3d+)=`{N2OL{2ll6!wu|KzN+@(iAuZ` zM|}D6n#%wo!GTaFSAI%)dsW4VUcJM6F{@wC)OJRX$6JHi{%r*%D@*aM9IyOGl?Y zEt1M)kMrE<43O&R`S$EN$wYq928^QBRiEd)71zz9muPFz0LA8p6t77CnmbMTmHKhL zo)#5;txBLWf;KA4u1{>u6&@kww{Ib0JAEO5BM^_BJqY`bze6cCoqpD%lyzclfr&C0aj$JK(r8!iR> z-|}<=U6#I`PiSB-M;INk;zI`K%U^jVq$)S##;F^rnSbY>`$LaEI0YxOX&cM~O^{{j zL?H-n_cD+@Kv3GmXGuTc(lBL2Bj(PqtWhuF&2qux2@U-c+zxPHQ8T+>hgcCt0Z1*3 z5LaaqEAkE&!QGMIVG)!T{CtkN0)r=j<4tlZCRVH}Fe^?IpdfnsRX2v9Xl6e)u*DXt z?->iz&bZ{YHJ&JnqTxcH)luo$Ixh52eB$EyzxXCTDWTzfCIb6GNZprE&sZyY*1m&O|dFLb}{jTlcx2t*%Dwb8j;Ax+%) zj8tK^K8%Oh`|pIPmx6d z0ni!6Vv=ME!X@|6*(!ND_1DaICq|*KJ1cglHS_I>S?F7IVqDL;6pf{BU`_~@bfD+P zucTq80-VykMdneLSy!DdOLxatax!zY>l~TT#gtB1vH|tGc$cqJ?{cYj-(9uawA6(4 zY|Yz(GrJj_*<1d}7yRAd6!|Bm_Hh@%`MyA&MH|S`J{a;LR@J^ToS6&S6c$9l=vk-b z&vak3K`wX;lN9$rP}8Pjpx|1wMRAT2#yWx5?zp#N8%MI%=O{Hn6Wp&>ny6x0sr@l@ z5&Xv(K?}_JN}CGvuND`1W8EA3<3hMI7uOua6j*aX51$EP{wTNeU=+&;AY z1OSlhxg0V-L4AyIUV#NbeqKuIc1VHknVzs3JplRKw9&lfTcgC7>wo%;U-miwa{za> z;=jO$x_7{O{6c{bIc_47@1kH-B{^auLL^m4byg}gl6;!4`dXw!;3RIapL--Fwe)lU z!szE-LZrJ3kqkCAVeDFKw1h}E6C%C($#40Z_f2O}twcXL$WupJa**cMNR&743MpVuYFhlA!DG%_6Y+C?yRF0A~I{A_V2l1q}y{_3QR6HKejPzSIc%`>!d z2u{kJGhX`eF?fB;XVAj+_=v+MWmG)~m- zE0#@#0H*pqpPwk$vj&gqK^!0Wg$iLQCHn-Jl;P&+~R=TodYuO7A+3Q?Q|r4*_$5!^e_8oXP0p&_*Q{Z-y1d(t~ zI*8oa#I{gS2ZU!UT$OQ^#*W3=jg6p;73(tY3hfxgtN>tRaG4}omvKi}VH=F#2raC% z*4QD?p*6+}n{N+@QnbtI+Ptu(!2>Nro~mGjhnn{Xpuo0ISGWxi9u>y{A1}qg`@W7D z!~oSmD!8VUl z`UTugeRmGPkhW_IT+z?dCOyL0#dF;LB56=^Nia3COAnF`~{+{t; ze$OE3?-@Tf?-`{1J>$nadC$iBs+u+_ZF@>?I{KDS#tN^u?Q4Q3st+eQ>o}-T`k3k+ zvR{inA}aXeCbO@pg2_UlJ|MTlO-66ccAxj(O*Aq|t4`Wq`nb~Z(HK>Y=tXB#eSB`m z{!N=$+a{%LPwD!hZ>d_Y?Q7biFA5KM>Z_7sZCr5dkdMDZt5L>Pv#+TNQy@?uuntqS z-6(!P+MagR@7HFfbo~1F@1&0_?T=oks;>?L_3^nK*RN?4)5puhocnsUeDk?yJ3f7; zV(#6?))tsqk9x4VV_|%aM-M>|mg?3i2;yR09NLzFI>8(-t_<-ZckxP8;h-9_R?E_;2nX{SIR0!uN3CI z?SaiSU?Idr=9b})_y`va0z{Gq!qNxDo?`S}5D0a2S8+wSSacq;AT5Opo`8Q9Pzop$ zH?1I5q6=Dw7dz_JY0E3p8!3VS(#wkARZ3J$z;Zg^V}9VEhWj8 z{CCSBs-JK0&g^%Ge_i$-`8q9pr-e&bo&GPfSF4Mv@kgNb$s#H`CoGZ<%n1{ONv4$r zRT_j!K3kyPI2{>!pO4pA(6%$D$!sE2LE}%}zwsa>ng|PsWL-V#6+DQQ1#L5h$g`hl1CTP(N`jB?( zeN7AT_P0^d*ltuC#vTLG=9)xBQ!p;L?+_SEz64A+8cD^W#SODV7uFDL8})VwDooBL zQBqOv3Um^*d>Hy77D+hyJUE6FSO zmwv5;nU7nnmW<^)1Xrk+Hj%t^-g@AdwxNRwl9%n)x{i}%dY%)>3;1B#=UK8Xw&Ml6 zz$D|aKaq8pm4&osdZH5@4ESI)=1-F8kp>x!)4>47+CI{vAIs5CNPg-w4H}j9=;@)p zKnTf46*ZsTMo5A4d1|w$T~8|mk}zF|`&N>oKTR@*R3Pi4865vb^B!tM{PQyo@|YqE zaK=Ne$pts-wm^BPHMtNkgCF2FAL_B>f=n(7Lyd&dL`$vov8El+(|b-04Z%5k+Dmc) zB|AL5$u!?K=?l&`2j>Vl;i{(NBim60$Fd!^T(Wer2}&0}-sR^giA!Ft&6NnNOWI+3 zUUH+&W{}7PzD7z;G})jgntx)_7o2ZS?vV6FGM}DYLbjv2ezIL%ugBuA4H3vyfhK)0J%n7ulE ztKxHyq%E=>{jbmXb)S0pK(r}nGR6ZvOU;10i@pz^b->$OQVZTQ7evOH*bS7xHq6x5 zC=c2z7mO0vZr^MEpro6sSW^5iU-NW2pu)j}ulAB0 z&-J&e1hz*{sI)$yt6hw5C7JJzKEjf4-%1Z>20m3791w$`fsvBn;;nztJVRN`q?f-u z6>t9zC*(^yzD~xMRD6|)XBwWCQoX^~vXRjpKl>L(piuKJjf^+g$OxEBdgB3;!PQON zS|rgpTq8ENS1#1&&Bn&_mLH0Z|L~W7*}w3i)bRkNxq64|=F)ql>I|9k!$y=(?otwa zD!UesEo2CGl>HSu95nS9 zzglBsKgrFG1FUdtlw{kJ)Y#b1QoHtG4mU)6)W2H^Q%%y?pa9_5fV8re%y)-m??O6) zmcZr*&DOXn=V!g+ML${)q7g&g5TSoNL&rt{5}#BFTc*)Aa%;J_Na(@#XS9~bG5BM~ zL5WKT*;E9^_dFbGNerY-d1u|R^%-~iD9emH%q1^QG18_0P@bQOoYw%hfD-2;-fnzA z$-GI0vDgQD1vkkXjfeD2#P9! zOd;zGszXL(_LW(E7d#-#CTN^Ja_p@jt-cGM_C)KzPJ&CXcW7!57qmf-h^x0?vu+Me zt6v1UlH{6!>e57`ePt0@5d=m`vWFnvvN$vZ8IidlhQuSgDCf8}nm-quw5BvOVsyfI zM$n@6OEY@<*@?*=NyN}a4IrTYVZ6$UT-E<;zP?czJ-cDTD-TfoUli%^HY1=dxhOy< zQN>EBA$4m~)vdL)(AX8wN0ZLg4wdPV@nGqIXm6}?fh~ccY$scVGF#3Cvg}vo0w>CB zY*@(2H)N^L;v*D?FrAKM{@irl$JGK`;rYhV9@29|kp;1TcZmIYw`ehr^Zl1|W{AA` z{crh-znF}pBwC7blr7@#R{&-pF5ROdWcEbAa^q-%hr%5R=(^TyjT6p|qYI*GO%kA( z&*Ao@tSH9O1W&sYa*8}OxJ%kYi*Yo;AeroTZpzAvVjNA-(tEI?yIpU>7(-$^V*p%s zV1{AEcE?fmV(H6@VjOKn1F%34gE`I87eNV$eMz)4f*XxXu1T9)FDV+(CBYtt5;Y}3 zzoM13xRPQ!ToM3cPEF;SJ}5p(itFO%S(qyU$kEiVOHLYbwZLAhTSZw}zi6;FYAc5< zd2t59RJ-x^f8(3@q(?zGY#&;bFv4Ly=KfrSu-t15X8nCHf5oe67=&WpQMEOJ|KrP--ua#w3h?+Lwx56DJ%N-Ivio}~ z=1UAn^%15cbjGGxCT`JU)^EqGUwre!A9`yr0VpWL&ALG}VBm~T^#B}4iye_%s+MyT z)AIlnOWuDIJi- z$#k0_B3_JGq8Wsz&;oWO)9r`e?ac;v7@<_HIB5lo^-?ob&lp0(SY-w|W2+Z|hDqyv zRTgh#{8+{MVWLoD{{X~t3&@Y>+Q2v<&mobDc|7a?!i&q0qQi!GD`o|TKvIHz(2EY} zQwOR$N)ADvGNh;sT>!4C_he|$Y-=LcWknZv{Dd&Ox{(d+3xaIRLU@WoMEeja{MFao z?BL*X&F$7W&Es*aU|(A?a5f$lJ^=(^;Yj}b6RnVA-w`7y%g4L(L#%^xFvY#t-v zq5Lu8$0vS_MzBuEJ|Ov>(iM_^$oY{56-<7DDxm_`0g*{yZKMq_HB!lTcpktCfgd3b zhB+dENu)6o@~G>oVd1)=MC8EP1F+XQa-+@{kVSEAzyzsvgP~F#<(iKC5>z8 z{>yf{e|(-|6X~hk+oV@3(oFz{9ian21IJb=e7i-O*EiAfhE3QbXdO0E^)~a$Xjn^| zv*Aaq*A%Tg0zazcX0J}SYtHIfj^L)h`{O_ONpG6!VzF}fnjPZ3o)f-QrSXH^yey!j z+ARt^8b9hTmJ?F)0vuv9jdh%~wj^ReZ7e{NVqEIX=@zxRY}6)!>}dMU_Ct!-F?26pVrc z;sw`~cxYtwiBt+%*ap%IE!*i0ZbNbO!Q5%W9Os)ADih}C^tDhgq+e6_TH;#4TAZKn zw#PPJ?wf_)%v-(<>Zm!s_|rfA7X9$|`?5~g3 z{}#VmtcM?Zw^De}X}8^sLT@?{M~iwpt#$ZnhW%8~8|x&5C$K(B14|_-MHoL#^g$?` z2P^~arPO!T&vUcZA+ZPWlGN97QfF$gb8GC)RIz#p!#6g%_DqEf_U2geknuTSZ zV3+u+IS_Xn3$*Sd*g%_JXh(IJvpQ}3CHBcx`WFSj$|IaA;I$4mJj^?Fu%%!bKbQn( zRiC25)5Ct;Nv3fgbc0^lwT6DpTYgBPe$i+A*yiV^3OBf#gy6Ayax#)Y&-fdv!Ab*% z-HGF`Sm6O~4$3NqTB$2$yTLEoa{@a?wIg?=$n{u}3e^RzD1sHC->5F~ihOj7;A%$} zBf=j#_AOL`b>U`Z86FIS(R5WDnhModL^8sf@&YGO>M>NPMX&=7U!r{sFKmlTRpEw{ z+y!Tja*Q=(#j&fx4f?fMD+BosJjdReRH%OR6Y>QLzF1>QS@Xk1p%%&go>mN5iS>3{ z7jBU0puH=SYg5udfHbA3^HeYOQz$UFtE$NCU!NY2vDvCk=YTa#@2biSwdoy(Z znwvK|4v$`duQ)mmk6u6#gl8*whtt6DI(h*v2$TZ>A@B?bX4laRaKX{hb@T#UaC~wd z<8qh8$ZFbldZidpDi+(mLLN%I0G9;UBO)}$bSF4Utt7nwmptx(GZD$+P^C5V-2pI_ zy?{76kTCVpCvAH%w}n1&prJtx^gy*iZKvZfYx=gquCcJ=@kv*|lN6QP@qzxaC91ml zs4AL(d+lUEca#PPe3>?@T=g)HfKipdCyNX4^g=yMUrpSi#Ra$>sK-Be?n58`;cx-e zWp=2C$AyO#UPH-)4gfQ0WL$8MZUmId5w;^=I+h541@wykUb?<>e4i5m0GELNiz^O5 zJ*z~(_Gp+;?9s-rn(JP?iRw+=5W0iLk3gfRZz_>;QFuvj89*(xtudKHnYVf@QAcYy{_!3!sKQ zx-nA3Z*G8E?ZNQA?-kfzZjKU&=bZlwZz4YFLF>T24d~q=Kw!T(05|UdEP?&Ymk-2; zL9_s;Mff=V<7pL!Q9zo7(zHftE&$GzlDS^Khz88sBkYsE(wm^5TyJB6EpK^M^c>!o zSXSFt?pE0s6r%47tZYLl%I_Q?TYohNV|z|tM)x`2`slmfP$ns8oxlTos~VvD->2Ok zx@JtOruSVirroZNVzqLW?}~b<8kj7Y>!pdRpzF2lOJ&N7fl)67Jvj_!UXfPa&+!=3 zZnr2j)`GcS8q;o9DC#zXxn5eP-5volWo02BTG0r8l1d{(s)B>Gqg0XtPc%uCKiCw) zdg(xAHP=gF%fYV$Npy_%s27@g=}MVWkL&fZt`tuO_G+KWqLyrT0TdRS4^(LCvBcH@ zWdZ)`AwEB5j`k~OA%q!@Pku@cG{nv=&=Bi8(2yUW7-)E3Ixm|zsv$Fb`lWaD==L@1%}4;>yZJ1Ot1pzLZG^SvK_~7Cnb;! zu)s~q_4S(AINhc);`C;6DPD-c%x;xQ@>G<4^_wJQ!*Whx64w|Mc(qyz6jyV^VE zRgylz66G%xXSDxz;y!SBUid zh9COb)pw`XGcoIJa&9w@GYo{%^{swdgdgpDq&VVH zs82e{$V`!5|wfRBX-1Lr$$%3!DP zUn&o!&YXpJf?BEvP9@n@CCajB1!i5~RCfobnspEOZ zoe!#xqAM`ausXQ{m{GgNB+H`fZm*rpR*$v*Hm}Z(!nA1`U2qhpP0Q$l#exsPwCTGP z!5csptKAC*>o7h80Au-*X2|~wIMC zpm-QzU1jS~Bti9oMG(MZ+Abc(fwn#;C7=@tg0N*6zg(}FZQT+4;wV>;TLjHZjbOHQ zNF|UG94H_3(J-#f=a_9>kgx4w>>I(18$w-irF0=XV0aqA3O9rfcUX2F8)cfFBs1gw zyD?(=U~3`(Zr5a-?vj|fL;@5bj5bV?)W4@{AOcr9J4bnmVCO5z$@o_z$=9CwjW%A- z1#IVKgLOnP^=hKeAwnNB>TN-B0S>+O>FCF_Xa8KYJ|jY=o$*&|V)@o2G41((eCa2B z&lgUq)8gopAFI^@l{)o)%pomVg$|`36J3<8wy4x;X|o*Al3AT1_XoC1YL&GQ2(pU~ zm7mpVw?zk|3bNUuRzF#tTJDc4YW6Mb-AbL7j!3{T+Z8KyiX@?RL=LIGtWH6>$^Ht0 zMcETypJfHZES)7tRrbt7rB2Z-s;%(XP^TqSQC*gqpHdJM`faG-T*}Rk%e=hRTp~{< z|2GtA%}}N=UD7MIT9oOcOyBju(~U#Q^ueF{6<_|(Pbt&m5!7qyNdgGgyxEm94c`=8 zxs70@Odb3VhsxOBLiJ^3S}HzJ=sjxStV|Eb)7HG*V-JCzl_{p@?$y!89xd)ZD^su= z($S_9yAdGGRmv1q6mo!ww+lE=qxevDbz{c?x?Ga_8I66dWLBmiS!4s8ND9^|AG}hg zfbJ-Y2M#C5K=RSg_BdTrs*X7itsaUrE6p2C4rSHI&vVsd>tiPM2r3Lz%3^qcbfc%* ziZT&KZ3VRyw5O*XFm0f!O(?po+MxnlwB75dmEil}Zt4|t`23_|hS2k;7^2np3_&p!CU^qx6l@CI zqSe-%_z)CDLrO!^XE%W=3%{%=T40Wv~%RY<3+AmHNWI9kmlfq)~qH=iIFsp>XD zQcS5yg1gHGlA>dyzTuEekM9M#o7e$?IA??TGwqt``Mo&e`PQRvL7q}c&VPu|Hjo}7 zY_$&&`UnPqWpTgclZHq2L&OGzoGou2A{^@0AEI}Tn6`xkd?5ipr^jL;0e|m{r2*9w zXavwFI`7J-7;9Hx;?3X9dqvQp;<0*`W2NI)e$!ulPfE_I0&iUkSZV8ZE2;d@^hqg? z`yNRr!}mY}U#tx5daLJ?N>0;Cwnt;8h(l+W0`+H|eu*@GPq1<+#=M#AQeY?qhl9du zowi_}$Sy@?GJyp5ITOcI-xMX2iFlv`rX1!CAm_ehGMUeGO3=%MAA-u};2M3J=Myy% zObpXHi(jEhqovMAl!JBqiZX_NX(W+N?_6WO<~S(2+)!jD-#kGrI8J8D^|=p6VM)%t z_Q^SWU_*n=!*^BMaTqF1eOElzq96yCAF5sau7Bea`gsUBVMgdTqhAEDHQ@fLj5Km)Dbv9jcx z3zp7(jO#ICgAkO6!X-QO=twyPRO9AWG`M8*_4kF!9 zaq&sN9O^021sz-?d)S})T`RHP(5GRAx)g&`wc{q4&e2Jd-gb17fbeLaI!WqH4q)70 zqcmbq`E6<6dCL!J-+%l$|MYjfElMLgT-cpc8#VllktP6II5F%U;Xjlv-fxh2I_-Cv z3Qz4@Ry?jaH;i-<9{;&C3 zHuLxVd|eq;8^hRn%XTMaaJa~%1Dq(662IbVN8T5;mukj3s-zX+fYxRz`J5PrCdWwj zcnx7!?sfpp{vz`Y(66jwVeNHHr%&S?d2L$Y*pf?ADxOjfzDB`QAK7!?>ZL#I?)t+_ zSxnrbRx>Vi+c!hE{YU=Xr+wao;M!C()${GqG!3Icx^3$Et9|=Y)P@sj04DbyweW6R z$ZYSGP5N*;80lmPCToxB9pLX2e{==L%jVG8w+8?orEEH`f{m)rG5hw0-DI@jJ#2C4 z?Aycek>E$e3N7S>AS-hIV?f`nW7SWGl?`eS!OFKkA$@}a4P#9-6;!`w(pDCWrAE_0 zLzY-qlca=LJzJ+=X6L#m!o^h#B^mpWIjrPQWzc43zq+mwjs1A+RGRVl)c-}j7<15a zpR(pFk`>jONM%>*Sr^bA=NO_M_y{g$Wwvh{e1!9@CM5xURQUl)ZWTXRw!?HvYAkI^ zcG68L-02h=!kxy|Mfp<_X&{clwFFSw!csCYnp`*|Z+3wB zyj!$b+S}<)`_@1HIS=0#xCOl#t)<-q@FXPxSW+SB%ZZwtMLVJ3YbLor0V8M8A}=>! zyR)Uuwp%z~@}aY(?e?rnBQiwN-KJKBxyzRJxTO;y`6-hB)%mGxX;JTH$r)x5q$agl z)FOkekMx!NY6^D!aTP2wxMbu6K}^%SED}Z)$&wUYc`rx&9MPmMS+as?&l85we1)nk zT1gAy>KpNQS~K6C$O~fl2mPBWhnMe9hs&vXLC|z63M8$WZxH6XY840`j&&}&@QBvlARA-3jG}_13E!^0L24&bVuW}u5>{9A$;o(0Dv_< zh>)FSmB#|~am9QmCO9**&?JY&<|yq9mnM1`erQ53^BKzfb35%XgDD~rK&G0?0g|y6 zdH*^RCsiWer2^MR%Iz#>8S#(ebd=vSIUwofhXaDx9;o}`fWXw~AU+)&0~`r@#sqUTxzH5ndE<0IiXbc( zJQa>Q2la3xNNwbT$iOMTG{D@Fan38!z_}pHT`+K1ad#LWb-p>WkDgI93@r`~b-~&7 zQgovO;w#$l^vyGzw%(p2b&v}d20b7)jaNHXWT@|gXcuCK){d9QxW7YCLj59nfc8|$ z9h{r`LW%?8l4#LFpDW#@Jv-_fNg9SCiPkGzAxdt0Gv37kamhlW*O8{UT{GXF6L=8h zVs`tD06g;T5sbaBc>r~v;8k(w4G(W$q1Gv>-6Dzfk2dF{Dq8>cNe86(0R!Z8>M$5? zJ{1SlqnPR|Jv-^)Zi)Y^hjE(kn&ewg4WN1Z@JexN!p|7`#I+MEohLo~U&P~0Bh=!1 zOt9LG`X1~(ph_!5xpTb>d$bqt;_3A+=G~$ty>UC~jUW8}uX=MPnv=rYla#3mY$sJt zX&x@sXPPgsJ|BD-2MXUlLc0jkyC{MukUPkV!1QZ2-^jHM0}+XG*-n9JI}}^GcJuN0WTZG*+?=$3W1QhHomD7cCtOvqe>#Zf z^aWaM-P3F9OtnnhqQ%zTj;(v+=}X`6$D(MiUG>G*i7s0MFkj|Q?s{x*jHIm@A6C>wLw+j8q0IhoIOx6SQu1DdQoUuU8aXXM#BL2K8ukg(31(EN-kQ zwyp@uTrLVS17EKww$2Z|->{z&kp1;R8s+nkVI=Lp8q1Ktjl>)K9*>Ab(k=-=F?;W2 z$#F6dR6>YZqvTp>-t)mFm0#9eBQ?%Q8by9E-RIvkD?$}(=;k@vcMw%f=&FF`YiaVRf#R!EU&9n>JIAxq8 zI%e8-l)B=M)VU;x*Qilr#avBJMK_lAv~e7atCk;*LuYJTncLE>H$sV+SFEsYh`)DM zpGNmg^J|)l`-WGNz`6}G{xrUE@VerTb`|YLS%D?2m8|YgNn?Y@q1OvTFUl|Gezzt+ z1Cr?ImDHj@I(oi8prGZ5ahxaEBwFmDM1p0_6*_QKl2E|>izeL(HR7DxXP-*qo5TD3 zZ{^b!wf6^)O7$Hd4a0{ym8HxcBmHy~rY{@<{T>^%+Iy8g+U%3JgFnveotfixkD8`496vB#ty@#PQ{35iqnIHjll0%a54db~%QZT}HXkNrirJrMgzTpT!}svC-t zjR|Oiv1=!eb3(O#zq4-Ec$@^6XPcm4*s|{xYaXC<+BFj<@8X1}GO4s+UQ-VRBt&7p zCRiOvm`p&-#ysA1^z_(Ypc=)|l9WWDr#*Ul>@Td&gU22jvz}zqOBV-t&$#lG1dg{| zGv6cp5bfoIa>SdGUl3f+>K@?-=_?ODRE=HIFUt1_1k_dyTY+)~Yi8AiS&QYBzc?aG zXfN`rcaLO2hFZWqmq0-^{DH+g|J{ zXtR!?jEt#l#{oN>FZ65q{TP9X!ISBL5U36)+iCyJMVFCLI}EO@kWn2KVY>dZo%YB1 z=KJ5Rp{uLo(<{jPb368zk)g4vk5>uB^lFt&eA^+JAjqT+LpZyO4*3e(eD2vU>B4*W zu~meDqvoayb5owcQ$-=W+yr&`4LKY&5O@`$O2>Yv#ffEmbDbk08j zQQ>fsk!vR%=XpiBzP@51Z|p#*)Et`k(9bc*8@CFv%qxms@k5uH>EHrsSEM!b6%%=* z+LOGZXqtLOyvv4H&4S`*mnB!cesnn215}5ieQZtmL!=a^Bz%+RcZ;80)&#+!xDgyY zp%4`NiqMYg?yNyuX5mtAKDJg{?C9MZ{2p@j&9O(BS&=t_tXHF!<7OkYWz}!bH)y}% z4WtvZ#$fsO7v(#IIf{fQK@yj%mYg)?w8&~oGl$j4Y(x@xV!~#yA9%%gNjXx@BPFK;mo`HUw!5{TxS|XjAYFPimxzHpSk^oniWu zME=NiwroFDS`b-59sUG#Z?CtfN(+uQ=2mIJkO7P3ZXT{hY7^4Ca=e*`;Up!K;s- zzkKE4s}Enkd>{@3AB?s1wg_J)KSK4o+kUTdDu(xc_lqZ_Yh;;sSNpz~Rl1UZz}AD; zq=NU;-}R6F;zy=THO2_AFM;e7{Z`m)s-rMB&QM`vP4%b+&Zc_Q z0#~Mb)BvY6IUosRJQmC>xI;0*V}X1@DrF#@fuAmMI511h=2XR*e0PF*&W1gNF5IX7< zuS(T2#j9;ZPiRk-4wneF-XW(`*_fKs;p^jhOciWQ%>Y?-1t!Ed0yIrwY?pz?LYqh&-}p;g$tmm6OYzCe;t#dFz1e? z^_)S@>Fbybwc1%TY9zX^Rb0Se1>#syg#h_>Tdojw;@NnRT}uHTEw;TXL{44D9H)U& zKrSxC7$<;v+FzZE3x_hr2@~|{WH`*V6zu#Y%RmWGZM20AZN4zcnBufq8{j6cWK~=+ zw+m{1gQ?BU&8dnD07h`eij+2*A2jI#sQoo6N!=Lm0m2`ch04`+tekEeI(#Awe|%Db z*MiJDgL4-b;09d)pUOW^++1^)AH(PH&)$Lhc5KlxWa z@h?qL&KI&QOlB2x5fP&f`6}UR$q;PUQV7q2Iq;7R!2pSU&e4~wKbYiY+F@QXMF~N4 zc?30N3z5r#f0PGglwlnx4+j32=`Eu!GVETvY;oK1OJjtfM?OCz{!=dWJ02#5HBD{^WhfACvzSKk_md4;nINa^;#ztmYG)Pv1bW7IVBauXZNH6 zJc{(%BW-}Ido)lPvk3Jj^C{P%tC$zF_9_cAvKF*>o(G)pUs~fxtk|FZuK)gX-x7*l zt!yuxTT8bg2mLC+&(+}^#pqN{XG-(cAhjR+$W;h3K=2kKX+knt3PZRheo4{|j5>CqVbsWy2f{*`u z2Q01pi-=tRmFB=DqkGdC-Sg>x=0yY7)~EB9AJV5k{NdmHUw$O?sdC`BK6O4W>-`{C zn?g@A#~0t&BB+pB2m*$x=^y*bu(vJ<$SoBMnbmaATwam-)CGgojqMtuEn-FLQx^mu zypg(80ed>Zi>b5&>RsVz_^{ERUcZARI95Khc6{J3OL9oN2(**7>E{{QzV?}Y4 zizIs5rN@m_Z3<#el5~_^@&tP%**?(Y^$srj)Fo-ERT7Oi+QyRB%y(xG;u^*KAlImt zBuUay_BHW~PLPyeB=DSkd&E(8$pg?vg8aqxmsX=nvbsUalZ%%5&8a!hNo^i!x2Vsl z-y7H)-HtMyZqG{7WxqQP<$W%?&{b1m^;;hcWm`6eShgMwO+s(H`(~5pFxJ4fkh=}#CCR~w#6`2DxYYi0 zIy9L|Rs~d{RvC)lU73I~2_9qX`^=j8?vz#u*n(AUpl?J8iy{jZI;DM8U83`FTmy#_ z&pt!ds#Yc`$9l9M9_ZJu+^E`SlhO(KXnyrl9*Ks%8%0Q1dBh*++2)~%3pp(vNn|+sZR>VUOUGssu`3@KEtSbj7qTcRnV)Qb!mS-9x286q&$W=-wMWsB68JLEh)_m17k!M z$EVGWEx9(H@4PQPW9LWQ_L7{d1C}U^BqUOBXb{36wUWD9j0L52p8p2TNmlj&bT?oM9|0TRw$x#`&UpQz$Q3lf(H1M~SxehH;kdbpFf> zrcJp##XQ0@z^I@gm5|9m{`_+|U-@=_Z$^2J`3HXw&b6I0mZvhGxqPelS^fLZe(!(y zcpA)Es(%M04CFPBq8|Nc+wzV|RR2~;9W;W}SuR+{d!W0bSF+;6=7LfE!+?OcsCPy6 z593CgLs!+m-H}{ptp06973HEHb(SA`uM}b=ELaPdQWg}C%7B+;V%QPmy;>p-Nmclg zmZZnAF;=auJl55}!e=p5CzJ=)j*iqXXS%Wax0bnT`7~=V=Z4f60_J4?CzmsyK(|so zsbEm-s|pnE?8K5+ z6etF+pp^gGNS2|ISpkZNCMv zfaD|51k&dEa<#1>(J)U$5Ux2NS!FntI*=Jo_yaJtS&)jw(~N~C0?4TzEqkuE5dtRX z$dJ8Fg;p6(oxTci!DcPg%el8BXE=-BfYhp`6+CBDXE;HN4ipD7oEWph;W@+s>0SVk|EXj8V6du4l^E@#Fp4o!xJoD`V zsiksNf|1_Pdhye%CJ?}n6@!P0Z10W>6M~=T9xz5dI`Y9xIaNo`cL;qanlbLcGq1j4 zI(l`BK+mN>5sXW%)7q0>i#iu$xn*@10xcJAM&-SP`_rSh;8*!SUD4eZ+p2(Y=XSR0 zuedgR?rH}H3D(-4OO`Y_Fg<^ynWv77?^!ev%I%OGh;!$ui;IxbvT;A(9#n5pm@XGZjU_pw#JMT1JcIU{BKbZ4YL z^vR#`1z!?XG&T5bY>E*8e3b#7117Ew=W=WsAtw}mE0}{H)UP;ri;?86!Eq@Wpm`p+ zO9ytiKyU{p9cZQ;a^~0+!%4`<2h#!C-8S0Iv1zwCps|JJwmovW)rymPiTWaG8tcf= z_q+|IvTkW&r~Fk}vXRk6&s8~dR*nNvdK3e4y2xDd2%b^-N^hNJ+apdwBwUSi1n?C3 z{3sM@e!P;;uO*CE-Rl8RNfqXZbxUEEY{zTei|fuUl;M0cH#s-%0 zD^)gotd&aGbq=%&gi%wwvlwcI+M-kMxr&Jpt@Zy|>H7bE&2Rkn4~AX=i6|OteMF#c zVMHM2%1ANgfOL|2n)Vec-_$Eb5QJq?OGiaae2J5PWqlbg2o4fbY44uFZ6Wc13->e91lXXgo<&*#xv4Cpk-}skhT)h^QU( zi*U1a`@C{fS?3XY5V#QvC_eG;`M>xkKIQMPTBnvy%FVqg{}=CEgIQA$+L*d(QD%7X zt2B!E)LB3AK}!0m9Rmn`S9w8g-buD&|JLn;zB{WB4?m~YukfnQNn=S$G)_mgxhuH) z*o2REz6!Hve?rIf(m-=n*u3S3RM?+*>3?|iLi81A4aHp}uQ3Pn(h-dX;!Svc%~Y6| ztq*p!2tR$XfJq%mjmZ zX}z*8fLKvfm>>GIA(u0Z`x@NK&5EMJ{LqDYX$x+NMo?9lOFHushK}`)T^H*WAHi1L zu3v($iuDTWLgcVj?&YhC^@Vw9vw~y#6cy{K=+qY+(Vi;sS~!b{q?T4)=H0gUUeCq) z+1gg$<_Tp!q;3E2=f39y?+R@@9EjRjI<&N+r>L#NZA&9Ek2qL+K9QsOX$nk=oX_ICI*1RAqEy9RpL_7C|6R1t5wUk20k?2g{;uU6M?< z8dh)Gk|G%Km>zLSBN>AK3u1&euC9utn6aa4g2>*eBWw{k>EbN-n#e$d4}r1z9<|Tv zmruH@s_L55pt_}NQ2=@=5Pshx_q2HvdrtfR9eG*#7M!!@$5iNH0bQtGi~Npy1BB2%cjuAc0+LM)74|e$`mR$gBVxI zP2?4`DLkMRLyRlomu$!a#8z9blN=(evFoowl5r z4av=+m-g2tV>^0s7r-*to@c&4s5hd7Df#@3uyIu9S=}HI!D&Jtyd8?wiR&&PJO4%d z)y7i)z|Yo_lRk*L7?#XW{GA$`TrWt{eKUr_{?c!+(=QxH5zfpw{4+d`_;f8#rS(vf zry?-Va8=ZtCRe4`$JPwzKsx@s;T%s2+eCgpH~wLE2Y{COgyp3U-%6M@!?^(Q5Ayrz z2LrZR)f*rH*F5dd?bu&jnb_3JQ}X@TL_Bb3`ToTpS^SZQ?|rdM`YMCFUUx&k-uR)< z`uBcOz&^DC^Ay#ckha{S*vtXt+umU7Tz86b;>ZfOl`K(RR{JSLFee!Lw&PWFloyF& zhDg7XmFrHUm8`1n6hlM?N?BFiDW-@F)v|Kk=`dQ$5`V;h`DiUm+(nZFM3WrlD7o2q zu&S1o>w*B;G7F6Q7_KJWz)CXTAfjv~Ukty*^2Jf_Q-8!S{1zON3NQftv|4kvKN7Bh zlZn&7tGf^ggCuqC)X}os7|=kD_h_h87LG@JQt2TGr=IuWGU3544+a;F{fE;hIg7hE zB~OJ@qR;tlwT%l}>pW)Z0gtYsqKYf^?{|QBvF5W{ z8>>MG(ipfPaJlRqWKysbW00}vGzKmR7$>3qT#*d2e2ij68Uq(RZjbEQr|R7Ihc*xz z?2_nHz#(~T(A(C(<~%jW_g=KX@CW{t|EUtmVU_HpTIVSoyEGD9Enupr0Ze5N@`R#U zlD+dAww7^=wBD37J0gyP7Js);KXfy zG$(rxTM(kt1Oe0A?dg3po9s5#ms7VSLx#}lUGAq#|_ z0rcb4;gMq4J&w9l!e%vherO|#L9lm3qO1u>bNEPu1izooOcXm&9e)s6UuM1;3FT7uj>HP41 z?aVs<^gMoe`O-U|f8jk3-uLoXyejL6AI4nlZ~vN~`90qh##E!IHg-gO2QCz1W&spx zu_!rq1c#a?Z6|?37)S$jivWeB-j?wt8HfTTKLw|OLdcsEt%DUOfI`6M6RwMP+HQNS zVJL5ZTNOJVx7t(>4?^hK@;PRpkWCog5-8Mbr`5uo18}zBH<54@9k?y9w*m^Gk%E^T zpq_3gtTA!$*=AS6)aZcK?EuT@wuZ4i8yL1uK2?U-Hnj6qSMg}pwybD$YlhcjSNk^` z-lkt)8}M^bCpnA&h!E|X5h+CbR)`cW8df_rh!S+X1|o$W_UE8y6|$4|7lcbXVFk>_ z@nt(fSa7~wIzBNhsFMamfv`_!L=4NivA=Y&q)oXz1rY=M81O*}y_+&XK<}n+7xb<( zs`8Z=OvlGCx#|+(zU#qzA6|yVyoas#UART9zj_Sw`krv~2FxwJyn8CIC~kK~_>}lwAJOl2X+ z*VzS6#}ztaxMIoth9He{5iFf{9J49$s0+?EPQ~Dq4{ACZ)I_!*jY-O1@pJQaSvSjF zS4Pk681>J7@Rz!V4;R^>kZ>lcV{tJ;o5Si?qZeR3D*giX3M%7=Zk0hN%sKQCERx5`$fYT!N4i?h&!5_pcts%P$+@LmI6t1a@p9q3 zTeO6H^Ka2P*5!Bq&R_lW?@b|}z=RxrW2hb)71CP*5P8}f+jYR`B;-?cQ6AW_vy@{9 zinC~fg+~np7B=Z81S_mxAq!dWgjq9V{VJ&6ksSvN`B1ZLL4g^IdDws;jX@;5njW=> zC>=Y;BN*IN5d9Bh93UsK7zuU|3@Abqn$NMuV(LjkC$D^5Y5eROi@D;YYW$o&8gkOA z(#ENc>W6#r-PILK-8X{(@IVj0cI+=c+Htt-1xzf3-$i0b?+bgla3HedSS|>;}7B8Y7WORAfsCN>pcp;P^WV zbpEgbgkOU-{mNjke&{16c?T6uaw^#}n99C2jb89jGTb9JqR^$^TvG1K$e7&r?7jXwUGurgSc$)x70fGr+{u zXMX0}fAmohT50LdLkC9;0Fh2&Q^`;rTHto zsxiWmqC8b4jpX@MAO|C|ul$*_{>tjt>xt6kozKd)&L~**LX(kVRGVFs$Rmd)63?d4 zq-mXkGk&+lq^3Qb#iS~oh_OF)dv%>oL_r9}vnXcW=&Zl{D6L^eP%1|8>iL6yUkUy7 zThLF}n=^DHQ-Z$QZK+;6*@@+cRPU#K!<+u=KR%^;)8G_zv{vt|)&%_Ju2gTU|L8py zL6AyFp`N3m)s6BCUN=pR>gaTzkXZ^HZ60o{m{l*lPi=hCr@~@o4Ru z*)X0k7XU|R7uZf5?A!$=;kqBaTdz4G0BZtyP^XAbn?(Au8S0s>uL9Q{a^UKEep2P9FM-ZLougssi&*Wk9j@)^MCeNe*Ryc*3*VgJ|WNCs^3}@ zbkLFXrMK&z=bQC(z?gR%3wJ}S=H}MIOMn?uP%^b<%X+%tojEeA_Wx>ha&aj!w@Oyb z+A8a5jI4&QO|^zHHI-@>%z7HLo%pLM2x9R@uyT9qMR4%eH)8ZvrZ-uM8 z(*0Ra10H70x|q(=MjMrjTyWXls-qHS-M$ zWcKD@s63aPt@~BUhLf(_0TB~zO7)rSLZ&cKMGVGS-7)*@_Ls2&f{HkFEDk|hrPeN7 zrPs6EkWBo|tbl9%0q9u6qo_(gDb=;#Kt>K5%UXxE*Sn zsu!LNTo`2WNcIgYRzcr{d?`c6sK{e~kVjRUe1~HM6>S>GnmcJ5SkH%t*+V?xBlimU zGb{)FYIocwmLGD#|Ct~9RgZouU^z-u>)8*Zt$n7$>?h$N0avLNrg3m(9zpp&XllBD^` zg1p5pxCifwFd<;B6!>v!LEd~9JRx1J9xFU&>fu;%wl0!4wb3<3d#!3_nqf98gR)X+ z0QsbAfh@&7gcGUiXxR0fvvM4W(&G;2!Z3RdMpkTo(mO*8v*#-sdw3@_K~K>vtZjG4 z+BWYNwaR+ww7i*4%m3uvYl14zC1cX$n#8>I zC9mdJ#HdLdf}1a;#4^5S%$fv~ZtIRnEaQ@C*yOgk;ghzWhEdII#2m>grzscduQ}it zuxstSUds(=B8~(UUh+=2kat=ff!87Bf+?Q~Uu|&&ZU?$_@y=iS z(_a~M@*1JLBVh45Z0l=AxnMpB8r2YnwnZ2^0_7YvUkFyg{kE6eOId2{VElS4!DxtV zYrwS_OlkrEfS|h0rw%4HPZ-YH=2NdoYOZ!N46sU7=HgQ%HCJG-XbvupfdBB4)C9=O zsNduF3@0^*$R@5xY7VeXT#?iqqMN90(5=!4 zmELg_vn1!~7=#%q$tM9FEQyG_OGKEmT>OuOZoj*R*mr#GANkj(#};e+3~2OmFMJKT zCr4mQ*5zYGLm69q(oi>Aa+rv)EeurO+whrl#ujUeK31f8^A)SH#p+gsApH*)JnoM$ z=*TaC{dkl<9uRA?Q~-an-dNHT9AlOk6vD4~ofJAV`w+-9cqqBsWL#tzk54LeC*=jtE42Rt&$8eS&%?urx0(tJ^3FP*<+*1S z4Wkb|j6AOH-e8CTun?8Fzeb(Yp8pAx(knmAa4MwEIdAzkVkXM_Yd`Vhe(xWghOlfg zpq;NiAS~Jh5&=a8VL9!|w*v^vZk(UJ>p-V2D*|xRMp7BVQldR*CuzRS@lh2_XcH@< zJ&*%2o0|ozXm7pJQ4pLSp$XOaF*({p8w)stpvIujg!A?)2n*_=?2#edWZ$E&ucE!f zx;shl3xFy8$Sg;DHt0)Wfz}usXIVvi25;wSZm~G^a+1t<2gjzJ!Fg_u4S*0s&T53w zsgt5H(E7#;>}x)OURHH^1=LW#%#m5B@eDPo)pU_@c(^QcIh+QblhB`odt z)>4=#0Xvuf4{1>61L(Ezx{gfD1z)s!M^D&<@#+o4aUfUiV*n_aW3eqsHwhz3!~W$rjGGbGc)eX-wR){49Z z81vc2je>|SYaU73$M*&E#Cp+Adb}?TiiLjPQo>G;Lwde1Pzu-9SGXYXyqfb&5BLS< zfr1W$uMCRWZIB62V|iyTI!tNB1CEh6K&`b8qw>zF(~I8@P?@Z`-xv}s&QlrYOcIrN zwj|)_I~>0`Pi-G6k}G=^b_m^D$)tZSth9hNq0oBgneR~<6owblI^q00=f5A*9C_n&@VzontlWw;cRJ{eAx?Nr1sml9{woLnTJA$}v zcflt+_TOBfXFcyP4^G;j+i8EqbqE+@|J}v5Lf3A^pr!q}9s3J-Ha54%i*0U=+u`R_ z7`Uyx!?E0MbFs_l;_cyLSM`WMpt^pt9S7X)E_Qfh%K7is7rUxQ1OoN`+)n%NFLs$& za<{$MRlk4N{C?R^`{R7`{oi#Ly9!;r6GfPQFt=lWT(G>UkC(^f_w{P|=5x>PlCHdW zA6wAlo`>fqu65bOQoB6nm5X(N*A%Go{n3L}Zr-~dzWd!Z&vQ_W(U)}p|6UPvLXauB zQQ~MWU8tjV19Tz1V+?UlQ@Sum>jrieu99-dnyf^q597O<7V-J_CtAdc<79*}ktZtU zi&*vL%a>n$`SRfl!ksBUjeOYhldddutn`PFXY=0dyF$Nu&RrRnD z-lBPTg}Vx0sPD>K^f7-|m`?i1H-E>^zHus@l-rV;Zu6#dbq^nauGLUm5*3&QS58bO z$sePb_IyR%xr&UmCY%v9S*kq*sp5Ra14a>w>(1m)n`N3 zrvUwzED?s0!UM_)Dw+cqEPb9GlJ^=e*;fc|s&rC^b^>8YLAGe!9=qy@>JCI#YU&5s zulnG1I;q={LWW5qq_Zl?Sx!|68N}^}H>K|X5V&8zIXC5_d!@|09te(=eaKy;ZR~PY z43XuAWa4iYakx@x|3!)zZSmO@EAB73A{u9eDMV*Y)khD62KqJg4vn+bwBq#oQ>D`< z?XO`#98k8y_VzlP&h1ad`DPAO_NP|9+XRn*WFF&m^zyi|XL$qNu3@e#)qj;Sp56LG zllbMYyb?BI#`4tO{<6l7)d&YS_Y}>`Q$_yAtN-@ze?h3oVHWm zp~$NJm?0?co(Ur0v2NUH0{sSh-zD#T?86&Uerg<2BAQf_W4OXjZi|Fl3n>vzYJ!Q}_9#AN{xK;i zhIw*w+cl?<)Ct6tKST&_DD zg>KaCoR>QX*P(lR+q!c~mE&-p*pRZEqfqC_#M+cj#lklG2G4OJw>__?Ua-u`a}<9k zJVzN=a=zziPxXXCy1u@%jJQV*_3*DT&+&VI=Hk!%qUcH2^K1)()>-yiAmiX^p=Lc9|4UK{2)^O4JG`fu2+9_3c;sRRciaaVRy{NvS^Fx;4tT3E}Ai0FXv_MrtQD9t3Bh)1n z<#vh^1Zk_P62Jt(2-5Hs!3sJ3sx*k^>C^G40Fw6SYaW|=d5ST>CIY(Gkums}9)9t+ z{Zu%=%JbSXOxxRu?7(WZ);=U7gheWm>c+P4FQNj?H(?80CXX)ENQ&Td766lDvG)Twgt*nvdGOUi%luQHZ)%i}(9hs;H{w zt5A!i@aRf}hPxf_*B;i}@_y$nKNRi%o^Srt|LYsW`_(34_kIgs!FI*e9Qcz^8qv_x zzA}a9g27~g0caW^haeSR5rnTK@SYCAtO9I8kP6QQOIiRx93cnI^b1sYE@*>O;f9^I z#>y8fQsKEE^altm_+xhHV3jyJ1gY>`Ft{-~aG5rORCq-=%yMFcn-43jfxu^-$p`Iyy)bliqo9EY6;hp&TYM7DCs-( ziUoO>&11giaIJB*9~1JkBl9`~xBdsbjw z{&?QU7A%1i~J$}$N%jA#y9cldP>Jv z^nYW$p-+?=&E|3xXuNkYnHc?<-aE}Tg`#PbzW@bPMRc)`={;|~zqSidCPnAt@yW>h zb30yaBz3AHK2Z!+^sZT-biRBp(*8K#3}7Vcp^DxGw2+TqNAI=P(u)&nM#xx;nqg`L z0hbiH*pmkp2iKth`sUWP=DqmW&hyS&en_u;-sk-AAN&`Cfn_+)TQz>P!0#%PSjttm z()dx=ca)0Fan-x=UztS^=3=9etMWRWEIoe6PBqrb_dw z){wxsyOYSgf~!jZSC8SIpr}pz(^ayLZ%?v&r;)aX5H7AKcNi|!g?cun-1>dt|0x(N zsb#@lWi=^}WvDp>zt^>cYBDmdp=Q>Kp&5CpoTo7PC{8%Ko0G)2I)05^@toARvERRAVJ(AnXl1B;QOMEwHP()?F_SQ1#|sHBcVX#I2cgf-PFii1EERmpZbe^!RlW|cj; z50?=YPmNho|YKztx;!7g#U=u$iQQ=g` zb^IC$YFrXeC#$NE1W=G+MgBPw)D+46hSM%6*{{D!P~(!Q9dI5m3$Xj%B@(#5OX3<4 zG9+sX(4;zgzCVb1aQ{SdEtQ>0vbsUw{g$LW#@Y4Czmmo%N7rbQR|#gN{q6Y{fm}h& z3aUjm`OOx&H)$=3woY(w(cY;!Mz?1rH2ZaBKDTclyu$@^L5ZuwhZ16=z-@y9~M^}?^d__^N}-~+uGJ?|wzR7c4q zW)oF;FQvr_)|pAaELeLl2h0Rz)fjk%)`iH{@vs9UHGK_mUfXzWw@;dqwJlWG-FpG< zzeR)%doRa*&%?^55#&Bv|384nvOPyCFF!O~5iqIHf`eY96_k{0k@Ts2u-_J&#jmNn z7fjDE@1?^Cz2-{R-plc5ndALN+B@78f3JxIyAm*u^`={)oO zL7N%|ZE#KS5ow!VlFZ&qp@>7rt|YFj^UQZ>50tL1xjos?#MaV2;v?7nbfj`_{ zrF@S_EUFJ2;A{17ze_(y-4i63uX1)guvI~{aH!icoOxEE+lZa@sH4sfLOw^h!8+F? z_!jU%6F#2kZ=xV&))FVKear zm;->9J|m8(#jHU2jFq4SW`%wUZWw6!oZ>M$3Cx-l)Xg(usrNEKED z(da9E2AGo2Lzz*7xgf-p8Lm5Q8lcsrUeed!vUOA(DQzXO=)^z0}Z&uL-7aN_`Xm6#DDVpV%D3c9NYTA32*_tn|N%{?y8=m=O$~G zmY{Qix274S5Tv59El^ZqO)cJIHk-I1AxK5zg3##%zTyc)C#iZNA;>4E2%a{iccdVA z6Pp#OXk75H+rc-5AXFg|IrO+=MJgH>gdfL72&@PnRTF0+NKeTH_baB1M37x?^@{R5 zxg_`l)%!x*Tkj<0MRdud2tdIC3)YO`p44)UVl%vF#b&2nv!eanf8xLD#AKAG{iR<& z&@ayQvKl9@cLL?qhXji>DifYRvVXI_TUuvtuz3Kb2!^Zv;NQm<%s~LI(Ajl|lq^eK ze;Ix9@VzgBEAI84W!n9zzwsx#paxRs*X^>q!pL}#pi5g^+I|bZwk~+w3!5^AwE}rO z7672~!-5G`oM>_B1bHTh?uQgP001$Da!7ZZtu)r<*rN^r2n=LM0YbL6yCw$!_${>b z?20%VjfeeC1OEB1w(N}rDK=6h1jR19)dE8X*Ty)SzA5AQ2(iQ+2 zI2j&0Aq+e<;{O86+r%M?*UNzf{lpwdw2=c$)j5$vTYy63a8`w13swmd@idXA!H%au zAJsNoT@+;ta2mC%?ivXcd)Oy39KCAM+yu(J<%bd|pZy!&^i#h!Fb2vjPN+N0;&gGO{i4(!)5IlMZ0zCRs!>NKN%I5d*8wYMtE=-t+BQ{<0k zD{$Q{2W2G?%F0~DJRq~JOcCyoG5N|{??NHRr@ZP_CZd*3irfRUq{!QT5}4nbd$aA#yVAviE7EvG__Mg^J; zEt+kHGp9mFC#vOCD2m%kP_oQF%Sm9VXq4M?eDe8cYK&(%0%BFwK6`J~L<7DG1M^2i zZ5z3%e%K$FW8vq>oZ|(wb)lu*s)=8PR4bW%zS3z^e0}!<5YDAH{>Iv^?4du`N0=MV z&0D^;Z`9434}bLA9!%B4qiue#p;BaAG@SF)%pQS{rkcRsJem$$fdXGsO=}x;Yik%} z+*gFI2M?9xq(GBbC3_Tf<$?&QILAQ9RQB4n8Y|{>7$8|XOaS4U%{2?bfpi#FMD@P@ zF_V!>@$Sn9RUs)hxk4qGl5(_7j!xvOp_b6l7V&A0mYE|{uQ*;HS_0jus>;;at=uy*zE5uY4Rzz|{nD6p<*{UG!8TxL$Gyd#2bX^cX5H~)v}6>@@jwr>y@b-K=4;+WGofnG@E4N~ zw!RP_MmIFSF;rpN&pbq`QdQlr8Bj7#k0;a59OY8}k~w-BGDr4kKYd=|=fCZ{KKFy+ z6>9pVP4XMZ9#Zn)#%r^~RT>#(N2z@Rl-{cbpg8`XK0oeta@1kh^{N4qCv-t1Dl~tMrpUIwkdyp? ztt)RG8WGT7(4_7Kl3XBHjU<|T${?}`K6Gc!IQqpLu{-zjB`^wD}+tqU~=Qxsc zWO^#BO?s!~x4{9^hJ7C55{Q7*6jz ztNY`WtEbIY@(FQ&CZm7Vm*!@sj^w0SUx)7Ih^}7T%^P$#k)lkmJyMjox@k6Vvk6o` z0a9l(_t{(c^!cX${$KyPuYMfBdd=N=HOaGqR)7I6ufzM>qz!5LieLfoAr5ZAanu|x zSVp@e8z`D1=Rm1Air@jGKO_+ejx9QfS&)j|1&<&^Q1yeZ<3Bw7s^Dl)7D+e0&Z3I! z4PpQUOK3*3_`<%jsLBOPT@h&ehHkYU2WbS~%=fGggh6KhSV;^S)$8kPJQ{*7*n1d$ zxC&O&j0>sgPbb*L)HCrVFtV~whRYPF5v1QkDLv*j95owK= z(`@pLQiZ_NYX0vs8ttusfk4ZoeBuP@lypBwdJWk=ymOvjL&zqnVSQo|vLSZ|(iX}8 zf?XZL*P@iNS7*W&Ub{(P-tw)fW%BJCp8ck;_`b;)ZG|X>W`Yq_8-!Fb8cMSQNEta7;OiN80OS4_Hle_!*)khqJTxDK|2R? zIYz^8)xK-=Uki&+7R)i)3A5caONS|$YV+b8s~C-;x=Nya&~~fUF&Y?hL=q@{A*sm+ zubQknm>dP@VB}cY#4}F)M*jCabW&PzH8~QI=!Z6~R5cXKli!=qckKt>WZ&Xus z(1^PrTpt7`M0O2Oo#YY?*oQC!kY%hR7)P2FbA@JK>Rq6FYdKMJ1r10skgG^|g6868 z#gs}1C5UtkpgM%`M7!6OucgA$yEo!5=C2@K)iPL}d(${|ekAyMR(Atfu1=>jSVY0O(PC+56 z#Wwq@Nt}YJmVjXlgIkgJs?LYfn3feabVlM7g}zoWCr-QLj&$-6L|cRg<8kPmmjgmi zgH70buz1yn&S|-02TLYa7xTtiK|$1c{_Z5RQDAjf>O$n?Zc3Xxa{v=9lALfM!06ll z7%`^)vq@lxUxS1ssL0x5SBcXjNWc^U1bm?KEynMbh}hROt~U53;I?gVj)KkX2XVN8 z`WqaQQ|q>7`@(j;3m$2*FAA>JkGZwy~k)0ID;`n zR%k{NJW;lrm^p3AJL@6zeRld^hla!+{>84BuI76(Ld?6Ku@Mc=R_VNa@%hJB8;u(; zh|ar3i(P;ICD5DAx<%*owtwymf9>ymUm)ex$#T1HE*GcR%?ct@~t6Yx$fm3|M;JHdvJQ`LVYD>t(o8=UX5`T!{xTt zOjM&40%AChuZg+CPqtQeI|5Oe8L5P+21ZMje3C$TRe}h>0OTyoMT(Zo6OfNS|iRoM7 zFsjW7dY8}&uW+2J*5};kocnxz6cT^-lUGe))zzHF;Wrqo-pP}Tc8bNBo_o6lEqFy z&{2A%krT1cNnc?Wq1dWz8S3B)x-hfqA^QrdLc!#pu@OCszNj~b=!||5-1h2hx=0JbPj0pOm zMFdUo6Bu;)%QWIA48>>v>|6h*&-koJGU)_5r(>{rbbw2se8Q9vx_fQ%ON^?c0(HUN zsS%1)pe|@tCSh6VjZmZlb-~9t8Sznu>A7Z7HFHCuN3MYsCD$a5Zc0W^{N!jnv|jsY zJXi@tg?ns1d(qu{n<6JtfkEqHiT|L{S@XQA0CZpC$GX|M=a>uBHfe)g0BexY*OhEKX?|#sZJ`WC4Z&3@-qBq8Kv{x=zTt83H(<@IS@oT-K=lcVaUD7I|IFDjSuaKT^&|!}; z^OOWjSYI;VAw10l{_|G?*suMSs#^q@zBt^l2V1Q*q+coDBg~T}HLvh)7=>C$YS)JU z@|Z~=SF~R)4FaDeWqh4Un*Hnew98Dfcs9?@f6+FmULWAIPqmBOf~1BFqg-{z>@eHE z*jJok@5V=W0$W*QoYFDQ9p|b`q8q6`#?DV{ca;vNXNK?M_}nd_{rYZnugH$+8mEio z7&Wp@MvtRJc2!kfp0_Z1Lah*)e>UoXOr#i1b_G?af{{`3oeYal`|mkVH5pw9K+(kU zWjl`F;e0pg_!!>GM>kLb5UBU(cI=NItlAXS>!^UYJl!RoeeV)m_%%ycQnLxX0Yc>S zd#df7xh;z~ZTTUK_alGjPyE7n2P8`a+T&>na5Si@^vJiSzO@!rbTc7qui&H*%xMW! zMVF%fHfZitbWjN9v_vtD8!(Nvht6q7<=@dDi>$~C&r?g-$HOA5gutu{l&Z#AJ!udu zyXA56)rDvJicE?;L#vOY z$pQr1dVuUJ2v*s#!xqyNA&8VXdW7p0tL)ecc1o=77IdYJAnkZLH26<7gGU5$BS<^$ zf+f}hO{#&q;v8wmT@c_eB9S@j3q!w}6&V#3L6AEkBBbELNT6dyvEwd@bA;>AVZ_}6 z!vLBk#g2!hK&vT9KuG-qi5+)I1eai;qF=I6CakzQlkK>M6OqKz?Iwm&^WCYz9=7GW zUk;%r+jO`0{&&dk`*;3#NJdZLWLghieo5=iM*Id#3zu+tt~Gesp5+l6&`a#iD1f^| z0Zh9^ZKyb#>6zRS@CS&)AsNih4-aaVLw+F`$x0os*hzCUHA!~vy( z`bV1VB&5*0!G5dFHKgIt@Q1!%VE^($$#%L;*DsuxB#wE7WUI^gUzPQiswBJhlJ#YTk6l7%nfap3i}N6$^h5Cjm^OjW~d_cX2y?SbymwpZSIf z)1^uu7EE$u6tn%pXi-!qS*aPJ`$qxLSWU+0{+-o?bpNd{kiCoIhMYt9Z{f8)f=E@-Dz~ zW*9@{n>CrA)~xOh%Epo|Km}j?kmGd!N-@XEJuCB#7nHpV41Lk5fb1==AC1Z0h1&8+ zL4-BOOmhA5go6?GJMDKa1B)Dm^T_SPE5+f^5#N zwZX}rFD7iuicl6x3eh14+$e*r3(%j1GAbfLbhye4m#km1uHGNOmO(*;jy7G&4h9vR zBSCA4qo9w<3c*TOKcVerqdJgELG(oVpc*I?AHPuDV_@%xxs0mPfUq>mM*n>XwxVlI zi?W(U%Zc)w{J$ZY_%}+OCajnl{BMxKAB4H-9Y<#te%%C3A;151uqgJ@ovRY(B<Fz^#1P%6tEVy-85U7`>6zV>hm%4wRORSVA>kO*1ob@staOh zn&FL*%{_^UQr90v*KXCLS*B_B70D?j#(uLUhP3X$%9to%?`&KTaG^CWX}Zx5tblUR%+z#wxFUyHSX@&iSK)pY=)BZSLFszl+CpxNPga;a`-k;mC zKSS%-(jUjMLYLSCJ=OVWN_)O%3-;s^GEKWhvjw}IMBqog@yq|(?-?yKIw8{-YjGq3 zms_wB*9oL5xGaglfqG;PE>F@M=iss=0*C66Ik?RA$Q}=J6bp~y8A~D%M!uJ@tnN=q z1TH)2B#DCLs6U{F+!BB{KKIkygf^u&OvS9ib0Y9OXwXW)KVkCOE>gK15U5PPY=@CY zdkCz0+W#gKflu_@&pAY%L*&;7o5`1b~&i}%mxhY|2A@9(OVV3sllG(LnBjJby~_u&jNnjK3wajth{x~# zSC{e>v~9hZ(ZxdzK5{0_|M-gjxB0r+q*O{qw*mV+72$1|#&#Bavs9wPj%&Ac1nX#w zM9S%|W@yj0bhf3h4_o>ZKla&+pA2Ff?dA1!vvu~OA-OO=EF=bx?s{#(b-|NUVrffV zFoqp$S7KE1isIpWW52iV>;aZtpyXDSCllPlL~+|S&1JO z-qaf_NJj*7YyQ)YIRzZy08$iXha{n<)p zLW3YlKp$|u<~6LYTH4`Cup2!7RRfw^Z|q-=!Dij^ig`5i>#$j~yk*^o0Ms^SR5tSo z@bi#N{2L`sTizz;tx4>h^p*!PwbZtJpU85bm8EXy9!Ln94=4{yIv}^h)IIXt1Ify# zkaux|W#DTMa<@0&+%)-A?8~&}+Y~RcFJJkMZ~ZU+XwrBx0@j-qH?p;S3dFn|SjJ&2 zd-KfgE2teQnz*3Ofq`ImFpAy~ln2cO_wdJsbN~ba2Hg)q(RePn?AX=FTKnF*8beSt zo(b;IVF3L^!L>D1hM;IX6SM{l2<{e!Dhxrv+;Q)48NE| zeKG+*5w@?wj8bn-P#Of;`NMo`I!RAE?Bo|Ax zrPsfa5`)-zZjcs&aUn$+{Ek+#xp~DG1c{jfPGN^$gtR0*Rm^WD*Z(8*oZ4?maCGC`kv)b|_uaXJtAo@_B8m z{pD#1XB9hC&MM9qCB-;C>NS@&%x2|g8AXT(kzkO6e)f5w{cg$RAv;K80 zyyV_@-M)98w7Y&qd(eVQ!T?N-y)GzG>@JDUY0!%>P2X$t8CBP+^ImVYaM#VP&YqiM zZni0Au7xXS)>Y7F&0V)}z!x>=*i60k;)AO*2UMOzz4Z@&^>6-y|M!=@;SKlR@^siY zj~v~KfZT#H)NnIxu7zW;7#TQN3kQX9V`{SnE1Vu|rfsY1RV{qmfw-P49yUD&4!V|p zjv%OF#tptLhL6;CcGS{E(6C5>$Pwl#b@)$?70kIQevW`3KHZc|y<#Gba6{&Q?_KUM z@_1T!K2`EXJn8V;;lxzfX(YL;I76e?O1|>bTTUx@w^f{>k!sqXk4JLskp$0i29fAh zoY9*eT*n!Nj^{XoS^O%_7=ez{(~PsJqYTN{pC4q{lX_c0#{R?C=Rj{80A+x@L30de23|l1{`whK1Yd8xdiQC zguK>xn2zJu8Dw-uCYU5c2_uRx;fU4ns=$SDygO5ZNXJgz=IHqj*;pk^bVBnFpQ8N3OA?^0sbi-o zi7ZwnIjZ8W!Z1vQv5W0Gq zB55w!sFbXcErIH34$l1W(soX#T zcO(tgb7R|hYAy+n=e8=Z*ur7}9XgR_)ejDV;OJQ~CN5B_>PPl#bir2A2CQO9@k0 zlN-F28x|(S7gX+^a2Sa%T0214?Ff<5(IFKk9q#W83Xx3rKNgQWw ztO9^jtc_WRom8J$hn-ZPS%+;gs_Cd!%sT9(R?Ir=q*knwOefW1Mem4M7p%?5a19bH z<|NYzg2lG08*{5=P13I^@F*-ym1K${{sEb|%5seAT8$@cEVXV-N#3}=UWxu6*q;?oF8>(dtssjmhh8v)w)AheXLaVL}gvB#D!Bz}to)iLy_L8o-dMFm0sG zTe6ZV%;9?*i5i-MZA#P#Cdr@ROKg>Iw+Mo&%?XN>FrtZyl%mzZp$W@BqMrU!@B6;L z_<_*Vir;SG?Us=zY8}0-^4dDkyMedMP&p{{9Z39JLF#GS?Wye&SQcvj-4>blW<~1h zBItwdFulgOGUCwG(=Lcv5oDyoitD9}9t|+MQacvGbw{uhX4gOmsnLlQsi$4gnvTHT zFK|h^xgkhB?Sk87$LXvP#Kb|>Y9T0kx<~?=gz_dOJAsnsB^63)*m|271Z@3!YyHS+ zO$pOoas^UduDL@xo}kleO5PL*>GqMy@}re0j7YGuBQneN<)+(X(-U)*7mc?g(-e zss9Q&OC)O*FO1X%q&Xo>hNSw#WMC8T+4)6bdy7UK>TBD9Y0D4!>p$}SKlgXv5_Ui* z@3ID0`#lYnPYw$d}}6MT@pDP)I&vQFRX+b2bXNN zOYQ)|5!(XoE=-Hnn)&X4I2}q|ej?1HtIl(>ewCyF^{0FgeK^3Gu6lAvxt3ic`=E;$ zbFgi^m;gH7JgSRsjJAOb<^N*6_>{jNIB;CT)#Mp&ZQVecLX&pv;Z+*_%X~>yY8+{X zQhgojkm?u!t(54O;$u?Bb!MNy{i@Un1$LG?7cRy)J|lv2O1*8}L_7m8G+g4>fNwcQ7)h1~O zAL-@lcm9i8?Q3p9ZYygpw@u#_41~NqE{RK$qr<%x3ZLpc#jQ5U-5TUQy!IVB;}iwu zztX7Mr`A-x+jptsyGVJ9O3i9lch324`z_Bd&RH!8)Y;Du(mZIiz zIgNV8a#l|r3SMRalSwt>f&t)0dZo_CDqV^Tmf;>l;7uwS7mQIH0IhJv4CKpM@G^>H zvjp>ZBbc+`WfTXJ$Aa|EE7nylL#e2a2}T>3elgyLtlA9zZ3_*CkrnQeDUOJ=oyT1jRA$7v-=@82)ygp!0Z zuZo}GrBKDoVSLT?RBFHAJ~xXUvg@_MtBRii{KQb;p3$k)erek%j|DPsw}bEc zY{`<#7PxG@iHL|0EikGeEr8@y5dqAxOnx zTTdOfXh))F2{xVHp(RW1D=vkp1|_yFylT-fv7%(jT@bxS;{T$)XBFKLm9DqI8Fcwo*tlsQnQ@?U%6g(C634HS6;`k_EVS>CWDPk>!Vy z3}5ifD_`=;c#@$&?T{>~Tnbf6?jxa<616Kfe~%>@bT|nF*DGX7bHrK0g)u3NDg@Ps zu_Ob)2Gab^Btr`a%-$w4$IOmOKc|2Wa!Md48R$nzrHlQXn(nphgetDQO*jNnNZ6N}?X5!c>}?v{0%r*dS^hxzEGusl*$PtDFd?9ZppwivAqY6s8{HaTWVQXY&;MEk)UXoUwK{kF`5r z``T+eq8_iUeWxuyqV=^7VE>G%HYHt-vY`z=J3k`wA=4C3L|p_!6b!1sIw1isu#S5*9(vN`lo@&tk(ZPduS*_)g|UO9`@cC$(Y`JTca)qXay`KDQBS9%Q~SCkhG*`iCJ3uy zOqoeC-ySMmq3lA*&#Iv=IjVg_Yeuat=}hb0EWV{`(zZK2G+f#h>elpb%WQZqd!lOf zVc0XzEdSS>O0!AHSpnxJOkkPO*^&bITL3$suYm3Gy)6YiZTTSu{JvlQC6}L-VsZh= zmzZ3($e;;*p`rG|n2G{MB{8`P?iR>Viy)BB>IjCQ#N;LjO-0^q1n;Yj0h2>eVsc** z@L&~OkX(eWWe7@4URJcC)OM|9p)4pdxe20m14qQ60S)j7TEBw2l$hKE_i(+%PewMt zib8(qQ7xp3qVEGHb#i_lF{=fBZghcc-js~0sL4V0%*}lc98HXJN)igj#*>7`&3(R( zv4``vbj-Bnhjh$$z3*p!{cjtivxs8)W@iA*2sW!A4sW9TNL?HYZ>l-w*jgEaYNkE*SrRroq_z4J|hd~V2n zghsD=Sk&!xxvVz-2gAkiqH& zc?zn*=v*s^q=zv*;1_6AWpIsG2H8smhlZrK;_1V9vB4ZIJm7FY(fz8)yCj*Q|G0LP zx^+#wy3KhiHqX|?_(F~vz|lASVe4N>+8q7&EU8ei5F^XlNLKeq`BbqcCKR?!0@}Uq zM;9_vzOop6U*DtYFU?i;(qJpSG}!XU{rF1*A%AK3@zhI$@2i)F+eezS>Vq_-ImH<&A3P({ zcqabO{Fk_ zbu>zgcgrA(^UXSzX`PDaKmnYgV`V#Z6jgR?>5t<&=@OghQVy+Bz1hcf2Iy|=v5l8o zWczC4nshhQmZ#RpY&z5&|J`r>)^Gd5H%9a+j3ZtwGWG<#wT6`fIMVuv>?^$IVzHg5 z1U)|r;2hwb5z}OX76P(Z1osb`BXhKf4R=A1noE8ba3rZkXF)MmCTNYU0C0kIfSzX- z6su%{z#G8Zg&P5D5C>F;Lz2;~R3U0PW?%-E_u8O(;uu?Mj!3>bp!=TgIXcJd5 z{#3(@DBMTqaNO^m*`D$X6 z9x=r0yIlcpFKB||_e019)tG+2meRjNOqJ~?Vjje7X$J^YKE7;+#q1DMCQ6Nwszm8EPPKeV{Z=pB<`fHUOv5-aj4b3xc4S`PwNU`HB3 z>a!wPA}p{o)rTH69vXWz{Gh6{mj-)VSw-0X-jD%tUNv}~grOg`xtmu1jTXBxP79-0K#W`R5-#qhoK07ibs*_#En1&X* zN_j2e)Y<$jSKf7;gQSB-CBTu{u)PpWZXzfsPjG8iaZa~1PBqZJsn&`WbDRUF28&7n z8c}3R2*z-A}2d>@xFm3rEHS+`S|Fp0A*zx9v zHCq+f{Ge(kr%iJr_GHGEYR0pnpuu4&4_yG;PPl&aia8Mr<)UNyz(OgZa2Bi*v072b ziiobYkY@*jyG1qQhsLCIW|f)R1&@RrAB6CYDw2HH`|?Dn&Dv53ZSXmr`By&V?)VlDT*=EJrg~C%Od&PmuR5luQEcxIqNqbR2J@i?GthwIbhsX3R+ zT~u-$p9zR6VXhVObo?e^UdO-s^LS`?iB0fIeh_7xzw_h2@8A2SfDS8iz-v{8BLFA> zP++Ra9l8m$RWwixlCd-Fjq$7?VX;`-l+F+oPs{{OmohB$=F<=qPs{|3iTR;B@_SPd+~6%JLOd}OJfJUDaK1=X%2epQqD1`lIpQe; zEJUkcaWPu5@RM9HJ&8z@9OI|)PTI$dvqZ0!7W2}Y8SiumE=H(TQTa(S-yO8?A`a%2 zqdT|8JJpaKMDRUY|_rS;7M9GdI2s7@=C&gVP@8xx5A`|eTA@wUVsap zr0t{^Py`R~6S(3KZ700|7d%PZNiU!XKB0_oqjtC-<)|@^EOXf7&O#dxb{@I`e%NOs z(p83nAhq3|C6d6({;AKRd@S4JQCKD5ZlCD9jbPaGf4#90)d0wqe9BM!TVKA=`h?>LHU_;r!ZlxiBv zXNWVe6&TrTcCKlzYHY(uAKD6ki$|7k%?hD7f8`H+*%$uAuP9I)O8Z?l8UD^Li2Tk%3TvB=lQrrUbMEMX$s~x$km&&`P;uC{ z26ShYI|2kplq^7jGQ}_-I_HkIsO3p`umOgzO$k-GqwYW!5TgBBpy8-iQte@7u=0}Y zzh?Zz4Hdt1;X6V6x!2$3V(@OvN$PXdphV0`4c$yF%wCQWP0&kH&oRK=#Q@W8QLC)y z7~p1NfM525|L8}5N5lYnGg^apvIde39tb_y$xq9;@4?%%!85LV*s=A#SK7!15A69m z@kIOYYs;7o-mcqdCqD!QDSFJ{ovhPjgLkq{lZz$B3O!t>sfr~h3pG`-m>Q!MDm1%QUdE^eZ;W=z?S;qh)?3Z*U{oPrMH83HoG!EI zPInw(vOO01aEDkYuNk1PxS zDgPJW#3wzAA;^y&s_vil?%BNRz=30V`9z77-f^Ytf9KlD+mL~IOjE`uXijQdf8XYq z>pd@h{;SWu>-mety%(SRg7;i_rx`Zx$5$_3z3UzCjxN`iA7J~rcfLC$@ZDi?PsMzR z0jU=#HQ^RLLV|3Lxo#%r`mLY${ty4IKwlh*xs*;E05t?vwX?1`Soi9!jgLq+P{CGS zy*dak2v{)bUlE+aRGmyRy32v-3Wk-iHJT)2;jaP1hmA`M!JK47zaN<{B|;K2nPZeH z=0Y2Q0A@i6CyiQJFvnbFs08|>jS3_VUBz6%cn;@8krGLg4uWm^ggOMJh?5kjktB(} za7m*Vq2$)sb6j%NnA60m!3=}9>-s1?@8be2o zswxC?ly4(O5RotX(^|xcd-M#zC@eDjV-5t*H*t23@(-Z1)7X6v>aSLkM%>qgyQGwH z$cy$Tp60uwO`gmgEJ*^}9KE_dOW;c-$FSNVucA?#ma-5hc?8@vu1J%M9=P0*|EoGO~_+#S18H z-E}PgqEh%E8E<9}L6bC7GBL|ZnV98*b%5{wk{93q52D>wZ$?`OIG`j5!v@1Y zFcm%9pdfNbNwm%%*L8rBwFk57UU^>ZIcVUOh+MS~4Xi#r!=F8b-LgX(K+GTDNsal- z9)iJ`U?*3c@le~z>>*&_5XnI)2#2Q;%pO9F7DG2iD_D65WwaPPBx^$P%O177#bBvP zG*esAQ)=&m*s^Tu)KK>9Y!>0?VqNXS9mnFHKDU@WH|$I6Y=8%~aV*y|`3$C3gVWNRNKp*~@Gs($x}&$JTMa6kXMzyIS?8`-di zvj$NFBB*q>iYsflLx&2T#z2S%8l{k(?9iELkZoQ3BQen42JE;_P_(3N1;`yG(O!`? zYq&yq#k!=TC1b^`;mW*Sbejo{K`u*X4HsH?(aDMtF&HhEpJb@v#RBcVwRRQoh)ZrT zpj+tG4lpjgs$_aZkz58xuqfg!`o!8b^W6#EzTE6v-QH9>UAbFwG_Gh=6RQHgn;1xs zg}kV1>r-0VW&H24sK&qN-yxa!H%g>ZXPYmbOP#k;>bx`UJ3~nC4nk^r?@S9eXF_i# z6Z+Ag`lV0*U_eOqX0&lm!8^fsI*oVgmgM7yPlopM7RHplfXIZd`=gt(7qHo3%!H;) z`=FyL&Oz=FDN~@67JFj^vnLCYQ}zPLi>bNQ1JI<6)y!Uifw!14^+5o!V)g$Y;%?s-f6oa(K96Jceh?mg?BPo zDlZ6Kd{{HPHI)~DIxa@Q5<}JP7i}0S{0jC<01C*^PRO^oUk+3ROOq(`Ffj-uk4kcnL4X@bk)S8vYi4rzSn6}qR$l1rPm(u z9DdzI9@%Uzg&hCR9E{r!@Ba9kpZT6u;8cbcyS3D^a@CL8asY8aN(iM93ihM6O0j## z@lY_96}$0{2<#M5OdSwq#lG3^bjAyipG{S!R_qu^1Pm#a)_&VlT3NC0yACXrqTN<9 zZaQn>O0g54D#~rSmsv+4II7$jA*k*Mq)4UM(#E(}%aO^k=%nZ{7q)*e5~MOBB@TQA zVj~y!zw+(T|DjYr?#VeRWF=6gD+4xQfIxqiQ$&nlbBd@1Q}0l{^sUka zgyK#+fN{QXI^u$%NN|3W$&`;1Zg~75U3Ee^1;+WNmx-Q1nC~RAlQ*P zcmnhQ>rR^g@~Chc&m!2dfm{R^(!`zxX*^vJg(U2pYM2RE;m`)h98p(Wj5WirOp!`H@a~pikQ6sMw zHZqq7lQ+MDs(bS=*I+;1Twc&G99bfC@vIS$V$9X;Z=+H~OR?yB6%z z9@~*j8mm%yh|OJP(saEn;Qwaoi#vmjE3Z(q?zd%g^^J_(>mk!+iy8!~4vS zQ1NBo7av@`2P@lUaZW`3_<8S|l-}%l+b}E6HCp(SKEHP*9fpmb4 z2_i+~FgIq%El4H>_6f#C>)p0BVE^U)H^E@eT%qvTtVm7ZhYse_bcwCFIY@wy;2CDSy=t0uUvY<)P8rS$<{2bh z>z_x=dXdyQ5?B%$+4k6C)?E^Wl}w8wPDEhNnsB}xNp`xVRgaN1z+hVKm$9$#n@h6O zCFw!Ip~01-Yqge~Y}S)0Pd)Q$8_(gxOzRB6H;1Ba^(^kRUlaBU|MzST=4ZQ3imV*W zKZ0FHvqyT_5zOP)-KJn3R{su}GN)!B?R^SeUfBVk>)Bc?0!%*eH~;BB_}LFDbX-R= zkOe~5OR=f8^+H2H=msG1yelra^Fk1?bZGCc6N|h7E{Kqbd?&cSp!jWp7C8fnDR$QV zy;!W<6;qBGvD2D-Cj`2$EiAKxK|AFPBp`cx38H{W@1a3*26DStkO>Uuh%lx-$GWt1 zSd;LXPwS?4l2vJm-}pC5A>nDd5y?2^3HHCVIt)AQHe-1B zj@U@x#*l*UmF-eSoukWvbfkSk`L4}>=VIK&2G5^XHnDnLV#CqX6MeD6v#-wpWqPT13%buGciSA4 za%I7xpEjjS^fodo5j6PpW# zck@8R6e=PM!n>&H?JGD`q9^3#U4o(k88Z9ZKcwbZOcK66y?#o9YowAK^^epEk1~gD zN2iT$2Ue>@3GQQ;e+b7~_dz~5ue&fVc({53lD!QxsQ?l0jF&8LCZc#GV`Z4b- zj!#(a$5q_;&G&!fGd~d>t9mn9!^@$C3PpgqXe~=^csbsK7J9qvnaON;Pfl{ph8L)8 zfFHObkj<^B%7*vk$k%LmZPK6`zt%a(hWCIHfPj=?`-}o2EOa)!MmL2OJJ4uVMiQwO z382iJqT>qVq+w1Guv!WumaGgfnJjQ{mS9$vk95gV!%Mp<_VLP$FY;|-LgR~=JgtIb zbWffl zpr>`R!UK~pOO-%#p`TunQgP_4@XCrilq|Fw5Q16Z;aU$kN9ZvO5^42VrSO2Q*7%|0 zIgSZRy&WPo~Eo5%FI-l zJ8Nx`09~MShyD$A=wG71kEFCF_BQuyypcbJ9B)*<-A-XsrPDr9|IBg4befept~g@M z`k~)zA+C7q@A{!%`pL1WS|^8P(abve>UM|DPL@HU3X4VDK zq)A{mnQ_~(C6jETSdwC{)Zp1ft>#~|88pM_Rl-tqbeW-oW7w?tG{x3Uk*QbgcL$ZC zIqcy)R;#LMVz@|E6O-*If{6o`HrWdlH8Dh)=roEl)&!4RES^2RN0gL1=d;Coj23U& zEoy_JIg@rXoCvRe@Fu}J^f*TAg4&%H51Azc*4kOYG}7YvIR=jr za(GOT<%WM+JQpmSLz_hh1Y0dgi&q2-<~Z-DzX&(R$3GHiSlP4z4^T6aU6zpN>D{_F#V;2OVthFmncG8C;gz3f!$~<(Sy&5oel9^s z`otyE{Bm?|H&-J-B$s4|x2BD@NhEa>2vpS#*^Y7sx^BRzAN!-7Z=}VH&r{l8Xj0PtsQ;hC9*KrD z)KAj>XmLBIV{OSdJ5EP`o@h~8r57K~-uQJvRwTa1wztVt)?qH?G$VjMC_vGsDMzYp zZHjI#<=jju=M%o=x!?b(V`Ep@|<45@xOB==VmK8h7vp<7hw#Z5n_#vwfBh*USs|Cpo7+}QYyX0iEtFdTw z8R>RE6s9rj*}$-M@=0y<5Yw5i>W0_0ZhKIz8`}0S4DX!DJTGLI+)oO5?+&t&25`43Xx5II3?X+oL6AFU=kfE=T4CZUTAyvN4HrgC!xk z2a2dUcAah4&N{XwtfdH=e<0zE^EEez9U0Xj30C)d%~A7R!rIgz+?wuUuJwyLX4Zep z8SEmSqg*r9SBc&H>dC+eVcbVfBI}6Tl!We$4{6fe^Z_cKElKMpp0Xy*`^YnF+pIZK zzh`C5alYG}wMN#w;@J`+vUL6pF_};cCKE0Ww3~3W)TNhPY&M3mxXr%AZ+R_Za(mLx zHR2O|1X>k;IY*Xn4G>X~|MQdQB z1<2Ft+w}M=i5WGk`8Wp@A60gnW%WEze6$Zi+9ZcP`afLvG}l8r#py7jm02vz#XHVS zsOTPr95kyzK3}2tv{^V6{}$(|QRTx-`wM+!vOCK49CVGcosPd{JuvN$=;)l{rEs*y za%!}a8cz-r)cCE8zO#2?MD5P`(&>KxjdxB@yG5;KoQsb)Q+)h4pZTOu{>BK+G)_LA zFYOjK-p~SS7_i}d=@{~}#-u`d}(1a z!R(B#O|0g8X<;%!yanc}`eLelsZForXRp5dLl$Rigkc6WFMZJU|1HmGQ-$0@<&{*{jO;ue4w_B#$poReP zROJ#YQdPSk2KnM*DTp$hB2Pk)s@es2-iZeQr!^9Upr~q>JSL}N7_dua>5`Kb zTcfyYBB_XD>TFjNpbUpTuD(RrG@9csdt)XZfsS97o;+i3ZiYKA!V^QDA|&ypJVLrQ zNW`Ag+tOgumLJk!U-{MF|9k(Va5fbw;dKDRO*|Dtbl@VX2D7hBgSjBe088Ygk(gX| z&5RgD-Me6n@di3fHCU`j4d#LXk)bfoL=6W0@X(oyfEug_Vt|LH*q0nhRtr*txnN}N zF+@jGKXGVkFc-9QBrFZpc~LhZNDWp5L8~XK4p%J`-7?6oLb_o98%c+-BvSTm*ReR8 zzGlJW!J8BGT)u93MqM%hc}P3)r>rFT_`2k#Ao4(|%8EgCo-{Bni3*7!@-Q&9mK@cz z)Q&wZt6p3K#tThZIgTppRHHE+B@-2?%o7LFbC|q)lE4ZeVUy>Bzy&%zXS`P^K=K?J zs2GSLgKlz$in-EISUq_CxrKs9h1X*|8J@rx$O3qMHJE)Q!-XF#UydZK5_yq~i#gwe zvA zxt0n+cyT)I%yz?6$0ZL+8Zk1h6`0fJH!Yq{NnOx-oFTY`XtQEQH0^g7q9A4u><$|8#Gz?PeZ|wI_Npk4 zNlfAw43^rfqP%uL@hq1DGmc_6O6|FSc(+8|Q%(~BR}T`9@6PG+eRX?|m+wa{ei-%u zIH#CpoN~2iGk-IZ$vmf2z3q6%*j_z8s`z`%0^I+4l*VX!J+^ z=a2u_|7a9tw7TOVwbgn6uU!)43sxdDr?%B@$@%)+4)Fd-N^`e{m6edu)_~cjrSTO{ z4l1G}Py{jgM+4x)3iE~PnlBvz7u>9XIb#64$Jp<>ko)#$=m-?SEfR|oDQ^K%YgWwl zbDQCfNEuaejpl8Qdy}kTvVJyENDb0ZV$ZD(|2Fm}e>L!RI?7Ppx0t+;yV{=74HPHC zW1lv8Gx7LM6OX4ppG2z#u$dt93TC+8oKVT7GV>SWT-!Kdd1}gu%eMg@3&p?Y%RlZ% zzb=9kjTBucdBO`F`kVsKLNSMK=K5xn=0lYh8ie^k&N;6It2AFJ6nBK>)`C@a}IINA-+CHS6}#-|G}^PoIpc>_zrf~bBMZyWEq@on7}1i$$fH=ePw6}7c4!D zyM1TWf*~lOgRGCTyZ11H1i(6gLQp~n7d-6oJr9jp;F=)|K?xm9kfXxIp6@}vqshh) zl+eLfT<^(}fL^!-`&@_~2tf%QOc3=%`W&1`is6WjAkDPxMoS9EfP$-q@$kipVy0d4 z0G~tn6u{0ZEz{uZj$30rfm?qxlAve#EAw z(vMO-S_~6XK}z`vsQ|jV3K~GK;GFQH*SrHb>76$3;Cy%Kf(f+%lqW$mB}^-{7^*nJ)iOif7L&Y z`EZ(s@QBD08`gl1EX`tLcqz|U)LjlSPI?0fg!u6xD0juuInio>=eF%2sIxQ+5UnsW zK*M93Q^{ExpzNt?DX1DJL-=!+2C?nZ$X?dcsh$OMmS($H87mCzOMNk;nn~aGgi?@f z2la&Nh~r^Kq(#auu3lpIy8^k!$?({7jwWdhDA83?Le#qFC{eM%X*o&4xDvXA)xFkm zID6Sf^uSz{c#K7fX}73V)iXNbX3zf<98)f3GVtaYz93d??5Phy6vJA32TkA7VI%oBBnB)~m)2ya&Nh*7B*>4} zd<}-A#_UUzyi0;ANLvdI9ofwD(MPR$gsu&SFTSvW9cQHKo>;r?6}a{?hVj14}7ygYu{ZkRj zC?3&OuOo>uhLzYQlA0-9MMnK*DDNJ{6sQ3Lt(m!J8s640)mv5!>PU1LHG)*VE?CMg zAYYaysJtTYlMC+GfI%_bLWiZWFK0ojUKiYSD@6%xzzwNI68j40NYz^eff0cA19c>I zYjciNy)FotmJUbQY?dpAGWpP=dR-FJWGShfWTnByI2R%9pQPX z>VXBi#a`!P-FA84gaVF^Rm$dEG0Vj|GpFb=LI9_+M3nz%@@k_%}+VLZX{!{|iq}d?dRG7v*+sJAhB%Bjx*=IovJ)2V!83X9`Z8Cc4v& zP0#ZMxV_nZh{qK8-uA&)#%aB=7h6yPtK@#sL-Z@I!X!&Z0xf{OCtBGPT(S(v+@X1| zy`uC?Ul`Vh&-6~XRH|!F5A{V!$)gw12w&pbm8rsxZsa2fnwB6MY>uw1tA6cE(B{b- z1)#0g1ANVC5A{`fsISUHjeoO;8vj;4`9qC=JL93oTJ1y4e=@Qstkr+0`H#2wP`{&v z+?J>Mvd35pK9SR)6`|M&SPvbzbLLyFKsZtnfFSy<3e*sQLQW_dZbwDBTV8a?65+|h zGuqvI8%AI^uVx8x!c87~~ zb^Yt+`ei%qkMqq;@$2QqnzcC;-Ecn^_nux0iY_i#wqt+%VEJ-{89oSHI{CE<-T>dO zp=RXG-`u;zx9gl%Str|l?-E-@7- zxi*(t``XIOQv_d_C|4HjyLr0w)$_FU^8f6-gPYtt#<5+?SX0I!?7_SD;&Wf{9xP(# zhOPUlTJqp3fA`=jf5-j&k_L7wUsZeYLRM||n(rB`3NdhkxU9=Wt~Nk=i`3!FQC z1G#|7cZF%FDR*U9uAK1DPs?4b8@Lr4r=2_Bn$cuceluHDR$ zgNf$?P#pk{9 zN=O|wnqe}JTi~$2wQn5)~`Ho)tL_OTRagne`Vj34#{z+*@ZX(w+m%}U_|=7H(Z_L%l2_tB$&oYd-=gG}=tmfv zxJ9S@LVoAJFUTC?2Hcx+i_U>>y!dzj%CAc`MD0>=2gCb=Qlr&UENFD-82aB@c}m3( z`3ga0WI+iFOfWTCDgR#=)KC_#w{rba8I7GdSHpd=K1MJ1GCqg5xZ z3|UYjLKC!+0Bp395V%@VLT4A$femc5+E|@4HANxFC@opI7*2r)t`xOa!XfjqHKFL2 zvnN)Q0q+8J+g4HnN0S7}BU{lRdDx-S+pH-eqDk7_K@7UktXCX;)ES7{-W@brg{M%+ z7kT{rpE?O>v`VthJ)u4Z8m-DnKis`28;vv4Y*IWWCI>u#8M`r-v#N6ruc1b(+2r3{ zZ}qfZINHqFp|P3Bp#?CV#hIb=HL42`y6= zJYw>1s<+xnmeGtXBue?vmC1+eAVnNla^sK;1D#F&0oWRA!gknD2v#QF#}=Xq+c+dc zP;v^kiP9<%NMfFsq+70k$lBzCr$xvi58!81%u-r2n|#z(2~~w5???*WteH*zMl%{n z8!!9rla4iV=KzdV_>HjU5~Pmpn%N}-zds57;lwPL(z%&`b}fmy6SGERKChigpL)$n z4oBE_)Qr-Gr5jKxQd2*Wc2NJ|jv-5xQhMo zo!wPQqDMlHno?DRfIz!LQ#8PI+NgoB^ZRtss&)Vrahdi934PU?4uSgk+>ROs(g4+% zNHk-gRRcrbATOssPbp1c6MF6Ztb)Ck5zGyStUZ`gm1RE>5l>sbHIGaef7+k>VSZ-1sr7XyhY>{cWd zsZ*vvCNP?dliVwtFMd(LWAbI6uijK))yx&t2cj$Z!T;-Pww?$@Rm=KmOf19tHO-dn%@;t{t5H&Zp-C$!I(x}wn{$5^U3-ieARFI^v?>`6ulX(r7eY7OglN*MjrLnk+!!|ZylQJ zl`U;C$wU2d+0sV6^|0(2&}?brDa0~R zTR>h_Ar^y@I}Nd97HkU95Mos@IpF^45Uq}>2s6w)I1Jg&ff))oHAQ?i4-S4UfDj#* z_LipwW9U!yr9u>ow^MIU2)7ub`OAB*uQOqJ8sCY_w|1J*+@Jp9%YW-DBT=VUeSI5K zz&`^$*p(7H)q`E>FjV=VZ*cR$3f4wSl?ppGjWTPn*NBZl-b-Rm%*hqfm(ZSuT`zjJ zK#ztRyDJ-0phrV?E8m_Ic5AZkRy7)p*t%_KY+$jA5|$6DD<`UM6+Rc>613r?4O%zG-5?wdgb*60x=!cXC zHcNJE)9nbs%KtCJhe6EW%S2ZGKdu46iR1(KjZK=Y9gDVcwMbo}pC_|67ByJ*eYiP} zK~U+Tgq$9B80WyH^M9fIhCWg9G`gW{2n_}8kUUqd7lr5A14JVCR5hqbbdnlOWIJ3v zc)B$WEi`iFIcmB-9gxvu?9XBZVz1JmL6Bh8pwe@->074#alUyZFg($-iX##v#&Y`e zL~%j<`tV$(|H7Z|OZEC)KThx^pKMok{qBGH%RhEZ9iG&3SskV<2RgzV<(1VT6b-^x zdY}g+8ydl^4iOJSXHmHsdU4_>81@cW@G!s|h^Uwu8Jij%6Pr!-Zk z4GkpHZ_f26c@W*x6sfAl;R;7qi{=@rwDOr|TZo z4NWRi-e3J@03;G`w|ttS5Ol4_?WxVv(9ZD_#dan?tZG}vdUw^-X}74&($3Y?o2jP$#P@#N_k40p3RM~?&vY9p)KmL134Fg0 z(vF}&Q`_i*1>m#+!Hwn>>?^}96~QtQdxa_+ELmQWw$TM+Aa=J9vz!HK8(lEwVZ)>; zGBXZM+vtMG&R`)1BwMXh#)>y#@`}%!ZQ7%lJF|OtFz?zkwEp+r<>3N}p@{PF5+aHZ z8U#`AIm^)GF@Tw@XcHuCujO-AphwUtS%Q2#@tRUrg4@Zwv~8j?tS0X-K2qAB+i8EC zZ>Eg^q5#)GD8Ck2lDvOYk83cFb&f=ToJdL_jc~XI9>^Zsb1m2e11UAKY0J0Tmo>6q z|DhlFh?m2w)`fbSgD;sHTZ5qC7xFasMixO?t(Sr^3m+84V%-gmMbQz0q-P5UrMuVwJ$|^u2 zyh?>&Mpe?0b0!wZU<|%^cD0tFr`G~O+OvGF(wp7(yK~#8-J;fT%x?S5xa}YQv0wj= z?;c~P0rCMoN@Na04!+HQS6P3|frH6FwREjBHFIq()jv{Wke3D)f2}Nv|iS#=dYghi~3w8tguM2z=pf6B~d&(&u3EV}|TaF|tR^rN;&S7cQLm zD0Uw%Kn>1%3!VoV`vBPTq*zsfY*x{V~vE#=_ ze(X*#I=1y|O%5hEO`we_(rhUpS+K4`Q=vSpcgUe3yWjGlS7J*m3Phb;8h)W{M`%fC zW_A-Kt+X*dbqkk5O`S9-|}}AfcDi@pojH%*Ur?$md@N z^Z3E?>FDEC++2ai7O(d$PYWHTzy6olDrs(#G=kg`)2k)T&Aj%4T$Rx$L}V?#sHSMtT8o-;DQIuD#GCzAkVA(7>C6Uk>@1?fI;UA_OIj6;KXZ%5 z`si!%oj@0NbB;;1a<;is<1EnBUOXBqD^7B2l6ccJfj9h zU5HaH1z}ol(vo-!B>fRRhpo42JK`!1e1-3><+%70i5HtZLhwKe1Qicr1z?i6Kj{N; zLXtk{500C9eyz&H+px8gdSS+`sxs zU;dv=Xi~9xx@?405K35)6h6UZ?mAdH*v_#g70=Xz3#B)BH`~r2G1x77({C%=xdFgg zvkILQ33B?OvYpF?4i5m9P}mMKY&qLba<6K~BpM;xtU}HK1TDgHixKwOcGkfyIQyBQ zr-5H8^GiO-9NeOm(Q&p1gT}Q@>588`2esQ#;z~Lsc?c5Fx`*(3 zYf|~D@BYq@`HpB(*JQcJHD0Mh>T7Co6rQ~nN4GNdJJ%EW^81SDAJj>CTkOExQWm6c zc0tRgBF^kq%eqLYsO%!R0w<0rvn|I4)hqHAyC7WOC6qWv?}3Z65**b#)CJ-0*J;#N z+vGq%_KE+|K%$*X5>rVWupEcF)rlk?b@X-146CSeR|2ERp%YDcXp%Y;^LSwub98Zc z(S>Q2Il5>@ns`?2cIm1A(tAGPO+P=@S5z`{qckfeGxu0&R+{wK+n=eTi*An&Q2OmK zp7gPgMHirZCJiG6VI3R699>|nH^1KeCtE}aq3M4}U3(b~8wQ*4u?KO1(2 z^F$X!o9E1>Gq@lJ(%VpytOogtMm>k|{H4Y+4PT}1mO)GQFP?}DpbJg>Ya9!Pi`+WqRW%Oy6U3sQD7z2hk0q;GFMyE!3w%5>Yr4iU($P0T78sNeMk218<;W82!q$fXE^PZvsnf|x`u zO2EDX%7A*M2trv2$Y8f#3hQw`v=kAowFz;E&H~?}GyPPvl%d;Yc%^Y@#-Zto`k{#v zl~`zdSoPYk_)NGUy0ZvoMOT&diczD*it51HNg78n=f45A-dwh1m3+<3n$udj<`%zD zD@ncYl9*or;!aB9C#Zk($@)}vUeJ_8$W-PQR3@Kt)K;RsMn=`E0}T4` zf&wmhbZCKxW&^5o?j=1B86oaLQl0XyhUygDL=>|p=r1Y$*t0z1`%|>ysh_aCsK;r` z52?rB`QER-|I%2&x=;WPjaMf$_)dj9}_>-28AFkuM2L`PbH9djJCJ&sUb*b#|3w*%}P=uTUdQH(IH67TLd>S z`x5Fb&?YP9F9ey8a=|ieWV?qxX#{C`U9eDZ0a|7zI##6RErRP6jwnF{;*DlSvAiyc zb6g2Zd52_};s#<#vAiLZ699NH?8h~F85D>F0o6Sm7!uFM^$3_qxtOurs>kLND z+w3vY1IY#|T%D_!Qyn>Pvs-ja!Ow79q$=Dvbk5sgtQ9iLlD;5)SAA#!6B{k^0` zM4n@fK(q|`l9xyFUpIr>SesiLgk)RcGe7hnJI3n&HzmY zM`uFQN+DJ=Z%#AK;nO=DDj^9=!VCrK|gb8`U0#4~ogkrrAcU zM61T)Q6iT)HmP6H$@w&7a6Qx*)%S?Nm6IofRB_Dn|$2 zIGAf58kV~`$2vy`ie-r@(Df;kH*$Wk%F%5X3orC?bl6#i-r{JN4{A^?KL=Kdu+*JZ zYO~L;(kS9K-g+_1+`TOE1Y*WeuwUj5wwaki#&0Fqm~1CY%$GQAir4Cf8J0M`{UI}tS~zGzy9Vo|KTqi!=rArD;tbCB;k>F-Y{4e z9XQq#!(55O2U!nBBB*i*LH^DzxLb0BEtD*l7HZpLcvLj?Gl{@MyGo1|sS;HQXv*{(2Rs$G*N%hyD)opjHL2Z82c&Z=6ox6-OmsN#;Am z1_gytp~Td3zzHJ5j}AV0#*RX~Bn_a|n)x1COvv-xN!ltTM?*a|1(9MZ*5E4AOCmFk z0!c+jGfXP>olm(y`Zw)}|6xOO3Z+VX9nLyPp!-}}8E^}}NpDRoG6!Z#DIb4wprIwU~V(P*7Y z<-zThu4<+BsMS-}c$>ox>}jI$wgMZ?hprSJviYXQsG;i>v%W)l0F?%{3JrzZsBWSz zO6jI$-Z-N}!kt|I+c?AN2zSD>?C_hpL*n*`C*Sh4B&>>>!4^8-i<*JofP%r$w&+GoyuX@89ko2V&prKovgtBOcas9H_ew+eSK;vb=D49*b zZUrNglPt7vo?D7}wlIiVJ&6QQm4qrI1UI0=YF5lisFEnd92aR)&WFxPs7Pxq*1c}# zoP;WAt;2zYVfDq(11lE;5^0G#$PU{IR!Jx*FpxgOwxTLI~juvxQ_fI&bG&o(Xz!X1v-9J&%YKsmWnRFJCWWGO}l2$|SNUwV% z-=IjVS(Y$v(5PRbG0**nUQjQzV!~!;-U)rOBYD;6u1o{9Tc@vm1Q zdldo?duOA-zwc75C&|8W{Ej5|C2cgX39f1^~Qh;9N1qA>A%79gf}8HhIwD1v(0haSwiVs~m(*ty~d?VauZr|{N3ER6t~+lUt#g8dhn|9Fcp@(C8f z4kUCrrQU&54i+Rhk$R+dV2#Txex%K-So}KL!<-M`b|e7ELI;Ik2=&Q|SLGuhQ14&o zBi5jX!;2dG@5vpPP8b5!{<0m%U*ml9*ga6ufod-A-z0FbLv{XjN`1Y)Sm*S}dV8_1 z(mQLu+3Dw4<9v7N{MX&Zy6OanK)XXe{s!j@{aUVny}Q`p`?z5csP>obxc<%RVv`B} z*N2Nub^Q>i_ph&igY(Vzf3pOeXLWr3KE>aSHc@$JS-5*yG4 zZosciF)#RsN3q`QZk3 zD_>Q6@kAxEja6T|dg;}dt{%Kou17AdT+-1Pc!6`rZy*;i`K~axR-BXGFE-4)7{6>e z;h~?FOY^Mlp6D%#ef_sMwkxlr?8+;9&EFN~Ex-8_|JPsm#L>LvQZTVBkm)eFoIIpX zu-F`an^V9HOxDSH%Ys*iqi4aDr*yOd$X7fZJQKQI^irl(-g4!GG%)yBy`osL%3JPs zjICHnQ|B#T3>CH?8Uv5X6$8OlO94}ly+BtyLQc(uN)KmVQQ|sZaI z(%QMCb*7`{hRR=?hLyO^B@aPq17LN#X1+VnjMWc=*JwWx-JO*?p(f&?s z_|!FucUb&Lc!nN$`CkIPGcBNrHWuVzVpEp5|4B-!+Lf4yr?CG!hp#Wy< z&)|tbe}iMf8W01mPO4Rs10~j;Wb+^P?ax#isQ@JaYSB@Fam#okqs>ZAU!iccsu+6sI;CUhL_<_7YwRH1;A_8 zUQGqPNSC9zlS*aN@%Nj9`X3SuRPG=WXfIq6fkhI>l>7mvsy29+Ns3t1ld83*#HV`D z&~6i$n01jMxOt-t(;|lb-G_&j5&(O#iyqI-q|yL}Nvqr{u{g z&J+Ha6lb*x8#&jzooC;%b{{7U1$f?g0bzqq`-4xp%0x&uEnTo|hr$)|>9on$HsU?} zT7X^LnMyqx-$ZnCJXnfQsiuY=4l+v2Xn~49Quit%;^BQu(5N9}1W_kr$K&zg%UADu z$GaoueE9*kpL^%KBe>L{R>eGa8j$LTfAufnAswSLS5a~D)rDu#iYMWYZcz##^&@=y zskewbSOlpb^S+=y%i(<$jLUca``#``+An_2FMQQo0%)$yTi$bJtz1a|Em7$~RD>dt z(XrT9hN^Ty{3UtkcuVST*I5_WKm#dFyRUdylX#hjMgwWvnn@kuf~f2vEl-QRRh(vY zW-1wk3s#+`S{$&ia4|IPMG!VsLcAR^>g}Ov+FcOlnrz9riz`-?SkNVratEZ3l2C1$ za!-AgRZr~~c)3+eF071dUzs{}L13D}l}vRCou=jc z5R`(VuqlUh)i&gI6=>G zc{tg^is~|(Vxgch4W>Ous#9AZ<7L)p?4|149|UBW`*B5`y5t6pyD$#$v++SyU!0^w zN=0(D(#yg49h)PVB&B5H*MmB=tcm_&n+@QSqw$YwDX(8fGOALPs&FC<((Rk09-|u7 z6WP8P?LeGs7N>EAuVl-0uKx{5=(-iO=CoJ3P_&DEOPGg#_DyIqB zAV)oQIqh{uJ@#MyruTo*cYnwjqReMyjWO~9My8o{IAFcDJ*r1TC4knW<9KX@qu>^4 z(Gz?kT@WO<1VSSN4~AOJ=Ga%z%v6s>5bZ^xqtL@6$dTYkvR`;9;R zzJKK_K5Rsfp)Sf2A(LwRo_gZ*FW^8dd$dMkr!TU#4pNPC+o4p0Z}jyeb}SNP&~|7G3&8X$iu_Zqu0)Q zOdk(X%IwpwA#JrDTf)B+9g2Ey4d)wm$*7xZ)url)I8ghP8?OP$^r$s}WQ2iUi7O>5 z#sBzHh8HWF$?r$)mct67N0B=yKt3M6?FaPe__KijyMe_9spEk95Eyp z>}^$$W_<^+W_p$ZCR*v_D8vQQfSV0t#t~m&p10XCbgj1FN67};19q`n!qmyL#}}l1 zQqtoLDAWQZ1AL~yG~7GS^gIJ<#BvRv=fl27GAd4{A01d%4IQBEq;IskqVz;xSicpH zz5;}yI?wAqr@%1Ua|(=MCI~3cX%Fx?@F>ZX2f_TDax*=r3D;=SSGWG0p1?I~xjO9W z+^2b7pQcVjj^7VRJU;6IGX!w7a$tJXjhDoU<{kK+B?sV!ryFyma1P`ELj_JW9UlVK z@nt(45Wqz7+NTRXoQEsL50?Eg4f`Blc&WdZZ(=$!rQQBrVk@`35~2#=yW|r%9eG8F zX*fSzY@L}ID1l4!)tiG&M$s|bRW$tx6y31gNfaG-DRnB{n6Zs7U%c?r%g?{(`IiGu zSboHuft+?%=w@gnrh%ZeBeD!wc*em!spRcsMd$Rfdj zYoS=p*baI!E(p{mn@FHy;3hM#rjoZmfL592XQQ3z=-Rg z9_$NrEwY~ou_+T+xN!%+`wSA&la20#1^O0o0OyVh{6+fCci4 zfJ0R;0=FOKMK~2($Uu*9713z7s$5;^(Mzr_;hhzh7Svi*&y#>d>m9N)i4Hm7LDK=j z!BQpHq=hc+FWceU_L{ulE1!1e`52}BalW}{iNOJa)D#RzEisB5($y3D3&l-r_Cf_* z&dC-?ts}NTyV|abEf6+AO%&+y<;jE1u|+`Jw6mvx zuKMj(<*N#+HTO;R`ELvAjiDkpK1lkezV1K%`)~W~s9q{8;M(AhlL!k@$c9Kb37nv& zagf8l>o}Z%=(2r}@m$h3wjw7>s33?@Tik@GBL_pAf@0A7@*jGD}cx(CJMn^T#Rz%5@nl4FqL93LvCsK4Ad4|*hN)b zw60Y6gUglVg7OcU%Vnq(SqXHrP%58XE6wFHK#wsu*2~FIbW-gbR-$!qJMcrNh z=(${mrMw7=&ZYW_CTmz#fq_fY?WGk$TM|B1k=_OAB~aa`goSl>q{@v{<)vXQ+-mI5 zq48;oOf8-AAOpI$MQR8FEd@i8e@M!x2QfqV2zNfBCrKI9J5=ueqe9FOy=96Dmd2{+ zWu&nN(OcaK3NS_$y^JuJO6DeM-zr?WB;d~D6PXT?-fPr8(znwIKkePWEzLY_`612x zp1=I!Cw|i#OLBPwmAQDLdik0W?7{hq3&PLn95PnXM2M2hatfNP!`PuJ3ftWj;r_!G36v z9hso#%3?)v08J45$4si>L0vAuy;NT{HM8yZ6c8LrnT#Zy^=ih7qM2RN#_e#)HFl|& zR42d>jtO6)Z}&@dvA2>^U2{ngkxAK~-6EPLOh>Qo&hkLOix^lVmQIrS_LyeIdBVbK zmM}@?`?JN3mafwM%5#)SGT$KN(>aj2ru9wm)7E^g(hT?i++KN(2% zyn|$JVi1|G2t!~Wa%ZLd6Www9@JdNWs_-!CPlboNIKvYSs;KYt?x( z6#V{5OMv<3sRGFY;O9^X`h6ie$qo@;9-EKlV>arxFx5byI#-~P4l z{n!Y}hIQPw>yYM#FOSrfOahBnQ$sRWh9qf5TMs#^*FCInrQ=*{?tyczvoXqL<|`Jh ziHXXh_i9zXpin3C)(ZO4+xeoab!W2Whr!4ukG)jD=~b5$oBS zw>b>DnK0;o|9fBe_RkLXIxWn#W&6aS(223kqyv%{^xC=m5-6dY5wcPrf)+^&x*$gJ zNuMs}k7HgFZDj~bR?q}D+ZFnsV1BooPN*-lpd*OiI=xYFsO)EoodU<`E0C zUX-$jctnTy-~zLmy*o2I?H0{u_PKXGf3dhXh3pT&y$NK0%LOCxH@)>2|Fz#1W>z_r z+{`)!nN9fy_I{^5D4EubZ>bCJFiZ+1J-o#$jH(suX8hYYeL7LejxCWDx3HA<6GwXuTM8t!@CayeijYHGS zx*(cp;CxUJgL>Pm7Bd@?GUkQ39I&ZZzt5E!24gh34tyMrfYCogSJ`ORvtM`E+9ic8>{hAEa)#z&mHa zZzco&tAFH8f8f&s2&Ap(4g|8sbVnH@Y{CH3c0>p*mJ1f#GG>YNhN#e=D1xwo0?Xa5 zK)3#a7!_$O_P(aKQ}*szMN5_Q;jj zhaRxAIMK6SC{b9$^=XFPrxNf@_bfOJB0MRBzw>@s3Y}VnJ4jN^8QTXr2Sia zEOSTl@ud=y&X?P1f1Gb_CTE4aLb?k1TRuK5RP0Y5Gq&`{aqEUT>HOLs$xHeQNbX1; z8ca|SzE-E2t#@v`JXJSOTfU9;nDYCi&-i!0_{+uz2`)e)156F3unWL2Yk{m+Vt^DB zP|LQF^$I2+Yf}QaG@21bjb6d%1o+lqB-!-G&sBP*OqxPNghoDo6C1sPiFSwp$Q%TS zexuU(pxACt?U7@Y{N-i^2udTE9ul;RaJCgx8jwdzjZ@;#@_6}@R-&Yq1pjBVq{JyM zxj~IiYH;A(F)rb>Ch=iK5*0$_SHWqtnpfUjmprW2#;*bbpPppCJs6#c5!KYa;974l zJu}8U6~nXeBlXtIHz=lOtuUIaz4om6x9d)4f5Svr*GRhde2a+FRAYi_skW>n$$XDu zB3H-TUmLQ_7=sp&g?9dJSD1WWNlvmms_2h*=;`-U0xy~#mF}f#vsDVQC$}*JJW`&) z1)Q?{~b6ei>Y4CHz8$+KcaT<8idgJ0f(L|c3I$zatWL9{Sbdk=p{67; z<8B2frIpM>3}fIR7HV@qq&mT6kME>)m>KyeY%0lA$53t?f3 zIE8hdDozo))Z|FWcG_RkfU!TK>azxMwOK~_dE72v!b`bU+nYV|BWiuFEIr1`(zIJN zTi)rn=p4}J_AQ_J@H?Zjq&K6rym+g@wIX(MXY-Bhu35ZoTSPsQp&Ze12Y5$iFSNJ9 z1(AY8yh*{8QcZ_p(RkYhjS&Yq(}AhL+461xNL4H+V#(I1Wy_1kPbLq54qfhS22)&2 zw!8@3nE{501_GrusFmdfjt5r_!L^3^`C=-|i{P0AkGz_#5CMhcquJ9ENfy&MNC(}^ zBo>ouA5KTS**2FWHb#1i%qdgqCe{DWpwHJf=yPfj%ih5eOWm3Q7O}o+^%0+taO>Jc z;3~{o3P88$lXy4qlSMPxSDrGo_NVA0>-vLc#VIktpnt^xU3JW3Mad$VU>UFrwnfuS zAt)(B6AYf%Ju`juwnI=-h9(I1SL!+_oUY$TCMiP~L|sIx=;(D5Uah>M_=6_6MXg1{ z5fE#ru8Tu!%FvhG3N#Ir1mGysP{<`mRahveCLD+_`jwHaP*iao*J5RzQ&S!Cg8bYl zuwE2$OeXfDM8cpAL<4hs*m==}U@;@Okl>(DtXq57HS%!!43FrTIU1PmYTh``%yh}f zEo#lcoQJ!aJlt>j=!bvxXCoR=T&7pw45c}pxfm}fj1J5c%1|qf1_HrNQ(9I8$FU@& zK;hftSfMF(!5z|cii=+KDUNAMT@YhdIm(9MapJgHeTzfWl)B({&Do_CTq?6n7NjY4 zK^QwuWCbV!qo+=hu^>yQ!@+l$$oSH4+99l>MasAP`DIC=c~jn_7&o*O6viu z#X)kw#2nUE#z~S{>!C3itn<*#Xn^Xp`j8|OOBx1&trbIoDxTrxl4Nyv@MD@yQsU%% zK~=X7kavVzi1o5umajRgb1S8)s?&OK(0h{<<55;#)tl(L(VcU|WB+nW6RU`qL*jT> z(9*8r{cl7u@yWmQzwyn`Crb6BpQ4AQYz~BQlm<{*I9=L6?V##lLGff}Y>!%qaI&8t z{n*ocuKt}-2zQ45*Jzvf%UqMt(tYJO?P?Ut5ObF2SYIX0l~8f#*Ee&SAM_&qhd8o>e|ih2OQAWqoK-1djRsOvmX$ht84c8=4+f} zHPv)A=|TC&Ud!ngihmp1ly~*)7HM7hRv>&Hjoy4>$KaZP7K=_gm2?!s4U6dIm z^G67ujC#K{<9YMJ%V+-LJHGDENARNgv$pzSh#&k8i`6hW9>&Q*AwaOFOp>b~y9H=# zw9>l}{_R{@$kh*&ZMTB4!nAB@y~u*O`Y~8f$<+^x940v)QCpbREh@$<5N~>8u72#- z=y{=bLv^E5Ps!B}{5)$d;O>^j0~9M()epoB#<#a4=RjSeQNXm-NzmGaoEO4}{g#I` zlJdx!NEgoG)Ak!%$ zw`h(nrWe0X!CC#p*MHl0KR-GX(y3|jeh$;zua`)jF}A?qMJ>WdBv8c`i^Wy|S)2*k zMglpuIDjxn3_q~J9lG}_w%DUFlGYc-w==gg#}=T>Q|pViSfPc#tg^BPIg{Ms9JplR13UAJFTh)Az)Kb5 z^=efAQ@6 zDLWWj_fnY5eZFqJ>$(Zf_uu`;KKx=V2D|?HPT^2RSO7djZIH4TFuA^iS`6%}LK6nP z0I*qbs52v`wV~d_YUcXR7RgyzaSIp+P2pu;0GtKTyK+Sg$FA!;4bEe*2qmdfx9w%v zS1)=adjW%Gs5%BK464^yhbo5nJd&xMa7I0V6Jn{bwDC#J{Yz1p!q4qoJ=(JxW=@$@ zb8c1g_hIIgS~VRob4xsyQ0u~El$cYKV~;vj+KW0>y*VL5k~FNnQI}}#w>?Bi7`@AVrT89^7{1qVk) z&{cCma7Z!}BmH((rLtW>rBU@3K_JW^h?){w9W`VLLEa}9EP%M(8uMgDnTH?)Fc&;- zz%eZ=0-V;e6;SoMphY(99^7=;3K)PD!S#l8XB1?Sj&>wYEdiKI;u*VqT!pA!mmHo~ zLDgF%F^^YC1296erUHQC&v$3L0HuL8SR&`#UT3~NKt`w?!@!P)UI1(6`vabG5GThXCDG7Ot$A$;T(xLQB`7AB2HpR=%o_WCGtZ8Q zxn)GY8(xp-{4Y>&b4S!^s2g!`!wyRsAq+1zuf$GdPyDHdcWSscZTZ${FkJhlkNwL3 z>?_9<-pw7uysH@h3sti?LyfYON=R!~(Tb@;PdTIp&<>BkA zSIK9Wn9~5NUL%3aB@es9hswt#z1e~sNY^W+o_xGK4C(ybPRHYV^Kb>`%qkCG1`H$* zU$)cnwTG|2P88;aq%Eb8BmmH7U7*f@A{MAKk%6%}L1{<|$)5U0PbdA==Rf)RZ;0%@ za;ka_zt9vHX6OTy4qoHTy38bn3&O8K<{SvB0}wb`AGclb)MA!y72056KQbBCbl zBo{<-AD$3NsTFFKPc1sh1i_7kWQTY{;B;)RQJgSeafMh;1<`%k2+CtlaB|2;7+q2(*xtiYyBX6X6CVur%b*zflI^%Vt zheKL-Sf^%IyRzdvC;IJQhFUX2bH=B<=&&x|Uli9K+OOdaZ`~yy=a+Q!a?+KD3&{VFYwZM$_aKshnL9m{o@RM6Irts-kQcz6_PK3&LS! zXFT*HTIbqRQ#rdJ2SMwpLH1F@Mjt|zsh+q=?~*D@&$7$#ByayvWrSU#jHc+ij@EK- zvPWy-VZ<*O?xh5_*G!A^T^1jFNx$u+ys^<=#2zj)Mau4(0X^ zlo)?Kf2{9ndft`TREN?IA5kiu?DB-=sfhgQMwai>S+9Bb2maVU7}HsU;EfDB%;1gY z?Nx`;U-KA%yJ32-)`FGJQhpGqq8^xO)>*;5v0Td>jZ1ZwQ*WT4H9VxZuw-CbQwv$C zbXFnBz!cn8P#ntgwaY+VYWL=AOPJ%5WuPv&n~*5YSd>vcSlp-TgQ}pYuj%%>_)t3<|oK)l-6s|ul2KOux(9y;M%j?v1z(LILhu|^OvT0LCp04fA6PhbjL zd+Ov*BQ@t!n854WM#edW-Xo7_u{Hwz=F~ZaK7dix1mVv$f;ogn+-yNPoY+oa%1(6kupI~Rn0MROuzNTdvqLZi=UyP!&_?_3aB zO=Q7X@4&Fn7JEf~R|E@8YD1R$dPV9x7liU+wHhn-@al_)=aM@>h^0YtzXq3Pv!v*| zBDr2Eh#0-3qVMw8k)}(R++rLbqHL1&uR+mJU#2|GE_t1!YKr<&=dB5zRX?sR<{bfO zP0@II7V{Zx<9Z@#z0?|xH@%8UdS~p5(J9 zdPLuK)aeYzn&DWlj6R+p`d_~6XI_j-pw6=OFvcd^a_p*4Er(ML%P~H+95WEq^N%Ug zeE)sLl9dKik>IcMiZtskh};UPqG_#HofWAgilF1RFp{P-W1ME)1#RFhRs`}}>vp!w zlFdbMztOBTK+s4g*Wa3E-32j!hr|#_U!z#rt|(@`NFLX804Pa9)OtxV>qYXg($ENH zJ_}=P7Xu!q5?#`e{_=gm)7`AeAk!sxNZ4zd9rz6m;L@7a?J1Ps2qHCjbjd3PQ4)u! zH8uyJaSKK)zaIMNK(jk>)c`!K1yRhkK)&CpDsW0I@Gh%;yDL=t?D0L-5ty1epSFBo z=KL#O{crsA{fMhH-{lI})3}A!61^LS(Xw68203$HQtT|@w>f0K;bp}YDJ7waFk1|u z)5|mGhxLZk%_MaJI6>4)oSF*QSKNc@0hkR6ZcGn_V6OI+{>URpJ=-E)uJ%QL>jbn6`XtR@j~L)!+F|%Z~|_sQJ>%%R0xs z^=VjQ)xr-i9N@1NokwRDujz+NcS@(WlFK@nIL6cy+#y6XZEb}rv7CCp1`d)dE|60a z&pxg(mvxXGB1JUm33d|D~N}a6SR;jA8P84g{Us!$dQRS&R&5GTL@>>4=ykTBb;Y-KtP*Le|8OA+X z{^&4N|_6`ezpbO9vCno#UyP`EigjxIo83aK6eE^HI-ReF50MjDyf8D!?QM<-Uy z>2Z)7lNkkq=vr3%j0=vc6TX-7CaS8i1`yq7^S=HLmt*1;&bxYcr!(A2Kb~hr+P`=1 z9?#$<2VNQv5d4%N(2&eiN_3*eUiGspF`@qLlmK1KD>WTvYTcvlp7;rkpvl=8Yi^o zMjTRe6u|}HFCvKk5!G(7A|0zDxB@et3i_Pb5ad(hf`f=deY@=23_=dYvGO&CF^9Ru zZWwcz@6G_`5XCwwc0c2Yjd--x*{fRwA6eE!wX}ov)V5nYyLE-LUlP`>dW1*qg<6J_ zng;cdTrRYK@whxYpR()xWb~!3I2CuU(huED=+>p1d)MCM(7R;F#VriGA)O`3ZlItB zFDxAZWMkErBNey$fUKIyq*RSXLD0LePACsmg7R=XR6CiOvSB3uUe&h^0X&rH_}VnA zalLq+ilJE@$i<*hZCI-U97la!#RtWJ(TEt++1>)5}i+8kzAsMT&j|*mJ;0{97Ph{+&DYA8Md9N zg@Vj<$wIDGbuAs2q#RpYfth|M*$M;!XpswD4O?*v3!wNXaXI?) zxUNPrHof*p#^TpKL^8J7#IX#hPXN?FE5Dw%W$(eMihVAd&SleA21fLw-v4Jm;p4)= zP*0@|Wl3^}hykJOaVhpD#}+wQiVimPE3`3hj+M~hhHeU2d8FT^3plTsLs_7Rj4cLU zj;5?4RLY@jVvAW~Rut7m2#QZ@LCvAC#egiP4r**HrU@3d82Bz7TA3Wm7VISwd)?4W z{Mk-Gg}}QL&P6T(TKup;Y(_U|wd|1JNR7b09qSKDYKXIU8EEp|F(?(>?<1V6<|nE` z*Pv)>!%xrqNY524HFvVFQNSIaunLbwzh zw5d)=UyK*NLEk5?J?t;c=+M*r4as~Ye?i{bKXn`Fe=oCjzMp@uDig2FNEAdz6mnb~0Bl1ID5`fR0IA1Z2thEjZ}%5kt8=QGe%| z`|r$?xbD9*PvYXfGKs6&HDwaladAh*e2M2CoPWui??3srI0dc?Qd1ES({dcMeY}~0 z)&IfIe&FL*0kTs(g$K}vu5iwKph(c9(n2|CA6?K&yb3qb5X})9%8uoNdr(PBe7Hr| zW{dfteRRPRl5aue+OA0Z=z=9A-vXD?_AgS^xge+&FxUq6aSLp3E65aR5k#mhgJS^N z!$@e!;DKIx@x%fNZQ4f{ET$Q)lNQ3-E@r+NK)d8&c_iT}p)Lf+)JxV)mOvLu)_=F! z$duZQ#}V7%Yhp|fvm3zK06kc*ImtS!Esp%SZZ9pcOxPIRF-E6VYS=KQfo`3G=@M305kK@STJfbum~C=GOj ziXfwqHcgqckNXXH*@HI!(tf;?>lfWcd&#CszSw+!sx@uo7G8ABZ$_rkm zICVwKCE7-=ZaVw4UeMmEoAo(TPSMq@|DCC4-au|u*3yr}O|2JqUOzI)OoG0oZsny- z>NeFHkW&P)&n~H3)N8NOk8Y3ksp@vlDb6{?S4PqCKfL>Hx z`;xD_#t{{XHWTH^=G2#%{T;%wTqm=!Id1khthmuXSb)5t5zJDu`TjCk*En4)mUz6FVF;;^*DYR0v+{ZT)b~rw%^sV$FwCK>;>Id@ZL)Yq0 z&GJoiD*A$~fBdJu@V^+P0kaCuHD;&=6Hhrqh3*KD7(+vV2F&p29suji3EM&+l#OrP zp;@8LqU8(~u$fBQg~?-0Mn@qYz9J?`s9N3uGp}knPW`gTP(g#|{2{*1!t!G@icNkj z0fhQswaLbYPl?a@n``|+=BA&@)A1I^nljU z^V)#Fs}ZCEE-Rv#Ak@XjP|pQ#Dqt53ApMG6N%e|!cZ*=b z(Qg(FXP1@;ilD>j0e2RzfXrbc?ygG)jDEQ>`bpb$XOdzQl~oi}(MF&EQx#?OU+0sY zO&*^AlO@hyvONBPi#d~kod(XAENDtBNkr<2C87BO3&m~W)u%d<_9BdAruv-7|L&ju zws(wD!~?Ct)^??cXULxEH~0fNR|Y0lGs=Y^ikI}evLL+_7X*(7NdMraU?!U6)w3XV zm7_yU0eue(e`uCBLy-0$g=N$RCT0f>WdcDf9-Y)sXBaF5Gv}W_H&Q4YIV-jUvHlN zE<2~LQuwqddPLuK3ZHW({`NBQ({52~l4f-8^`Lt{@wM;zj`xm*CLmKM;0I}8jB;w@ zgB+S1mDpV7k#?qs(yY6WUfPEHco^%@L~{EwkF+x_Fo!0C(*kp7GDwD)Lz9wV-}aCp z(yW&+GsuOQ`->lj3$Ze+y3xnMqkH;el*nqQw2YO%OPq6o_8GNx9;Jlvc~5Iiv#4z5 zh^P1feS$^0i!nl7qF{{X*kq1Po_hQJFBPC}4N@YC=sW)4yT1De6N*R(9i8V8KtVtS z$ihQ(43rpVWm=}eb|FBJ;LQYg7|NuA2oN+86M}*wazSJ)qy`3dLJ+^uNe@9PF+Vlv z4N<`4sWGLUWwk6Q13I+%K9eI3Ra%!Mq;=K-^eYR!;+h6s`!`x{X4((HDh7P^;b+{9twzpv>fcE1EuD4m){bdXhT3BzruY( zFoz|DLjYv%*2W)#IV?do5>+4AO5lE0ZH!fgCE)y#gdb`!@BwJiW5pbn>^I=_lNA>` zBTaU}QJY$v_Uc1xM8#mL3CzV^`xg%*GVE4Y0BPG4<9b~(Vb{50g4gz#QoPUz>r|eQr7|l)-@QT!oI!p3@QK+ezC^FuzpT{=SSX-|BXWH2(EJ z@vdKwNJFtAUdeS(3DW$eH3yUiz!ti_x$}sTMiJCr0Ag-{KWPLrRwE|rVO~=UQ=xm} zE5<}Uz*!WJXuGTmQUnW>X5DQ_qh4~sn5ee|FbIq$)8^O}*rTX`&wI z;MPBnL>fghCh7sF(@GM!SR~6tz2#DRyYo*j@2pG4L_N&?>#UjYPGNOHQ<~{`TZBCj z)$NIidMlfW?vj%uNIQO|_Lj(vDOb#m)bw-U>=^%9S5 zP&b*OG%sT)&0IIRy}F5Mm=lfITsOHqhFnf+%wI0(2z=%5eCA#MZ#V+#u(%^&?h9P` zJxnGXf#dRs(Xh3vUe!&GfWE5WreW#Su5Vv4^Q?57|Eg{R;!jCpf@h_1{uK`4E5dYf zYyrtmx`H`CF?MSTE{^S&$pOmIt@iP-l#am50+gM?&d}!&HEBj8^LvsZMkFI*-|IVMS_99hogGlZ7I6V&Qr*U@n|GRR zHNugUET-Ng9~H;}RB{5Q_AM5>UQT(j%RPzCil!&SuFhrjxESGV6zNpLaeMB6XFSA> z;2~0uGUXhE8VzU(PfFe;MR#mY2$Cd6X)nWwed)wtvkP#0F2J-~)W)&13vfLyz~B8x z|Lqt4Mz{d#kGTtA-q~Zp=Yr8Zb(e)!9bGWGWp)c0oSY-rD%jj&B!qzTFy*;jvC0J~ zY6B=f642|@4(T!APh8LxX66?oy^McXstCa}l$ zqw%rgL3m*>ipSn4XlqWgE$f={W1niazYXwbf z(mk@xGmIi9eGb>`$-SgJ$(hy5@tmQsUMHs}xkvHipVvCBQ zj(@!3Q}O13Tt}Nb76Q7QY!+-lN;bC)b13{)vo~~jOLahIsO#|2gH-)i`RH-IdA{C5 zayh796Uq7&zD`BhCj|3}9-p&E5R8=UK8m*|%^q3HbdhxY@r96G-43EEloovLNyV%Oq7}&&bU0h5owce+82QIdT1uOjzOzh#O@ztA?RX*uk9z6ZD z@Dr7a?^_J}qeOj0uNFZs8($(fd3Ab9Q&!J%Oa^?<_ka0s{8D%VV{`_$&SDgF2Dl$u z74Q~(O$ON3%Y((J&>4)f7~w@j6_>B*Y9pq$qDYW;)v4YO`<13kR*I*pBx&u+PlUXI zqz%wxEJ=%y9JO}pfsC;j6<=jqhnX(=2qpt&!-uEu_{3%b)q1^#uhyj)q#|lxA7z0g zWlas?nZ)S93lBa7iC;}8h0W@v^_%1Wo53i3`|(#k_+z71zYGDzyh|-Nhw06B#W2Dk zFirg3da=-i3e&xfQ!DD&C084r znhao;4MR?njB)+shFGB0Uv|75#qZdfXt+NJfEzV~4%bpmVuUVLiJP%_^Mz)s9|eO{ z0%)!OTmra}5N9^n zhI?y5w9V^pk#U8ETRp}Od1i5Qx;|w`QQHThGk+0*(k(?kzzPHK`3hFQ=G+Qq5 z7CZ;^ay7401IKheJ}!|T#E{J@Kg)?Khn!#337+YAJ{;+ITrWCxOMa6RRZhuIi^G?e z6R)nM4Dn;F@D`Mm;#7lYkMeBr9^Gb}X}4%LcsFD4e&}y~`;WaTMt~}+zzv>Z48Xm( z!z?VArvsuyWu&oPsBhBXxggq8h?XMg4FInSEmdRL;N*~`5pfzUq;deoiZpn>Vj&AS z9OfD0=!uu{DH6z*U&zVP<{9HpK55|gi@ub&I07frujx?^7O<^{!O3}WY=2RSC{zdS z5HczGrV?Lkf;%-`qra(znVeqAyh zfSy}fx4yoO)bva+beh*7-e-!`iJ6{b_4eK_i~fY-Xq5qEph=fA9Y9c+DqA6tC|~Yi40?HI z2SLmi)hIp$D>J)B`;@G>UK{^x2xc=2usVl_^e9uP9yS4a1L z>x$?=Y+G}`KbE{Wz8Sk&|9d#a5?9^uVa0}wo z6qloxPI&)0h-Zfx68(|*2i^^svy`BS554L9rRV=f4GI74xOJJMxCSmP)^3&^!B%uIpl)mZ%&nT$`o4swUff)Oa|j-J&_EFx`ne#Y1?_ zzy065_2Xkuui`Y^Lon2aMb+fH18ue9$8DEE16=U1MGioS@%AL0$?!3S2Dl&~aA1am zv4UNf1bRl-QE3!GFi>lk@(yT&W<{zK7qrolSP|B52n$yXKZYK3+Uw~d_=+}102JJt zb%(}|9zqes1S2FBkfvCz4=r9#JOr2A?GHNp7qPlvEb`YD51~kcl1}jGTacjEKs*rQ zP_4TpK7Uf>uC@*$c~U+3?O%OKe+wWLvr|T|6(oHUYRe;bL2o6*7&5R zzHQcyR&B4y%Mp5xtF~#oZtOAR4JHp5x)FxY7DWdX-YF=$jvD~OcdKrIpa#Q@pc{Zr zj^u_&qA;0pZYMKNUq5Y15-`lTuxpR zF$Yl=ZQ%~0%cXYbYbG${|9Fk?_^5}PQzvH&JP#nm62U!tVe4zmK~zC1qtRy7u9$-; zYt+RxuD0N(s8_6lC@_I>%p1d)wG9fe-*|d(($!quf4N+jg|eX@Qx&y+zEFn9?&klB z9!o4)FU?+tsZcHix(d$ndTCQxhx zJS@zbV-(xQD#7-fMJG1NAxFtxZo$Rfy7M{Yz|>{T@Ig{?3rYzZ-t5iOp8A*Bt~Uzq zL2M$!KC@sBIjlv9K@2&->J_Vy19?;F=-t9rx2LW`4nFHDxd%sSvt$)=ASsAYjA0BW z8FbdnAqSGRq@!ZMgMo3?npX-r)JQJP*7j5p-9qxO3g_TM3}qGxF`saz2Vva2$jX*; zMA?S64mCEncjZ2r_&1(AnQ03*@aq)-@EmSjW4Hls3_8vBrYR9eP+`ibpR0@&we?1# zh1rO|ghqVYEt-w^^jmaF-tZT{^`Cw2|N2p{dexoBUmx^?9V1@mWbD>5H#^%s2E}e5 zjWQ9|zK29Jz2BUc%_0|#_|C|g{MFa_J-%WXaS$Q4lQ(K34(e%H5k_w)Zx|em=#y&Q zg4&3~dC}ZvclfN0Dt4<3TDjiX0zHLGf*nt5nIO4Ef7HWNpODoQ#$8ZNv{$ z6Glkpwx4H0j~_mlRI*Af)Gdgj_Uvr{F7Hvl7mCsyodF{Waw=Ji{x84tHklWz=Tv zo(eUkUuR)d-vU(7zEx7?bVvcHsS**F`FwA-UTMpF7n_ z=j=)GoY_2M} zW$LP{d_}EUACME!WZ47`qLjta=Ed&R$Y(>KI$pLTflZdp+|>>iQ7GknJRg~KejHGp zAJ>~%Pst)$mDPpiGap|k(4}ggE(cVb+w^tT_&OEq>6&kET5f~u&9DNnh;DFw@%1*eE&D=vrPpn zfIxjbx8wZ6V;Y+ZMJ)9Q{k44a@z-yXmb`NpTM1UcP5jo;Ft|J0RII01Yy*{BC<+LS z#HIP_ck7c?wTVJzTI%vq(_kP`%t#S*jMyb|lUJt?JZ1GP$HK>NeD){*b3Y$58WnBX z0h|LSEyyg)NS3K!8L2GqjlqwNnHqp|lt5Z|xI7>(xZJS6oXB((Q=sSbit;2B!NXE2 zpZf)-AUA??UtF*N&ewoGHG=XonP7B^fls9sq$M=ODIBu}7}xQZ?~h3SG%Jd>vK5bB z8$K-7l6A^oMtnS95(AWp$|5}b&}nBAAI~L$NF%2jB!SmsN+;GFHCkd5F2?a&YCBe9 zI7ImmSO{J7Q3Y(})Qb#z3`0TslS)x_=vUfiZM2b9s<=gX$#$qB_(T<8ilybsvfVVF zH?B9E5_n!G0mj6iOr|8c^1R<*C8^9UWP| zO$<=s{n*d`xgY=90K;gRz=K*V8lcjT|HtYvhCQL^kZnscWA6C4EJ>$cT>V zf}m-qEsj#*xnSYRKy6g~T3G#3JEp>O!7@eafKd}|U6u;Z1$Q_j^cYT!QC35hUW}|I ze=^Mc2IG{PFGGdrE5?M~)zWp~?gPVs>3;gk&fkRyq#@h@9BE`}0_3*66ue@ak8 zR=zV@_CpjcJ5@2;t9J#({2BlBhri;*SC?#Kzb9GTf7T_p5eVo}71t3$$`=O%|{7vw3#rf!C+>!wEm+S zpwl+b_Uk2YJv=KwW7|8LyF|}+fRRc7gm7d<3mSm{)=W?G*&aqrtQ}aWn~(vL=}|r_ z_8YZb!+xdfOi|U@7DF#_c8P(y-K^XNO6E$W`0Z$DU)|@P%qEpUG_-v z%>Lh1W#TxlG?J|o(#430l)ND&k0i62vQ3@z9%70rTQkxGg26G!xTF(G_AH-J9-V|f z<#q%iNCwu~LS%5Nm})Fri0jSSsuj{}SU<95NdHyYCfQEs=UW|{?Z_Y<0hE^$(-U6@ z*{V9dhwNq5N-OEC^n*9)Elmf;?}uz3vS;~(@Cw%-}jUnYhHQgsEI`Z+A1N z=8#oPo0ZQ}GH%zEn(Hpu*TBQ9Uupl*4M5$>v7Y|B?9kHv!$S%Bx-;1JGt`lK|D7+M z`RST&As$wCRn4lrP#FeVel>2r@a*}6C#9iDz8ZmS@|7+f8@kXoCiw!B?@CTpc7L&) zLg-6Z6R9;DyE?Yl zNC&(Kq9Si;+ohlY^Qk2>;*v-Q@!U)WV}^Bek&+p4$?cx}VPBA^1zV04VW7aygY#;{+9+U?jyQ~ z7_M0oIyH5!OWy?bxqh>QhCb#zS}F%tUEX?lNBS3FJ7~FFc!aec0pLr8tjxl&AD_7vY!kPm4&@sx`11GED? z(bMzk_AHO&RcMjk`uy`DRTxDS5H(gKBygaSO-}})!pZ}J~rzGlr*o9hy#Jtql+lI;Fv8=FY2 zBf7DC(A|+2=MMi*6;_G2UEQ8n`qB6%oToJCzwFE z2w@nH)77o5H=+-(tZt_*-=}VW=A-}lzyC)9uc2na@fv0%n0VosHTbna5wt#A|)k)u3 zI^7*RoyqRs`nX(K@ zE{={*dH-eW=!Mr#ojY;uU%VFcNSn%~8-Zwu2~HZQcIgnTU1_9^J)AeCW2PH+%3vJk5>6jsnkaZ0BxCv;$ia?pQS}W@qM8njBj58g#<{IHm zZ_HsPCU3Ao0D|Zb(2`vUR#D~w4Rj(1Kemn9b0VPdgu$UT+*+(yB?7KPZ536bYM>_i zrpnNNuK~%SV9McH)94}ITqh#-Pwsa0ae6e1_O9F~qf7VeuQoWH+u$FOHuz~O=E`2u zwB`FW*bn}m_x;U}8|OqckQX@-TSykYB!>|N$P1`d^=SfdL=EPG0rG<4X;-8MbHM`g z0@b0+!5sy8?U8&_zbj5n4dyEb$jjQW@`}`8E*Ky$Byd%O#fsEmE?A1hWLeY*I|N08 zxnzL6K(k19a{|Zj!zkgN16Q!yS8RXTZ5E0PbE*Dahk(?lX36AJY6J-+S@Lzdo|oYFa#N?RITC3VaPlE18zKUSj-jZLG2d z3QwX3E}k8P@FaTR;tX;88jB~<2N%x{LYVU&%yxDV!kYI$wzGo(Y_+p^dC-(hGyyh# zk(dFYutZ#>Vc3!+qiL7iF3GHhKnzinFsD_n1hdvf5-2k%$%1DYL0v+{i2PP8C7WAgVG0KSf)ktSXAy4T%-EB#x}Fv8pISISa^k2X5V( zl<7@xq;B?88)+kXZE@~qMG4-Dq_9vinTGD4_V*DSic6X~gyi~w#>o0AE821s(b*+}NQgSILDM5M>quj_j6WQ|*NQvE@6V(PTXIZEJ$_hf+!oXK^{0|pwzU@(RLA{ zCs=d&S?Rta_oR|bQ@2S{3QHzgsvKyjko06exu{z|yY-6W?4WLGFH@UIY)y_b6?J<+ z*HinWYcq*Ug0@I%EMT}XV)S&K)&1G+;8nvCyL(+-XYUO{EMnlEv}V3THa(8tXd?|z z)tdPh(Y}ePIYLrRO&o^__)D$}aCZzaWms#B2h!xU}?AP1Bn1PAz z5tkvFC7Y-?&8a0AITQOm_gVHJer~f0>+)0S}fo zi5@%E4YNW1`vAa*L0kx6jw{TItd<!JmYpHL;;6Y` zVMy96t>Yw4Eq z6erDBER$|A;f`uCuc(f+FIgttqBl_WVw_v@7Pbi}IVTX~>+I3Sqc!v-$(*uF9yTN# zr6hiW`Zu4f7*%OS{x|8k%L~NW@oUs$-o?+&?zH@^aDNP_$C>l`v@C|iTS-02yBg|I z7#7o{y!0vy%o!Pva0rv|^uc-d*x!^Uowj_RCjF{U{rV3+9-35fK(0v*6@+{jxkTZ- z;U5faW4la~x*$n21P$HSH%LwDf`fg7{P|sQuy2r>)CGYSWb>RL6^%#AcHtVSNnLQT z!H}A?2o5zEQj@yiaDO3F5-vI1Ur3YglEeLlxf5}?zmSfAulX>2d+KGjJtg|Dmn&P{ z?{T-++#0>ALZ>$EO;OfUWaRAUH5zh2^UnF-Ys~*j&&XBg3D^^TQ|ffu@_p)b@zci- zdv0_Xi{@iF{}Hng;d$k%7GGE|0|o1P&*&7YQy1K=4j`9dK6Ve!Q+zqw1?5L|>Vh_E zgyhtlPA?zTsSEDtM~XV_sX$Sk7C~(=W~oZaX!4h#I(5N4Qjt34WVz|o$*4|UaEFwG zo>~&4_0Llk)OHx4DNACWwNT0Bb5{j5v^FzOf#TP;ol-gKZWdQi6;%_1tNsWeV)7D4 z^xhQhG(|=)%My*Yq2pKd+V+;7v@ILj5=xlo7-C*x__)k%x>^LwMK1}GQqgPX`K*F- z`FXv+W@4X53r)^N;7 zLwW9=dvN|GZ@&NJ+wQ&i?Au?EF)IRHz4ILY`E7+Xr$>qpGRv!X-u;okvI^s?>7_Qc zjhdqn;ewErrl3c5% zJ<$D}+8#viSE=p7&e}0cB->~Nh1tG^MUqc>7e6<<(^|KZjq&0k5RpyL%%CfqP%@=O^jkjS-^|AIW+sq*<0rlLGyXx;g*623CIY9j9V|Dfq%dPR z#&!_gWh?8tv*_C*SKDHpfRqEwK@PJW7|s#(t^+Dw5(~$QRD`}F8kVgSd8=cMGJ#l5 z1VUBu%ODB70VP|rVon4e0qX?f2!fa|)}C4-S3ft3*CYia+18;$$sqdCB>{j{6Oa1( zjZiHlLppAhHN1SOaPjI~)VVsh%k5v-VXHmJBWnH1 zMdWGAw^oDU!n=>(^H;`;$P2hNs>l=)nX9Dyy}Y0qbm?P7WMx5zA{#9tvo1@GH(EsA zqa+NqLk*$&$*#&uAAXI6E*(YW4skR!o(t{)+mmz|inJp|>N<2r^7B;WJ*93XQT9RR&OpM&qd^?v^`n;Z+rhn6m_2_ee++x z|C_GScYNuXvMS$EI~~owG(}|2#88)T%Lx<&&xBy*J01=SLI+c8hak7|9r5$SBNX4U z!`)N)j)M$3jqiBFG+kHo9bJ*B=0m%W>bZXKwF~>-&~Wj|_xa!06QA@P{l25+bYNN> zV?FzhkHl!RY0lkC;5%-A>ks|hO-Q)D5SQ_TU6CU&P;F{he$3pOG` zk%30XCZ5EKIn`{9lPp>T9Nw%b8qdErGL7KaW_cU!qAey|G@eT$x9^Se^tuVLGUALWYz&&JQ2)&^qdHPGZkV><7<01sWnc?;pxsGr#=R|NFcC#8`tZ3>~s0 z>~ckdSR3J^k5N)O)|hETZ?|=x7thuoE~<$Mt^C^*V$=?MYEV>-Aoem zZ#jdFt<9TmYcpM^^4+x~1Con{bWg;0Kh4ciKgF_M;oFSD+4MMPh3{{%Ek2ODKK2j& z4Y0a%D$l7(_chnbo^!{%i7#jWl+VjcN=>%z1^HhoJENu@HST$i+i7#$UfE5yU-yo; zeE8Rlg@=f^$gPZFZ#@7bYB~>Uf}srX-8Sk=ZY85aq`@UT>{6KK@X#z9Vzh-e{YRLj z16KXihQ*~IF0zWJw5!4eQGr0C8`Jy84f-&0;MFwQ9@aYF35~$kBIaJP^6L1C7;?#i zFHVgDXnX1^Jlr1kIyVU;K`nI_OIG3GVYTPfP*?&mEz}|BsNST?2z8PuNweB4Lj2(W zss70vWA-oCMMDr-uK469_rI~H?-Qj4_2HZlyUyqkAgA<#!`h%!oqEjBu7;MgTkF@I zVd}YLkulkE${?s@^c)17flGx=Dgz$04y1N+g4z+l;%VS&2#3rM0YwU{2fa!IC5{0TR7yZ3hp*oVGoBrY2oD57dKUE_u!#TvCatLHz3TN5yEqOO%IN^ zJEypAG|KGU9uSS>zgvXD++K4%?KOY$fBc`kK6mo19F>oPjw?nW(c`Q)jrSaT@x3vYB)F=;(Q4+%qP~WLTcGb>Zf^DNA zln}63#Phn8X~F5a)j!e2D&o3mAN2RKfRZQh|E?;d7gNJFS_A8b7lwA`jd~P#qwLuq z(bJte<%BY*0M(`~-ZlI zS7bXWf=9W_nVu@NT!M#Di+RG0tixsRbdotc{8YDVPXEv8|5rx(|F8VOC%yQE*Eg$l zLxSo{>I6CcKUnC`>Hon(f0h2<9Z1D51%*!KA*cUQYzJW@aw2GPHaL|lnYt{0IHJK? zD&wdqv~_}<{y*xsMhX4sWVx|oRhAzF8B}HYL6m_|8`~++1wH^X5O|nNB5MSgx|I4k z2BNOH2p_0R8vQSSBe0!AvKoYz@6UD!yC9Qa%9@vh7ek_GCa8<=g`cdf$KduS6)qM0Ju22>lAdiBDCXpL>(s$zQO3Q)wThU z?XvqKlg4sb#1}l=mldwJ0-%9+wsLHnNX;fe`O8!<#KTlhotV=P+j2`Pn24olhx^nC@ z56)jq9Ci=R(|wSAzAiS>E~T&;zD3K$bPlrL{r}6K@zXfBFCw7h7tW`1V&=T z73Wdaf>n{EApbz4B9pE1l65QWc10Wn)?8wiYP%+bFaJL13OEYBVZYjSOEmfJpw&#V z>KJBfXhQ!&lZ|}I52Q%{Lyk|`Us5yc$mf+l+m;G#o~OsxI=cnjPIjCxbK2x9o6Nd6 z9jBXhdu%V!#9o8%WIN}~!R=)Zrrn~p=rv;>t_S<@_$PnjTYn7VgakqyNMehy7YSv4H*O`|g59Dnz{c(vbhQ3xd=HE{N%` z7+lB|G4xPpT!kQYgbQMBA(09INi5Z7dQ7`L)w5}TbR+g@ zw`ex)H$!~-y+8HEzvU~UXIyVaYuc@|j;7sbNZMVqh~2ip;3Bh@A&9v=t(nQD9dYOq z2p(E&#P*eysZDzs^Ss4e=yt_y+QV5mt}R&**O*N^E^VWy2IjwAF`IS(FUgRKYh0~6 z(?YUoCqz*VHp1+V873yke;+XV5x4}?j=|BbqaqQzOCs%{aX7sxP0PpEsZ2Y%B6aE< zh+#2)DjV#^w3p(=c6Suo)ylERw+Cett%tz7F_M6E_Uw8U8k^l}v*>)Ie1o<}08jP1 zFVI5KTxY&RieSaB1o9r?u&u5$-y#gdR<<2{qwepS?-59dfMMk?k2FpDD+xol|MJFL z#CwEQtLvQP0IA;)m@VCo!i8=}kGXE!1k;OlYKrd7-IK-taJPwnRm|%Zwq?wTvPFKK zA~>Z9M33s5tJ3FB#lJP4Q8($)mL{~+kdO`19GG(eZ7l({h?8mwXusI!S_EndhpHCA zM=GC?+mU5J>oFA z(!(D2Q$3@pDAj4pw^p4f)n`BLiO+aGX7Xvx#Vt})7{N+S-F(E%`nIQxKadN?z>76# zCGa-qUT>hAFZ8apo6$Pw2oQs0#o*BEd` z-LzdTMKG6O`7F91COxxj0D{ZIUJZ@yf*q!|bHN?5yvjm`!B5n7`CC_IYLvS<>56^k zYonWuC95*^c1apHNNzEpN*PCzWCHMjr(=VwF^|VC19>}JZi7ET8Ty=j`u0FHz2XqxRDiK?tJ~+j_Vg- zL)zqvn^MlGQ}3>zeeHd?DeXIL`9AIY+TZfyANQ(A^(iZ^SMYRe$?O@MVA1AO8h&h- z#REl9*}maRL91%fD+Kv^yI>jc3_%-P5Q1`pjP!a3sE%kMXgK453_-~mm>{${JBU#7 zLc^0Pj1ZKYXo66wd(kX=a4)G23PJG z+&ot>_BTUT7H5^(klv)EHpI51*ACjg8mj~RDwKVw8L0SAwgyDbiAw`eyR$Zt6sNP^_q5zS~Wgby{@O~^$VZ=zNi1Sz{@K8oChM# zMYjVc6Il(gBrXmcEfm=g2;?nttJG;6gp#`r=8%MTmB&g#T2^W5zJ4r zB645tsl^ofse$B>%nM3Y0*?v4Jf*SnFJo4i#CmIsFXGf<3T;JTL_nv3K!hu5HYEh9 z*nGu;E`@tlL-IAL zmnFytXr@_GV<GE6Fo>U6WD zC_G;ilVwqzVyy@eMh(%@nk?58$s^{qs^r?bB$H&mJ4n6~8^|?}(wdNeOL@@CnurkP z$Hdq}Xz2P^7pRv@A{^#KdZdV#8(*+*44)toBU(+l&iY-5e- zft4xq3?j_S#gZ6$sInM;6WUq+>7oy&7&3jIxNg$wJ>gW7?$jzzif$x5nWLk&j59YB zMi~eR+4SCn&=|iidT~T$?>d|KX{lRI?mo0PW<*ENZ3@#JdyHql-XZSOZc%GS=Y;L` zBy1o1|32#8SB}MAyCo=orFOXkhywN|2N#kOw1@#MC&cRY4uLk`%4lPEP$3FZvt3q1a>0F}ja_XzO~lkm zE?8(|;fKRzr~qBW>6$_Ld$ zGi_|aI>4c+N)tDXwdg?7ge)WlFJq82TyHMT&>=siG$b{~l;IJtAu>F-%egq?F>Vi! zVY*}_0-N3Y=@Hl|RzHtTK&5dBK!%K)cmIS}3L0 zjO!<&;}isjB&3s>mQdWyG*Wh{oPZo1eqGRnd&&;KCD0mkj_%p>dqPVE%^>ts^tSCI zn5as;EG%G!MyjR(d(;@h<{aJmTkh2~V7JH+_IH+_^(lWka&#C-iNaushh+;8Ne3)~ z=U&L;07fy6{JO#FtTRg34fX&$jSYu|eP~-RH5&WGi!sugs1F+2|y+?8iEJp z+m-uRH+n&D108GxHWt_utWZj|6Q{>-tB)uoqRo4Uw?$W0;-+GzZdQ+t_OEPSugr#g z@*DEo)!hb-QYBvS;Yblhh;L839&ZGD>e!ep?UXf?cqI9exLb>n8(Jm@-Mi;j$E#Jb zFQF|t3!y8jw$Lb}c~A-l<#<@B7S8*|gLNfGOiEQ3dFTCNnfDg=S}{TFFl~(%pU$P8 zgNg`YV50dNf%d+h;7epmaT~*M_g=^D#IkkZvj{%OHh4WYfXRXG!peztu~u0h5Dag` zb;7pM1$rL^BA#7Ls|t*#&?y%F(XKwkv9=6InZ;TM&<)Af7$?YNe_koAh^6apE{Yvy4^wk`v}@>iaV|p*{9_EUo)qM(Tv>MQFEZ zG_M`!mxx7)j(r1RsGYiXkd--6${k-}(L$r~ReXz)XwFJjEvtxG;zEWuVz!}%YRT)> z`KnZ{y1!r)lS35+gHqM$=ks3Tb%9amX|?)x9OVVYYRU7?2Nqj7xkMy@cL**rSt|6{ zFs+97%G+ZqwIkMCBn+fuGG3wASoyxnC++aL-*=7&r^t(y^~RH|zA?s{+UVIkUAQfSsX}qfK8UsfMz+o#7m&T{y+~#25u8n+?QHed)4ySVj5g2Iw=t|ARGDe zn4xekOA7x5MivmP;oQKsXqnY^@4a>DBH8Zr{n>)m+}hY|MjiMW^S5FR*IJa~PaaNbdAflsa9R6-5tYePa7EDCqb?Figj?vk-xt}1djz0EZq#T(*>bq9UMs0{Ra(y{HG5NF&|*rNXD=)5Pj;fp-N+wC0h|gH)J$3l>42C zv97s6tWEC;M{MsT29Cy1LJmyZw^@n)ohYMksJW;z< z?1@qj$vRZJfSP?C1xeOsW`vTiqxGc-EWP!8v*j7TMV$V8c_oS0qKJr(ww?HqwrmwF z^F47Bb3h>=Km-iyK*}^ycp*poS8u<|IHzOuB~T6>9s=`Ng#b1PP$Ai~l%2#4u*4rk z$78%CLMSa0|9C0k{}j{*uYWTEm<5a9v%tjf``c|3o+Oe=Qa<3%31%n)bS7y@YZ4_X zquhJDm$+Lw&a7AHO+-&wLftvA_i>*C_FLb|F6^gJ5bm)ygrL&-C@0I9_)w^?7AXsZ zwN*wQdG-592byVwLqHgH<(`W$F*PtjWf^EnP{yC=G<{m}%`4!6d7=LX=Bcn>m$o8R z79QIT9eJAbu9bDAq2}+~4W(powPNk$<>bzS!qEgbz0SK#y&p(%9b+R8y<)-;bV-w# z)@hMW&`(Cm=5WfjEL$C>STn}bwU|m84?zh!vntq`Nt~_>a?}NO`<;-7m|9oew5hBP z^s0P->ywN-#ppw7WJC7Lp(KvppR+PNK-&owGBik1!fH36;hj~cjJa?F7piLbCQD1m zOZE)_Bbzonxyj9sgup}l4fcXput+PW%WH?#WX%^~&$)GX*QToSNj8p{lZ!sabZ|KU ze@YwS@evGEm=8Z;)W$`1*=w~b1M>T?oLMwl!!nQHjIme+<*r`_pd*7VT{t&8S%_U> zk?>H46Dr(VTaZ8*9d%b!ZjJqe^G?Qprd>LW+FkGy^YqTI1f7SXA;KpI;(>n0iW;uX zQZ|;q%muU;U#O|!?ANPOMGRGStOjX{kn^DH_7)=8r3xqoRFy*I9T{MBzq|Aa-{df~ zMi63$k+GYcK!HqcQN-*aC#5YaqXBrk6%dYg%y%1A3zjKG>;S!ms){U6 z`TOQVXdR#5l5dRKZu`QoEakgirK}y!c6K)?)MVTvAONvWBU7z(tszy*tR`?+=B4s` z0q9cHmThmmhJqUC_}Rm!BP@aqS5yPX(bQfgV#+gaW5PSF0Lw8}=f-u~ zTUTNGz z`k$LenhX#>vG_BsbY>%M@URsN5M=O*?GW(Tw`s4^$6CQFzhQNG;|w)m^D;J~2O9kEg1q5K4|)`yL5I z0Sdf=W{&vp{rjpfBe@^G-23$rem-$-E1eMY$IcD&aH{Hl%Ro0uoHDD9=!Jgp7aTjjb*eQVc%BjnU1v^PL;|FP-;K~roXb~T5pmYNMjV=6EHVoscM9y{0z zh{TnH_(Qo4fG40!@j4XjG0hK2+cm@N=s<6aIfWZ_I6WV&pV>_?kV2uQZrY|o6KvEl zvXs0DgXlX-DRS>c-QolqmUqP|^SMiXGDGW1SfOJr`HWCl+9`Qdc$dx+!Jl45rJjw#6_pdBlL!Y{tee z=rWEa0(MIcK>~tUKa7tyC=UpX0w2LoVtzG5#cPJJA~ zhZ@UWw#yr{7U7xqT7TFAl(iXsg7Xri!_1#OaN$eFNxOT8~)G-na`;^X3jh z@oGeW!)XHajj@grHHze%`ue*%#msr=jL}U|_k(yEwWSD9O3KpD8uf04Pgz=b(Tg(o ztMlFjY0dTLgwgUc4U=K`POM^B?R~At@qNS|-I!!0&d^YdQ=Ylx>G*?XjJx58{Sv@V zE3}l65yYI@)S0KYAf|S!NPQsyb2$x3h(0TOcj?5Wp14<7F{c(S$w-hT^K?f&spAb3 zE?1&c(YLnm^F)tZwz!KJvmK##OE}Bss9%YI0>i&2>3J4IAeQSu()1g}a^&DV>?jWN znU+8N*xfvSZ*1>C+GFSVz?Cr#g#+-@zr9(0dszAul4P+a=(^&&0bg~HPEUoP%yc7h zu>IuBD_kW))E%G%ZK&y;l$ncZh~T9L#Gkpjv|PB6uDEu@J*z%DB*sU|j@SIN5Rlec zVc}nNDmTG%_Ch7szWu{#wX>6g(TyRdQq`FmJR;lHeu1NRpf(d>mY_l|l86NSW@hk7 z)Dlr>-)V)CdNt}=JB2GGaTcj{rC`lsP{@G``{?+Y(6#@|2|n=rvp#z|?qW)g*@ABb zG(FCq@WR?|L!!B+Xjj?Z;~mIrm>%$D+bGxyw0ZmI#0G3JVuX8x0)@F_6;owTDp znf;D$cg<@o%&dz=eAgjpw2Xw}&yNv}hy{@cxAHD>7<2!1!pRnH2ykZmTEiv)XWIs| zS*ZxPMid)m&_&pEOm4Oj&M(9A=fA4U;2@(0afxn&c9Jw(!knXsC$}M`RV-?30(Y8R z&EViW1|i>(IZxFU3Pkhf zN>2+HoZG|9?$g|$FiF3+MT6S>jRvVNG?+B`Rw*;zsX1j&8I8kg0$rD1S21L5rKjda zM=-PY$>lz4D`}d8qCnxX*srcH@wSBqNT;poZ4{5Y)J$i&<(F8)>=95ndu2{H~npv&&)2p*OvS8AHLwq9`nz%Z5G^% z8!Un27udw_oI8_;9HI3c7Qe~l>hJ5b2fCsJz?zws3T64;#fHrXHXy|qS&l1Uvzmkbp z_H=Ed$K`M_9GG;)sc#Wt?A*YqWA1MUFi;YL@!95*qxNxi_zs?D#kEZ>Z9R{O^+Oy8~-xxp6x=wiP!$mfbxzr3a2(Z{POM=71n< zOOcJ-n%s>uk9o?Wl6q=1NM--3`iL$a)JyQex-Mr7?-oox>r4O~CKL7x0EeOOr^Inu z2yLT!l>2>DwTZq{i96Q9>+ybu&3{IEs|ivYbAON8R~n=JgWNXuZviyB-s^8+>N}6$ zYjDkXTw#16smOIP_R*{fT57HtlnsVz8|Rle%}7Gi?4gHLfRx4vJ=oD6YhR-ET3q~h z;B}TJT_MZ{;H)G3a*6yY#)--puv zyNTQtn2Cog7Ts|k<$ljWm4Q9>QX#L$`^D`=WYw+Y=Dtfk39lOTo|{(|343gG0qK@x z4{+T5J4=b1{V0@YmrHocvPk|4tX!(rvFmmjbaKS4sh4hEKsaet1^BwKE_Hi+hJt8( zY+6XKg`St@9)+h^oB`xI}4!wYjcO$YW9C>QNeL zE=@M!PU^F|t5&UI3Qj65%GBRhzXtyL`Ua)k)mz$D6Sk6O$6@^n&0u$yeUo zrEpw2h3otAUuPGMY1`QiJ)f6PauLe0G@QI!69J>S-0Ng(;zeJI&-aP%14S3-c`U3a z)*2p`DeUz1XkIFjQ0NDkh`xBUTd=-3yIVLB14#$T$p6{_`LNgeYi?L>to`Hm!@MLS zPm?5EH|F~-EI@PhU-JcMDsUd-<-=A@nl?&>6&6c+I`v@P={{==>H5-BX!${MsM}GJ zGU(WKgKP>COn4%qYE%LO6;wh8lpRJXDhG_(!MJeE_)4I|!M!r#P9BnUadjDx<&_kK za(k)hp>-=dv%Yz+jiX_=LvAkvcs=&|8ctpYL+5Y3az3_L$`t&PO99+D-{gmIoMVX* ziQ_t!Y$KKRDEP9@HM;{!gxyd{>JS1XoK%xsPls<}^=F3L-B0~~B*$FuF+|yp9G;&< zjvl&L?`z=Z|5&8)@j{{Qs*|aw3Hw8g1ov$}uMast5rlCI_qit`ox`z}0!b6SIhV`I zNE1sQ8Jrljmhknf7SH`|M2^C?he5zpLSfP}azv7#CqaT8c|!Pi?2#XTlc9vpDKL0x zIiIklBDRnz<@V6a`d|r0Y-O+2JfM?TZ6}R(vpOqIK zDz~GVOi6i$g*yNfXDCEzUPN-EZA?S}tLE7=+BDc`Z#cnto$9Zs3k*8LG(X9XvyR^V z)w#uJqG*{-w1>uLD=v0@7CEdl>inB-Pf%WJq#z_~!rYnI2{kaWDVoBWO0CJh>GFHw zPR=fP?o+A_&ImH*fu+SU@_^`9V=FEo67qIuhim4i!9yXapG+)qng2~&!$dc2G-SU@ORA2kf!Yau;I9D)I`R~j0D7&5V-vvza?bFkV|94E(O$#* zN-J>ZcW|~%0#6}?4qdL})O-or!QaZFK1`fgt)^%$eT8t|&bj5Iu7kzqN!XKd(KZV% z@138R%=2`PT~-wawK~%ZvX#RU0P@Le4){-RO^4jz`Y7aN*ry1ycrM)Ae+7rY*1;Qm z!RP9B4|Kdt}L>Ve8+mUi@I z13Y0j_ILpuUV3OZC@OGY(Qqln18PZTSTed&0S^^pFLyt3_wpbd>KAJ&+J z$aN5VSysG2Xr-AD;Cf)>2%8V0Cv<{Fb6MK3Z8GbMLbqU!-3l~PBG-u)#bPDEVV|SN zS?bKdcK91t>|oZn%&E)cMp1}8={K>??0T13_@GOaxKF}-A({)J=Hf!I6r zPJ-WE1ScAber&@xVD>@^=Zqj5+-VAm13CpMNdB8JS@~_gMO0pu&;1 zV+~<6gP{w@Z`&$B30w4@$e>i&!XJE+=kIJuhRa=n4jG)dgIoik)mJSKcPH>qQeXLO zrCn!yLpmz0E~^ozH$E7VX_#SnM8MJi?$Dhb4kO7N}x+J^uh=4CiZavMdX!f zkYLbAdnl@-3gxYOje?wkC!_T(5u$2;Od-dlxdBS7fcYX*d~i1pq2t0 zJME{*pheM}L8;a#P)v;R)e_=`W)B{m786Tbm2N*{RL6{7buj);nP}QAu(9+vd-za* z^iKVIt!BqS@!$3enbbvC_K7MPnxDBAqvyuDheAx8K>Jq)jreX9SU6SFl=0<-&*CGM&>3n!K2(a*WPTYD-s;bm$eSr!m zq_jjIK@JI_q3IMFT|wDQDy4RnI06o$ngBN4{xxPj-Uruypo$!^>UM~;c!^trkxLGGuxq@B7wO|Z$0G$8`y#hfNWL#SQZ85 z!Z~B9C`5aQ#^a>3#1YVrWWPTo3!-FN^zd}q+05LRtD98g?C5WKtkYT1-9$_E;LE<3 zejlPBe0M>yvN0``WRjdjIn>C(Yy6tRd!IhVGA!u0-8u{*pDHw0d9X2I;YlDVe%TMg zT#9ICPAVr9J1lpeP93g;0>P^aT_!WnSPp88q5sqDcz@zBL?&xMm$oo9L_q9Vi2czz zOufa+I?^rA!2{{ML+BkClJXBX!<1n=N(Mf*zGh+S_cc{3&eBW-gKBYR;3o+I;w6ID ziS?M2ejw(p145t8zc+1wlG$e1B*cw>iR0n6egorZEivpNHrSBqGe%nQ`UrQDZt zaB8uW6GCt3zs{!D7J_{s?uC>k9H-P$PdNC-UaxUv=_S~Afz-3#ZE>0st&+}9;43NL z+6K)0u4^sHoqKtY^geMF1byyRKAgitH%{PTX}>q`4nzdpK}Bik^sy7RB?Fs)IeYpu zTXgn$$@*m?AQ)Hj{E*hx+5Q;y`XXlQ8IJ!$hC{SK7){`cFmf`-`g1GDo1`$>LIse@ zDG5W^!~U_{|0L}$M+Vpnlj2I1Bu8Kx47l+JIn)Y(Cz+n!+OlKqk>NI@kKMnTh;M2+ zHweEdQm_%L3>h?S{v)RZFO^Wr&DI7S42Skr79?{}PfopyvK7i4S)hI=%l}4~$s^bA zoFG1(C9T=jC)Yr~d}cT!`u&JEL!x#x%|*}C_*iK+>LP)tcI0Fw zxdha34}=(nLG78T`Ly<6Zs76!*_ZUXgg#G=W^gtSJ0q;qatI^aP4jqJvYF!71`tRH z&>__2uzuIBr?{EV>*Y5#6`kdGin$-I(3K7@Ph3{dT7UPh{H*xjNf2A-{}G$f=6qcL zl&~~qAr^;Jwf5|U`Wwp;zc;%x8t+Ukg2CE|`LWP=oCto#){!^Ic)ysouu>`MdK$#j z+cxMMq(fYpNVv@zp!pU7elGmTri)nXfqa0}?tj`itR&QKXsk1w6?D9~7(x$qBPP)Y zY9IUS`l$uozE;vo2R}UlW8dJUMvtu?udkiTz+9%j$W4w~#vm!DL^^0s`+>W8Ldc2! zm$dP32k||E-H>DMhbt!k=#{lAT~zzol}!S{7TTc(v=W6zYjqAuXC9k<&>tl-uJeOA zU=?#~FJIB46*Xw#pR0W8(<*~9ndgD~-#cOk3VN^czPTQ;s!}bQpd4Mdk5H@hv@Oe$ zi6?|r4rBN3z0S@kzD{bIME#k6glIfy{w{>StjQok{A*E)LN5f_Q5$vu;$*k=TrcV5 zZF3paKsp>k>Ofq2!GO)sI zGN~va=kii#8e#wUb2Z>E2wPl(vO{e?kmZz*cQXyn7$hZ%_|syGL}R-Fh4fWONs{)I zYf}xEltz@Y%2n!tgXmrbeI~Wrayj*_BDJ;xFWO&%2w~OU31iCq1o>TsF>;kn>*fV3`7Q zUa9B1p#N>%`ryc=!`parNWDEiK%u6~+1#vqX~cR~)!67cn0`3(gabgNB zYd2i6GN!QHs;1_81*$|PLz-@zGu%p-{%e*u<@)BiY%yJCpH((V^QB06XoR}0P3JhV z)$_;wWB+64E_m*}G+tFA5nB*#OkytyQq;eXDjsWXE8sg-aGB8r26GS-9jDpX9e8Xj=h3jT#lWcg?TFNub&eN@!u@uAv?&Ozwgk|dVCzMBzvj)&q^v-X2YUqygDKRD?|Brjg+xAMr(Ki z=}uwTl9tM+fdR@8iBhuU9_xp25UQANBQUGWi?u{zGx|~ zYNQZ?0kqYk$=MvJs`2$s&+zwGhhh#;ym*x`&ex-m7?1yY)V^pJm(UUu->x20DR*h= z@pf@YUNLCVb;7e-e`E4!Ds-qS`8lqr|8?gg3vI-;!cI2z$(+9pm4zmH7cNhlkonZW z1d}TObXoe^)N+>|gx@As6)aFlQv<2@=H62CW=()1lqjsXRe*DgmW_`|LK%jQRn`0z zAe0ExuQ*pZ|2WVeY1^P@HU}`6;yW^lU?x#mzQX>Lin$AE3Nk*iJohnDyOJA=X}e$y zrgM>}#~_@hSj)>r;RoR~7b`l%)mB{d=83uYk$7P>o`D#pW_)w9(E%Qus$UP2Xo1?Q z$$-ETF?Xp)-P;Ypv-}u^E0@GdZxKdmZBk$t8wLHBFxpfHl0%Gj+Y7%er6~Q%D(xj1 zS}Jkhxf&Kn z*l~5WRJS-5K0v0V#0AH{WSz`HIp+>{d zqF%Pz!YJ{q5cc%aeQPXXZ)s7T$@fEpYWXm$yZCKvmI*s12mYlJcFh0n1x&oI0(*&v zO}Ymr4HWgKX-yOe2IJ0U!(t-W3g-mz`57DRKWA@Gn#k(KFQc9l9KVl)nvXyGg_eD@ zzN|C^Aj!bOZs`i)ZB@(m02`!kU$> z8pv6+lv0)$k4Ux>pCaBdXp{q3*ReRQzQzmyAq$l)&2>xGaP%r7BZA2|f{>JSCgHyN z@(g9`X{BZ`GrH(sMP!+OCulP2d9cEFi2cG&F`d57Daifnfv?~krZIuW!<+rjACxFD z1}Dlv?jU^4yU}?H34m`Fp%jo`yBnYm_eAyIbYzrfx9B~l{WiuY*e#C&UCKoTlgClJ zplr4Y7-;H=LW3BWT*$zW6KZ(OX1UfWtVN z5g$sMsUm5A1fv)7)Hw+vz#gg=-%0zrOYl|N2jW>c>%3Z4@^r!PeS;r>k+i}Z_h0dj zMd+qy&R&;1HtSy>|5Ho&i*s8?c5Kn5@QRsbFKAXkv8;$rk7qy@N*Mu7Xh{Tdvyzq% zBS=+*K22wpE($YfQ5YbMGRhCD!pTN^ygX5b zj0G|qbX{A)k$MOgWAaDL5IgtY&o7qGH>!!>vTb*DQ^m7q4DEwatgXZTLtwJHQF7?L(U)iE%! zD*kHy%RdFz3q=I4kqWQVxlnQy)Nkkf)%S>Uw~l+JQcg#x1RC!u^#*QY1nvR8R3EM3 zM9;;S2k`X_YW&xPU&==Z*NqYg`o~UmBH#Bf)jqnIi0I9PZ9sYX_YzgQzunMje?XqY z7P@;a3YYBxAJ58IjX`|I*y0i%Q5&F~3dyUZ_Owm(0E9vVw+!V4z8*bVD{GvE%JQyd zzPEZ9z795Mo-P(?$IAoOSvwQDNKwZWE%@>e#s(l1ZvtOCey;~Vy_k>dUKfAL^xaqI zhRQMq%pQ1&Rz)W1Emcv#q&V76cjxW&oZHMx4;D77cr6MEEdznikcZHM)}*%W(M4r| z=R&Ky)ERlk2t9Ogz^rV?!Zew9#v~rMjKn;0tqJQgRLm)`3fH(YQG+PmTY@rx!puTG@2BzMlvo?9D3D~iGLl-Dq2 zD&rVJbcFLytP97k^D*hjt4Nvz64} zm<*AYBphm)BNdvKWRy7~+W}$(oKn83ZfSgIW9eUtF(uNZF=2MY6+}|-in7QiIG>O* zEFGjx)yq#*U1>-sBK(eA>`?v@v(>{P&AQ>@fKt&qEE!f&!6CI#M9)PVxyBraWJs&d zQEk^*ax^WdQ@&z)FEF$AFMc%o;`nHM3>#Woz*~IAE#gnVf8q4n5Nz^=uJy(ber=kz zlPZRaYXq^%mxq)|__c0$K{cD5eA#1#!omoCnJlg|5;QyiSxR2R7Qib<=^r0@I`*vf zHgb1R;YALUJ|_Hs2`+x)^bHFu0R70jOfEWqJ~20+v)!vaZ1T_}R}eoVkv@6J?_^$I zVT|bJXr%i1-upr(*#wMch+A@1h;C!Sw-v_P0z={W@pdSP#2-qnB%heaUwXHi0iPi| zYBc;sUR3P`J`P>T3^wK(bDA;H)FJ#~uxe2H1xW*!xg~d}BN4LRt-&jFb9giNA~7bO zfSZ4E;=zl%sJiF8Hjy~(k9WV`biM!x zZn7FGFN191Tr@xv<6xG?0ZcEocL<~ztc3{uILfcPCkC>i1SX6P{U!Y@<94FGaZKEm zuF>_G;ayn@!Zvhy9aC)MCoZ#<;B`L@aeCt@S^6y0e%TEtJRzc-hW7EB1VVVqzAs8!)zB>&?1tAQ zOGQ?zqXyyYzOPc7`SAxy`crhy(`;ct3Ti}9*+ip035i$Um{9k6hYM?eyqr>|_1jfq z`m*-BhfrIn`xA5)u@||9eEF!Zm;B#S`S<)(qdo!!564kzc_4hGuc-=^Pm?)mO%bSJ z57RB>p&TX&Ey*feg;;eMSla^a%!h#2>0!)u5pBh-@|sVx=WW!X=8pjoQU1+>4aqAD zsQE(xslWYkk+CIhMBRWS8yRCz6kV=2AZQ6{%0!HtjJ}ocUPA~QpUWBN5IYDB5o*CV zIS5qkH;rY-VOblUqrzJrIRj&yksAY!A>J`_y2(K2sntfbmCIz*y3=K1p-0B2LBpwO zI%n)ZxZvTFpgT77XkgbOjSGcsJsYA+m*iJH&U!(u96M{zhf^=PK049F2~@PQKt8)B-?LvkywL67l`1E(#Hl;l z!#381aIn!yRd^;ueRk|rgDEn&rxclv*EQWG@Op@ks-`odtF1zX8YWl`RiT&{)1t}5 z0m%R#(`2(-i_o+Xn9`+1m3wp321yboW4@%iYbBsl$-YsZy~i)Fx2E0r03s9>#asQN zY&j+`1Ktk415@HS>sKR*p2QcV^siEVA!wJe*A>O?^TUv9gZ5UeuKF241h=Op@urcN zVtx&IyWb<3u|>)dS(8n-+)gF7mXD$sH?uWkv{prWVjVh5`i_uA06aoWCtV_piBXK0 zR=LwyTV-6WS!KL&Y*%`SL3)Fki_g`$_yv>hm*veP7S^*@met?VW0C)+8mJWm3LnP^ zeiw26E{znT6G1Go&K^HnqUj_Tpd!*Slfw0nqLEl^u!I|gv<)lIvn6!ad+ z3$Q^0*Xai-weXJn3i@4=Ync8GoHl^F2bpP_3{}G4`C!igCw2k?a?Wp|+R3SkoMlyL zG|NR^ofW@TouZ!V)vi;xH}QljiW6a|%uZ@lUI+sk1RL2O@|*T;yb%Fu&Iml|KB`se zIrk&|rnK>_b~Z9w!2wnrv}6O-#mR#eZ2xc?2^Z=m6G@o`L{ZRu__po~a_l1HW}LTq z7;=3Ei>dFt6P`YQ*1TUdx8@u8qggi-g0q6^2&LsKLO>*Nx;NhG&&Ws*KpZKkx!t5B zFS(+x>U^zcat0=Mv8AQUBqg&@g+wz|9Z_@>sfEjw)KCe*gp-;{ChT>oDA!>vAXjWm2RNK|Cv`m!Bv7F6P}$|&$&gYbvG z!ImFa6=V(NX1-p*)PZ-_qg?0q9RG40LY<0zL_@=+%cwVKYcY+^B$YX)hBOGH+qR;Y zF)z+6Z0wNpSpZ7oRWH=P&JmxtRjo@-zWd1+4CV6VwZ$l^EW632$4yc5I_5PDGaok% zaAzB<`Gj$RRM!hnyusK&g@wO~P5IUbV@&P7PVxNW@$s-=!N1ouW+R1B_Y$)(D7f$m z4;^97cVe}2#TN8&=Qt|t@1cJcsV6pJ8#FBX2f$dVUUhO{p%^<4fn;Lc2gxavCUao@ znDt_KCe@wUQwVTxl5U&IrpNfx*)#tveZ;-nGppZoU5b8+bQEV;DvuKRaR$zwI?;{#9`@nkV1%i`WT%F}=Oo^phzjrK% zX?%UlQx`b)*JnSEyd8h+ROK)KJ6N!mIoVmlXQJ2q=6d%)gVd@~UmI+}BN`1b6bMdl zerlfv3=3$G%EUFNfEXXNnQ6eMtQ()kojVbO*7i>~}quGN%-la@#W17Fw5 z;;7EK>k5i`ab)_5sd1%Ex!ZQZyzu;X0^Q`hi6%}()=a12_E_zZ`n$w7gOxU-x~21$ zCQ0{BQ7PJS71E>jmYQ<99K{Ca))e@%zHfi@E&euNINrVrCboX&!PiYl(0Y*q?9Z@I zzh(k=Ayn+#;zDd2;rrT{dkBHEXx>s530flzu{23IZZi6xxW%Oik%a-eppKY#QApz0 z3^cNO=P@N=?}$rbr;s^)VxCk{Nmtuy4>%7qH`{*>Zncr#Vkgwh=0m0T^dnqM6LMo^ zDD0DHH_!t=^a}<#ez?4NCo6@QTJSOYaMEMi0t)tBDr@gvj8Qit#WxJ+gZCm4H7x+H zp5Fup8R4#|&RV1|(4yDeA}xKgD`8x-Qt!4XY zc!^gdO5ia$421^RM7z~7r-b8!?a--3utCK*E!a>lTc2=rk?Px1O^Mf|i2DXg$Mxwa zRf-?Kgi;(m*E$~kd7WfzQ+Sk@%a}#yh9}-mw=iO*px}qloF^p6@-s3O;w+iW1>SIo zwPE)1d-dBt@d3!Mbb zrS881I#`~nf)xEPLw~a`L9ZqfUX!mp=s0r97?*anb$@64Ox$~svecq4C6zMnGqS+s zewMY^TX|-0OBH}MPU^*>shR^@eJAjjUb`UV@k(*(nT`gp?AL1=c?NJD+oxonZdTg~ zfvz8=oV~XLmg^VKefG%Ks-(e`kb4#=-s}6$$ELuiMK54XZ_GZO;FbIL5WY1@4;uZ< ztnjdvS`NfY!Hc{w)kpfAZ`)uLV$UPCgo!fM@aBSkdWJ zM5u^b9nsbFKkWJDy0Co3?Y!FBe-%-&bV1e%d1646Xd#F`_wLv4ZWaMKnF?@wb4$eT znkxdLb0YbwGjP{%q;Qn_{^4uL#a>p~!~kf&)nUiD&ZhP$I?%?`;$)myjpFDIDa=8U zby39Ssg@0DQHqRXe2%1Xpsnqpj3w0X+dxLq8ovVlQm+-@xB@FsxUnR9W=6l~x;^pF zp|6_K`hV*`f+k~1NQYbfNH0>QY^@|xeeUkO;{AI8Th-e*#@n)#dvx!>+ufEW1kHk) zB;pajqB&HugpM-AVsGDo`AZ2ikJ@esi?fwCRH2nnG7E09d4f+lEFND{3-#ABH_dh{ zheR$Pro<>0GPA(gU~gm=aJZ$~0Af1eO($MSZ!tMlMtwbNxsz2$+7?AxVhS^DBOr)C zEM=F9djy$4>Yjj00+m48BEt_Y@+U0immot}20O2ojf{XoY|1ik18CKIfK)&wb{rwz zp?coqcO*jE=if;Y<%M6Z3kRIG`L~8QoFf*`%4;XWdjxDLbT%YZXfdj8;B=tdUDI98 zJV!Zi+@*4N27G9?F5k}~(AfgWUv@_~d)mZTzJ79Z%RDQWGq=Zwt$^QT*_`>P>hf42o5DQ(xhPryE*E?b2Hyv=yk9nDyo^WOc^Hb{d$O zq#56ilez5F@tiK|EoDm7GkB{v#Y)saX_a=s!b7{}0fsLdg@T6-hQ)SO$I@eXw=Yjm z<%@LZ$)vR+CMacjUesBN+&q?wVu3p%WOM3E)#)*NmJOhW5GN5GEd2iM8#V#QM{_S7 z-N0inkV~ppoU1j6Hq8<<&$qQAsSUbhk8Vu}3CZD~7=2b$ve*z-sV2Un%CXg7lQP9U z`Zk>qUGH&Ixcr(eD`IH@i+B>JKIG26vA3LJnkIc=hH{iJHA&yz`D+*euaj#LG8?t~9A^%LwBI@~IIkbY7 z0SEoJnC!TX#$?!vHc=ot@J$9t$mN)@Pdcbl7Piip!|7J{Nw#SNPR^WQZC2GazKk>h z2L#(hKuc|LFHc>5?=;v~24)eJI>rIB&WEnIxCMuXKP3NgtNG#A8lJ4DLj8TT3nCgH zNu!w>JHZKH=`BnsVE{f+qMc=pC&1Lze|EpxZxCWFQk{8+aQ2uet8}DpK(++X%jY8( zUYi>`-^AtHAqGkb^h8IvUhK(v!_OB&mq(B5K8nBeni^-RPp9Wq&@Gj|SKGxB8}vJi zW<5WEb?iZ#p}Y$1^R0VA%u%9Z;N_+Kkn<1{$wi+z-L~}-xA%mmGZpLGYzwC&JeDTi zv6+wQ5BAluTRcFx%=J9ZHfR?hi96@TF~Y770$T##r(uHgebPM}L1qmmtY1cA+hOFE zZ6g+$ta6ka&ZoKhqg)`~Pbu8|PDLYeFkM7Ekar@@x8$ihuq=!iaHPItw&XEi7mVr> zHp4kG?t>@DoHy>*!EF3aD)qC?euv?v0}F*Ib$*KsLCRNWQSRD|+6v!PpR3wZv1Uif zlhL@nxu+=BupU10=esVNvbruY>U9VF%emo{z*Kx8ioTNAkd^8kwHu+}aU~w)3+Cq& z?#7#m5$pY1|LTW?Wu3aTQZR1lCwyhytYY3(tb1l_BXH2*nE~cQamAIdhmJ-u{!JKV zp`B=H9iN+K7JdpdBYz{n&O|h@;B87*$8xDgZ@5x$paEP(*&2=-96N{30l(_L`s?S` zMhHSH`>05p7Jp;*kXOk$nUbItspGL7O|=DXju5`hUyST88UcT`(rh&dWTY6(&HU5@ z{Z_`j&S|K9oc`)(Q^e((namwSe1z_I1iK`?FZ z@CvwXEPDmKwS#-a7?cpU0R}5g%&;hG;NlVdf4$3Ci(M057a#}WC@mt%gNvZz-?-t; zu$oZwuK?r+xmq;CYAD<$QqbgO>#pU{T?^w$?FFWu*a1!uYd5{c$)8Sw%kGQ^MAUHZjq*CSbtBZjH*j2&2oa46W(PUCauZ&|0_(DmQ5rg{PRR7W;x4d8y ziN5o$rWv{aD~DFvqb8P_T1iilQONaV>`d+*!152_2M5#euS7@HyWc)|q*OaRbdD2} zTxAKQZ=_NyE62*A(G>yzyB6U7Q2Ql&AMym>7P7qj2{geQ^`&SXQVvEz*5uNU5|7{_ zP{7A>g#aZzR6-)E_4fHzFY&7y4~CY(j;e3ZN)HCrc;-4J;w)wN_&D|$;L>9Z682jm z_(?Z-^9F{VdyZ+grrkaL2u?84%ndiXwYj+V3+)wlAv05J!aKY7(xGq`lE76AEX5x0 zS}X9CLwxf&Is&CIMBt@gUjc*Z#RGyTfr@fY&OD_#C*)7I6vFP$BPP&ptjR50rXp-r z7BoUfy}AhM{RdFq7WS!-n49OdQ=fma_@6vPil#$i2uQf zEV+OA^wCRSjr&KsE1ap`FOrS($N=9FTZ~yxY;nX;U7HepxvY!pGkAy(dbTm5EiF#p zCV{3jmo|ztpI^Q#i@+Z12eQRv;zdcNy&}!bw}v4hn}!~_t`5P8o}s3^(SKbFPcuvxbb*#x@iNSs8v!Xqc9fR zJ7@6-_&!o7@`2Z{JY_HyBW{1F-itUG`VB`xM7W?a#w&mBxb#{HF{qNk^B0&WeSW{M zkG^URCYHqXTBmL=)v%_AP#ASRdC$(shvLTg-zbg$4*=sp9KREw5d<+990;)U;ajjG zmQAuK0t$wvfEB;(Z{j+ZrFNBrw8YZq*TN3!RQhhpnARhVL#AC+Z{~x}${X`OwMk;mv?$lodh7R{xk;zLF?U zb&fJ8EEr+aH)a;HG7hCHpYm7y+MT3U%V5~IKtiXJ%`ss2 zur+Xrt%0CaydlfEmQ3mi^A)s+8iHaQU2wG{_y%4u9Hmw;C!4!XN6rBXIzT8^%*p1_ zJa?6B9!+#hrPnXeXr^0v_3ZbM3RX@N0jbaq*xu7i^XGd6H;Ck{Nb~RL9_PxzEJsI^;C(6u z{dZ3U8-1xOAip+JMBFghI}XW!S+sAXu%mOo7f8E5U^zm6i)ueEnJ{3_&U_ z7tD-VrnEwkO3MZDW3^6rfE%ZZH3Y?RE|MK)+DV?O1Khm%HS%1BAUlKM$8AC*XJ<+p2WUJb0&)!8WM{mQ3D6PI zO*y5IqyGd2T+6V$ESQ6T*gy2?!fYMa2bunt1tnCod;;254dJ(5Nhv%F=Fq=P@dOmm z2t9_gGuFNZ7kZ8SNAs(z>Yp0yxQ@Nf;?JTI;A3IGLv=*xcFZ~o$# zNTZR2XU;9IKs%<*kHO@58Ri~gxpE}9V5B#or4%S=Uo}~aNN<2jNd5W{%vp<)-hihm z+CCpTXDy7!0sX-z_{>mdS&+Bb&oS~KK;X59&RGjo34Ds_TG*rVidEJEj1R(mfP{&` zBjd-2ZuVmXdd=K5@*AKYpccm&b=#jBOKC3?ZY%Q+Nv;!5Jtc`xzc-HQ_@^9n6s%aQ% z>C%-v6Kz`+Bfu+NmTxmF%SamWz9JQMD}-Vjslx4Wt+-p zP@o%OiFmVthkE3tbmIn1Tp8%hMK7~0EUWay{ zA?3!dUA@k(%YggRcr%npP(F|P{4W?X7N6lfF3GkUCD|B{{;oa7&Ys_MeTE7&JTh@~ z-tw)zBWn2LZ~f`-cs5YOx=^dDM}w@a4T{1X3bL}U2FJR_PAC`|BG+GWB@wbMWYSh_ zGNr;i7^iFqR`DHlhLnuD)eah|)~s1qkA`hoSMSHdwydkiqc%eK{nv~{ZIha1@A)~t8Tv$tq{S?k z1%p%o$(xIHZg7BML5(-pl=&2<28CYI3(tPVC@Zz6dWS0MBT2GUgqHTwd_d6)5qSt; zE0RXN92)XM6>?^(ofhX?72(lgQ!&a)89JT6Y{&5>JDWD;^0*cQ&rdS;0=2=_j2sqV zO(=w&O_XL2`MEl7iHnxFh!atm++1TW%4>J<$=80}H@)qJ)O0O5WVf}J0cLwL>F`nK zLRU*$zLMA2>LYukF;x)dnN~0tQUb0F>W8+Blj~fE;|81ya_E!L$VSDbK_LUqrFboA z{;Uf_k}kJ%?3CLds(J$+DKW}X7ZMHXf=KfUX!nHf>y~YUw$?9CDzTB0zdm$T=ZYE| zN^GNbu2N(J@Vi%ytLj`)WwW3)U!khb6;(DsS=1egUtXh-y~=hx4N!CB`x8~RHL||# zZ_kCuRApQD3X$UBmg^Z+wpG6xSluEWw1eU%sKeUFNIPWgW(HbXZhw7`)bP0^29`^U zHJMJ@tE48*BuhIqW+60H-AT_$-5hW6t?226x1A^4GB9vex9j5uDL-oS zFwVE?)Cqe9y~X z=Ed{+&6^(&|M@@vYyaf4-%zmk`o3J>^YTV9kbU$( zIob0nEcyownrU5-Y}o^0gs4lA^5HFH!#?W%WY25V`^jc~)cMJt*SPN^1O;ZR$92H(89h)o*wgy4$Ug4`4CDE%i4On={djHA5k8nBq|zxpEy0}7yBJh zz$Pi>p~`npPx=MW4}fV9#)tDDx*L_%=5THjsL zF>b`cfzDrqqi?o?n5d4Pp7e`C>URWOqxHy@FU_tz8dVX{an1F%2cFa>G?PU9MM52P z0ReB=24WfdbYa8~SaWyiDL3``Y)zaVQ?pnTT`2W$pZA`DS|`6}*lgc3A?PXZ8MX%A zGyJQ+XZ%=bd&+x;b=&uh|1|QR;p^6c=RbK}Xy`q|p|AU%z019Jf5H4OQg{smk230{ zd}XgwPlie*Hy;q?Dx<1?Ya}>jJKS7Q)WB7Z{YjZqbqPVB%E-!g+8-mfa%&?PcE&k! zgasz;kMqqLVRG(NBfmhpQy-t(vA=Xa#%9aFql`M~`t8JQ#pP%zbGD$|LBTRceoay4 zfTt!$9i+^u64YR>sm@=v(+MAi=ccrEfnjG?{r+9^`(-=rFBCy>|3HPat6D>Mq7l;% z=62ej20u3S@$#tWo?b2Ae9Kc^au4p@!xq{|OAv%juosn0EY)RrI~VJ6Q{W&VDJb4Nx_e!%Rz!U(9SwQ{xn zJahZ*kX$+8p-Y?6%bsfnVR7#mSMAE*VYica1-ri5?+WX`|N7^D&X0dp;CruPjRGN` zxK3bUR=`Z~@rg&`tWiGW3q!1gzBe;6iR*2G#~s_&=(eFrL!l_KqF4@Ju|uwtSP*Es z9u=UdNM8}zaHV|!yA+*_d}#3)U2ugtrd;uW(WlzH7Kaw4Sp=Dr6hZo!dBsV$rMMz+ zt5d=eTgt5|jE+tI_$9vQ$>jeUFJ3nD_xwC06aPjD6l|~b zN8bK6u^xIMFHop}+sB)|1^;t(ArT>nX)wu{CWnD{?Sm%xXS-D(y%eSk)+rAL5Rbd4 zIeTC81UFQlpaB}s^z>ff5#y6Vk9H$bcz%R5XK!nQZ;Y5LkgvjBZXY&{dHm>WlyUSS zS~qLXe~92SriTby?L!2obLK-thgR$Tyod5!@t?wD?LR~~-s60TM!ebuyad9J$}7|e zEWEOD!1Jb=iwoBZYB4#fE&m6-`0Q09jKgc2c9_V8(~HOJQ@m1!A(dA=L8RGZ&P0?_ z{eEGJ%(-})faHeC;NEn6L@8A*Lb)X4`ZZAnE?8bpKpo*|>eX^blUOi>9LPE-5hcC_ ziD%g)=_k53oQSf&3Gcovh3Fw|&UTjQ#>{b+D!JLKU&j@rOYwhv|C_!xO?J?}(3Ugc zcs!7wijDg#Yo1X-W@V|AZ41P{$epSnk{4n;LXa+n3xX$E+rsyt#!}l6f^;cd@C23u zNpWCMn|TT$$mhugk=#K!7!S0LF5jw)xK8rK~@D0Y^$&; z?WOeKp z=szZ={O6zmK%PaB>|G!USX}Z1_7$wj&_=6hqj5 z=wXC&1~3h1^1(Di2|zWSlh#|s5aig0ms0jOTlqK_z(-VepJnBr9s-+cA7Ui(rb zBcjFR-(LIAyj!%G{Kqr#_T!gd_%FUaxKxM7&0D`Kfcg8*dQognvE@Z=>+TW3F3c$e z4+qJ-9T6xa+gu2sUGRWD8A+hR3<+Ix7NjC@L2K680CRcltw;^xg765KKt+^s+Df0$ z$-gpM1Q$eU4(L6YU9cJ%l+C_^AWgmt7Pq8B?N)t*5Twa>L4^364aC@(gXm^|h%JK+A>gG*#yB+-0=YfeeX-BE_9ttW zNk^wg=8_mfrYa$`uJ9@r9#?Ol1j*HYgdcHx29q6OvDxu1*Z8hoWbjtkb7{tf? zE!wM(oSM*F&wPtcKoClO9XHiliqe|-9uYMudFK7r#}|jBz$g9anB_nxlfQ_3zxn8A zIY#PlSaxHCbya4^XmXdl7@(nCKMzsU`5`&Sx!tK0&-hKbw&Y=1_j z(GRNXZxi*$w%`cY0&i&cd&JRC$p~(TqoM`M@Vi)l#P@-!Q=D1sFWYHkv_BO=>@Psvv?(!``%U-Yv@y$gc4M?X?&l^$=H`m$E#F#o=8E6{NB^zQ{p@H+ z)rGt*!e3J3bbz7hPMi8A)k>WU+O!**E{vqD-ex6UbwThdQ02iaU?_R3bSjPKVQq&| ztQra08n7Q(;e|zl7prBc5X|}x#u6!FIrPRL=pmTZU05WXYTksUyn!f9x& z`jVc%ne_Y*ef9tSo7X{MsL)ccq8rfk?taDUFr0}4I@`239vD<{9Tfx$K^jsDBC@8P z%)nAf&%2-ptr)3dLoi}RT3$ai0#K#$!@P>#ba)zG7d(O;SR5XBG5hw=lAgCiTRI!w zGx7?wruiJjYxi?hH-?C8gl&x=t*##$I4r_xDY%iQv%I3F=WWRo26>3TbJ!hhI7|HO z4EwzsGfFTrimqd|rljZn_kb@9Yogu`XOxyaBp=C=7dzS}-qlRz0=oT+V{3C{spb|9 zo?I^fca>GW37J@%tBn(GEoJnnP?;}ygo<;@=$c~h?sx)TpHxY}CsK$RVIT3F{+dk~ z2#Q_{fn+b>#PY5EVO06`|H&`^dw)1uN|YANqe^GO1O8FzUr8CyfFLuS^U}It(7#Sm z#-kM%X(xpHP@?+6+ZqTKsXKi|91#FSXk#!2TCf;`)SWJf>8;8W3vT%y2|abE3!)o< zNJk}E-5uw%1@6_5qUk(o+I&r)?9&Kw04|olFTUdSohLA#gg>LtAh@v#9FXe-&bWiZPN}rf{ zs(Zas_|hxYzK3c47q!0z45i?vlz(gMrfob&IAzr^AQ`m;*l#mzl95aaKS|)F15Ok= zk&mnsmf+VpD`6?TjOqADSXK=K6XZp>V3idR|EDAjiRD82;_QT>J?;~_x2M{d@a*=& zvw63uHIGYpb~EAGue|t)KQ_%;%qfy$=Z`G#UF~9k_Kyw$N5gOqZ7rB>7}ByxUP2HR zMXA+=y=hzw41Ce@AKDl-DI6v&TQEe9#1GSRP&=PJhwNh5KpZ#(n5nDSlHTcsZj4(ncvPCv!dAt zL|bcXAWEmL^%a4eA)f;~k5DFV1Q{;6;An#=ZLJHA_I=XUx*)2WSGQEs*1F(mMAuWB=gE&nK#d5-l@IMh35N zd*^AOWkI%|f==an2$Tq-+N#F}c4~Ztrc|_(A#35t=Z>_0R5&uuw}SNmj(kp(E@<}j zbEIV9cKIHz*0tsZ*n@m-lsh9o%CO7dlZoZq2#pEMUpoE9k9=8ZJgvle0@HB{N9CJQ z{3Z2M#wpNoalz7?F$AmRm2^Q)J(9i$;==mPLzVXJ)S1A$d1W_%n9Lhh0U;WA|kT?&X zTE8>`#p|<3P8W#LqB8&wP=!#_!dB89jj}<8t!mHHPLWE!$|$w15ArH!UBxFOCD>Z% zf2+Ervmo!!=OFLT?ewnWd^2E!{gf4=PcmS6|2iW^E0|UjvY*f#NMQjxe0F}!9@~jm zyO$rEt2yQ^KcqQ+_^00VEx#IFUAj=KIjkLssOYWHVcHS#R%XqS0CjlN8x>P&4wP&a zXN{^#tBWel5rDe=sfA(DSF#f!rzfD~P%+&ax2HLD)*QyVLBQ0mC&)*^S1iNCQ8ezM zm-&(z?OBX5^Wa#*f{%GTP&9$L$~x?Iw#O=NfH?1266BshE`2V7o3EQqN~DO$jko`W5nsS!HwYGk z5l_B7&|$c`KrsqfjP2P#D0H_MGc87ZG2*X}>5O0Um4D#pf7548%m^~H9Wo*)i7V1C zXi=nGsjLdlUIzXY^hj&$9)jZHn_w}y0B8${Jd>D6ZpQ@+BMbN&+e1qj;D-)I7PKi# z>>h`fY>%%Pj4Y?#^b#6z+wE>*WI^w7vm%YS3kD<0W_4=MQ8QzHlA5wWDr~(*w=mPE zagr4y%Ml}9q!4rhzEg7+Nm3x+wq{{uIiU$qTgQ@QzB`4H1yINy7ma5BeNA*y13ypJ z8YIoy^Q`WVPB|fpWM#=#Kl&^eLaeTu?lRxRHw`TT`tb7UO1>8exhuhsW6lJ=cd!IM z_PJbSyosL#xL(|GXgq}K)C>R1{fCFx!xrIu!tya6FIF^n!*d4iX82#^$dv6xZ%`T) zbl6x*%P%`5)8l@z2c-hAHslE;&v*04rRO~j6@vGworP)5^uS*noF9>V1nrvAS!g?6 zC^VSe5NL~UUyW*1&}nnT58)$+*SCE&6i?~DUB<6+P2}F%H(e=aOtOs61e>gNRmLw; zjHOE+!Qd!INBT`!29jiY;4hFMBdrlDX_#NuTu*Hjw>9_cBY6|>i#EhR*H^w86?DLC zQKa;+Cb+NKE06B-qG~RVCloDYO)wZ}&NH27zDIb+!L`eyZ!t{1I{KL}CYaK5c>|jc zV=6Bu{%zZL-{p}9kGj8@{5bYvl5*WCmWEzT9K}fn_%U9yc#Yzd9lQ`TVUPC3#PR&a zNWXDx z5T2`24ccNV57KkNR{#M#va!Ex$Ntj%8JjwFD3;S9LwPwdVrjW{iqQIYrwDO$4`74k z_hX7sSN(n+{1YcE+wp@toG-Lj?0@3ypY($vP+dRSj{R}LayC>SuToyZOeO5>o0vkB zoCQoF0@ppzB_3B{a|he)n^>wtxuBG$?qRVm&t|Q2RSoJFf<(IxwLd)dEvw`eKhJsxt#cfS4M2mZ>G$5R{`B=uy1N;bdQ<0+WG1A1^; zTa`_!L44qcu5ZZWfj`6+W12}!5^fA?N{3)Hk+ng?p7uiR?D5$6Haaq^UZkC^X(9fD z)ivafTT|Hb?D1ecpwA-)2C6y9%HxS?$lJ9c6Vsa6<3Yz27_ihkG19{%nePtiq9w_2 z0vmLl5Wson+k?I^r1F@KKu)H;n3cx^YR#P{ZPvTqWv@LR^k=C{x>90_{1D}Y72K>f zkx=UK)N`mOy_4`kX7Wb&eUn(Cq%Fpi7Uz~G<&b3*xouSi%|~XSa(m~0Q9o<2*y^BU z|Dp-m)nf^V0Iuc)i6Wo1WWig91{7Gg>d**xb(}vPnhL?GX|?3g@a2#5mzM9?)TE|6 zBq&xU4FGo!-V<6BJc@=eoS(&AdExTDI9zzN5Rtzo#z!pf$}8EF_2P#=_dol=NiJzk zr;w1dj~}Lzazu?a7ef%#PiheDD{IOsf*q(UX=72Du+l^bGA?sLz* zx!gLQl}oe0PWBOnapq0-MB6|`V3 zSc2JOcaTJG7Gy-{f}>m?v|ug>Vh#d7$g73Xhs~i`VsJr3?rgq68S4Z)+6dBuxu8~} zxZ)bYej`Yqy9lmP2#`Z>0Dfr%sjZ42^6W64>|N~;eb$#p6q!qcDVZTJc}7;261T7J zoNqnVlGQVaiam4_#=k1~n4-t9hw&*Nyqr;d-F%|Ns2ydWZ`J%JK-Uy2_L}$59hVzz zk;*{haNa?RQ^^!@J4_KQQ#JR8?J?(=GQ?Z3RuStVoq%vG9bcojIKFJh@evH86FTjW zaIUJyN_INcvzu)7Lg4Z2T`=J*;__CT)(0P35!pr7g3DOj?pp#65Dp`^3rnk(qUPnGrFGhgQC<#OS{Jk_4~P{3h$Ltu>EUHrD!FY+Afk9G68S` zB{|rqzLa8vT=G#!LBe8B^s#7+`$#qIIfnYCWYh~e1}bmd<}V$oH#lohrU_oBUBw>g z36sHWYE`hFQ4eQ8=Wo`;@@?!#Xw*;sosU2FtD#NRw6$@I&7(ow0w{Rv!RNT;gzh*% zf8b*QOw|TBIc~8^6a>LA+(sEWZiz?;9)BAX45Wk7&C#BSTtWODcaP} z62F00l9B*kwUX4PE_v8t{JTh^3P~7Kjul0lx+K^hm5QJP9ls_g)6w(o0pJKBom?Z} zsoGzZ?@xzE0`>vti2+0`eO5=$H)y|F@w!tILuJ`>WG40g9NDD>On+ z8r8?LyI-FU!^c(avozI85)5M8akWGmLonfi?>;k8m|cMF=3ZV2d5}gh-1aso|(L) z`o6C%QEq=rQ(5Ss@<#jn-@Nwz&;Gahy0`mP>cjWvE&<&2~Tt;_7I+A_NsxV?P@Po@o_GqDM`=`y?qU&m}RfS=YoY!FtWvhF0y> z)hTWLej`43&rmi7Q0+BZvFt-MUh68QX}jW5aBJ#+n?-vErQDEA{2L`w7JI<#F3$bU zQ(UK1M|z8ep$Uj^2R+YCb=V`n80AzHefGntDEjP&)4+o{MbXphj*59*^~DF6h4AdV zKM?Bxdkv`>w@9i4^KQ{%lpha5?77d_fAw3U(xMe1H_Be{G;$BdmEeETm`!32=H_zU z8WVcqlhf9&K-k*|@^$tV_rP2U`f86}>vly6Ky`goV$f$S;o@;G4OF?nEdi(r9#J;Y za1nEY)r#4{aE=myn&7@W(R#x$!hBl*T7HZKpf0%GgCSmwGGdM9$IvMIk3stx8^&pr z*V|q-n!$!kmXsS9TFkqNldS62AYL(}44(S-;DP}1H4n-qgQIVOd23Fyu3Mk>+OCe` zmURBdwVZ9}iyf5@YN0KJF0@HTqI~wKDH>d}`Ok}|Q0#pi?6R}oCAahbH|%zN^6&g_ zd=sDa7n{o@vYZM7g*-pVVU*@zaessjzGFkE(v9`XV=bH7o zCdpo{iRD|9#KQIGeEpAn-H%3xtL7cs3dU$Xx++|2XXJ1_n(EXO^rK~F-T@Z`l$8U! zSrb8_S(Jr+1ue;YM%)}a zS1<~jEsD3o>K8SUNj1ecT~)~aQjo3rq#lw_N?w1H8={g5^&p>IfB4_#>uR-Lp#juU zUWB+``Y`h@kIeYqQ&ZLmZ1?g4%|EQ01B8GHBz27&lBv)GHl737#^`6GX}Y;bVs*-z z;8Rh_18^9vWO|4%06asdFxTAU|69rQ6ki~{OA3JOs zw9B6<{%xMC{MEqM@eGlktf_DDpL{IT&|`-a#rJcL5GO@x1jHlUWX#M~ydIKE&DMh6 zP=+>RlyH^GeSP=+lGh|>@_zdfAC4TlSsms8|@aWC|0 z`Q}@m5@I-46!)-|+o-Wgt}983fdU#!?J^0>JuJ3k)k=n-uT=s+%~$95X|r-Q3gy_V zhMVj=j`w$85`K*KA-f-AUCF>4gO8KAI#57KuT3UdkX)^CMIA7=UIY&WZ@>g(L3uz; zF!A)Fm!=V<0q{d34NA3+`vvR6kSnh!kE|a$czRb-Zp?y`tMx-8p$yN6u#&BWW?4`k zViQCR43G!~*YHA{LrbpK1=l-*+Of!pgN2D<$26nWioMlYI zwpC=HbE>u)(A{D`l=_iFEE;+$ApMM=b9u1%wP#i>zYHxR!3`9f{zcH)VnW2qCM7Qr zvSbyMZX*>)5oT3pWTL~UW#fP@yj?a98QmD?kC+m4W9bJg@oiW&*$%5BeqGv>*S9EY zTrH55g(9z1^+NAO>WtGWV&CVPFD`})TaoC!Bj0%6B>MP#( zC*JkzkxQO%8USUI#Aa9q%_Y03Lyi*AZF(02QvkA63LdZ{GN6t9%0?-97oMuHgIV&! z4;|jcz!ZS&G#s|am6~|;F)PMCR?JQVD9$xth0|bD2177A4F$E2?qr+b7lM`3fSNHf zIO2!w0pD-Twm75iUN`~gagB6(E18{!GCvTRWC4%no1=ZWwx$i{gVTWWdhh7@_H^JF zN4}lpqkUJcqW4OKrucE~d1kM!@UI=$q#bX5dv%9)4CZlkJZ_D{G|LfD6W%XuGZxnG zMgU|gkX;e`mwx1}U)%(ORaPPoxd-?551tKhcO?5H84GJs{)juW1o?d6vO6NLdU8j& zo%|2J;IY{RsdTQcGoRJe!i256Hl}sn@mw^+*QWkgmmQwpY8rPy1xM63^r=z3rh+rj zw?*Cxl||A-68Ypv5bu9ZD8k;J$5nxHUq#M=b|l=w$S?MorRh#2T_Bq%i2OC(q`X)Mf%&%P*#S3ko z2kW=ThVg-)_~8dXkz4>tsynL40AEbBa&`m3LYp3fSB#7SR51>XvziF?MFtXf_~dw4 z#BJgNxS(cS3H3!rx)GE}&;K)BGBB z0sQA^0+&c7OnPV~B@*o9yBNp`3^ntxM_{+$dBoM6^e1TVlW}jDrPXmb zD+?=&xfkJob)DhnBK2tCRoI7UNxIqxK?YW(dvhSm6v*)zkRut`r69Z%gr{OmhKH|v zQq1dRu@|S|W}JpE`KEV#>+ekkVe#PFG=TB>Flg7jeU6-~Gyw2clD|HHI)YjDELf)j zNaMqN0cIjAjyOW>6gzmH8-hE95$6@_GytG(k_JHIOq(yL(g0|sl`QuGMeFv^!)XA{ zM{0gdP6Ht9!RU4d;v5~>2v%u;Jvg*Y@?=2k_}NVwKnRbp=D?Lyr@_(ysJU2bq1)V4 zZ*Vf@S9cD%RW7za3~l~u;On$}3yKa%^l;vO&dT+9r2b$}2l!v$ww5%&>mv;?Bg??J z)U%coS%x-*Wna;keD&9T?mq}ks#6ubrdsB~?9i)2y@%;7#*gUJTNGO3g4XK5nKkIe z5obIEsYzWB3_c)5$YgJGZ~Y1bImq&1mPb;(lEMak6QI!Tgt&Lx8o6N8#HR7#T7?Liq? zAV9dPHZ(9v=KFIvb{c-|*C6?<&T}&S(kLdv&XxVph-*=`cJi}$N^V9Zdv z41)Z{nozqGy%x=}y>b)F4{7x`eB~Q|=jTS5OgmFtt6QH6{64(hk~sl_O&vgQVNtE_ zg28tQt*)vy1gSP$@VEsKP?XjRJjbF8LXcXc2m)uPEt>$*sgoLl)aovH+QB{Kil>vi z<{`*C?1I6dY12z{J07)q5iAUvD-`u`j#-de-31GSCi?#ch!}#CnvEKzsG;&f)k%|3 zQay6+RPk12g`$a1HziZAm{=*>JQ5sJJdC)4L7*l?HVM_CF+c#?l(sVMfS09$VQ8+e z+9AV0dL0b#jH`L!EpA1&Q$eVK90JyH7ULT*c58IP#1Doh!TBW^i)3Hp`~{U2_OU=t8KSj6Mxq|ukB*g%0a$H& z+z=A=6v;9M0CUb1XA=h(+u@RB3;?Wi*Lo1cV*mgo?af{ge1NYRV*pkds@}LSVu)Na z#sI9>0JPRlM5YP28)E=$szThLNiRZOgZmFHLV8#z06;b25z_q zFfSk`)s9+Vqh#uATzp8Tr#>-RpqE7Ru<5}|k9}gY*sr8Anb#ESZaZF}JB58la1W5= zXde=3lq`~k^8zUeK6urd^a)%Ntz>nN@PqW| z;GJxhgA6kFqa#(M$rEr|0tr}OPwDJ;$s_u((8Ysaw9*Fh{M)7RzDRb=Z^)HLk8-u< zycZe78J_AWFEakkUS!Z_luu6R!KYo`0(s{k>n|ridUhgSWGoT?T3%%St9R(!@4d)a z$zNm$_FrWF<70f0M;Jdy7*P>8fB>Qbcnje~LZp-7VV_)aQe0G_00e5r2yTb(y#-@L zrWhfEMMeG$fqH*#$NoFeIONy|1QpQaD5TNms_f8LdD2 zt}uoxrMuo0%LvdRxpEnXE-jZfv_CJb!ZPmkj&aql2KLuod5g5|z8tc~W{!3MJRx_5 z6|G-*(~o`qS0#p-L2SSt9==ubK`koQJWAT4C#+6wY~X;GVTf2;pr;P7-7w+@V0pm? ztlg7{6-CwhiYO8(B{$lVHChb8j14#jam>+XjfJ4tGFuTUm)tE-Kp@v^R}`h~g6hLi z5Hl*J8=Py0dTy+!Q|wW_L0oki3?DIy#R=lMm{PJ9?rLlDi8*p6V>2?Wv^C}9ziXqSIfQH zd-xV1&;jb__zXK<5D|gN**8ha2L~Ul8!LX>-^6t+OKGs=o3ouI`Xc`J^c|USmMXc~ zt6#?zqf7Cw|LMhl`o-Z=XiA`$F7|OCOc%FVU@B$HB!Jjt&GC4M4JXpYxX?t4;BlQu z7h_}xr+hG6J_&PN&SKTJi`-j*@4N zJQaLytHPl7b?zh-J(Zzm1^I>?#P%U0W<0a&f16WjHYqih;ax1~BU;eL0$}r6oOPZS zEy}uTE)PZBKwqv5t#7ssp5~#XD=bDHKGWgYxa2Ed&75`fZV_!_g-*JqTaT(qg8UDXB~=IOn0HW(00A z#WnF-&l3FUxBmKfd|PA*lvTnj3(lHb#^Fp+8V9pISPHfM*4A)7L!$u-X8Qr|V=4BU z;3)U8fE8RY&&?rDB4~KDvfw{vl>1n~3cg}twjba=mI%Xt3>t&2sL|&>)?&O#mR4C* zX!_*GS1{WTkRMCQ(AF%>_85l)Xm0((EB2#cwg)V^<)#w->(^+U{5apAV7Bj(9|Pf> zZ%{DXuV97R^AtFV|Ds^F*ABV3m6Q1drU=xJh)RX$65_k?IV7FHFZ@3hE;!blKX4KM ztD?hM1Np8uyN)ysO}!!IGZtq1a0i$X$Y4qq9nQ%Juuh*dA^?X&Bc!ss)TOgK)YPf@ zf~V6F=xE6(z;^;Z|79Ii!tXF_yzE6 z*o3?gq)u`{9Qh<2E#N?rUZNo6n6!#&jaE%dxc+M%-Z}&F=El$eUTw~9g{pWYiN3l( z9irf-0AO5K-4Kd>3^YC*psJG^joDSZhdM}=e6|p5+|Jz-@VwSl3S6C`v_Bu0urO`T zpN;92!J3HwIiNPTt04X#uNg=UAswF7lZfY8oYV8Xfb%t zzVEpUw`8S5VZf9zw=mz}{lz!`_22l>Pc8;eYZh+stbzd>VpaOn3KX}nV^LtOtMuq# z1V4)4ymq}Q=QMb};z4P6331zq3C%0g;JG01dfLSaytxg`v9A!(Q-isnjU$I0TPu+u zTG_Uk^ZCpQf;4z82#6*P9>}U-#R)Udf*IKdKsaG|Tyba2Td`s);bCQCeK`SS-hv7% zWFLM4XBqHkADfo(YuZqn!4gB34{jVaLd?Nr3ik{&u2#5vULw#Z*P4p?Oy*RqWs0XX z(@>eW+8#oK+@?KzKKOi4o`41D5W~E^w*;C1Whdq_)@aNl8djZb1rxifC zPI-E%nhM_E(TU|-TR_MCC%^OG_^UrWrN;{469BeGUm9<8MjHj;(`kKZ-{`Ezx{dIC z0w53PxMg9;dH~lzD8CH67>GVhFl8uttWfhwB z7&_50vV|*RJXnj%&Uy^9w8=>b!4u)S^*L604Bvxuf~panS4z(3CpoFdhBcOIs>qA! zc3q3RLYuj=^S>&Fw3vO!SX}uhrp4^TID4J1=zoW_WBePX#>t@;9k%GO=RWxCH`;Kj zoWP+D`!Co3`M3PdDIK=ouE1u&o(QC|+m|%!F!Uqn$Oxp}(ScXL&6N(@g9ur>ED+*1 zg0&8lAry%6phsH4tiwjNV%A}pmjFF1dZYuv>eaqj=|Y7sW&>!`ZJ}omKA0*y zPzN}U!O@GrrN{u8M@IlBqTp;Yl^5=W}!EdXxqp4ieUpC3)ubz z!`-6979}>K#NPk=UV7927ktWE(er@P>wBr7i-=L)d;7{@-#tN&D%W5w1gXSaFbcYl zWmI`vt)vq3LxVvF^+YH!G#eXDa-1U_F&9kz?1*ZcUrk5M1;Kc%v`uVZZVz1-bagHt z`wJbFqu}|z`->KZjW^G^#`TX z$oOx^973vP{31-sZSQzh&^>H8Nga}C>a&EjU-wA`PG3#*HoCA~f9v+OXWxn%cTds( z>Y84nwXNqfh4XK4l1_Lce+WEol|Loq1m-(_@Wgy_aTLR_99+-o zs*(KTyyb`Tk6-*LANwP}|Hj5By-FAcN zMR23K{mwK%2=WdT!95GYBB%^t0fo|{vGMp6AEqZ_ZnONJakekL{nI46qMJgphQT4%?NLu|3*T+>UMC z-NTPj!F`_%GzZvVQ$*#5D|Sa4G8Zf60NYj^rVY&j_Wr<9yqx37>RGX34zN2eNnN@} zb)G8nssI}k`h2dZ;&!rN4zMwS6SX9)hz~ZlA`V>z*vAdXPeqc051M0F0rqK4)4?^d zlvb5|%}K?ldd3ox1Bprf-eT;_O#pUW1s1&+A+GLoF40w9aA47*gtJ^6bLbN#Q*nGi z;Vl975@3(imDYdvtzYyXM@CWWz3vlw_4ir;pI#}O3eQ(8*uD-Fgc@nuS8$G~@QR>M zbnDivyVs>`Dm)h~6WuzDPSNs8tVo6Df-%tzPNpin5Ts971j|IX_4e380;up@uuOD= zrfuffO)96N2C5IDUZ9Fp&1`dF!#mgJvg2f3QjXE6lADr=Ww~O~O9N#QSYKSh2pvUF z#T7j1bG8o@U((}tcXZjeX$Uv8(0Jp7D_ma*t~aWTJ$2g-yb#h1k17_$74mh zfi8H&m^BSOcZB8CznbdS1rJci@?(G?w~-#PqQt!}iBgEA23b(AmYj4LRgt8gPgNUr zse{@=|9uo4*(xsG#bGs~eC(fmDBn=}E|gS!YFCbL;*&0YjND^#7zYB`1t9q(03_`Z zp77|dUEG+r{E)-=iO>IrH-E*H!x%(11Abt3NsziCfi67D(-v)Qweyl4#_q_@a^~6g zYZ*wGy_{r1kSjde$Q1PQX?*HVjutnHQ(O3H)*z^94lOZ?Ic;T7>JeiLTkkhlic8b_ zX$?KvtXMgW@F?-Cfk)UumojP84DSb#s@{lJ6KpJW{xj zSJts~WAzA8s1Bu@JMZkc-V$@iGQ+V`2hK|ZrciA~OfS>2GTj4ei>W%uL&(%L1)S5I<8Mdq{V$QIt*{&Amr$OcT!u#mavwtdc zHZWxw--f!HbA(ztd2+1+LZ~1nM=#|?0Vly7U$@sh7mF?qfI|s92;JU&+@|CxY)u=P zMn6=l9~cS+l4Gz|(GJXbih!7e z0vB6mo<0BEg>!*9{Qv{On7qbr+`s&7pZUz2!mZE>fhYF8qP8zH&tQr*=VD)3rpW~h z6&L^l2wiB~Ly%h81u?LlgX0lgAR1Dw9D-EJF4zI3Ccp`J6*lxV1arZ$6h&c}tTQ15 z`KI}b7Ifm!`>nKy<#XgS3I1gC{i>n6f4qbx*$?q9MnmkfCC5v>Y}I^O_wYH zxNb#T(@4^2x@31clI@i6NkDp=D=BfBOCHt$c<|`B_&st4LG-%hamQ(Jl-zC&-(g?j zHj2@7Nmy#4o^kZ`Zc`t9(iE!u(v$$yRISb332=uBNAIw1=c4L>@tbWMof%YD-RDL! zkLmQp@YQUq8oG3Hv`LteV-E>QNdks@c0P6f?c&~d39LCQo?6~zu&2R<^rxHK$; z4I(`490V`(@*o;2Wn{3AZ>p-Wrt#DH^IcCSk#BU`lyIP^bds%m*1x-hnm~H3Q4>ht zE)z!ZTtL$=1J0g(@&0oh(2;1#Z*~S94XO=i*N;&6?j+xN4GcJY>FeI`@pnv37yX4Z1E5L_!ScJ3m`X7+$+*}4c&m26B{ zHnT9t?8t%zJ1NR7uUMJc?ZJ}0s4{De85Ta9Suh9ylgt%6#Lv~CXW2xtBx>jCyeu;C zIWLRN8_dgUdfzRbA0Cq_>?8BCVdKg_zPF6X@LfY_=o6*JV$iG8`~40Ni7hP|UeYPk z>HY0#t5~4v^!|=M9UqNt&!^MgV>|lkNJb!df5#1y8r3at)B9k*)rVtyKtaE8tG zf%ZwAH-Et6RQrEtK1R2n-uGug9uubdy-QEh`|(`Z_m}wIck#a=H1x?Hqb0o`$p>WX z(S4nA8B2P9Ta`DqqV^+~BinKPkiFj`pILH2+wNjpQG+b${hG1kJrCad-eK0@I&FWa z2u`Q>|KS_ow|?Vf^uBVp0<4?0fo&Qni(9cKhefSy2i*$B+U<%-DI;=rw_=Yzp=QNl zw*urxb&cN!k9qW7+zO1>YF8X~D|SSb<{xvWJ*bMkwM%i64#lkilst81_1zq0FOxpb zA>)v=PG~~~;YBK2s5(-Nod6SvvD3GtQL8qWkoz^0*VvRWT&#J$-z8j&g!*8Qw;wvC6~ilArY%HeFa z);5RSpn?XHSF_93WD$qXwh`E0wtz7Wy%EyU9K`3$Hb!j%s6|1Lrqo6hfm#YEVd+ok z1EwVU&}sud%iL;c6Pay^Vi>mQ7tx%n+fz_s*Z8vx zGye>ShaJoWi5e- zc)$@*Uy~iup6ZFJXV0|HHB0lBZ_N^cx&O)s|DB(HF`^S)sHajDc?!};us$mC)Q)Jt zT-yTH6@w9!MiP{YBPzASuwQZ0v7%InvhJ-_DuNCA^QiJkLM{ttm1?XY&_QcZFE)qH zD)o2(UAd?=Xf18Yj2}~}RGs<56%`ws51m!&VU6sxtO#x)#vEBtq7%P9h+~j`gi6JH zV8Q%Ul1$FHIO;Wu zls1t^?=@4CQ&nMTZ9{5Z<2@}7F4Gylm9k-!zlK7qeM!nh<^b;V$$DOxlkx!I0)#lSf83Q-c6 z7Ic9m6K@x$G`Kx&DYH)rGOh6 zG1W49ILi0h%aU4AdIWzM_LKV;Y3q-FTn1@k>{a1Gz5z8A1g}i=)5h^52~F(HLpQJ z2uk(D4~+quqMboEEer?w&{91yLG&LQdTotdNponap7@F#v6O_lfm)^&)ar>zb|)Eg zicHsP-8;#O-~|v3PSu04*#Iut9K0fUA!ogmjgVxMX3hM26prWTsl<+4bKR$c$+rhJ zEgf!x_qzAfRb%*?GIN^eiAm7C^UOC0!<-0a@BH5yyLm6J+e zwRqFEC`yy6Qk8QQndA%I?W7r{g{-IA0$)VVv$)a-_3AGt8?GNx?1wNDB!FBw z(J5(vq?amVwviV;oje9|YObvS|wcUe3b39bXQ^(#&xf)Oey z7sRauDH{#g`Z!9iUc$fQ@npO*u_9Bdz9PoW_^4Ml>a&~`f;p;-I1v<>3Q7sV9Mz$R z(&9u^j9O-bDyl1S;!1~9=72IsbrC17He$B(IaX0!2^5bQT-#nqaasI#mq-!A+^xYQ zeon=85RoFQWz7{5m&MoYN~DM!aeIksu7eUO!p*4Hoar>&e^|K<15GCCDcmm<@}<+n zzIa%ii2Edx4;SHnN&A8Y3PQ=;-w)slK1TA_kL8ghb{w6JHsnz71oD4FGVyPe9E&AU zYD|y$h0Qs|(3BnKC$%>*J?9sN-5UJ0;=@-DTYAthy5m+K^uz9GUBKxPN>BPl;noI^ ztntSt$@Hk>Jh!A@#CdMjm(52PhsSojIHDpeSr#y1;WX+O7rs(?#V^oih10{DaD|ZS zX(U;YEo*KLWTTPdPGNVq1Fd-2Yz^Gx|ATu;(!Q4&2n?Morl48Eq#)WLb8 z9-@87_7(C*!eiu;2=M50V@)K6dTZu;gf=f76NV_l*oA$~c`q6oU-FAK)P^GuKS-~< zm|VYT*u!5meoQYKb}6Zv=0$^~BgFWzdC{<=zi9mUQbL907l@zZg^5pdWNK-=Xz#i@ zYeQEu6L4(T^d*zu4OXdY1mAXh0TY%S-Q$Hmow%vk_0CfeXm`ktF1tFiqrTl-fI%%E zkgtAnw6R0AKe|M6)@8dpuS;Z$^A##=TGs8Ir_qG6tsHd-)W@$Kb@9Z*Z{NZ9#_Lm> zR(6|?he20I4lCAWS$|POPBQDoqO0S!ib8v>B8KsBC30x+!jAjmHjH+G6j(q?dB{s zd9*v$Rx>PD7JT`|XD?rR@bZHfF7HbN56(^KS4tI2OIipg%E>tH?W$c1a|?GBB;51Q ze)vPEi#egmvmd_yp%*^*vf{a(e{h+cPERw(CZsn_LFP^AGhH=D1p|a8f z9eGdWCtVpsu9SkN59KQtqO2cxh4IgvyD}_S796^?+{M^hcwx2BIPb1dn!m&Dt&;29 zd9~jargGo*i$D7fmE5q8k^=_(NNWE#AbnSGXA(*@oPOk?Doz@3gQ0>$T!Q?Pv zu8i}m!Ew+8UzyNm_qaiubx@8)h;M#b*?}K(>g85TO<{z4iPx5 zl`cE`R>@f;0#V80!0cvu={UzKE<&WGgIGE+lxt!-NhW9UE)EUKJ8|rsx->~9WqJ36 zf}sFiPCFp|Dz|ddwJNR&KdAq7^VP1hwkJLHKt9{Rw$5N-yO!hRO2zyqT*1P=y;Qr- zOVCOP9d=(+`)+?pW{&jj`6*0$yhHm}1X|fbR6zU6-Ve9XQhS%!s@(U%IkEiBqN0SP@D0{sUxI$$X*P`a<}PezN{iq>{>%lF~_u($;w&% zWEENH8j$wrMT=QQ+UPA&y4I!?IweJGxK=wIih}Zs4RsE|N=1UTS#%GTZmXTMiUb4? zc_6AYC{-n3h!rapd03++q>`xURO$@5gi1xC5n0y6j2EjSr8TpP1Wb`?7e`0v+dF!` zI|deqB*t3T$`nV>w+AD+fmaX(4QNMoo|9@0brcmEw){82~SW$rpcI-Vc5~6Sc(YC5bl9VXif44%; zkv@e@syTcnm1A)i(|l-2gT)u27gbMgtAw@wTS@7atl!T0m*&ofnd4{9k?AGzTnP^N zq6Bf zk{fI2b-Q3ReeMy$G=em|E{NDkr;VZ5CEP-JMH*fgG}c}4C+zm(@n=CA-XaKWou-X2 z^@eVI7Np^ILFE0Fzz#pk2A$bgcV?M1F#_s~H?5DwxRnoXRKjqiy@#xqnmo^_gz#lI zj||Qa=zp67H=C47HQ&A!J6+^D6oNL)7f)pobt5kbg_w$x-wJ)uZ)q8XP14Hd_24CqvYe+ zWk)-Qa*CtV&_;xWH=JGeQI>M4(7AsNH7VtEc5bv3Yf_3cK}_;R>WJtB0Gt(b5QnZ@ z_TzTTU3VMUu=eI|m7?B-%;qgHP%=RcqGQnA~<%OOa95?TvWrqElSo@Nc7J zbWKxswvmT;xU$nV0jKRPII!q!nK&6!cJ3pYvcr`t>Ep#^zj>EE3d5MJRl;Q8V`WH* z!)0eA7^vYq%05>aF7Es-qGK0#9+OI{GQ1S_hb#1RBCho;nw|BEM)}vC`i;LnT?O_Y z7$kqjdmf{50Y5=ug)70!PoIF@T03jj{^@8_HfH6nD|{*w#&HlwA-hKJ6Fj z))=BC?tH9BqwIoKiDf}TDGYLTr7CfNJV7Yirh6XAT)=Z&p}5@~T8wfzHj3m{HR-J3 zDNeF3aY$NMiVU>lHDs_x$F9*KBjpGT#XPedQ4A$Ns67pvEtXL2?<4g*mbG8eDsXGQ zlNn#Cz)KZ)WCrs)|Nh55`lln~p zFhMdiIvL8P{Q4Ju;qU&@&`Ih>*t3!Q8SQi+~o*nbc!hJym+F1hgyV$H{^)%s1XO@t5jmW!Qv${Pcq{Up!S~Jho zx9k~Hop--vrP-nB|5iTv;Etk@O`^1nVWL-V8Dm4{Wm%@y%+fN(g?tU8dhml*iEB1! zKJL_Y>wvmr3S9hkdouy|G-xU?L+w3G&-p|bXL`^tz?(wO7W7lW%=U1f=}8~&_iTDI zckFp&Cu5&e>&o6t8#-p{j6IJDOL~FRa~i6C8*<&7x!D20D#;tA)y*j;No%{twBL?* zcZ(`?b3Lox%x;H~xblmT-fG{-sy7qqDq?u44<>pu!I6WPTh0@O`0D8M-ZQjDdhMsY zXZSaJyU-e0J`KNTkS!ps`aPR$%`ER3+cUFYBdwX?0kJ>xaldCvYi0y#OKaxRnz=)# zW)-Vfj^tPqAd7azN)=4-whOEeSs$ZrSMlgGO>(zi9D(g+=yK~rX zp5RoFD`)?^uz){}0A+)oz95N^=ptpmWjj1637*p?BjnWWBY2owg9k2%nA=0dn7Ro^ zXja4O0&u?>fcrat?MwgK#{#CRH^WOSZV8f2?N8Ro#y0@&yZuSiW3~p;3w)z}#XVA8 z!gmS!36L0jpeGDL56ZvT>cv(+c>XzQ5iG<6_6o~aAc&S3MYAuP-J8n#5hF;17#~~plj($iVT#VQc)$b9f8fLg>_Wr z!@_oi;;mFzb3L>o=s2!8_lw0J06Tg`s_OobJ4y;5s65pOnMqGUjj)$LnxJ04bUw=H zTJM?o#=Pr(i0kb`%R4#D-J!y-1hy^v3~e)=u*auxcg@E$hr5E`3KB(h&z&{(w`H4W zd|h=9N=+^wkdAo-)Q`+Ik8VE{_6i9kJ5(wXN2$u?9VdKMWSV0jUh}r+HeSomUZz*XZwG;fXAy>}yrr-y>naN;<|w_TKt`-Hw=LYB+ifatrf#sQ_#DtI z)F7Iu(+`Fsf!axFN9i+;rf309Bt1k!o;GQ%OWF}bERvlUlr65Ncjeu~wM2^Z{G{YO zt{rjK%1D{%k2WUXnO9qvBtnhoNVj|z5 zB$=L=h_VZ^!}39M3_(u6e~O8MN9ElLEnkq1jb3NHKJsI{NWs~MaMT-*zS-nifkDH)Gf%{cx z1uqjrl0x5eO4B)R=tdmPv_DupD-sI`)Cc5t7zogNb7V;|u$ZwlK|W9WllI5?W_t^6 zcKUx|ufS$s9bdL%|E6lDPQSa+Z+QyT^hIagxrZ&p2*qACo7k5x;A@9+qXZ4}oP3~gUQ^YCGF!NUp{j)I5n(I_QCkmkz;ZLS{#F_}%< zfJ2b#(FHjoRn;RBAPRpBL2Ab$xI1w!23A}_k3&D5{41Ozm7@!STa|R9_%X-5jO)vS zd~jVbQ`%Vo5r?K~bV2+E*lH;I0|t+`=P0#|BH6*niX_0|RE_!AV!m7w=@AkWc3_(J zhUz%Dn6DxUwrD7ON-~&e*35Uu3^yfVMq9~zdki3oCmk_Qz2>9}S9NFVJ4F(zVMC3J z+Ed{xn}_vlC+DlQxGsmUvKHs(MxDy$P08p%kHIH0s;KFgXT6K`1}32xBk|sBV(=)XoZXJl`TxRfEt2c zuM6%le_8b327LI9py*x~gtCW{#)=zGQ>#}L-D`rR!q>}#Vz(x5{Krgcs-i9UL0|1q zPr9vqMEZHi!<26xKE68c9L1$)H(Mz!*^cv+s+i@_aheQr?@{s@>uWfK_K=>dl$OHn z?G=V`s=YjwMLLab%2Mv?T&xhzHxFE8E)FP+C{j%1&V+6ug_&}BJj_HymNkzqwr3;mM*xARlA+BYN{}=c7ls8ln?4_&MYab@VUs$taJJKDs7wP zhGgR3OgFn@+x}gqsy$6zUrm7!?V4=^wQZw}(;{E+tj zjo@hsD4U>n@gFw>UhB9F!45r3bRs|@D;)2Bs9f{ zZ$0O)UqPwzhIqvj{8>1nltdK!ln+hq>w5G~s31|YpwuPo(A^#t3>5_48~$q+ z%r)T?5-9=)$B!~NxE&1VSk;69d@!p|z^eyeR636E?& z97+p>j*Cz2%JEHn()C9xB-wRcTHDTp$xDVVsYkx6Nj+Y3=C8djk4rtyTYgAAe&X4G z{_!r9e_zoOQ@6c3_ zevT=RJe~*GAHcCM>ZDU$AJK4~2S9b#)5xb$#ODbUbTu;#_zwXldBrLZ0Gy1D5r(_p zOQ=+NfIa5LE9w!ch4uo~c>w5U!JD!wP^VNTqkGj(#@4DERy1}hvrJ^BnrEnTOuZEv zNlcDtJ3@^s!6@XlTE-BWPU^e+vihPOSnh^U6 zK?s358^G;QDMYMi1R-6nTLs48eD^syfMmltjYOjw62X1S_aV5))rHFweBUJ6@whY(QJL4%DwdT=m8_sTi2~}FHd`5%bAi!xv9n8 zW%m-K2+B4Iz>UrM4%e-6FQFF|qX)mtKq|#yt=&sW3gf1(oNF>x%Ns_Oe0q zQtjbo_Y$v$P98zEa>~72bIKQMfSCSKEje4)sYZ#QxoE5MK_#Zrm?AEs4~N3!&_MBN zj^>&pqbE4q1zbp}&&!^Qc@2Fn)!pO*N^bSmkb4VA<`zLRs_-Vf^wy1DKr%N2lKG|Q zKmAWkf@Hewig^`=BDn0Hq*^UsqKBfehp4V{Kv!2@{<6iouTDF7b{%5U( zrf5$3r&2W6qeVce^qh1$`oEAck7y794~Pu%0VTnn`Xaa;-UVKAvmY&ouV`O8s~=4&$+4VXo+#By_nAH8=qJO~MUvd3xn>jlG9r2H^ppL-%m3Az z-Vq!Q!=up5(BQIcfoYML#D{_@Y}2*?qB)SmVaMhNYgGtAsyr72FDph%Fv>Y?kS^l$ z5Q37A)ET08(VJquT3~(9C@=)6@O(w6Ird#J*$x%mtSHZ?t%zHTAwm>9Z7foa6(y}< zf_n_#FhNh>yWs3dtOQ);gQ|?H6Y>#Cm0fbYq`P|}UPSDlUTFZyG6=2GX<`qP5|-^y zLgJ{TP3eeropcX*QmFXz)r>u#6W;x`0V4C3Z-WP!6u+~GFV=4+j z1aJ+@&)8Qe*3q?c!C>n`U!?Xgg&@_83kL23r{3Z|sAgQS;69E=@7jtLsb*ZzR3SQ! zk&@Q*ObAlVxM0wF0a(}yQq8y^T1-)ygepV_?nzZ)tVqM?f&n>M5f3Of6{XtMGb>yk zd7fPI08dSFNNC!!9DFP(Ie3@s2(hCwJmHFK<7kqkmSpGL@?K(44)b zNf09WH<2rb_xs#;Z^rRATH?G!|`koW+%}5-&cueBZmCefJ0A5W+!yXT~j}t#w7TnB{bfZjX-pul^6e z@TWc=*tw3D?73GH&k)9)UqtkX;DM+pfC-5@)S( zha)F+)+C=(t{>g_*;%^&$)#|cR!3lO z>KmyoW&VpcYxl%X#-!>@H9X#v#P?6sYujhbR%a=vcYod4-c70 z_i(9bKs_;h)hxo@66DV{yZ?prA>38rd?+F>J0ES$rC})~WUpe??NybS9SUpBqEJ<& zfiqEgI|7!3c%^P&oN0uclXUWXNE{qXkYDvhWP zE0qAbtOJUJdCJy67$us+i!a+FKyA7KS$3fudRJKCjxk&yrrez4W4KuL(=gs_G@Zcr)q6PyYiy z^0gm{^rGI3zPXbgKs9$-Rt%ey&E0;*!WQi!AZ9JrAe%c{8o{um{ZP0-vH{KJu6X_c zyC1b$7>Ca0ZV!~4wsB$_Pz%M#<}RCLz!+-Voy}dQ&Fz6Z#0zo*>H*II5l?GCHI8w~ z0@?s`WqWL?KiZGGYb@n7^IJu!9Pw@*0X?N>Ex5{V`)b2VEIYa`}l!k4bx8|=!JzFPo~ z&xU5(1G|{%RA6F#&Dfn)2?TgY1b>Jihs*He%lYcBh0kAwQi=( z$pve#uqHDk-eRsx9d1^!GbV;vNUVgLIV7%PXBA|Nz)ng>Sun@W(R9!uyWAW)$Iizc z263t3_l|OR@s&r@e@q#R%SmHxe4k@ykXRFO12c_GdHbt#?0h=1^hd$1PB+Uxriz_` zL*mRbN^YbE7?P7Fl{QLkP(K=u?<^vI_@rCY+CHahmzmT|0krggp9j^M)Bl~K;q(Fn zY9rm@=OSS3-CE4-lWS(@-J-?JJ{~jsC;!Lq`ja1tPCT7v;bzumTcqhiNW!YYv9A#B zNi=M8b`CyW=&&N62<>qQN;K?(Wx5ci7-&K{1SJ|a!I&*}qhhBMiy{Y#Iqc~0zjQ!;v{bqwk;XZsgvWW3D)`<4k{idoGt5u!X2YC}P+X$Ryl zt6t(Bl@y<$1hZ%{t_XrFn8xGAxZ->>OobR%oL{1SCQds2U>WI<&Yvi(v?-S-U?Kvd zxke1C&+oa$aW00^Ug(MCTg6V_|HuD-_TB|(w=^pY8$c+>L98K4Vq%#S3JB!z$M1fL z$R*t46wyg4 zfrvyRlqDbpD}^BAq*8gFb$Qo%-@U)R_xIoWbhDv){%@bX_Ph7@?Y-CaSQN!)ZzCx>mkeqPT(9;5rZxFc7Rf?wfyTy;WWGCTstbaY_UyD7 zzGhHcAljoouCBAXKV_;5<_vVcQNBSzZLvbOwOupcp`f-v(Xca0?crp zmRt=&5Pphekz_kekl-@Yro?K+A|Y5~x#8@rs6Fl@CSxvMPLBm{yfb3jEo!a$j3~Mp zMA2XU;g9{!Wn@LQDb78k(mm%RFGd*+lwTL`{RpGMAW;D=Z#gx%D<#=^0RMmnSKheS zjJ!Z&gmAW?8fp`}Ly&gYS3IoXM@oMHl9@w{28Z=pDJ+k$x*D#y9aH4 zv>}e#Qnil_udl>!x@VOD^q%U>F;-+3OVBs4J1yKdpr^K!A$*D9J$Y4rZcf~6QX&C= zdQzQp#CG!$TS|4(clM|CfjT4SraJBL*>*m;sm^J)Xttfx-J5eNir@Jk{iDzRLy=X` zo6*|NE#hY2RoG;v{P|%VYBr$K!;c;_1J(EotXT!yx*t9K;MLT`WC&*48B9A%j4qKF zF9aF1`ijVdk@XrK_e*k6GY}5JY bL_rQDsRbFb`ifu~Fsl0n&2lZ6ZRc*YBs&>b z^nnF&YRM}2l4xhakatuR!FP7l`e>4@n*A4S$`MJ@0-a@#i)kw#)DA!N?CIl&mnnaW zEvMmm__*Xy@zMD3ALC;ukLoLS@VO5kZ=L?^VGl+ZC&PYTSpKiEyt0}1moL&MSfo>3 zvJ=eOI79ojFSds@Qct*L=t0E{+5GcDl5ty+#1pT*rMr#QmD4R_DyB%Tmc)Z2rHLUD zty3nsSeGpMdvwBf*tMh;({vBi>joAD6t zz@X7erU&~1LohgBhb$JDumQ>ZPDv`JoE^Qo_F(&PAKQgOgOENJ$t|Y#a81O;%{Ll# zG|OX9KB%`>9_-8N!N!&+ZQ{Ycj0c+^`yXuVX&-F9Ip5Tqbd zxG9&8MH*BprG00k+VK>~1_a34@hp6%6daQky)m;{g`ik8gGBcyPto*uy8P0R$ibY=rFqza+6o5uR3M*EXNAZ zCw|9&@$Y?KBt7 zkiV}B#&o0&x{w>eTtJ8^$xEa=8^Nl6u-_1i1z=fcR&X;NX|Yje#@!lB?9GZaS>+nH zsMpAf@b21ER~4S^VYLu;sNL$g=t$BR^EGi!vOd8K?qFM+lM8&uC2?}px?x%|y2`v& z+jVc$xT#0gWKq<Mq zUOrJGIca9npq$*4&C`)U)ljKo6b&j>F597sUq{oC=4Pt9Yo;=#6IMtJVQb&!oXYLw zRDQ=xpYnfw@FNORLn9xz;}!?qw-P`6-nI81MZ}Z>(ECB4xL*ieQck`k-wM_Vbn2R= zff<6C%7V1xe(K;uWKRx)wj*1c zW_PhKHz5;io5gYq)dper9vm)mBmf7aVxXXBlHvgP3d`)c1AtZe?cz(+^4ZbuSVz3T z6|YZ+#gLCjG8QsxFyam(%qm_VWq}I6U$)cnxZWJM5r9_3jU#|DMn$oj{5Hjr7rw;v zYvcAfjwrfLZM<^j`-223M}K2RmT&baefhWl^RM~j#MY+Q+3ElTu;3ZM#Dq2-m~{Yp zACReGkldpzvJNONIvO>EV(gR-*n&_;dO45FwL}M5FzbNAvJR#JnVp&ib6yp5CXmuZ zAdXq0pc1duPs%?bK}Cou-RZ?f1anr2Pete{wtP@(N-|D%$?fsLZdbfuI*|f|WObeS_8b80mFNW8zjJ$X ziV!f7HRe=H{#P+r@r_1RuWD7pHDPRzZl9P&?JBsVKtl=2Czb1CeU&{W)oWX$1F2Q+ z2BV78IvQ%J8bJ!U+3*O}cB~Kd;Cbw;(v}g57OB#+y!y_RAW3+o1Puf#!y?U@{feq1uaaF5##5SEJFB?E?gSZ>?j zNEEwE4o{fNHz;7Zn+0q^bDjAP1q`=u!d$*Z35MG0Ym0ULrHrv21Zt=Md6^w%0ORvPLhDXk$s6&Cw8J zhT$I1s}BvraG!okszLTTV#pk9E6TuLfdJV+CckJliP=SV`fT%M%iosynznpjT=FSj z^0UA68v^g4eTLrJ=1tepK@Lr=2?E;%t3>k^g5E(6)=}EI=#Z|-Mt9ypjvPj(J6oI? z<132}@}9AE;Ys-Wu=LGR8j!ko zKE!n-jy|O&8&%b-Nv|ps$C(CflPm7fCrzQ~jk?&c zNzBI=7!OJP^Bc;;*Th&4m~BeJX=;E{lg-D2#tW_p+}@IXNTX9uv?GIB{WJhtbUJfbAHe?FKJ4?s>rhFifm~2V-I08*3OWOfNEA@pC9H zBSpQySyWN00yWYBz?D>CE(F>Ga+nL4Mvddx-Z72?*PHME8aR#$2LoV6b^Wp(ak)4$ zu_)v3XU3Q+!&K&}2Jww4{R4rWhz!oe!92&hWQNm-d&bm;v<%`nIA?dnrbi?~67s z{^6Vd>CcBY)hRNbEVY?398k`}5llZEQB7_7xEYH!eJ+i1dvdtZ8VZI9u@Iy-^%YAZ zvTqcTxahVbTzJme(NRS0spa1IseM?6$A2tkcD{UWzp1E4RD-C025OSq)+!T@QswJ4oqpRw*1 z&a^7M#N6}LA{OB)bi)WL9+j>fT&N*))sCWBdihZ_!%Qf6Fp^V%M-5>(H0P%o?NuDn z%vY|GOglWjC#-o?+&?zH@! z;Fw)mwn`N5^UNoG9^ye#tjRBych!}r@kvLrLi1w!phA~Q8m;KkdUL7^ZEx1Onsf#= z-yNuV+AV6W(M+;(GbAg2=(ql!Kk=q9iyno;Y1GrKw{#h$C01}VG(;3#S#;PlL3MzH zO`3GF>CYA&Q|8tF0&#;`xwVf_S@bpFd};yLHpL?BZ?@>D8L=osqq^B@>#Ylpno626 zwR|;9x|lb$rItU+2Cy-HDt9hEFE3b5*{nQ8CJv$WaF5SCrSP1LmpFRKGKx1BmQiX> z$ucHq50)|Q-Ax(uE!m`LdbSf(%%*TQg}*X}CH?7leBCSG`>RL#dx|Lp<%h*jhH14L zQNfun7QhxV8@3^b0_{-<(i9fK=DcDX^ph8epMq$za4!x|_X2Dcb-ZrrXR$l%rmk@ID^jNJJWg9ocGGio!{ z)IEG$^~w@;kODwbLR6dM7Icc8^HW#x<-^CjG%y@+nhMpd~hEB`k(X z0Q*Hj*1;Yv{PduP+jlw}Xct@(z8R7ulthrP6|%TVlKm}`lW9@_r3J=?Ywqy>)&0bE zrpNeVw?m#^?mP@l=Q`7Ke6dGeK)%s-p$=Gn>ZG#d*NJ>G^Z0n0014`Rqx2+S;NqA~ z=W}-y#@cw>ragB+tK{bnoB6rJW`6Fld4ta#R?E*FH`8+mgiQ6^ar-*Y-2l=>8z@p0 zO#F;g_7i+?YGhL=OdNn*>D@L&To)-LWyZLE^iMzq<}WPqKq~ujJ9%wXeX$9`5^DJZ z{88jfTCT%0+fbB%frXE7Fm?zfNBY4VijEFaMi@Zy@e(1$^$T()UGO!LGC;Plh!bCU zPX?5Heo1_$^LKu*{QK$SRh(e`waO;;f=B20h>pNmuim*akiZC}N z%UV`eJdSTxsioH+(&ZcfgFp6qQun(cIIK{Tg^k*Q z47F|i;0x=5+wB1$Pzqu!Mk~lC(*=)(ZDfTSh4@8rYO&5P8Ehjxv@I2%e6Wq|x9C%$ zqDgD=;Vo+xwvi5p|1?|XmW+Oh_#NegXC35kla$wgE5J;LW$Gb)35alM;*&~eb5FE{^A#$=|=eYukSs>1i>4WZlu!A{n#0%iv498N&L52Wwv<#;!l6ucl~l? zMAf9Y0yP7}hHX+h1J=2OW8C<~tZ}*^P!tvhqJOUrh-&K0!m$aYVB^7Rjnh|*FmSi; zFc;=9&<5GVp;&aA#*_1mI_;6*7GPG<6WxB990pn&Ivy=_Mz=mb6{ue$5G!cGqc5}D zcVgNc-rV0UINw6N9&~OuKV;qF?Sn3m>Fx3`ChLy^^n!>+TqaCW`xDtv+J{$CQPnTO z|HgWKpC~n)_?^LmHxCvJzgBv>?Y%NiT zoHA&LxL1sL5PI(%Umq)G7XTCK;hiuE4MwPzyd}E;#J`Hk+^&|=s+Mzd*#)pf8%a@M zhb4@OQ)d?-ybrKww_m1m0gC$pgsD(ph7m@NF=aT(fs8^d4Eq*9SLW37RptyQAj9r} zpk1!xR^+?01p$tfgTdWxErRida)uKFm;q!KXJG}vT6M!G$7O1c!(q^E@BXwLBTcTD zV%b}|=*Zm7U3$zJu7e%`c&dFk*BNj}!TQd2|AmzjkSfoebn-<(=>vrEE&u&%(tJLU*_g{_ZQrC3;H?&)P@_qg{_QWUO=YL~Qd{U)cw`O^6%ax}8 zogt_iO!?p5@z8kJFa#Q;&c0KV5!I%3dkd-(6P&{)0CGZl3fU@}sz(=0tyya$3$k6%qg0PYu(W0YNkNY^uSoUig8OLA(s8m`km}I| zLHlH_S?J8t%0rx*>d^&DYZg*%ogOZ#M;A=3S*TDq*C^`ICE*8pYZhUO^|uk%)g_OI z1XEgZ=!Pj>3>z$iwUAj?Vr5is;`AAbZ*}#u08v$RXz4L z{niKcO!Ewg39h4Luk67+SM|;~#yi9@YVYXCEo#lzoV~i4?A2fThJX2=eBZAc!!e@o zS7?0^R}N;c2J<+yF&4@p5U?szUm7Q8uLiR?DVBvr97y8i>{Tfu5h|mF{m9|u>{VfD z+%8br7keFoIeQf)BymIP`?*B$mp}9{;MHJ0ttkXY2ID zDAU`A*QRjP(57p5T|y5@sVc5+(Hk*`5KOhSW&pVMudP!M&u{Hy_Vu zClW86JQOS#_6J-`0Zb{6M4VAN9@m?RftZJ?h=Gt;f*+WU=XM+~ZerRLU(oYF5AbUt zt95=TGc6Uc zLFJDDl4ZFA{ThcbJhEL3dh*?Y9C_;@2@(m-?7QT3wWzAN{zl}k zGPQs4Frpn7$YFtl3e{D$DOTrg=nqv~*#Gve9REfs1Sp;hYX2fPG*{x!OUw___8_rN zuf-oj_9MgEL}DG4_^X63w%&+7?5Nv0e7U>uW!f#8!VDJP2xe)<54C}nGi)J~Nw zQciGar{h+FtPD4*l0`#kqns=n%v06LqCv0`gRJtCp9ULQy{Je!f|`kgHu)vm)+GnC zUlr78IQ^BAc{h>%Qe7ROC<%E~qg!by@kz-}519Bj0;F^t;x=7i+orbqVNfdkS|`Nj zfmSy-(27)tfIcN8b$YphlS+Guq^>q6=v}Er*_(A+YJJ-BeQNz@e)y;V<_|{hOznfK zb+Z;V44`#+vlIPV!T`dFMqRw|Pb(*S!x}hzW6%#;uF1Y9a!uKZ-W}n5iXgCGEnc8< zqJ7K+gN@dIuV4Vc6ObN3iu=-%6K<>_Sp6r5V+=2wR|&4|Hh8FD07#i}<~Sq~;1F$E zt(osm>H9xmrfhwkqfT_V$~RKn?JTCRv@6Kj%m9=w`;7cgd^2~p?5 z(2FhoiM*m@#%x7nfFZLUN@Ip`f`(;FJyeNm?O-X`~%ru?8CWz8e#X$phoW{KC5~%5b9hJi_+#Z+ll9jD0uWvN`P*&9?D&szzV^2fzIzzhxZsh5`#M z*>M3gA$G??Y{ZDPjgcu6GFgCgHY*z;6oMJ_whi(=u(mWS<~-SMzaUDTS;ytRZAi>{ z%Awh7t8IoDHb3kxnJJaPz;V?|8v}&fDUE=kC z?M!>86W`jyer{Yj!8B8uyUrL{zSaAzy}b1CANV^T9<>)WAgw=dGw12gYiCV(wl+q| zT;LsA`NU1%_e}TA{=6|tN&(x*S^E9?GNrw5x^LyrYs(5&+$?2+Q@+O9pGW5rH4G=S zF`JhKvp>H>d$~a7@M3k?yyXG4Z+$=~lPEWA8*NT}8BNl;q_V>F2Oa9s6-m5)8cdu` z{Ox*BUHGVJ==Rc_{wQnEQy0ITAN;>?tfCLY=g5R_<6K6bKFqGLjh+HTnDDOBP%JHnuaw*RxWhg&SC`0Kx zPs%X8#ZZQ6?{sB2%}edT&!#HFY0LL1!%zOhKl5w;`*7g21JaeD^+V#9MzgdeH%9xq z_ki5M=jrRh=FjLWg5+Q4;p{dW8CsT`tLebGpmA=nYZ>ZLRG007??`3nf`Hs8n--g} z>-J`K;6m_V$&J+pd{tD2u_BdW5nOOe8j~B+30@0Q8M+{N4Vn9)irXyP6-61kV%gNQ^|xO4S-gHc&kIy=RKHlO9$ywDOeN3jc*0HTKNVZ+a_}@NV z6aPl3VK-_{&b~Iu*$G|_^~(KeUe1+O(6r_IRM02>-21=uYsV@?^L$3Zhh(KzMU?Y= z#?V#r$<>rs=PadYpkAcL?NApK!#9ZcWS# z0*#Kn1U8kp2Kz~9$E3fQ+qbSxWDOv}(uNjl3 z)(B6VCnPr~VA9l{O=Gz8NU(Jz({p@*lPWb>-{UD{5#{Vooru|?o##`M&Mt|UQ8QNx7$(05Bep)WgtG0W>4YbgA&T;Bcevfh}zuD{lt`IKqZ~vy>xB2YR`K&-a z3&ab(FotS&^V~qZPyj>PoD+ODgY#Lj5Lk+d+%lSJdSB9YbETK{_y0 z^SHN+eOzPqngcYpTT5q8K6Un*w_r2Vo+e;Kh9F*Lkn47VS|Plwia{R_jeCQ@sR5iV zj`(rk_&L_oWYx6Ze`F z&jMP4*`%2}4eUMO>`%u7N>;({gzP85?qoY0FgR@03<9DrD(*R9;m^l2PLAVS;EMb_ zf%Hh-r-C3)FE@}LY43EThrKUhqQvI+nWuNY@=8dZw2@&UPaE7YxAkbYenVCK4l{P; z@v5%0|JdSqEMdA~?aHx({>Kvr8J~8G<}BRpK*s;#-}vUwc7%TNW_H+!Z>zwE%)cKvr zE2dmu;j`#X@2G`;cG-E|&ddE}N_+a1 zV*gobM}ej2O}sFIVYC-+)*b$SWpp)3U`$9SNs?0-*6P;ab_+1Y*F>@rjwUcM`@Nuo ztA|Z&uSu2xFuOJ8v9xPSUDzZsmXjD3VBc{aEfawGjBG*XA&jG%MRs<~HpX~Nej|_( z5S3G%J>Me^p3xX+v+YnJsOM9@JGc;JO;85aug`POuJS!P0?)43iQls(IGFaLA)A@| zO|WT+)bgSsdATw~;^`L+LjI!hqxEI-qmy^yt?Fh?PY{7le$jBb?Tf~LD(CEZ(Qr+D zFWNw!6$9mQQ^V`U(DZfHtbs&gm3CZXf;{U(-p&Holc80(*$`+C$Zqa>%L(>z{CahP z;X(O$d91=s#{t#x$m{L$@tccv1qffuBb1KkcDUJVTyM^^V&MI{%CkbCzJ6}U@%X{= zCI-c0pnZHDj+L*X79AZQm$TViY;t$Z`gpNnbqv)kv!{0|NE&b(pa^Tx>GM^=5mqslGo1>f`J0zrpq9`@h*=Y$_}k1nT2EKUn_#^zkZT zj{aJ{`Sep;dHPgy+KPc}KL*Plb}hE4r) zgIPTuQeqz6K*DrVR*%vpZ-@6X8&-jzAms;Tl4-!s?x&Y%3I&->QEGHamf zv5|$Xp7?`8TrWG6dlf>VU{$)zWal6=~k5267 znr3i;K#xkY2$qE7hEw$F6{!tfF!GISFlsQ(~5W* z@dFn3WA3IM87VQ?O>0aP_B;yp1bUN;MMZnY8*5<1JO0n_{Heba=x6=jtEjP{Z^5idF+x_Wxlzy^@x4;`74;*Soy zKsyr$Exc90fd2l<;g{_QSV#we+Zh`B+?hDi(w~k$cQ!MwHwTQQ*Qo+l&5cBa*3^Zh zmKa}0f1Zd?Bwyl>wJ=X(6s}-rN#%ndJ8j}vl$7pl0MA^gxjVSDJpoZ=swz|t^_UAa zw^OKj_lJG`f3h00>#!~4e(Kou8 z{x?7G_x!cL8(ff@aARpw}>Ju_RH8-T&;^3!EZ8QQeDv(#qm9xPxK;;7559JsgZHx2L+3?+F0k293zv z+;D@<4G8>G$qHF>{JMvfM6WkdUI1e4dwA#_b5h6S#Y@ljJr|7xoZtQe@ec2T&|=jnJr}I zFaQyS0cv4YfLN{Z9<^fMZW$b-Y!8*4<9He0xBx%-@KTK&Dq~ zjutvqC1&WW17CGdH=qk``PlKTkAZomj+H|ON}syq#3_odwEb^m5@gf&`QJX7_%}+W zf~V_f{|jA>zN`$Gg#H+25bhK#5zzp$7zoV~#D#K@U!!0tw7qdW3zm2c()lHgk_wjG zjtV8m&}T?5>X~OXvI(L-mQ&cg7d&bD(AK6EPH99-FSe-eviH^-(QaQg|E=Hfwx9oX zZ!Qf$I`-XtZW{s*Z6UvkPt)E2i#CZ<^A5V8wbt;|D;rc8f>g~e zSelgJaodo@5Tt5$!5v1M%LH%qPgqw(2#U{LB;7mTtvA+}kR<7IyX1C_b_gm*Ogopn zT>jponu{cwbdWrxBz)=SHy5AVC6Ac?F4u{>W9?b#?D_T}uSx1?tO+`0W(BLW=lcV5 z09+psFuTRkCZeY`^9=$oz7B53Ga{3*sx|W+LK6&0p%LutH>>vH5bQET)S_N&=*}TzORQ&)W zZO1EV9ZuE;)~in`D z$Kwaf%PE+rSSxHoJDwjb*fTxi&3A%@xoCKIMZ;;gs13Mgg2J02D17IS{*!<3^P@ql z6BNSy9CesW#CbU^3TV#i&&n>)-wYoR=3j#2BCagE8h z#U*T*=Y!n^KDI@(=Aae2OpX3_xn8T;jTLjydR$@Wt(C0q&JHXza-FdKYV*@|=G(KwPzmuP z;1r_D*?gmXe^3QIcpxmZ$*OOZZ_sYR(kriX#kpts8;yD#YNoq+OLYjs>nL%je9)t^ zuVMeN2Ghw9^MVmd zYJ!>A;LL2&*yQU5w5^MSfc7jGM-a!!#ej=bwTx=laSEvU-iM1JPIcPkJG^xl1If$u z@*@JquZuA)Qke$=7{4W6*7F?@d%@0iKyE)I{*L#2_z(TSupBC6uEUh z;8B8dY}ppb77!Q_lZl|q5_}<;V=ilWU|hQEM7mYTrCB?!h;e74f?~xSaiIuBl2AC; zFc7LKLof$i#$dr9`(X3~A(%trEy7l4KgNY@u&<-29Bv_KRdv2)(|#jZg~TZ+1*JhN znL}beFsh{YdoxdQl~qWLt`#*lmFxD(0?Hn0R29f7T(qbwSO2SGUW2l&6D=9K*DFu9 zWwNs6jr_KuO&@*AJN~Uv<2~ThxdwHf8IVL~QsWZWCN-Yk`P-^N**kGtT7BB`ZAio# z)W7wXfAuH-mjKY~LakN@SqW?6RINxtHOi>c8>-)^tT!FOtTsX!tT$5R$Oia#0tI0*8!Jw1E%Ub;iha0*YDU3BTbIng)X?1wVI4QOIZh^&X<5ML%;iy?k zbhm20`KSh_RuUbhn(R~yT@rB@ndKxssIaE^zXYXnB}l~eX66uv1&GQf*QErFlJu|q zJg$FPrn^#a+%%mxXX>UmUfuZI`)RkRHA{1*?q)J|zwa-6`{#Vp7%6hV0hee!hxN$m zm?{@k;D95f$b%HdITI8pza9!>>Ie&kF{pK6$c@EDOnbgYn)V{-6I+i9CFsk7DOkr4 zR3s>A+L6$c#8wuhGWHb;J%0IIA`h# z@klS#alSjlRL40}7ihpvkK-gKKumetEKKzPew}tgc1n6JzkOzVV4C$p6Kj_>}kabL{E+LYr>4l&E@-KgD)RVNidj-8pJ7lfi_jgPBZzyi;LF?bLEASO}K`=^C3qlZ} zV}$_OF09Bm+yxICbZbhv1lp@zkqX!afzKe|d2=6wLN~1-6|f8LK*Xqmpk{0ZseoP3 zyi%-)*{AAk+Adrp6|f7U_@j+I`^^HQahYm!!AV*uX&o!Ss1nFSL0^B2ukPdZ)L9l6 zNXtdlLtMX9bx_WXR5fY%98h(ssua>bn_WXbK*>{TJw>4p%;%HGBc1PNJw;ZDGQT>@ zO6OFGB)CXlNBqL@^rVKAx_4x^(uknwo%WKwu-crUG^l9&VLn$U&H0tP%deQe8M#HR zUY`pxH&c-L`gi`lKX~})(p085qhlKvYtjV4A~6rHV=IjL=9;XZ)# zYqSe)ca((Kwh#^ljS_5MHc-z!n{DGDjZ$SB_iM$G?GHP257jGX+i2kqjOZRYz(!E2 z1-oBHRG@#GBPNv#AR5RJX@UxKYb3-)5+4L;40i-xM17SKoVX-9$=Iv~ zW>-WM^<_>n;cBoSmhuVb()hnEqv;k?oxF}xhSQi>bm=|}U8ZwC_AP@tJpSm)>)eav@?GrLO8^cTC}LDm zeJ`+P^7$@yi1QVrhyiS^hbB$0c7JhpoA9**^_Vsf*e>{T0wZ%t0741*WI(&MzRDz- z9_Aj zUzCAG$=_`T80o;LbV8YrlukI(f7i}~LO&p0hkU#|GvP1Ge5i0x*SOw{D&jn-%3p^7 zf~RzR?XNdeSUJuqw?nS+btguA?l4xlw7e3NFgLqcWApnO1Ns5Mq?Kr3gAv=9pYr zu*=k`OV7iX=0W%6A*pFsWo)oNXxMo8-k0C~s#aiy$hGWxbeVSX5tYz3R!!`73!V0L ziTT7b6+$3k2QQFr7LZtV`tb}$r>PszAyzlWef)k} zRh21z3_Kq3r!@{h?Z7^~QvCJC@h;wX_Sdf}6UUAD^AF|{?GsU=wU;DpW<^*X_OhlW zyI0xc0oJo=%eR>%s<7w&;m>{GLs21ss)Dj|6{cIP@b3|`Lo)$wVPw*_3xOC_m<#R~ z$Z1-dEHh+an%EGdf+qzWs7N{PR4amU zt-VOept~d}V`vPpCPp!}-&?XEMN%6mDY>y0d)vh*Io};Lxo~1OB$rDmyrMx??l(J( zBp>fXM_XRyCnVlM7LZqOf#FQy-CkyfmF#0?86aGL;hAPCjJ?%FoU2 zbgJ9Hr0Vq^^sJ_RJ$&pbnzU7nne?m)gPOQ;3hCMT^;vs%&(*#s-M}(|Q*-gXKIL@A zWX4h@;Ni#P^uY!^wv3y#83!dU6IVGduJ)Cme_tAGQ0NXb)`HEokejK6 zeAu(!|K?vF18N1qUJpqC?To{V4uxRvuxJ228pya{BG^;XmyB4VqHsau097^tTG%W| zFTe$_#3sr(OMyR$n09w$I8aJ6=>lTKQk-3e#VaLl}Rb@qIJ z0LX(oBl-7(VSH z*z)JPB2xpwY0I}}l!4%+2ucInCuK zv2iN7HnFnSq@(1?l5X5)am@7 zoIR)H+^I2xWVUK1lA3Dz{hAu9)}-V7C^@5qn{GG+s{1F~=?mj}^ZiE|c3qYHQG>3J z=XN@tiY_+w@hXK`{k6&__M#r{h|vewyIGdar=ODQwkZyjd{-gN3NSWZn43IrssLBe z)XLE)h24@wh)ngBD!`Lhr-xEr&2kxD+l#Bna`a;U#nXTPlioeX6d$yBXlhXv>|S3@ ziwDwjsC{iDTB$1$f;m!xaUvBtnU;2 zH;%OKN}L*kd@}tSQB(9_4i(Gh%T$3Z`v5fOzQQliO6EWoH1>#e861PguRVDc$b!tB zh)YUtyZrA}AgfJTlw1NURsZN>o&6gf!HQ?Wv8J=D@H7Xq+tr$|E363|TbpPQ>s;2{ zA(EA!6XUZwlC;h)x!a?BB9bdG&9>KB-J%^}lHwce*WDmK-y?%ll4c5A@y(i|N&M_M zHyje)_SHfleEGXed!kEP{h1F47;~#Nr+E?ZA=IR6(qBKH4$Z>{=+FD>@wwz`|I!6d z>JD$ziiIzIiWPkfcN9eU@y=Ofoi8vQ<@=m3kVooK`%XC`TnzbSeunF=*4;o@*?0}= z1thBjGhSJZj+uv>aF&^Gk!djVm6^J2WSY1&$;<+oRw1+CC{$lqwv%1tJD)b?=W)CE z^izUkvquL9!$R@yp@rgU*e^%ELQDyMQ$Fb?$$rc>1psW zS!y1$s~Jh>Ys^Odu-tIu5A)a{@@)jOO+SER*!+Zj$Jwk*x+12y;!;uw`P7Zug&@?< zEkLMBGP_-o>xXC2e(%cdIu>$yG=J0%#bo1O3}F31EvJ?EQR;U)T&!xq!>%uk=itZ%q%E|+$9bB z49Vq2W~x+@l9%(7V*sm|{KIl*Mf*6p81k~_5-@2x2O!f#A7y=++T3SrV%`EA62@YS zgJoLMn)&u%)DB^bSaSt;rd>1NpOWi1tX5WhOKavEgt-HRio#-G)Lr{?YIC1mCvGHL zMCq<9CGIqe- zlh$pSs~yjvhw3`jjwV}=%`3qH?B$p<3#p6e2}34MyG3(m;dWRsKk%o1=AXVCnFWQ3 zcKZ`#)Ek=wj-4g}W)=)xO80`vaQ3rrJJ^3(JQv&nd8hpeg~7;n!E>R(b3x4RVRE0X z5#TU_Y0Q{<+B+9KZdjV9AkIvCmj&sNxFFmMntI~-eRN!$nhuEzB6i}JA)H@_Qsfos zkQ70b2$Xwa37&@L)Z&o1B&KnIhKc>@XjE@6vg%KO)y8~W7-h6u7$(NAa?~iRQHx@M zZfC?ng*TXhHqaIN+OTBL36tz*+y9D9Z~n*k`QK)@Z0b4co`A;kBE>D87Nn|1+?>nC zh-F8Zk=hAEpkAs90O~EJ%mN>$6j`?eFOZq23IIxbkdEiW6UQqMA_9FRF3uvoL>{4< z{7zeWPNG4a*0>zW8$|gUn@BsHpVPOeb+)`uuH~I}i)PDvJC^t2cmDF1{r2byP&cSe z1y~xK=n@QPXd**eUh|${9;NFJ3C9)t^y;uQ$C@RlkDldD&LD@HI3e~k6|i?~yqydt zI!Bt=O@b6g**gQ~hhUuwfO{Z1gTJ65LsU+Twdc*RdoI`63VzF5~i951vtzajbh1+90yAV5OF*?LcPvUE(+Dx zWH+>)eZ}tV{0oh$$)c82^;opi{Oi?LcPB=yHafA{ zG!^NwPWyK*l0I`AMN)sPA2dbMX7j2wQ(JyS;Smn}&R1Rusj2#O+VXw+^x=Q=x%Zw) zwJHI9d1}ZQF%r4;ZrP#R6bDra_n0g(a_bEc9rA7mvyC{YCRoU=k)M&WNhYij2h~?B z_|rLVW+s@8>hsU;CfQeA)P%v!52VaFI958lu#qC^UTKxtvxxvht1pW zDB@i%hLN2`j`x+0qIe|K-d+7M8tN!&6L*q`%a9;>XCv{}$+V$HRkhGS03mgCK$WR$ zvBk)=ode0ac1MzA79&##3xvsV=?iyQGpPnsYOhXQvO%fhq?96CUQS-r_&K!YD4ic2 zIaQ`{1ZoV9OT6fDJi2V?Y6c1A2npu3JCbm{@O2BxK0A`COmEAYDckY;;RmaJPI zQuRP_-enVMT(mnC)=2C{_fpwJuM;*4iDY*Z&tX|g8=ZW!vizlY=XKLU^b2qO2cP*$ zRGid5X~VSL8YvyLMT3l%r zMF01Hs0~L>OsT0TBsk_-^KPC+-`I1|;hThs=mb0^8obdr3-i=XjhKQ%V>6jcg~b%0!K6Oasw+`44a z5DRs}x@7CiFj=}JfrPYaP$8JDFAB{#qa;ZYjW>orTVD`bS*~#DFpgL;Ti^X=gRCQ0 zT!7=US+TOdz-elJcI9)rV#REIcZ&tsgOj7P#sgxG+~88WYRV{eS*S!XedC)ejknxT*|L7Lkx4O?9?S6t z$bXpTrEWaPkkm>yGQDDVl7nnqi|&O6p^{{h&Ltx(V)d^1&85!nk|i#}=pq#5h=Q

D{I02duh6`S20JJKXHj|_Ono9~d zT9>-hs(D+Nf>xSGubzWfmZDrF#kKP0$>|5!T;WmNa$MuZayMnff+!k$cyCM5Ok2Ls zfBc#s|I5GprpQ-oDX&`wM}3=WVRVkAPOCw=vu8Xd6^)e&W46o=a3OViqKZgGMPTb(@OD1rMtQk)LRM*r0$a{#L9g7D%h705ZXOQbF(}Rf40g z5gi-#Ji48O;_#@>883gU+-udF5WblOs_yKxe`huGnoW3~>g(7;ey$1t{Xhc0nrVpc zj)rL3Eo#;HoIJjn4SaO@FNDMeB-RBUM^r7^Qtwu@;Grczwe=F6cG0cjdGH&l}O?x3T> z2F!t@Q@w?>X1+aiWF*OrK1q;oHf!elGd!NDx!}3EgBxrl<(1X z#xrHVMqQJo`8oFYRK`bzGc$tyOQ-5xJRY8_oR8&k*~4zka7*l;9OQQ!WpX`5-Jj`E zR<}~#g0PgZF@b)$m zpBrB2{NT%q)x%@xexAZ_+K^pSFaikISsA~{O?>idghu?@49gGlx!j;%6X=1 z)P}H)Qqk;0yaD+hr}=qv#^iQL9)9=oKm4rEh~k0Xj5cF}&;Y;!6c0w}l`zW-f=q>!NfJW1v`vXDAz~BN~Ub`)a$D5rlcg9FQN8c@xYVEPrQSa&{t)yPeT1 zp?SH@8)PQ}W2D#?4Br5agKgTNaw5DPnhk+%vZl(I9G6DOa1GKVF}o$GzFbCteYr}X zYaCsK+vRY#ld;sfVR^G?@5+5LeU{ZNX*V24dT3eE6bsLCicXctBBE0zUXE}U1;1t0 zgd}4;QaCHy5x>ILfLV#x>R34%Qe!h8lqM}%0qRrcWJmu6!X5p|?TWOdE{Ni~j(-C5P+Gz> z^pKX+1%VLQ8q#}flumJtw4^R*q#XpVcd1IK6J5|)7opU^+M$*-R-`3$L2`N^Zb!@N zfoxGV%2h0>OM>x<5CFo_mtDYThH+|_A_1REa7(vqRxnOwITmQBOlpYVd=grq7(g{} zOU-syjn0lr5{YW+QJCS}aVATuu;D3fBQtln-PY5BC8=CUw()(IIPd4@KAHG8N(>|2 z=Mf0!^;-{)KzKmHj;l;7vUg<8oIkml^J%we4tj4V=>6^=_`&bK3{$O`4G($^!Et}L zSaS~H1jL-qn}#5I!doC5gI-^8i$Meuq9Xb;OJuuH1*fTYLD2e<0}O6@544V^+6DLP zqfRp3VJ@{+%VR~FY8SL|flP>RE#3}6n(88m4;n^e&O-ZTXsTThUIZx+!4$m0_ZG7n zD~hRhNuvHhe2jA=>Th9v3eGgR-T{s1(#3%xOfr1&Ou7*@fW|BAd?U14x?^Pqc`C`4 z9(8uR_Y|W#MW$nTJw|T-B0V~{m^}$CX7hu`dcsqkBcz@j6&}HYM)fm|YOHa)Hum*AEO;ZXpP|bw7Vt9>%7w9yQ-Xr`(uDQeO zD~8tu4=beFR1m{}nia+Hn&1(mfpx`&k3EiWEr!FcDp?Dxn!TV6Bpm*yXMZTb=>Ka0h>9%+kd)}sMx>zD1X zJnOY!o{t}7|IoqEh4q(D6i=~tj|(8<22<8qve+r>+ztuoq^S{Yo|UG)?)X6A?T-s4 z><+1y;Mzh(8BbG6lXE-r+5pudEuW4@V*4D_U7Pinx7O3-XjM$FJ9-oG>v|(2%h5>b zU!@#vkM+3@!i4?+S}cF{MwV}l8+*{7`LW-8@k%J>Q)NKTPGv;2z=U|b(YY3v(JFzk z#1)H|=edj8u`tuc^gXv{`ANm9IIfm{qUEj29}f zP@8R1RCTEZW>sEP*MUavQHZWX%i4{M9{buOiaQm_SvO0%Bk6W-hi)I#&7ysGcUQuk zs(tO%xPtb5%U@W0!>_+V?dwFwBigrMLop0X7}B#o&)T*K7EM%y9MS^Q?Wrh@^BK`~AvNLau^p?F$#udO}fHYi&-YeKD|$OLE4Q z7Q*t^7Pr{-AEt)WCl|?eXU(jAQKJKg3Y=ZA@?Td|s@AgV5%3+l-PhZ0y3yxeqi{q` zDF50WshySODTR4cGI|M98!ljTmYH=`)n)Poh3+JIf&gID2o0pa?i8VMUDp@ayUVWY zO-|0fa@lB_{vsg$N<8n=mhW>;Kj9nR^%)Vn55a^NyxV~M)8=%^5+b6Nz;Bo5S#_3aNqsz(c%RLRRF5ubgKQyq=<>2r zJ-Q%r@alLm%dT02I5pLy3+{1Bd~24$Hy!@ATv?CV9t+GvZmzMatjBNU<;F5+EKrR6P*I6^)9Sj!XG-g)ow>GLUty$fk!%+tiA|Noo+$GO; zPF0bfP`O1}o~3S8_=zdnd&0o*b%-&_IKnw`aD$11D?6w5?2dSk9qoHZ;4Pk4IHJRXJA3U!#}m`S(41a%%_EZc%H&=HC9B>FxiBmwxz5KK9LT zdJ|~Gm?6g?6+@gMWBQWm00vc5kX%x7lFX0a6?q>{`x2v3e;3wy6K-ywTAaGi^d zApfg1^DRoF7+2yeRg(E0rBRH^@*9$8`!#f3G!|>Z$eZ?Qn#5a5^qotcrhbzZNNgj6 z9j`s5ac=Lw$@c!IpTdlkqAl+|z!usIl<^UTl4g@!09R=~xMJO8c8>0l_(%=Mi2ph} zN4Ep4_{QbCfAe386p4}vx^raIf=Ey7nD|`Y2N#}U5p0*GNL;Y^Jcz}QYWzcx*4G8C z=p-Yiq*dVPyRagSuL}k%>3Y*SHEpj88oK}zscSa=(eH>;)AYI^D5S}n55d)5rtoD! zTHYeKW+FiaC5f8_X?TkukdeCLa$^k=acXgnT(UGD0Iw*+0`ijL9EIc_l!wgEAWx$% zPg;{1WS7LrHGhq-Wv+xvj+*_(n%`_UJ{Qmb^_lv-zg$csSQ&N-**`cbkQ?^!9WM8| z>c>Nd5fF14`AO(Lu%~v!5X^~;>4xCOC)`ZCMYFA*ev6iidpfJ=#pmC1D&4^x7_m0r z|M?&DavB3ZmdH3@2tpHo=TNyMG7e}y*HVFb%P_$?QpWUe_PxV7UxNC(SuqF7X!_&G zQ3wh;rw;vdoSf1QyJZ{C=P)^?9du}SgtR*E!ILeKby#xDcYW$ANZu^;8bP+x!CzL1 z4C_543~?!ik9_Vbkr7FU^+CpfSCX{VewihM1GuDgG*puL?(8vJT$2u{kkt98QLC=@ z(U{J4!z_ETo&z2KazM8Vtn2iZB^q-bX4q%)>Y*469;bJVqn_+qGsC?wVSn*+mjVTV zepNrb%xK8vVRz@HRt$a`RaY_(~WU=LgdAyeX`>-b_G%sQD~p zq?F4WFip(rM0FiF$@2*S?BPGxu1`hJOk2LSNz|ubzWuJROB2Ji&g|V>4&}hzFbWeW zzZO8qH`WCccJV-l_zL7med>b1!XO_^HHrDqH8@UV9~T7352aZOF3V_ctq_!=9kowdGQ4?lj7#&qx46$ieWbEUD#{<cS|kg7%4%zk=}9tU7lZ5spqLsAG+FnlVre?MR1x(7VyKz?zebof^~L;ev#4zPKL6V%qu-+j#JGlQ|IY1P&roAlbDKMqt2D<+#qkYJo8tF4miqzp zKlJbU8qc2V+tPT`mhaPezv`EM%isOX4TMx%!1T-E{LIBsG2a3vH&6)f|@lwl?yH)abe;M3#JsYl%r>ch%z9$j&=_aI-ul_gys{%8?VYpNcWCW!oSr$( z`4+{zvZeO}r*D++(RQ__iN!B}=srinn&j765UR*RqjfqzACqm3=xsF<*UX@)w$D5s z_AjS;h5Gx7^jgk^uj^4)?l5v|)b+#ZhGrrzGCoS?m%ME2#l5HC_aa6LKO9Xn@HCSj zjM`8|#HBqp)d5+J>p-w{JUWyrm?s446LLGu0BEcE4j`_BGqt|=%xZrguQiKTIq+B= z$z+`Z4=sJg^~-jgUyN4Tl%JHFx`q@Bmw7FY9R4<^-Rb`88kdTmv?j z&F-#jW;cA~7PU^mj43*mWFtszdMfT4|NT#2BxJFAWT!*N-BFTKIf&v*YmOYIQCXn3 z+wYO}83-LGvtO2o5ScLrHQUMH%~YY|5KU$d9fOPmpxHJUrTQ#iX0;*&hY{hrGl(OH zj>VNhgN9@Q^UqU-juEzkF02j+5oA`OV~JbQp{9Oed~b22{4xh=KdZL4LEg`*?JWY= z?Z%uQKl^#k1S7)aRT%#Wl6GpNgNH=7z5n>Jdk&AgZEq_1B!;nFhe7)|!v1R8MzWuC zOEUBB;US3Yoi_R6roU0GJ63D{Qc_A2uoc{>c`-^e7` zPyLZsKlm*%^j@Y{0$sktgqow8s^&F{#AiJaS}OE8^N-=(qkxLgYeCf5;Y zN!~O+Ay7y1B-j_r`Dt08m(&fZZb-0 zgySTTG2IZkT7T=D_1+Q}v1bVrTzp|Em!$JE-I6v1-c)ka__dJg zkKD9mvi-4spoIYM@wnw=^n~T9RQ)wOGlU|3i^1KFET6*j#YG#9b8kf4z{F_$8usfXrnne}a zE*x`po`F8I53eM4sJv>=iQ^LF6D1O=yqm;2USyIvWGSI%(rczz!+6b9@q}IgQ%O}L zlayqtk;!&?4_mbazyD6v60SGb_?W`0N^Z#ERV6psj_ao#i!J?eT&tjOm%IH*dq9{T zf?O$pky8)VI_%v_?RvSpH{r#XBA2Z<^5RqUO0*@@mZu8J%TurH|K3;sZ%>c0IvMRJ z!C@nuda4B=IKt`#awGO3bHx!(Jt3ciAoPaNgXYA2E-qkd2f$+D`)`(D{g?k5MRi$A}uZrlq#qcuwJq0PE4g}RP8l5H`RE3OnK$FP;vaH{9o*e zPkNTy(6V}|b&A6jY;oO^3)iZ?8RkoOA=wHcL`~YY@ksf~rfR_zVZQc6-Ta3`Sr{j%EKp;iW$+;_cZ(L(l|##*L&CT~)dB7ZE6mqVUD%KS zQIpUzL)3V0T(FQKZI^3d|IC6pv>c2Dy{oaJ|*)Gx#xcs`g1p)!Ku6TY7BT@_l-2|H8liuYF%s zo7BH_J!anKeE<&t_JnT+3QF;Na?HmRnF~f-+SAjW!_ED2MVAXJV&LjfxETTLX5Tq= z4mV3f*%r(zbre~Lo2W{ebA-mKHZG+e^Dnbot=OQ>=5Np)Hz9F~9e@Y**m(&K&qh%6 zm@gT@^KvJ7y!=CoTj-JzJp<;`{y>sGa>*1vuVhMHtT`G!tBPuxx>WfsO~IMNS9I9r z>%!R!Rm=-dA+>8t{|gN{H)@X|XZeaJPmeEh+N9%GJUvj=r}rFG_4svB0WM)RK(kkA z_p*omT>W`Rbrgp4zvB7mrrn~}2F=*fo57C$*jv8g{lD>7-xwdA7N(GUTO5qe#5y98 z=-~V9y~oAsqP?Zldn}5fFt~XeH&SY9o?}Ic6-}`8PJqQ#=xcK~GvkqR>N3lwhZ9cn zg0^CrWwSq`KU>UmoSJsrR|H=!CrThgib`PJ9&K%hE{RMG;Hq$6j!4C*YY z3LhltUT@mjfT~ZPwJwa+jhcB%>TuDeV-a1p__J}v7>L?aW=D$aCgX3 zQ$8bZ-0UOV4%N$-edx1(>cgW1q{9atS!$yQVe!{1iS?uR-gd$C3vSKEqwZE~u(81g z?$KbPg^LgrBjkc;K+RGeBXj3#+l zOA9KN#Mjnl)g(#4Lwp@{waCdaD?sZ{E;-pst91V--plu`b ztayK@u6gSd-aS-r|IW+=x57-2a5m{JmPj5AwjRk(t%uu#JfiWhTo0eNd}~lx55N42 zpY;R(_@hd|q|s|9@woe zE!cuWpg#3veN=Red{8CQ)Q*dYt0MV__#gjg{~LSalP-K4<>EL8&p!2yABr{mjsMJRQjgQ_7?hC^e# zksVmVv%rF4CDY}|uj83 zo?6b<``;n=q_m7{rTfn=c=rA+vji6$iNDriPJY9E)Y#B>248(w`pVq7Rm6gA(X#+z zoi6x}sX`*sLr^rD`|698`RW*%*9unN|B4eWxFQ(J+Q1`w|H#ZC)kwjORwLuoqXv)G zL2QMZqKA(w4C=#rwCKYdMY%t8E<|2~pMK{FwuhI_hnO$#68qht`rj~heV-^bR6tWA zBfC`>7}#w?S~og_B7REGq3UhCD6~u{uXdgw&7qp4MN)8uz(}XCyLd9B7V2j|V87n+ zJx7++&yeO&ZO!ABlMyA3bKNWuqiG_!Q{me)nBV@ zV(d_RaPI-OiZH}n!3%>fE=PzGrS~II^w{CzFymO9I zhnC@MJe1?t?hQ^|W8;0foVxQ~j`OdCjcB>Q2fwmM)M_qxSOX^~NuGr# zqC$|Gr3fkoF^b)wGpzeM8)`~4j)|v4C|)brpmf>jMH8^Vh`8q4h)3rq-{Ggknv}$- zvR0B-$0hf0Bk{#yW)VK9PZi%sJUW*wI5?0)nm?H&^X=JfkSWvOXf3l^Gvv9ZrbPZr znlnHXAv5pt9T$EaKl^U6;O~xa1Ymak-A8>tb=@s@pLitX-`kPf#h25gdRdzEz%z^Q zdXVS#lYh9K=ITvxIKxM!bc!l^O72aT3LT@vS*Df{65i2StiANUvNuETvhrpG*p|J? z+MDU{OQK|6c{5}DlDJ+x_r;rn^SG+K8MtSaHzeDQ`;s;*zk%&-Z0S6^pUs}{uv*o4 z)$k+ghO@iM*{JtHB_s~dJO~!;Ym0lmw}?hQ_XOV6ElPfgdnG3xrmk0$925PBJ6+r- zaq)^v)Od!c-M^crYx?v5?tk?=J}TI+HHpwot07-T^W9e~&uKN#8Usd0!R^7Q1|uy& zePdhq!M@BD`)lR7sGdsTd-HB$#hg|e+zqUc`DT#ihD#0^qW@&QNXg(}P zmU$Ncx1}V;e9LJOORmLsJT4aZA(;{LqHb^>KP+rcQ;gzG$#jgXzbb9Y1-@mKUn?_n z_dqOkvSyM4ungCJy#$jNo;r+OSavdT=|R2#otEVR;RAQFX6Z@3fUj(A7BF6II=9NN z*%OMhZ=F{q9%b>yZ1ci~2H_C}`*)k2mb8gWf`?PDQw?2{F-%YM1*Q+O&I&O58o9&j z(Zla4*4BO{E$yo0xpebDCjG+&qUOF{&aJ+d|-hbI2B5^}<6nOrcqgy*f`09 zH?p3?{+3Pb-N0Bv6CJ(?Sv>6Bz#9AJ277TU_b`Fn+uh7dZK{|{TX zctkivsAzR8vOMnYwqd4;cw>8bj+)0w)-zdo`c@nhhc!7IqdNPjlcipgTdu$(Mm_D@-BXEcBkdrVpf#0y7ka2m$CfCX#dXbgwN1wS0qE7K^)X} zp=Dcilf0`%%fbOf7AEQ}T2j+T8daFI7h1O7tTf%JItjSC+!geD*&jbsiB|FRgypFk zCoWIXygW7OZ~XmV@VlRn-fZX09*S0Mv2y`Gx#CRn2A3c*0%;`~Z z@G=I4^;&~otP!lT*Jz|xK;Z(#djmA&3B4@d@6a)p5imE@?_D;lYMP(2u` zjyqKCnYt@3x;yBNM$-kJ7!Y?cLtiUc*;?#Z)_sJ>;p2|{TX#-Qk!ix*N&~d)S>RXkEmKZX06I~G5oqlB zme(HSb1m=GNNL*geU+6D{^_szoo|iGidKMJ<5@ij#0!qaTQ54~F_N^k%Ia>*)5 z2#=!1i^{6}k|tOR#bolY3#)Z6EJh_zH9|k_P}Xi%%r)vgl0ixxgOY6vo}$L{FJlb^ z)Ocv8Y*wVkb3t_9vR{{ii{=_fl@6Wlq*JO+*xbN)lkJOaUNqRwiRtIY{YgRZte=jZCAIU2Y-o(NM# zBe$s4>ocJJW_Tig{QrK-)2U}pDf>K&Xas)1|H|aTeu7xBjmA}K^M5eD4aE~J{o5Mw zcEvWD;AAG*1B@+99#%wlkahaZlPb2+PrW`YB%O8lOtOW&9UXA=83dm{33)9rQBwfg(w%<*_! zsyy}&FAm_-_O0~Zlal+(beNtN`{dfo7npnIvipD*xF@h@&fbI(`!y#Vr?Z@*8D9(j z-tGUMN0(px!t-x?SG4>qmB0iqP(gXBf<|u9>|#v6MaxOIXt`ja@+V*Y<_~;iK*Y7; z=`M!VKYgkSvLNUrs_}SAMC*dlM33gNAz+=4Z0Y9YVad+ ziZTV)sDajk8EmoNf@DAh7pQ_Zf*EXq=$utWL1kE%k(~_wQU+U~C~FPpD{=_brxq8( zFLDciNta{?e=Erd%Oww3N(}NA&FotI^pl@&kkx?Yex(!avnrTj8TK!?X^Y(v@V{YY z`#w=>7@9FB9B(k;2oPjKQz6pFuZx6~WYG}#qr44F`1$EbdzMF(e#b6PwJFnx)c1Mmu@F74U#{Rs+Sa4pur4Ya0KH>hv`gYdk)Ko;Igl=4h?!@&Vv0Z(mQTrk`;c*nSsFK~p=)M5k>$a7& zbi*S&N89V6+31uI%S}R@;an`BF5W*7TrUSfpnZs>b)Vshe``!ox8|kk&?q6z-Lhz> zMOq?K(Xt)6XMyRbO=*Gin%C1$fz7TcHnevl*^ElHo1kVB%Ff!}YmiPKANJ@glnWb%^R5dDQD0bQ`v=6bq4 z>jD|cWTERhR$P7l_%c<24CGc?AVVF$t)x-gl}g%SMHVnRE>L&ScDXF5NkF?u(~GRR zDdBo0nQ9=2Gr)%>YvL^G_4`$xtwB`*8UID1nNsa_!dVZ6B%gE*fCB78Xfs`yl1P84+*tZJ!(SJ?fGf)h{_}Mza}$==l#gEB zh_3BZhQIa8-}TSl9TY#RRXtr{IyTS9U07Mdb~Wz#AOO2|&*7jGR8{=L^$K1j-E&Ot zYr~StJtsIq(l2a8?YPo8c(S3tTyxn8OltRh5dWR;&oKTw-=JaqcfLcz`0wf#4dTD^ zJsQA&KldyUMa3NguLU}O)sbIxf-Ui@{IxI;4TA#|xLz}tU(FM$J8G7)-*5kNQ^_V> zt{-2nSJ77h3QN}asj+T7zCYSR^GFVDgipjN9qz)G=?2u*72a)xZ1CDlH(+W(-Z7YR zz#LQI-NgIOzB|33aMr=p0%o5$9#vq9 zY?rxRE@&;eK&*f;LY;*W%t(g=7&XN0+9O+~iNp|;7}VeksQm!j!BeBLN^_VYC^4uD zIwIl-h1RYpF{lZGcY+PHP-L5p<;P-0i9t;eDLFRz0=KbbTX05B7_(x%A_c(*-3V$7 zYLWz+;@t2Jk?QP7N(}0f%LR%;Xcy$E~AxrzNenSIUQK^Z!OBL>m#ru2;mF@%2o|f**TIzKJx?&%4 zw{)yD>cIduiXmiS&^4Qx47&KA$sy46W*oroFgz5&6(=UXJ|KG#>LSJQNa5WrSD+yL z)A_*_SaId8E|?b@gCYn*RH!8-=vN?q2vXO$ z;2;eXje!g9P)?Dq0wD7SxsV1)lNjH{iW@Dz;H~J8CW$d{$zj$c8iOKvnl%Zfr$l8# z=0t-)py`UnAcHETMCNO1;}}#tm9Cm<+l3!pjDbr6EU}b06!QeEmnuo-`vbfxM+O5| zfv$%7j!#zHs^P2zRnPf4QG24=^Z!B_$|u#o=eASnQATlR8+kOZ$DMkqyO%Vz>X+bu zn@ednDK!i;Y@4O#K~3UKBwfwzP|j_$m}vH*tFPMEY)|^Rx_S;E?=FCxc8gkjHwTb6 z6F{#1$cuk%6Jxv#&@)D)KJ`bN02=vN09}!D^id%-PpS(}LGmOVqKVxZ{?xvsoa}89;Hi3B#Oy z1q}&6o(MaU1+=g;R?OMg!+NC?@z~+krjltq?J%g=4f_a~E!1f~RV$n*#rh(dQ$@=` z^R=5cK!G1IQ`%;oM+4bDgRBO+3)9zsuQ}QE-+GIuvg@&hv*kRGF*~l$PP+~FzgLxs zBw>f6%0`_dD6E1sc%I0HN06pf)^t8T z9!dWrD9nf(+S6(_l(zP#<2yOfIx2?!Jts(|0~S{!zfCbl62G2ri>??0P6eD!Tb=QHb^T-g>zMQ%83nGW#Ux>-X|tU8za zq9q`DYvb8luRC~t@x5ITEV)t@BUZCTwu|p=f?L2TrRsu-ebyfvrxxGa1P{1KxyBvF zJhT3v5%A)Bo1o1q1fCH2l~z!m3SSXW3+c1kBg>{LGES|?2VYXBlL-1ASd#kO>U*0c z+Uf9hiRj;MIz(TZw(zrWs6{Ec*))@$MwOqs(ht4Y3DYD!ea1n6qG(*i;R0n6;A4)f zw7oYqQ0ZY%Y~f>r@W<78pA$dk>c1;V|J$b`EW>dW#vx*Bl-hhpwCU`pYGNe z@z~z9^i*H$l?DcnKcLK=2O&My7yH$bHx17gnk?ED5LIt>b`0AhG@Gbaa3!~APY*Vx zTkiO(KoTLc)`in!n4av5GP#}1)1B)~kM@P}&B;3;ouK(;Q6PZ-N(W-m`4|F!-L5Hl zHM^J#2%<*?*NL_gL3~$hN~GXxt~OxM=9;TjXAY)d?*WNyUWMCw*J9UZF}euHd>rN_`p zAd}PaXtk^S76{Z`ezo6%A1pf#oe`HZvNHXCm^oQ3*O^JVJ#!`{20>=GZH<|fmEVTZ zko5^`ziqRTL6W7KfRUA(>ia{WKED3`8=1iwzaM5zZmQw}1nT2EKUn_#^zka5m;PF1 z6MHv1OU`eBl*atdec62asZG*%n2C7^VZf@n>B6?@OZDs(FQc4yBR9=AD+lfEk6wJS z=HNat7_$gE$IO^qnLXYOH1WcEPM9Bc1A%(y9H$Nyj&VO!xyGM=-+L3+`19`z>YICm zDPtbzU+JapVP)5&%d{ddRB9dNOLFVgmoFc^O}67mYJ>sJ9I=@)l zm43N$!F@k154n8D(SKM?+fTbIJk)abyq(+tUGgZw_! z5WeEzcqZy77X)h$W~9(7>KV^Om&^r=^9Gg*xyBf11jiN6TaiRAWGU@!$eu{pR!K(6 z2RivUG){?D!p}Z1PRZP6mn?1`!YttisX%!7_Hgv8xQ<}*kU23SIn8;4>y~1b({08Y zt+>PH(MyYYjzB>^c}(Gdo89Te?zVNEw&Jo~-(hog)%tDVs%g_Ehmo`g{C5=J!(OBI ze^xP_IOgncpjLkt6S(&7#`y`vuKbNoD_QMtz~8EY+~}67{0(u)GA_IJHzdvq_fMe< zZrLATbLC!v^c|5V~7h7CHo}n2VqjuVI!oey92xMKOmY>#1B4d^eg|iX|ltO@pcNc- z{@#)XDw2qIr9(N!oToKuHjCtLhk;KLRr`FuB$;my`*Xx5?Lfj?|3>F2X6nwQC_Rk! zoYIg+R-KJ@+D7l{zxP#vWzS_li>fPe|Jy7oo4(Kg_Q~iu>KPHUW?m5ZzFA1>;MO(s z`AK+t%5O{iPFucD`+mWvzWm#Ma1;OoWq}kIdH^wBx`nGqzS0053D*K(3-nNig7Ecr z%*ZY9U_+})#~gH#jL*rZmcZGr5h|C30(iR%pA^>*fZ3suQQhJtCiOL6MlzMQ;(pD6 z7?M@oNL4YPT9feQ_t~(M1JS?=voD$@YXA)Lz4mp`lhaB{GR4-!z&i{s*U{I; z*QZb8-}oI8lzGW;Q(@Z5npEn@;2 z=Bl*hSo@%0Y~%cNyU%fx1=5?`+&Z5HCm2ri`O0)g*Id5+VUy%Ko&TCLLwZKnoUqK@ z^qMBcB)O&^q2P;#7)((p1&|@t(E>@Y))}XOt%&>{@H`SI z?N^=RCv#o#LlQ?o$<3xytgkBThy8|30U~Lm!~Nu=23U=nmj}I8emdbqW7e^8ttBL8F zFju`Pz&1*p`!sK+PxF8M7oYP#{C{DTm37G>uTCUq#hod0>xI8Z=hibnmg%VZw)=`D zB;Rhjyw%Kex*(^o8o~8JjB;L)M!5(AVPvo%z`mMO(T;xwOz>WGSYTI)N_cmF+8K{E~siAcT!>JsFbTupfe zoU>V!#r&$sNXe>#^->$$WPLL1&%%ah;R&t7^)~XNtO_ ze$pL*({Lb^&5aE}9VAkR-bR08=SNEEw85VN-SiQ2Ydl3i-w( z60$l5E4+`&1t?=7feA9=p(I(s?aP>4u!Xn&N9_Xmm|Ub}7oD?b7vQj7n_E%3RMXkB zhZfEPKurp|b;&z-7R-IHVJVYIeTu_Sxgn2tK6C|;r0_HJ9N6c={x?MWK2d5oJeyOU zH=pWE4nuP7;V@Kq`(|@?7~U{hQPV`T!|>jh-~Fn31%hWPEswW~S^9)e{PbTtVHPL) zKbY){P6G$fG;AOXhOHDFoy92wK_ECfi&OYTkhZ~eUp1U@YB~(I1&0B=8G4OiVV58~ z1gThD5dA4WixW`eMo_X{j)E%vA|RJD;mEDq0I+z12VmVI1EJ+eu83_z- zS!0%T?lBuic9y2`uQO`0)mGjTX30&do&*$pYHYngDR$NVX`21@1^sWIWsZMqY_tdS z{#UYkpf0L2noRp(qRSUyEZDuLI#fh0;XJa0=WquBJ6v@>FeQ7ZU}Icw=1xL}^{k$} z*9~TAg3V`G>96IP28GSapZmS<`dgn9J#kvE^8~7c3=T3Y9zhX+8z|V+AOrg6E*KDy zp27ARj4(LZK4V3y!S>qcVJAa%iptPW&GuSt<619-At-}Ns0>}uVjXnZfqkgPK|J>? zm0=M?+aA)!1YxWt)0$VLGIYV19f{O!`}2r0bjg_Wxb8t~Fnap`*?Sk5?e?rLjA%np zP-wBSXwOt@5>k8_3r4>0ffoEe6JSS=+Ymj*>7LQ5?P z(10z0l*U3UX=rSPQf#dvs8~R`RS^SejdrB=_gk0$TI;{}`#k%3FW>p*%!ZtKpZz^+ z|M%X{-g{ks>$lc&$(ZxF*-Ge^4=&MDlU$>J4NnuDb0l^vlKJjz7YCiR%t=m_D{@xB zQVE5kD=lMM!_<6FhQ#31#~CF4u$ z{u2uBY<%8GZ#b${7d(LR4djZ2%i!O2D^jJpAfitjxDGAQ3l{%!!P#-hAno_`ADP6l zHK2Qof2nO9=(!C$;${C5y(}Y7r*Pdn`h@&QV@mtk*_uK0rrx-A?v8su@Up^|>Y zBi+gWTbHpMvnue()P!I?G5yYQcsCZXAVh?7of=3P#$QZp>{+Am9oilIPS) z2CM}QH|)|7WnfgRthmB_Td}rr z)j3&XlVw5V21kfyxpX|ry^$K>e7k^!E|QmogplOfv=tcl}7gk zC@g%dykZ7a(2EBwU*?37GuOOzte63n?O}yB7zjMJo5SE7GoaF1act4)wy$c*fC^fv zaYZRrL0{mCHx)nyX&_l8sFH@bCPyf zy&HTu-8Sm+2&kjqpPOJKD%Ul!|J7Zm75S>{v+lRiMJ`J6&5@R5KN2aoZwrUiZD~U* zJlqtT$#y8oJGX@sZdn*9j_)okXl*Q;PMD8QI=--$)Bb3SS5g4TMVHW0wqyT}6s_Gw zT<1T=CZF)F6vQp3w~n*t_Xd~u&OGC3%lE!NF`eJ>mA~$5UJXn~yC6BHV?B}B>_!zK z227)+H}Mkt$|@;b5Q#m!+5~@A8)L_YAk~Hof)k7pTY~8fo6%8gxFFiLu>ht(S--fC zt>ZB8syj6AybB&d$CX3xu!nMO;?TV1E{Ix1nkYau=<=?-+z_Nzcfli=z7RyZ5-7Q% zL?OtxrU`D=>N0~5gxbpb^N3at$-`dsKdhRKIfx}itGna@A%Gkl0}+ho8Oi|9vO#8%j<%u*8xd~hepx9diM5}C8(PqP#rPRXaFs2oqT9FB)8yJ@dnxa)*5+$3&$~cR2X-RMU3csb2SnTL9 z_u2>%9_irHr59XV^SET>g*8z(H8@YYMlK1G1L;)!l{k&2yP|xD%s1j3Qe@JL#5Qg&=2U^HrJpKosdd}PEgL+wE?-^mt-Lfq zID_6C&>n%_I%1J#VNw`2sIBbU4k9eMtnFYw{sm#(CEc}^v75punXZyg_;eVxk~WKJ ze}r|V7!?9F4C8hR!}yxVrv5x0h5>p@S^zmML}hTtCF8{u7beGmaB^{wX79`FvLyEE zbJliY+AW%0mWQcG`kpU)!}onwv|Z4Qqk{#Of5dAzkzjn1yelq?_YqtlnLq+^cwBcg z@)QF0Ljy9snUX6O(N;X%%*d?-5L^tJBoQnCBC5G7I~WYNXfRt5L}Z7ond$M*rdd;_$F8D&h(G_rhnYR z-07oxskxg#8KM4lM%9_+dsR(L@*6+xW8eJ$MW#u0ejgcGQ6U?u9|6-WGfn=7>)rN6-@b>$xE^LILC; zhRT7f^{@bqYOUQI%6aDd(?)6HimVM^{F*X0#x~(2dbDL6hO%b4LoM3E9jbx&S^XZW zcw*ys)y)&*qFyztop7aZTW1^MsGM{EY^&@w79EAkANw?J29R=ZO&jK@=pLgY#y!Z) z+`1n>&ZVv#2 zF#sBns<9K3WO|&hY_hsYcIP?$A?=1ltF4X6&mKf^Xcxsv3lJ>_uXCRDG zONx2Z1(1~Ogh2AGj?FcuOXul{7%q+1EH5XfCw{-dJZX%}F1!{9)Z=qI9Z=>^$N8^i zTys94yinZSR)Aw_aCc}r;4XOZmQCBm-dfqmiqxo0uyt%QKphpiiQe}rx<&WZZEWru8ybP8QMpbTxx2b3T!?a%Enj(6@Gf%An$ ziwj2fv>4|>dYHyK9lzRI{dwF3B3sI@{V`?*Ab!o3Erl=$zL%p0wqEGjQpJ^Z@vX{~ zr!7ydotLN5`iZ~yo4)j41f^BEv97d?DGPkmhkuOJA5dwzU@0r$>zfJgR9a1NyGHK; z##$&zBCj+HQfaxMl@&k`)d00yLGApoTce7CE239~G_A=i@&RlsV%$9hrL4eEVf*UQ zBoz}c^V27_1Hu!~<>lL^FR~H|wb5iwd|i%Gm>EyJEw|wS*REySwjq&u^>#+xS;QtK zQbCmK7yoO}y8TjDR*?cdgK7`8CH^Cp2`X1@nl-YKmg);ZK~#K63s!MofJ7_)pCtKG zx+FNuU=Z=)k#d*%@W|`zk~V>qYr^n!kD0&(O;TsAKypv|c3$(srvi~~9*cs_hj=Qm zYQjLj8(&X;?gmfA%Y97T9*cQKDZ;P2%qZ1r~Yd2uPW@4yV~kjf9$&2EV)f_>AA0u;C&d5kSg324?FoA|BUCYD<7lX2%_>s-L{ z_~gMJHe8;(I<0(rJzLc7P4%*?5pwhde&$y`=0^@6b}oN_Vb@BC9qI8Q;DN%KP|YVg zo5%y=f^E9j$ZSq|5=?Nr1Jjju8+l0dc&eal$se3*fg+EGuLz?cWrIiyNDe!9Hc5yp zE=CLv(gG|Y?+%>+S2!vAl_nYhCJ!KFx}k>D!fvj#(?5V3u}t~c859Q#7G>L#yjZWT zRwu!|2^2?(rj#t=G*LTVPjiwDa|go1CmJ&Uw_D{TZ0DyNZ+aW3ucjt^bqGxHR_;q? zVBc;uZ%8|&ry3~&;aW36fqJO!K~QS4K3hmoNZ}Oy){T_ImiwEY>#LZ9cUTNkawVAn z43GSFthvXX?vI|H?5oxx86{RiO)f`IkMDj*8?oqBR&*5gj7LEn6gQC`Y^nI#t{x zci_Q`e~)ThnZy@sPJavFFxgum?wmZQ__y>HU~6L1!**_O0rsr94`2PYehW@`d2lk| zFAs@;^83klT>oYxX@lmaAz`s8*AIcZf4%;VWL)C+LuO%9ygUfh{Rcl-{{8gv zYF^&DczGPLv4LCXDGz+Rfm`RB8+?mf*)kx5O`Jztc~e%N>~zf;keg=B(*u<{#g7X;z4-Fhbl-n%LQ$; z23K5K-CrC!17-+DQ1-4c*kOQpN(kX=8m$SyHA(^o-9%^znj{MUq)HE+RD^|+uO|a$ zG`)1)aGoH+)GMFlW{D53{(q``OaEHfPEI2si9~num?C^Bm$~+@MrUlOv*nD>INmin zdO!R4VusJ|2%mL`3^qZuxja8(&wl_X)ABPnnk(TQDBl3q4wmAjcS<32wtZpwMi09&wIrd_)s zcr}~#MgUwp=sJ(5HKRGCV+&+Rw`Wbp=sKi;D&IU3QCGH3(~Z^;Bj@dYPRKe(5Hr` z-35Uj8s4}<t){ zimnCVAlniD15!h#B#zG-{DPk-=9c!a_=$j_v7Ay$T9l1Vz)6>zX?vD$(5yMPk$Dc! zstJqQX1>TDz-D^dEuzVLEpE~4-AsSUpZfgo{L^8kl^5i69%Bh1Vq>osfk!c*S?rK~ zWoFt1Tf^l25utS_NHgt%2!p{WL|~0_L(9#@iZs(Mcz~7C_;Rt4L+7r=nS%(;qwQkQ z2NQ!$=pn?4G}FG~5okZmG#ixeBo57jG}BGcn{Q&iq)oMo6=|kjaJNCTAFjB?P%kB- zgrJydmqft`JNU8vv)61S$;2ajVYdK7QYG0aC|}O>Xt}e(o_?qm?_$?l*+q$$J1eP@ zuT`JAI2m5nY2!OLsk_X03s94cFJILLaf9JVQ|%AxV_JH+kCHt@fdCBmtxU76H=E|? zw;#;3TQr;Ihv^6NbAR#MKmDCyniX+!)9m;w4DCw#$ZgSsttZfCa6BlvsDPwF$v|xe z$6M_y5b8l6>kN*Ab_ugcKo`h!f9MR3qcNKH&_*w98R?u4UBL16ZYQQ0ECdORvtS0t z+k^r%BeyyN`$6E4WN;kK_0ZEs0Q{YlFys}*H2V*UBcpR2jrjh|jJ54*&!)KqvN2A& z{F0MQvj&pRnd!0YX0vlls=EvV;$o0(<2Fs(booLHz8n41<6w)6;n=jecs9-VXqv_N zB0F_)Gd6qbXXE?)8sBNRXg0nNV|?HFhA;V~?~VSk3R3j&^?teG3{A58P+~7ZnxPpT ze4TJ^AF>yM8NS9s#);y*pqYDXrCR&FL5wInVHQ zE4@T~(*9cPh zljGn^%}i&IT}nB8O*lrHZ^jft*EKD5BrGhAVv+= z?tE`~v*<7DpV%gK?U#tqyA^32eMMGRiub|+G|C0DuVC|N99sVtU+5kuiFaY7FuFch zU?Kx>QFkig+=UmQKTq=l$W++f0>iM^?@}+IkijdF&iMc|ORfjbEZbY*_MqZKcRzI6Jta>?2^scD^l zl?Ai*gQxmV5!q2dR0 z*j-H3zDsF52}#h3`;)BNSHpEk9&thano{24hD=+XL;J3V9fKuy^t%HBmv##rU3292 zV9kXV_~l<+=`MD3G}>fr&mU>E9N3e5gOu$BN!0gASHoEItVVCz(+k(Uc(W9(S*+)+ zB)OCSXDZx7ubX=EshIPpZR=JnE!p?!Sf^ZFb>bY69+dWQ52?(KgQekK($hMxFzM-D zF+-K%1}DWXPgU8WDE7N5=DUW6LJ~{i9SqaSUIJ1rpEP-m7WL@fN-RCNPQ7W&(MB5#a9Cn4S7$@BxwCvTvGEJ~{rA=`8@t@^-v$?l zlaajdN@!?d zk&TGmB(*aJBp0Yc>keLG!9BQQQiTTg80zG!V^_QpgsMoeGZIClK3Fr~9%k6ZW5XOa znfzMLGkc2|vVb^Qt_b*efAoBVFgSqYT=*-oS4DT8W> zR;M8cr4co<5=@s!2z7*r@kxcokm-<#Pbv)$#y<2oHar;l=6auT?AYHzQ&&&DCj$>= z?)lHhE_-0|!Y2=G#AOMGMg#HW!6Ys4T2DfI_`vo5{l9+h5Bz8_j#N|KY%2DvZi-xkf8OCG(5tJu#f#YmcX^CbqE@ND(qPaTtlq`0_Y?AVk)*vz?!0hR|iRY=F=>u z!V0Buo~ucoD!In7FOU1_D+T?WFw&`N!Jiguq>HasqXCQIQU^lgr%X zg!#`)fJA{gLfh)k~W*?|tFF z`M$90nw#y(Ob7MJ$tWUqrSfGM0$NHJe}UmB>uGZ!a-AtSwCha}$vwnETC_`3m<0=2 zW_>`@3<_e8N>|Zzps$Gj6-e$O!T_&jP_#?C?t*OnhZV_DS`L_5wCgSi3YQ74(JZYy zM=IkcxP!fx71s+%p5==XyY7;lfh~+HptFOMEM%tLhNNVkC5Te}br&)dNZuSHN6C#q z!sY1s?jU~O>yp zV}B==8ac-7f5RxpCtdv^a)EkPl@!#+S{{QT=9<%i&qF%Up556Cm`OpmYnt+U2ZF!m z+rR%8J~pMLB@yFZfVY`NUX}!8z_yDO>;>ch? z0UDd&iNSyp-Mb*@N-&#P5!2t)X2&^7bZ>$nC}S=g-373SlrS5D65X3%8#OpK7*Iko z7d$l>P@{X3v=Sv)?6Jv!!h>|lnCP$bOY;fy&5lSPJm%7!1V92fKk0(CUuU{tzVNZXP=?Z`{5%012+R5M zDkyuC-li|sChIoyOJ*?9xeJB&_WXp=+J z01goE_jo{#OgT?goe-1*^>J|q4p1_K1TPId%5nPWof^c?albh-DyCZlTi=EG890b4 zsKZXWY34vX0|#KdY4!6SDTMye1vprtyhuX#9h!c0hc3VYFbhs35~D2%fqd)&9H1*H zTb8q83AurOO}Y$z;oG(J8lxnW$Q6STm!V0b-!YseO2T97CG+iJYFmHx9csmjJ{9W#MQ5zLpT`sShwU89QzH z-hPoq{I);vzQ@-A+NvRR-D_qMX-hCDOs`pqrF{jouLJ~8Evf)V=CG7-ZH3|hSrOd~ zRh!3(C0tWM=w4PuiWUgL8m?J+#2#?4DwYt;;o8Z8Eje7NP;s3(;r5i|Bzqsds4MDu9=2tDG*Im|H(w#ts(qoPr*CO(W@~nw&#!yXZ-=0bTHBy?{ zj>>l>3=!*SHrYv^wWZ}MF1Xuo z=l~!@Uwi3rtVp}w1Q#3iJunpA2*x2uyY7Op)i#EG$#IqS&|=qJvb9e@rOCuQCcJT0u{Idl$xWc)}*UTT{uZpT5rnSp(Mx0Z}I@|P@gY`^gpkas=`UF7MS%_j=(jJ>Atk&;C^Eq@!OBJSmSSd<5xTeW>C=RsX4aPj~X2T+{cl1jr0C zV0iz4p}(W|g%H|$VX%uK4P*VN;;?`-6_9Ve9038v`ah1TJ3yIP~sYBi?g zb{tLTdhT>rX%BbaV8=Je>~$w8lpFtn!j5y4OfPwZ9Y<4zA~_8i zDY(c7h#kid*v7NWcKmf~$4gLuB1>g&-5EEbw?T}9KIi*?=0E(*Xf>wkF4v!y{Nfx6 z9p#L)fOPiEX?*HW7i{?u@C%J$ZC@d3NeNZ--jWVg=z6tWOYi5rBK4=QXv4DD?d1p# zUu6(bf4U%e&Fpwh5F8_#J3;DC7esebt)WJ_xsU<#c*@M2*kh)KushJ9MM}}KAoZuO z2ogQAL}X527=_~R_7xFuQWjluk7m^r%qU$fjG zl&tH~F@sDA@M+C_hXSczXmvvV;U!Pd&U24~cpiPb+{ozgki4DkNbC=5qk3(By`8E? zhkX#J`1=D@x9Q^JL7|?`ScBCrf7NXo_0!Er{}&VoIQMvxTHl&^ZpMo5e6E+{b`VG; z*_1Y=UbKO=IyXbX-tD6|y|_*^sYj2og{c}13a*a%CC1?yw|csNfM}ZeE|`*mT9rhRg?|B`T8E_+d;l$S>oxkVjZi&e< zs@KMgZw#->e(vHb>m#rXmZh9l8UIm^+T&ZO*Cn?R%`@MMm+jGuV(`-IEZgz^4qAkO z3SY`!wG&o49*tevziUhlju;y9=i~D^$j9e)+8^he`+l)e*(H6)#P3MURds|P3&qKi zTbiH*M90{3d#R3@TQ@(u*3HvyQLm?GCi?v_(ZA&v{`yD18hItX8Mn7}r=}GaTX$r4 zO+-Jib%Pq%V(X?3A&jcpk=cUu*4>05o?-$D#Z@DN0E?|VH3yKsl&^St3?SnvmpnZN zkSfn5?=oI*lA#r=r;=9vn$2WqB2{jzai-eD@sWCY!H2TD!$1HfP1O)d2hD8A_#kFO z)_2N)r7jZ+gcMeH=q(@OxE6WP5?^BZ28}l^?YN2aEd%_}ar2T15f4)XW)Jdgc^i>i zc?gZlQD_KC0&hHo5f(V-2i!APeA+FVE$_o*g1+kN2Y=f~MXxM{hz3Ze*Hj;1wtA>8 zV`WExR6wg(EXL-H6?ZI1=3;>Xa}mqDj{pFg zhMJkgjnG5$p_9fySbOB44hnJ}Qe83o2uNXCZgNmptw^++)E&qqdg#?&2pjp(#YfO_ zNgE0Il&E5B^x4kF58Wa=JZfRt49||LLydfwmgrsS|?o zs_ksMfIl`Vak}S<0NW}26@EvKxA_d_@VPh5&>qhcsqQm{v|fnk18JAcSUTZhrmT+S zz*xb8?4OyCAwFcXwDR+`#2frZMDNecmQDcDsodQ)KVzzUJ3z+97ytT?`@{d)Z#<*J z5@Q1`Cp!I*br|>@7QUddeecH?N1BcMG|t%#8ct*#c2a$29d=TEW*x>s;u^l7JAc(9 zRfp}h;XmmBRN-0S^l{D!JzL|PQ;N1QHjMj%gJViL zC7RfeZqr0Su8Ikm9v*MlOno; zv&9N|ycFx51ph@cX(IfdYic4$;&mTfQNBlLjl&m>SA>e7Y z{P;Azz>xG8jUW3dhIqStj^VR<(O$;Wga3Ogup*_h`d@^@@BnfKK>0AqqgXQulst;{ z`igFNOBt*VSG?=tfFPJZm0eEJjJFyUd@HnU#o56+bt4{(hF#ds@~<*cG))H^x`(@zekU;)r8SF zh?_3VO?i&n%3HZDzFAz!d#~u7ygGf*_G-4%@U%-VK?*tgsbBHE|L2eYT2y9egFMfK zTf00Alf{@MdX;;$2UWf6ojJQ^s5+w&f~aHc1!*08MYGEk1Z`I|d8{aJu{QX?(1>S=tz>5R~`YR*cyd=v=DiCIsaHHbEPT35~PdN_&eu>Or1VKSwp* z5Cp=no?@&heyX1&pmPoZq2$`|_#`RMm91%sedOymyRI3k`Ki7pY$3c5thoobS6!U6 zrf5`O6LwaNBHWVQKr-JRs2FRl4F-5W-=oZ~K-~y3G(7sCX)DgN+#uvj1Pp<`H|k(I z&wPh=NNboRoQLvoOf%jv-D+tkWCK)itZY=B+snFO>uR142+#a_`?=(fTF)oQ<5AZg_J-|x+^$1?!l85CHur($3sP^ct zT<{8)Y}oK%Mc@h02BOEoY9U$s zvSztQS|r7`2`CONN59y%P(`c4k1VrpTX0wc!WItJV6cUP9tie|znT==vPMm^b#aRV z-RsWPD1Bszh_D-`q*IZz&gBD}9Q;9JD5%vE(Vd%q1Q0t%bew)vBDz%<(aClw`96dN z+5oklPgwlC2*J7#4nYRSJV!*th!$2OMYMegTtgVaYtre+&*MhM%1`WVkn$5?oPKR0&v|b3U zF7h*H?Q_c>oHESu-MNIcnp%(l2+X=^Rs)KVF2c3=9(435ZKTbx^ru5mFQqwwTyq(#UJ`AzzlYij9e)TNKa1uKpoay1=YuL{3{y~Ol zEHu&}umrHD8Uz-Q0c3-lI|Npc;UpZ9K?Zm?C*X*DcTU3*83Q^EM`V!UG#rsZhSP9F zhJjAQ5gBAS4M*gAbP|pbB+;K?V*uR>j-XqhKFZB7w|*aRgl>toD?XC-=5!eRl3M7s}`ci&a2-55}!OaSD z;3&vPv^z&yT^EcJ_w52p*NYL)$R(lQz)s+j8}P(>Nh-u9xg&jyl1QER2bc7VOTvXh zrkqorSBJq*Dd`uNj3W2jPk9!YfF@f$C>~)}-uY-qKAg7p9n_$UIQ?u$K5Nvo2Vr>X zsh;dIgZrWsGyon_-5ypJX`?e_`Y!#AEZ4KGRu_JIoG|mpr~MEb-eiYyzL|Q(K3~A+ z!mPuwshJgS$Ns|ENSl0RTbrmH5M=a z&7&g@<)Mo8|2lo?|LlLeuajb~V|Z|cJcj34kE60%am6xkO_{GTWlC&dy1k-J^j2K8 z#%pLDV}%e&l3s$ZxnD0q%VrvWvytM8xM`IPc4Z(B#*)z2iXo^K`0opxd!Py10-u)PN7`rz@wl{^fY&n^fz!#;`|{16;gJ{u8Y#* z)Af}OsV?qU-7&D$2Z(tPot>y9cfiWRqdpTL?sz^AfgU(!B*=F zuS*!0nMy)E;DS->yW0<%08v9U!BZWGsH0raX7mD)9%(>Cm&^rE_X?tgXp%Sg3WAeK z@Wv$%YowNhbpixwP^d{O;gSuEIxcntR!*t)1!%?I@MN+qy23&nJ>MRnK&*EVQ+?Z> zMk$EM<%i8<^YCS)m!SeGcgp2>WaEEz$p`6h-g8NtS|T=4`uu_Sd%8~{{P)rl9ni;R zjSjk$5efk=YAmQJrtnvoK`Q>%8K?&S7FrOe{Q(^nf8z`%_GC+=$B3=kbW3(P7ecJP z1|aaJZ{b4J&Ege|kGY_o_m?V6%d{GYnFce{U|xC0+uipTQl>%g~QN zs+ux6IgIq#C41iR-e3IU?~C3b3KR6`OAtbYC>GKDNZ<(topi<|kiS7GWx{bV zyJ|u3jopVa-oC>f5{&c0Zb!61kiWyA+%uz-HmICE6BcN6P_dbUPPTf&%?{?X3Z|F_ zqrJpv0&fBGQSp|uhzHG70DgIEDHvWdrma-pO&kAq0G=&1d5D7>&xQ}taz6BVEc~c-6&_M;e36urjBo>L-c8?I6-wrqPQs|zMHj8uH@Qj9q1h_H|WjXM1 zPOdFD^jrvx5L}^hHjG5NU$>L-W8AcBqaksfc`!R%N&m&-uFxlR-50Rc$-AO1Xu_>{ zi(+3t#@Jm+&Zv5|uN%ng4gcUX{`kAj6-DjV;MvdzL3PxiT@#&v2EUxDOv;$b$;zYx zd2N|mgB~2KOv;eg$;zYxdAXZCEKI8H?Y0LDT<4+D&8owFYI{5Dxw37~Cq$)h5Xdc_CR!XATDkc&HpGmptqGsP}4JubR&~{(=7*&QV60)Z@9h zYDy12)*M3@bkr$%Rl8{pQg~!M;p9QP9`L`Q70p95F2lM68Vyq}_-EK|Y=Y!;c}cQ8 zv7xAE0O=hsy*E)&U;7~%({2&%UzuX~j4-}rvh`yIfy%=)wA#sL>&LwBa{?g!(Xalm zKKtK_W-WR%`jX_y))zIotP4iW1{0^@vfGTVL3WJ17}hQq>#P03#%q_e^|g{@cnG_- zwb+V7XY1QiIiU6m6DG=ntMxq`_uAWJteIp^IJNpDJPUtpjoh+BT(+Q{D`bhp0ZNIj4DgH#hKPO!j$shQ6p7v4d9XjQswI8omztKAyB11Y`I0Ai5 zbY)~ifV~9t%(YY**-&bqb8M`ZD!4sD<8l@BSXp1u>_rN%e6xTRb0*F*KFyC8XQ{>X z*m^Qg8lmUqq$0tX1Y4@l&bTvVbf4FyBlX|?nQ#9?UmcT1K=MV}!ZUHY#XhhHu-cg* z!Q2l`X4qF&C*XpI6$fH5=YsB@nsW(3!Wb^NU#*n}kFqO}n;H3sAPuz(VqPfe$2c@f zh5AF&k#fPtvq3-ArByV^#n3CHnQnr+?M8qR@axU(i9^#$H$k{XO8*zeOFc&#X%|F` zPH?^D9KlyE7jxG1(maU;YIX`~7Byf_N?s+L!AQxalbTAyvW6vVD{@u;ulxF5Wz-zk z;w87A_7@m_D_+8@R1zkFIwU))skE@8gK8&$i6z4!)jcVt&{zBPDIF;$$_L~VlK1C! z3hVpgDan}R{RK)-C(P~GpNyQGp#X?~5hF)@>{w2Jo@Pg}iG0)LD1$w}m!b?4Y%f)% zzaD3nr)Z9lS-kXDe(-y)e_zysYGJNNciuR_$~VwqbHA6ugxv9vewYiQ$0KVp(B^Wp zL+|0*ZjnmK1wr}KIfc6o@WxJ%O34NHizP;j@P*$jq{K5Hno6k&qPR&F=VmGVyDZ2@ z%mvYbb0@k6-G)*1Q_qn~sR`ncqP?~t^z|3Rht379_XXQ#qI{)WQKCDSBw(slBdG9X z^qWsoo;;T{s2Kc-%^IiMNis>-B-b15ys^a)mch}>-C3>Kv=irvU$y?|)0L;HK#N(L zO4WbOBMHX!rYbKFUpSAz{>4cSV~wv=WISwfjq87Jt9fHTu9SdVSFK5<&h?;|kqmna z!Phncx3{bZwdeng&SNSp>%2!(RqC|m$5iSYzvW+9uFk5|B}=@4gMknOAF00I`K2}5 zlj`1HU1gOD4{ReO9Mp{tG-Xz)7`?{%Z&1=(B&@naXO$Xlf>vv3%$~JXRjEfL!KqjP z3-!vXs8pB_!C0VD2lgSW)FX&QGWG^aZJ>LLN(EmH6JJ!RLATZ)vZ_=tcvSh~a(aC( zcHUJgd`wR9gV8~qYgN;e6s0OGuLCtFGdY{hA5_6w`DqH#XRn;?)N9%?PePJ^B9>zx zVzf)Bx%lL&)BnadW1l+p=xWjbBCjRG=>oY?PIY$R_%LP%P)OEk2e_!Eiw#`3euv)U z1Ns=8pi76%-Iarl^UWkCa+FHVoMJKIvC4LGG5Hp!P5F7;;{<6sz2qRF;MYZorqpbL zbpq9Tt77_T+U+$^p8wn5f8T%b36bm9s{9rtOH?ELCF@NB?w=;Jt$g2uWE13oI(_9a z7pfQJ?`pfjneyHwYqXt*7P+xE$pXzwL^XgZYhkw@42PB=*$$04ZdTF0#IU+D^$Y)Ynk9e5&$|1#$EU{~OWt>j%4Wavn2a8+M%1p) z`oDPP=KiObaW2KQCjBPBz3Vs9AZ|5`(;neV-M?p)6sG2E>^iGr^hfE@nWQlHZS=bL zXSjLVE$Ut9xs~|+v=U!_;lK07_Xgao^mVtSMrMbDyFMz447^z}U;D}|X%iGH2A6oh zK>AZGMF`T8y5Iq=RCGlQ{!o(=g5ug}zX_OJm{Kuk$E_7ihM2QKI2zhWIYZS_u4q*-C z>#YE^I9Ta)Y$g%ir_& zKj_mxF`RkLH#@l8*c6GsBW#MyB%!b1g2+k%Tp+`Dw?0iB0&x|P0I~oWAgH>^Q{CEV zu&s!vLYm`X2EkxOK25le6RZrA?>-OE?3R%4B zG}&_MiEXGR3@sI(rf9$_owS>wxj8{LyYtV3J8w_w86`6SBxZMhuH*i|sN??fzxa+X z`E`+1P@~+t^PCAQRoC!u&HRL`EOEDcz&f}P?2&V`bJ4g@OM5{G?Va!9&Z{5;gwwNg zQ4ntJD9_B!jdql%8SX=l;?5(5EJl~j?y5Uq>XczZG5%c~g9SS5bpe9-Z4pW=k)I{j zhE~P>WlL7UB|*dGPYy}YX6nJuT3xku-YW0re1V!vMbpHzWg8f_PCn_H&rMk2>C+Lz zD@>FG+e=zC`{@!^CO^MtmcVkC+D|Et&5k)ev*d~2m08l)9)nqOQSC9#H#f6m?QyBS z1?RN{QnH=SpD%FQl%FR83#orefkg_+C2fG5gr^NAc>d3KVDUq*{^S4kUkhcZ*$fXX zJd1Z&Zs^@J#j46Mr!Vzcj%I0MWlj@ zVUiPl$2&n$hQ6c~cR+G|kfGoC*d@hk%@A%F_F7mgX!DC-hy!HzlER5K&#FyoKUImL zGMic)esE=}yIjaU>9lK7v11tsJ;XRw-cQ5-j;$R3Mk&NCC)8($vdn4LyQEnqk-E7B zvCrO-OXHRa8km5Jztv}!AH!bW`8&VobG|yTmvMg)?hx=#ArT`}3LTL99T$Ib2{2y- zM;ZhrOY;JXi%|;96;Y3^xJG!O#b4AFp;SgX2?@gIt}47b03cX#$%fDM95eh2PKlC; z!Km3*PfW|U++_pk%Vv4vvJJXXQ$;pG04lf`dV$Vgj*F@O;tH_1NN(UzcPCl=Mby1n zuklUajQXtNQ{V1^{6$?H^A=n|sWJl$Z67kj#AW<%D6aVA-}&G8X6zHC5>Vstv;PGQ zJ^PEVQ-5&=ah@HBWBThtgEHr2?xgE*Eh2a|0N3K9$+Nb=T< z&%Wx}O=x1KXwM2PdNDd7NTcj4fhide~R^dBiBY zBti==3A)_E0i-0!yj+vq053DiRsTy0f5j!y{MZ`Uq3l_`5nuD(%(s|FHODtH>S-?3 zF6V$o_H=vee{Zt3{yqQRRz^>uQm5vaWx;775+4_j zbV#2!=z8ZX*Pr+DOKFPreba7HANS2>`hLvx$G_>rKjM=DUfiP3 z!{V(DFX|Q&^r&EinP$l@0#2B~0}3iAxNz!}Zo!O801Mjk@*oAcSx{nU6SNuAh;$K6 zGIq{_5<8pV_ORjjKW1-M!e7dQ5<8n9I)B)lprtY)vY^Dy?aud5JtrL&>B0tBuL7*8Z5a$#YrzI^cg=(MRd95 zcCCr=7FY0LLEi9E3NpZ~U>{<@3wX|FKy8*43+RG;?x;_mvi>Hsb3aJ8~WFKQ_agDRKM zEUT5GPuD936ri9jnMkhsC+pLr*OMF;ES;``S)ZED7sYE$sqCyg>}e;d@Fuk+vPRvK zibcBa(?Su^yjEnK)|70dNuo%F<%(Eyg$7>zn)&WP-J*(xSH9j@iCb*Swof zn?G~StLpLnw2@>_n4O1~h_e*Tfo`gtG#yKcba`<-k&c49^kLoq=Pp+TS8i zWbeT9r<;HEjlb}vFNSW`z@vwxK>A0wemb0MaBA`T=NdJ>{T8pduS*veFij_zA*ra$ z!abnXSIzv*p2GmDOo~ZS?8HJ=; zgT4J0!!x^ctdP_OMGQJu;Ajk_I7!jXemPro^+9;Sah#;})}OpUQr2{XlIW?}OXj;1 z<$jkB1E&+HS~8OEx*bh)k{^5{a3%XZbxk|1Z(X>e@hPKM_l;n=XWRdkdRr7TB+`;_ zk}_#Ylt-u@ooJADK-&J2Y67hYNc&$(I+|yJht8eq>DoIOJC=&Q4AkV-67+Q6_rpK- zTRtI8+Y$F^fE+k62`IYEIL=;V_N6$$8oCdjE(&>jzcjm2ty2SNjZ(&ySG)l^K))R5 ziY%Dy9@&fwVFzJm3i>Nl!Q$zH!la%q`mgsZW>0r}KzkfT4p`ApSInO79urpt-8gR6 zqWSVUR^$MO7GD#zEU^tmvLFXK6No^7a4dxl;_Xe8-)UCWX7j(^QIi{=LB-8H3|yM&X`ZR~!!8cR}J_?jbmLR1mJnP{r^UFti3bJ~=2d0Vk29 zIl%{DcI%#kcn1b2?eNeO!!f}pdsLiIRQe5Kgm+;@%XUO>7#M_TB+j1`iY}QbBr_?~ zax!5&meYaa`R9<=I2^pe#BfZ}hM9?SR|u5zm+d&e2??|lBE3)2O2JNC!iqr9n)mj~02>)a!sDXxk@hKS?gbXGcNigUn{@cuY& z2_wdjDb(g-TM(VM(r|pK68LFvI9PG^+FYa7sn@+YorKh0peE>T&o}^2jq}LaU`$>Mi z#O1ywGi8$30?*U(e)bg++j4inp@E0Nx&n9(GL&>cqKN=d`{H9@k4c`|y=O1fZtOJpmZKxFD<@`)b1}z%&uX=jVkXB8?l)C0JUBZO_l0`JH#Opx}e*D=-#{{RjLc3T#3;T%5k99 z)z7!DaE?@|F1UsDg;K*)26(c+YEh|85@ULSAV3nSFot6J*rHNh68&=#2Z$ufEqh72 zPfc<`+fPM_XIg_c`^r_SOPZSI1);nNYsQQYDBpa0;Q12eMG{QLdUWSWljm!q6@ylF zL9<)496$P5HLXf?NZn6L+WIUY;#Xl)+SIuIU%;PfKWJuC(bKs5DvWT&KJd)ULB9fd^)yuk^})A{w+?9B3G{DL3)(_i?8_noIzFGw>Y z6ZuTjNY6L{b^^dhg%CL!Y7S4)Om7;g#g4?U4nA3-C6cJveZ|HGz|9d@C?A@4*aahp zh3+wZR*Z_>1tW*GMpvBfVyM`gpbv=x!X|)kK6J@pf!IaV6-;f=&Ig&POshT~q<0Mp zkJlS(n`4Kgx~5|Hqo3@SDZX-(Jk>5!6nm4r&*qj%-wyS(s>@3TMyTq2t$b=d-^$u{ zH@g1|w|eFmTsGwUB)@<&&oT1*a_wu6^%?az(7tnV)w3(Ens$qN3pTU1?}xShC%^Q! zz45>O;1BwsM{jzOMo6(15APh5iBTqKRbQc&x4b!HGDi+ImZlJ>N74*Upf~gae8twD za<@RMHieGzS1Y1-AC4s zK7}^Cq=EYIO11+TqGuRensoPIgAGB!VY%Fb+4DVHu;+I|;IvybTd?V+VarLSVao+` zK40}AzxBudLbPnwc-t+Qqfp1y4iixncO2kqv|uh+a7T?1?JGoI839Aqfo+WdP%d%* zB}NRv41i@g(dvjpFawmx$#EE$;B>}E3c-X_ATUHbIKds49JW5P8T4T}TpajSU;w%(=2^Wnj_Z~f$-{GWe5l$JJ_a00n^(%GTUx>N)1&-IyC zgdaZ`hEr*3BU`ldlAeB}V#0N>1>^Eo_C%PyEskB^hi+WG!bWph0M-c6)v_eq5 zFYku5Td*G|jtv#tFDY3SU(*JM;W=NRX+c@@_KJ`?O*Ld>DRg^`Vb%Cm)8_m|R1u%1 zDA6f0b;Z-wjB}%*`)@RKd9+~9@k1%RY0LMXF1@rzf8s}f`dyJmR?x>4o`cUYE|zUT zCbCZ=&`Wc{lchB|+knxcHy6TC;rWUu3t`5y4a{_ihOjl@AdY7nKnLv+|M6@?OoncW zj`3{6xr&(sGA5E7h7Pr)Yn-GgJiqSNq#sa;jVMWyIoq&9k)o~%07ZSoB$@Bd0iX?7 zPRweXgm2dMM3In4*}BX`Hp8B zhB(cfZ9v6Nai*0#ok%>LGtF1J_}1_%0;_qp*@mg^roC=wl>3_`5@hc=W~ z)wpY4_kE1`M=x?c=vvPAy9qkmXRKj*b~k~q((jUXmDVB3)_|_e?&cP37KuN1;KBC} zT6Qw~Ve{VJHjtnV#k=FwIzjhL{L5%KAu9XE52Cc*oh3MkFO)5?3_+Aw>;{ z8{qbk;@{FkiY-t5*M}6<9&UyCF+HR#EO9o~bMla$svYSX+@pKhnFjTkKAUSd*wOwH z(={koK@a4h@2B}1p&Nv$#il%Y~>o~n{l8O3J~ypWO*P^ z_LuG0Ux1$2Wa&yyy08?bB#qYc#>VNOb_GvuoIh)EO6>*&>hY_sNA1OWpZ7;CP08{| zaYnlSu2@5hThj5R79?Fi1j_McJ1%&G^Ue1k1sa=DI|PBcKeuCl{9t)gYt)+SqQ6$# z#J4D{Q5-^m-*ZDi{=Z72Ft$kJY;)x3HB#!v%^{elG_4<{!$;HWJ z)N7tslWYqWLAemQfXR1-K4UYygI_GFdB)}136K4>+=XXtH%M>Mw7bGxc^z$6UfKKo zT_GCs?tk}Z|LI#kr1|3tQ};P5n;?PG)cxLixH~?stP9%$MV>r$CbyPHsbS4&9=_pC z5N(KH^C`$N7S#K(BApl)Y{@ME3C5HRL8?<11fZ(p^Y%yJy4{L7XJ!4Ta50!G(zEaB z!T5?O?q}zEm;e;V_AAm7xuAtBB&(z70EaGQbv!gpa*I3|971Nd(CxlENpUjUnrOSH zME#}I%atEUxR);3oHyW0){4cxqT@>4=#nUjCj`X{LZAQs==t_wB9o6dTv?0#v}Uyl@kHw_WoZSxqTqq2yQdu|?Fy&eKSiPN(%!?oK8 zcGBn7W>S6UKuK?>a6lw9Nt+UXxFhhGJb7>ZL>B|@VS5*(JhgqRwWw*cn5+Az)X${O zXGiKZZ4^$$Xw?G^d~OCalREE*)VccEFM7+X_gC7{`k1Xyi6I6ofPdOA+loGB0OxF~ zUkK8CxnRr6j1`Me`M6+YWkza1aTUdQAF$DCe12P;)rKwQvdq$SV~BQ0|eFHbMq zgM3D?@=*8ZVvXfyM2p}N7~y48LnwNdaA^+@ed!s2_9u)<5>1=Qywjl)xf*gyGvEBQyv0ClP=g z*f)+TI@=4kDu?08_kZDV+;1frh&p`fE}y}N9M~J2fq?nA9dLCe(dMDGfeHwN7upOt zFIfY7v?UPo!g1m4K0RQz?2)h8+L9jFNv{vrb6|fGsVLR*r=f}x*q?+d@(n^I&P`Co ztswxl$)0TN3tH4)@T5(lPUwy;YvVH4-m%m>gV8b2WnQaBD6TO2zaaEV2k5}{k(W8$ zbQIV+Mj6KrtWhjYtQ4A$%!O=+O-5glnn*z=36F9lQqD9YVd5qyLYR3fi4cj~QzD~_ zxpKGYFPLK{r6O6*oqvZkO&{R<_ z7=@qc!BAJ6Y%wLqZDFsPvWKp438T6DT;q{@g-gWy*Z6Kq*j{Z@> zV82julCBqdfx?SW1DCG1@*>1DO{XJSd^d+I7lN_9+~C>68-Y%VV{>M=#QpV@@pKgYrN{uk27t_G(72jb=+Jyeu?TCQ6!C7 z^Q;TUA6|2O>KA|ezyCtCoKQ^B$;b|sV@JArx$bi=^K z?BGQy$2eA`6>2M@Z91+RWaRy7Fq}ANCwA)%TZ~h1$!-PZ=MhWfOCsd5B^#?djx8qK zB{8IzL?2da&yHlRO<E9Yr-f9Zj z;gV;)$mYp8Yu1U~V9dHLkiR|iLcera#o4kq83&c#_ALsxPO8N>v8xI{L}Np}lA7VU z*xv%{MY`j@VV(ydvRI3*XnA<<7l ze)Pj?33H0`oujQ5-V6%GKyg%m}i>IL15*BcahlX!WQsUg0}niybxr~zN_+u>$j zs*WcqmcNw_G}1#LRP-7A?HTg_&&jm~$EX`zTFN85RG^hf6twK7T^sL%>&%rO?|6G7 zOT=BFOE$cFC+`Y(nJYgQc%tAq@UbFn`e%RhpZofs5AZ`F$(!>to3+HkIqg#OGcthy z_$rD{Pv&PZKMcMk@-qMqv}IVJp>zToY^o(9a8>|zGGNb#&akNgG!)#~2*X&Bipp06 z=7F|na88PW32lz*%!U^6cIMPP8w&m?pC{*<4YYZ0DZErRKnFki(fa1+;K4s$KS{nR2n{-R$w{!-K; z=*>7`@BpuNRwo<0;2Eq}Hs;oDFVX^Z&)t|{OeW`u!Qd^?!me8}8@%nBU^n7pMBEac z-(>KJ)(CEc9t#riXTf6daAtx}qjYjGyDb|$7V+WGVnU_;L|&2ZyI+hk4Us=sX*a$s zD5yk}+(}^|mK^D;Eoi?>2ESsYv9_T7O)>};!_L~mGk3{gSX|y(d)$I|rhIMuhX?AL zXVzS&nDWr}4-Zef$Yy;W$aWc!-**8Qu+`&W@DS76j=&ec?k@2V!J)j(TnT&P&)Ad! zOg@|a+3df9_?iz!3y$?8)Od64i-R&mEx3dAMbM9V-;<3go?RitA7B1AKlcyA?CZd5 zH~TjJ8k4jS%%v`w?nZ3@gH8L&lKd`+lsyy9U|TPAdQuh?ln%%hMm?acL1w!$uY(+Q zx1xaECWtwFNWL&rgr2w>5XYfKgP0)d0T5XW(hfR6x1s=a?a)W?4=8BG2>Bc(UE_x) z86Ka{S^kvE zvTg*r=vF6-M_wpCnUPPk%j+C+CtHf#-DZ&c8{Yp#_F%U9_iy$6jlM6dZ|mKls6CRL zt^Ras`@L5mOuI!in}sj?j2tsH_0rKuKW5AQI11UZx zqG@^;3kl6L&y04(1yh%24!mGG(7v)@-vxI^fY7qyY76RK6{OX7!98rf3Z9|%0jX9F zAkgbUCu&;#wjyu{<6bzMBhHal-vvP@Sc}!)@4N|72xe*@$_|xPND5#jn1L=(8V{gT z5`IXg_BYmNG?pyXKDb#tOTYq`lh)Kcp6M5q%lL66at#F3ypRqMeMg&4MM)K{YdCF~&JZOZ>&fd-6UM>2TYv%t{3^d=+#@C2@PRNdj zHmaZZIUw5K96rhN?HH#zI?q84NzIpVl&3(O{;IsjLC#cFYo~h)Rr^_=_Ba0Mw zf!}JQG=e)Ss@4UO&(TA#4;|4`M~K*ND20FkS40M8FkDGgt*zMRIvth=c$xJaMb)|> zI)&mK(SLY}(u__}Rjo-vd9!{F&LyZNRG|5?6;g7^?TSNRDY=#Dutic-tw~ygOGx6U z9B^jK-BH^CNsv*sz9oK3EhP0dR~zKcc=VC}{qp|!uZbq>994yD$A`M+S@o?dap(lA z%8lxcrU&&4%U88d=U%y?-`+`~>ls<^Oxw6wNoO;`&!M!(K2c)Gna(+?nd^Edu4~8D zq>sM8MX`oG*=Ka=0FRois`V8+v;3G>{Lla9%is7>_tyq#y+utheAu)7jTN#!-`_a5 zK{5y;+5u5jdpDp9+92832Tr9^-!N9Bs`eEz8i|FCY+Jkm-Hk462vSwMAXs&9T%oQ| zE+Q+I=0>@{G1@6HMM24h^i(L4^oo7WEvj8~O=wGI6pAEOb&~|gL3<{1?o%&GRox_U zy)aTZ`qJA&+E+knL{+=wZo9$c4v|#KU>yDa6|m?v)r)=I+zr}Sg^@L|4}B@Yc>UZI z%{oOU^zgX$#cgcFKR^Px@ekC;X^5e(t%F$vMvyT9K28af=xfXRPDfI@miHGcA58W8 z?sNpuC1WD&bactc3}7f3;!p%8F$B^Z4~77KU2k9vEI~e6O9?q>7gE>+qoNG}UN&?kZMhKF_xfXoEzHR#8OOP`URq(yUeXJ#|0mxh4 zoWr?@`wok4Hd85AL~7oHA7FNBrQ;k+IM;$7^nV;m3UX#=iJwm!3nEAxl$|O=z^^B! zOs=|L=+^c;nQa5aQZ2k1?n(g&yD6Whm|C^(W1*8CU>p^jvT~fvc_ZF+OVaj7$);-T z8a7p}d;s&zOq68y)O8VY{tum6dczd8!m6u#{fTp)gt zRfa4R!b%Nl9hR;Mu9KOtoZvc{3Cjtty>{ziZfz|_HRr9wOnYw4b4QrAh=R15J1Pk- z;J0F?!KE88{&Io~8MT{JW;wx?rpgafW+lOe2%0nPnT*opf4-VRH0;{I|9 zkq=ZzUeAOC7kd4HM}YJi=npcox*WaS9{({h-DsGItM}(rA}-&c(}}ozhfXBo06FAa zWX=qem3U6No35F3PZ+M2?2HZc{&=)jm;MM}?99;kt1GcIFu`gN`9<<@E(Ipqx=-(& z&Zs`oSk6x`WM8t1NC$?i)BLWK`x{m(_Pm67PUdBEGL?4W{?40T#MsN0!$!qEoGFk2 z#4%?9f8E5sc&TsU9O=7pDTXl_U!JslZ`jGY_@%%3k)L_KsBFC_+{m7^r>m&Z$7=(u zbG$g3TF>j7Qc)RxOwlIx6`)QkJr_ilZ3%nGqB6Am=>)0sn&9@RATMgljHVDPQsudz zaU{4RGI!mI)Oao!4KkMp>&G7}(kQv0CHU}MAh)QzhY%E_)Fjc=SEwNfG6!{PVw7Cc zMiwGrxnh4h+Ss%vLBb}99&@rLtHS#}z_qAsgQ7P9%t78$74B)Y5| z^gacv*&$=yLhcu>+r*mhj$32b*jH9m=7PXMx02%AAwT6TE||QV0A5J#fSoXQbf&bze6V=->12ZDsV_rjn`mSG&Y) zW{>Cu|4G;u=pX!wC6dgo{XDXAg~qJGaFH))Vb9w})~cY5;$tMVv*#`M^;klSPNzG7 zXZaumjT&$+vfJ(K0bZi)IPWfzZ#sQ$$L@6fVoQD+cl{qrw)b*p-X79Rt;ZZ~C!7m{i3&!ek293p>&22sGyE1uDYig7ByLR%=V7-m%QiJnAv5fg`-y zlg_;MPNGwb7RjAco1OXHdb?9<2bdfEp|dlOBZDZX;XyZ6u`5xzD0^S!^OF z-thcYlkc>E9^skfN!)WC;s$dk`JyGyBzYL%h2BtT(G|y=UKGH~UKB7JP-z0&<(L74 zpXG##*=wD>)(?zU2w(R5zxBWP?Z2UUt;#xa`{vv@Kw$ui2)$#9qO#2GEAv`iFsO@Q z5fEAm5}kHHazWtO3TOlR2E0`T>8!Zm4mC;Q2OrThLH*!3H0_%US|Wi=KlVhZE7HEX zptc<)(GM=R(h_1tIx|gh$@u{k+@N!Jw_+wkVnv!(z+aeLrRG0Y6gB5dwlNP|G$W{z zm)cf#F;*M+1P%IWC4Eaf5)3?P|JsS&=v)nme;}4uD%CoW_j(;$iaiFCxHl%~4E5*{ z#$@8(xM;%b9Vzm^c<98zwqNxMvm=P5B0GZV3;m6fY*Uk5El~Ub$-{1AEc?!3eT|3&s-tv)jfK` z5cH#Ok9(aWfrk|Qo%+~|J$6Y90@qpu4i6ukXL_EmtO-0>z^F9Fn!y6T@F|sE+w!!a z!F75{v32Sx#n=9n^5dze6yKMpl-rj7vE!Df6eqO1=R$;+-97Zj)Bl34*_FG_X{F23 zN_`V;ZHk=&)pf_p8Faly*X$D6LZEPoWjlP*HCj!VHs9!aT|8U})cv^~`)?M~s=7ti z>;2UR-v^Bd0%d>MPWwxj?}*IN&3aRSg^eWEA~Kil*uQHcUej`q_16lIdy_czj~-(y zXX&sh8kHy(dvdR?HV87eTeK(uT7WFx`f8IoO_ac;`Rd)lN}zu4jkqVT&IrG~p6xVz z`nFeHlS3SGL;*hgWB<_q^BHGLMpip*%YY&Z848)5pJZF$cW-4`Y(dr60ND;e2{tqL2UbWq!kFp_q6 zIs%F84N$TwxP}EfM&Owu2+|7{F9}Z>Q=YJ>i?xtp@?~eskJ7^xe@RL%fR}XEFFoEU z|HBw8O0o%6@AV11<`>jDJs**x9C#DS@?)|Vd{SN!?4)5Y@nZ@E=WAlB3dlM<&*gGh z1e0&jZb4G5NUp6;)7Ly}d(|zwpbk(xF5N4$J?vk+v1BvFF7q5t&c4|O!v{jeM5t6{ znkJRpx2#|?Zl0VCuGR6an}q-|S*_#OV&1&jfuefHn^;htHgCCU-%`hsHScTD`iQ4E zSDdV+O<@?w(OcAmhA{+b!(6b95JRsPn=KfEbTOJ>8zF`uyRQ!sui6SPVuaXXV=ba$MYS2!NIB*bJivjN=RmIEF+=jZltqG021cIeWo1 zY5x~0d!D^;NmDBEJtKzF+--|vu!+orCL*?v(6Kp{BYA}1(i9r-`{q>JXixna#Y-Di zY~zIGsjU5dJF|SR*BSPF;_vyT<@=%vP94!695z}VLJG9Q=>7T<_RN*?syE%Cw~l!p zB+Qlaj%eQxAzfAQ#7VPRu{WF1Y4|BJu?wY7_bHhxtob z>dc2ABNG?g0sWJPEGPl6)@C8d$ixMs9WFTdeNS3OCN608ee4Cc#7ttMg|Q+d6Bk4- zS(9peG`G>}`VeGf(gcy7)+V=T=%%P*2on5kf~^zp3Q3lZH z){A!u@>efO@G~U67w?kY(CUQ?`00{6d@nyboAwXZ%(n;NC~xqmegdCwTIx z*Pw^h3OzazO;FoBLlE<9`tm?pDHlY614{j*dJ?(9Zbcd?7Yt_h4tdH>kWPdPqC2WK zw?_5$pn8%{gbT8;Q+xwljP90;J(_Sgp6q z4nc7un&fef2C5=?lpZ~$)R9g^lWZe(+Zdm;CY=bEjG4OYh4lt>$w_8h?VM#NoxBE8 zkXqps@~dENq@(}lw)_kwXuKDm9%l@ijhH;kmOt|Jn!+_7xNpe^(a-GZ$eJ1b5&}^eLZ`8(LsYr^EuFRjP*{ zE9TtL7L(M3*#VVjjXOiI=7unpKvvv>WFgT-22^Pkd? z>L!U)q0neqR8$jkM$L7}MkC^I*#17|er;C=^ajV#*;IQe(j6jXW*K%sNvTi4cuAn5Nf-p!ntllZSNr|&kL1WL1MMdIegJ95P zl_WMY7OvKhJ_W3vi~6-;(keQ#rTszrxuj_;`>=wQ7RTS*DJoq_*cr=#CJS&Xk(5^Q zq6Y7?XU4AfY@(8O5GH8)!8>NCGHKHRg?O6x7ekN^$n8jy4v4nv3yHQkUr^}V@3#ig zwhSTya~7H@9iQ8AeEeW}Qy;IEv(;a#ZQ@(7cmYd-P)923ktE%=NzN~BB^pI9`0a2L z=h2n|AvbLn%irjvEv^k#6SD_R>o&n5?{r+QEjXOE3OaG7F6jnB=fN><+O_q{<*uCD z`bgsTcE}pIpPb)%bG$FR`PE`0K><8bNu|UQU%h_y-LGE1@-|%BLRneYJg+9%7Ak^r zA#wqe?+RV5DR*UDuAT7MPs?3+)^ZKHfxdQ=?h2)CfG+QewljXezbkZOx1aShKY102 z1oek|3}6pZG(10q_S>W2-#K(G7y!mr!QU0IKqh-+!4w{VZw&Ud2%^I+VgD?cF@PP0 z+L>FkksL-A%oxBPqXAVA^y40<$QS@UY$>|lp+Rsjm@$C;0Z4}UUby-mrzjWzdf$QZ z44)hhRWDdEfb|AcW5ECvcF$KMBo_-vUf8bI@Y)4{SPy>DR13}c zYXomyevM6x0k?$PA$YDCKdQcAmiU3ZIG|mj&LNLQ$yT;1KsGA-q ztMC_2k`@_V`v=HdhQBa?47I#OIMD95zv=|;032O$S{np0JEuRi_ziZ-XxonoaU!`v zu~>ICN{+Q(5+(VDy`eO}9{j95R(p58R!QV;Di#h~7k2XL^Ex9ZC-M9KPxIVfW|~sC zFU6!0?u%=gHU*q$K{+r#fIpYlB-s-`8{WvwKW|CD!&dML5S3OzF}x<&s+rNr##+9`Rg2*@!QBDy zgRF=+xIafVynd2f)IF=@npO7YveodqOg#X%pGHJJIZIJqGRa{^7! z`>P%|E140K1!==v5FUs!7|`a+I0W_;ND(w)E@<8ja?P9|+Z~!NMiWHxRJaBlpQr4J zSdps61@|EFNyvW0#DM-{sDxbb*hqW}^neyS8!J*hxuEsP121s79`tGv7sDkns+c`k z(1!&5_cUUUCB?;XNz^sy542sbq+3|-|B`#E!CTw0P6K^iHuOsB%@G&F*F@iG>(+t> zIEq2|(I**sHNPEx-;C#FHa-k10fV;4{m-u9()quz;bWgDnK1hiB4OY9Sup&RxL3C- z66Z?Ah)g@1Jr`;L1d3gh?XZgjrUp>%(vnIGqT_(Fo%X*gQv>H)`nQ0of#)|`Y6%rE zLkE1%Rof(7*sD3v%N-5_X+t+^lXxFXw58Y z)<75Om8J!HRgBX6DYkq;raIx{rD90c=ag(W=&wwJfWc)0PD!Q)m0}(mgdmD2!@aGj(-Sy_?O<@9ePv;Q3&uQ# z)y_sWh9K3C3vSyyhLO2$)H^O1^BDG;Jj>@u736|3j{&n|Gz{=VpS5mkxE4YB6_cU= znrX@RNlJDMOpj6s$s&PE{DcJ+%x*WS9wsFf`bT+)br-Dq6rS7b*InzBP*KVAa0h@a3Kq3MH3uE6c6?nLpR9J5mS_n zgShdj#&I$7JT=MSARa+KsK1XqYAzWZL|j;Z-8XO$S19@E*UV-hIEZND&|i=^p?>tl zK|CUv)tzU)Kfys{hlC<|RvXhxSJl^H7)2qss6qerb;Ut+tvz!PTXX{WVpUp{17#?9 zjBF>Ktvf ziTWb9PJNxPyW+zOHaVx{dM#dik<51ot;xwQ#|5p{T`Te1>()@ZmJ1&9byX|p9B^`! z#|UKsy~HQg*JB0dx;(sJ`|z#=3-SE&f3e=!CrS?SVHEVY{xCB>{DFZF&-CwSNB=f$ zc_y0a!;QKB+|Av6F2RQWm!J6MA3u)`=?o}hLmXUDJ@_0HY=yKWAkr`rBM|K|ff1sJ z4QYF|95qzN`c(A0?q1@oXfTS7^_Q$q9S#L9iUur7VUA$MK}V^qXiyQWAp~?BK2-gY z6%D#$DbZrPT}rHxRaaItV2^5z=nf^a{fb4=?AL(3p*7KrQw9v>6$>^5Z7D>~9R?Q< z&>2p@a{X$3!>J8710`3mAvBfIHMb}g9jr;ZtFH;#4}T$c$O5^h{6cq&AjB7^^W8qU z&#u}lhYt2HP6;)73FTGwfh;=a+Uu9kq$Jc~m=W;2&)rHcHs?@BGU%5^lKTDgBDIGsAi3vXb z5C85Deq)R+zR6U3J4v*XsMerM2Fq`VsPI4)eX0sVArn*HLBRwaIsn(%^ zP&p{7)CO7hWEm{zrY*t|SmHi-$fmkg&uo{{*0v-Wb zl+=+vMKZUO#4KFvWjW#5M~5wF$8wM0cJ+5%Oram$%64&&P&8GYq+7W@>cuS0XBpPf==a8ndz!OU&C`h? zzW93JIEC1y{W|r~<8Z2aQ7Ejj4-saL`CRdDJevfpI-u%*LH_K<0y1xN+EEa;Xm~dC zEG|j(pv4v1Nu>SZOO&2!5U8F>^{ljqSlVA&ZY9s=%q-qD&bPEkL))xE{DnX{zHEmp zG<38e?Viz_UX+Gp_VA+X7JgmM@3v{P-6ni6s;P^I4%+f(Z1haKo6hOFciP>wTQozf z({IrwZq(QP-aqoS-x;(!#kn2v@bqCD@O4BLC+%8J;4vC*+?h;I3s?QB_kbM&9yfT4@*D9sp2C>X6*O?i_P=^{_&JQE?_PXIIUn ztzporuL$;yB{DQ^CfZF^f5M3lapo?5)<>s z+B_GxtrI94G>E?YrM7dz@>J#%m+$pHQQH6MUwz+4eBU{p71b#Fh4mfw-$O&c_V%7q zMOkMxMhGeft;tWUn03|`3}HzJArKP`GONq1vrM^x?tn4yJsBnItTqV=>e9yd#G&c* z`Nbd!#CC(Ej(|s4RFSrNc0ptC0ViD`N`zpk?jz#nk}dSxE;q*D^JAaYZqzQKMw*&Q zg*B>eR#AF)UF&M}`+LAudGP#S`26uHf6veH&DbYOoQxIlK9&L+1gUuYv$p6!MMRuX zXGuNa!7s7GxssQz+MgvaB~}pBHLIr@D^NY*F%9)}DGH18&9MU1h#R0du619{|cnrXaaYDKSrUrGH0 znuhfNMMkfJt&HwtJ#9CGBWSwQV|xWWK<@3~mW+&` zO{%4?)*Gn_&p%H=uh8c0Xh_pAV^?uz>GTXaB_E^ETh4KOLGHgL(^8k9dd&o!_}F*ANeXN`yYywM^>d&6t!Z zYu)j#fK)7Xy|t$Kx^Ql|U)$}ESGVY1*6GDIv`PP%X^DU9jLa}qM?5L}&;Uu!WWM^I z_h>R-d}uVqFFvenhgL=d6#!UaB#3~Oy2>*hR?v5yenvAgET=APgucnWY{&5lXk{N7 z_!oM}C#!k}(CQ1*`95brD&g1wr10ya^J4>~>L#!)=E7${>iUUPzO&D{HY&G<=hDEu zfu|>1%HP~JeoE442BdCqZ-!mz8BAGYdHo108MlbJyVlaa_UJ#+zJJOsx&)B=sDJ)l zum1SC;I5T-j&*s-fE36r=*@;V6}`v@QQ%F%-RX8PP&cr=60;4uyyW2SWS5s5+@To^ zDSRZogId(ZY&p0K#yv=XJ;YT2sgq4!a&UK|$;(;$+`=TaX=aoW;Dw>q;7&{$|Iz=G z9WKZXU1W;GfW{|3u>Xy3#y(LhMR!BPJ4bi-8Qo!)TaQ*DR&ItDzBG*f<(Brjjs1T4 zzx=@uee<~?81Ru$C2AzYJ_^dQ-(->@Mp`3?gepW>IjB_4u|-p^ggy|)$N<3Fa7=;iYF@9HL?HIUA?iUZQU@dOuv1Q zV9RtpkQ6DW!cA{wRUN>lfLV$?`YQXLE`V73OmxOaEP@@S7o=cGvhnGF5U9Z#x6}ST z`v7{hAc|+oOd0Hz=n}!>CBdE`25~z2^8}(Nq2T3O-4sB$t@Egi=dEM+v|GdgWFD2V zcy!RmTkIIM%7?@r~?;_8u5a?ZCkL3%yHUR@&D>R>E4cUQRivBS{ z(Cuwr1@J~qWrd)iN+x*NEI8K{$jVYJVm>sDm+gj`8`iO#l>dH3#!oJIL>)2!V+^){ zzoL2iI5fSBCaCNL3Ze~Wch!=;wR4P_8pn+lEXRuCUHFnQR0FhvdhA2sxTKkg5WF-5-?2A=uojDRhSFz4?9hH?U5i-PErVp3Uf)&ixK5f zeWC(vAjts9C6Sea040&k8A#H5b;$$9J80U6smpRblhk6;V;vjH^&*vwe!ll`L-pra zmM3&ve9F4>CjF@=dI%~+Qja5%#$17Qj#$n2JB1cC@KGXIL>jlOz_MrgQuR1jU_HAE ztZBEXH!*WphWqKt@aZ4*p{tJz98>Yu0d-jUAxOZWMS^=e!uyJBrVnv#17d+W!x@2n53SYW1fJbB2H&)DAZV2jU1@X+}M^Vi# z*|;_+eiytoB+ohtYNLZ2qT4t43i{=F^0dm0Rcp&P*N#qxcXE2{kM89-W!ei=>nUzS zbu!>HoIodgcC)mpPGF&+af$xdb4Nfgyoj%-T$6-O3N<4g@J!V-m?gEg2n6Pfs3=0e zPRD;Pn&{c1J^Ku)B=|XFcTBrQv(NA_Z~9qkau|}B3Z!|;BuSsaHgR^6m`1G*nzkr2H~^wRUB5{Rc5G|H58xQ#8`d1T zJy>(Gv6i}N&3u0Vf6%wVnrKiwIC{Q8;K#aChl+IgOYw7_^)3Qj80J3Xy8vg!P-ly- zmVbcEW|3IO-3oIu&T>t|Er?IDuTK-S4ds~Xsyx$)JQ1*d^R2mQahcGbpiL zVhFE9``~T$xIhLau%ZCEEX(B$)nj4HxOMpg`vnyV*IomccEQ%Ija z!Bjt5ClSpC)OKq$Qb?X`GJ@}mrR8?9wNJbz+E2(PmxPs!Px(aJ*~Qo0CrTvi>X}gg z3$Hc((nBpl|nfWVzw(zl%_j`!HNS=C_TwnmWooz{^n-@=L$<1!Fi>= za(?DBfO7?IH5&Z!$pgrzSsptvUQv3OuZ~M`+xXNUrSEB3Gd;~$R@lTf0X20`vw#?W z?K?DA)T5)Rc>fX$+vxvi@7<$qOS8H#1m!77khcc7Nd$rG(fwR|?x9WUGIcwBGp3SJ-1HWh==MuO0| ze%X%Wg(4_61t(q_w(jV!<%PaiKekD^?OS)SmHW4_iQl@Q%CXcYqsqD12BrVf&_&V& zd^KOaIavjQc>3P6&t5eClU-5e1uBBiKJ|jsV4i;FO~OU1-BW$J(vH*b<bzcnlZ-fOc@ zy)8rp;z$<h$LlXg&1`)^SA0} zr|%IYe?UJ%Rr}kn2fN!beC(s%uf6%HKlrMTigB8X`gO3b!{mvpN1g(p|Fv3=4EmRt z$B_3%HU&Y@z-a2p)_}#9l93B4u?Pi~SR}6~6(bjfjgwp-XnbM1>sAMeo=gyE6%x82 z2I#ipe&iLUqGy78E!5%Es}))q>J=rPGQr(uVJO(c%BHTxmywE|ueeyUCj!7)fN|Sa z2QlsbL+-#!0~`({iO6ZrElDJo++s4Pbd{q3DV|FHI+8?k$^E87YX{fFPf-8nM-8@` z+!Jv)YF2C9?m)&Y8`NfZSZ8KK^dh1H}7i(z| z&Znk&biv(ftvU+S6GlN8D^fkWAb=5MKg5^WgIiEkR0vW%7QqfGnQ2)lTyJ`?Z#`M> zBt>B5g8_m;)epzbKWvaAei$;{~bUdz?h+%=ZtZzMz*6EW% z88Mk@Ir()W6p$=-Wcns30j6X8xjmu;D4LbPtqIGEj zU>j(}=G3*0S+Mp)9fKNFBUtH}GK{J?b$*TSn>xlrk5<KR#ZlOBXa?xtsbgGll+~R&#sx>Il&ND}aFj}! zI;IFJCko8r0F^Rzj0=uZDO1O|pe0dFeL*y(XzT4_WBgI}9jtz4 zTXfawGqOEwZ)v*_%-Z*`1h`!V;gE^r7J^y(!aXNlG#;SET1Jy)#8B40$X)5AQ;Z$! z^{{2_y8}&tG`pdD-@H&i^-))_XtnBtDy#+uuDZrcPWN4%-S^s4Ac0(lWht(k{~J=qtI7`h0=<|!R?`%>gA6WEg0q8petYYYkOF`Dd7k38);JykDV zh?xk)#Ki!NfL|P|a8Mr>9EyP-+#VjQaPZgyL^1S3Id&9}axvy)3Zv4MlLk+_MXhe1 zThXtk75z0o^9^tN_+xEl`_-P^&S)#!>2Rv(2+}O8A@|XLM*c@4^(6Es?DW{ zCAA3UlEOQQlf&j>fOq}9wFqUBfSe;Sj$a7<SUG<6qZVJy_|RM~8cnzS@%gfKQHBl~CyUg}%~EGdzB=3yJEOnT!H%HY@CMJPj> z(A_0`1baPZA7Snc%Q)KUZXA6R1Fq^v==`DsD%8^}6R7gKSN-C9el}ugeP29=cH?5R zdc;SN&af~UBR)cF(HTRR6*1~kN)=b`DiMvxCl$I8WQ)Jy1N9&n z!Q8vSB5c)rB33RLaad_odBbY52z^RLrR^?4QTS#lX>h%{S>`$tnEqt93Czo8EN#6D z6!nF;mpLxSoFSfBf(NuRnI4tiB*F9e?Er$PVOdKua+^2f+x;MaD{s zT`{1h8A=FZhK6FBYzlMZ7n;=Syq`d=;EhYrEr&g

mQN_pN;;m~ zkpq8VvySV{J+R0foRkcZ(so>qW{5l(zk|(7O&7AqdN#a|aJa2X>Budb4e#|B-VgfL z|ML63>{v?v1g5u=lJ5tJIovLTi$UCS4NPxi;r4Wy}j=+DTI={{YLzlki3*Dp&mm$ z#!w^j0SLJYdzF8jfribcD!+X(xdFQNP_#efAgDB^3EPLrBmHQn-Cl- zy<%~ah%*P&e0EX<+9uW|z|96${GfC`Zl~j0u6M~>=i`qA^tj%tx{iSUl51f9ot%^B!%}XAgb!0O(05r2<^&>|F_}O_Ne3TV!JI%ej%DNp zcc{oUE9L~^9#nzaj1I6a)nKF~uR_JBz8Ubj8$EKen$d-gH%~Usy(Pf5jB#(u%5gE2 z?x*fDj%Mz#I;9>cnkA{dp$;o`q_<#uMVb*=OnoWfS4)p)xY^a_gpN!q8ei?YbkejG z;*d`Is~`1|ulWz68B50?xlZyKY#2C=_?|_L)z5 zd2*GcRg8ML6PJc#H$ERHRA+o@SB^dLNzXRiJ3o-mXx*(D$Iw)Wq%kWg+Q!`!Cn6lY zOA=|>^L$;(blUPm%JkMx{P(~4iAYo{kl#Z?gZ1C;<}qff$L$A!386AAKhHeIj19%} z7_(5)L!Zn;_CVZ=-2N9x^ER}V+H($VCH{!exK~`B$CyR#`3@0u4lNfSnZKUD!AwP$ zy_$+nn^S#cTkTY}J_FEh4uCf87PY2vZcV$I*0gW@oU@<)?#LhM&A8CL00vqT%DLN_ zv6|gOYEGM6f&fAjO}5JbXhpEwFA-U>c#GVZgd!nG2hRm#rYR;fXc8v`>H4|g7Wrf? zF>iM0qhnAPf{fK%5N+rLbd!=od%R;Ph{DcYuIX@nZ5 zmRQY~j2S1GJ6?M%N24VPEA9`qeCZy`eCx*As;qU$)EGS#wSl6xk*9Kbz$ClMoQEkP z&=i@tn8xl7#7Ia%S1DoP56gB}l9*w4do5v5ALHO~K1wOZXo}3IGKBRy%usW#a`wkM z%y7LGxE+PpYA}JOli~}@cDjBxcBf7Gc_KzazZkfw$}E9vHl`Dnr*`)j^oXr)4FQwj z|Jfh@xBv31k0qSYH_Ge>Sqyi^BWh7un{dJ)L~V&#@1=$nDGf!@dhTNfxT&_yE&!-) z@+mptbl5D=t;19AyRGK74y-^2`6YS=HHnF2TxFQ>YQ-uFn9+m zLa@``nfzs{gwt{hf*uNPkfUl=tP)P>vQh4M46cz*+`MF!aO&18f@HYnqW6gH@e*h!BCY(BHYL2r{R-vlU7J`ixHKfY#0u8D=oeqPP8B|!IzU0#s zji(D)G0`@%zP)^wUlu#BQ%)YX45-sN<#dJIKkPrg6nDG5*+=x#`5z25|jA+0sUav@X zT2{owRHy)qng9)BD@b)(1VLCWy#`Rv;4P?Eq&juM{c54EUbosyMIc`z)oBsjVyLZX zpVdMV<5`gE)CCVHpO_$6ikef4I(12~%(8s}8T8d&*tPSLqE211+hFpVc&-3|wBQO+ zr!I-^M{TXdERtpf$z&y2)LQ*P_13U|scNW)Hq=92S>1)=VWzGG;TXssCm+ZRqG&xv zfG|!$Ej+Nt_@JBwxZW;xM>2C&p+-P5P<>(9PA(?j4t}wuW1eDW5IZ7=LUR1QhwI zr+kjFm*t>(l)Wqm)g$a>bx;lO4hR(JZlt5(W!GVP4ywBa`^ZEP{a=k>9aJASB+en3 zA5bZ+B$K9fM?Vo%! z&=3?&7f`OMk%>)8B&IcY9sMsd-wmdW0Wp8@1B$A2+c#TM(!v$?uq+NEwXp-7&khH03a`*o_OF95NWw*_tlqRRUFD6rXo%fj2T zTJ_fyh>4$lb)ZWEuVA(9x18nsgCCu}>yX4yr}jruz7bn9Sl}=Wu_syGAyC0cPzPJu zu=ySJNyIl8ED6w{tLG4{=3?&kkT#j6qSqF~>gBwtF!b6}A!MGhU7YpXON&@CKsHFUb z<%JI)4F}}on+XE?^P~_iX#uz_s7L^<=q?L{*GPVxTv9MyajMHQ!6TwAbES1;`JoW* z+ZNyVDaYr=Es)@*8b%=i>FbOzH##l_{35lp*6AiYlV$kosaO zOfaZE0O_P|4HUdlcADTQq+gyK6Wndk96&AD9oEt{lvk98#8<@d6HWgQVE@%r_(hI^ z|Fu8ZC08pA3y0AEYAXEf4*>sHrxHUq_W7l&{5m zBg%8mf1RfMCH@UvEYkvFIy*C5mx<`6Vel_qBAB-Pkb3<3zxVbZ_{s33wbsx={Lw^D z*9K)zjH1+BPgFB=O>YOHKzLy61=#GzA^z4hfEupPWGl#hsz+O&V>h*F0CYs+sYR9W ziPus+x?nU7tijhS>M^d7_SFRsCbP4-jUZj zYnFJ=d%Gys?7mVNz3{paX|5R@ez{d(sMo*9D$N~7SKV=Rx(j9x=Mf*Nr(@=<(amLz zrrn}ePtOp^tAR-VwU__)C%%7_!_{cItuqsfY{Uj3^iu0a7ReJO6A~9v%9-Yw_l)v{e;Y=@;kzcuPk+tolVOA~O1p;t^#XE&WcV_DG$j{q3i z3nePYAABMx8#CtE2}62bv2y&88kc6+ZoM`h(zwRT@mHo2tcdS23bibl%nfE4HeZIy z(7#NlBq>l_K!J}!Es*TP|E`Vp#q8;>Q%0K zdjY8@)RN80UGFE7fY-kf*j!k+;MsSQ?#{ou#KJ`qlW{dCv|I{1d?i`kpfySs`rXOz z+I*wx4(Zf3{z}e08@CcoM)AwD2TnV`xA^I+HIJGMs#R6w5tm(LF4PnlH-v7qDfiIV z@=1kGH6D5taz*x`Wm^NHRQ8;AnQd)~Inl%FK+XfV_AitiG*O-auAFN*^(=-vrJjY? zG;-x+7OU_CN2W0{w_UNWB6nW_{2@^1%DEl+8Kl0ETaWWIcYjK*oayg80-U+~DwKmj zeSU7I^Vj2f70&OucG5#!Dw}Rk{Smd^vl??KH-~cX8vua6^c^4cmG1~(o>reO1_10< z^NX=V6gzYtMZf3EH_+A}U6A<)m^ym)A;_#m5ex{w&JWFk%u2Xmg75=g*sRFN#04>p zP5a_OUMS5#c}2#&E|_MYqrVC(=H_&Uye^1lc%)Hbt}%zzrjpq%v+FL{?Fjrs3=a^V zHYpcLbdgD3;Lrg(*GeX|^lh{> zv7ZcQM_az8S<-dp+tY#eQLhsdV+q2GAMbHO41xWK#1j|UNjE`t((*cA(Ao`7N0mYW3bU+ghKWH;klU*S6@1*Pk+@4Ii z3w-`bNIo(9$qSI$N_YW+^Go^S^j;&ClJ<7DCM0wvUu z7lCFR3~V!JLZ(f@*7NKD1?2=`-yd^J_#lRc96K4+lg4#ELl=iM>=_XsSdPZ=1T~ z^W`gU(MYJ|ADxcLjLaS42PTVL(wdB!-2vxObCFdp5(rfwPkC~TcGQ?8u2LcmUvs}$ zpr4zPAfs!uwStgxN#uzwXNq={`U_1`vg(ybc2WHP2tlH^tn6@K-+~A)0wU!oBC3{$ zU_=p8#3z-$4){=J@ppj`}m?4Uks@msu(jI zdT-_#`Kq^l-OqkzxBwa>w#i1+Qy3cnSByUDHb<7zS)*v`oNP>4$v&DoCmT`WBU~39 z+}kY)oT^kB^@T;X9L`9a2?Q`GU)!8pPBtRpcR=VwL7;}46?3w26w#cMjqoi;0L^p( z{30I&)TR}8uYY4Pb}!t+=2LHYV_0jLct}m~c4((u&4iJhO)2Iizg&Lb zdT6r#_QgvY`0>x-Uro71%LV(59{b#1`ts+)l&W9TM$-s+l@$tYh?b8t2dF{z7-bhA zK;0T}lZ*&a%B~XFVIVS$GeEBB;cww6RWuEQCv8NB#b!L3_UVM+p%?Pr9MUEP0P=Mh z<|U~>%m8e!F-Oze{hB=W1i~P(*?gHCO@k%bsWf#cb3SzyO>g%Il4VJ>{Inr|6;1C~ zV4jy4dEZ$ljsN76B44pvG-u_5+OG(Cb^DmXRVmcj+%)=M-SN<xT8Y&SS%#0-Ot@k1*STr>yeWAd|xsE`FiK zR$PgUCYDZLzmJ^~)bShXkmX7;-=A<#kn3;V6G2FpHH&`&r=pfT>Pv{3zXF`Dt}~9; zQ5huHD<9+j)VQlQTc<7G8c&8?Z~NGHefpbYB%s0zJbP(igWE>g zOHjpD$bEx+rKP@HF-jR`rx91qkPVYT6=)DZNG4bbz$-+p1q6bM->(r=GaNBbs$xu( zX#_KKpduOB09=En$|SNIrVwfq=yGd)J< z&11yv2YHNM@6S!|IhrTI)8jvda0l~%a!wCEbj823UeM`E5lYLSvtFGcCy+e+-5E50 zIsgLD&(TsS+u_o$H)nJNON6in#ZJ`{2!Z-|Zl~kBGrTP6`1RSk`u=NC`|14LPRHYV zbA+7Ej-M_F1Wgm1>XUN)$cgZ_lkF*y2wvk}C_o^ukl;FW!6U-t*^o z{m@y3{4d`B!S-Ko=Ltc%cHbiB+FJ zfBvQC&+olKzL9)2f@9<>T?oioC{C$g$t*uaSwHRyU9Bm1Wmv8(IP}x<5QFsaht*p& z?XFN-kXCwc6@hi@m3~(kN&nr&hkXABe#kL5c5F68asv=@yT6NZ^ljXm-PmrqC&M2@ z?j1Bh9nK~v0GZLH76zj`F&vBR#*WU0sN7gzalhOf2V$(4-BG#E46woqgz4YQC|$cNJT*=((5>*t;xoWu2WI4=Dfb6(HWm_JB(k+S$U z?&Fru|GPdW+86K!KpgE@-R#z0yuKd~XySK1&tz>$Z&$b8#;q~LmTP>{P)E^U^ zJw4242TU0duU~U%^)sp#*!=D-m?)GfbIm@UMlwClXWPx1WX>&*Y+z!3(4=MKGspJ#pi~2)~qaTTfbi@H?hB^CFq-d!8K`A09f3P>5 z2_EN-PG>@zxZ;#R%6rt~6`w9SkhtFLz`}!uLzx|5n9s@q=5`#9A1rUS{J+UdyjlHnDd@m1zz*_HJN}eRG4oxRvgJ_cyZxzNuEe zUI%>g>cbAA`@+KxCmo_+_}X{8>tl}dG=Y(s={oW>wb(2E8eTWFya=19Ha)T=Iz%qG z->+a3xZ)O{L_OQCrZ>t4{6P>NPg`515%Co>;>-#Gu_A4V3w9k$n4G#>cWt*7pKur4 zu2)8@2*jD1&sdQb#07z%24)-H-4??n`SVm2SiS@<39kLUfY?a=#B*1YeB50UeN2F$ zOF#?)=jI2hYszH+BihW`n^V=4_Z#-1;W`h6U^~80T~kI@OV18yKz;V3j+^>di=4PL zuMOr}V{{t}3@2brxj`miWry-ln4>Pf~qxH*GY4 zbLW)fxJzc}f#Ket`lWyKv;Rhb&V~t^WAHNQ7igvP;AL@_YzpsgzXB#3dE?z-Erdl` zP%(zT7T~fik#A^Ll#GcB9)OUR6?e#)G=dUk`l-8)NC9FGP$FyuCCKy@H+vdC z2%_PMzDd4DafwY3(>sx{)fJ_bm<1)o^iz9T3jwaQV`o9ln7E|Q>41q|VlYB;ZcPK2 zWXzEU;Eg7_T2oT*Cb`|MiO%4fE7Z&y$$WRV>mBJCA-P~0s*%jMXNww@6xH|}_0C@1 zpKh%#&kh5kYssUoM9IOZHLq%;eIKId8^}==(Nj+(V(npW$KpoVhZyJ3_$0EaLJvGa z*&K=GfJ3o6TjqhpKstdIF@;Q;1Bn>14a#9)F_AbOAVFvj%Ilz1gZPNifLpuS3C!@I za*X+aMK1k$JSYT=EWP(a^oU?Jof%n?^j$oP1@ks!(sZibEUs027oy>5u%* zfARK!k*Qs6@xuY)WiAjpAh)LESkKtskm6Z(mNSfKBFB1#2LnmS9?+Po!VbHQ1T@BT zQlD2rkEZ-3Bo*zYXxa5;TY8bj26?sUJJVzR4{)s-TAOvP0^OC&>R@Rwj<)YU9)s za}(@o3R+SDkrUIbzALyp*hCKY##(WvsxW&8PxR=SuG)X?Km5EWLxpur**)nhOt+A# z+rWLH@3;k!Mtw)yWzB~!SkhG}yY&RA!dwtZDilz-BKWH{Zxbt0g}I>hN&vvL+R893 z7!bKe&g`HHbHUvbMw;3I{Tk+Eh9Fg#3u2%nDL$b_fN)i}H3X@`Trf0KhlUMt-dymg zzEQnkIUByD$(_mAp{qm=5jWKHs^lLCJ+lulCU{v^Mdcbpw=|!QtC|@LTU9&S4g}dw znx!sYXe<(nMv6bDD;j%3k7$BR`%2T6Z&L?UG_QH?6P|l9!d}%_u4vq00dEyT8tIJE z*z+P^DHy&E=wxafmh3ByxSuM|EY^zKCNl4j0q?0G??iT+G^cR99y0JE|+Q_8nD~S^JJ_%B+3IHDy(md;m?U zN~!fRsaHhRR3Es(|6a)|av*y*^GqCr1Tk-&d``Z_X_N12!c^ZZ&&2fyx1ar+{=>iW zy+^g)+OQbx?{D3jO6wuZE1i+-oN7~AS?i(S1V}!~rmcJQHd*T(4r>KO0KFhY0Flws z;MV|(BE%$k#PGetyeG(8^r3Z*O#?k zS1=Cn{^~^MQLpc6bXoXg?&|5fTkW7}Jg_8vqp$|A8T;RvsqS$$n4eNbc^^yCee~Pj z{B@sooFQz49lO$F-5O*SaPv@=wdV48^|Ky}*cp*us~NK%Gxfw|f1gG>dvC_`31IR7 zjdrcaz=$8eCkI(R{8)V!3%(tAq>MtD2 zLr>@YDsyy?aehT+dz|xYl*+5JrOpTn#1NRRY7?1lX)uBwmQopuY;4W>RYuuv7i)3# z!|*AqfQKVM3osmky$97<>ljqFG$z@CBB!>N!| zfAK00jnNf=kEzRSblOxEOIAI^Hb@GR`^BkTGpeTR z8;r(P!>5&|*SP!A?`lUq!Z-N!79^tHp^mQqh2ozR_Yah~?~zdoxnxEmi<(NX<%W!g zFysp7ykb%%!#NNNw8(%dPygQKy|!qr{i008}PMMz0AkBZE(FAr%i4f01=|K zRl8H;3(Iz#A3s?BI?51A7eGc)_^fn>ZG|?O|nEO2+>552LGB zRgWAe@JkFkClgewi1}o_+p>XI_5hg`jjPKVtma zbW^6>m0`KE;Lu&+Av3>BV*Md(?5p=5_3lH*kT7K0W8Ua724voJb+Zh)db<{P(@dO_*?W< z!}03%QR^`oah8Nn4aslb)4l_o(=t=OA%a|1lx<2D6J}Luw!;qqK@B)ck{yOXiQYGBOL z8xM>be%)PQ%nz9M{q$b zq;s)=o3s>o2+~I=g6Nt-27`ogC{T!@3_uTb zbdonqijUxuht)w-~3j6}wCBb_bBs%h@+Ztd=C{Be*1-C$7n#2xa=}>=QF- zEnre?I0${!miLSFy5GU%7ci)(km1X1Bh&T{7woUf;_Ic?b1^;nVt?^#YZ3;@ml;@o zn$sXGeBr~050J72l6#5pzY6f{f_M%i{fQ23T4~}eT6HzgX8q>Ox+$F_w}^J}VcepW zFVK(KG*=JPE7Poh>(BhQJ74l)N2p~F>jMHnu}0e-C1crDGk zW%i*jcZA0w0SNwhE$~LtKUaT1f1+JZyKZl$C3dpzuyJ=+RgTplRgj_Q|%B;BAQxma+593MUK!L*X;X+G-^ z!U`50{CF~+Ef+mC|7v*;zjAbN^10M%{_Xy^`+~! zy(hosm&NE*NoL`4g(|1I&h$v1F}0)5-^$VT@$73Wt(|?lS!$XHB*-`Nq>?MvK@>t8 zD-Rq0)f)o)PbzA3V^1n}w@)hnsjN5fq~d&+eNtzV+3?<2$pRrtWPoOp*+-pZ7X8LR zSFjQ%B(r5xS!F@Bjhz4f)*am}bvkT9vz8j)O_I!(YF){V^gS>w+|5OOjo2^nh@J69l*W9*chEiu=gcp>Yt|6}M6tuMxyljW<-e;_HJ} z;pjiLXrkn|fJH{g06FCW(;J!G6*iZRnHBSvWa{5Na~3z1bk9&>RWa#fkE}? zXM;Hc&B?QCiOCTj{-B?){o(?ewR@G~pY@?PgCo0^_#z9vPMq7Qq~nHX*Ak@EAQsaw zq(jGJ7&)p;&WgV zXw=hFgZ363$vY9aQ{|l|WFDC)D&b}cSgT}_TiG_PMLY1jzTzEU{={)K2AE{1wMlMY z_W|qNT(9EC!1N^=2jvZpdOz(z5iA4Kq32tWMMh(k<_VD7_vrGeW_-m=Zr=b1>VfIe zJkcSQ(p)3$fUj8Qivtj0QGR@x3XS2m3hjmcrUbrUzGRFS2f=QQaI^Bzs_i$SbECL zuk+G6ThyCs;eHXPrzArersIZqfi9<`^{6Z42l98cmt>loC#dVI@hpPA$k-3Q@uBM_ zF_kvOiz~XCmdn8!+gk$z(aToidkTVsURS4Z}<~HluTCvi%yJOOxFT z#J2`e#0=ur52@UX!TZq{ml6E$8pPNxR1s?T!de**Ym8rR{E+Nk1Op5> zV_NS^Dn5ShLIDFTXEW#Ks4-?FQEY4Zpw_Psq44O0e^n-jN#*dqn1@JajBk$Tz~8F& z@66Tm%I0dhAw^v^Sj;>JhCG@SX3n9_sfAN|$ipvVP55kw}Usv=e; zaCfw&4!c8M6}doWV!>XZ<|hPcON-#91YvNx}Y_t2mcs{5KCa#FTm@+-9{ zPf6LjB)$d{1%fcOpx)1Z)aHv3MjNskwpqDcITs0`MHTeLfhJpy5UTNI@iP*y~KT~ECP z-BGh*E(>Dvh;?Ov*S;+vO3Kfxta~^XVzNPB*w#*S;r7r8;}77QtaCP}t}v9A2!|Ii zRAfzwddq7XS#P0yR?L)Sz0+HaG&G6iqWg&WnbSa6GHVLLnbUx2qGz7I`}9*UJ!Q=i5t?7*5{RKK{(wq3P{}(R z2m@tO!pd64SmX8}uriU6~=US@edcRIEq)^&Y7Lq|XoQJ>cQZnoQpN zPuU?=07-ryfS+5*3{!v{r^S%Q38#l-rg4(wy{~B~YD%u9l`kYGCk@1!stG@pcUaB) z16A&`ndfI+(v$YKRaN;h`@IO>-(5V%CA@^$L15gTfp6DJdSto#X%528`U_L>(Dr^E zaYtHPM?Ca5zT@ua{exozrV8^L=eld^G89qP1fyED($iye-Lsw!F1giGa7dvs>H$;1 zB?nHIRtaT2U3lL>I%k8MPJ>l<*>Jx!VQG&*RE^=*X&bfr^| z>OX1)(Glf?D#3sZ7c~P)UESA4MxkSp7JVhKyv?Iou5dI9pux$|BLu>)OKOKDzzKi` zBj1V+nA3YcrYWII_k2uSen`jt&+mTCU;RTt!mbOozUbt^&FBe%et|Cv{J&LQLVsi( zH>wP?j>AulG4A4N0j^PLg<8jr4kOJvZgd!FrQ=hztKPP?2ce^v#Bk*uR|LX@hpff4Z zyV3R5Cq)1U$W?Z}wOte?Yh*+m{+58J12zjjIdC<-Xe4*ZoMCDZ1RR(o+p;e(CDOnrIMe9UEzd^Xu#|T}7Zw2bO1>v#(ef zb;;cZom;$4^l<=tm7}2(pK9=Fcumx2B*;?;9*wc5dW`JDpJxB->-C>%tm3_#7gBvR z?u2am%KmpqCjO05$c2s&?UUb>l*2$^K6$pj^*BO-BYdfCLnR1XPim+Hp=(93iiXNV zMbOG8C^zYFJL;1pcE{5Y7YuriYBD4Qs^eul9gpkHjJBZrVB#YPVvi8b;|HrQ2W0P= zEo9x1{5>zn7dL&KHLiD;t{;>Y>xyU}0__3$gd1Eh5*6k9uXkss(Q~ZMHkn{|eK^}x z-(P7lq9Inc@?L#@^<$f)AVJ`P zax)MS?nz;jR7k#wr8X7sJ{H^LA?+IWmetAIpH5Za-UrURaUT)?|EdFs;%C=Bt#>TbJA|@zTgIv|b2Ex02*rj`gU7MVMM_BR8I=Ca|{mkm!3 zgY6v%9XZ%Ea_KO5+VVqT@L&3+&wt^cM5=Gg;lM=!>lWT%r2XM#FK@$xUo%6sziqor z0T)3GD-%tj*W<^0#njo@q z*6d>j4 z`p%x!>(Y1AmLJl0U;Ks-y!*?69M|~V9ZrkZkitRe~;+<$>Y!DMfT_-3iaumLMD%>eoQbRe##`N~>;JZ%W{{vTp^CMQ_ zxVAo3=}*%#^uoggtE};)tjgKFP~HJ?;#Uk-IZcI@ccu~ZTttEk5{-A6pc=kb6sF8> z>p8^LdTeb+*qeoRfAbpfvuE#n0*(gc7yIWQ=&ea;;wH23()i({gdoLcHnbQOYg96fA(I|# zr_}RYL<&6m8t#wz4>lPEA8N2Uz7`D|OztJ;joh%&D5uA_Vz4{Mq^IAIiL}MbSd%pe zx~Eb5?4(X)UvURj9nH0?RB_w2o3-9>_UuWr0mq;C&GC)qqGNV(vaP4}fi%uKxfIXT zRd9a{p%WKByV17`s_<`)T<~9c){&_P(bYOnA)fbmQYOfeP*^Ory??G-v>CJ;FUR=< z{_Aa%J3h1#a8lz{u$Oa&%I>`IWg%w-E-CD^pbg}Fz*h^4I!MI$fvCg;Cp`?OVBJDc zrZa+dP9@4xK|Lqiv|zO^u>cr!OjwmsPwC=$-#zpl0LC6;lrA7{_Cm=eJa$6Nilbww zE+(mT&8t*Rat`4mfVP#Z`>in+TzNd>m;}t!MOOl?YiDYiuL`j>1#nCP@bI z?GS->^`*YgLio?*&|yKYBY=ItP@y->H@l6e&%N(A`+rilB@ZM=x-1?j(PT@*g-dW5 zb|&>}@c&>Q_zYiYe}sbXt-t^EdR^9u+{xL8i8s1gD=}d!gt0y4&`wjVv3>w<#$^Dk zlm>j-!x}vU6J`3YZS1mlQAL}4VTtb*tiuCj1uJV=vJ0{TY3C&Vti!L>ab~CHf}Wex zGBA)g{aT8&a|h^xW}@c~lT=ldTEkf9mpT$i|63zt*ZXc;r_JtMaYYCnUZ3K@N&~<| z*yEha0D_DHo+@EU@OjYcLSN%tyBm; zhR`s#bgTx&(dDC& z!!3VSs`2I2A6QYOJfFOu*k5&0U5yzP&b(lBQw;gAb`X!6njma0TB6bulrS;DqqHY4 zAN3h*+89-Lqpe6lv%cs8{p*ttPhN@(&wUUkKXjq=YA&(MOcUO^4AR!kJ=L=F z)48Ntx$wmVzB{dXMz`}Vj6c<<7|1v!$*8yo)P-%rm7iV9U=gZhl>1AC#y-PedWy1L zPa`>P2*vA7H7r`zl1yD*qMo@?CaVSZ*cB*;4h*MliHAjMBy|a8%dR;V2wNCZs_hed zI|^)P`O0O*hoInSsi|dSFlXF#X084uKecKA`%1Bt^{q?*u$rzs5~KvyG<OERIvq&CP)gx^rEo3wOxNdAfjDVNT+ zm}p;^s2ff3lC;#)ne_m#06L>BODFvjzl61vfR(k;XFD6Ko)KI})*hdsHd9-_O#Z-# z6o6Zh4GXsPA9r07XV(sF$=G&JJc(EqLojC8gUmQK1_1*{DZt!{NGb%Oq65kb$c%L> zB@&?6O0Utikuuk!Q%SWXeWUr0L+-Q`89@;9QuwZ272mn zVz);fP7buUPAx{{V2$M2;!UZmF=Y4*@g8}O$`ixn z@ebaCf9}}70{MU8KLWMvMXb5WmNG@_HZ3=Z!>Xn)3pQ+h?pd+s1V3P7DDfT_}(BaUTT) z4LlR$s9Ck$aFNx841C{!Cc3v3@{-4=3P0X96!P_6Z2j#SVel@3X*75q^>!r#AKcf--=e-h2Z$ZnyZ*Avmn^ zID)Bj|LehafbRlUC8;-`~owjnp;41qd8bf z3eWv*n?MWlbA5x!pDVpT5l3-@`PO>x4L1JoWqkTEH&Ok9XV0d?ck5;yqg!pzt>jX* zVh!Y-vX~l}UYM&~Cs!|!4l3R;WtDIaFCkiXmt`Or-4SrDI__5SjxyQ^@wAxAtBjQ+ ztL-KGrLjU+MZpx+D>uEOVUaqB^tZTp|NojhA69z$Tb%JUCRqIG5qH7}`NO>!|6e-A_c7A+*;_MO%ulV20WsE?e`YtN)lQ|9z~l|5v3%#({nr z0;NxWR?XkgO;ZY3tduU%edSdms2v*8Deu+oL z_i$S|Mr3$!o|KJyi&+o%u>JbrOC6_e+=fV}?)AU3N9`Rc8%75ZTvoRip@CpS_9j;# zUssI3_m0(hi=lr%kj*RJ`E7rE70%P+=Q**ikLJoC>CC-aXZxII&cFlUY9Jmh?R34` zXZx}yvSZr%!l>2S&XNJ&G;%zo{dgEWv?H(h#URxuL{ts%x)f*iOsMN!@$Zl;CLBWZoe5X?ex(5<_cI zla%$c+%7Fh2iH6<7))0ELGNWjxL=FGpEk$wv8>lN7HOoKgSQ_`uMB=1>*5ieb!AFa*4%nyyg{)cPsuVpBfDQ6#Qtu=@V$w^g3Mm z8*Zx{{jk_noy(W*z(1BPJDnSpJ`Da;O614Q7qNYlpDEfe{h)BIwfnv2rT+9Fie`My zZo{Yf^ZWjd(k|;wvk%H;eFM712Rz;Z-^R-}sHDC1rU8{_qndNC$H1BRt%#C$>ESoN zW(`yNo`sgp=>L6L=>F|Lgc5~$(~0cm^$**YS{K0A;9U7y`X5-+`z14nON!Oe_S+=K zrZPQ5&S{7DiKW5|1S~X&KHmd%Mf`rKlr5o}@Av0hdMq=SCI9yh$6vKFZWK!Js)VpZ z{F+m~K;SD^M-5^Dr-Mkq#NJHA9_rXsmY&*A!f@+JiNOcZKL!|1O44+foa!W0$t5=z zs{7_bYHBCJ(r85r-usZqmi^xUZ+q^%J{n;avhd*f8iHnw6tGl0yvK)FrnG#ichA zbAQ(qu_<;%&IIDZ3lkS`5=a?VuE>%W)G?(?{LZq-r`84JbE!yxjS3k(2I&I`r@`ou zC-Q5^`V6AoAY~1mE0Aur_P_ZQ9;t*W=S~!OhJw*fkn!I#6Vb3fm=`*QM z*fCoa@$L?Us}4zuMxo9XsVR;@Z&6%8)=nwE8cf%-d3Y zwfhD_6fyhOdx@baB|V0ITI(tnwOyyRHfkxZHISE#2jU{UdLlE_Wmz30``0M0{h+2| z1vM)W(z3JFcAelGoU-FF-ckU%a~5EjxF!aQc2V4Nw59h_TFcne1ReXnzC{Ts-$hb& z=nEF@I?|^^`PwJh^d(0T4dh_^i%A}<8R_Llf}@}-qUTJ--nEQuPyCV^YimYXHUYLe zDYBL0C}WFu?%NnDvFMIv8R{@al7uUit_N1R?E6MB3i=we@vt&?%wir(dv|NA4Ew0P zh``f3<0-b!W1H7sD)i>+sL*T^x>Yw%sE=%yWbyz;(fBRPmc1I>=Kb zV&^41tZ$N0N>n~^RDB^VScC2@)ay37U|eOY^3BSMK5kI+o~T0$;9BH}U$@(PDs+23 zn#lV8Wd2G%8;@Es>N96zv%!nt|-uHr$tT1})YtC{bSlc4A0#TUnqFcF$ zJ$rXYRY$AtZF}F<)A@+1t#|l+!gKe#?aRgQtpGKSLMk}r6$p#x3Q*CGt$lzgiM|ase7nrQ79J{R!>}EmM3O44% zQJ5WpFE{qzaQoX*R0!|>t&p`utTp{fq#04xt2d%9I!8cj=GFQ9V>O` zPAY{G#|Vo55~0d+vNA9h@-y@)>{4nfYCDr_ zAJ4ibXia=d7(wP&1isXOr6E!&+ZMZ8(LA9lOhh!DitJ1|+ zK}raZ+N~>2L4cQ0U@0tC+P9KaP%-dK<-=Dpea?faq;F6xHL5RscIg5V+YKPTbWqZA z(|n|@p1JXozWr&6|DvgwHiwni<*7G@7Yc83C=>?&sFm)S=QDf-CA@uVo%pJU8hF*1 z5(bS0h?g4xr~=SZ2YoG2O#bczfs&92&5_rFVO*~ZJ(&OegsR9z=!I0KH_(NOin6Lf zh@LVXFHf28ADeHXua7h${YMRkK0z)W83Axuvzkw*c$(tyr$WYZ^Y*^?=DC+jO^dBE z40he!9|CsmRP6tkkCo00`utH^FFi_HQW8{>cA1eV2Obk6v zAu=y+1ZK)STaB6YzT5MB(e9v9BLBikHWt2sQXfY&U^W*5Em;OzQ8+PNQwQZ3lN>LQ zA-VvOvM18sVV()$en%M}JF?A%fPn(r0Ed1CpQoHIp#<=&f7uzz>k9^B!qh>} zs;{ejScG$jDAKBYI^ndb!_$peqGAmtf1x%NeyLWGcjLC@zj3^99tG>>g3YG^)sDB# z*^*66Hw5_x;0SQ<-q-fqr(>z?W5A$yxO8KP_V(Xt&2U_o2o_LHylVXqsc_ePbb}3d zi*oat!Hnz@f`!4WiYB0~--E}X=iw0s#G|6RB^gKL&$2J_(J19smaa2nMEsy@j>NaRJeJ@S@rvF* zy&F%KgIIFu+JOrQ=@+oIbp1__{pl+17jm5>QB*py8w%HMft2pLA2 zsAEA!uWveS7y@Q~c3)yXsG>(o>4`#W2bk+6Imcu{JttHRD}2WFa3x48r3nfz!C@uI zEqVN*EF}xzK6!e5e)$H5`y4hI^sbaqe<11h(-62D&Ra5dFHUn&|9p_ym;%e2ltsVR=Co8GVdU_MQAQL1;QSP zk8B>|7vVEU?39=C746N-sa+{IJL1O4Xw)v1@s3+7=d*w+|L3+qJn%H^VwBU(&#uf({fG_zMa-}w5=EUujjkg(Bk6(Ha-*C0-#k@(d?nc#$Ima&aGxTQ4J+rgh_;lh( z@LYwjTr1(bZNJrzHlZ3CU$Q>b0HSNxzxzm{w6K`C-uVeS!i4PYGapSKwzT9hueZ%n z-#FaEGi|4rySIPyG5=uymQfU2hv5YB^lPlp6no(zL7N+H#JKP#(TzO)BFxz0;b+CtFtE{=q43o_oSSnGBtZc*r^XN-y{QUbCHnwkBuKT5qka$hqI}cu zO&GKZ%2I#2)jq;?bR=xppV6v(kwT&ge9OuhnudcyBS{NqD_3vj!+Qyjwmfj(ny$&( za}Ag`kk$NTE!loVufpDw2H+vuEckt%?CPnsI8Rmd%U1uW#PTW+lXu0KP~__{dg`6N zcG)lRO3$rCNL6Jq?J4}h)#Cgutj#UeX4YJXc2S(hXMO>do?%(NRG5-=uP{U#9qBmA z&*i|FfBJG4a(cUdagyW;@4v(*yl&xtg1Xy_OH>P_qHUQoBd<+E8B;zFAke~g)^WQ? zLy`x?FjM0O#dO#}(`EsjEvOleG^8W~@vX$LiS1Cw(97`#pqmUGQOE6rR!Hj9gE(>k zk#9Dpwj?nCitge&_OQ?TQq z&)F5x48I-j6TD_Q#4yNX)`HCW%E+nhh~t2yizpfd?>I&4`|kv+L|i z6EeMZ;tE#Sfuk|L;c>DZDebY76o`i}N%xNH(La=%F9MmMuw)2z;`NmXf+8UbpRg?) zB{@c7$Q3rD3=$qsF%s}bLm@UNUH%7UJM*ZwGaK;)n?^nOlwzVh`%hu!*sVGTYhN@F z0!`{4C5Rp6Cs|p1bWTR`sb%dVmV=|VA?;TA!qm@cRDF7Ln|KsHFk#MjKk2tu6DYM* ztKNpS0vt{7u@`$p>l3+)zCF@7^EK!7I{1ZEkGRhQb+;0f-Vw~49Eq|U3H;m*mly*0)k=;3QShQq{Jc4MOUZ<;2Br4ixg#-5<$em zMFuJEprB6)PFcjTq_M17FLx^liMJJ;iq?8IwTn}m$M z58=-B8C5)afOC~Q3#F9%ggTBaR9OiEJjTS#GR0SPmyR(GtksQzG26L&EI)`g(Q|!x zBWv6Sw$3h%lrc!A3%)o&TsfPhN{3ztS~nThlyO2bsRdR)S+_GP^1PLauS|;>xUE$S zddSsiKOfBZm-Ou|022ts*o#<7w_09K?F<qoaqx~F_V()Rw%PUHLk z$|NfH2t4B#{^pej){@V;cu4EYzg}xodVRu=K>tw(pfmiU<@z~zU*3A}IrWjRHoTa< z^a7M|g8_QYlc>0r%j3YqK+KtyZJy^YcP>Fw^o9$*qb@;3>h=ZvMUOzI7DK`}xX+{P z3%IVI+-07DhHNSw?ZfcOZSzvdc#py6;4U~T150x$<_3R%K}zNvq6KA>COf)S{U4w& z@p^r3QmBP!-$B-$3eCmIO!gp0y&veEm*hM*SA!gC__-)Je5?Dh$~UY&4r4|oxVaHw zk|~BL11lDh3BV1B2oMQt)cn{1x5ho3@GFx^PPonSQs#FxI`~aRP%PBC;-!yz-1W0N z zR)rAR=~3}$2QH>sXdoFcUHP~V4}NM&!3f~;U6O&^C^4kBRA|k#{^Tq&q<%&3^qvl0 z%BUa2ieqtukQT8No1x3$ma@HE(?zbAvkSLIYwM#TOtH18!`3pHE4}&6aq;ysiL3wO z&SyIRvv-lXF7u^riEFP0vaGOA95RpR`mB~(H6Mnm3{2m0vmwL+#X6b;zBLORLSC@h zL}xdsk8xWD+ZdbDo%~*uw1OxEf%E)#Bbpk)OD!hm*vyDfl+AU&+sS?aV$k$ z3P}9}eF}ixzi5f{Lm5_A?c3Y6KjO5?0Bo?F zd3clZUWu|h_-@sul)+umlWTYVTXtae=455bZ1u_ZG`6_^&ifI+`FkY1ee-44{oZgJ zL;r_0N(#R7_fgZqWS%Vxn1R1cT$x#5qHy$%##adl7mJe>&WUhRR0y+SytHcofKy$nX6=U890GG&a zt!Fc%LNbXmYtZB^7)YCN-B<&-vUsS>yd)f%VJXZSW;HYStcex^=@62~#lvZ1l$3Yr zRg5Vw%yp)_MZEMvM*aztgF!HKq>;ck=(qnxBkzjM+xB4S!5%iMp>%iK2Km<~!3WJ6 z4uJu}XpS^6*ff|(51{_1(tFQZ&byk?pvSkGG1(6jo~d=gIJV{>o^{2BllV=ZIG)w5 zEuQsfd|uWa9M4*3+!X&}RsU6ImNyuFjk#TH2Vd`c0jT481|V6k|EUwQcJ5El`5nCtl$v`q}O4P%NHiVx&S-9-?=k8mk@w*eh_ z;^rc#lgN0$IDZ3Js2^FdrCZUIb1697tX(ZeOA$fZ2NR2Wb3j>?&O|ITV4?g3Gl;cZ zjl4wIX_0ktz`*Y;_$_N!tWaNkoHnOH99*Sp2H8V^7a-N21K$k774rxCTJ8TGrb`?q zW?;*Dyyed$K{FlT_s3oYvdbas8B4qTCmMBRqh2S;-`AVH+)mY4k&j9E`96r=UK#je$ywBI z(2sJqLKgszwO?4cXUlWHy4DO;QFx3)iLF@D zd9wU0wi#V<_*Zudd#PI3iaZihskYasM<$KrtM&4O$Y;0lqNv1kVN^8%ZWr#8p*W&P z6+o`!6;H8?3#+wM*9}pxtIA(EQ+zG@7ya$q!{`1Y0BI1s?0bV$$v?r$&RY{(MN}k~ zNdCCrtq1g*ZkL;GgiAyBSCJ29a3Xc&2%lO1J7oUv;8CW4X0&p!eir)Hk@~9}Q`lz# zf6imds0MxQL{boH?CSzpnUuLS)97ql4{#wBSyZ}JS+Z&{ZyQ4=QrK|I!E%@56iwT~ z8pzxcJmYPwew#r-ETL;868uDFd(bA>9r`pEd;|L~R;`Z-17kCroU*MCKFyw2e>XkS(|@8N!T>VF@2=VQx6YIC(% zwA4w~LmE+H4#p-elW0$~NU7Xg&ETpPtelLJGGd_x8&1jE#i_%G<_O5pO{CFKqOYmx z5K0`1=`++Xp#;S`eDdFJK+s?l^p8j(?9pC(r84Afcl z#AvwaD@xi@lowmRVJ#PaBxP(?N*h^@+@uySJ<1@dig-b4IM+0|ky0vl)OBny_=RhY z@KC6sQ2%O;6J8E?g?yZ+2rVg>L{&dv*(X3qT>2M^)|%SmfsmXeVJb^TLoY8Q z5qM~g!P|}#&bg|%T{Mu0%G@LTsAx(FY0y>I3p&;g!Az?iKc-(N#LbJAJ9ZE@&+I5U773)yo%=#Bd~MisqLo=hc3s!8&e3S+4g+VR2@f4n>9iS)`K94)^mek zII$|-#MvO|jXBk!01H-yZcOTXO3EM##=S`bFr6fq#vU<75IRd5_5__om&U|mLW-n` ztw<>hZd@%%ETD9jZ3QX1`SFBR9!cBEm4-&tRCPt)qu>j{WnE3#jb09i)?w! zVF9Yn#uVx~XC1T>j&OH^3vQkcKz@$GmMG#lwmlS7e$v0(xNt_Z4;55SVZr}!a7;F6 zt){1NnsjBSo(+G-$$7svf#C|-i_9ydLAL|@I~&1TD(D9DS^*rJgVt5DF;TKxET#=i zE8)3|(JaB(GhXL%I+>OsXiBC*h?hw_vTVKBmQVQsYS_C$iVZK6<(X^^*{XL49dQqy-@$A9&Jy>9nUqm*)dZ2g{I#%MN`$^M*I(l8_Je&;vuPkCr2?^v|$ z$F~W7E;c$dZUR{bpOvj6tFJ`8Fd_dm4hx?#`zIB_ot`FXPWY9a;y!_d22_!N3$ZzT975phfF9zg1-$}|V4oS0Gio{iuY*F!IDd&PCYPs9eamX zoh*b@%vF-N5AL3(01ahP&B11lo#67ya;`td5;#Ml(cx5*mubc8JVLoERABU%3`edm5qaeO)S9Seq@nH6Ju zDc3E3@Y?=#<^kq8n$!|znqH& zn6j8nZ3>G9jL>dngdaIbGq^v`JTR?cpiq%r{x6$#eMWHm0KwR?wmKG!VCb}<2*$vY ziv@%9{VP4DGeSDIFypAIRMIG^G2DLR#aBvFg6nt&efuzb<&0nFHw>%$j}cDYY30Y& zZOojzWnwrhi?PI?&UYLLX_F+i2?OXms|j2SJ!;eX>`N%c{xXhd-oh1Sa${Z!#j4`9 z188SAB8o($`6?3d18DXl1kfK;OqVZDB6GT6B>7qYHDupPu0O!Z+0Zh-A@T~zbl~_h z2$}!=En=^^kiotg?o;xLmb#g*P0wAurua`Z+2G}`lGdwXbx$l2j2d?J)@kU~%bD7(!n>eB`agAR_Xi76_T z4BwIN=f6w2N%&>nN2^+d4CbV^Oh^DcP>%}UdguJR`v-e3N%6nq&EV$gLnDK^r~heA z0!N-;PCshgd(M^R4Y3~v#2kX>;~Quzg+pK&D#1}eS!f^x!LURTs+o*R`zsf*-$p+R ze)+ZDYPs>Knfxw-L+~`h0IIBg<8sVbK_d}jt&AE187o}n1Mnu&H9&~DrT1|kSB!B-V$ zVW@&C8KTR!g7^iyZkwTxK&%Nbl80O~+uVh&YYsOrY;;iFU?L zF~e^0D@}Pa5%kte$gSF2Pe1sb)8#{N`~v9kVNwd`AH?tBTIU#5cem=D1-$e0W}BaC zCz-Q;NxSBcp8k*Ey^+IQEY_w465pjs%th z=jqyegns8j^09!By8*STS|#dg7=|LCAqy>_#;syS>I_9v^In@zBc0Yl3Au@RSdK)E z&40xZx~RfsAWFkQb^v#|xJ(UbPZ@zC%nzmaPlPYxC6ci7zu5-%j+4N5-w!Wry8M`n zO$>FD^ctiJWh+(7f#4k_8Kw-0ko<@qWq~TTb9k$KeTa`LhuP29!7E-rvQGDatT|wZ zl7^V4vzB3%WQa)x4b&y&7EUVVh?T21rL)IbX*H`y55e#iCu?%m8SSqdYM|elUF)np zH!A_2(@X6!@6hH?GEUwC{7rCNgjB?rF(A26Neq!Dge5|dCW1E=W4v}7E`xw0Jy3Ok z$zvZtyPzNKxbS@HREdA+;7At8QMh5IY}5-~ zxAxt-bmMLU<+vN^*!@(VFtg%5U@+(Pt&JS6sXVPSx-^j;*ii|xNyUiw$?lu5J|ww5 zk^NEA{@mwwMP}m zr^v}5;8DNpn`D5DW09pKPFpN336~CpQbm!^uC(EiOKORd5kA(lj_Mpa zLzH=Q;ql3^9w|s}C(jOulfTgr`1gI_oPe9^sK4>}3_iSd;Eqb`du^bEi0wKCEN+!F z1S}|D#^2%Eq;_9I)v}Qvc14cfwYj>{<85s;Z`~5NS-8>Hma%<}ZhsZ=-&%@qL5qi7 z&5z7)yiRoYU0S^oK4QI#F>cl!>Rl=-)pmzX_!N8t)&)u-j5HutZ$V?z!0`_Tbk<6- zP+Do1msfMTgkFPcrk*RCzZAlt@dr%Tx7@CGNA&Mwv=$k9=5)Hz3s6?6ULGkq^{;Ct z9g22L3TsSKhpe@7dH3)#*6Lv0XR}s)D37E9 z?`ZGjg^Vv4xGc}*lcXZYxqf95DgDI9mKxTqf zhd~tKr)%fb7vZ600aoP5=fJkLkC>lJU{t^Z#`BsRB()7eLHR)e^umINPucXsdHw1< z2KQ|LPy?jXavF5~t>0nj+JiTZDNtbA?Ldaj9VAVNI69K0uRoGxB->5GT_Rp#x>&*7 z+pL+euaZ81Gf1A$xjH6O&1hoGrGLs>?~H13w|)eUfHem?`fU!3#N6G1Kke5JUW?IH z7ePIicE10d%L8eXdClJ0{l4D#y~NMqki8`8a5!oX{X+Y) z5I^j~72w&3kxdt5x(Hs~AhJb3T^L=FrWeR%H?hh^8C)Jw0bffIfizM+-=`}`>rO+` z7}>-2oPA?i7JG6)e`&pll8ziph)j(j9Rrn-I3cK3AR(^N);kq5h#)@XTjPMHi zS~AXv&XkRJeI#&6eiFE}XXNmdjn$;F1q`Bh!56L>l4B|usWmR2FW;_*mNG~w3aQ7( z4Lx?s7tJbddDio*bXzS!TOAt`)dI0C$(_Bo#RHx)(#^M_jzgT>@-`{|=%Yi|MzjrV zhpyN1ce?rhDsQjepVpq@e_r_Ri2Y81f3vm>MVf{D2>Z5qG<$6}gn;nTgr@>SdqyS* zeiwAYAZuux=P6onIL-p7(nDGxZ#`YRhVZrEkf(wf<}h-i30e6NX$8FbiA+&^$UM_% zsS?bagsiC6u!6kh)JceoN4mgWqPLYMhgmaaBk^5Lbg3$l+;Rj=cS?nLRf}MS0MTAH zs{CrBg-LZRF3iyYc$3p`#HTY(Zy7^!s&DP1Agbx{XPcoNiFu_c@t{5KUQVlc3(7T< zAzsYOe|mw%)9hJ^KdQdpcRngk^w2{&R2ypAa>*cRyy={u!J~Kam|JD7E>1*S01sAn4Ya z{(nH5+Tv2Mx?Ob@6Pxd9sO`7RvIL!uBsNGSTdcCjIw7a9lEVKG`Xv_&@NLzpN3a`* ze&fjec{fbbPQiQP3SYr#{~w!HxHy+*AO8f8rEA1;OGAZe{-hprH#Zet&bs6Wz?Cq! zXV&<0b1Ru;w?MFktE`w4A=;V&_KcrJYD^%6i;_z!J^Pq27-S_I5u?55+x{OSTn^w! zd{BZ0-T`rz8=aQy>tsB%Ha(7?fz{r-sYn{V_VDSl?b{K9CJygsObJ=mxc{CfIOYU* z%K(x1A1nM{bzh4X{!7{OTo_$nP?5}~z>L4CL}JQ>wd=jBdC$f5px?sMSG;W+JvPH3 zzPeG`bHIp&b?hG)MCl`gYC*sPwcKDTt8`LsjQY&1qd^81${W+<4BVJoY&GaejYb1E zhTAFwI%HfJm&n#0*&~C?Vqx$kflrBLI(p3#U}KQEsHLs93mK--VX1L&R`>Y{WU#K% zi|b9pk959DQTLpvY|AN&jtLxR*zuuWMW%j7a3nWd7viBN%8UiXf0SHSfNTWcwOR&o z(_+?Y3&lQW19*a=%@qQ4G0k7wWnCCg-nTPZ~slvP;g5>C1F z0qjY>n7!=}KxEIU_WkxvtO3Bt8--m6O!q}kl$qK7aUR*#a^o9qaz)j>aB805HnV`L zZ!b3&`+L>g9IQtf4ZTLqXE0pN9@n)BFaJqy{(_H%498OFCl&hAu)QlXt-m`lX{z*{ z8|8|C>tZLKRbY+hxVBDH+j=X1iokzfpJ>BAXAt!1rg0K*Kd+nLH_UEv`(sk`KLwF6)T2fgX*bTU*0@_PRN$~DxN?nJmL8U-L2nd} z_Uw80bVf4>Y_4yiaYBMisHA9kMe7+pIoqZ$OGoG5#|JEcJSov;gb<|0LZ=0dyR}>= z7SEw|5C}{_fr7P2#QTPvmqB>d!QLrpZAU0G!#D`S`J=aW02`Wn$Epa@wd+dvq80MR zycZizlc+7p(M1eQNKv6}SuGxvCNcDpwi!2_liuwmaoNL`x>{&-uK}j}Bv?M3hnKAb z8m&jYEo}`RzoZ_oe@Jh7y)v7;SrJY;94$t}spH8(Y5|9Q;{KU{D4H|z?Idg~`vThf zcx1NsI;T}=;uYpw&dmFLuV?;A<$t4%_ca5M4FB_{h|kOYP{ilub}SA_+{nzoUu1pU ze)qn|fW`M%$RmY`2kAqB)Z@BMc%sPADv*pP;EOdiG6?*~|F{gS0Bx^n%!FWYJ4q`7 z$aP#POKf0#Dv+L&GFkC4yRr^~-Q@#?q>NG^Z_Z*I=3H*w89z1|k$kAkI$jVAMF&~U zA}8U?OHf?J&leYBx1S$0YeCI7le;2-2cf(^xe>RvcR{v9Kxi#<0aQ4jORshD4(YY{ zK!5yl*qMfDl;{S#VXW)t0{(0D=Z2wVP;?Dw8wmJ{VglOt)0?nL)TORt6I+c?3<1Hd`?ZrO9R)K?YJT7h+eB=$NVwE8 z{t9n9l-osywQllN{3tHj9=ZoN@Ikb!5))C?2pyDj+Ym z8Os9Pa$Rk>fM-b;lhNB}qUzrHKNWH$NPO!Ujwm-M`c%az=aa{A-M_>sGwT42uD9x! zzt{X;-T`W<3i*$n^t)*dP< ze!J%w@mO% zpI?<>w!Y7${&s9i{PBJF=cVKiQxWh~3@_T14(~cJ0BL59u|oOpv=yREhgWbr)ItVL zbcIQQ7+th{;1dgWL9RdaP@?fa{N^uE*s05UPfNv+4#Q`)JfSM9MR#uWcLlNFL?ue( zo6je@8fu`*3n-b^7;}K0>K5ESCfSo^L8;2US01{ua4xB6S}Ut!{Ler=J8$g=uEXrk zJ_Re(VJ}rg-?5SmVv0(Y_>uI_BsutPsU6y_6}Cp!;g?Q0;bM}&)L8TGs%V>O1-$TJ zzafXGN*M25nN>UIqLXuGnq^yg3zBo`krfl|&vf3`PVdjKahltGIZQAFd@v}JoFNKL z0__!r27&g5V!c3XGqFY>xn4FctynIT93pVkNIcfu@4g>s7|1ZCOSEFnfM0j3A?ihH zDBn2Qi61t~h>EPYJt|g_Llda}m3ffs}A-4@PeJU-Hf2k#iiw zu*N4*m*BG~kzGJE1?>VXQVZcJ%JShb2*PYhq`s&sMcr!euk7`AHw&iaTh!r5HiDTI z_qP5NXDz8D3BAJ2@{ECdL0bLAo^m(XKT*&9Ug$UWJ`zH4Ca$2D_Zo{oVm_Gvt7{#9 zdD~fIevDMA=-Uki{ek{~aL39FvKUA7&NNmBGmadtUp(S;Qa67Uwd-Z+yD6sq@; zf=`f(l|uKiLg%b)I}a549q7IC;{?D#L-OwJ?;kuaC&ti-E00fEymTxUOBzJ`R~N38 z@On>vJ4~(}Jmyq!6s(r;L$rqrjTm_Sk4>yAmv~87n$cWg>YVDPuwAlL((!M~hC+pJT-4m%lnH zDYRn!Oxj$zDU4mXwF+Il=d>+gTD4t%HZRj;b*~JEmfBN6Q|6HRr-E_b!pO-b$Dopu z`>K^U4mY#I_{-K~23!*K?23CAx9y-bF<@)c{f=#3ill*gKafx4cWS)T?dxmaj`$y) zcV5r?P^|(x;bRl?)0H#ay8A_A+Hl_it;ZR#BGN%Sqlck3YSFNoZq_Ke1t%G@W9Y+1 zaM&#Pj-$5l=0%7lY!AxBwlI<5P=$??Qx29ev6MOd5(?eqtV03zuqvEoBqLq%G4FX z%eIBe>VqGUSt2FF%u*>tTLa}$*VhowgLih0R;wd4u6eJ4cvjAk~qO}OjrDkgA zyzjYACSy!x+jQSNk)DN_rtbrj&Z)UUiXWkz<}`rsonQMV}I^Qp|(1w zp9C-mm@l_1EQYL#?{u;b;7JqVU3>s9S&;=F_fXYlZ?dijJFThmP89BMMfv3#B0Qfa z6)kTIX@N7f*yAMl=_7O%XIMzVp<_jS5rW0rKL7A@LpaMwMqrEELMDnTE(lhqsXnoH z%~B3G_M`6n$E}?VDmu%82nNdxqPuRj5M zKo8uFNht%8woG#l@K3U6Du|&l!}CoQ#F3mKm!uC=hLq^Sho4AkC~PvtN)UG)Y9&YT z8vMa03fyz5vHoK??U%ppJiGq*c>YS0zSUP|g#gD)&@V^>@d<;_Pf2Y|U5HZ6DI}&N zhEU*SLAIFp+(iKW-@K z%G0DVl0l~1)37}#|2aq63h@eU&sgVzb+pD*8YHd^sk$CO6G|x;aa#y zxzN=V%b-$ND$12S91?F_zfNJwg#ie42uAKqW2}z41T_9J<|s|!QvDpL-^ToprCws= zrCRU=@UxXqoQ`WAB3i0S*u4xg6sa)!L9(^l@!o5Y$mvZ5#3-3DIVaYCfl@oo61gk; zIgfw34-ImFMXX+QciIuw6*qzb#G$i;1m+0d6=)&BH|Y8UYu;qq$`i3y;xWWvGWF5L zRq4;>EXGB#&@fqv*Em z@X#xtjl9eFx-LSYO82lwTK+kpFfBc@X^}@e2sa#w7g{?-bAImSHL{)JD!=5Pxuxms z-h!K(e$9ISEP6~Zm`bG-yZHeC8si|u&tkpOEo^kl?Y?{YfIt$ciE-gnbFz%RJ~uuWk&3X*Z*rJUBEAR=*jD6DCJ0U=KlP_@0trX znz7m?Fd;fv{jnzWl=1B~^8mEja{J@uFiyhOgm3pq>#WD7?_-QonO{Powy~&&aE#sJ z4MEY0?ReB**A{6$Au8()k~(7crm#t_c9GcR*M<&mpbTW7OS*&Eg^@;7g(vIwEgeMn z!%L;enofwtaR9g8gYrubo8;vc%EsMbbM<@>f2pnQoRb@iZvs_{Rz~mh{RRh+c-45-S81jSd7ZzJT894E9 zoC^UB&&(!E-^>12Tpi~vb99QIG_uti)T^c4NptAFj!Wi^bL-TWF3^R`XX~=344k|O z$+B^Nm|lbb9=C&%dy@%metZl0ID*^hrl*7;;)n=?h2%Tm6f**-U0uztJD(hD0&}4y z>=X8;ev?x!E?;Fi9I?(9S8v+0iLsj?D3cP$<8VYrGUEg%=lmWB7tWI)CShB^kXC?0 zl!is1HHs7$j!@4!{(RkfeqZACsr0+zDR$h}7UQt2Zr^&WY<$)^|Mc5Bu7s?gW4j`U zA{MSRgqM*%IQ!ktpjS=6;G z{5$7|D4lxX>qUA|@o@E4(n?bJjBW73C3Ij2K`zl~d46iI>`||JTy@-IuH@LQm*|s3 z6Q_uh^7=R}I}Nq2WL*EeG2%gDmD*ua_z?RVt9@#3vSYdJIU$QoJyXc5yRHb6c3)aQ zC$^2xx4)B&$b7Xdt0c84jOISNFN^F3vL}$o4M-Di9KviG+e2qmzL=@M_W4WG>ioBX zlec7pAQYy-_Gmq^PJr(;YSd8w?y!r}X8p*hy2p9G3TQCAY)eYbaAYqV(qO}dee@C# zm3r2PJIT{=4}_EQ@9u_z90%czR)jOdZ#HeSF_ikmt)Mk z0iD#TV~7Lman3iwJY|X^Me3zp^cA#Lp2nWAq&g!MPX(#bh!pN=s-R6Egjq05U3cd9 zudz|{&!W!HDelDhEa)ew3@VHUWO^kUt@lrAXjER?BcSiB<*oQ4@DN^y(9nvHO!olo z4#*`0zOw9N+QbBaJKq&*CIkb@bvQ^Co(3KcB1pqpr!Y`iE9#V=Dp@4DwHT4lawV%4 z5|viz2M*vpf3nuu5Niv#yABV0+z?TWA>L5srl@29qk3pH29pNBkS2IS0SNZR1(c*H zsC&eAPUn=b&x|6BW5$hYj^yI;Gl$fp`>h3@KEd~v8LpmCs4&!QNu=M)W5Y4-2a^jD z8};p(NJKGq!)0%bs(Z+@=l9DTPZ&_&+q$jfJKp}aavV#k!-eBXZNQNbXFtZ$zAf?S z!0BgMad$maV0Y^aJ*eXImuEJThH7l6<|0iSTunb2EO^FCb8w5#o~U_v0zIy@9LqrP|EHM|Q0{aL=MLdMNI(NYa3q^#AF zk_~A7Y!l?b#ekJP$clWBLThKg)x~jFtARd&le}zsCpNj(0o6sKC-*N>W5XaQt<~j$nT(x=;t>Iq-w^lOm#=>iTj0n zKV2M4M^PqNY87k`@q0s1;t$EC6}9c2Y*m9qP%fG5n+jyL?N+X+)50qcoA(zq#`@YJ z(&|e3ogt}T{`PjbPTGUG8(F>nWGSZi7y%nUVQD$wyPSdT*TO+QhyI7SZ8Z zWU36T`m8f5fsPwvh~p+W(p!|QP0XVtZEr$6+cHx`rb9pp^UaGXKa^I7pNgxR1Q$V} z;-TM=P(KerC{z;Hw+rjEqGI@+62fcl4H_U*#1lbG}}G8FuU@lk>vPk5&2FWx6uyCSRhfM*vU93QBJ*t|h=q>-Ywf{UJp$j2K{_~SV(*|Ojpxwb41quKA<%{Oeh#YNF?wFLdT`y1~^7wlB zC%v4!SV;VMQS5i+6A5qj2Z{)*kod*~=c&Ud%C7nf1J^bY!uL8qYNGibw@-LhTZ>@@ zSB|O0Ggk87Xzb?1#=N{Jg#{)Rx|lUH+wdpVc2t=ZO*A+A8$Vj{0`D{ovlOt^yR9eJaQ1FWZE#`8)-QsYH;)De=o#c ze;U2I6nN-)dtIMYV|Mj?RjhAA;jz7m$%Hs@pDXh{O(OZQIKnVTKt4ZlITM(dR+Y(W z#h>V23B22Fa-(R#FEK=xYeD!ak3s_RjFzh=V`tj2PGikoyNKWSe0dGIv<=6q)Wo6A za~iD}=?iAzO?5n@xt)o!l3~4|mEFG>Jxc`H)JMm3vzQv8!wHcQ$VghYr9a{!>HeC? z%%DTAk}p=I>PHH=q_vS+wrT;Weg@-0iE+@%YD|8qTbhI?32Wv+)*%0Iy!77w4ke6J z>YDx@$^sVJd5^?5f@}l1N~qC)x4Vs+&=6fz8~Cpx9WK(X!m$Vo z8s_^t3Fk8~3)1RW&omtDAF4<%k6*g^>p41j7Cn|XG&X!t9@4F!zNFJC24v=$)WG7$ zi0b}ck_g{>kO{k!^(l_?sClMq7{Juhnq;DrwQ_pRJv&48TN3i$qZ(F60fT&dR>sLR z!MZR8`ZvGo-katn);C5QGU$;=7MV7@ z{D(#`JR*rmz_4dYlcU^|_Qjz>g@YTUKoTnj=XrYYqfEdm5Z~EHMzjY~iH+ zMj4Y{9zk-xMAAZCd~EN#f?eO9a9&gZw-MvA{gf_R6b);$%0wyXbM57~nfO=#-$b1CFU|a;=(Oiw!>W}#vv_0ja_IRI- zd>tstbsN^{B2jx2auYr-@*Qe3AXUyB(C0#T5sTfq$8L{*1g+E)H;~eD+TlN-DNeqf ztAt`V$3)S=8~6O;@FQ1Y#g9Zr7MR#28GdvUQ)Kh zR1Ng8H_-7-vy29;neoH>fdN3c8f%t3G>jy@kt-mpxI-ub)4zMESSa{fspw%v z_JvVDYna)iB5K^D;4Bv`$>e|+O!HEU@Hq8o#M&cxW@nb0SGHq`Vw~(p`5#at>bx=5F25i>%)JHRG{tr zlydldCJJvT>tga7ms9>R(KACIgg;D}NujK3@TX!d(iS38fmmDHfPE$HHF(et!gjp< zj(Evd!l5i_bFqn;+)d#Ne(mn7BxLLkjHGxp7?Zm#Lrp8ivzB?h{j6BNjJ_XA+M9=~ z&a87lBH3Ix*_mOM?`5bmcdmQN&MWS3>QTp;_2mv&YJ`=xoDBv45M@ifQrPh7ymwGt zw5UfvA0J6U5u>}IhuJHqfhoiLdcgPk_MK435MGrzxVi5idTJ<0$MrQi4QU-WqgkUt z>-NNFc5G|%pleL3%2;%%uqBIGO6-NYphF-f6IYX{PCUjkEIwCs5vj%wM@e-#%!LFN zJ}4RbETbdG9#Kw}$s-0&+C~{*k%voI?4=>p$WnnC*qE#h@v5s8Qozs_O->A^4&Ooc zN-Fi3%6p?iNGr(SquR#A8dN*I@^M|A={MmT@Qf7@c)V^ zX@T-=peKZ7>If!dU$wVoCH#OhBXhr0PjB7h^~^{RKRj)?rEA#=bA(D4xFq8(!seIP zF#ezNf#GA?`m(=Pfm}ntbS9^zYww7N%V=PV<)-$vF#DTI2xhNn&r0oVt^;uqP}%i~ zJ42=IEHf6O^G7iQ-h|va3?f>2KhUEXs{oD;Ft#lwQb)23_^)UH;B?|s4lzbiUq6t? zJOZ4gNP>m{^s_b7fS=1_&qu;~{kzUYMms?flY=H#g`_$(TA94p&`OS5p0+@Oj7vGm zWhjMG!5EQPUIgi-EY`@T&46{O9-ut=t2K>sLEihLe->i4Y^Z<~&*%@SpQ=JW7i^C4 zYS6N)>hTVEc}q%zR3z>xV3<-K){VvvYz!;zOg^#o%GuQ&SxuUZvx-C1b#ve5jPTp) zaX#QujSOoxV;1)=wGw;`#on)>eQ9DTV(E)z45fvT`Jln-)5~D>kCZL2c%<|G5Ak)@ z8aNdiVXofGEstnJ9YYaVe1g(>e}}0}V=WMcrFQ!ktzgJ(PZ}(-I7jhBs8~PMj4(1G;fY?du=A~TEJ685w<*EFS!>m7+&_XjW>y*J@fpMaL8xU;@PK5& z4Rge?Tf!(qfQCYYzyL;KXz2wt3yz#b|_wX``Qxwm-dLiPX$VhB|$8jb_txGwTn0xY1_wLjTnXQs_WI~qO-o5RM*L^ z&nH?+AP<^;!z%_XVM6_usJ+i@FMj~%qbLhOL+9_O#$w+Ap3a7+Okhp;>2uBS;}2Ii z6&iD!a=D*^wJ_uwf6=cWnAIl7(3lp(z~6+Ru_&jzKSz8rYWkT^mLtq-k9cw~`(A~O z-xUPZ4&qf102-eJjE&l=sS)OhQRmb!Xg(x3F1%)mUbDjJw% z_3q3)%BRrwoMV!o7=B@*1i`6E8K|N$f5{PY;(U^%yTH=To~fI->d&El%yiLRLnv8V zdQVV1hcTQAy`Z1oTywvhW%4HFMr<_Nbei%u{_3hzw(2gseWrZJ4_zpwvV4oZnD`lV zFijJ#D`P-mnNn@nkV3lbPBR1tJSW#EcI7=fnq&DdG?Q@THnCV>>c8{ebrk3Cm+H=7 z?87^BONLbgq9558sADT-vB12LpUKXC-nBOi^&{OKn}3a1j9?cag=c29q<>=-j4;^- zPk0%ZtL@p_pDPvwb#zx_s54>RzLOsgWOPAr2p_AWeV=nAn&F%!H*7@Xo|~AxiXiGO zq$N%^de}9t@!Uo1cO3Y}Zk~>W717NqxW8k!EVfp;gt%k6xcA;P@o!%egb{8&)GVF-JckTgFhzKTG&894%=&6C}iL+#|uI-D2lF zjr_%pn*Fi*$`Wv4=v2ylJSF3Bi`IG$yT-w;qWkJEteR7d~bxDqD1PEU)L} zBOhE5fj3w0JiKq&%-+h@_B)s(UoF z<-~S>2$t;;ewB0U<1#ElVuGUuT5xR%fu{QM_H?~XuFn&u&)V)+<7%(TN-n{nXIBK?kk*ScJ!G+BH~}Qe{>Pp< z1dt16v&QInzbKi^hPEFF^3#)$o;6#cKeqrGHCP2K?!Bz`NIAx}YF-k!YS{EcNopy! z%u6LA`D@r@ITpvLL5kXiuwss8W2$@7X}N0EnkNf(y$(}=G>ab*No&d$O-5R#y@J-a ze%X)W1vL*Azu?A`{oQVJ#6n?Jh_tcCmp1(IdcIpi(o0KotMFr@CR$iTwWC(PS ztv$?HH3Iuu#?rltyB{LH_sF`r?iANNPpml3MIk`bJ^7h5MHT+&dQ%iVN*>MKB8;S3 z7}+6?C%;FoLk1fhWP&)JKT@g9V)V}4cIYv(Ct}j6&bAo2@dx9JuxXP>OY8%DZW!_! zqI>WvWGaa#^-3#D3XaF;HPV4Di7?h+G4Gc|SQ~YS(Gk`OH;cucr}Bv$L5(U3z0alDZ3vxe zK927x=6FI!jUVHR`?bAu#3y)cx{XpBxWvqVYJ`a>Kg-g^rCgQX4qWy2j&a>`^q^>m zFddG54yGdg&R!GFHM7(mUAWa_DFQxH-CpBQf+5PJx1ur#mj8RE>O=+a7?0w*O17TV zERw?5pju1weyFnclj@)a=MR`(F!3jbTXBorK%H+Ie(-F=z88@gQQ!d zIx_ond%@t36S?BM+a_0F^$4vjAz-^s)<~q|3JT9AM%7jgdr@M&xYtnpWPc z)IThEu~C}+v1sV;?@1p-RyT*IZl8k28DOeYUM>31D2vzZxZzI;xkABs7jumOiYiSMp+?gl?>N9hu;$&>l4v&@G zAhxS$_>59eE`!B`1_~H)P)0h_Rj~=3hE!e=o=tWG?>C} z5xL2kaO4ma!PfxzhB&oR0!^IF1sGYVZAh)a=(3!7{GrI`%a8F3VfdJT5H%Cy$*+4P z)CNH3T{~tmOZ*iscu~5mEmXJfHHXiN$XTSeQbou?HCd0aA^IR@v~5CtH6l{d6eChb zWov&l?8#39sz={?3t;kn1J@oN4o22i^VzbUf(d3FYpIVzRsVPgu`iXzNu0xS+7pJJ z{(^AF{VL?NK!80x8o;s4U=c^ZSfjKh*Zgr=G+h*Cc8u6Yqdt#_1Z1L+cA_w$RAC{Bj zk@0~Vh9WH+si)?&%oB9gzr#pmlzbgEyyEvW838ime;2_XZ-E;4U@}xxSL>!7;<7Q> zXaxT~Cp-RZXMQMd(@z{<$e^>2y38rVFl}Idbqmr?bU)L8s>!o~`b9UH&Xs=*`C2JpusY!EJa}DRPJzK-Hy-z~y}!q+ws5`w?4-dN+>zwG)eIhK zF&7-vCe))Q?(|?>ApOE;1x&>!lwrq;Y8dNhq8YvRE+)709ECludcwr7kp2B&I(FCw z20i&aihp5Ll{8zi`c%KNWprRi`^R495(;og6Cn~&SN@VjI8*HP55%#bNtE0oPI+x| z5(WIXN!RJVA7bYjd!ssd6uNW<$ zsCpwau8Tu1wzI2vA$JxE`k`P_7#sQ?ZG1MrR2ul)ox>Ef`y15ewxU##4=yix$nRRT^>IYCv-aV<~xEA+f-C zGO1|gHLg%fWvyCX3g*Np7&LYXqgPWf`|iwdTr0R9BG6!?j=UGeSZ2x_Hh1z2)x_uU z7G{y`p;Ig2f+TaQ1pIu@W}cuIhv%xY9!@!!b1CR`ybDpU;gocYX3Hi^f3o|$NxAJ8 zY5@f)z!CK0bW~e-*sE-E*rnm7F-;~~ z9>)K#l~!q741vF&NOPC1d2H}StK~$wf9eDXQEoQ4^b9!-4S1X23ouLa?3C{WpW#9nR-5FaJp|tL*@@Z*fg<5+XBnMvV_+@9#6Df`5Z1e-Mvu&e82b2tBTWAyq-@MkZFypH}-BU0)(0pGYldjk{x zV>12q>Vm~i2?b<=ujKjAlIXE(L7}Sz`sY)YRF_CIlk9HjF@3h%X>LI<<9@QyIxk5K z^I*)f231^bYCR&`wfCh8!g`d+*W?jKhGue6SRBLC_aZ_8$0XWN$J?I6jdds+yRCy| zd%8!{_pSib=QfiBrU)pSfdq341Z|eB#Yqqg_+Q(ou>|!%&=NQ#hi)BE8IArJasZ2n zSqF12#F97k{nFkJIqD7odhg(ujV4V7CPfj8i2ReJF}pblE2S&|+t3+uBOHz~I+Zp@ z7mYbJN3^JgRJohMgI26~ENQJD4W#Y}m+lvy_a4i5T>P##O+)o7DTj(FRkICSkZ#MC zhXO3+Co8xSlwi3g>o&m-c>F>Csz`zDyxV@p`GuD*dVLf3Q{)b>Z^aV-B&c$ty%)cZ zc4OWyNVxIzkrt#&Z|~P2i@unCU`+muZW0wv!t6L+pUEf_gV&>UiIYkcAT}05}d;30ChmJ2ueKCWk2X*LMP^C`3fInlfrhu&r+mk3xeA z(tUSGu{a9T&7-f5m`@vCLatYbf9Xcj+XFT!r&Wlv5|5CR*c}tP zRv>mL=h_lTpP;{xn#K&s9nL3Vz5lkYZC9Xn`dBwQVDr^|{Lu+`bM*ac{>o)(?2_V@ zUf`7+)M1zk$ZA`BFF~8~COcn(qEwxa`O5=|^M|;2s*R)kQNUNS^fI@Hn&Ox~b&;1H zQ-+kA)VS5L(OvW0Bjy73*lJNn*Rs(;!3*Ppz7|tRsc^|NBYDc1I|prb4?x=(dlGVh zquz^mJ}+Zy(deM>_919{UzTX5d5-syYU9sb(%$I1r?DO+ZA|#nw@_s_bK1~PT^k!Y zg-AV^QDOMVyGV;oBiBN@;4|u3=--La`453!O5W|S(^Y`yL2~lA-2~wJ9*Cl}NL2Ns zM|ME|4$CTAt+e5fK%3MZ9!76<{)ow}VaOi~oi;VqgOpjJu9a$uik7`4A0Jm^g5Rs=$es%6bPUKO;z z(QJ{zFyCw%8$4QmvYZ<#?>padV&bJqGJ2G=pla2MSn09ZDOdbFH*`Xf)dp{c8x1(v zE?(*mV+fMT3xJ$Un3M^LfdJM6Nl#>xxEhKQrn0V)hKz80F)D*E9ktI57YZgyk3ZHE zAF9igTocffvu%1MF|ip+q|7-c^u6VmdUBO{G&SP@!53?)$T%LE`a|EGWx$|h_BR1= zBbBc%Ym=JKZ@WFRrx*655bNSyz57FsEc>eS=?4}%zGGWEI>9x`^ou(XFP;4=CjuxK z4G2^8E=22Qe^>Z94(#S{^?lZNYVJW66LG_lQ*vcYRAs{!QLr)@4C2{dm|%o?;j_jf z=4S1}OEaJqNQsvk@^r+O))Py-?1^5}tSL?VT%b-PsyJHLrgv;dXf%M>+=diIX%RZB zMZuW7NbtdEcOO06Qa+bSxFllKu6b;U-1>7{7Tp1X77k*o2h}4&J^-d(ct}4tf4w(Pox@Muf|u)`c4B#&ue=?C?y2$3gP5 zIudc1(|annuICTx)Bn{AzwuDEh*J!+tC3_a3DFyvjIrEeGT_{B2~uJZez`bxQCnnq z|8#}kj6LBH+?-qUF4kE)k8}NcTJHENoW_rGMS^iHz#8K&c0Z`6LbNrvQzmmpI!^87 zk$Q0vo>xZ4J>Z7Em)<=xMCnrQZ?$zeO-B3zrT3nUTyT;=5uuq# zK+p*P(p4Hi%@b2zoDseqT+;8Cq zl-U$#BFCwah5^t{5YB8wVqDw9wj!`_yN+ostB*pwp1fl}D@pdr`MQ8c zk0H~Ow!re!240C`G$~ik1P#*In)}tp-pCMm9>g?d6c2HqTQSO0{@_~1alcvnKnEv& zvR8WQM1|*48^)ga2+c8?@@h*N`dr!aIbNEhCNSzw4%R<*UrtaxJ<&;}wc-O9q3FD% z9@$ymEE<7az*?6}_4DCkoLN9(x?G&HtPgOt2mp5Jy8d1klXxloK0o%K)yfXs1$hz8 zQ&VDDz-b%(&;*^t5k5S`C-J69ih(TcCX{X-LnUm=0sWncZ!$5))0ZEu%_G$!;-@?z zxMpSM;H9W^gipf#A}vT?f&#*1QzMm3Mt@%z2$N(Tjma8pu?;LaxjhwFQL>r_!qSoO6l5d#ebNAhY|3Ay%>7!1nh|b}1~S~| zM#pJ+L9kT(xS-CgeK#H-vG^agdsgbI3xQo{OK`<_p$gQbQtDD$8V5v@lP=uBht&M6 z!ru(D)0q6+CT?iJ_by>mw(pz0r=kDXC6mlekYCQK3QO_vk3W0) zV(?GJhVQ6CUuvd52In4Pd1Q}J!`w%(=+k&7^z0GTnv6KP;!CAOQ<$2J%w-W=ocsK- zpCn)LE=3)PCRLWOCWhq^52#%won7gr(%2%UT$9`-Q8UF<|E=3;4_0(H_4I$93oGT( zNnKo&{gtU?jkIg);Fbf{`{U^k$=4ZDyy13qA&1L?X*rk?W16DJvOL6! zGanf1{V3Vw*4ui4rmt5P*b5zxes-(Las9rM4*ilFJy@v#gcmhJ>SF4ch zDk(uJaQt*+&TNI+K}m>PPu>zk z%V`bD?+xYuqUT|ozCVgb6q6*m_^RfX3dqwUipsvvxCjUSQGNP%&FZJ~Z?ewI0T_b& zo>ZnIP)!!lSJ!swm8HUz3UYwmt11(P4tfft%~(QW6?CWF2}WWadOU(7piSi9$lQ@C*zZA&`gCc9;&;Pvs8EV~vkWzPS0K>Fmm#A*bIXcc?0;r-oxm+?Jd z`Q3Sf(q%NTRwm|!u!K+`X+m-Lk4;66GkGFX17+jFK2jVZ3k?|P5-AWbhqgc!TMEg+ z5kQ9!)NkGBk!46EyDuF)O55=dK1Fqjxyea-V9>-!T&`*{ykeHwGFy(9qcj5YnVz+)5!AX`DwVBZ={49gx_YYY$3V5#_7}+;G;5ILjQ+G^3Vi zXbrhsb}+{u5EwlVzxy@@i#z^D)SgbNCRa?KmNplioAG{J)fK=vOI(PJBg6J1^z^e2 z9YDIK7!ah$QIDQdyp`SJYfG9+=JPG(H(HIW<*3Xu7sOt+^(RY}Ab3xt9M$HLk}j)Y z>kni(hNysu3$iL1jZ@$VQDb5S4~Cc}FTyCW$w-|=*-?_&E#6y~`kHM)*OAz#a7(zj z!fzn|4AE|yQU-A08(;6O)&(Ai^T9MHS?`^(h}Q-^m)cmCwxF97y0CuSc~2Ga+zl6) z-1pJBrX&0v@{I$|o>boBk((fMcnttf-7J8)V1T+KBhJV0dX*G}{PiSc@b|CUrmoSS z@msp6ZeOMkys4~kBHoUSKVRZ{wN_1Y3!aYvy#aPQwSAFrb`m@W_-i98QC6IAwzw&Re zHJyIS_4(_ItBdXfq>$Ho)u5NO><8M!TgsbA(Q9rYODM*JUNtuczJ&8lf0;ysz7x&~ zbc<1Kt*MyUGqh7a%O)E;e3E})b+{_Kc74c(G*FplTR@}?vFrmV6|Z?V2i{GL4;4!) zJO+v3Y7+@Ol0@jo#}78M)K&JLa?AK^1zr5>e)qcX8Dn zId=lai&y#Xu3;~NZ2eAA1CL6mb)h3`kh!lc9M!lC1DrqM~4 zckI~*pr{gx&3^hW95Iyzb>6psIO?Luy_NT_IqylIYt}$do>Pi_Qf}gq70E zN`z6goi_a`Xf?u29!;G0I9o?-={^Em$!$B-2Bz(1O2{1yMfnTe?OKtYwUU;@qIPyvb> zKUxL8J)~#>j~B+hrH8%6|JN8(Y(sQ1@38OgOpLYd%c+M?jP#1QM?bHC096poVj@Bg zwEo4F#^}f+(&>j%vBGUW*$(DRKmN@WXtfeWOYR&f_~pGNl5*HfPaKTVhGUn8Mv!4< zQr~vn+q6SBqYhz%^vqK6xUcjCHjf~YODh=)eU z#b}psC0~nWY?EQHl@D;spSU;gI7>rry!{>%@L-rF>biRTm51q~7N(&fH ztvn%X*+DfaZ;SEsiT2AD1XRBeAG{AXlqB2ObW&{46Kx#));_(YmE&af4#gY&;bYxQ zbUMZUw|JrOl&gqZD{=<)ux~`KC~S&weHDO-JD@u)@EZGf`X2}?Uhf~PgYe^N{@1?2 z(Gj|7Y~UMnJ!Cr}{8LqN*HeGDeNu@w&0g|-nYq{~mL0M*Gl(3DnWiSGbbDuLmkS%% z5Zz@J)iGv$d++w`5tlhUlo>ji^dHk0Cj)yeU9fJP3wKdL5ci-6rzk2cZg=3u)*PL# zYPNgpU%E<_AsLFL4{Qw=IK9q-CS%Ly-ntdmuf=?iczO&5@lf-GEtVX>FiNBS2UMxZ~*c>KP7aXFgH1 z>-OoA0;y++H|%i-T$gvA&(&PoUTImKFPv|1H1Ou53T~nzHZa9rxd;4#KY5yIxcym) zecWz)5a1rKhU(yr0b_9?b_D+?piO9ib*4pUlCrvl=ygBnaU{D#`-hO^QS$x^liR+d z>es)(TKi-n>|au2*{*zgu!Pz_YjWk8T&57R!gB;kE(<2cVqBU*S5)j?0rQQ>^Dj-fk*fx_hAv@LMWu$?%9C(~Tk$uI3?E>3$?|1f8qX4` zn9yRTt!@&qsT=c^*on}tzZ|7YISS{GcfF!{AM>r$!f#QyZ%iC2c$t;LthcETy_RUq za?d;ND8Ir{9c@D@NrP_4Iyd>U_ZTlC^vP!_wblQQQa9p55DCR2H;4FeE}Q_lhV0;O zAPQ0rtLP-2Ayl?zvJxU+%YUQMqdWbre7pz@2(PJSwC(?@1F~DNeJ$p0iTLFwm#ZDR zZ$2D9hPHV-DS?>Scsv7AYi~|$c;=S==HdkP5et~3Ja}F@6mnq{daX`a1NCN)75f<| zuvJjZT0T3(Jsi5bUXNae4Ph7)iIapTW(jv)2v0u63aHs*6G(SiH<^l`ivu7^$zWJ- z5@t@8SO;`_bZa$s6)>dlPYW6fcJNNISw5|(+q_IPXG_Zi>mg3?+wUOzvtJ1s3J9H- z>UsP=mN`o=f_;C^ZNW6eTBw&G<{qpR?7#;qt6<^t1Sq#4 zj(G9-FHuwvvOKxvmYaR^{T--kBBzvK%I}%49#RzNQsTdCmE^zOk8!m3pEHsVt?3+o=aZEs)+(F!*J0MJuzt<)SeT2%!OmnT(3vwpDGvjgwk86c^T=EJ zs*>Q?yrazBAs_dH+IK~}RMuMEN1*wMA>QvjW-i@K{f5%1gOSC)_SX z<07p%qrD!;K@ILID(0XIA_c<@3DC9tf*0x9CTW3KCf0%g52OqeQ^p8x{0vS6A%=si z`kP9pjt(*N=RJ}-CEgb^GfnqKhYP@dz}R9~&5j_YRAQd7zW|(u_bkE0BbDbLl>1CD zp&`KgQ?Rs&aD&)@(WLW5^xE|2dY2?H!BCZU$4GiGo*G&F(u zA=&iGh>MxN3@ed6%OlxKCTrjN;)@|QA%9K%?XOB4SY?I1zoSi#5_j<{-t_e6z9Hfq z&9Zu&;}xW%)i|n07d%>xqk42fu-cQv8hlwDJJ9NC9Di~bG&Y#wvK`f<3+|4U?NY@6 zzZK_kOX18lv#kMLp9_IUt9H2%xK(N!W~BgQYrc%AN54oT>1Wv+mC#CZRFxHvzWSiL zst-cT%1LEEZK;(!Ho5`skhDLLHh)0x6Plw%*A3GPx zCf6}rJ22-AuO?^sBfs^%-}RjlYUumY+EN45aVXp7D5wUk-Nc~)V7guzA6VF_Y)jFx zPOJ=y45(PO<|o_ILah$Sh>Ud3FqF!cV!DGM4q+<6TUuM^Y)gZK9n5MiTqWDm4RD5% zGem+@#<1p7XImO%?SK*~Dl)!xWlQ(Y*1lQthhk1ONwQ30oA?3YZqV5PzC|LZN|K?5 zOM<19q&BeR?A~v_cm=B2uXYIQxF(q57`{|%R=20?kkW+Y0h75~6qS%(mb0@RU(VhE zh*OfPgDhxLNpIs$gUl+C)NlWRW-?64N%%Z!SkqA1lQR+m$kam~ou z)P=0mR1}^5q^qtQ>`>yH`xv>bYVc;pG0$L!Q!wUY;8XHt20Pq)?wQi#+oxZm9(^Rx zeWqVdRMvCgay5a=<6rn!Kk?Hrg zB?SjPGl6>uKut|8uP09GiOe34sAK@7PZmh z?0{U21M(5CfA^PtLu5{M8oOssZ8keNt{0jR-2>O4&_CPdAdL;OKTm+vSw}}L(LC4* zq+$=!5!nSnS~y>^pe=#5l#$|DkOr;@!uTVHhZTWVXirW3=W4Zs;oDoDex5@UP?#RBavPEEC@fHX{UgV`CHSs65EY6Muic*rK zv*+8h0nZ2=C43|NMhb>alGXj$g5R8yJ9r|s1i$rT&T*Wkk>a_G$c8CdW(iV>Zdvl zQ`4i4IC(UZ0@-z0~gd4 zhv%M)vUb58t6Or7n0zN;O916WS)1UlOiws;lJyJ(nnFe#s&;hlxhQK}k-xrlS`W=V zS7mLI7%_Zg@VO+&T=L?<=gj~igZg7G0wcHPi>tEsHJ1o18Lwd^k#&T}Po$k!Cb?gB zpv`Q;$2mZC!yarwK)D$|>#8q{fed0r8 z@DIkr?z2x6{Op4GOI9W7bfA{y#SKBKY8M169d!W&SMcE)L8<~53@ZV4I#oomB2~2u z0yKbnJXYMou4pDK1gWZB5KssTQemwPP91_Y%q|E9Dc5WVVK~fFQK_n3Fy_~+lnOBm zimGW4MS-Y(Jh7Ul2fb2U^QxhTht9a>^S|hm zLHlmiPAfD>DgD%I2X0j)z=T!4NW8a{FKWHHmNrT1?D>RZ*8@l(G)j?RVtxz&lgra4 z;d-ll8EBJE$=8WFkIT`YC!ABs=AG`G+S7Vn8gJV2LmKa2|DJb!&PRWA(RiBH@l1jx zd7_JBtds#SEr^ZU1UxmKuUMc}EIicE9i>5a!J|-WYCIRrP-^ozZ5NUl)OaqqU*VjL zeSt)>xIY9_Hj@)N$$&(`jm&}0`FuXazM_rWVA-Khl~k27ER%qCj{&Zvs+0jfC!i#7 z^22`9`#M!AW4m1|Z71GIi{<0{$kXiCscBp2dgL)|0BDk|ZqIU$3I-)tdjV@id1Ru# zy<20e?$&vNyC9uYEqWJ2uId_0#rfgj=y=>5Cr5K4;tu9qNuNzDE# zek-KC4B-p;Xs{`6<`kK5O3f8*UC^SK5n<4<+wXt2T@vQUgv=U<5%B=htx3=MSxEp;3L+m9hxk9lGQyr zU>v<(CvZ>IH=6dMo!2iKHgiG_o1T&@FB<+Wzi8O>7mXj&i*}B;%X4GRiw4Q;{%|wB zXgIKX(YSpAH_D}6w5Kk7)9!$FmT1%BQGjK3gvJ(RvDs%@?MWV+T$qlJT5^G8JA8(2 zbB5k5^%Zd_Q|PRFyu zGd7haxww$}Yn4sx-5_|9kactG4)$(PHnGh&KDJ44>sxoQRfN$5&rKKRrgWB;l{a!* ze6z}PzUAJt&q~W%8F}D6Z%Ua~%v2Hdxd~%(Wx*lv6)U!dm`yh@RiSr|iw-TwEgt$T zCh+M6*jG;dlsE^Df3TXFi)ARGG@qSHxUm4Nkz~ zDtVg>ET)xk!5vah;*6lfUNRzCkm|4qg6>p2%k2tN0h?>2-EcvmsKNRV!-atpLVKE5 zq{1wM+qLGS86UMPQZu?B8bX=>;))~u+GVaH@<7tup3g0Dk*|3`Ay{e<7-50V`T8fX z__dMu;@J_tZFNL(wPr?~W6TBnU3BC`eH%xeFghzK05RvQ`Pb}k6>p2amc}7q)s_$8 zZWWKrce%U8h4r9U3R>k#sP(sIhV91*6|4LlfpR53v4M6;1Q>pT66?9WzJtw~;pW~; zGsBI)aWi=8YQR(LpL*Bd`s}F3YhJ)jyJZOwtvJ?tpqn&h8XRSXcmdOh+RRN#G~7Pg z(wXuMSP}Ig$q8UIaGM)Vsi51tWw(%GGx}4F5+;%yDa*ha4J*t#QUXL#BSwytfaDId zsbnl0wy}r8Mo#CHst%avz+xNcXO*c+>0AL-oj=wsRUNGURWR4t@(~3Na@H_{t3c6J zggG4ZGjMYiFtR*F^YRRp_FwYCXMg@^H%!+lzUk)Y#UC95U+GhC} zP-V;!BDAQpwlyWr(UI+0pB|ss&UeA}X=vV|iS11JxMb11{fX^{Z*ob@#$YcFeb;5L zX{Rb7?UpE?X%2kb<0X(AitV`p7EOAIidkbb~}oWML&$ zS(}96{9wu1TB6XOyIYkB8a}opZ7ow+jy;+se8@)iPP;{|ahwCTs|nct;d*s3<=*O5%n$ z=Fr1@ji*LJb>;XzE62$imZI?yyP0?Kb7NMk)hauA_daH4@Cdb`cgfeCrLT0f23#Z~ zz0r`?e%P~}_X7b2&-;c|GVUe#)Plfz0>p zbv+QQ8~}@IP%&!aP_{Wc&=lM;?6*QVz!QV!PY1|s;N1>u1oEH5X?meQ0>zzZ(ko!C3r z3;4$l3TXcXPy(F73`n+b0t-TP2e_0L+}q#GOLf)CRcfJB@k`-%3@YG7_6y`DuTHni z-_3s6I#$ne%v-$syMN+6@BD}{=&R=~aPx%{DknN~9(a$UDeA1u2^_2|AmZJe2QG+K zSQ+qIH1`#YR+4rV9O*7v$l$8&0i+xfeS+~ zUm+$~^NKldQ3!fLHE!kD_|_Hl70XhcN`fFEt;F#38LYHjyy+@6aIS>v$%|6Al z@-SA_R-NY!)gP7%{!q#A;$sXLX@sjIV4eJewsAyqa>~aSle09%{>53%I<-crybyJ& zCjm{7>gj<((*q4;QHK$7RFjxgT_@SGW_qH}N-r}cd%(z?>H*ji6$o7uE=P51v1WRv z0dwtG48Ws`)L8qLNYL&vnf1gFmWhH$yJmW-&#)2%xaP)(5u}GTJ=XY@79gp_cL&3q zfI{>0U%_0rz}D)T@Wy(8*p!aLHv*OC69fV-IL}C7rJFF^=#HDzkRrZ$0p1eGJwKB9x5M16FsW`Yw}C!|1Z6 zP#Il#5tY%G?J)W+j+D$SN4=B=N2$2ebbf(pW~V^_dx4|G0olsKhpCug;3b{p^fc3V zdOnc&H2HEQ#!Z)l>&>uAIz1Jvas*b|;z;2Ht?~V$1_XiX_m}PX{S|i_zaN|tz%=vm z5>Ukj&a?jwrlE~yJ{gh6C&nK|CUq+Px144G;e%C#%_Y^4-MnN0(eP7SKrvBl8gk@a)7J26 zcJk8W4Mzvvyu2sqmX(TH^+bsN&?n+-1L%m{JpfM+H4%(CWOj?rz-+^`6Y{Hj;zXOL zOf09ZiGDQrb}A`dI@Q@Fd+BFitU2@vy%Jk>o+`=ojGv(o4VNd*DA>!JvnzJM&%Q#t zlSp>>a;>CTSd#=r5y%nw|{pF?a$j`n3+py$#(a&W=o&D_7-kWe7-EB)SRd?Icd(-nA zUB=%V2vmJ{uVyc@bqb|fC^-d^YRQ=-=^hF%1YRI4Q#wGrw)%j|i)fmQ=*3lD$aOat ziPsv}PdD(C)K%jYGhdXQLUr=;&mpgIa;l_zD4ic(;7MLw(_BO^u1eiqcXN?=t#SQq zE~=skbPLs9EVq*vS*Pyw@hZ+;onV8XnA9a4L#Z%_V<@S+vWcb8rWEz(J6H_eLg^ol zp6pfbNq*hWf8O%PKdg9?+ArXqq<0T&3JE>ni*4%yeH9nP5Ma*bV`qn_)NGeg;uS&6 z#1gZJzFbi0(kzA`Ev*X%t^Ed^vyC7n?NTnd|Dbur0EUb~;1*55CjoyxC zMLst!7)>Q>)QlQIzMd`^O(mFUtS)DqT0F@jS-MKrOQG(_U%P79h_;d~sgdd*sA|~Q zl)e&lfwbR8N??BW)L62U!7SC;=?S@HY61Zqsy%zYKhXq&U!nbxBsEpmEaL=Lov`;- zXXo4Qk}*%9gF$G|UfrVYL6dDrOqp+Nix4f9?-AtCbOVzC*Upl?At|1apB*$c>g^Bp z5=jTPOQMrpr^{_YhFmw*O!F|{m#dGkh_vdFIVveh>YXlAyJ6bosGimlS+Owbg=L?Y z2qQ)qnP{L#fiKWcW&hBd?GlMG`9$%f^P%A=&Izl^)Q?o@nuJxIaCzfn;wbsEe+OGg z{}p4{Y~omi8DR=5V^>32;oU{?626V>Trgt&cy5-%)@7>a1H23sM%7L zsBCK=P0Ry~Y(PKeV~?^ukO{&o9W|xH3+G?()Y}3g{lYzLKl$|Af+|8t9d4BM)K@dzbHw!=_dR(+v9)dK>MR0>+iX?Ft8*6b1L7HV3#I#LzE0Spv z?v)tP5TsdlL4YL*r-hG(GQC#xLy!?p5nQiWCx9TGyJkf(%Pxs)1jRc_>S#-moOJ$u z#4LxTl#nR7v-#O^a*0A+5~UFxKMCS`Bxq^sU2?JkSG#R75%7?1$JNYMxLSil>Yf}7 z6)Rk-6(#5D_SXM~jg3#f&;Q1r_~iTiZ|sRrdg(RWq`$C+IYE`x0JV2uf|eOqhqxK7 zZz&t7sME*>0-FYQ$;hU`q@krEAHYW_Wm5&}7B&w7Z#Bj7k?JeFgI^0V&FN8#J+K#O z)(4JSrY%n$or5B($B%vMC;j#DR>48*|&a@2A( z4x)PW@aFiKsk&A0*qEt=O}c$#%oNg3gi-j}j|NxbkhIXMf2rrf|=%l zqbt>Dp`1n6xn|a#D@z@XW7YMzp)gBVy2`|qt7-omN_yxMrBb-%I@W6v(COaKt%99kkw$E9YaHiR!K-N%{0%?wV}I!bV^zQ?#i7AZ!6cqW z*7&Q`MUJtt(So^P6wU_N*m9K#q0hx^Y`F>;WwQY`wp?S1s@VV=8yyV4##A(G+1N${ z8yXWaGUbCC%%OkHVT{T-jae1TsD;EXH)#I%B6H^Z%SAMTdH+Q+aR?<+y~Yir|Am2^ zXHi%1W+_AFP>fz_gklnzr%i!c6<`CRaS*-PA3j zr8Ra_{g|_ck3h!p|M@*{J0C9udKVi9IMlr-YVkb4iY~T+$x`OPHJZIY`Ixd`g4Q{kCh39o1^KAH224JJtrWh;t!u zE(E?H0RbzAPOv?5*7PaEhoLJpbe=OC@to#B^vN$kVbUsmCAl$B;^X5ty%6}He$?l^ z`}?C1sCK7^&vCPi&K)!`)yZKN0^KZwT9iXAfiv&Gk=6)ivuxxb5M1|15mjaxaiip= zVV-(qn{}pptBh}$5p!ryU1z$T6b}tcZM%Av>Bgi}Ypz|3U~aC>X1S2!p%vNU^swI& zZusvLq*&C{Eko zD0fODQgTu}WV~x^(q#8RE%kL|y3Lo!Y%8AW)|0-1q<91V#7xif!IJ5o0AzXq-YcU^ZtW0A{6ld%@YOTAzRSC+O9@~N9R}v-Q@@m6C{RPVHVKvS{?*E0xQx~P;=N8 zun=1y$OX6o^oVGq5Ts%+f&hriXzx%xhV<3tCG=c8wN^vPSO9) zxkYH?S3b9B&+UkZ+{-Oa)iKkSZyj*zn4kZSum19H8>?afflbG3xJ4=VGVL*JQ+t^( zaJTKNp^oti0kBcg%hb026`4-(&_n66&7Y1<*&WMwms)X^WYk?` zqZ|dPi|`)++q^(0Bi`uDu1oXff*TaB)lc1YHgeW>nTza#yY+%xEzl9j(raiRf>e(# zxLX~5H!lJSdq%H2*THf`66Vw6AG66W#mCtnpdAG4MC(V zG^yY#j_NUe-&0kfC>>QalY4_M)Kj_+N(7-p^rX)Cl?wtP6QA0ZW6#hh(@I_laPQdv z&R+6E;U(MiIid%8Uh>@Yb8|gE({53#s^<#))nLv(@yEaTw|sn}rx$`BPxTv9PvS5G zKfT6QPU52#co($UGML{CdRXP-~lh)d}V1`B&LlJ^2Jozb>;&zH9Htc~)$Aj6R zYNAqhuyj1%_BdY1O46phxe=Nu%3Ysl^a117-9>1^{;Az1V0!jw&rZV2&%ga8ttcOv zF+Qd16jQ_}be%$KYC3Y-Et;K#>%jwj-24B-k9l1<30fa^C&A0umgRzV!Q2-uphO{g z7!R<7$&%nX1gTAY#lQnB*D#wk!hmYS1rzX3r+;T)8=V9fJUUH}s>TI@G^9}_hxSei zQMt@dC&2~F@bArH4U_C}{b5nBB11(fAy`vNQ zs?N89MmXSq?QdS;0RoLMG@`G%J(xfwiQ4{%zR6C4+SH3vo5ei{3tY`0rvcVAvd55w z&07$eDTDB`$H33BRP+C)$iyL(KAOJNKI1T%vyX5EK7zl5SLGwvdb5x4@cIbTZqe)` zT#t|NqaXE=@A~$Dmgvps`v?oxAQ`J_q?`?PUzK+LbHc-MH7 zZEv!YdFoj`I?t+z4HtP>x3maMt(n<&t_G@zYNV^|{1h`fMJ6t0*wms3UMN0RXGODbB zs9Ygl{4?f|#DD~V98+^avePgiISmmg?X88!*0AEAuL$-bG!5ZxMhs=s8$*yv%mst5 zXakD&=G0VTMR3t!U>f2V+#J&+u_Be23kI>#8Z&^J75OX{!9r{VdfoP0GthBCgid>e z1*j6Pz}M8QC`!yF@tBe06_S7+Ye;0fn2#iOrATg9OTn%H<%8;H{gX?eI`Uwn#C5g8Y}+#9#Vw%Ak-m}pB3X1 zv#qCOM+GGx%c=43?B*S8B3F94I<+Tyj!hok*ksx*YE9By4-J;p9Ur)~Q?Vt9czyB+uE~z)8XV+JIGU|(67u0Gt9g^-TmV*Nt)vn9P>MVFE zyN*&Hqi~!WtqKgT^NJE0*{QKtkvW)}(?bwdc72EciN)-YL2Xvdc72yTg?;M)WV^n{ zpgFay1D--<*Effi0;djOfbMw!4A<>#fCq&M$a0k!g55ekm0hE>pI`qD}L|}fMnhK`>(JiE-xmq*dpbdJX)$v-OPrCWt6~kk< z65T6WIo`pYtlh{f@;}s%xD5BQc_2C+#x^eQz)%63t6&>r(nH-Ha_G53sch@Hwz4{$ zyUp~>P3}N*0V&zgLq;{hK2ocv1^Yr^9LWX-`=>ye(A9yil5{+vRn@RHnMRj$uYA)Z zJAkGUBsbFWNDrLSWg?VkX*uaBDai)>T1Z@;o@|(A@p`%28Bu+Mh-y_uBYxxTlw6Nf z^6l^YGym4#i$bWv+r5ThVD}hqv_q?>mMv`;0(o&ttmg)aZ<0nMhpic{h%df5^w6r=kH zL2*i45W`{x1GQg*oV58e;*^*mFwe|$pfa%D99ltjN?Z~p6PL6szMp&4+(sbZ2O!11 z$m6H{e4E5qtJaJCxk~=8$@R---p|jMm5JlH%5nDWxeR(*-9UWSFs1647E_8%R29;& zqVxg-q@4Cn?~k;HctnTy%>9plr6TuSc+u#3RKl_eR^|oA)C5c*VOuYf=Kwo`G zYi0FzR2gOUw%sg2-vQNuB9YB3aD`yGRMQ-L`1G10U7{PmQASz4VIdu1y(3+5Bbe2j zwpCDTZ8&Z`>*|wq5#L``C`y_rZ?^oy{p%w2ehoX!Cq`A!f-UJ(K^R$Fzl@ECg=_^p2ncouNo!o>VeQdxtx64U zPP<}OLAyOUiKqvVU^v#I4VMmeAqpPAe_kmJE9aQ5!hB|OO+XNZ#MWKRDhU1(k`AJR zfK0DeoTP%1syT)U6S1r_!uja!qFA$AHdUeB$k@iF(vg!2vl4n`l+dH5lYaT_U;gVp zB~sBN@K?6Tv|v>_jfPwU0jBWk0NOIvA_JVL)S}s^so|lmfjE*n$yY2m+5LXg>!o4T z=Yqz}#IDz!wD4v?E!`p)3_vYNk5nDTsi~7(@UUMqua11|er0&M5M^Zy~Y7XF60K@2MB}v*omxLx}Ihc|{QBq41-RP1VG#cxgfR1U*nbypA zXTJhFmVO}&A8*f2uhrMY#CmU$S($A~YgYG%jYN8#dyAo6a#V4vCbby$JYw0TX8WMD zo}O-Wv@SZ-Y%6=tof#-ja`~y{*^*pjF5>bi(Ql~1O)n_lnKJVaO*LG_nQ_SfXt%c8438fse z5W>vQgR|Fy~1H7rhbl*(QNF3RO|8$l`3<(VKmSqvIbdpJ5Fo94+T&f%-Kp zXYQ8GKEu&TjoD{7KB%#pesOeAWA+)YVo+l-uSY((*;9j>lgIWir&3<1&AyS4%0XlH zH-}X70F2WpHzb>!GCPv?jLeP@a-3eLou=A*3FO)O&er#XW7_=}|K3|a{N-aj#l{1n zJjFDDndFt$xA5B{c!g1gAb5%u_Tvni%7yNN=yf788)U&9y26?jX?pX?$N)7jldq~Z^W8bD)~pf>PjR0qmwZDkh{B|lUY(sDl1mnVAJ{!5>69e}GgTy43v#XTI(OUpmmkeR(3erKCGrot zy|g~KehNbOUlmWWu2yvz%Rikw5KNB(lFdFuH*@45hCU7FC6Z^mT**-}WOD+LYGB&=Af%!o>*1 zAcEv#Y{AFkV;W+$X!|iP#?+>S3BRK83=IfvPle~W+A{A08d92YGp<%j>waprnt=a; zYO!5W>RKjN_3GXo>sXceqrepvBt_dpT zc1=E`{>1?xCwBx$CSVTR-@Jk|@6ewm773sMDNn{vG^%S=FBzAzZd_rTQqkm2mo%j7 z^sGbJVA;b_i*lH48QRwaUjI9^a{Qa=(<71Q+@>_QDb+&+UwYxm^B3>Ebnp4|yRri= zsEp9%n{OWviwiXW@UhQga-JQ_35Id3P$ZU_d)T@=w<$4uaQehc+QLV9%JIG5{J;I` z9~zA`6^_P8vy=H#*-fuvnZpts%#1W)jMT=M%KwoA!x44Jlj9hOF&bvP1psp;IBMOf_QQ7EAA+G25jP~|A8PAy zWOq8ljpR$cI@$icb?0!F`EucSEaCIUC=m5o!bOG`v4nq7C@?@UR54hnmr==QAG+7t z3n*~a)S?Yi>3BXV;gX|N2m+)yJsFg$rWS36O2_lLNXO%Pb5jdAFTfX^zpuD`>3D9( z@$~g#OMe{CmA>&YX?gLt;SRR&Tb9Ws5C(b3>6z4N4*i@-o!(Ay<@CVQZc!U^&2`MH zsbl`q$9>gb{>8C6W=sJdfHcv*dBO82>o4t_3udH}!L4mqgMG581kjq>KJ_l`o3FTq z9+Li)A&y?^F&8{a(MyHpf=3y8a~<S0zU<{+`I^_vu#<{`UZW5)>lYA z!#qgnH1>^_h?(zh)DDrQ05`{t2~> zU>8ht+>|3AuP8Ust`S43ZI0U#HNoc8l0-7WGRF<2HUnzJHBtflsf!9^*G>ELXsXG7 zpEAb{(2n-oRJB8JMG`}c6x|Zk#m!Y#R7i!}8LacY>Z)e-{0r?D7z!>`Mz*ZfKYBgK z4dquzk}q-#ot-5|6|kzktE)1qQeD3aFs-h2{VyW6S^GW=+Sgvx5%t*1#7@<|)0Q97 zzQ6d_-tcAL85uD3lwA9|-vq!PXEqRix3E!Bw#&4y3+@Y+5N=cJU}gU*VF{N;Z5J!% z3Qu4O2Wm#y{ViCK4cKN{A2hqa+k*V-_R?(-uHBp8l-=Lb)VJ*bZW9$0*I2o~1y6@4 zp>`GDY9s!DYhCbk7>3>cl9l@#c)IPuAS-^Em(!H0?gIQK4rs|bVV;KS z*Ab63C%}rYJLuISr==@y1FxpnfSi_n$g{DhtQ;qz^zoiM)PZ1TN?A%ZX0GdqH&E4e zDk^nYxRVM&4?GzIY;IK{>eNA*xZYd{BgaBjh#R4j7dxFQS@QElB}>L^`V)AEyz?oE zg&N(Zh~jO%Q}ySZ#=5yQ*0fvH+Mv0Tbv2c&5C4iU{#}16qA0C_xE=RmNf~s>Ay!Q2 z;LN^yL+)82r>R=n{NMj8L**N_!)u*%E*O$RfsK(L`oJ z+VQet8FUGTQ;j8T7o3t@$%;XjOQJ;>N`xToxUU#RG)!P=8x|#sa>=NoEj8lF7g<%Z zN*N7PHjPO?PF_{AN*!&3FjMn)NiyG^7<9QpT-^LbqMiLZ4`t9LFq>R6u5+?)pcXjl zUb;Qt9;8H7>l^4XCse@nfZ?px6#G9#=0YFAqbL76M^TTH3a$d%JjkHSJDS5vb+7bZ zqwXact{Ws(;d7Ws0AdO z+J5e=nU5;RN;3m6AlaZn-B@D`Hta3}#$ZS{=3eO|x)D(uvGJ}&1GjJh;J(tLkj$F7 z;1)o&E&N&8)Kr=|a0_du*|KKFn%Qy&Zeax!V&as}PUnAD*jqL)H^^K10dQc{Br2k;^OK{7^t!fG+Pz6XMr!UOy6x{K> zPMh-cc&vBR{3_S4t8cyY3xE6Vz&q>gD`!BqNmv~1$J8`w_x2#_~sm~jN)CEOwhx)0UdV$W-=G44%E*Nte*BeRm=WFCWbwSJ= zWH%4G(e_&z%a8?mw_PyCEuz^<6_)M7x2CQxf*pa=(7?Mbp1bB6#fx@HfVWu(fh5$r zdZ)3Zc+oCd7_LyaHO8(aNnP!dhs_F2rChUHNXVS=KF(DQ)oYxZ*-7u-RkVs4eD03Xj~KB((Ri04&k@qs3{~-RvYOmuGY-A zXn!EfD@*1i$gb8LwG`C%W1hb5Z-8#{kG;wE54p+EZ!$bAvaMvpAw^!kQlgT8OI8C` zqE@29d=XlgvulZZ#2At%&bq~|$0SFVwJsmGP_qj4isR{hpQ5!_!h4mDhZU)y>JX?e znA_?6l2J^@!y~WA7FT@X^YwE(9Z!!eZOYG6tOkCq4him!u-YxBv%1=gJK}<0x`r}s z`5_Pg`~KiBeeX6rd`*OT?#0q#AWc{(Cq2lHY_g2)YHBE;VIUila2@o@4t!30#i)3o zjlYHGP%F702I;D%8{#FPQYwO|OpEgj<6x~)oAgblecU|lV%vXs-Y9oB8^&2C%92yushiP7YUNN zi1Nr5QKq`x_HK>n=OG39YYuPuhp&kuw6fm2+ie{9w{46kX|cQBJQ0Ba8RhgA9v~Db z6a;`N>P_1k(6m&bzG7kKhoJesAxH)4f`yqM16IKrLt_|%RG=r;?9j$Ma!H$D^fim!fmFI97g|5a;CMYd?)`g1MuTEsm9+CS8U3_}WhsyP7Vi z_S0ZX(RG-zw6gmZVdkIfxHnhFH9d5q8lUU9S5wFRkABy!AN+|lAwqzY9!ogflXI|O z6o7_>FRY%w?Xp;+2qJ5Pi~!IgBWT!zx90Em$cBgm94m?`Gz4b}Ismfj!Dhu2n&45` zte8R*JPMl?Q|PBY2Ah?D)C7;hW<}@u?{geCEBemX++K{EJ;@Ghv|!cKbG5}92CSm9 zZ!}D>z~NLxDxHA*-%vP__w)0RO#B-qjt}f=n*M3xj+@vvMUOWBliKtpr60Kq+UQek zVlU$_vn-QM?%aNcAOFXHCUPfQa&vv>1vul0#Lu_@{9pWU+hzLB1rIy)2Twu-zH>pK)XDP&ckHm~kyumT zx!@k0i;|^qL0A#{%or{UocP?1;9jcDTs2D4XzH>=DIL5RM z$V{)2$p^Ve7OL&#s@I}kRYwcgHf9j@26*pUaloV~DZFL%c4lD<*C zKd^6@l#I;HVWpg#`HqYGUDjMI_`6e*CE{Fh9o68fwTC(ibk7AvRNa}uF!nE|xX*Ly zM|Pbb?J`giN5w?;hx03@=%M8_}uC9#9 zb?4P_y?8~Unu@%7Wt&FkB1<@zbh|h$q1%yl-{*KMz2hiCq`lK~=JxPkm)@VY{E*)N zx!?X@{i@fEPaOiOgXmPyG1jlFc76G~edst@oqB({?IARn@^L|Ub4*-Q@3+Hh>ZwD4 zSKREZI%sP^OJ}{m*@1FauaWG(S*_?KG(R;b$7<{AVrMj2v0~QyJ0Jn2@oKZs5tJ9{ z{jJV_!yB;ZgD)$+kC{zcY26=y*laGc!7EB%vWz^kF>G;_mEPZ=fTQ}9EL`oH85M){ zyOEQilT0hEY0Z3lkh%}Xo8V6&qYt*8d?Zc`43cim24J|zM ziBiey9>BTGU0+v-SA64Rf|BuP_71iXk~lz7(2Vnxp_aMwkzk*+TRvhwTl+TW!mcJ4 z_UXUyyFd3|MQo^v$+j$HFdm|@7B>7YDk)W22!nf2VTK^==CQKS_SpQ@sx0J_0d|OI zG%Sr%OD@bSQpX0h=CTl=NH&$=&47qogEacXfZ{nT0v<4|dE zOB;7CWaa=)dBHfaX5~2U&8+uA0=E0`zOyM4)KYGaD4I={cr3U`b4SJf$5+D{|oRy zld{tkT#6$RSfX^AQf4xkKH@OMRVQ^YqIm&vV;s-8^px%f?NCU^BQ8B94kSJ+$HByV zRdv4+e3r!35l8CJQ{qTw91oYt5$Yp8V(c!TBb>B+YfITd@g1M@$*=$AfCnhfz;lES zAPR~m0H~1{(OBI+ZrNIm0%LD2+^Q;RdA9Vmi@ zqN#H}58H*Hl6JragQ95(ZQOhr+5r~~ilz>sQzJ+_;DU*wX#fkw_sb>e^Jtuk5>E>& ztMFAp(S*EX`@L&?)qaK6bU}Ba^{}~2$r1Y5gQ97xqssG-E;&M%42mX1$wWqkbeyaiXX$)vJ#-MbjKE-hlxC&>|`DYiMsfy+~tE_NfYZ21nc+IAYo@YK_<&5ME6{ z_}(A>g#Ye5905gadqC)Y9eXr+OKA_CB4QGAzXTlt7ev&?(h&keWKY|!8#)3m2up+! zaS%kq2!^rIyRuy{+jIn6(2~Y1(5|gc8G>{KTo66(D3vkVLHCVDWg$pMpa`y4q+q0= zG8X1j(-A0wTF~6!8V@q*CkxUMa6#)eLQsS#ul?2%5W3_6_FYEaBDn3h(y& z{zAj6WnE??_cEh23s#0Vyn`i%2R7%H2;6>G@bS5O0E*7mGm!|qNVP**srvw) z=)o)azPccq9Et59@DNx=(H6D~YM0v71%dd38_yNNZLUf(1R2J-psh$026R@lBDHA| zgjP1VMu*96RFbhGwW$jNuTJ81B2~P9KLn{wU2wBUtf3&iy{V}XOn4}8*B>0sw=*pj zf))0qa0d|jqS`b`X3XP$wZqrN4}@ZrWnEozlI9c5K{Zl7sNOJi;Qgshpn4RvpQ|MQ zt2>7Af3nZ#!|(w6hi3;7+`gj!9a4JnZrU^KhcP?P9ZnnqYJefudoyDcMAH=+9YedQkXe_MYq*YmBw=}!Tr94{MKl{V5}OMvxVu=&*ZL!xJm7p#A9;MCW1?PM&&ws||4t-uRVYrIiC zrds0|(pl7kiVZ8Y&>KngRJtfvO#Zi7R5pE|{~eNvf1^}ii9!FDWDGhta#a@9rjY@a zEy@m$enr!vj!e|8Wjpyf4SxF6_D{uIM-_v#dT2#SttM^VyvNIdUYvA1AjYSVTSo zp!CX^f=kf?l71h+urUQRzP003_z=bvWsv%7j~Y{&oMNfU2eF;!rv?S(aJP2+t4kpu zun!q_t|oejTt94Le9Ehq%Z}a6Crb2fX)bKcwsf|oG6L%S8Jjiv(hEG|_}Pve)AALUv6lxl9v&!1)FN9WIdYwQ-$hRc1S zzr~NZTg79pMtt>e5f9*n;G*Nb=JeXa20Uao;-Y8(!&hg->%vA3RqF!^<>Z;+p z08yYjhpGV3Krg=#*#b7^P7ksWmU8wF-o`tek;@D6bF-*yPLYw5o!5{8e+L~};M)LG z&ya!{QZT00sgXbEEw5>%3+$PX#-{0dB}QYbxh>Z7k+Zy?^X%(B?kA%NrZ?ka%R7L) z%SG$3yaSxv8B$OzFTjDlI(W9cn4`(E8n6dw7t`8SShZ|<5puGx3=q8~rf)XpFI(OX z#=4-QN5Q?&bY$z7Ew6>U6hwzvvtqWqVaqqGp1)CB-eSyARctS^f)s@1J)J~a&Ef@? zx0pbFP@DED#PYeJoel3pS_7M=)qCmV>#zF1```JIpMTF-sBu7~A;o-#8ZGRoQg}WI zfZC}={!|KYzlCam&yDh$jEBrxr&4%j62L$Nkrmz^v_(=TM{Zx*e)5W0;l;>+b=RAJ zkQE+^Zib;+FM3GqO5v4>0V}0M%BQXrUa9&6=Da(Kp^AwCOJKbkZChceVqySjvbE_p zOoAX&Z4*!oXX3wn_)_8n`Jk%Rl7<7xL*1XLhKjz8xI=|rsZyNk{NXsp)vEtR95aKc zUd14)xf*wK)wpREZ;oTG2O9mK|J@c0R$DB*x z4xU&TG};BN8V4A{5@xm$q*-^ty>>CPc?rx3jUdgs3j(4CZVH}y*9mMSpPFXf1uOx>?t;L9gPVe=8bn6g z#T|{eMwt58tr9ZQLH&RBbz*#WfVr$FNk_* zlFI&!c{rYPy@H-EU2!Vug-Y-47gVPGgJ00@JY{%g-}x?sFX@jRt(msWvz+>aTbSTH zEpu#3V*%oa8>O)jO2WVok;bB;+8r4a)Zu#Z&Ig_1o`ZqX7lr_oMBt}nI{-(*#G5wd z=P9spKs2HCPRAeF8$FU1ymSCLZTZ$(6E=SPtNzIEe0NYL>O!rhDFy@_gHnu%*Hmj& zcK+MJ_zm_xrFpPjR;zNsurxqhw#uZ^WW~~8L{F>9vZcY^jnt|R-BxHF^EFm_4A5>V zlO51n(_Uj%W5AayX9aj7g*_;*n6;Qu0^zA!tfloR3s#l}ow*#0N1L>^SxE8wRF($c z0Svj6?3U7eRIMowrD<@K+?3H55wrkTD@lRRnIuk1%0yZPGFvtpJX;#0JO=z9iM^gx z$oGed0x|Gdy!W%$mIlm@>IwiH)3dVG9m1q={7Se^KzZtiW7H}{9&jN#Rdr@fiLN^K z!aku`BAWv-Al#Mz)78CFXIsyy%GR@-Izma0GJ{|n&&fF>;vV|MtLhtq3`CvzkodqS zL$H#UJq&?thvq-ZhXnSEP6YmAevwF+8Q7B%w9-b0H$N!X_|Cg6pZAknFBR zV7x#hV0-rLu0&vr@Vpmn=i5^PW4J&vEucDkc2@uvvcMRjY0t6A{$vEk%Y{wMjAujH z8{MrcfiZsf)}a)3cK?+&B`{v#iEF=mb&otS1`C-mg~vAY z92n0Y&+PHsd+r%S0YA!M;&1te$N!D@MulISUOYi#Eii~`d_)njJ#F(UZK?~tDjIW^ zoXf$+9DFIdZx?mBa_F`8^<$Q5-9@9N0RPs}<@x}PIp~L_$Kz{)`kEs;Scf15czu;p z3UEmbg(5@?-^cuz_&%eyoEAH!u2X_L{p)bYthfOciE}yx<$84!(zuDCw1Nr^_50}Z zTkjIu<}RU}A}QZsXnFZJN*qsJ%yXbmqoNi{+my)=57=|qNL|o(wx<)h3jDf21(wuB zy$Qs`rFc?o`8kvE@UovxyG3&*<9bT`fB#$m!Qc7LfGX?FXzlvs8HGmpz-HDp${@8h zO0PT6ziaK(0VG#K&@e_ddj&=mV)6y-8-iAu$B+j`F;KS02T8JW86LUD+h3>Vx9)tjui7SoznWR&cJ;PnAGT5Ry%MySV%lF4w%f*Qn# zE}JgllA|_QZJ@=|#heX);J@-ewT`{|fi5+Kl^e2-Dt&4N!7su84y_#jMkyFyM;_Z> zBy45^3l3iEZat1Vz^j+QVk!dJUWO5K-P!^ckYE10Km1AGKB~u1`qP>#5{a#P%JB(k z5!oQYS*x15&FU%ZF(8$QTS1L*iB7^s;nCkIZ~ieRv#iICGMQyPcG$1kXGzVtv1+*M zl3I^#eU#5;xi;KY2xdKYl%TBEV;3`&ouCm^ESO6cfr440n%1mXm6bLamJB5?*vNhv zB$t&i;tZscf&}5j?D{Gzyk$Yb02|pqd%in?f&refheOG?2gLJ+SQ#on<+2i3G7YhU zU$edA6$)mv!Vpq^cbKmR@rVRdzC*^TPgD^Atkrja098*_shWMX1Qepz=EsH#k56h; zh7d4%-42PgEkoPH@r^NXqu_t%$zYFP>142n3kfsTRG4pVhztn0J=zeX z&c`3iLkKkAP63hkKX9h$>0(0twHoSQRYf7@%Z4 zkj6Q**I2~>psFHoJQ3#0-nXfc@VoumF!Kup4$aA{7yu(MG!wl??z$&AaCeYBTCAms zJnqh@Z%wV_3OGI=L72O;3*nwWokc=ySo03cq7WO}{Crzs(1(2KM%6Z(JjZd(J2z54 z42{&&+}NHnozYV>dg_A%J@w_cUi!w@Mzp3g-JKB1CcLwMTB{SFKS9lt0Zt5m7qg*L zrf+W-g!<4{gCIpQKOrbay$I4RqToj1(0N5M>Mn>3m$W)zLcJA6Vns3PCb-!ybu`Hq zBrde9c|}PZncyDm`~XGKpU_#ySx}6+3F3^XnIgC$DU4#q;?!c)U2u=ND2%KC$3mxa zeQGu8CW&iQq|@%ezTQSs5_={IzDU5Yxh9APH13U)t5Nr}qn?e+Mgs3Bm+K*CiLjJb8>{O)s(K@*q}`58^>&d4Mb&gIT@_t4JtH#gnwFr!Go z0jYq|Li`U2pk)9tKvIyGRX~$83h1uVqu0AF2b^ z1GvEs;j6AcoMmX`_%}1t4s8wOJ!a&r<<`mn49 zviVo%m}kFb)dv*ragBP_=JHfA&*}Ii=RGWX9EwknC8)}2*4ESZuv|-{z29Bg%sDzjFhrH=qFmRA5HDi7q=6{L~kc;icjN+(>2HpIf`L-Nlb#%k(K)`5y(c8hTSEt zQkBunQkp2`-z|pSC0WvC{SU)$6z z`^uUsxnL>m2LY|8hO+kE?@$LQ7}0>5&f2#teST5iuP{vz7478es5A%4+uxkleSIl4Bzs?M{zK^yYT zWX*wJRNWzIV<2l{+-Peh@-0GUla2n^q`s5(8FBQ{K#^Kpb!o5@bUS9X>vj_^%0E8L zhN2jlFcd0;fYCm@P&f^`CK6`$Ay*@+@NLvE>cOU3jdrr8D)p?y1p-J)ywTdML^w-p zOLSFh?Q_@yYoz%1S?j}Uozp=HEs|iR(($3^&uQf+Mlr00bmogupxC@ok0pEOo;<7Z z_TTimzjnerW2>a#JY!WiL5QMWaz@h0l5jeOE?5X-@M3#|kExYh@MP^cm68iaCB?u{ zN9v>^c=<~2Q$roaXZK@c2Gn&^9Y?jCYLuZ4EBehf&Tw{dL#fFp-S1q@mKPjQmy`YK zI2o>Tx)yt$!FV2JOoF;lVhYKI>pRag9+=-a&tUWshVu-@cfIpPDZrM|VpA~6)skh2 z5C8i9pZ|#{OH{zPW%KP1YgB-cQirCo%I`H~8IhGF{=LOlkn}XN%2^fxz)jG)n~@=~ z>Sc{+Ol^GzeHcLqCmYiZWo}bmk;c>o4 ze>=wxX?&^dKCBRAHG)-U%bSp)%DUg!Ob`oO5^x_dHzvzgOfuESuGoAfP(<65rU&h) z*)1lnd~kCsf5Ca?7y7x*-|N5S1eE!U#r_cVffBe4J z2IP<84*=_~@pKCa!*K;>EHvH@{;w9l*;l6VT=0NYK7a)X?y--&(ILny>4Lk>8e@xq zD}bi6)vCx4q{b_Pm|i3>4Kf4P_%Q^j@m#Q5V`zb_xI;dnIW#q%3$m{g4h^6JAWeIY z)Oapv72BA#ix~%+qK`vU;}t=SwGutn0jg2e7=jW$xFj%lnW5F#jjFl=co8*2z0&{Sdg+8>l&>CIcAoO~4|)7RC^G$W zNHyvqX1dd2yOze#6)yj%xIX6c<^mWtwLGUD<#y;!_B@a1up8s@)0Q7nk^kxb|NH}A znz{!I#n5qiBWWcz=yHuQ*@UcunkY`8vmFZ?6eH`XEV7^&nw8wG-*C{UcEIE5w{Bu}`Tiu#72IE5y-gQSA!QbbW7TAV^Zv}pbh=Jf!~Qtb==W zypK1?&)Rc*t{s@GQyyb=it5#oTh!XpnWc3Zme!wq^Jn~qj}H2^rY1aO^F+lSxoC+= zkkq&IzkOvPn+sO?8TCg(kp74ZmSS}@^|#q{A(%5hsH5aeC3uuaWb4I-h9J$IuZYP* zXl5dUh;kZ1T00lCb3_)?MkVF$1oTIWAVv&|^MK*SHm*DlO@G7%(Vs@U3ZnPF5ei&z z)P4@fMrtHS^V2u6m=Q0BjWg6eisaNaBakGe_K4mr*N;irmI;D4?5nZMK$rer%|H;qAuuLV9;N}Y=VOGDlI z25pu3Pb^-?`6d3;Y5tXXtLb!vZ52?GL~-XsN%owMDBoV3G~36=XCJ5CqS-#)PLJH* z^Xk9zyRO1Msz2Gr>m7O$Xu%_j3QxxCE94x6^@f?WZA6&kb+F@DLdi-mWNDhvQIa?o zjiPT3f>4zHAYL~Xv&U|4dSew1Vq&V;#|}ETMF4U%Xz39~!iuKm=sL&i$Mw<*D3M2L zp@JN*A5oMfKL+eznG&2=tmE|^E|5gGph%yr-ZXF+r9_8qbMQ$vS1s%SP}!PZ-JYDw zQIk9svUFqWf3Gv8{yqN=$;7`=BIR%f0#h-x$?%F@O?F6uiDXAx!wLGuV>O&@!yFjS zvl`~$e0RyyctUbGlcJv4@J`q2moFchbc<%gJN*`|CRKPTd*bS?Klyt;C$T5wX0(R) zu-tHt90}~F+a{dsU62j$zL?2@mIRgI)sbs3lV~~LTEA>~t#c6sF-@*D<=OC}f4oty z(XgQv%!U_DpV>BHOPWO^IBNUUJ`Ht}cf&^uGGz3^#>l5^l42JpE$9Nfst1r|=JX8E z9*BE2iw>?lBoqI}RZ^tsh^+qwX<@mj>RyCBnC)Fdd1hdk12x2b72~;QANlyCz^pCI zk^ERbo;)1MzH&S5FNHj{eXbbK2pp?A9obI%<9svYnQ`wqajN)ZX*sz%5od}qK0nU9 zAr3pa((^{Dnk22i{B2+I2|pZaMuV*u{|X}WKuh?{crGoCk(qC1JiO_R8UHGX+5wZO zReLyORL{V`geO)h;t5>sEMf>jJ~_6<-fd`PEP@+L1%_b8zjlS6432{a0wGx8Uxl8$ z24$l`)H41Rv#l_BvlW!*$xjmBYh4EzEJj4|V~=XCqApZ{SZe92?s`^)_`&~EWt8(t zgL=kv)|mwyp^4&?AK3rKH$$H&by|bXgy-{I{*#1fbPFbS=Fi22@yAkPxg$S-|b3&JE2@gdc#Gos;EM6 zLZuiw3sS?mAlxD-Uy`y`s4Riv%7WBzE(lHpTOUJkeYCbRacF8d7X;&W4P1gN66vW| z6bXt*T0WVMnsrY?y?bH*S#`@-jJS!)$33$v2h%8UFqt(%MAGpaRJSq}Mo zqKfqEn@#Vd-qQWy=W%05xv9XA>nGn_IVsDw%wcrJ>3@e-j(?-nX$9K2bj0pY=MGvY z3{KoRP8cwTy4V_JXXk+Zk6dgmPG>lg7bRuc^ge%6+Ub4B!`!H$imi990-aM4k1rK5 z?H090Xin8%CRP8QxBY9c`D4MZR*%39x%mg=Ax|80wb@~^E!fq^4d&1{-hB>LP6j_! zs@~_h3fE++uxz91zC8X%5B5hx)7X8WBM4gOJ3&urPgeOi@Y6Z%gr-C#=AY3wvNPAJ* zWamJQZzMx>+aj!oR!UkdynI_R_xP3W(+jdx_=&43b$H1C0w!v4o4KKiz?}h9p#w_= zR5iGjK$!s)NkbKls&;qaGNkuf`ud~YipN|NVjw0$JY+l9Lzu8UP1eNaTkFOU>)-y- zulUYS4b7|tG_IMwIL>%SI+9Bx1N+KIR4$lG0(Fc?uA-x6cEMEXw?qD|4$ua)?&imcX7-b`23A;dd9YHNSW-#dewJMago|q$)RnKK5{&#bS4haq z720fZsp?B9n%R$z31l!uyq4>2GrMb4-!>|AX!K#I(pyS7t3rM$s$>xSYA?&Vhvmz= zhvig-YOlPXI+9vQEf^0~qW>45 zk9>MUz003E0xp9yA-!bA5wUiQdfPhcX`7U<%~Z)KKyCgEou$Qj6YolfBLoW z`^}&GJ0n%DV4z1i1`XalS+t)MNo6|O4)aOc^hZu49Zwa+Gs^KppR9+^i6kUut}lmY zl;bNtYBhYaQP=tUV;0U0pZ{$PU^>EMb?M!e_rG`U9T&MfE5b08cVyN}Q{ABT9+9B{ z+EP`GYs?kkgKQ^SN?Q#gB+q=j2E35!khFhGYCX3a1m|0I!v%VDPJ)PzS~?rKIF6mX z{&iA1Vh(lzMG6Wb>VDQ^^`18g|1fVecjI-@5T5{qhZA{|@s zgc`vbJ-I}mq-Fxh5tBcJ6mUSMy2Y0&^aNoO8>mZJ3g-VS~nDl zwVWtCnX98h^+TUd)zMxT$&;x%q9-nSB2{M!)g@0eD*3Xwy6KExZeQIQRnpg?JV|j|S@TWigB#xSLcxPn*+1^4+u?-M zCMD{T>+Eogdu7L>inFd3a4&RqPuI@#!S9xi+XH#TUrzJEKmULJjt_g=Se_BEFK4l! zqbTOuv_50@lrf@#*t8}jTbDX}$_FTHaqloMTjn5S-#h0StAr%^4OuYf8ByFyvbwl| zV4>84InRiB21L6lxHJYxS<8iGCNywk{l+m_IOK=g~_xprOAm)(-I^ZGXkUV4W z*j1j<#uGAkxodKQt*4CKreqin=-yQOzmUv#XTR?}&$tBlG+(00N;oOqfmwOfUDKT9 zw2oD_nj(JEd7rbReBQW*7A28)HgE}HfcX1&j}v)<#36(9ZZbk=nxA`PTw>btL%76` z{Om{l*-!t7SG@}CmEdjn@J{XAh9;$8uj^~-z>Ov$Z41DtC{eaOMGH`QpF=R?onay^(IT3u3-Ad+30e*MXGZ99nP*m!yZOk|-BY+hJdU9wXY; zB~e1eBqYiBYbjvL2d|0q4xfPEFFg-Ja#V*F?fCHil{4e+W8|o6zWb;G=U)z> z4_L;@as2pDp$r}+|K|%-b(D~=D=F`L186^mOIK62er)k)%}Cj;SfE1^=LzwlMrBuf zd(%_BIH0klB*IbJY6H&G?M;vMqSVmAlw#~Q4PTN>&-Ef2c`vs%Y${2n2YZ2b;|L4+ z25fYGRkbGl2>(Uh9?j6@$;K3Ob&ArO>Cs+v;Bw*@;T-@IsQv9gIAYB`{4mL{2Y7SQxz3{nE5gzcE3y0uu&6xCr4QO=JrMO`6q7*tdvVB8J_Y$KC~(g6<(ymje- z5UBQ-?X>@9fu}$A-z*n+BJ%zX0=MCI+8^he5xC8IL3|*(S8XIu&%WZMKxr%$UTWqWR5(KK>FWYha?Rv4z`I^lUy^!uckW>;k!`t&YhBVwSZcdZ=_D?;g?cRcrF$n2R-u_sJ^RcvFFyO+(-@Rt(?gzm z`kfEnyTHA{|E(hRR0N-yC|4GI@%ab6X)Rp(>S=ltcATrH(F~+_jO%tSqh7eqGu%}M z8J9!WlZ>Bx_Uhg7`>-`_0J7}nzqa0sCn_wGIAWT)vechee$usklO@g_*Fb*224aI&$;R{TAhIFZrTo5I;BsM~WZ8@m77Nq8J z!Q%da>1VB=w~1zp7IMXk5>vP&%4QKk$&wuWASM`L#_}yJEtZ(VB}+fjBZe-tKSyE; zmn_pmF~LTDPh9g!?o`535+;-W^_5YK>%Noyg*7eQ@3|QFyzHlBal#c4j3y)E=|var zBTAdYy5nM}P3(K4+FX11!&HcscXO7_#OJ;6LP%9+XxK5Js`ocLb{t%uqIr4h)6e_G zkN)`oVT>q_xdTT;RV0c7xDZ`sOhT``F?us<_Bo6Tf&+aV#lb6rpf89ZIv=XKh!w?? zb3x~2pq#KFOxNuhPd0oMXV_Le+3-;iaTAPARs#(mCED;6F}Vit5J=sa#dVS>zFY4& zx(AX6xaQ4;R7Bj?EI$Y2a|ur~OI<5({OFKCXpS|ZdF!rMqxw|gk3OY5Y14kPQFdyA z(5-#eoa|r4Is2<>gjSXCvx(@Zf;DXJ%^n$wd9@Qfs?iFA~DOyagGE{5&7CgLq zbAqa4c;RzgMpPX|v#NVs6y_?DGWWeaZIx0U_V`OEW&V5F_hdx%;63kNxGkxJt>>@a z{?>OywDtV6*naS~cSOh~5yq5}jEL$c+#<0?Gt2zysrz+^dLnwAa*NLC`}hlY?)>ZT z`tY%=%c*1vkt+i~F*V>sj)WGy2%btRPz|_Xp=9=R3U#|8#AXar5YM24TbrIq;50Dl ztu{SI)}>*>k6OS2W~wxYZyw)BwNa z|BIwHl0td`83~P>?W=JTR=K$9iqua9kn*;p!zG@IYw>tHhx(}in*T^o#R+U4M!X&r zF|%VObO|sW$%hOkOF$X%CE#Afhyiu4LH4b%d1>X423;VRK;Wrh<8X{N6*don3R{=$ zI3RNg8JkBc1R+??Ei~9XcF5 zplyWhbo+{{Rs`K880P-X)-x<=g{kt*j}dIXNaECl z6ai#R8j0p5#k+FJ!vP+$AVg^HMFK-5Nn7BO))@vNJc`6LabjN)@6UG!Sqqz;%!a4c zn&C|9=tFvqLYS?~6OgPhWifJ#sGeu*GR*-vCt2}7 zeeTEnnfqfcaZYx+$;patkJ3G{1>1x5^K_u7o~^K7uGqU7Mgzf1P3hL@F}53r!e|bn z+pfgAWZrusiINwOXcj@}uG689S+Gi0;E)owp<$g^z${3mM69LY^@s9x9xX4K@Wl!&jI%VipsnZGJ8ddPb3d)MVI|bpg<5WXp?G3q#PSrk9!&s@gugl%es8A+T}o4GTP%G4HXL#tG>iVUR-kFY(*tRk(|0~H$9Y!q6hBB9V!J1DpOYD^xsYzG7*cum^mn_GDM_s|%qROP+I z12V2HDT{)#>+92`@J_1J>NG_kF4pWnl?eXtmn*r{6xfLMY|Y!_;{Wov#tYDo8PR_Q zWCQMe*5CS~ZwtIenZBM4Fy1fC^BNHfsz3npt4nWRSrp}hIt-G6K>1ri+Mpt+SO_ft zk_9GA8^nrq5nK?tBI~rYT}gY)EJzo@1xtNJ*J-g_78FHeD3abG0dxd&5$vn!xeYaf%M`#w;G^H^qyYVF zwR6>QD*1+xP-FF`n8!=W#G%K{tl&PuX44XnWOaI3h!)7fn|n^)U?s_cbU>srsw@ix zaQ9Q*f!kr%9(mR!&Nuf`K)d60m9~)%8R_`49mf|BGHnu3O=ebFkDedp*n>Kvr*8}d zOazRLsDAJRI4zlcpFz?s1O5C z1kW6r3AFZF*JTw}I>LaggQRLLm{nNOb%)i_DsEkkCqA#644sV+q7^alM0zZRvKx&a_^P}5QYB=ntC?A@-`xbdxs-aEvd*_cmfbjo#n>-$y0Bix%u7y(dP!vt=-!k#Ias(pi~k_ z0BIa-4SCUXpGmno*21x*-u8!$^-{An48-vj%d~PdAG4kUAt)Z2t#|Kmn|F`IcqSospwszE0r6N-)SIukJ?Ic}AjwiooL3anYl7VoABZ1e#ik>qHeK@{ zv&V2z?e?%<8Y~otR`q5}9?>pdI!&-~J0YojY^6(>BZ%U)`yD zA-S8X;P;k-e7KMH#i3M(KJgf*A(@tOGMBoD&l0}s=~9=vdIHUb)0-{@zPUC7!T@Y; z;7RIxacin}((*%|E{JYA z%1PMo*XEnXid3~la1AX+Cl>S?X@r@73_VE~#2jb$BvpB5K~c3XSrF@e2VL9zY*Do? z*)6x^4zeSHcFd{P%zSr@UZqk7e}Aow1uIFaT3-{H2{Qe8iCU)rsH#=<6w<_j$tys|((Sg_S(WP41+C%+ zZ}t+iNX3b=ub`l*PF)Z@V>SzeATnm!3@ZewPF)b~i7|i~f*@|KTXq@MsSBb43Zs}P z*kKfNb?8y0Qj~$d-c4Ff-u@zzwM6KJB6H$vktqtqK7{+F3Sii2%d3_%X^tM7lsL)m zn#ZG^gDKL)CP+`}hYOh*W);(m&UeJ@u^oA}dyw9o9eaEOKBwKHR{PG`vC9nj{K&Wb zxfee>ESUO^Z9uSJ0&9|hV8GAME+@L4fO5>pVXZ?kvU6Gm1VC%meFw78dd4{**dz6% zD=rU~%QF8KrY;8rFh^Q33No|sNb;d`K!9$K+VE{C#Ha!SMV#Q1gDKEnv0~klCHXwY9&r(TNHwcYQB}owN;nFY4 zcL=Fiu&*>25@)1ZGvA^EqCdUzYc$kp1FU?HK-A*(yiqVjL$7Xw1w=j)z!S|-+4>)*sF6Yy!WF^d*M3@=KsQ@iBI}- zhQlOhXZl~DCe5rs#ivvLQrg((be^(L4yt8GHHKlRh|#X=P4hM+u>cH z-AL8`gZ)p=YRxXg4Ab$^%N*;c*68BC1SZwAKWWmLdU=YogH3?V=Q}(0I-Tq6+6!DFm(O3Gufps_yDb$qZTU9VAO+(){^=+D)lUoH zT!WVDk)D0q)vq256-dwS(6s-ntNx6-j&vF3aw;Bf@lu2I?6g}8u_H7otZtlG;N>h)R2ilu9Llx$Nfkwdzqg$ErE&5y;qOxkKz;kUOKdhv)O0^MsqNF7N&nsq?TBs>-;3WFb zf?1_9v!`M04yA_8kEv9u)n-HQZx2=o85gorspvF@3kd&_`HJ3DKIS5AN4UjnA4s+W zrLa-cIkj=zVo_DT%IC{JNUD~7O%nbz!9Y@SyJmHNfRUIb+e%qiwPwCSJMF8=-;ROU z?V9-xX*QWg0u@BPUak2S?U2zGe;kRB{`JiF2vui5F=%l&94=d5r93o_-QdW~)z8DGhtQgscjBW=uvE9n=bAEg>B_oZx3Oa%2_44I9 zG#^l6!L&cO1E=VQA0U z`Y*obH~-9!hegt8!NG9H047fsDI8|Bc>(YL-96(8K*d$ngb%wrhy74#(<2fCVlZw^{gr{`gt=tRBd>(MBA#A$Pk4j z6h?gF3FQCco1sspXq-3VK&bzn`+9(rSiz~N@2KEd*$%xTj!N2;xt2xEz&TmN=Qz7h z;g`<_odqwrk*QL-JB7?Y1zAvZd+^gq-j>n&V6*-T6^y%pN?g0DZEN-G-oit>Kqn~HL)tE$ zEIGZ`v?)HS8+PN~`vc42T9@G7+j=85Wj6aSq1m5yi)OPw{T7|m!1AZR{I#F@<zip1SQHf zK@hn%FqAkna684|LQph_3!sl53PFi-{m^^-PX(876o|luphUSQ2*wu% z8^cPXQ4|<&wV=c>zT%FfoG7^5N;W>PC}!UdfU@*8Nmo+v);mct`z{G27ac(Pb5M!W zULZ01MG}(^#6dvv&=P6!d$d=8pXX|;lydSF+KNQ&7HN_IDYSqP>bm#SWn7RS{cv2N zW>GFXZh3Q&w7kmJgh!|?r~sz+>LJk#C!QjsyRlCe_-@sjH*-(Ksuw>Ykrw0&^F%yT zHnCY=SZ6m=)hzvEJC*9{2ORO z@cC#hL897Cc-}TNH)vU>QPzMs8fUerpZqe+N+>TT7NniByAN)(v;zV0! zxl`gD0Il*884NVdRyK@%h47tf$OU14tdS!~$MjxuOG7#(MetNpTskE#82uTK%w*Op z(kUr|%bn!~5f!PgVPD}KY4ThUG(JsVf(&G{LPL-`$_1gj!4aYdfiwcKi2P&dl(-=J znt-kX)SnK7rU!h*Q6pMx+f_sSQw!A;d=}fo-T2>tS@N$2z7}b-jc$WcJ@0#{>APTw zmPhgfv_HJhxPZkx!g<0xh-4ns?}`AoM`}SJS(!I=m8u=Gd4$)4AU7Ql9lfhbi|Q7o z{rSvP=Bcvh@VCx_+F`&j;36F#<`V0th`#j)xSftqY(8z04p7V^BKp)PS$N^Nn%<}6 z9Ydoj&nx`$_Dt?jzzx_{t&(FOOq(EJ$Ra&SCA)NwG6=IK87iFb& z+^{hb^RpWilr-;oR$6E&iwYMCZr2h?WrdKH*1_W*;EC2n$rXZ<0&NSRp!KsouDp&S z1hdjQfZ->R2V8sW@E?L%Y3;#!5OT&21Kc$52*IqhI#k!F(prIL)BI|Qr2HfSwK6z^ zdP6AFULT31TynQs;YyRif|?+WP~zb7MiJeZxo9uqs7U!ATXXN(?4PQNT%+PULUsA%-}GdXQ&`IL>EQ>h@ zEhPY2#cH?EeD)QjeLwuS{hD|GVrXCW-(CAUSTVV66xxUor$Ok5mi;Z@m2s?+l^W_V zm2s>>;v4EOm2s?-{iQ0D6^_R*x*2(@-6^?bf!IWg|4fU}u;qX_%qi*rL z3dcfoZZ;DT#7pc`g`!dW`fWVjU@G696Ah+N4w>)IPMV$yhKF|?8ofGtb%Qz*cp!;l zRqIi1CpL``u8K7rYuDyff%^FbC0qrj)9_S&mr2|5Po&}5hj6t+9mgjXdSqg_JKc^& z`CnjCP4`jN+eyy~29s%c4R4Qqv>&TtZfSr}%xBR6alSdqAVyX>{q1;l*-lxm1qySHEjNKm z#s#hFS@@_1Zp5K!1za##R)`dCfO@kjK&1Wo| zk&d2k42k@l{rb@S;nS<)KFbp5 zE@zIB=CSjCx`HbcmD6rfYe#27ZbG&IOUVU<(%DzgysQ&7o=PT(FF90%B<<*}iI$7@gZhxC3SrLy*3R zuLz8oQ%MQQtt2;b-83pxRgtj(%e~^%QTh$BVuc4DHmDV&B=RDdvR7Zo3J=6@09c8G zA6KX>t|TW~?)!PFqcJ8f=Q zdZHILVNoS}U~77$7Z_rT9{sW=D)QPj(=)xWqvIh!hp74?z%NQ5_X18ZP-CpQ1LCYM zVR{79Q@!Xqphfavq1d2zo(bk&zyaqBU95>~&_31(fYbH#KD4;30z~EOne!`)(oG73 zKdo7=pXap4`l|m}UsaDaw$fvbt?|biYv#wAnOn{nqPo ziI)1JRF;HGNN4GcOSEl>fK!|k*FSO_q7};l6X1+CMCX+EZG@W>`=i{DU|b{vAy9E; zWIKQesRxYB&33^TvEc8UZh=0H2MOzWclb3JNQ(4g}gAG7z%G`DVa>vtMj0 zz#jtD{<0k>yj?H0nJct8F1FS0hd{kQx6}SO-<-_dt`=K~-Eq?N&b^IXt+I)4x9sV&!s5F-{C0~b(6;&d*KL!oyK@g)MHnCuH(i*UJZ{O_ z-rH6Osvw)Eo_*$-;rbn1Syb?pfUXESqsQxf8o9}<(?^}MdX{5O_VfSqKk}(>9L0m8 zsAUWfTC#1N;z2Erz^iBuH%P6_8W3UH5KpM#4qjguw1LD}5t-B$imKoS?SeM}4?;^9 zm@6K71P=oE1wn#0Z17&6V}%Fp@eZpX3eg+E3J*fRM>te8Yhd8Ecv+1H9XD#I(N(~P zXxJrzOIhJT=*wu+qS3LXJrL!Si2H1&%{2I6MtEj~M*x%lyjNP|SMo5?zxY)j z{s~_lC9~Rb(>p|zj=b6v7gRSGFeNHQr(5bA7sOxACXsf@2Mr%Xkmkz;X$U2MgbQb&PCHpY>P73p0R!Tp9N9_NTAND7{WAT^B(9?>#K552?86$!9IkQ&?t z3&?_Y7Sa$WpCi4CBDi4%D%=os)3h!Yv7&evE@?0TJXbgu8nP!zat?|ltToP(lJJ6> zvlQ>bB|(w37M`eVR=~j5Jlnpj?X(O|vhiXn^~)!H#GiT_b)#Q*yW79W+JLQ^BRr*O zB@^3Yzc#6U@@uOIuXO@sJB(nD(j}SnbU=YlvQ1~im-cU&1Lsn@aK1UhqmNQWcq2ZA zIH7SmdU@QY-@_&dV&|Ix3_YNhSf9BOc`$^w8R!w5P zRRQ>nBw`ZZdZd~J?f4`T2k#gCmui5UX4>FcI0hTek(ui9&~A2*BlcM!qGTThZw>n>);lmx;amGdcu(ot zPIRk)#p@tTv>dYC!>Uvk=~~-4VRXt z(u<#6C6Cc1n~nFWFgG@;CQ0&vEtitmp&Wg^5khg?oKcNQ^_KLQY0Ktct*K6vg;N*4 z2N-JnF;wT#XxDVuA&RgrKMWpbrCiQ+XnFZJN}R6vn8S+;h8KVg(~Azs5LY)PftpRm zBD)*JBKGi~t54?%YmaZj+O%8L+N8%7F7mjqWXSNfH>qQVP zZ-Coj#qG)hf>@Du-36oZZKtHL{9`2PV@+kd1I9fI5_t4+Xt5&gy02(%51^-|Hu%dc zid}a}%yu(y5nbEcpDlLXC8I|!f;#nQ{NSV3T5T)YGxn*JYTozRV8Rb`IVW{Hou-&W zH=vEp^!+lE=3!yd_%W--w>Tb%Hob+fv&w#8|J$5Evq`B_$v(^A+VxvlD@gP)M`3}+ z!G38WDVzv>0v4DW#{q75ra*S;Nv7v{u}6KbWSR+Vt3#XCOb;|jSrT01=xE#Dz9K*@ z%VV{$;R2AvF1@3tM;i34y++MQP8)MF9X&nM3v_v8Z>B9CRS>zvS51=Xp#1+kEsSDYbVdd01mWue?zR^XuO}?V$$y%JbLv{h`LcImS(P zm^^;?H+!fHN38ekH4l;Rmmg{@WArflwoJM!+qeBt&xEjuzh^?&D~u54YT}nMA?&k% z@_+p9@4H}`I67Z=nYiMtUJ7jfmsLTRy1&~g6IbR~99oQ(7hq2=69*d#Ff`pN4i_Y6 zBvuqtWh;Vbr5x|Wsx#7B2&(_(lE9^O$<4~j9h2mBW#R&5<%3Jg)^^0%NdqJR^~i!N zAuO#a?t~p3(6$aYL*Zk8O>rko(rypdTpet}PFhpk311WLh5}?r*0*G^Qn?US+p)^0Y_1>9IJi3MtiAK zh|+;BSfs#ZSIlRio?dGTgi?|iFQAQ96cw1x(`}Mko@vt|mYkk*hPU)+yV8I)o$wfK z7w4PXJR$NutGS2Z2@%5R<%txc^kh1pVlxdhotd!H`5PCnb)I&MTFWuR+Lx(y{;z+{ z@l!@;x&t)R-iKhDcADY!h*YhwZQbo)(2$NLTD`Z{r6HJLz`NFfglIJqw++0OP(bop z$p|H~*hkkA2*?g0^zXsL16@~1xN+!&0dgUf8N>RL)!RbL2?D%p&8h$aBD|`R`sTm=R3JlI{Njuouh)zDSD=;<&%d^HRGEK;0mM`fS&%BsSF8pQE1Y3p z8RNzU3xu>Am=aGF=7KT*YG7P2RhSDRcS1TLRM-}&x8@wF!dx)sUx6fFSHYr%lo|7wrn z8I|N@1uCjXbzp!qx;@Xol6q%_!=m09m_yakl=TCTHMS6y6~Po^i*xAv{dG20!N|oqshIud&k|(dI+y_K$t(%W@r#_$zQT5!u`dQtEa$F${tls>3+#m{vHb7UTJQ{uTYGsnutu^4Ippr1N*2(G? z!-3GCkIHd{Y;dz;R<}^_$W&7hovm9z;x(@DKw4Cqceh#-5U3R%Jw}(b>w_iNXguCZ zR_be1?ke7S`da}V*pa21uNGo#fD`PaeAZGY62@Sym$@_J=K$Um_n+!mW zlr;2eA9CUanI1N6VVVRZ^~ChWp=rqd(52VMZn+hXWX`8li5I}x$oflisCVd`cri|w zB!_wxU^($}^mZs1wkIQtBS`|In-eb`;zfW&a9i=e(}|Z-{eaHq?IjmO?ms-LA2wTY z4&vyehP;^UVs@Pq21Zy4k#17?Y*swC#jEIH!+C3-Nd7M%qWF}*=jZrl=o2LpwB!c8 zKn!ys^pjBtZO`*;s-N7kded&vY^tYwQ|A;yf7*|J`!k;w-O!Y5;ilTC7f6*jrw#pM zHB_{(%v8JJ9t0>MzX9R2NHGK@OJhu^H2MTpg<^q2P_i^Gcs!7>g;~EKYP5$Iw8Xhp z!D&NPVY8xSX?(@tv~6tgR2*8eG$xohZ6q8qln%j){8lJ!XiwjsV?}-|lTQwy(`gtV zOKS0fpJk!6t+g3xKDd}_+YuQcqqGgKnaOWKX#?z__(eK;zCF93w5`SYsE(fRPolIT zjaFTx>5hV0^`-z^qwZHQq0OBI|BHN7%hZ@VqRA^Bc=4Ls2`}Y~oi^q5P4H=Y?|U85 zRyS;cX0OcbQargX#k5;AyA-$MQv8nheC@Y>Wn@j&zjK$u1I%uNVtK~u9C}H+5dmgR zyTmMcg6UFNgw~ zDVReOrzu%ezm4#Bte?UfT$c7cGdL57!nPA1GJeshqoDqQm!0+b@A`d8u}a9U|C;G3 zjM~+$$YjtYoGZ`jy0e!ESa9FK|H4O@hhDM|L8gz2Kbm|5ZYQT;z-1_{t&t%}Z#;Yi zT-|+iO@%{-e(jHq96B|{YH#0&3)0#*GFo2qhd%ZFUmkt0Fp&X3sMnh77DFxS93~sl z=2?}GeP!WZ5d^scx)~I@<;q(>%8JzXeEEwYdS4@b2@2h|10RFxELP<0azW72*&qvt zMt_?2(7aPFh&GPOoyXh+>`|{s-CP8>X#LDX1L>6%Yd$n}a}fmpL@Q&r2kB{@1*w}| zkSb3v5AbF+3-;BtVUMD3LKPWPq*Tl1c?wS|$4-^#a8pRbLUWxCobVO_P(w0+|(zNA=l+xFH=0E<2pArtEc1A2pNvge8 z;M8`Yk5SjGch-f%+p?;|Xj^P&!JZLRN}Mn*nnBlarBqhL@NZR0TPuZ+6-6nT;6WWm zRZ5zG3PDjyCWu58H3NF+V2lufpxohBl#&Z>*Q9e$aBqp2SW%Rc3u?na3L<6GtSCy! z1dpJm2sZ@;zD+AA4x?WmQ?XEpYf^^mlN1c9NNSs6_TfDm@j1>?97dPK?^gW|O0~Ix zNs<_pOCE4BCH2IRmyjCyw0;6sd5?$It%dnJPCFySvL5{^1qsg7nx! zH9#WQ{6DUi|7*TZOV`S@O(-S*Ps)9gG8N1yxkEF>j-E%-o&)Y+@&D*f->9pVJkb%R!qwZe*=G%HXUO;@@}Cjo$$dS)RX>%zyl#QDv%n%nB)WBlQ$i)mXctS&!-WXGGE0D!#|d zxN|+~e-Tg5`t*X7kh}3W(H#&%YnIbEEnK+c!MW>o#; zjMsY0+mTI1# z7;ySTa55KEJ_!Yt_D+sO4?SZ#73rC_d}{~@KmUf${K_wQG1x}BP)~_%&}>TlU(6p+ zu-J}h@Uv~<-Nd|V$@r~~2JMDmR$@nB4tSf98XRbfo|RZk)E?j@r$hlNVN5N8lZ>9H z1X1G9S&5Yy)JVG7RKpO=N(}5Bpw0|V(D1TNHfAMeznX&H;5AmPl$f=6C)apukXe!> z#%P;tgsD*2afvI`{G2K^2qqY7fly}-#Hp8VWN_kZ9?^6ws)Di%F&W8?O*vo ze*HM3)+xCcy0%7gaL}xv`G$oK4*3_E?8a;m9D@x zoSS6Lw$kK^^ok=5DXq93)8vXdhI)K4l(8|z~8PJD2aXx8`2$v_YNgQs_%z=m*ci7oib>GioG+{?Hj-0cTl z|L{-$e_hH5>D$@{U1jP#LZn_mlihmcZLcq~%t05jJJ1hIPN2d=89JdTvepZ(<8sr( zqO#Ttsw3irHulI`uP_~lYA3VSD@4bk(#fp#c1LE_sUDHBZ)4I*>ml{O76ucpsC3ZD zI=s?)NZv5$f_?-Qw!J`A(A6P?(W9@nh)jGjj0*O9OH_9KK6Y+$m1FQJrUDnu@D_b7S$iPB)zSNF?#xFj$}BY_V!v^qqZTv-~bg7CxXi<-7q`x ziEcDo{)Tq>_T!wd`0mg8;$Ize^t1(#$2ryz=gp-b+dSVeP! zQ)i`Y_7yIq=))q3QZ|WRc3_YwSd}EnZFfnuPu7SC{pQf}r~W-vw@wtOuLQ0lIUK3NQ{RrI%3(XtCa5$=oNf8 zq;;N=7}J{h79B8+P>Ip&Rj;|ABoAyyAaLkxEx!mvcbdyM`lx+T^&XXUVGjCbC@OoC zEFX|Jk-Dg^3U6K+SEYa<;`)BXoEYRzdf2vqya zcI+?18`5S~tMdBSZBir2JNK}Kt65A?vk7YmU3Pw9i@g|gr1<1k0xPZ9OjVzF12vsxC0*tj*%pSES=A@{&h!c;X?lxbsruY(Y$j@~ zNXzSjQT4go?lk`sf;7A?7*(Gb!PK53?QRh)RiA4RZ(t*HF(=J#5iC`oYce8hL261D zjH*wz0j>l`tuC#OSff+sHolvyJ~7DX&KuxvA~;Hf4xqK77XqKqjB^@qgjj2xXDh-YA{J%hagB_t1dwrP8ppCC zjHfa<)|=i`R|zX@Mbu&&7b*yOSpjZb3 zlH}kGLWWpqF4b?(cL)h{3|o}zscm&C$$X1gaV~V6<7#PuO*(qMM@GlNdE#EyMU9gj z7!Akk=}_UbG=2IsEYH1n>|dPMI!K1)a``_!}_q~Z# zv2StQ5^mrSwr83Ft|GF{JuYV4;8Ab`0^`tl=R*PZ?2nkzIcYrIlwN!)tv6b0(&wSOmk|x-9@1e8-&0?*zOGqh!tr~ zUGM=oDsIfJhd{vk6>vw~uxiNYwImCrk zdpc~GvjOMlX3=t@S#C%s{*96_zOB&>g%XHjhNEp$5Y832I$NGr z-15f1?zer_XFmvZR9|He0R5dWy+DVCkfby_qLohhpL;}Wt?sN;;#7XDWuh-Qt>iZN zvEB!CXtGG2RuX+cA91pX=!f$Z-GDl(bewHGCqYQ9<814>z;Va)SN?wBz@gsc6D3lB z;kwQLg03-_R$s8R8aj>|(ap9Haey=wZVa-%U<{x`5#W(kcV_~5g)xP%H-{fjZYI)h z`N%Dr!;jkuKfdIb{=#RzFcyAH?qYIWqfM;T^{+V|GuK7b6?lLtuWxr!g&%wTk6<3= z()U=fIs7OM01zVe`l96U16ZF33v^pi z1AX&ieHvh0BSVwP$#^-mUzvM@pGUruUYRKl5)9tsAdX`JHS4i?0TY}Inx%?y9cFPU3L&#utdr5U= zL5V1JH!v^hM^O-C>NO;a6(yD8g3dnO1A%D|EtwP-MBhqb@$SJwRTUU3%1t!E9omEl zOBKZr*1|RfC6D4Ob|@)eApqhxtCI*pNu!wHA;w1?q*+P+)tc&ZNgd&YB~d%jo}{L_ zTvEB8lZEVgocu{qmLO~_s@ED~DMs$rQ2KezHs0Dx!6wRg2 zWAxNBfFG7g-YD#`hjqlK?qyx3D!ggS4=KFE`#$46uL@{K7joj8EsOc*o1?Jk;ep9) z_54Ox3J;t!$z69OtTmc3D?H4pW%?FeR(OZXXS0Jrg;oj=1K^Q6#*2?iWOZOeFe^N; z)Ibt|a|p#}O}j%dD?H2cVntLJw@Rl{c*i};lX+YVVE`sv{0F&TB-l??x$ zQZ%M@o=o&*xq$CnNZty@Bx;wc^XMhi793-c8*9gpFr%PX-+7(%zsgiJK0e72X&pJ} zxvF>p$lSa+fjmq4htH;TAScwMQXPq=)5olW>lx7b{9dKLKnmoBXj97!raK> zMUeW=1^4SUY${D5Ca4+xya-aU6+v%)xJM#ASt4zyh;|@CNy{fG#=<2_ zQxlwp3}vk(>AksR2ZEoT=Gq&oq@(A%gNd-jobZEI+unE)F+IK}euk#oJJv&17jx7L zPwFe!Dp>kz8&ebprZ1T!i^;C`%fk{^Yw=WPP+t ztdGy_IR5P*FZNi^Uci%^f0=fRW-s8D@-N@~qu=@3AiFD6-*O$wY}Ny)2W^6ZcBUZw zC9SdoXeidhzA`VM2=3Rgf^ZiOz|7Rbh9DJ#3l>5dU^p1NI-^4`zy-U~ZXQ)=YTRQ* z>RJ~>$gZXDV0Fo~zMQY17vO>n!6n2-PelocvtXTn5%3Z5+_FDtw$8sSk2;j=z^;nT zuW9lxGQ5^0|7-K7;^$QP7i5VzI2T8UkyneIB&)k~So#dvRj)s#cme*?F(HxHh<;Lf8pQz=>Q6} za^GD9tK(<)975sJMn{r_(St;jgf4gh?E#h_ZFJC)Us(NOVZp6;k{d<)T>>S(O!`Rcq3%{ z-F|~z>K`r?4VQ!*>^(UrC}PtpH$VE#48NM;=p|E(tbTFn%63`RC&-a`wz*n@{wlLD5C$-i*oBactx0yA^0Efm*X0vbO zqiFVV7L8zS_5tvUf3R5!?w)^4W%j$n(ZB{^3Ctu^lBs7F!hEkvL%#s6>bGBdXVgR& zi+B~h$YPQEA3O+FTYW|p9>y|071L}V+L(Q~ubZEO|BdyAK2hp)JU;V-&Pxl3cbH7G zuv&r;c|(4XJ+S8*-wC;YS_6OcN0x652MLj{{o?=ZTmOEW=mDm@o0kqJ2D0?lPXxsui{WAd=<17<-(p@5W$h(k4x9n6xVe(34LvbRVMQbirm zE<$62SdmK4R|H*>`j#y{5cPr;CDAfN)ni&S-yQ4x3Q4rOR+a0LXDL!D9o3L^ zS7z071Dq!n?x|B(asF4ura_r1JFG(WJZ{_?vn5&1aZX`DG3Q#bxJD+>O^I{-5xi8K zW9yCR!X6cK=0QD19+WD%kz3T7tCi4vTG zx71jA-|-O48Bnk}w57%oZbzHW%^A?rQiD^YTEQv<3XT@S8)g=l=-3HEo_|f10gWLL z%jLGs{8kyzG6ez+Li%-O)!p&f>3Hy>j`-zu26T&BI=T^Pc!t5>bvF^w zREhJ{vXXlwDP#;ED@ke|LHiy`dfJ}g=by#)gSWjSB=Fri{kQ(z?|JSkzvUxd^(vG- zNY#V*f-T};5GKNYR7|$vZ;ojTG{IR+ZS;F1#9?>XqB$gDbQD8b6D$u=)cvrnXcrC- z$tGKbAl(laOa+shChmUI8#Cen-nY)*;JEseh{Ki*EOCyB=g6TCpl?zyENj!0xwrXZXIhYoS%!bptvVOanqF>h6Q0dbyMTIG^kAi477;s>?ANciFY+ktfAb>W&A-PT?uP`%?S z9dMbH*@;;=y*2YaI-rNJ{-P~@Q*)J+EpF#o2HYHg7uJ%~-kYoXy}@St-dt7h4Ymf} z8~m%kH~g628?2b$8*YxgHxRKZ1@*+Sncf?$U%fZnew6p-t?ooSdgp(gHJ6C{C>*Ft z1K0AvMx_FVv|u1i+M#1>*5q}|h?i7pAls2c*lak}CH6;OSUgyHe|etL0lA&_-z^Y7 zrv1?xwyHGP$orl4=XTm(2I8dsw~I|xOSzG^KJCx#*dH{*yt%=AoP9c-Eq+c_8o80z zIF{S47u#H^vDq)SRS*IJyie5*`GDK)Vv85Cppe_uVq2k*5UBU(cAWpVTWoiE|IK1s z{eB44``5pJi}TI*f4f_3s{ut2sQ2$(uzWiDc$JirUM=5z{p+?#UEaBeEj*k~@#H41 zb=kyH+hgj_aSw~_kO(eqyY8JlSe0IS>e*+Wkyxd;dJ(KJTq!S55$p#w!*XT87oUG{ z^}@3+KKrh#`*I}4LEME7qUg7QP;2TZ;z1W2dcm0`JZ z!b6vqyYQ^-2I(!Dc2~G7e@EGszwBjySC}jPlOK8iM}H}DrJB?A$fb}k)Q#rUMC}Qa zc@5O-MNr2dkVyicO}qj7s^Nu}!Stw1klrYHMQVCqvD+gopwH56JQj)-snUwza!UuE zf?Dm6SETN8K~Pt4Y7|6&D)rxEMd~RR-0g^$%8IC@XnqXMfeWSqhfDK;V#SIe!YEyS z4NzcdGm}rU;)NbjX{x^){f*UIN^53b=njsR5nhf4awf@qcW`Btzq;$t&b0YK;(7Zo z!oit;1%C%cGd0_0)K@DJN=r}C*ue@&DU~9YjBb{%B5=@MvvcOInfhJcv)H!G$D zgI2p|wR84JuG#gT8A>9nVX&AVw5klP%qvQc!dyd&S1TMHy*%zp+_-y}J=tMfW(f0y zb1(%TyEue7?H17#f8Gl(#EpDRN$%u5=tn5_;;2*)Q{VSU?t^~J`=0dQ9v_7Hf&cZ_ zfAdv10$R}9? zL7O=Es3}$h*v~hLVJ&7h4E7LQQos^7(N|j^xHHsBE^Kyu;sNr1@lAZn-}7^P6Q9(& z*UcMF7~YvBdR|`ic37hJ9ABd=BOHHew0ae(TX;BCt8?EHs#|$fNeu6_TSUt>8{XxeDYxjH+~FsG%Ds>OtnuazOKsl@B9SCGGUJAZ*NH43&j8vN zMiLqsh`F$@ECMfrFe|Vtq%P?wyu2a}uM3u**yw&_4VPj?8eSL7GcuZf12uK2gTck2 z0UvjoJIsfs;q?_k{srS2why%$*0?GTO~dPgs7q1)Gja_USBIb&UYA6Mh65Ve6ah_S zo9k0kmo`UMW>QiH2Gk@cM&Pj~Cks(>kGA3Mn)&V=)|d*aKd7_DOzAx77x|jj@ew~A z&8u1pT1{QrOA|?+XUjo*GzUSwhqck7?eD_@Ds)(A`K0>|IR|RMJy;LntDU2VzBh}b1m9n_=K9TwXGfr$3ez$v9cG*uUA0NIY{RtE}38UVpRdbQ^L`S@gX zfZJ(*G?>b16mp}gG|EWBrZvzBynQ7N!3I)Q8UX_J@wpwxZ-*@l&hNoGiazOY`xDOA z4!D{D^w*mJMp!RZVEzg+z7E8n+&G)Y`lYa5vx71D7R?UEbpF^m4#pcl_Ng!YXGf=V zY&L8_gcK$WD3SYYlPeRZaX5{4f0jnJV5R93Sxl`bQaKo>rU+&S!;%K1^X`n5;JT%D zFru5%5zMFN$7Bb?de{T!hK5$oQLLC941g10VZamFE!PH}hhXJk80CnD`_MP>Bq!O9 zcXt??1?U5Y8wIHKC7*1##kSF`scEfim*U^+z&;FOm|!v=%y7R@g7ro)NyAR7bQjWk z3d7|~JeB<4(8}>|luSG!Ty*^JEtxYs$M%*n+xgIgl?p>DQs*(^_3o_4}t zq7hi3H7U$^KJ$iGz3@??4mJ2~fljN10QqaFb&`R)X(t?unbC_CnuB_C4|GmzO6)6( zw_MPMe?xGM89SnrLNEiJx)1?B%r#fF5Q0>PzTzH1k(9Flla&hAe2y9D1ZWAu6){%S zR#3}j!3=aFY0JV?;Fka}+e2rd6D@Vf52GM>qRomG(7D^MSrQMBb%pq_m83fKpM%lu z96TbD>z*XzEtkY_5bNv%V75khCo4`>RtAlNNT%EN_hHlJHYq+wK_3Da4gH!yQW4I& zj-Nxf#ivWj=&29Gq64aPyI5u7k>)2;#38|Dia0c386g5-!qYJkcE}+@FQ18W4Sb(^ z+JaUg1{k69b`5>Q?Fcb?IcDpM$D*Vdz4uee^$qJ4tekJ;qsipCwkE+L_t3;_}f^E`)*6(YOUE9-yy3otZ zyPQ?z1yv+ap!A}PI|)LJyOZ=T^CtBv60I`|l<1_dkTjDip%IhU+N8Nc{xTKvANI*V z`P?Uu+4YktoXW1}6i#cm((4Yp-YG5ffcU5eIh5aFPX(eKP(cI@S#Hqav$k)QT?bMF zW+WYeWv^5_+w~n9g(4Nk#E4HbaXY9bYUR)fJ#@u^a{p}CcSoOx4(Fnm=%L%{OU68O z5IpLiJ>3QuW6Tfg-y;!*_M_>$WJLKV=2&D~`Y3ZOXmZ39l@Dsyg4Mt;)F2MEu`auXJ<|n-0$N>W*sgM+{9mjW zpYrzu2M$5`M2VCn@%PLBqJ#BpO)qFo5ymEv1z{|%E(W*CtmjT<7T;*dt~rb&K~WC} zd`zVGnZ0o1RMQ-{UM6n+Q=fEv@Qu;ITHluzk1n&V#@GwUB%`$%X%5?d@36|&y7dN6 zuovo8cyt+Ab=0N`nK+ScZ2^UcxCWK2J;gQ1cyvI*15|@LZoLrGKy9D3UC@o8R9QA; z16J$A*CMS855=HrVIL300G=!2`NE82+N&z?*Y+{N>;rO%Y0zm0D9?(DrNY{Ls=4N(CIl%b91y9yWQ)juLRRVL^4%MR#LSzAn<<$@b3* z@KGv@RWDfYq~ajoz8d18Oef{;F1JuVJdm!RN7Pq+SVokXBv+FXl4f9`gk(hh`GA-` zyyq&K*-3wV?U$$BqE=bYPWok>^q={jAAR4~1*dM*NrxNWWOy1b9VZuGn@%jO@m}x5%WAw7qjvsN36=@{WP;2y*Y%XL)8i_O%cqla$ z1iki>3q~?BDy*0+JEEy#yJo&Sn5U})c6O_^5E=6i60($?_FeRl(;jvxO6%Po@rGTJ zwat3;b=UOK9!;0IS0I0{>p7xdM)s^AXda6+VTvwlHB7dVZNJwr>AGkWR|hV)?=d*c zXC4FWoN%N$xUP*O<{Box;fc{GHBHG$;Cr1m`SzwH8p5Fc<4dC5esJ<7Rbu}7VO1VSsXq1DK!gTA_2z;6qb8xadf-+1!*lm}+ z0bV&c=}yq*hp;Yo$ z17E+y+5?8bwa0M*bsnIHfFKz|Xt=tHio_0RZd7q=@qP8`$##0zTFeBX`eVgRaK5>V zBgxQJ+f3myC)Lq#n+dX|Un3VMq78r)xsl<7S6g6>X|60qtAfS}%L}YAZTZ$yCam%H zU-&J5etd@T1`U$w7;y&RDOe*^%t)^|Yxhi8gDJyW7iG;+CUt?OBNW-Ji?ZfOGlUTg zHY;Y$(V+rEyRdDxJ;4lXfDQ!@he~U`m)iGyXg(!&)lnvbj=Cmj{f9$oiI?Y+Ad0E{TzdY!1MKvn->&K;q>U$?aO#geJ5;;OXdtRW9GZw%M1vaBQ6u|f>|MDM>1~uAE-U9~fEf4yx^mIWI z2cV4x4AHM%oIn=wz6EbL+mtY1;51E5QiPyr2NN{^00om6;-tVy2vQOFp<$yjh>0sA zbWm#^f}%nE(3pOKLnDi~>7=Jhp7B7l?<7$=euJwN^prV%u}6?bo6|CZ1fobur-s*bUKn`zCZhYhpu@%&lMuNRx;lpz(6{5 z6egf|IVIicza6L>-6-D5r9ew@^ilVucmn{7;&WX(udavnx-mb*Inn1;eyV$ONxVo; z2ctmC$v@m*Kc^skCyFQfb?deOIchS<>P=+p-9&$F_Zmb;XO&jMf#_ zn;u`bY1%EC9gSyCd>#+X9Rm2;*k0$5>|3X)a!7Q=2@Z+z)*yL=rfiN~J0$1@p$-ZB zjGm!qw#lz~$ss`yo=N?C6f<<6ZFNLTJsNs+5o-NP$u{|#-I_iF+ziZfm!YKjhAa2V z*0gLOByD_YC7Ex~4%mmfE`Xxj%UL@laApMn+G7&C;w5%scSao&HRugqQjiXHAez*b z?lQ3%g$fTb*26i7Pbxjcz6+W7q|!rk$>p1?{gnYc`xjamM;4i#61K(5PQ|S{CBRUU z7668VW*TU$;w*N!ESL&4yDYE3iZRnhBVOg~vfPf#@&_Nj<_rJDa9Nc1;226_K`4%o zkb11i?*?=YA;@5gOxs$IE{m_2=98ap@>>uO^pah*-9=~-eZ`aAfT_q_FwG|?sg)+) zzCw1EE{hB9F^%0?>unL!*Md}5E_kv+yduc#PnC#Q1ex7x#T+s*YPdHzc&72x1Q|>f zf^!4O4qibkNeso$^JLw5MUd&PFFc>@G^m*!X=Ft!E9O?s^&|TYdeFyQ>E?Nr0pMbu zv2$MAU*x&7%b}jE=UHsVPU7mP_ZlvPfG+MbOvpM*QrljR5%V*H8q>|s#pmx#yG65y zF#Q&t)1~*v{=hH&-#;}<%UUft42JXdK(7#68TtF1zPIt*5Cng#Wns`ma7MsxgElJ4 zjl!p9#guNvLV(;=a3!6}GQ)xD#8)hHe!KO-;QlyAYLX(jWA8`=?C209G}gQ#Jp>m- z6JJeVbeM_UlF{fPxFBW~>#T7c+VUK6=!#r{>4b>qacpqM`jm-Gt^kt3R+o@OvPU5R zUvtz(7l6K{r51Z!ec(py(re?Qw)@e;cs1S^ETTJMnCCvdm+#Yiet2#V?77Bwf^DZY z@Yio-`POidQPzFj-~7s-h_FawR1b?B*h7Mm?OvHNUiT8gIeA$jxh<;r$Vl$V)N%m| z0ni@W95j`l{}@yOC{B1>FF{JJkrO zdc`WWd_WI#l|)v%Cn=>;j=G{&LDJQGR1oyiz!}L!e|3}^@-#8ozK74|yR!$_iZz*9 z-eB%pbDsJ3>{qC8kY5Dl+oIhSl6&kr+C!{~LXq}rij(WtokQUa9bU>PnF{mGcgQBM zXll8AiiH2^Ywj>$PS!++`~LN;?h#st3hNKX7^jhc`=}97wf~6durA`0+pe;0QFGv5 zu@8J@haO@!OTfMHNmm3+TuXys7c%ilr7dS&Y}~`W)A0ZkH^Nu=F8+zTq};Vz9RG`` zL`wToDpz`6<=nMAwNZWD%RM7oTTzdNY?gD!^oAn$t6@+&;JLYHAg`HfjiLmA5BY@U z0ZJ##?Ku8MCdH)VV~RSy52_sms@%0~$Nsorc{yorl5*GU1r+Z2md0L<5mPXSdDCNv zi-&pBZc%HkXENPo$aKHz$3F58{=V>dH0E}X#}UMC-DzikK%-JClTpbGH70zwo&EKu zH)bFh@gn0LK+A`N6c^?dso4C`C!3LFAUJpSM!imroF>2sD`UhvtvP&-UR`4bf}zVa z%sU=Ac)ebc%FoZyhBLtD02bQ{RzNTm7z#)U+FGVJ|Lh6~?v87#K*WT0`bL!`ZHFIy zzul7R&%WZ@-AN!A-P)Ave?0V?&D22f792;tMqnI@e_)4$vsYD0qRNU{Zu}x7xm)O8 zJRU#KZn^aNb>v6pU-Sq*hZ=IrMqiC)rB&RWi*x-B+55`5bK(uyKRr|24tGDoSBOvk zo&Sw*hCZ2(;qbEk#jW?+C^&!U$dXJh>{w;8+vWtMzgOi&fKK68hK*LMn`}HEv}B`Y z@=7`&oE)YqFfiyXu=aPfvv&4T_|B*O;ZyAL3BxHmXSy4G96b1?9E?8GIs7{Dmg98v z@&wE*9#Ddr(>t!2=@=F_Arzfq=BFP#FGlLgo;GXxX~adG{g3G`;yEz$KlmM=_IvOC zbEP$r-i#ajAAk$2of=5}t<|jkj|0PR^*#az(cy(gKx=mjbqaT|H`4Lr4W z&GZ;Ay6%8dOy2no3qzZur{{QqS`>rt0HO6H(}TPKf~7;Zj%xs&?V9OHUhK77FTL4A z)1zbBb9Yrgci7DJ?AXlB{IGe!=MJmo=Z>4{xw~qfJ8nP9bN5zv;@o-jzjKkp8wfN# zLXiV;C%kjTC)@~9lzh^S0LkIgZng`&TCoEthkSv;iG@H7RMk%GrdwbrTixN$_Q(0sZn1oAvAOex&3>_|y#5VsLvq4pJMB+J z7n@Cyh+eJY6XV;h^D%dK?%~@l@*YCZ6g)V{%vSk`5K8a%pJ|@5f=w_&dF0T(@hf zY~?!7a98-f@5YM5UhuP5`MYPY?!Wt8?|e~xEZNV0QsX>xxAIlB7fRz*_gCb9P0NMsao>NRY6Bc>O70|dwP?Vvi;iBSXtKe~qlZ5PPm>4F{&h-YvAG!1*}#R9Ic z25_~RzIk5m@g#i{B_84s>1zid4Oe#`B_7~<-l(6My#rx(syQy%!5>ymZjTj}Lt>JcB+cHOnEZ^a zPJ5E7n_Uum)+AZ2Q2%aiPhgV97{r>)ZnTp5?ttcj^-Phu zft)o2VRY!hg`5|@t>p$=t|JA<{V+!>=TXox^tU=W+)I|G6 zXLXwzccF7vqa3eMx0w0c2o9@T5T4qY{2FzOnZM176>1Ucc7tloT7hSE3ucZcoItQ5 zu3OoTtZuO&c|nZvNlLB-D|R((2PYi^DqgR8zotel&=_4ZQ-Dmy*wt(%!K1@^w7;iP zw{~}+!a-lE@tRR}OEsh_YGOmItQ&R5p0dp%vA$DzbSH0{J}m3dgUWh3NVXmhsoYSj zL!WqzS=CmFh}-Pdc@Gc;s7Et_cRRVoolZxSKv1&%58jhF0?%K) z{jKi^a>4V@V*A0{-VqX#G{2)_zQll3KhHnRykI=%itWtMZ??3TDb@LoSO4NC{?5^k z3}sLbN61ZinqFvdP?l;D{AC(zOs z!7}w>PrJt$HddsibwP|#^PvxW10h}Td~26XpV117Wy$8Iu`>CqBHzdq+vdXd4_-{_ zkz<^}70Oaw*#9<*Hk*_>Y2)TWITeXcQx}2D$#z&Y02M*%zCtRorMOAhHz^G9Cr#Q= zI|mLtHVC5#<@`v})*DftSC2f%tZJgfh!5fbW1$%4s&=qxI2ZLi?dGKD< zzFRTDLcJ1#*@NduLG8AJmi3AVhG6BvV@#vC+dB+ZR3#aLe1>ex=Cd)%Sa148c+7$n z$rVsG@%6XJ*jGP$x=y1u2yFtIw0hh%K}WSv+gq*CD=IXUgwQ3YA!N;>vgvxw{|?E- zzcu=8fRr=)@UK`OeyWbMcW6X~4(YhR@?ZY`!}kSBab4=cW`?lyb_>Gi)WaURM$J*6 z1maZcA$X8GE#b*2;hcI%Jjj02l$?4v*)t`l9)P=F-!dhq9)brs&@m;a9)bor)G#Gy zdJ7GbeYb1yA9zNU={?ynrONb{*4G#^+uoci^$;}3m5!s0i#}b$s$R_HC|-<0O{Kc= z6~}?ZoiT89&h)ZYx+)8i%x)^HI49FPryrhwaP`8oFFre`AN&oQ(+}5!|`@JD~^ zUwHA4Uyj11Q`D8h)zYJ`;S`)f;c8dRhP{wzK_u<*sIp-{fSVw_Iba5RG_!2jOIs2I z9KBH$*|6_Gc$IMIi1ytr&8*@SbeI|j$A@Gu^hA>g%h@Z9VYjB!BzyI_6xA@WsDwad z*;_NaU1dB8YPYQ`NjiGIJ^Sr(FMK!*iyQ4mSAX0O1+t`s_qG(`WQBvg{zjok zf&KLr4)XT9;He4+iMmV>L7BEB;^_9aa6y!_34(>n(T1G;cog1K=J|_q_7zW6IEZp~ zL0r^pD;z{Qo8ajJ2Z_2|^7;aYNpX+rseaKw1UwDLRkcqGFqyjZIXn1T^p&eI{~Jmy zKKXb4H@=Ba{+<7gZ-zcm3b47yHLaopnmfZv7lf7WArMDLsVES~L`Kv+>H~_>uk>v< z1=%JV?z@kmJ~sx=?d(RD9|Dy={nP*cmwsvV&Kp*5n7_qg=(s4$HGGn~L?~UIyWJ8! zJVbB@R(m5@sW)Ydur3Rfl}0eDx55?ej$6a*{Z?2Nu4xYA$WXnlq-ORy^@d6??P1cP z;)@_m7Od18B=KWd#H8cLvS3zk;K-nD90m74_nH;e_w0}lIR+&xste(IxWK_VbRc&S|sbkp z@v6~{FEj*$^$mgji)TOw-C@_@{#Os<2?x>U_D}9QPwnpOEBfCd%MkxYsbnMER`}n! zMa!eMXlYuEU=w=d`~<2!@gs`5X9pe=(8A-E?jhE)qC7%XZSpIaAT;e3wYGF72wjFC z^nZQMZ~70eQj$wdLOX2Y5?2}0ebR^IovXW5bZY$v9q<)p>Fm-A}zl}KYlgz zO;NQ{af=}8^3@+%)8N-D*8T`s2I}JMQSaGGN|LMCc~s!==iH#8o5o44U<77jy5ft7 z%2e={(o$|r{cjl1_~hUD-}q+e6Qxdan&5%0a;qyXKTNH-J=bL+r(NqF#joxG<4SL08 zjpg+6IIrm*HrIHkc0#&a-Fg5v8{TU(9G7pRuc~ImH<}Ia?KH7{^VfC1;$KQYfB>e> zptBSOO7p#s03x(D6C{RLan`$CNkR>b7#G89f~k+d8HKG%V~fPeHA$$I*tE!-XbnvH zF(nCw98{aM8B9Wf7ud^I4JV<{x2wH8*Ce6V2!~;K^GR0hl9Gf%BZdAjpv*3bB-C<^ z;+|&Be0L%Vh4bu5=G&8!P$=51)|?!Qi`f*j{)in1)HOJ}AD0_)|2t&H_4F>5gc_j! z%}Jl(x>-(ursjxbSsq#@D^OwKn8^)c4BT!rE__OYH{K;l0 zdUk@VS{oOgv{Nj#76cL7-}a^zW(q3$gQFcB4~_O{tzpYfLa~6y4bbMwt~E{qy1n7Y zAb{B{dxknY3BV7)J7FonYT0YBnVp1#t_VT69ql>RPJ$*$*r@`olADvPoP-V?HxThd zl5EvhGCK)-Ot=xA3P!)E?eulW}~Dv@gk6AD3afR%4^)1tHt1`mS6Xe(Q3hrtxZNGn_I?ZFg9ze8IGzTX7 zQmvT@4*M;<2FZJ1-eP_9$p&66VUvDP!x@E#x^0bQuj&*;*iHH5Sc3m;zLrg0ZYbZc zXLG@l>c)uY8W?n>qFcyLES$8#Y(QmGmCX@08AiJr$jAJ}IaSFpWJoup1IoO+>;$x4 z1GfY3r_rzG`(dKpIq3s9A*(JOpI*y3PKe|i;&k-#MEXHolpC1oN!WJJOiwK;yjjkg zoxXqI@4fl2e0$JawK~yZU$6JIt_TbT6xY12a5`qEZgs4D7G%iaE2dKHO>fc|BL)|= z7!et?XQ(pdh``{;D<>X6|>+mbhNIprg|)bs5p=` z>hZXdP${oS^;iV=oYH{A?9t{RWI<7nF4^rcH%lc^gI=BFWL1`Q%TQ_G8A?n=hoTcz zP{ZA@UFG@TA=T&K`QIU#_%}+OCNIqd*Yk3)Cwm_m!S#G4W>4`5_I6_>Hf{OVPo@%k z^G|-wn|~}|DP5?q#5Ovv;IKy(aP{uh-5)ps8Sg!E-awlO(B#*-Qeui`FuT7K)FlgM zCAJ6QUO*R=@?hzDMf!L}KWN$vD|W!88o{i@05V|)1GF7Eoo$aLfLoD*|3lg!6N z5X+Ug|1HKLmb&t`vuaZERKj{Dq5HhL#)TTty7$}u1<2Akqv~8{XH+;&;r*f@8s1{^ zUg3T6Q40u5fX`}&%6om(>CiHsAdb)J(3SVSmH;jtpWETC0%L-=oc4$JT@BV#5Gw6Y z9gy~KoN>84#ruUX4Uj4-BV^E?zbPE7SH4A$p?Y(ICriWOn($m3GpFDlUkc7{_{c44 z?et8Ly$nJ2OWyxo|70C_mbT1tU&k6~-8F|}b$5q|Lvu{_6$~2jEElwCco5vfHxb(t zf>d=b2xE((9LNR%x3QrcAxK}x1xri&9R?4zE7I3-!NUC6tzq+Ectna(pxNiPEP-!5PoGAfAP!RC>wbFX8H%@Ut1 z7I+xyZA!MpPr?7jdPAQmHS4h|#{su3(~MF7d{%+;6O~+_6CZQp*L}X(K{o}TNuc2ijplOAzaz_Io20lJ^kQ$aqh7d1Dfv0cRre_{_4`TM?dj5|J)0| z`Zce5)t%SBj?mFH_H^B`t36YoE9-`67Q(PQw--mG3XoJF$piLHaHJ5-_7t&2jQwl< z=xk3xE8%c%9C~2vU$&=(`*uLJP8+yndm2MSmPqK}9D^93ok9G^?90%QB|70Zg4v#$ zDMv zK!fZ1x_^MBy@#alRQU+^s~88;Rg}YRn{)0q-~V1`@%?-L9g>NEqXhf|0}JtHY4?2p z%Y;~Z$`_q>)IlE@)XcZ9L#E0sb~}tNkovI|+RasW25YA0e1ViAS&>*1?NRFh6q3nt zT%g8|^?it^fw}caHR(xT07up?e|s=4+cl*!#~!`K0o84i;v?;=^@F9?iA$n!Dwe|0 z!3XKuUnE^kToR{eWU95!_09l+^ph-->n$23@=1s0VluFjOi#N1c1VI)R6pr&dLwuu zt`e7v9hwZvRXTzz)xMGIq>2`3Y^}{>!2sz|-PYAT(xfHN^Z#e>U0`)f&+;%g1Y}H- zN;C~dA>ss7L_6B$zh4q1D4B8V1iM7X1X7{;Fun{uwP(-4p1MYD1ie6O%Dt#qgaler zNFY=VCR_?l5uuO(P7qClN^?uq-&%WJ_St*SnennT-v2uP zyS}ylb$PGP`@E>|R_8hGJ-aOL*=2dp@Nf2Z;or)q{`U;B{+{t;de5-0zi0etT&?n+ zK{CH*+|0Rz<~_sSxBi~J<;oZBKCwH{@CB-`0Gfn7+A`ot#5>#Vg;+Yr_5&NF4=133 zfa2VH8UpPO*%99EFR0+-fSU`DL*@hWsmcfBc7TPp$BS)-+HZFk+lr|O0`>mfPW$70 zGt_^3xY$-;r>zvI0<0w4vA41leU1mDkZ7+6*YJa|K>H6)so%TOn?2h?__ZPeB_wSnDFWYHr@%J zkHz-jOe>|5`-2Coz+!KE_}p{-Gvm}DGx5CL^=}%+0 zAV2BKC~~FcobH6xav{q4akUvuOt~xla%I83OUqp>$l-<6TQu#iP}*V~-IcfKWq((g zY5c3d_QziH>{zBTMIG?WDg$1ZOEOqp`u?*=k{l9@GW3ocFgLaCQ1VBhGBOJ46sJ)3nho~DKsHk56=ON0?n^Z{vz;i-ot|_ ziLJj_MMlxXgUE4a4^MH&%Lfey0(QVJ)OE2w#Nze1&l9e@e9{G;xF#N$9l9mJy~*Fz z!czZ+8_hE=1I*13lm~1i#ie$bZ?Yu0#1fQ!2W|7!_IFB(>&EE>JaQ~2U;S{m!nAhP z4BjhEym%^N;IH-<#1Rs2q4vbOCOR2tH_zbOE%Z!s=wias|J}cmv5o656a8K3fBM z7us515#Vd3A=+=(LW!SOq^)(qy>R~#r;Skqv}0M2;fD(zG*gOX29R}{$caPK*1Dis zMk2pCF|=Nhw$=p$&<(73i>jurb-}}dM}$9c*q)3pC=M;Qwn&0>5Z8y2yJmPgGD8gK zToMTvm>Yz%dm!1>$4F~d@N4wBVNFV+d9L|Al7;j&F(*RX!f6L! z1UVERBLTikqg#GD^2Bf?*vRz2E58A$Q?sVz&wNb`Uel~DK=+c9i#10xL*eaQ4QE$x z$ULM+!OozLkLQHkJ5uSA5Atjf50w8?vHk{UT^K!V_F)=SQ-#4GX&+**#$jtVc~+@D z+x44TLIiD5OIZrZC#EPL89t!4BM(9bgeol|DZqM%oCiP}X_M0ub9>Mb+B1t;@-WCF zB2|!1SY!Xl!^n2ZL*RUKS_)|%)@dRwBW-8t{AD}#mrQKhlq8tvF*v^m+A%T_Rj#47 zZf;BII=G?dHinet*{?% zlPEy*f+C-mk&~pTQI|ZeShYg(8GcV^P05=3#gv|Q_z`e>nrW+}-ho=uu_>nEL)45- zvUgO5+)=ok%^$6&aVI=ZX8jR3NY*50PZs{@d1R1Miw-Y9DMO1FfKB*B^SuCjA4W_J zt?}XotnN(-zHdA~GVK;EUcl{m0srJ%zv!3#T(qZavdX;xOI=~|w)9`aso#P|1tuw% z7-(mTppJuu;0T{sDO#LXXB!h~YrweYT14g(!zAAN(DVZQ&?bm_a1TH%*CGxeomNh- z^;TwL$Z1PL7UopW-j1qvyMWR!t-A!QiU85`yeZRVpG4k^J;68e6NQ zk9q-N-y=sLF?4(p$$|0(zYKw#4=}&L=P?>Sq{u$nKi7+xx=Nix+V7 zUO@2KWW*Di;l)QNn1*_DYTCeFow*Lfgym_$Tw9GS-x@m>jsLel{L4S{wGpoAdA5q$ zlEmY1+ClJZ)&v-#LzFDAet^)m_`$5GVIepv9f8A^3}#m=>OuTzrKpGCr&&?&F-b=i z^$}3I=FnMDA5r)=Rk;HBKNGJ>Rie=3oA?VR5&jy${2Jm%OJv)Q@JY`&rdo-nPCu$D zRYzICR5Tipb@6$VKG*S5lb6)BGJ4LjbB&;ER@%`vGSaS}_lu{M|La>h{*96esoE1G zEu;44apg+2BgIG(xJQg6Txdxq)!`i#FPALpwN1*>`hGL5 z@8A4OKm8})7<|l{(sr|4N^Uw22WcYAkZFr^^w3?fP?>@}UV7xCHdoh|!M=&vv#?LF z_??P%u3zp^?vrdHijHRT>|mBf^+O-FsG4#`)Q`c+RTm|z`Z5Th#oDq4uDL{Can#_^ zBqaOO*Lq$=1`JL9s>p}oNk^E=Y&m7+I1r@=E!_Dc1H@4PUsr`Si49X>4EnvAJqv1g zX@Zp-2i$b7mr~Prh86VE* zr@}`|Z95;IUR>-?S1q>m;<$A~6Ip^JAhN=+8>hA;^A&2Cc#R5Pd8&Q0CwIgj@8kz3 zEHCc#G33p%lP1?EIF$M$7SBeo08YapA8w*p6!5C$}@-Y}=%vYYt zz+7!h;KZS&I^%~f^D=rUvZz;VH$XAg+S>xargZ?tihNL9@NifuZQ${6vXoE=@*#1- zlcII#^{fo=8iM+G*-4`Ijpisg@yA_vR)^qL%9@I^pybxveP44_`?e@pM0_tDs2 zbkpef>iLVZ$yzD2Pf~MzNfBMe8`DDg5($&MAU`*Y%H|ZA*pJeKu!vT-sj|w-Nxa!g zxm1H8ko20Tjhb;-)J9deg(#G)jcWZv7zP5u0*iq1n$>m=Si`N))oz@9e-g6f`@i>VKlxX`JmwbY&1j9Xb=+b=)M4GRjM*q-juLs~ zA-G#xd#b;{Hcr#6({4i!MF?&-*5MI?bm44^(LF(cFiwE6YIAA`Rz?}^PA8`J--*27^bOV zEZ*T=m2y&9bIWuq*W7LdK%5^p4NCM;M-%!E;Mrc#+K1Te2^ogg_sABh_wpd2}MMW3Ek!Iz|tJ6oDvU-+d9dLd4yx+JSO$_J&BX|s} zy+IfJ!fNxVZ9Qd!s38bak5=2uqh~%ycr{xk_0K9i`yhu6hN?+s5*QHe;EY3OA0*r# z^dZz9gN^jIL+=k9!i3iXoN-oJj1{vFf|gOuOdjbdHKr?BSTsv)P}IYV2Og7-pOh^u zW`38%zz%o}RyE_;bz%Ulrl>8##(}l8KKQ8RQeVX260gj}K#Pqik3OfHRY}h!F(3^Q z$!0VS65@n~3Rh37C_8Mz0?*Hc+G8C$Y3Y}cE>qX{H37s+gOoHUxx72Qw`d9eZwD#) z>3`rq{^Tzj5B^u=g@!%tiI-FGgu0!X$>WL)c(q~4hW9iz_%GHEHp_V3!c=9$TdW@* zCxi5aU=IGn`1Q<#$cFb+Y#*ju)K+rT_AxfLSR9rwZz&8WN8S@+HV^AN8F5faMOfd> zij%W#T0F*6%>PB0-}i}rfXlYz(uKAxge(|M-Hm%MIt2Qj2(!=F|^=T+#B@{eLpk)UYS zoc<6YtWFORw%UhiQs6HS5hUA(i2oEpefJ^4@ow`WS_1#@de9SFjV)gS|BsEp|CJot zf7dsE!=HTW6AD)a0%WLTFE8j8INM6qV0+qX*lb@RjZ7Wwg5Y=}ODAjzf?@SALXaxj z1yjBmL-9mKhaf}yB6vg|S5{o1SlPdYTl6~EV2%)EXkP@uX`o^F0a>l)9L0jVB#1uuS_3KeCYBTn>XM}w z1IQ@LrX)!w_+0V~VhQP+z?H&uds@3BneUE4H<%I!XKpK*Zx47K=u$GV0HfbZ=KF(W z4#+GhiJV(UvbsUrjnZr#ciWo|_-3^1=Od6%5#2O^htx#`a7I zzx%uX$onSDEm?6UD;h(OhM0W zbks^NILQ4+rBnomxc{h=ieMR2kKdx2#=e4ip-OVWn3M#L0JUr(NUPz3Cv^BJr!>a9 zw1Q$aToQ8+tuqc4_WDAOYB|*?sog{L6eGm$2ahmSSLA*;k=3Q3((J=9dyNV~mDz`o zmVaP*(muRfPo_Hw; zG#$k20`j^$kk_Vl3AKOuT)xqCE^ z=_jdkOOQ!CAEnVUUr5bk`dJ<@U5pn9@sdQJm88HdO!9o*v3XP2Zj1pjPE*yWAAPgJ zQbb^^*TMx5YtFOgYFG_$L{q5t^y=$Q6 zw3$@?{cQuY9~ZMEZ83qFL3tMZcj*Ts7g=F$WIGvhzRhV<-r2D0!Uj0suG1Bb!4L-k zOf5rpU3))i*L_lO7^7_05pZ)>5)O^IoXiM@EvotM&d6J;A>5*7)(BR1y;#gG&0M`= zw(G!GF_xzpTy-etvRy}BSNUks$J({5g5Pt?y5jYdC6#L?|C-9KBcn^lhX+4M&9jos zcD;-tJ;A^;7g9m0(ypEM+KxoTd%QU4>nj9l@oxg*pq8O)Vl;fQec z{GW;;Q)(Y_qCk%_H@%>H-2wq`>t86wIooG>Py>_Of|%Lqb*CU^A+AXd1t44%2p1X9 z+M(cfco0M_S0H4#5LMr;pmdW5)}nU#il^n|@)U;zrf#ZJ8Hj zLuyLC{^c~9V4BakI3%~@ko=p!_}c&ZSA#l2Z$|5opsfmqmRhY z&2eOh1k=-TYFu%no!fcE?2zE9m_q_Ycl)cGM8h8Q6~xq@jPgG&WaW^6O^W?ja2^2B ztJj=lluPnlKB$@7Tq7Z%mKOB4*$#)*_o`(ah2W!Ni+v~ihklYg7xKS-iT(IDN~ILP zt33Y;7KR1Nee;A)cV>B~mMX7jHCU?r!4H1+&wOEoV4CN4jpr4%$sBe!KN334TidSMRTUTJv@Byi(VNdxrl%yBYQppPKnqnqpOmp zdFlYZ1PN>Qt1S|l)GPJ~HVy`8YEN)5Sf~QORq91W?|7AMq{TJSp2Npq+FKAj}D?DGUrh!5E5C8oOh zm?}sIq&Dks%r$wlrs@2}EKk?@i&?(H$9s7WVf~6XeaqkfK*aqDrM|kcW#bdQy?@|} z#uiXdnk5TC29~x(wjm=@B9oGsybxquSp>bY<#0TrAEj>FVBGJ5(bxisG8$Wu-)&D)%(6>HV+)F(bRMd9 zmHx(-H2~tRB=gX|W;C|IfnWU@A)Ix6^U* z^NhxpH5g6WHS;Zs#+Lm~2GGV;8g*WZk1>JOqe?pH#w)0?r3)NGVX-?Ee~2npGr-Z^>S`(Spea82M9Nvb%jshvuu~7!gL37PEz5u z`~T>p|0vpWmDAg+7RK<6Ve8(GHr0#pqX0AdFqrz@nfLfI<$jO6KzA44vo zGI$+mHXoNj0wGTg%I!Ejsktg(ktV^@@%eD1{c*mWMOA(;(%^HD-s0A#--5EU7FN?uTVD^WX@3|;sWDhSN#8*?u$mSTlZ+hb&|AKECn+a;hgb>^Ps?AW- z;Cb%%yak?_2&TT_p}7vsao1?ufnd+@&|C(N;dP+5)X>c{c#4$vLzjB~d5xhp661wn z&Z3{TJH_^b7*YhU%Ay}nr?mi+fEyMnh%A`1=;$Z5KI*meCd8quEP6CjuaU24PO{FT zV}b_q?K{|&Ek=abxqj&uEkC@pQsbg)z?_br?+)mofy822z`#zCnMdhA-yV$Rpoc?A zj99JCbF!@$BRmiai@ByHPrg7K1M3O_EwE zJ0ZkVS6;|Sztcv%hVTLix87IB=gXacFt_9U#YstB@(l4la%yn9ghVv>JwC5@w0cbTnzSsk)r0JDtJKK)}-hYpXi3H4q2oMBPEh zfP&TLxalN5bDj?KNr?repbk&V=a^HesQ#d3hk}LlI1XK zn=_yxxnV;|eI-RV`xOG453#Le#G}1yB=g+?%84agULrE>XUWMLw`ef=pg~Z8QFVJ; zN~gNrYTQP{sZbyHgnV;(yP8&yQIqEk1YApV>O5sFEl)|=@|7*hmh-Hky63A?d-g~4 zU8h1iRh>>-zRizOogO~$`(E=Sfx*{>I_h+_W{((j+WFXF%gQe-cDIQxJ=|=SIvwI> zqxG|2gxDFaZl)z|#)f?bEt%CRCQFi#09vJ|n3C1${s3}M6$F({rBswW#}6H4q#k~> ztWKkf)JKm-U&NP;O3HkSFx5JS+e|KGt>EZ=sfMU=Ao_1tJB?+(p`ppy3FPNSFP1aO za(yy=D$IeIuF8BHK+T0WXRI#7yin3vEL^5dzM)BlU6VJ*p5Z4?g?;zOKl992MsZzJ zCvAG#2zxPs7M?_%sMX@SXLPNN2!imtT0yEX7eqTV_^%{AP0f>2zv>aXV9c~djNK-a zslr_FusM+oQaUdYY0}-XugoKKL7Qo-nQ?k$k#$xT=7L~c0f!mS5zRr=by<)q%mtBR z2jwulH(VZJW6Xl$5f(|!%2SeA_j*b32wn2LK}DZGhZ?s%xOjvv$!I_&k89yrtd7pd z*Ck^}FR}~mwUlVxCGm@FN-ySi@nTkM(!{vr5y=nrGS}W!ZC~NCOYG&6pqkcSbU;p{ z{zaqyXi>09b*mm+ThG$fUTM~+S19r<5{L2@Dcxyr#E$*4p8r?bLq!6KCgrl|qvP|k z(Wlts-zbhOfNOL63&q6$fh6dDn_AamcnZo}5|mC9kI42;qj+)s>L|XdC5#o%alVMt zODzCdfmuz?Qff$Aov&}v>c|45vJ~L=C|n@=lX(f47SwDq0dk#=W_u6jnt-KnuoMm+ zKL2)U8(&{szWofB7#-yN2-8wjLN(0e<%#qAcmDL>*#D0~ou=}a zWy;3R#y8Uy*~&=CY{F_+WW&S{{ed-@QEFS4O&wA%43Z$Y+cgGEqbav^g^X>#hDmN# zWHjZ1dkn)8%4PahL#_y{Ft}R8!`KUmEdQA7iX^uIMTzFnwJWmOtc4gFBqEZW%1hR+ z2!=BVb;$wKth8`oKY%8l?y~;5d0EX!ex z_92)~!#2mKcIEh{?~~bde_`FW`!;NPQ>};dgiL?7>3kSdqPukh*grj|zTP6}nC(XB zlQR3aTp18NL9*$n49p=;5sx+5bh$iEJOZ1ZUUC?5TwSC$+@`Y%a-CF^J?U5IUB^;& zQc;T$UyS(2h7tex@B896{#;a;^nGcKIFQgZXRxIEqY!3i+fy0wV(kxGsbOTn^nN36 zS&aDM+=jN3Foz}IG#^Hg}aUEQ(Pg~KIx%@M*`DZI~v)DgC*SD zf&)h~)Pj`}KQorrECCWOtt1m$Zko?$%#={cbH~-Fj5tbfAQNNe0j+%PX-boRS<~AL zNgh*2^j7_M$s;HaG)u4n1fo69e1AxguFl392>(iQ)P+#19Z6oAT=f*L&Ju{J&X!zv z=;V5{NUYoMM)b?})1>P;Uz^9Qbt95Hv2^Iq!{-ZdBDoWMb#sa!Iqq;L>X!Uw6P_6} zX0to=?RA;!T}&->O>&yQQp|Te3sS3>6`cqgy;`V`*Mj2i*@^%V6M@AczdJNE*Md@nG{Mtu z#c9AS#wp`dJ~Z#JA9|0;I$GriyEW}Z7L-TBe~gY|ByIqi*hWy&OfHD15qVk)c7Kd$ zbwBobdnT_VBr!xo+mP%l23!JTwKdQ9clq5Qys~m!l4NM+Ya(o9XePLU<}^q3YUsNl zK5@Mo-&qFM0oSV7G8n-A#Yf;}6|1ZDkX2n3No5s#U76UAt0mM`t5lcR>pVdLjVE%qRfsP#@xbb?{0De(7XWck)=5T5rtU-d(;`r>H4?5D_0oc-uE zf&ZS#VkK(naQ36VqdAPAfR!t0f`eST;_3K`g|q*-?Xbs7)MA2w(1B!t*cRZYHO&); zmZ-%ASD<$gK|oSkL5W&iaDy%o74!^TtSC{72@cZuYSdzqgFL<(wV31}k+0;U>|6+$ zyqd{Zo@SRErt;0V=P2+KUd&Ywm2Y)_uBP%eY>OYg+#sM~zGzziUg(LYoaU%FcHral3JIvuNVd9dvw}vQEh|e#N#299q|ayitVVORRh=bSZWil zoCBa=BY4w&C&hIt>IfS#6829+B*ZG>SevvLdTr&qM~1a2zvR)epSrj*R%Y7reOBfx z{_NlVjknFHcv%-i@9J>24L1) zTO-H_%D_8rw$gl)S4`Q@r@)6~oh3V{9?^DoFlZ)P89($9{X?4e2lY)0t>8mf1TnQv z%n=9)ZH`;4*i<}Zf-3x!%~l4-=98>o3uWR8V2_w&CmC7r6zPz?y5a$z0O7%lE0<|L}kRho5?bEsSfJ zF)c-)A^;_kyZ)$dJxaGp8vVVFRJShpa%f>R;uWM|cksoVUoGm^f6eIxn4Od&b{i?& z=IbNs)+G;xVQzcqaMp>sb;&bpFS6$5XvDAf6&+iu+ah@bEsUb_02`-jOggYhi>Oqe zx-I*KKFwOT=j(KoJ}8+Us=pdMdF9JGN8-5=`@1OHzAbe+ZTUWR`aA#7 z=l;Fl9p0-h)MkY(Xod3I>;;sOwLpGh2eo8Yr;|Iv2OGWVy}GV|M@HBRTNsam_s!>+ zv%(;vVCq8zH*M>9&I%7UWz%~thaT+7&ROAM#am^C2Nf?PPCxqN^m!NUrHa*HXadMG|ce0;c51`?h8v90)FXI&2lr2!2Na=)}=S z69&_ivue?X9co=G2+QIB!-@vp}`{xvi=&uqBsae*}6JfzWI z#TL?dT@0hGH&>M}IGj7g;izIBxkYWXu;6fR28Z*DU-GT*`G$zNl+4Rr3ZwRV>afL# z04eE#A5l@I_7#jPT?!XOUm&>$Ch#Mk4@ABSKcaN;WvV_VuzbZ6E0a7l2A116gE%x@ z3Kz8T<0w0VA5kH)A;^f!1%Xl3NeZZK;2fkn1+0%|;u&$dU;+P=A5jzWu_9dx7erl{ zt(f4ETOTC1pMQ+F6fSAiUP^9-jJT3y#O0FFA%QuwgZzjGgqULfQTDY)&^S#=VELLL zI#5zE@FT7@(Uv6X@wp`E%vi%^iT_{-W|tgw6x8rW--B)s6c@zis#LBl&;YBc?syF< z{8GV!IzEC2aGv+_Z3J`+KjOSs+;lwf<%mSL<$>9^N+ zNU%Ku{Swyw>MG@1gswrDE!xB_n10)%=X0J6taC8fFdy5QkRbHwY4iD{}K?JEQ;Rc#!eHB2HYX)!8IR8$C7#hj?op!>QJ zq7IBu7)u=k=(SRpvwWJ8cbsr-|F$doPljhQw{jZv4N`d@e zxa9FEf6veHO?=YB*X0@a-rK+M<`;zjg7AO)JD>e><L3_qx1X^@oik5_jj$9`8QJambD zP(kT|`U@spF#i{NFFxh(`8mGn`$UOBc=|#cbqlS@^DEd-tWWqQzxfy6`8tivO>!$GSbc9nr#E@tRf4~4#vPs*l7WcmRx8-9_#)lB+6rxN{xMZjBht;Qm9YlJv8$v; zNjINibXsF0c*$~fPd6j2&|Nd%ok%yMK;2$TsbKq>k!}XmzfM(L+avAOz(vEU9(_fm zn^W+PY9M64CH{Y4|U5d_kS9CVbQ{4A^6=SV4C$?$%rRaP!Mdv^LW551WpB!EQ zvd^f<7MQPW5ktmes-!9QX0uh0?t)~7To8Rw$m1Y|gyOR$Q}incN@mCf!OADe6x6e& z8i2${5tPi336kGQN*jm=(4r-IoFXWhAzu;mMq5-R3#(CVzV zFb*x5As0L!I8v8_J`XSiB{O7#F)5dX|J6B;+RS1G`JpwGx?SUJyXMmVrPI&K74v^r zMG-e4qh~rfdxN(b(PK#zYc?k(dbQU(8c6}2!-U$j1JbQk=d5DusvUBxDa;3YpVIyy zeLd#PvQ{_K{(NF0m5i=xFzBZJQB1BnXIol**^d3msGB=yiJ_`GXQjB3GwTemV}EfF z(0do)*=|=RqwSip@kR6Divn(HY_jK)$bf40~bI(C>%dn2_v=pKEC+)SapNJr6&!d}}3G zC;1C+{PExQUw+c7UInhjNYh`f;Q$6|6<4L_nY9v)*56Z+< zh@#99I?29|c^D5K9ij{pa`CA>LVVNrsnL0z>Mz=J;$dA~l=htC0eRi_`lr|VBG{O~ zGu#?hyxXOsbrH=$SiQA_IbnKXh%MZG%-wAt6A4TF<%c!r*f>fax`^ZSFkhSiwN~Sb zr@DPcB~0ZwqV8VTQPdyOa~ei3{H5*o;Pf_tTrOwaQL`0tew9t1H0>AUt*dRd;* z%jzk`*3eUmul*_I$DyYb-aHXhud$<7NC+)Dl*j4~I2-E;FZbw|b1))_2 zz-{*z+X?^&fqH*#$Nsz3#TGA1$!lzn7rQFVhd{NzY^VKkzB$dYJzwl90NjpxFa2QI zj{R}L^67{x6KMjyT8@W%=ILEhjrZl1fAh#o{Itkm6TMgm`&vDo1pMCFpu!x--wjR9ag?GNFk?8XeFY|W~FY|ZY&woIZ_EMdACUqAi26QPI}8nVkusDi!NsIDfBbn;-_Flao%GB0wWWGZKPQ(e@R+BMMM{ zn_U!wQqgw76DF?7is-j!nFVP)Z8y}=+3Spe0NDbsXzLm{M;cETJa56QCo3M-XgsLT zk;c;nQJ>Ik!4V^ehI$uDQqTf!( z9BtR<_AI5~>yqb<^lo6y_1@b0>?{0mNz=F_sBl3@D@P~4dHu^JP2-XPCL`w}l51<$ zOKax)Q%WF549aaUk~GEonupbH<41Q`L|mnOhxRKxM$(Of9?{PA%(v)-?oq=#pd3>D zqHDP=>OOd`&_*#K`=XxAm92F>ev0lfx6@nq>Z^_DnK@^-Z9U(gkqjp$KItAc;-!*-pfnq zy-d4BbaZml&Emb>jQ8?=Pyh9I{OB0uV6fjmhyJnu!A=tX2-Gu zFu8T;508j;6tw}NM87KZA8a$qq5oh@P!9bEI)Z9ceqi>%A=Na0&lUcP-_Oo)TPNz90-_eDW3jZ+z4DiBjFpA|#8& zw^^YIzCaGfoCjLVu%+VNrp+ohE}()t%mhqGG(1KW%k<@E{McLn#Q8M^RG`Ffp1yPf z!~@!>;SwVh1EnLwfgnIsOtA}=F%=l2YJ)HAE2t*%!IZU(stchULbW5}3+k}EB6XOr z7~GfuHd8-jL8%bgiUs$4S|4mMcO05JtgN`hFj!Ha=HKTPsl!|lp`mkQVopVKXmQB= z(1jawgV>=F6dmSEp3gf{wZPZgAYy4H#clI7H!DUVQ1W0xRu--JIr5D9nx*^=ycmME z`U*(|RwTC|u#|i(;9d2alQgI(IRFflhuybYM1%diH*C5d<6qy!E5?t3Yskyyr9JtI zrBOi+mFZ_ym@OYX0)wTm5^{Z?D3x$su3Y^u1Ksp&Ul`Fgm}Iwmn}d>WU3$1L4!aF+ zvSu3FCrrXu+wtN6+EawYl-$@f`Lt$wyf2PE3;|4Wk`Bm^x1bDsO(5#=M!`KmWk3*# zm1KIrFF>zz#$$_z5w8{#2U$|{uKL&l-vQu+tO=3`qBbhY^nhPrYJsxnV18HURnTgv ztw}(agxP2r6f9=7rs!usI?_3kw%`~u2IQ4wb&t?@ph{pvPNYUM-y_gs=skkgkfw%M zbNWjI=tFvGu$5jKYVd1-*f@Rx=kXTCH; zkc)OimW;g0QM+j4fLwq=27zn}lK~;9@&Y5AGpKV@^_DOj95{HU(*d;*o({-|D|`V$ z462ep>h4u%0|crtUAEKyGQ%q!9~g-$%`gIaKw6+WodyA+h=%-gnydkE0)Qx_;{)5m*rW1JM9nK{_A=s^2f$Y5&8;E<-+cz$H|_e`!H*+5O5iKafJeFgi;_sj*054lIs+6wXkb3u@$WB4N9;Pck7oUtO`L>I&qHbC?7 z>Z8CdIrkX&rO?8|Lm zEp2ls^*~4M5>S%~7W7#45??io@N~OjIozKTmbXoE()5;8sd|5*$~s+b=!&aS#A97; z4Zabc;7Crr4vQ5J8M+roSvmh{TpBSAAJAMhf38%m#b7udqGQy z0)z=)Axy@*nDcwQ{4NL(Gu0J*CCq%(l9s2=YE)6cj}RJA59Af8Qhmk!0hk@CRC1HH z=)FKQGN%HVKB5dfeZt_L&gCADl`c%m-e~E630>1jPEFz{|k}6Pn1m2J?;Yj z_vV~JZ5xuM!8oZtjjBUew^(v&rz%bnuu;}^H>_*H1)Ad&)=#TAWo&k_bWA5*joHPO zpFUC!m9B@Rt7|`-mgi`$v#?R8mmA2d^evIf)#e1f%W|Z@FSn)E?R6YkzE7>c?hk$R zTgJhnWg5U3ShP$7=%HuIdSj4vDXVqBLZJVsgfR)SZDz@89dK6CX3~NqKUwJ)#k;u5 zQc6#%EOd|6im2vsI>9&B?>k0C5;&lz21SPdX{P{D&+3LqUF$!4%w4* z4Q9$~2Bso(nQ1WhE_*Z>o<&^1lK5D_q6=75S`nfVq4r|?(f_3*!`cA+fvZ`7`u8m@ z8P*3-_8siZxVrm7QJ^J7(gOpP{)z=GY7u7@*mQ3Zjg|Fh=tua+af^l;WcZz@h|ozB zI9vnj4&Nd)flRnX>lI_7M}P0H{Oj-h#8Rr%;L2kn3$;+8T%%hQp$;-RKuP5MM4e_j zp*#X#8iJS&pwWS?VWrBlBHVY)9&G_}Y*x%^rbt`?v00}^>NHa%u8_*na4Zg8rI}E1 zkaFREyAfjSNVcf)^m9DzSesym3n$xVdUBcx0~HvRASDF$qc(9>rJ0VH2dI)8@O(Ev zyGk>0yrFbTA2wbcj-Mkjk)I}h28vgViHs&P{~n2nisXT`5+bP$-IZjW1>7i37Hu%% z4pfrW{n_p)i8u?+O?#0fp-_&#*>e5`&a>y)5bxF{K|5s-Q1r~SPm#n0E@=p1<`&l$ zjoMeNA6ED10A?;Z&*Rq0v>_>m%h!Y)y4~d$Vb$gyNs!@^Kqr$Bokw3`)KvXiNVUx+ z(U*;jBTop1Eme}Ekz?_3l6$3&&D!F7d%S9gFeZdbRbwRS+g*hFW<3k>0qRL)Em&1uvH<$5zH*Z<;g|K0EWaG+e(PITQa znQBFE3LuTjYP4?GfkwE$44CaY;3McfF&o@Ea@nkxROcQYHLW0X7H-*I7S%l@>Q`Ec zm9vsp!d))uVpps+^|^FwlJ;;ufGVcpv~}3=MF|HJr_J9^@*1sP~;O_o<@*L zuL!O{+AHpS&$K4Snl6aU?+)jPMn1%ITG6wwaE^2=i=cuQA;`Pe9GY>A3*sDkXbA51 z5@F{bBe71!=0y3{^oSYKXsaOKOoW6u*p zmSE26bb&KG32+8`qHjx`PFtRuH~ZmKr{DGZzxn@sW2jRt<+u-73Okd>WtY0wu1hr7 zTleZ4ZcTOSht5q}gK&l@kfEoltx{z;L(DH(#%23ursB=FCx~ROKQ23|!6|q$(T0ya zgY*clEES;Y$QgdE?%-)A+nQ0ko1%ehOVCXvWrV^qFMA%sL9)Hco8_CEyxEVXdD%by z&42qZ{n1d(+8pAl*;++FZ}DU_fN8}dI$!AN`Z-Q-06V}Pr%McWSs{&xjt=#7eMJDx zkO8OQ8uSrxHnSi--6Du-q`D&LGPJS~=O~`8OJc?___8x-{ZTUVw_ah(ri9hgLqsml4 zR_vxd8-Uf02nUIQY4f^55bd(%(wS6jh6v`4S*4%9Nz8@NQ^9&ayE zZU{wDUgj_0Jh$EZsMB!lYL+4~=3ontdA^b2wD>PVhYBIfQ2LvmCewU}4E#s)aBSL9 zZ(ixE=AGzYU#b4h4&7l*= z88aVhJXpYFwQWuU@A5ToWMsn^yh-$8S#x*dYmf8XY&ws%z`I-$vkXyTA)h`wn5rv3 z?XgB@fBRU23?N5$)nkqC#vf~}>5nx(PI#;#=8rW$#vbxm4-gex>#-i9CTOfRz(ph7 zimiD?%grqFD%=OPd9B(zM;HJ*)ClNLuUd}As1c+^D2`8RggMwQ@m|8b>-2}ToD6$N z?oo#+q2V$B6zp!NIkeOWnC4LZ{xNCf&E-YP_E`0~wzl=?&KwwwxTG|e{tANf1`wfPG?pOCB$N-6c4E!<) z;@uBleE7oU1G!szJ4>el?+G_vA(J5f+pqtcSASLb{CgQh=a>=Q!sJ))**;=emHHm` zl_!5>Hwv!V!As1@*61KZP~O^F5YTP{F2weSpuEo}2y6*CqzIA)|8*lM$sZHMAWM=s zkw@F-ILC^TTJROMKLP3mxdnBPLQufSE(qc#X|Se4)&6Qp{+J-hmY5~Nid*l*jukce zYr&X3CYE4a+b?-6(2eeP|>c07nOB0i=2u!jSRxN2SteCyB8O_x2cKQHtu>HOgz!$C~F7Lo{$9}I`Rav=FuC-<^x-B_lx z-QaXK4xUA@;10NqTk~;jBsfqX+ms_c?jo!XI0A)2B&K18JK*;Q=K zyF1XWd{yjN&>1OJJaopIcVb_eKkkC~%f=PD zM%^hi^kQ6aa0(5b7#FnUA~D>uX+759)S7etL`=X6^9m9v+XSYvoDk zTCoRtZq;#V8GZZZ+aBBvQ^&Mh)IxZcmeHGO8U6e3e$}_n8jRAx+^1sUQ-hd$T3)My zJq3CR9fr=qC@najme&OV?9_A=$U=mbHP?$%_MAh_z~sRS9JmnL`d=y(rs1g=|JIg1 zbM<=w^Io$T2E*1_QL6bIB@^bqra+cJfMHlI`|94LB!ieiTFCN2ty17Qb-S+k>A#g6 z!c`dr73(*m@*OLFJh*KrH&$b#%ZUxx@n#oFb}{pCLyQk+8H@r%&Ma0!N&iJkL34Z_ zn!fS|qkP(5`kD9t#3+>0aq%Z4`dS2H1`A?r1G%b+`P_D3l9B^;Jms|pqqN|3$$;95 zFAH4!Q9EQ&GVQ~#ON!brkYasMoCWi?(lI5_XNGd#2reGU?0kEW(AC8A3DIDC!z(B! z=)6Ijgmf}cz5<2`DCcyotV*#7Z&am*cJ63L6}Usytf$$Bx7SmdNS8ej_$(BxH%P&X zY1dNt&^HPstGK#pwgI83AkmsV?fQuYV}A6r{gfY5! zvB{B&+LBbM+}*0lv}Y&C8!yQB03rI~-fLJt81Gxc?LM-PXcwgm0b zrK(CY&M~{AXnG@eo3d1^J`2GN#st?0U1pMLlbNkPbmfkowkOWGf-2tvw%Yuf3XEAO z2hffrKPOz=Q5C5wr-NBbmD>!%oPbs%RxSL{{ndV`9=XqJRb|)Tl9WAHes0{=X4AY^ zSBUPl`d^IoTQWg6p9%5`4)kLRE1@5S-Nb7&RTZY6wTi$N4>sp!i6$)1w8g~b+YASr z@&CdP{V$t0eL~^U1m6eB_9ZVaTQVttBfpTG23kblVily`q-SeeOotOm&dKLnQ(hs+ z%jkkk^#?|S?9-u>f6MjDE$^Cxx!>|67?+v;@^(I?q`@BVZlj#tk z0Wq4Z_IPvdHw~oW5Xf3E8EKHXM1qWc6PQ0CsSua)I%Ny6N;7Qz&NgsxWEEzE3P*kCUp?v=(;lfkxryp%wr>2~X9d;fdgDg7 zZ37Omo=`Pga>~kaG)kv*Zr3QbhE6S40!%4-F`0_iG{LmZGEHqtLIzyr14@jY4p>_w zq+bzqC)*}NSMvS>Bux8rJFFQHZ7{`Ye?;y0J`iHsVj26(cI+>fKW$3p%&j9lVCLNX z>Aa7vwIiGWTEey5(2v6;jyJ<1-<#mGKH)C$_x`g#@v~!45uj4h*hmNVtgY^u&rV!o zsVyMKtD|ip2t0=Q&iWO6XK{&55afM2Ef>CXhs{o0ViP>CFiw{-HLke~;LV4o(sFFY zes^LM8~~@Q15yRzJC{TA0W5+A!@9zF#72;wQV~>)H>Rsm&ozP-R0POYX#hn%N`{2z zbCe8$FNvH3yYT_?MpaF9wS7hGa0L}PG58S4lTfu(Yx0rxHA|%qFdoArr#184!I*5r z4)1p|UozI5q+CTQsm{A1F-v8o8gHy*rcyV?V;=f4K26cHdK&%lN1KDd+uv$V(S%9! zbiDFb)h)9NGuzZPXBXPzJ>uq4?`Af>yK-}R+|sx8u{tki+AUflk!Qd3?H4`>rgQ`L z0)^(xi}{I<|AWu}%Bv&KC3djnxy-U+E(Up22UpJ&5=V+V5`v+ z-dfgd)Cb*!Y}5zcglyD<6&Sz8GH1p5XQO^Nz&#MVx?AnFA1i)!HtMjZWLA)VtS*ag zh5y{6vrQy7u&|AvP#JZ0zA4i%khHCjB5t~!3pgia${cE_i0L|O=DUN2oB`(}-=1OT zBj2CXp!1P$(6IB7@6ch7@Kmo7{HgXT{*RLWI)Oov9Te?*JA zef7ka?O;_STVg?GNF=5n9`<|P@9H|-WJ&d%*PJ74kLpYjXu zjkK$FnYy!MJ*S{v+A=!;X9t-H^$+YTE9wXC^1Af~c@GC37X)>G(Kb60pHd ze)Gll-Wx#ww62JE#MR3C*J)TuY^CJ{s#we&{9Ytw6RtqM_Eu2!E#~41?Ae`b3Mb@f zshj=P7+JnmR{evb;HD#i2_FEX6+2@k>Cu;IO{xbVcv>~%jWmhs zf~fW>11Yl65+vjSkW@h~XjFDQbaw(HRgeo}l$BC1gD=^_4*Lpfk}AjrF?vdy2a$H| zoFi3`3!))`8BfgTEc~OfA|EIhL|uq0%H&CjqVkWCXRSyc@yx2^$`Hk|q{Le;c|M?v zL7-dEyowY~l1!o&Nzg6`1p-D&30*;T^n7;?Ct$W@&EsAQc$FkAL|GF{A>!fG&6A|A zE6IF+&_U=EM?r`PJzYuW8^rRMhS;DLVNNkj-rrDCidm2-d5 zD6P%0Jg>ohqk>-Xa=}?@i0`Pr`wCx`_gLTa8U4$;L!w#KC8w#Lt5io;QGGJ;Z>DHm zvGgdK#jzaJtKw*enpzx7y5utW{Yzf`d!Bz?IF|j8B7kq`<-ii{Jiv7LLQSS@d{l7y z&j8+w9tc5tF17_<%{h{LVEJ@hToA$ZUi1JI0$B4iT&E^eKCaFz>`+7_7_4fVV5sv2?-0x=h2$usXL6$g}2>79?7zEo0~q0ZuyO5X{* zX~0WsqHL7dN6<=*TSq+9oVZxs!0?jj<;{T?b-YCQMYFs_$pggk=_OXk;}O36Hq9Wp zDEHEO0RFg|9*7g~pEr?G0C(7Ym(HH>+j8lqE#K$Tz5k!=e)Qu%u@pwNZ_6Q?*2RUH z8YkADI4VSum(jnd`qTvtP>JCH7}uei#lAAMlM9|00cx&rCs@wBqTC;A@jRC)C3_tQ zngzwVH$fEBfFFXYLfk6F+bk%#LKj@^7*<1YvyuUxq6MxTYjmcBg=1G!)x*y%# zW4wAe3vC%ol9I}`HLZw=Tplc_hTloDx<7{lb2wNN;9c6g>gf3f9k7zLa-3xvYa^NO z&}qBn5LT>->)t*^5_0)3Lhl<)B7QqsaJ$!&HqRw7!-jU5Ex0?JMn3we)lsc){bjn5 zERXy{N)RMV)LRl&`J61Ue|gU0`M&aKn4tKiXJXA~C2n|h=u}nGO zeKkE)Nm975a|ZnDtb^I%kXXlP{G2wv)M4-7+OXVSlB7T6YYvbl8P$hNVy+#bTJW*Z zt)oz_v}V3P=u_qRPlWZGgADbI8#&p}P=6pmSYeVMb?XuGC5^NH7bZLH*VoXlhjGZ2 zZQ0=B%D1o$ZG%_28*FTM4d4NlNIRh6gFQ=EsJqlKLP~1ob z==5@J}d>$RqBUAi;* zs=p@e+TZ^+R-oCWR2l_gsyA1Hm%g5Pm1rR^2u-eSPoa9R+1F$5!fol(Y0LNN({KB~ zzVWl(7!X4(DZ4&(xQD^_0J0$=w7jzRl|c+$5Y+`LUO-~@NH{fu)Tb_J(0%*cX=(^mh`Pxxc-U=^3SL11Nfobs)!=*RcgjGLJ$U}q`*p!lt-{x> zt5Nht-{?0*gdhCBp10P&D)NoY9cmAE)Vhuvc}T*Gfgcavk>mxbtq3ouWfnoX0-kn) z4T^TJ&m1)cO>6MRr$0vWvcf6*QBQ(fzz2ec>WO_EnV9)}> zU?d6e2*wmQ=qtj7s<^I^F;Wh z1D4#8OnE^6?U2MQwHA;f*#lp5zdIun#q$J)Lmij6N0KLSNh3MHnj3&L+N+dw5eB4z zsaDQ&hx%OJH3ZNFzj z)61G+U^=WfIXj(}I!u$zO$kWM@G%bW2;*_9oRDmXKY@v`c<{nI*`jh(aocpp?@|+_ z{g3rDTaF8>yrV6jpyVCNcG@53o4ung#{X8{(N>ZX;oZr0>@UNPV{?aL#5qNVAz8bs zC#5sY3p2KIPs$e4eDNy8_0y4DaeUcM2b3YXas4ocYggsuWW;Xz{j#0*KV9r{QwqlF z?yBFvYkt3Mr~PI6a610+Vpm}YcT(m~=U@AixM0=otlZ1{dbK=Gedg&szji%xA6u9- zSG-MZ;#!wYJgNKKzsbe+xhQ#GpJiXYIarnSyYY#_lUJt~TI^ss4KFsuT;nXqjN;e* zkjz@2@v;IdyGB9Y8m0D<4RjBrrMZhiyoE>2l2yBmw+gl(vk&(3v5199GWKF1%Z5Ip@?n$t4>=#-)$GfKu#N<3A?9Ga;(UQ*aeYD zWT6Nv?hc5;>8^z!pH3GuXM?6;Ev~? zGpg&TMN~_8yqn4wqDUxFKDg4+T{0$3L{x*lyb2c!8TveMwWMT{(v$LKC_SM&zq176 zf-}22oS7-6nTBJ*ncWOE^0UA7KfM1x58{2j8Ljc%3zS{zVrV<6EP(y1j11SI$mN16~J9gdC$d4nz_N&1d1i5V!!cvAAjs$~6xB+>1XrQhnX z*~u`%{L>{x>ynnL<8KF(bh~DCgV2;=M+a`Bm8|X%kQI<5;Q(XD<~;K)LQg*Ot-SKv zoupH%^Q`XC7Ie0HkFaXB=BP8H?uRE7S22=hpglkNEuKjvAzAoE9Vf?qApfhr(AF?+pi6v)y<^S=F54n_;OWZ>4ZwP^J z%a54dm!P@-H-GCZ*RK!cvz}*bk!~K+F=#)sMFQ>u)eE&Bl1s}vCo4Sb*@tr4fMvf@ zIW=VqkRc2(DY%kBt$D?)?gn8eS=$Z5PAZFJL{!wE{8^2zWkJd{efl{h6Ky23MFQYh zTj1aYbnQ&GNa(~OWeYSt4qjiPQLRj^l(ekHm#X6)1D>o4T)Y3aPl*=2Yaar4C;z~f zC;O0@SYoA7{R@j+C!7}cYY87CL)h@O+zv|aiB+lp_wRn=fBj{lQZ*CU)(DWGC0(u5 z2n5)gv*mngJqI52#M_f#gtH*8y9*Y2Vlei0^6$Au5cI?dAnL5TqU3zVLQi~L!7qjm z%7@N1f?yp!9rjvhw>1!mP^J2c!2t*aaC>N~R2K}^VJNLuu&NONmuIZQd!*%>lN2Z4 z*Nn*s;EZaED3S#(l4Uf)8bFAZK*#5;EK(k}4%k|762;~@`+;R55@lAa4@BD9k6QA^;ldBtTu|kRusjl0i z_Bz!CwDO}e8iim8RE2EWuAIf1>uN5Gfuz!+97E^5DnyTQT?w0E+8_0Zs*pd@T+$Y6 z_}UhnA$x7mYBE33XD`ZaxwF%j@2hV7S3mpfKd_1FhSs#*owW+LG-)Y7(9-t-ENdG1 zj_3&yBq7owsxiDu-XIB)y?|#$#Ds0I0yzv}rqE!@`+ujk>xc?e&kSANRMaWa%10@B@wovDT_Dz-Uk88I&j zF4FNK5&BiHwfIc+4jIsa7Z*j^Pz$W`R`{G&56X6^H6n^Dw6^r1@O z<7?PuHb$(lX2R44API$Bu;RzGt2x@`eV%I6nrA6B|Rf=3ehx@3j|*FfO@l>QX^KSgGakFbO; zHy^sBzV_r4qedcuje}MvpsJqiM)rUHzHj}Nw}xic4o=t1*3SvhmJ}R`)df8lLWbOS z&ZLD424CCS8!zoEs~fvu@U?*uSBr=t$gsu*!8}QzCL7t&5z-u*S$Y>lwg!w{2trQl zL#ORrVpD7Uwia;;YEwe~F-$4>ibiXRum(erG^HNrC{C=c2-v9-nSfkQ8aMKal2Y;| z3q!~m{9^5r;<>sc@<_;_;dh@w3D903b!zSC=$IiU7{3RDthCA+rzvP0UlUWGv@;*6 zC+l`~$;tXQsnLW4(|~A`%A#Y_DbqSnARf$1qTN>1PI$GX=pQ3Rk9aJ->`<=ANgbji z04b(xC6Vl@9&z@sT@#(Qd>cy;BKv!P|7U*xw?`65vr%mVKS2Jdzv)aFOIsO4*0z|^ zHqy(U`GPq^OoS+OBTE8g6|d9nRRX`X4Iqis9-7*=92($$Ei&|sA*Qxnk2Gw_KXaj^N$YHc$Hsm#0eLV~_)JyfU!Z8r6~{Yofj; zhWC)ok&+w=TwkCnfggx~bINEh1C)?>ls@BbUcG0R+yvv)C(Nh@Au_sDL z?HEY8C2?GHZb-C2l>0gz01MM_&atE7u)Dh)`;xA@yL6Q)rV$I)nwTYBbu;Oze{1`V zANQMKru)-X=b;h~gCfgiU{>!IRdbj?5NLHOGc?mK7()S2P-&aWsTo}mWi>)yQSDo8 zt%hx1!35Gw7eO#q;LsFY4c2;&@CyXMgRrf`oxq^TSH#!?9l3NyD5z!WI5f?)3xY_w z^t~fKVaynUVy0bkuplKtkxLF%q{K`Y$)S>z1Vt|SxHYL1$fSTM{p-~60cOo_j;fZSyW_CXeTPVp&-eYA2BhVAZhUe?#>ICc8eA-;C9B|zWd8AFZYoe z?FTR;3S5hE2SOI}Z)-Fyy?_F{)d>}7fEk@RxkI)Q?gD2{w*3|K0(`~7@ywZ%Es(bY zFz*0$mKA#@L^4?S6%PohC5MVmnNH7b1~4C1WYR&-@c`DC_8jR2_=+G|<`^?VqLIIC zE{}KtE@|^Fxuj3Wu&)@_X8<$YDdO_b{&L)l3zA{h;sum7kr9QYOuWSS$9!|Hm+(}R z+Q8x>6gN}fDKLpQ5dSwN_?9C*>TC9|&AM&PV4$hbBY{sW*_k{3rNe)T*Au2ssvVJ_ z5L%{$HlaJ8HYJ^rz$g6LpX!?$1!U{Zwc`^iS2Qesx+BZCHi*g22Y>t@|Its6Q9x?U zytHJd4eu}qCPLetQMOS)tbJw4P8Y-gSIqQfnPYX5sjz_^YY=l&N2_73qOyIFDNn0f zap)XHVWPPWqy_7;_Qr)^Dh>iD2gNCx>83`jSqc*YoQ>GYzq*Q|(7ecBLrF0ELDz-k zsIH?z617l1sQMwRmVLrNnxf}GE$5mp__aWPXMJ&@VC0i8Rmwcz(`q^=l)KK4gl}Vs zDY|lsOub^#dS2@Bziw^p4i9s}<(TsV)8}Yy@PxvIwFt}{bAr_fWN<3UNl*D+!Pcde zz{Lp_JH+ME4J%P}+*L@XoIu4hXN{5ZkP23}{G#oauNN})y3eVwzsb`BGPd-bV#|Z?cus={ zE*^<^PD%Fm$P(+_=Ty1h{7856oDOlnE$y1*6HE1jdF`5{uB)izKt+c)Ev$Wq3J+X! z`-F`p*CFJ{2n}*^psGe6fYzXqZYT+Mn@MMB*CcuiY2wL?F zL2+HniXw;`gnlfw10g7nybFS=4HZ|ch^Z5r9S%WS7C$tKyzGC#ir{2YZzTlfC9oB( z4GLvp%sx_M6@mi7aY2l8(6TU$R{N{PQ}SaYbIm9hOJc&KrrBdj1>xA5XAEXC$<0~2 zG?FAAZ(sAYU3*a)&2(bbl4Nyv@H&b8Lw5z7McTh4N!wr6Ty5CMhw}t)ZRhCu{=lCA zEQ^xp{i}~YYE#wgngOI#^XCrWHEYtkNI!y7&!{i-Z7jBI-mLSt%m_l)*p1H!3N>D- z(tZ{?s$PEwLYqz_xm%LccbA+tH8paJ+Qh(8qQ03D_3!xj2OnDIEGq;SA-lK?4v6*W zdYQ9a0kyU9&o0t=nX~+sfB!kA3)J1X5@@Giqjg1$$G_=0%PGmf zkUlMQmP@2e2kONaE+4)XGj%WDj+(ma<9LdGQ<}@OT~PNcRjrP z(ziVO)_24qWVrCX2`($09B&)B;)i<*V)2J=r&#~hpYgdLd~eX_t8?0>P!4NkpCvs3 z4*NPzR(b{xy-g4as?`y*W9lHiiqUr~R(zQ70A*$)m_u}c12DOgAvzH8?V)oD<%s4S z#ld4R3! z#yq#)sQ_`Nikj2A$*Q}C>1ps;U7*H-tQ0as+k0<7g$KjfQchSCM3LfcG3}r~Ky4#( z$WqQpDOuT$lqxDSpl3+O1H!#ZDNE@l@6U%N?f;k@`J`*e<6ubtP>lnT;!Qq2lWytw zB)LhOOc*B?7^zUCR!aF=vujQ_={evDdiHTr0~3~4HLhnjQ;jo=`+Gj{y}vD?hP6w` z>)qBfgr-BE35>UquXpYhlsut1=r%?j^$FCwwdibXAlwlZWP)iXFaSf%ilTx%E-I~* z`@PZH$BLqYOb{v$6un5!p4MyBlYmx^~HKX1w6k_>8>PzZB2gP`)p`HlYZq8CFxONDPg9CPe z+8o`N!!v=;rxhn~;mz5sZF*-q&wP8V-pPx}nSl8kjcQ+2nyVgq#L&gL-Z|EEsT$T} z8CE)7W1D(@E>+$`f$NtqH4#p$C>MS%dAY+9Nh@Vi#f_nm7uTzE*XHN4r+h>`Qc>se zAa|AZTLO_K5V2VC;bjDJ_nv=vnPSE2t0}SKdQz;oUeOD9{#X9_c0JZRgt{VuH83AX zMGa?I54`{b_pomrh(lUGbAA%s4pvV?I5{7ontvLL+xU-1N@egq;A#IJ9$e)Ixd@Brwu9(wJS zPy6cLW7teJW4BEdXT~y3X1(+l9Qo?<@Hkjqk6YTm_ZFhK8zqXn55ExXoGEgtz$^fx zly47HGDH%&*fYG?&iB0V&KETeBndvxz&!y;ylJ;+N#fm367RSE@<02@*G0#T`kLJc zdLbjK!pJXpQ;wh)G9opzbK>HZ$?VHeMkE}c%*-2B_|c(3wA1FBxu1(>k7F=6PxD%O za+OQl?G3iw-Y4DAK4DHLqzpA9sfnh!c74L~k5O1+Eb?ie_rAaU`h+W$^KA9$0nBLt zPHLi2d-)=jl{1p4OaNF3!6V0mH7Y2lye4NPPv;ZLFkBIs)%MU;MiTV?IJ6|uByz~f zzA7WBQ$rz$gkyV-IU@-g1H>w}qBc*)udem!jWefQhf#SYy*hWSiz?5Iwvfd?Uxes?hs}YUwdB+$Da_ z<1LMA^AgB+qBc=QZseR8LhYN~TYx(o8#zN(Kx^X$j2Qo2tD* zniuo_e4ZkKhHnP*7*BV&tHKq;l&D6$kB3F*BTT29c8doAfc zgF&5ZHRleX(?+|x7$=WU<+UFdn?^*%zNRv|?XLPz~5^r#ESM^+=d?p_b9po5?&r?i`FB>xmZ{ z3F2Z*mN^(Bk+pWR;&J{;XGmcXP%$2rN=S3qT*rH0-7};z)&xl-s(t+R(Ji(1%E)Aj> z$#9QVNs{)~X1gj49)Jvzb6kUArxDDh!P6Gh@eE%0ECGla(*IC{C9wBLUAC zlHFeOO!4s48B+))ZLnYM1$3EzP^Nfz3K zZ$X2`>lpdSoaReeSmZhzL4l5GAdPgTXJL;@>6%xRr^EykF7(&|J*I37Ql*Xy#fXje z&^ZfRaG@As(E+(uS=iHADVQ+bLyTQM$2tqU2RW`}OxHl#H$S_|!oof%E)>%UMM+j` z@^$n)Xy8JD+v#L>a~9U<3Xo=7cQe`w1-FZt8t@LL_TxU*t$N^d5>zUTt}*E*$?68} zfYsq|KZ2yU&g_n=eX77vvtVC<5&)kDY$Fd%Wb;r(r68Jv;(Tsv;bUTn6B+Ju7f3ZKWIV~NN z4vtC|Q9VxSslPa2o;mDr!6DpH1P$7>9CGO@EhQ7 z&6VF&_h_>PCdfXQcV|N%qChMYZ5WnNGc&wxt?cKX1FFeLfZ2LmrzkS7XZki z9wz6h13Tik&wPEFEalzuV^pw0FxHjhU7u>t{)OJRb4z=v8>_kfO!eb zPvKsXN~&^?Ab{Ih?U4N;FfW1mDeVttsmh;(K)pY=!@UBN6PTaU{-=3NPNZMTZAT!A z+EwnUkT<3Cm+d&da6QH5Zb$m2IGx?$Vpo-lcH(fv<=EkTGZWShbWar%76hu_FWYgz zJTnb{f`sg*Y5cq=bP{Uetog8YHJXv zk6+i;aKZBJ)W@s1$9lDV^O>jj$*;S2A6s}hOQ{Q+xYlJ8OYJj=;XW4I=Skf62M<=c z!-XKLwBULht2XPpNxWAC9m;ow+~n2iM^9Nj%hA{Vr|>4=z5=jLj}vH{8i7_H!2f{=8;lN?oWgNy?6g~ku?Z{fJ%Wj z`V(m!^l^rULYrgtzH5Fw-gns!eF4|Ko=S}euQCwo+o%2O7yPl?JaN8R-y=YnHIrCP1p{1gca(O)TI_gDHm!}SR^_TpaZ~wCB z!02xU$0SQlvmRHQ4oRNqZsUNnDUc+|TM7Or^RgP!UsXTW z@@{EX+k$@#FcZ}e80A1}TOV5EZClc?OjvTKboKcpHQshfL`ag51^J)#viQMARhnw6 zzOrXgNUqR2>PWr_Kel+WPj3Fvb)5f2cL&+E6PBdPb?3-s%H4VwYU@ z6U(e-+NcL?Lz^YT^^Z>~(8mR8#`y?1&dzM4zRr=B+f{yW> z8gNWozBL>SI6m*s|M^e-2QlbG7iyK>GAQa5Jt*qIqbfLI)?IbigUj;CQ!hn3&KsoS ziq-#ymW)q!Oi!|x&D4&LgH~FT+c%*%-4*e_h$zJg_xB1_W@fbD#3SHV?2LUyN&4q5 zX~8EXcgTK8@R=l&Ilm|gI?hVd`dE@o_WUB1a5tzAGoVe9$)Ka2v*v_T&R@f9^TwbH ztW3QHR2)sy1{%YIyAve11^3_yF2Nx{(8b*rNs!>~u8Rf%v)R`{&aa;1Pl`;w*E+)tQ_}hz2SfD~N~4!wX0pe7B;LfW-iOYKrl@KU5!Io>f|3I)CO)L*0))!mFrE>{mX=nXF<*J1mJ>svOW z_&D6ub!BTzg4uAt3EJHdw>AHYl-4F*Jx!~xK}i&k9ZVcFTm%*;LQF&?rZ9iLX5=C1 zafEJ#9Vf*fLXS0;I)sF>8%VO$x&Y`erFM_{wqG z1tjf?8h@bm{h8!>cbYN{#bkK=9-gGyYHZAl7%%fF#Xj9{x&uzn;z_wO+2TmYuw>bs z>aX9qI#e3=7ORkk^s$~fB){gxi=>mLE%8PKEUQBkNMmlCgEchzT3-O(+$BhKwcTAK zCNmUErrceRMY&(k9r`Z^Tr^taLRM^Pl7G*{3vXui2w@Fc{tZ_nItH+&(K>up8V+_M znXv35aH4y=L{UVK+1jnbln(tszxL5}{KLuDi%i5h2Q#iKxlDbo_lsO3R4#kE#0!BK z5vI*}(!G;vGM1>tCJP3R&q8F`79U8QxqOFCpW6;6kbBHb6KhbsP8Fq?#0Q~-2HG?1 znh*@V+`CPJ2JJVyBF09(MtMIJ&Fyi8ok3&=EHygtxz0nLH`^nF78Z+cZ^Dcz$nRf4 z5KOM)V-JQdQ=CKG3$*B8yY}*oSXB-YNJH-v@`JT_8H?K)6JDkaQ0=!^2K1cNOXH_H zDiiTsI^OhE->%IfefnUZTVYTaJQ@G7c80%p6~pLNED9u)?z7(Wxt9#MX&u5j(rapr zYB?4pF6_E}t~*x~gxnD=_KTy(6h5CxKCMoK`dMkX#%$9T_c#J{eyp|NY2?F7kZEQp z(;4ff)+lhn-~KcZ{#X|(cM=*J=Q(JnE;Pfp$9G&sU`4e-&m_9?d17f>Ac=@NaDc z%;$~g(WcOBPZi7$%tc_ar(;m*_vB-N*bPU@oy7pQ5H33QRYkGxT|({<0Gjbq{*pGm5xt_X^IaO1it0j<3&@YI| zqT5bYbs-xW`S(hBn`11EOVV5pRd)zI^YFb5BO;|Ss@k?ZN_*IF4pu9!mVdp{q}<(ohLd6p5prNL#17Bl2>9g$6jVvU+oXpyJ8*CJDLq+s<2K~OhIiUat+6J5 z6FPVGKbDhd)L*>e8Qbe|T_jq>xc9htqicU_Sa>CnGig#Sw~w7CF7^dX{_s-xSv&VO zCggVEPe(~Z1+Nf}I}&D#Y8>JBmw_IC;uBbNt2VyU^rlnNq&Tsnpfda!{+0l%mlzj# z*%<#mTdNy(BR%)+InJ|lBlh8V3CUqZ2HU0CJxAubKbiP}EHg`@rRn5#>}B%|TDM2n zO~k&Zti*eFe20%2;D23L68uq(B-2^*?cs3tO6O?HbrPF1+<(-v~s zHC9l&yL`PYPAb;_v7(b8IQ~LmFVM9Un{xxr?p>tNi@-$MiPIcV;JOQeF1~vwEHs)h!oV+~(%6i{T zK*vcB-^sAGN)W4t_ty!a5S=EKL}X;{WJ{A<@B z`e(Jmb8NEckWy=X%<=`~=kCu+@7Dk1$N9tTGwvy~s_yR`bBWFl?+)E9&IG3Cr(GMw z=H1Ga#xUmS@o{jIEK4bKU0|ye7dsN(^Jnr8yIsc(oHDSF>B;RE=Aw$I$B@zJl$r3u z3XHdnO>hE}n^=wyuLbLwlgz;cCN`SH!4I82=v)nD7|06-{~ckobM({v%8;d9KrdV{ ze$kk4ye}E>30tJc(WzE|>#bz2h2&(h|AExSjL0iNXHHDa=Q%~!5w35kKZVL(gbIH+ zAKWN!uV8CSfq;uBg7s34ytr7XC%MhOP;c=4cx`oVf2nsdY zLQKW5@jF5?_vE2zGrnq)*xeQvwDeZpr##`xQg(-WUJ2E0HfY3;gEfjW7eCXR*KShs zPR^ZZztuMd{QT0`zG9m#NMsu-r13gmkVvuO)6Xv!-a4%Ax8M&t4HDm-qhLfo{&4+~ z`%B3>lOhn^r|)U!*4R#CLBB-p7qH*(+cBBXieg<}BItv9f=S63S)v?STkav257tkD z0HL+oo1H=g@9zn>8p%Gi`ED#^hAhUpfgAm3#O2{=(qN-7A3BwdgKl3{)qe6}H9ZLef8n+!t6 zJty0YlH*!Htk{7&<|6Bs-=NL9;J$*LbWBcg$S+De&MiM}%H724dM;$y7E@1$Tf!SO z2<8u*t6GRSBk(X9VJRvnDx%hD_pjX;zX7k)gJ{=vPQu!Y-W&T2Ez}utig}jGeDtV4 zd4@>Vq(IPOa)Zvw%gHP8VS>@$Es9DK5Wd|aM0)f#+p1FnX(M3XE?BNw*r!u66w{Gr zCb)!lcS+&h@|*p^V0sQ6zg7ABSiCOd8PS~ML+V27gp@3mp{;jkq z;H3*DCBT)cHNkEyn2`;vBy8#+&q1()_satE@V;qOvJh3zSYL%h^<(7=+d!5vU%?+8 za*@)tg7ivM9slx2zqoS#_(eDYx>#W#bwdjKJY?r3amDGolX%8iS>7Vp_&Clz_fvSe&N+HVox{72{kQbJX zkuu%qKLx`sDtGCAIb>~3BJ^FV7p5DJ^%3{1Oa~<@Moob2)oxrfjY1}UcVC2HJ=qOT zl1z{ym1iEB+E8NNR~|Hz#j&QBbXW|N>ef|?`aGVe+1z?k83teT8sY^wglsy2Qz#jH z)_ZxT6O|U#WJP_BbQqkuSE|=tTefr4y1f84r3$ue8jpQS7DcopT9Y=(B$1|LnXhGlfNp0?MfL}q+G(gtG2wP5%F7A9 z^mRbkKH13brn6%7Mf+J!p%}Ifaf7d^sMv=b!#YD=7li(rpI$eR(>41yB@b*BI*9P?rv=BQHFX-!KS(k4l7jB>I#f6N3YfTKM9d+ z7QSY<(8Bona-nfr1KR|>@L`lyBj6_DS2|NI1(Zwfni5fMrFrH>VbRMi&d8N9{jc61 zf{XkiY*9NS^faGmWur!n2{h~6R4d*oSihrcjK@AM%yo=fYJ_>s)k>K9$~t!4-^nTX z6O19%<3+0I;p7r~f-SE+f0um5j3ESduH|j*e5HA(*ayKx0CEEAgh)cYW9QcM#l&Wb zIA~R0{Z=IXRUmE4qV*&FFF8DForG(w{EmcgjBj`iczqD7|0X3?dkYt$e5Rsvl7P7M zWfV3BMQAC@e#J4E%3ny7`Xy&y0q}CsH9ku{duc0*2@A+SCnM}S!;3=93)*E!bL2bV z&b8%Bd3U;)oc@&L^n}A=E0dWgU^n-=?C|zPm8mX_*I;cj*NRb7_y(GdhGMW47#}0Y zHB;;ZpfWo81eqkSr|&t~fSRj#$_d{z!|3H)8}Z+&GApkLbO)8|6xp9i7dGH7VN#Uv zT>UlZ&Wc%by!hRyfdkmE?8}Pzu8OiohMM!t-d=H4E1mQuYSY^mejgGMc0qeB;hXmP zNw8@|=9%C*827L*09)>N&FLk{AuBAf{&={gaC^bku+Uk<|3;xB z)n;t(fdb1-`eRxo+7itBVu{ABkPNJf=>F0(Vm-#wiA&%nRA)|k{Z%5#T8^xBpMMMD zf9#ZRT`~%q$=uuy2G^+=#T7n83-g?+_3Sx~hD_{!Rv{yoykOWO-qWj3L>DTlIqt|9 zdmHG%Iq=xC06;FQiN{S9=96lC&Mb@wOundV(;8$a;A9R=fuRb`mFddXxVrucOFP{l z@%S>nR@HaCcFl$>>ax7!t|XyrWh3{BV`29Wjrk*DK|b2R6zz`zB7a%JEA(isn-lPW z`BqnBVe5y=sLmig$V}!whO%x>|J?ch;7KK=)vNoEuDg`#yVV*=vWPa!+Fukwis=>7 zMtD;^=6^L+vbY01y1xWnv}KR>36gh1QvQ?1g}(J3}@ z{vGR)*UOfZwB)ZXvy%N)oDc5d+*xqk&#sqp2VCMG6C)6KijjU zr+zWSjb+`WxYNB3^H}ynHx-bIN5lBv3RX zxo*WswM37brOyzIQtC^)cAG$!?0@8g0r)l%jXg!tWpI*Lvczv+m8JU1Vyu`KRPYUl z(6FarAfKLHLrt~wkzBi91kTaLsdq_e7e&GYyG5e)_A+WPC2d%VU(W+JwE3)d0}J`p zqxGm!8*3w5xtFkEGh>h68zNK@{^`%Za#YY2s%GDFFKKea^o9U+C0tt)hf=aGyGpXI zHnXL?XRKXqntQFLrx(rmi3y&vy=UL5t} zVWRHc@i(YTE~4WcYrm7QN2X^adJz_OyHrf$^7+lzuwO5*_(^YZm#O(ypQMdk2?8Clrh zmyXtM@E0g(D|2lez^eA1REJ;}Cy7ZlV;{>8*Z9=QuF^FEJdwH#K10%|f; zfg1dEif`WgTy>{!m;*xy{WsCM#??oI71vJUJ5WjKk18wJ6%*V(8@d=5=jBJJE2?ND zuML$i`}kq~athr{yd8OHy7sA!%F(9o&1JbNtV5&X$uyye9x%@MRkw_>e2zwsTWjTA z1u-Z;_0-AE^@!B({kf6s@V5GlIWyPya2AE%AV^H0ljx>f>3KCHXe@J>HCxwfGa`-g zOPr)gnwH$%L=akOmJUl+Kk6sp(`lE_04~ksQw5TffcBN-0YczpjX7&kxt1%vwg z1ZJ1?Dcz`Q#gsstK$@2=0||JAEgL2oN?&+W*|_zMbCk+YIfT-fDoP_9MKz1H`Hq%a zDP`>!J2C%yqRms8{s7EbTjq$*{MJ;>IR7pC;#6gbC+Rb?BHt0r_Ah_LU)KcHjQ0vC zSr`irJKsqM$9Au_@{$Y{&5}D69-;{r7mhI!433+_3gN>aR6gc_X30!7ZY~JpM1g1N z0o#}N@nI2Xln#Wh>ON`4b8lR%K5bM#(8P-r(h@@*u_#odeew53g3uoGnK_fcP-UDX z!1H2vdroJ1$8!izO~bOpShq|aJl=xutgxNxRAb#sjTBzFB!4fAdn|d^B;!QYt>Am| z@^%@K-UuIrjImuK?au6-K0qtO!F)KSiTMFQGnDt;A75$eZZt;hw_@Ds27jJPo2+7- zt4I_{Zou&>^-Z949wc*e9)h=FB865Q{RafMQz*=8#c9lWuKiQCfZjv zCa*VX8zZdRjRd0UPOnX}^-=(@Dris^i|k53l=9J_GTV~h5t$!vH|Jk!w)z=HH&BT$wpGOAm>yqB+uVy}G zjbJ}!R|gzwesi7ls8b2JAX9(N?y_u-PsVkaN||LRb=iaD{)Px8cE=Pi)7n@Ms{!@l z@t_0kug?06L}#+pceCNR;Tch}49x=maC+(CaAbSZ7`>Q59DDkyH#{Npmd;EG-hVAw z>I&kbM|t|xcLJ)WfvDx!Zt8=0KYyVkK<;!}wd#Wec!}y+2 zHP0k9FB15!b%dol@&nl# zMVT9Rbn)CR!kmmChrpXgtly8X5w&H8e$pEpPpc5GGMt_>{%5I}b9690&y_4oLdsxs_P({@XN|R1?xfV= z)qtK-(X$Hb{HOpC{UYNnRqE?pMsd!AgJbyRZaKgTH257P@TJ3W9r;7k zXhU1z=56iga%Rp)wnhflOF9=@EOzBz(>t%LwRtw zsCUKnk49ecq%k~io2nnKg70UN2;tf(#-r!{)k=J#*NRDY#OeLaBb2RL0pvtWw~S@) zQ$7*GxwQDEKEc)%Ei$I`!N%L)yWmmF%3V-DyQcd;Err@G*W_#u7qir#-yI*u+;Qd{ zw`H83@c4ULQ7ha2++DBD@4EkE-xdQQ9Y`K?cLOT{6j(@D9RkjG^u-h1FaL;C)oN`z z1`vj-IH*>vH6~7Mmd4;y z_v^9yB6Unc-t!#$(z~wyPI2*Z!*Aj7!Y|`-;h}s9<9BQMu}0Gl`Fq)QJP%e+4Eq-SBuEKb=J^h*+N}<^ z0}Gr+l4W(q9p3G&m$a2-vhs3BOvU-?9#`BKMilrAp97e4TZq!NevGNIMU+6NjyH+r z(fiR`=Er1)v1x>x`&W}YTcxVxi1AW&7WLj+++?T2|_=jJk*|1~J z>Sl&{7~gPUe7N<8(JtfE2&SYL?qaBQ5@fh1}P1$?`Ri6~hm<<+TFjd=3XkUmOR|{XLNSMb()eQ=zmT z(JjNO1W6mlOhp*Ny@!_sjhgj}QGgcc-c@fM|j55;+o@WoZTv!hDpgi8JF#5hfEh%8-D;I!qgMAAd`SLQbd8$Lgriqh0 zdc*Bf`!R2^)9!WrX#m7w`CSx{UEUSv)^q5w#%8S|ty`N}mg6y4L*6O*UBN?L*6riR zZ@8LY+%!TA8b3?6D>Lo~NJA?Y}MH}0@@f_OYwg`+9j9vumSp1n656b6&_E+cNwS+`y7LN~aoF!^0Yg@5=h!##soO z-KHg4&T?2JBRAV!P%$D3$FJO#I}Wc!r7LW+;JDq-pq#WTGC51k0Y3hQb+MYE@EWj_ zu+n#6xi}gnesj6s^4}$UXBJ)Vez1-CLq7`&}x+c42F1 z)9-AytyQ(YkA)#*)Ms<;T?jNQGT1zoTQq%Ba_i#BhqNw#ZT97ERV(X7j2lvV#g-Ij zXf(xGn>Af5`?1vZPJAO8?Z(atHmhm?oxILpROd&w<&4jMJrqK%J;n96B~9rt@h+)C z#%lro`t-KzWl(4Sui%lk+D?TvH+ifj>(4WVZ9pFFy9Rm2%ea{Fx-#0xS z_;*%ig|IMBT2U2n!w7VG3Fi9owVMa0>?aR$aMMUl_*P(^$gLzWcUQ zE&s&IXUWJCh03UH!~ln|!$9@*b!5>(^zhu+d2ReM9E?}5ha$8XHF#a_4`f_StN67W zy=$>3?BPLPfoE{TrVaup^-|p`2`D=)b97n%Id${P9}}#U4q8B|`ba}@YxQk~1P65> z<@Kx3`K){mnr4afmy!?HjNevt@7~7+__SIWz+ZfyG+tCyZ-XSe6eOUs_@`v(hMircjugzyfJvih;|M~;Uj=27B- z^9T=!{;pMRWCS>SBaD-x54mWmdK+&%wf|@ic<;!kv1ikAc}UvzNhS zcENjD;;t5b>Zf#!;6Y7<;~e4Grun(ebMvTB$}`nP90N?_WC7#n^^EZ05@_DR8q&G&u$R+ZWspFl*Wq60WP_|h6GGuAtrNr6NQio5<)apFSIh*9RRgu} zP4F7|x+^61p>F-{rrQw=Qv%jp7CL+BG?>(R{Rgs?nYzBa!$*9!^rC*nz{e}dMfmO- z2I^>f;qTjo)Isj!MTZ@#0aj>#&=#>Tphn~D2TD4&8kORN=Ah{J2({yIibG|DT^krL%jWGC3 zlKeXMXpKi&Us$NhkxpOVSy$f~o!h&guHH@jM~xekV6YlR%J^)RK?QLSUFTiiG62wL z3g{!PHONs*O^Hca@)cpHM8#5M#ZnA4J}XyLM3EoMm4B+Ts(>hb_a32;4z z2-y@wp%*gzQjs-Urd0Gp@V^SfK!cpPp2ASXtwMjSLh)xi36_VGKa`64!ey?mu86^e z*CY1UfWCCpaVJ4`zdx)^YGGFqLk%QT`71b5&bq?D!vvptxB9~{pN=?G!cY*Q6)gon zh=`rivF%u|-i<|&tCkqR7AUd4_#5yf45oqZIpC(a2sXj1E72B z(lZaTM#q?>4geJHQkK>?ubx|+)qBOtIdc8%Q(fwe3Riaa$w2(>YVnsxaXn)8=l|XN zj7Mn0;jlBZFx2;LQVKdkoFwF9KVuEVRS3#scjwo8b=V?n0w!76FDv393CjGi51`Gd zaoBs1g$O$jNVcI0u?u3)@r_p)Y5aTh|B7Gd)~_ygfL>z5Yzc$_@us1WgcLYWetk{M zEyt65K8dK&+!d>jzDxP~zw?MoAksb;`H&MwoAa`G2xN%3p#T!-LEKaT>DjevDkza% zXMEac8FxA?O2Kw}_iJh`J%(BV18)EuB+Du2&r;df?Eguhmu{&;P5~p=7nBB7&YJw2 z^j473Gmx$Xw7)18Ei^`rN?_$R{Oq~v$nBro_{28rjTu(EL1GF8O$vp`{zvndl1`o- z!9xFgwK_uD# zb;zVUPn=vB??_IPQKUN|Sx_|WWZ%uT)6xw$7jCDbKPrZO{ga~F2=u}hH@)Ju^MmWvw2!yzgAPf#*n13o`nZ*m6T>-|2#1@ zBOXB~O0XUG=d9=Km?B{LYVJ{%#O~R_zZ5%@v&|Dj|Sm?D@YM~<$LH6jpzxo zGtYNQjmYh3SY#c4BBU5k z$r}qM6r`;;zfCC66(^%`SMmmHQ}v%|^HddC(T`})x4$K%$jKLEpIoOir~yqma&(v| z9vV-i!AYUwqqR+IS^XGTZ`MMl;3YVnK$g$LVzC|P0^(1HwA$AOr~Z!1EJrV9C0)yT+c6r=%& z(v2HrY(cA(OJz0X^`wW>QB@y{3Gq$oc?G@&Z^be4CKU%n%9Q4Y%ajV5>6?GWgKSfA zimo?`6qLu_=Cm>H2WRiXcYPiYq&#)V;8mhdmrj@i*q+#XBL2;75GT*gZ;%rskLK(g zA{akFNFMv?KaL9v7Md1A6Vs0xEN_!2dJ5bd8}7q>_q`2VQAcvD$z4z*V}S0qtw7;} zx>-O=p0VC9E!%gl6D3)zpa79`;_)L0Pt$AbmW}&Jy8tQY*0z640uN$w8iWt;Nhw+JuPWT?oozYnJQoQD$#U{{IX`&-wahmZE$tuVU%{nfy)O zOi2rQBaJ%O;2M8ha?evM4#$rm(7@wTLQYCfLPJp-qn|<7&;jLgOYvA5K}j2i3P|~` zN?eTH`@Vln2@uEw#86SqggvBS#9_hP;yPRromvKAsVv@KsCaq`dIriMBP`1&{lx0a zyb{?=7gL;8vjrD0% z;-yaDdjamtC=qIFcsi#T5Q{GrIx*20TkA2qQQT6i(&(48c>Uq0U;XI{`)*Felo*m# z&UMqRk_yIl>*3mllsG<|w8?21>GBh)V2keBRf`|}og0`RVNX5^c+Eh0petq1`CP#5 zMvGf+;Da%-NKnp1P5sG)SO~Rr&WYKeW(J%YA@R`tkzEj8$y$9q{il9ty9m2F12D_L zr;NDc&Q}D#b$hxos!YkcwFDuo8%Xm1N&G(zXIi3Y=d#LqP7pfrpuy>QCbyd7&Up&e z6vqLoOlEWbEfCfh9p|m&4I!dJrDV_6Vk=+H`NUQpg7{q0%7;I{Q@W$9?t4sAVqoPH zS}BP=bf8iGA#c}=N8Ra4*gEO3&l;6@oai6gfY}>xZHBt<)#tSovKk~ST}McxD))Z4 zo=YZo{GCJ{;It=4q#5X%MUcfDpb~%5>$m91y@@gItl{)K2@5H#%sj9cS2KdHn4sh~ zdT)MN!@olXIKx(4MPZXN9wKKE0*PWq^cJaJv1Al@8Lydwm&xvr7($HLe3)z!g6=lHg-7n6{+JfPAEcV6PfRFFnx% zK|!`w=^DI~pIT~rB6shm?Hes=6&!sAVq%huK_MuJ>9;oReC*jqHO)U6X!A`0o8Lga zTcFvlYfOjep#$c9#isdX>K(@0d9;SG=D@B&@7Y6uvSZ8X-gT^lz;Lp5(f;9~`cx zH98?~*sI?rx|^aADh=K&@IfretToRO}#?}2z3qtqYwkbe@(eLjhVQ{+MW2i zVuN<6dS2#FVH&ZMEUrH^WvET%PvGc#)3_y<7)`ioB$G;d-J*HqB_3XJicF}JNwH!F zs}wuhq6i<8UwRh(&kRpS2&uYMkzi5XV_MBc^ok*bbuyvVBM+a-Wp=!8We*@MF_TV0 z=(Xx6p^KCQLEL|5ic>0EnlaBZS$dWMl8zwOP%X2?X>U;MRX+1Ij2D zAB=Z%0&5O)7(%ebuge%iVrrvXd4NpHmpD{6XJv^#5V1A>0e1mq4CUOXKHzZc>x|c_ z=Zse+$eWFZrECRWYXZl3+ZO>YP2^}F+0f?sNL*bqkz8J<}D7#(8hB3dCT$If$Rqfw4f5|$rtwue&Iy~ zw`LrfQy@M|>o-?zTj^gRqT0S9k-$P}+Ki$RJKpC1q`$PE$E^u2oK*m!MOV11`^>(hd#6DBZ+oXulpK1I&X@%E3;4)oJzY~F<$5A&B zNcxQX(nw98<}MBQ6}g~4LLYyH)G|^?BzrhX7?4^SiwhOWxprB1d~w3eS(yK#|KzyQ zhz4@6vjh8q{6EX}pPakiBUFW1(O^xMKcXx0eV?`LJ6j9uN_*F6fjMo8jQwsLPkN-^ zExk~v866u%C!cf*AsmI|DY|3dx^grqxM9^LfftCm7_LrBAdqKT9i8LP7h~q|Frxp= zE{-tNBZAPn_>y`uP>K~ZKN&?zr^SsVBZhz3Q&mwW_pn1JK5XM<3#wszsxrwbRLjB% zK*P(&E{Y?Jmytn4WutG6=ml=E0Z|QKM7p36Lj<_igRNt79mV z?4�rO}615j?o4OYak{aDMD-_ae?@ux|6LPU4p;p|r(R06pR20?x;o@F3vB zUQi#e!jm#bSb<_7I`*RGf12HV;gDk$@~b*hokB{dlA}Me?5aZ~LNhZ&3|7jF^RI7d z|Npk*#hrevAs1!}$9UYEskv5QUwB^8LYhJ<(WONt_EXc}IZ73<2gHqbFd#bYR7nVm6syF4dg^IQ8Pm4)9356oL5MIh zAI*>YT;ICAE3EPq-vS6zwB>@JN12al#mU7J2vInlx@b%yztjUT>-^JK!nfzeeWM;j z1wsDn^^6NgKA9HV;CAb}1K#`L;25eZE&Uyn`!2qGAQR@LS_b@$s2g_v?vxbb8H$0Z znE6x0du#<0$6q@FN+tk7`O7XT}$8YwT@JDq8n z*}W7O+z7VJ;`(0ssAcynHp^hNHkRCeWY+7qG6upOGQ9`!n?ldN$z@rTlmtNk@?#(k z2<8h8pj|7ekZiUIj--Hp3+69}IMeFymwrj{vs@<@RH4tUu1l&rFj;3L(qNuUEM(;< zv8@duaMZDTv-y~1htTQ>)LMUbfV`E{eO%U30;#V*`UM$cX8hae^sq{knY_IyQLpDM zTJnJYQ0ja0rOwUCBnSde?{dpfCYkEHb+@EddurE^oc*iSoMV(2YQ!!78ElRNlaR}Y zV0CBu7XdwKZot1DW^3~T-sDF)z+!or{eF7U~OVB77GFE+r2rUF7-L)agc#aKg9zBcuU<&<>ay zyZ+;jSossfg#Qa(Xy*jR76SKw1f_R`gs#5f?UDAzp;F)x4GvvBhE4LT+%Qn&uc*~_ z>7{r0mi4*S`*x;wh~?Mqlzb5*Y{9T2aly-f67-$sOP$6XbgTnY;-#d2CNfAwmBV{g z*%=jP?4{^%{e_PkhDLdAw4n0h)KQ>u%Kcx@*g5+eyPz>O*S|2o+xldDXxwfrh(~e= z;j!or@B?^qk6-O3$GA@lr$~Ww8?erfvlx;LUU2xt?~(wML&A()EOqOKHIfsKmD+|g z3FlS)QD?$>L$IwrG9fTys$_8*pdZPdj24roLGjK41>tJbDipUKN+~fv9pMHohn#=v zT-Q$t`{x^gez-jR=E4;-?Nn=x>Cx2pUq80UG7K)+_Q3q;tbKrrHL^(;+m+<{@sdxQ zIA3t_3H$qgkwN(70f0?y1ShB@6DVD5{Y%(GobaG+Nm5A$@yQXTTbP(_otBrPVM7Yx zQv758oHieN#}b!FdE4c}nU;1A`+OWGX8ZbMCQz|ACB0;9JsQpp?^cle1lgY&ByYnB zuE#mYdveb1zrw5W#5$b?+b&U=OW54-1VxJBfgzJ1P2ow%oVb~=a~6VDP(cyO;%?pe z`o(W+5u%6`ibLqIYw!CWmuhTQAHnRsvhqSzQ9O*BXYbhft1XfSLP8wCQrsSkFz{LJ z;DjMKJ{3g_1dYbn__Ho>Z_yP8i6*5-z~Evf@Qu-2K1yBFf7*7n4KeeP_BA%;d=Sq& z5CV|pgTNwZdiuhmd$eszc<3q&7%|kzd_aG`g_E3#-4SBlbafA#bPLD-8vkH&@y95W zV@qk&|16UHKoZBDHieXJJpZC=!_)`WodX0Zqde8+Ya&%5^$&D+KR1|*OQbsPz!Z7{ zarwoL!ap|zNzt+L@15UyU9~=sD*JJ~2vW80aaOe7q@vi!;B^vX=3SKbqH%M95;wAE z*LQ&%|L3y=@l@9r7Vj*;Q|u8MHbQ!8H&TaKvE*3Zi!uH)?I2=FsJsRHES^YLcdRas&(g!?x*2o(@DF_+kH~&nPjl z*(!Ora&{#sCAM;&cvNXciG>@e#<~rS;G$-~)?Mkr#HAfsDtkxM8HDY2Tf@M@{G9V= zX6}>EE*(bC;+L1_g{Fv7BGI1E$GE%1h>UO<5T|+}-knu?!q%|AHKHdc+eXK#kU252LODJr?xd!T0ZtwRJ+=Jfj4JC{r-U^&PvOri%IwBF)|=5P^oyA^wGf5^S+L&JMt?U_ zc>`5#vMX(-ru!h%9VBl+XgC2oB+mq*V_kltc-Kc=WeO(+XXwZwYEKLhkL_K0i`7+b zTPQ?~a61a&A~V=sfS4ItHs>>1tj;zm8xJ$=kG^UhFexiyV6(IHva{dS*H0j9$Anm{ z=x;2-d;9B8P6#et|7Ph6?hjy1LILZn9B7vfUmp;ah>$yk{6a=^PMSt@{*y|{{X~fs zUY7E{74K7M0P6o;Yy52%DEahL*Hwy|>%Cj45x$`Jd1^8OzVd)kI( zP~8=?gYHJK%T|T>tAs zdmPHXxvHBQIcPA3z`KcPdkpSnfboLV==!|95Zy;k!M_T$Js6+aT6!r({mq6b;b)OX zjjK`g25QyJ_}|tHGf>B6R$xx@KX0q>M4&@r2wDO4%j&?eSj*_K8HT#&Ts&$)2?b)g z8@;(DvM~CEPJ?J<+Al~&cW#?Ri-Ia4bd2tWK$fvIJgWYr2`8{g(mJBio~rsjCCmam z3pb$=`73U!Ey3V}i?L@0jv-(%?N)wP=)ol|?x@6Mtq#jSzbyOyD$=65W{5cj^~rqn zrj_rF$)R=(D@(bms!k1+j@V;xRxXzd@%-GU*A*fQilF#R|M~^@P)4@VUkk+fwG;e_}d835M{{%%((B3 z8@(VeW&4#W_f@4tp&RXo3?uT? z^us}oB1hd6e);?TLJC+rYPoG=g^Ke2FTWf3sB>O6eaaMToA&HYsC1a!`i^&u$nmcN z@0>M6m?J(7{x!rUM$_c`b6{`iuG_nis`^PCUE8_KDXJ5tF(y^JR-hlLJat) z6)u&CD9v0)R*o$BYzgtGysPzuv*sripii`B=p3+)d=#=O(h)&sng4`q;B$k2Z1agh z#)>JZSPZvK83ma-`WIMLqEi(kmw4*JCp2yEvd4DJj0Ae*vFll<^yo2d)c z^nLn9v76=bdDF~|WS$~Yp^!B_aJ4dMlRU~Nc{N|KN7^}9UJ#x)?*YD>?x@7hT2s?! zLBz4xJ12VR9&fE=y~27P)Bpv~-u6fnFMM=5%e`z`jZy-c_Ic!|r zkozxkxEqHL^MzEy!-$i|mny2)kAqb%h5yVXcH2_VW8(a$Uc53B?dt^nJi`g8pV}Qo z>Nfk^>68S^o`3uJuT?B*d))RLa+=RdC?bnrLd%CvU&7S*gb!_4k7G;vJW9zpZEfXs z;6I=j)&)5OM3=<|*pKnsLEYlmRoz3fM_UpzD;o$#yJW=;+zYk(B1g2m(uu)S`(8W| z(PDnY(5YyGzWav#g^u4tvNdJvRu|$+$Gu|rJ@6~CrUCuUvT z+?QBZZNe|i9iO76`AHd<4o7U+c8$|;FbFu>=&TRW)%P|5fB=Bi5>UvKU~+eC_ou+_ zTYNYKlYR*Le`-Fq_jp!6FH&|LTk@BM)j?n!9lefOej)lH?+}SUwbCUVZD9AY*@n&I zwiDux{VVVdZWcGYV2A1rZ!hG=C<@Sx_+!)EyeZR}YQ7e8X$6 z>7*8c!e6)W6L_bnFlGZVzB`?PJlOJh>zWW=l6qvKeRtfUTQ(&I)6>^tH~Q0vhWJAD z%uX(;vANUbx1MKS0wSlX#y-__jKmRUF8PANr4Ck0XA$8uu?*>_&i4Psln0Zto$K_3 z9~wtfzCQttDadxNWlBtS$BsDBBEMDAn44w zSu%Ai_Kvswfpl_&@?J8k0`}cUsE%U45c2+33K8DARtgUFC+?A!z<&zxVNi=fGyeXr zZpu-5@_W#*Kq1?gf72Dg2U%4jv(|$cDDBOdo^*-w4}e7S|Dxt>RR|D0J|L2nB7U%GD|mUK z+WoHkO>h7n)!m)vf)Luwbx+kA^SBI?pm{{=pV}eii;EvQS-^j&S#jWWsqSTI-u)f- ze-XSIH^jR4z)8q%Je}=~H>2ZY?pm!$k|GV!=Mx*OLAs&?^&eni_ zg863?N-zZSWW6f6rRw^dQmhAjq&F1DDH9j9X>Pz!5UHHaz(5A==JZHk5@f8`AGu}` zJu%ui!akeqBGl&tfW9~N5-J0seqZ>|R;vy%Sv^#I^Fwp&`{d_fR(i+r5CVNK!Hw6> zydj-a$C}ppLK~>q!QU9p^-aChs-g}Ot*U|@(z{gh$Gf(TrnG|+opT6M z`~M>;{@bU_I#L<(&yTp}M!g)o%r+Uo(_46{c=>ERR%EhjjbVSu+WxVSj`E4i2}Z4M zT~_$Q0xiX*kYXB7k}y-=e@@X?7fJOiknV}@iK8z|Hj}q9G_!vse1g>BG=3WdtOF`= zFPQ$@7kxsA*r@_q4|RmBm-50xXlAEXbYkKFR!yK3!Z+?Nt4GdOSQf{DHc=#{2nboQ z#v~5u4a{yM6paeUs}e{Nkgb16O&ou{$kH4U`euP0Sfq%p>lGrWLtbqQG7Ba(4%>$f zPkl&rP?%kac=3zkvAqYK58|YZfej+1>gheg$>3(civr~TtLSz%EgGK<483<^np^Hs zj`8^-(C@};QRy#BdonP@(@M-!U>wsxs_qrhYr6Z%N#AE}gIrMMW{!&h@#CPxacTjFmWMipjYi zy3EdBR9jf>8#A+PDRnSi+pU4$R!!{WU2bCM}ibm{=N#l z<&HS|(3R0u%+RwaUe$M`mKCn%gc#bfb+8t^UXc4kt8@(!oHpGxIVh2B+6}_W9YS2P z`sSY!D;Z9?=%JIS?Pz#E^8XO^6;M%aZ@kw8!X=&*k8tHC$d*J`xTWjuIn1yG}I_K>Dec!K+2-K<~=ysqU0FPg)Tvf00 zA1uo#Vk-(e)G`&2uxFIB>mZO5?TjTF&F~a-3`TQxsuQP71&tO?D3;dJ$T{$VqPF+a zv-P|9tc~@g#mZmqenl--B_JIf;xXtw>}*zb$=qOERnq<@?J=jIbO|s*?TbD2G za=2MH+}kBDf~Q>UjR%C7G0}`NytO%kWf76F&`wlF!TK7y+ej`NOC)j>Tu~XcCE8$G zXuTKXT@luLQDx069Bb-|iEFHF&YI|-fkk_RPWQ>W{DlO?a3n}=ynScynlpc*Bo#6L zIbd}dtDZ_hn@Uwuq(8sYc>#5SXwH9RD_Eq=AhgJo>N z##F6&h;1?)_);Wx%w^Q!Lt%|6?bu7Nk{%D;a z$brI#d{v;&D@$RK&1}83eL%K?*1jx@`o~%msV-RGnH{Q3n+|*)vIdrWKPm4gPy_4N zK@HSZYS$0g!95_z&F%b55gUJi?4oe#j%T$M2PIAcAMne5Hr((fQ9XC=|LJLn$Qxh` zEShC)%(v<>#=?O|m1%LqLiwZ`R>E-j;nt=s9B|Fk!#M*?+iSA$2gc-p$$q|dND3(w z%S5jQ1NvCeMh&)o1hj%090U-@ky6+3=UaP8&j3p_sQQqe#lyt_tIzzG5>fi?!n1EN zb++WHx5<9PL+aqSR8_$X@3EOomX>j@Y2b+?Vw>#cWn8GDjos)aKVX_p6S8OsvTj~v z^PG)DS8kQmz=K*xSXK4*eB}D4mEN=1t=_cy?Gu4T_vsqHD+!maorXIS#{rElKiY_R zA2|7Gx-PiD~AORd$8b3ANdGcN5 zHf08vF?S0W5nAWts$J_E%+fRTre-=snJhhrU)VYh($9!{KkFZM$?b6FoZam3%Cvk% z^M6mf{HP3||NnpYXjbkuzr^VJ9_*nM%!P4ACoGqvxl&lYAzw`QADxrnVGbv2qHo5Y zbyd636GqVqO=w?E z_icfI;is*g!Vo#2RcPF2B71X)Et0hH&UA`ST5Ps-3j5yUb{a#_kbAKrHY<0wN3~C- zJ-ebLPP^O`#)N4a%r~Q_DZ%Bv*up)nPXY1+n(kSPUy17Idce6hYjn^LKvGrEWpciT z4oHt$kXuH$DicrIpsyd9bpDR3&UAME?!gyg3e>mWkx#r3n}SWc8+{N^4FSmy3^}k@ z^)KK$%>pT9=}r6faqqNZ^&w>L8s^GvmgLNn1F&*jZ`vA4;dvEM$T>tvZVgROKd3L{ z+!uNmTW4I%9}p>Yl&Jf$+g39p9%o|8GU6vAS{Rj7R=QknrQwgPM zV?UW=y0(yG+maZ5KNk*$@!e*CT4bZvw@uNNO?&rYbj_4cV1{iF`|Lsc?rjgKDD7m* zGU@+lox7-wHk5d1jf&VA6-g}j-14|N76^}k4#7Dx`~OV}7}C8X)3eUCQwoU7`>mNK zKt!|`bX^+OXTG_HBoL z3H?q-)>ZSo0}>fq>dHgAyP^}=!theZY|!+91OIP_1}KWt*`Ms<9n&`r7i8<<6fEcG zd{bh*>vh&FPlhJ8$7vePnnkr@S?rUQ8_IsKH2N(2J9o^rY2~{|D(Y7otf)0O`H%+@dCKzALav9)`^9^^SPQtM(lu9SU{wSXQK5P_cGC>ns{I0aE(ci%nN=< zOTL@bQIfI7r=h4mTxJrL0ce%>Cnff!J4o4_n_iflwYK9&NV7Yewp8pb;N;NkI!PB% z@2watZr+L#S&NZ~sQNmiHbYcItTN80wcC73N;!_-3xpXCO;m6Hcx1-CJYQCy0&LND zXQ-6||H^1V{U4ik%-+POshow^DVu@s&g&eAjTxV$`sL4s=JnuIsC0jCaz56Uy&*WH z^ZE-F+#N<===$6x-uJ!g zYc<1G{rs~>y@`8UY#8FA<G+As;Q| zQ=JMhU6qD8gvk=jJAWfReb5(@@M+HG!_<(PyX6dCf}nOv+93$(-lWWtQt zp=ysv^P3ahDpc4YqYvK!t-sdNoz~>V*y^SAR?|}*$BFSrEmG~1kHmM58zz;ZYFjv% zaEa{#cl z@_7=^_^31MV1Lif4rlcIvwDJ+YSGhg(R|zYnowJUYxApz%W)&K0^07yaS{IDK*3zO z!dhfJ?Gds9aWVOtcC zN15F5Ins^}G{UL5m_4a4xgCT1!B5yUbY6Y*kTB2%wA$uRWPkVXcXp8fqsDi~blMtJ zX{mdY_Z5@Huy6w!4&SBdKIpSDPWeX~D7!xQpN4B_hs4-*X^&8;+PeqNmApN$90;UK z6dJW`xu+3H8RfMl==~BeXWIwrrQTd*sq<3SjGM1Vt>)L`EfRy1Y93u_%fo*K6co`s z1U?G$IF!^#@bWjLI52U0^P$ouuvR zE)_6xrjC1LM_|cR`TREZA6Jjr{LZz_JvoypQ&!V@)Snt=p&lM}U02Poi1ew9Gs0+@ z)(KV=J8EfB57wK`??FvdZ@No5NGc#7=JCe@mByTbwY)8xSA~{+wTDPf^+YOSr-&B| z$3=rIy|jyEE;;lZ+INpd@|A5wRcADMK-)=m+BtD_y*qc&dlWz@nWaoNlf+l&P6DC3 zGV1qP75HD@nSHqtDO|S`8e)x!PbMG+ruNPKjn^zbpR)6ls2!RBr%EEfhIEc#PW3S6 zvAGg|9aQ;Y_mlc#ib`kPmKRg=Nu&M;2oq_qeQu51D?t|-KkuST%#riXENQj@&Tl@O z4S9vyjSvTX+L70GwCYU^F`l$^GJATd%$@6%ipk!@u|(H{y-zJ&clewu1UUp09VrMtk#ptMXU+R`-5R$_O~3VSz<(>G&Wu&!|iRd>RCdefxR z>S29F%%#5+K7`*zqYrW?94ry0Lf)b8x^NX#$bfFxAzQEI_ljIW8`jIG7GIXEs)AZM z`u=k}QkQ+j{f>GGbyxO;Lra-LHa>RwuB_{m-f^i6z2A06Y#5B;c1N=W-Dbr5;pVN~ zGj%Qaf@%0V0`KaAboTak-k9TLHn(&Rc5JDKQ&-X31&DUw!hl8#RQZ89EncxvTuoYvWnc(arI;r|d z-ZdW*N90}VR+_hGK|ZOPXA=?hVMC?T;`dDLAI2AG^xN!ZfoVk0x9oMu9eB-^LNQ0q zEhfG-e{C*}wJ8kN0}Vnclrls{5~)d?%Qh8MPs6xF zDF(8W;98^dEGy{GzCH%+6U_1vYDHPYwfYZXGWgN( z<;Brb^yq7qCMtvvZvw<6AZ$S@#--l*QF=~G%P~jhegZ4vp2RI6ZvqImoBrgcOIa|~ zi6zk9eJX~bLqqG-N=#Ls80_LU{I_|K5`)`VmS(cZqK z?Rrsx!D#eKw9JWe#O|`x8O2v8Qr7Kuv!-b;Wf|ofu%!1Xk4CnA2QNelPKm-~jts-X z+0IG=>AXzO?=_7}^&DaBZ4ql>%Q&H6>MdE^_)$CTc#nEWl6D;5(cb))L)=$kT=`gM z-j`bVf@QbF!9$EBjd8SO(Tu0+p?Z^}i}pPxI0Irw(fmfIVvhb9(5TZV>sF*|Y1oXt z8{j?AQukwzdGvv*E$Lc~8LvljSWKsC75m)Qk2&4s$2e3!&dt@F#-Ov4g9lrDK68Vr z0AOGS00YiN0*l;2QBRHo^asC@wtcNxA%<1clSYkWpwwgLZM>#3;p?FOK)DtK8 zlajawiCVh0Pf~;4+tI!})p_;uQWpHjstqg+@R;2pEBu$I?Y$%9D)zng4`9lB7*pAh z)OTITJ)ZFzDPF7_9)(J#ZV|a&~E%&}SP9=t= zpG$gW3oblLB^D;IRE;|NV9opYfzX9wvVer^TvDe@UqW3(5x+3k!B%#$GNYbFM>oaw zgmk8#%C$AX)^C(ePB{xJ{9fVUjpeq}w_e@~w&z^rDN#=qcyCv|&3OD%*1X#HLTIuk zfu{K)6F1qh1M3|(<5Lu^rMB6{UuXPBB3_Q-ZBAnc%tE#5X#BHw#nVOa4oBLN^~VUE zHeggBl$1R$-k`-N6HN##Cl|#Bnk(ruOAO1VXeY}mv#_Uzn#WH0RF7HfyPa(YXWIyQ z-tzI2#mi{)CW&{`Fl}q6AWMT=9D5sT!abJ-)Y2lH{2@c^G`r z9Y>UHsFuMF5LfUZvaf^9)q0Y*n2}<#jf(w2lJrw>$h8cF>1Ow za#l-k8EMw{(Fh((pJ$iGh_t(O*LG>R>@~`y0upYCDmV@osk-EACjvE3(N`rAQ(?N_ z+4ypuFlg>TcFiY%GOianMa1n^w@pFQt-cT@QvTd)Efss?^{uW%P-hgd>kWTTk}z*4 zw{pF|2?Z!6aGEI!bNZ)Kzb0P1!VS|8>p$#6sHvB&b5(-25lH-S1a7q((vBT?Kx#R2 z;D1=Or=b;`00@+idh1UJSjbi(G)o`1?Tk{4ng-1@CdayUthJdnDV&d)@@jtQYs_&U zC0Sb=v*|Y4mX^}-8%x`D92ks`O_q#}bsPLOe(qt|{?}^5O*({fs)S_aY9P;+dkL%; zb@UE6>^1sojN>GYtIXt>hDm_>24CT9HG^0Zezk`&&~bP$g}?pA|5S<7D_QNoca3mj zuG>$hM5~?Sdpe>ay@hR|zky0Ezk5uRou-}4l zkEn3QK8@nD?%F6sHyLOG>&|lrc%gp-E;bwhy<|uGGfssyTJAl9N3e!Tt3Yq*XvInXyFv%z_7ls{jK-fNNc&M@6aH4 zRT0vdqS|FPpL)>qv#{_plNVN1#3jP38ur*(0H?`P9Uyrv#?Vf#Ro-pCyAx4h#bcw` z9ViSJaL2@DPD@SF8n^h*ADw9iyDde}mC}Wa0NZ5Nn5(+r|8j z!E*^9ltQk*18?-y!*cN_5#*c#6v~x zy~#XvS(1YB=Cf8N^lX+if9JYVr)xK0om>L+uxOl6hH|1i`SR6vfzNZujSZ7=^Qe16 z%(n7+Bl#2Ozv0tEtaR`2X?YiYrJ%2jmfpNnPqxHGnY|<;4bpV`zUZ6~S62uOurwO{ z{}f&@E9Ft~3C*dew6)t3h}-awp-P;pOP)Ej@J&osZySiK0Xx{J;*gLx%NFhhd!Hc1 zEe*S(Lm+o&f4z7Ms2O{Ao{+`kunEr=W#$YaBQk-L7%h0brZEc||Fym$uk18go#nP; z9Am{N*tjX3kILI3^7j5yWu5e;oQ`(#@(#L$kxRA#h9ir3NP)GxYUa%9dh&cFkQ#D$}(NUFb>Mu>RRE4*}3h zv{~2k=;8_MWw}h-DEQ_l%9B~Iik4a>q*D7k7`ps`i_0;1hdmcFEHz75(Sg&^VBH?Y zq-Af=d7^Y0{mI&l!3|pJ63;;SC6jitt$x=(MAdLQdm|2dQ|)fGQ8(|3t6W7V#49}B zIMVlX`)BT0HH^0A3;YLMHN~QRjBf_(*%-Ps{Bv@EW<6IS)hO=8Zhe*ZY49!h(Uhwt58N2Z7uEm_0dB`T6%HWtV(azF0IIML{k~S;7`BDJp31 z0#@ceOcoVA;cSu0>h+1ZEf(FA;;EcA6I(-5XI+mCL(#tmw_HYxdK|qE?Q- zu|`nQQK+4{%eX4o*VBxh2qL`*z1SN``!GE?$a~gje?1*oFw^mRO@AX!N9=InJ;KG0 zLdy%$qKh2ois@NRHL{=%HUljftaARAWPseYb;^sis-oQIoEn22D1gF05t~i^ z@5xnlRV^R=ph*%WHGZQK-;Qt+ zlxZJRUMSVA*W8vY)d{=|otR6+)lWL$lT<;!R&-6cigspJehlFLlcd*7FTz;H;si%Q zrB$HK_u%l1%ado*kl9RBm6Hp4b=Ra*@>D?L;xT(x@B#wSeba$0$MHztFJB4!Ui`NY z>8WI%Cq6BUVpCsuJ+z;S*eL&!j{hld5{8QB0f7Qf4jlQ7uXc_LHyxvqe(&4r=41{Wi<#J?DB$n^$`6sWbMIx>K0yM zQ-0zX144d*8eYT8Zt~pr_mG!xZnH!*QlUrYvcIRDI_bmV_?T zqdpJL7h@DI{$O$I@gjz-^qevAlLq)ryS#a1xbIT%Rq&C}$WGbP*B)yjWZM^bc?9R#6AwA(sHZ* zO6rme*@!A4){%=I)Kvt2dfgrvq`4Ee-EN1;Yhh4Zthh6RJ@PNZ*~%#`0=xu|vZ639)fU<+qbb<1$%#B7@riXyz^A>SDy)K;TSlq6rK2_ z@hTMbEMqIjr>fdd8X96_2+{ZZZgw->Y>CRm*Q3ufZgrk7lwGg-+nlR-sxR&eY5!lZ z(^ihK9Uru87M9TPG5&o_XFl|9b9+T@`sk=PB5h%6&$qmm~m{D!-vsbuv zo?As6+n}n6J++wsApnkaoXi+l?F5I^Ugxr32r03_kcJQRaOw0*TN*uL@DCa^&@{(P zYYnHoVZdNwv!mZl4pnGfgAyMTZGChRig|D-v#nZ9bWi;UIj-BUdC50&u@riy=D80s9Rfed)-86 zJdTFvSZuudt+hE$fp9llJ?{+zPeSOdPseg}Q<;+Uf&!Y+0sc4BE@JN-&rBd711{6a zZ5G;}GcnJAjM>$(Q%yTHo}l)$l9%OiFQkd~=k01j+x>I<(eHpQM7Yxg00~b2ny-r0 z014~aPo(?5*Kw3l*gi8yCS|SXyew^8_0@ic;aKw765b3<2E0|NxX4}+<)i!;r#6kO(<_4DJ|oj z({KsddPgNZ;8sRw&Ey)_YRN8b>soN!l(5je9}P6WD2E-A3uDW(S=aQwd0Gr>2@0-A zb*H0nZ173BqUT;qI^Lb?{;1jZUQNgQ=iq75W-z=rket~AAVCZO(%BN4F3YVX{*10w z;I)rWF4}8TR!CW5#McUiHf0htYB*N@ffyJpZLBe{i0sp-Xmf8ds%TH`YN=?G>Dx+U z0Yl0`B(-Z072W1u5+O3cw!s`e80<(&$8RECM@9E#+ARK=1K2dDsPl6WJG^{vGuy;& zLs(pQ#h*JwADXF(L+5$=?YK+UamYX@FK-n|)Znc#2&1=jGh}WJ-|F2rv@mNfNv%OW zh?4NiJ7M_sE9zbnWq%mkK_F-hVCQMj;|es1c`ws1rqQZvBh3YgBmu^qTL-c2-q6!H zp<$WIqPmr6vIQJprG-eZKQlN{xBiTMAAWE71^3vOu; zr_)NuGEpoagHKZ6Rod6CKg8f;mhW zzH~{e9VX#wI-=f8=C-s#YY=5oWCp6uH_G(bfhn{&bZV)Iicmuhm?YdHCmiQnL0MatN~ zNB0S~VONHkkTs{VT_G;vXo=Ay6r<#=TX)`zOthK$;?FKnh@r*v!d%GpvvJ*IIDRk= zFFH+SCBj0)?LMDRIa^y8ecBg_@mkl5#X^qOL0NO!mtetk`h^Gf*X;by61sYEtRchN zLvUGvy(Wdv3+&%sjJ?hm-*Xuy$X!l% zmP-<-S>+#h9`)_^(2-ra)r6cOEeCqGkaOu*Tgkv#73a2qLmmM0V5AJ96SiG2 znb?R)KIH6`^wC2+*(}l6wX(^s!!t8M?YEF};1v}m)28dyCe!jSgl7e;wtSp*jCc=5 z4G^j$<5x5?#17)YV~HA_at^tFvmXC|Il84l_2`7VbC5I7 z)IH1H_Ne||`h*mfDNL3dkio$s4D^y{iB~gq{l_-$HiUs(Hox#tagJ19iY2W1lqcjY zHgxS^HWyfby0+3xbP}b}J+r^MHzv90>IpF0+}yPXf19Iq5`z=ItT9>}J|q@3Go#)` z8jMX#1DKTwhsyW!HKW#(JYasL#hbD@{rfdgmvnhMy^;`?Dr*Ns=5~D-8+p#WPesxu zA@r>ri=fblYmb(eN105_RI#HWIHumWqi#WZd*Oj>KgMacJ+Hl(@g5p$5MTw4Qp@OR(RrqQGI=FZMOIg9Bw>=`5gfqL+I&DaLrTaBhA8;3l$z?uRYp` zIaZ|kkw&9-IsCmpXyHI3=hNv+MKatKSZA`ZVBMi|bDsA6DN%UCE*F@%aDl}~;QrDb z%UsL}0FZ%koZQ{#dyDr#+^szh-sqif2~!Es!S@#(gU^YY35n>a9W8Q=ie+@Ho4SPvyW6s}wi9d$p6ILdAl zH5R%UU_)KCM&4uk4!12fPN?I`bo+;fyUN2q@X0`%2T)gvUQ+9@`)j0K@Dl$EtOg03 z9vG0`atl0o=?6tH;w6uta~>z@vI~!1lV}T04|~xvr$sn|Oq}7m;Yza_yMdKf6I2ah zYnHybro7vSn$=ibtFk9OTIB*Px!laT!iw5s4zD}Y19$)A1T1~Ke&M6K-mX!uw1PFg zFTGBIhwa`@bcn8dhDPIt1>}RvxIWMjcX*PfKZe+~1_cDGv37QfMZhSK@GWgvP9ib@ zJ7Gd?g3%VnURqEUut~i_K5F~hp6zLB%!4MB&9JrAv#r?$Gun9bK@R684q>6Q&mXOu zI*J5XwD!dwi@rNvH`aj0T!ov4J`R7TmKr~aIC{zYH-^^Zx>v$$?BG9JlI#Iixk4iL z?MNNElLC}SclAGL5pOE>uRzI*-e+=+f>u<<++@|+hZMoyXMdkFQl%f3Zd%>XPF6QI zYjHd_55ussNfm{RmgS>^iVQ;^i?%5(HSz!8Hdb~0idg*~KSDnsI-^ndYmO2vH+RlE)OSYR#(U9D3FD4?$VPSP8}+ne{C(NePO1?pM_U=4+3IOti}H=xj>g^1bMhzU9fp zF3@4uRp`W&181t%GPJX=+{!?>(}qhSB*L@psI}aXE}q#ToH&lv0p~g4=;~l;&nCV4 zZ3l5(Lhk_RwA@z5;Fo5Xtn@4mli+CMqq*aj*iC8S8R_cV#`_4hvsiU4s6No^C+d*Z zMv#3-$iIumb1^_DP$-BQ91#CsHjt&48~AP)zY6&sg6DVqSKEx4l}xLuZSZ*AUBxiA zYozePt)rd!CjV7HTRh43!fSu$z<1{*tpjtpVZvbkqF#x&$|Q-XhEm}hayL~J`}gN_ zOR~^7jch363wkf{4gIgR_QaP|8QLco<@q1Q!d-mM$&==#bAYLuI<8}9afaM>Sshk+ z_366CatnusCr#nG7D2QXXD0nCykzlk(44!5cuP=8rC5fD{m+no5)JIovw6yuu>Snt ziEmH3a@|pE-qB+F=+$#6BUWK8sr;|8J$s!;pqqfU-2r!F`Ja}50 zE0tz(re(8U5P@n~qbL%RYmZ`w4N3Yy&Cd%6_3?=6`cuhuUWp%XH$!#DjNTB-F93lu z4ZpHY-4MZKA=V;SlEZ_QIab$uyU(lA_UFunX8M+oriOCteW{li<>5{OKW!*qC2Z)I z6FqJ+tI}diDUnP%ER)(29d#c!Nx??w^Q!6F8oi4pclE6Zm(SI;l8ge@CLlqGNqE3P z9Sp0*s0YLv=#4VDz4}uY{?NBaKwhD_woHWi7mptSLCb|97^B}KUyU!2^I=F`%<}gpmq=!OMaW!uGjJK`5knY;YgyHic%KENx0_K3$z+54%=M5d0L2E^nJ?`S0|zOz;CgfMiDP zyvQ{f!YP5>iFs!k$AQO)#xs92sHMF@Ny2BH&x9aU6E;Ggffe@#D{IOJ)QnA8^|78xu$qCLpmKuCcr$s4q z17t?$b1!_~^C!6*{4~aLv@|W`dxGW4e~?^HY4XV$%=QLlC*o?jPbW(+cLAcc^DSZ> znS-eATj;j#le)>MB-mU`_kD9D4+2y=W;!!l%UPPbXt(X4MAlm;QT6-19%JB>B4C&G zV555;OGN2jY+bX=m!=+;?t&gNW@^$QyI;9`-O*hwi1`D(2$(ED&pWvD`yfEDvXmH? zp7&_*p*Y~V>IbYhP?CjZPEWhl)8D=G+oIQ|>tmtlm-9D0BA_ts6C5h|6Q1lP455fI=kLriqJ64cR73$)*DNMURpBKP9Cz#7_KgjGK zw(`uVPaWGg-W9QF{2tqX8Yz{twi-;hDPX994k~#fc=n+HD#&j`ZmE1T+dsZ6#$L9Y z6WkOp~6sJMf!O8x%7H&L`^j~5wUzvY&MYSY=)RpkF8TXoB8TzI{FAUr( zA4P%4F`O^x$T5PK^y?;sA5V`$yiJcnpI=q}dHNZ6u>sObH*NM3Z;=|E(P*_Ifr@6!sNqKLRdA zOO3-<+t#gV($l~I|DhdcneBpkIw$%~rOK$i(pWgA+Drv6-hr;(H(MQvt&VRYL% zUC8gGp1OdTQ=DL|BF!)04sv0qvy2@l-*Mf&NdL_sO`S`R3ri*3Echa*JAVS&=N>9a z*GQGYC5)-R)_2F2=v$NO>xJez4KXGLIjZv-UF^w=@;TgeuZ`lUxoL^>`V*soAZ=c* zfngjaZjXs_IeDMJGUf~JH@5M|04?s_i7cW*AUuhiTef#HXC=A|$A_Qh^2X~K*NgF zenHwZ|7mi$K0F}U0(qkPOyOdFWkziTQjw zm&_d4y4;}W7TV?)dK`v(G9E5=9c>(QF~Y^{xo0>@m{4lt%-^!|8hr874G9g-z{_ko z0JsK=59|h%Z}Rv#UDO{{=FhA8&MAtyz8NqUIbZye6_q}>Lk9jzYky^vQ{8)98OdG1 z4>M^(3G9*&S;xFUcF7g}AhQ96->za&1pa@_uIwgRs>wmhu95UDUMpi2NUMDfFUT@-U0{pTCp&ZenJB^4m6a{}_zSgp1LMIVLlK)%9%EoS8lr zV_fexM+j+lRdMidV|LE`Z-&820x?OMzuNOyxHa9#YSg1N9JfmTyjk;)OpnvB(9$Nc zZ4f5;_$ft^um3IhP_9t+b~U4d9t3oh$Cs>R`!q;B5#gP9%sCX*UGRcy!^xk}j0kbOUZD4c7>Q3K~-DtXzyH)Z6}a7yU?EpMC&87x{(`bnFbz;HqTNQ+TYN1JK*9 z`!E{I8M@}awhGemP!_`EaZNC7XJ#TmV2XNE&gAzxC}5Iv`5PA!!n75kpZl39@JZ1G zuXMxEMJ@tblT-UB{D`j~>~EyZxXicqEzBl^+`_ zMTbZDF-LZVN9AqS=*4EmRpYE6G99_?v9)q$kg@JQ>vCGZUbFqF7`k15G-J7fYj93X z{QmatHUI7A+(vCt{TC&Wx{ifI1F-j?UeV@WSAU2?>4WHbvUX5LqXWdP);T9oE1bQq zuF_brz7WlrkQv7akpahEN8k?kT(D!bj&a#|95y?{OqeR3I6A|8mQz!~C*yD&OCl_Z z-Vr($tN4cc{0A?NOhL77N(|!l4@e``^5D8{2{tZ2kIXP%)SHoP_6Wm2`cPQscP+BJ ztfWh)xO^{U^wTn!)Yo)XNy_h_}#?&B1k?Fd@eR_tng&^$Yv;AP=tGR~TC*5do4+FfKgGMNsu^kH6I4L$Q4)fIYdI z(Q;T6INGof^pJiI^h#($HV_MhzSHV+(_zPB>V=lhbug@@5s&*aCJs;OVO>`LA>Et!b#J;XG#u_~$J)pZOoD&h02 zjCyX0Lm6w9n{mPPw0xD+HqNib~*hDu|p zH`;5)#m3`MPNt^EW0QW^ProQd_2ePGEz$69OTEKka+_BK$+D^Z{)1UzW?B*co;_VX zt7thqc)4rirRQV>4anTi7#3>{STU`ui!z%*@6hmCCc^1LIfYK*kdnnX{guAoeS&Eh zNtxwX^S(Hi8fHbb$fx?Blzt>l!h@z`7Hxa2uiV5Rnp;v|ZV#3RYB$CZrXT9L(`(J< z1EJf_vS(iEQ_qo!$|hf1jLAn5t#}k5(#EMxypnReSPqVL+49LK`S=;Q zfPlfAynY3;H~gW?BoL%3fLwECa!LnZxvfF7Wf5y&B?XrF*ysbh;*@QsWIHccgQ-dj zV#8(wbuFJF#P#8(ITSr|qVDckwnq$5Ki@dvaHsEi@D&(G#`Ej-Q9pPZ2bM^gsR>}w zgusbCRKcHA`nx`mzR_lSfI81bNvF+8WGCC13<4yp1gTr)MnoOF(_DRvfR{d17VE~EE@rTEf)n=?ThmZ(Xi!Z-b(3Mm^_m9BA)G}bzFE<7QA6jv z5J?a{#;9r^`UO|nnd$2Dzyn%rODkWW!bQid=~_`xsd>BQSf2#%T+SzC>B||yK^g9o zHbM__A)1Q>O+fL}o670rD_1mFek_oj9{;#E^(B8?Ja%cPTD5t9Tn<_@26aj(7AGx1H4n)w6nCWfJY z%V2kDcE|g1M3`U=0mN>%W2L~%`)X*uTPV7>QH zk{OXb52W`@xWrao9+Mw`e%L@dtLj2o$NWJB7K%Uws(Dl6v?kqy<6(HK3SK{u`K7H@ z{&wRLc|+Zx^xp3GtyU%bOmOWe!YLfd!rXlKjMKkzOQN$wl{Pg(jH~s}ML=fKfBj9? z$77MtKIv|x!FK%R`_9K~=xBzj81zYrZ@gdnA|AMp(|DjZFn~PVkO0RK^lp)ib(K<` z$gBY@j0u+6k|EZaK&DF#BMW414IUtW z5onD9jXPHghsTOSPpMk^jZ|1=WY&w8jxPbZJ?|?4l%`F1DqRs930stX&irH7J29poXke={5!m6Ogk|a zX-uSg?jqOCkYB6Kqm5n&k)7L1v8)Dt;pk;D+s!aDI>`}Z7z$jiDEsBNKzmYm?nokI z41C?6{WfT&?0QGZYmPdOT=;4E#%pk3NXtf50-lS)^TQ*h`h}tT?+Ck{JI)QFglH`m z=Te&Qyr#ADQf2BCeeYXlP(33Ij0I@E)Xeg0X zQrPjwp+g?Mt*aX~`VUf)g4xp<21pOU$fHelx1x2Hl=uQ}5T1Lfw{tNBvzlZjsng4i z7NeunwTwwQ>HO>5sSmXP*%UQ+$y}VMl~7r8c^iPbO1gOn1c0=P`Z|6gAQS!3{Af@)zPC!CV`QjPWpbP< z>|``tB4rvj?dSd^Ysy|Q!zX%pdV#68^rb)gYrx1gD)_-Ci5;2Q(9vxoS3Lwh_WvdqbM)YNT)k%?UH`>)e$tn~LF7%b} zkb{F6&TN#ybUA;<3+~DZLbT}}mKqW4;o7u)UFP9hbF&MJ4Wjmq7{=Xnhj}UIcZBx(VMWWsjQ0Lp>$yz;nj3)y|&Khi)!97_{W4g|>+ zyh?M*Uy1v+bWcm~(k*;=V8P&_7P%hO)EK~iv;4{8@5A;B!_zV4GJ!s91sA)FZ{b3) zcK*pkarR0vrMVc)qcAs|q7Tw^G?{(CHff8CL1A{jdHNf>AXl8z>Prv2BJQ835;F}> z9==v{8Tmw;#0k&mY_-z1rgF3AsBlrUN+E6H?49wEA(a%G2(O= ze#$Dp?5pgF<@;f_Ez|1D_3nY0QT_@fY|8vfgsj=9Nq~hQRa$6~FNKJf?s8!CY0BJt ztKgx_J}dLM_=}E@AFV_{_pMK9m|wXQfK{NF_+Vw?2g_8C-amnZSx}q8kQ#)+9{||z zhmbbK8I0K{dM=ku$XcQKprN63ao8Z6;^janu*SRqd*G^&h%&$Mxa$`uXGh0j6;j|dp zN{y$*YSWZm;p0bV4*7qH8KB|Gtu7V_MRqQ>K6lJZxZLfdby7gHM_eYggbJo_bFT-2 zI5q_@G||5F-_Nh09OR-J`}f-rfRbz-HS3f{=JIJh>P;>qj3Y|3KUA0X4|Zf_12c+` zh!c&mVEr!$OBYbYf`P=lon>W`sl|TNa$^Ge;`Zq$t9cnA(y~WAxS>#B0H$ur^gpS< zFMJ=#y{Y#u>Zjvz-P$_|y^9`$4OE?`c{OtH=X8sorIwy>{nmC{Y61QK?q$b8I#unm zjo8NT2&;XMjhe#?_=Cn>$&Y;AX5zY)a&s)?YuYxLA;{zM@}HevMFD&{Nu570H<|5r zI%0Tx{?)H9SWJ4>YA66{yxR>=p)kP+YUX3DaK=Te@423LyOI9xIpj(Td$7W-V)7rd zozB44%xJy{Hp!vcy0!&+F2dwS^@(YS4D1u}I3u%XHLSu;=~l03%h9K9IIhsHjYW*^ z?lR#_lQWc}jAP%-g_CBT;m2a3bWU~WBOYAO&9Wm9$g0~*2?iJ?ihrrlzhw3k`)~z6 zcfa!X012=Y$XLa^mCBM&mO&*jUpId9D{4#hqu*FUV! zyLUg0x<)9uC-){hF{T>`9XWWcvfi{4)5enR3UbHJXsG-q$Gmg5IG)*)L0+yqjIk?J-akjd3| zLNQOT_xt2indy7&73}DH*m5xPWr&A>cz1*`=X&iVll$7xU(?Q2S+FFqewE}%N2vn4 z_GCn>P(TZFHpA$6j-cIyN^{wcHa^6eX?&gwpKNQZIN8@rzl@5Ge6)n*|Hg6PG6b(R zU1IAv27>2M09XjBa5ZYGDK+g*tOx}@1~jnlImxPyGdPON1X*-NC0(G3ve!|3$0MVE zNh&SC!}xcJi#t6iLE~sKQ#F54a^$Md0^mLgVNQG zQt`{05#UH7k1AaOq7Ii==vl;DHNZGo`Tx*#6<|%SZTOsHp-2me)KR2M0qIas zP*S8vNq09R6e&SKNofJcq&r7QGdd(kcaHA*-w)1zUAuq{hO&L{`##V8+`$1mSTi4< zv>0@lG~k_M=~9W90!J$&|MsiN9K%j_xP5CFl|97XK_Xht-YeKP;vINIetvT4oTe{0 zy6HdZ`tIQxYUwtEI8?EvM7kDrTeZrWbYzZxjrT$!{iO02RD=@9pB?{V9$FmPPw|sN z!1=j|CX`XPN%WpnydaUek}Vq|aGxwP$0X{_)2;t=7eP-Q6k0v{?EdE`RMP++Wf6;v zcG?%*_i_%Tz83@^(`G4t-DWosF!7!>G4$shjs&A6n4xgmJP+O@%=2|hr*5?yfg zJgd?+gkxM!0l(rXIxTzr71y6>oNi0X06O9RH{8;qNMyMfyNP0&*W&n+*->Jydxu3K z#3+?WuR6~mOF9y%UF+IZhD=iGxxaG_b1=+1VXMD_yFf5f&mA|=gdP4lS;90M8WIy& zgj6M$Y7ZN2BeVS0qjiEqKy0^t>b+*_CYY1KJ{&3eNR@`==;pyqrmQ-pu@t?#6&b)l zy5`p>T9u50&TUTaB1hNu5aZ=dhXByan*uk8!=I#s`U8^$YE{07B0r>tLfB>uZ4MIj z@{r4i``-8ZzGtHRUx8Q|(q44YoQ)9Md5u?;^+Hy2KsiE-HA|%M(dcYj>X`DAvTa~J z;S#PtYG0qwDSb2%Bi$~#Y#o7+u3bgH94k5zy&Lx9WZsH`=GzpcV^6n?Z)W`-xQ9{~ z0Ip)7tH9MUEA~lK6Sn*~o_@(SmTq5ASni}Sb6zE_&MMBL#5c8;!;6xvkTY|Q>vKGq zK%hPZY(&X7ZjqN+y+Sa9F%?J=0v!CI8^TeLvXNuzSo^KG-=L$4M*AH3#&~EFNuuZ& zc8#gaEexQ&H4SZ=GAhycOv>LFeNJ+iSZpFp(i!|C49LNuQ_A%zuXHCo!UW+@#_a1T ztbH0B>Io!A<-skaE+V-(( zQ$;ipl?6ppL9}9=1;zBo@U!|{9j2H1d$=f&@1wHv%hkTMsnnY`$73LU9y&#)i#?XN zzyr!BW8eV?7>6*u?_j3G?G)gU{HA5v_%n|{u8Cb-kU^o6YfXQ=>TRV5PcwO>u1%*9 zN>wbpzaL&~cHfT>lE2r`oo&yG39zd{KLA{S%T215M1*pQ*JeZ`z`Pw;Tb;H}dCxw# zbzbz})yz?6KfkbiW^~!Y1T)iF|>{|3Z{L zcf;O$Hnv;r0u47_q@i*O?~S=lCXID~NIRtjaVog>a?3kleC=h#+%9O@uolOl=*d9V zWF}A-tFC$v?xJ^AQ_WU@)AjJp=1mq)!j2;}i_CJLl>d_grmn_E{Nokl4yCx1L*JE~ zd4^mL=x7Vk3LHG++NPDL9_7(U5?x*wF^jo_gpYu)Hh#l|H&?)oj)k2VWI{o2ek7Up z>^T{3rm$V|Z5OqK5g^HW2v2jiV|p>Fr|SMXoc8*HN6SK~3zA3k_Z>(b51z*Qs=h(` zThC>Nln)Gnk-Z@kY@bl-|2yo?kf#ca>gDRKr1?JYXjtkyKAsVBcx$a{!4qOVo;x>bhgmh3qea&J4f+OqI|hA}ZUZ9wbSEE7kEeRQnSPCB^4rS~L{1opu=yBk zY6Qb-6>?{QNvx~X;ctB*Wm=EpWJepO_M;^or&i^34Cnl(Ct=# z_pT$GItGIr{(g9P94Rgne9-r4y83Ssm+|l2I$nchgmv+u&9qEiV#Ep2S(Y1SEb9wy zyp8lbRCq1~H$dbPKB7q|$vW}gr}<>^#Ctp1R)I3Sg2Tdertu^EG&TncqzW&(D}R?3 z_Q%!^tCsfJ9=vts7ZAWMRSS^IYYt4tkHF02<$VLBF-F#QttmB{qJ^#h0udDnRkWO_ z>!1$)YfeF=4I2HXa&Ktx8n1ezYc?AaMZ%5;7O7^k2FSG!Z0gUuGL_Xt1b z#G0+TJRp20d!;10D4a)37)Ou*Cyk(n@w70$;-q`%xhVkM&e#GKL!@J~X_4dMXE^I; zEJwkPx04H}RO4)Ifz+S=9nMlo0Hs12g0#u+(ukw5zpjG=;9O;G>G(X0zws42XECeJ z)ejg<7G(_|Ef8qg00aX3W56TjJTJ=*!#WN>G6k~FuBGcpMx$U^Gju(B8-Fy*oe%!~ ztOP|3K1YK#!+J0NZ@L)~`!i*>#qiQ?_APpd${>;S)G7}+C2H8Y*N<&j#$|e%c3i8n z6OQFY1IKgXcwj2uNBF4XN4FAHOHssRZnK@R>-Nb8McT5S=0%&Cso+-s1^{aXLa&nY zOj;ayWCBb);_P{Bql+5JZX~lK=Y7cI$>>liWW*YbdH`Zq=YTZEp#{KxtF zd6SFvkZcXv2Cfr-)9IP5hqM+od;=Gd}ysnvMGzaRtMfhJpq9H&yG+XXlp%h zHF+dSYV)tx(#oliffR!)ddomc(ysB>%v))%J~9yvoG*OvER%VXc=EJq4$R0b-&Qz= zO)_eMkU==V7#`I~Q+s>D=`>LB66r}V@TS>{NqTJrHu22o6BlIGgS501K#<#r^p$6@_RucBZI?)jXED_Wvop9X~@JF&HzFcB7D{E^O2f~jp8SrE_P8X*eEm%XAwn%QSq(Y;c%z7+%t zZa{fv5$;rtvJ+eC8H|kPF*B787R0Jj`QXHlaM)C+HK&>hVIBtV_SKbUDkUTV@4N+F zir|%E=9M}7sJqb7%zDtKE_`MyM0d}!KkW;vK@#$n36G85w8mnkI0#1*z7c?ITIckP zjG(W*5j@jM6hueF81fIil3l>^;H$+osCIs+WIrFv+aQZNb*8vdCNi<3io!adq}^J< z+*N{H@HUHWCxU7^G|+{eS*|i}4S3nPNjM>(N`0)4hz;}$h@ejq&>)WctZvFAFgm{w z&aMt71AFDvUdmO+p7Cr5wDv^j9bj@6yuS9wxm?j4S=wMwR;GmMHB!K2tlJCmx5!Bd ziN|=Ke{}-j87OL|r$zb>yw|7h%1CJr6oVhc6j?22LmJq~cY5SyECTe|y=m7P=!9+G z-{MFF7P;4N2>|W4KYmd>_4!9*aHiQ{n7zBKbZwZKCp(#I?5rL?ZBV`}X8PCZ2Pysc zCjF)s^^Q(Sv)m1u(CQ7|xzg6ZS=DjLDDHdt_xn(t!!F~WNAk0ll9>>>oRFqB zUU&2h56aD_^K}%&d2UE6B>1`|m2)x`W2%XKtmArJp+bl3JMv|GqIrnuC1IX$zNYuv z#F!xncfCzJ4r~q~wR>yiUU|-ad-8+T7huf)P|X&2|D^EF87GPf_FqjIq6!V=?ebR& zgEO7;S!l-w(zo2UbE0O!B?E4Kp2q4*e|eMs;;(O*6uxbuT2|CC(w>!5n*<^8%A-h1 z^|0WdZX_6CG=PYqClugX?L7Xq(*;Geg6oxuee}XvsEt-+aJ7d;Znl45J*VZ>sp8h1 z_$yld&)Pp_G~`=#7X`lE0TryN8U zDqC-X|#d-I0x=B zvllftOq;X%9eV!1j+>Qf%)48m$>Px9{Fbx7XONuxc$pGG6Sj~QntsRZNtGhVBi&K5x z4C?u`rL6WL3TaxE;C)wm(*%tOc1rq}J( zy*C$g7oGc+Xkl;oGYiV7_f(-2D4ay$Y148k9l$EQ(unrE#9#$M?n}yq{@W0FLBTVf(8``2ayHK+<`^96S1JT$*BeP(OUkrZ~Nq%m7 z1ClM4z0>-=&pRScZ1d$sg@1`e5em({@oM8BLLFu`|y$avK! zJ>#g0loLLcfGTDpmme=O)U%4?mPJ#AIS~*R{GleVSa*+)ZbBA|M9+rK+;;&NMk=Fd z2{+Sx;!U3q+X(b`!BWxCXlqLuktTr#puKCSo%}U73sRrV`Yec&NNE4mK8=d@8k@Lj z(FAB74*6c|Y?>=g>r!{q`naxGZmz*+mKo$?o~i76_b$_cx24Q!8xcB!Mzx<<#MI%^ z?P6zj>3$<|rGt0*U<=Tu$dc0$b2IhtGHc+xINNg1#q>H7c^f}ATqxKe37t}s?SbGo z;Rg$K8E0d;l(+V7DiTa_{lEiWr3$q|x#s=#OL-}!wMiZ$!=*V_>!V)GJeo?16nKXo zN-Mz4o#a^9tK92vCdjmar-2iFt9GKe(!<$$oOKzx3vL=Aex=t)*3Wwq{}cSf3U_L) zv=q)^Z<|$YyZgfzk)-hyYBYKnRp7cQ$qz&TeD0kS#hY0r;Mo>dngio~r8$K}|w;C7kav-XiFjqLi z1xL)^cD{}UV`2m{&gMics^Iz);;||+Kr2;pt}6D+-9FsfE_Q_u9LHulcPO` z6l}rJ6@PgNUR{XKmrT(oWe%_v+GlE1;wL+yOAYf)hRcU)Rp{`=q+Zv9+{c40)5|he zEesTkAVc=a@995dKXfg{YX{#c*y4Z`$G!uh;lH&G3V%`mR=L`=?y^O@+ohd^B0vfW zFsOTawtQClr`_1`fy6<_btIHMB1<``%(hn=*si|fCXHKD^C!thwlHKZ{KJea1QE>d zCwEJ-orF+E_ReHoOj)sCoj{|esa9Tw7uI6m0eu^6k1SU6a=Z$5CR)L{Q_wr6WQJU~ zh^KW^=^nTNG}IB(M2T)DTh66Dz*bYw6{pke=y^+nS;f`eKbqYrUZ0cCBw+R+#Kjd0 zEAxmvTQpjgz3+YEEYbD)zg@YMOToswL6D?5I285#}Y~or~^xOF7$VLNF$t>e4 zl6Wat5Qv~sHBFuZa0ntS7zJ0)vbUcrmCUmD{y5$jmT+#2>0CB@5$_U2{+Y&FQMmi< z2yfe4*dI4;nZ`OqF8gD$4_6oU7KMpAfPYYa&yH~8k~g_6tTozqMAu{$NW656Pg}Q& zmVGvXZJh-O#YMoY4d*jlizJP-jDM2zZ{S`m8GTka%Z&pS1T($@ZgjP|i_539AQUR7 zp&zX5n+dhlCO^ORD9824Hi`qIn=-9u?Jjx)+58PkV2e7=kDwoX-kzg zbF95{JH{Ft`lK}H5mYVE$VdB1>Z{N^Rz*PffV1vNe?fxF;dDkhZ4%JsU(+1M1|iJ!4xkkaYV7CSPTX@4`|{sazqAypEkk3Yn2`3-r3xV~VTGK$ zpuiKByCv6EOc|mPlDJ+#g%I$)O%U)TMWBJi>kd0lz!NY(-r^ev$`x+mCg2L+fIGC# zXd>QEd*jzp+vrR{> zbp7M2r{41sP0Z%d*z6-@!D=vl`3WQtcU^d;?K*)T!!S`2;1R=nJTh=>EIy3^A9*-I zZCS3tC9(~dM`bSw4i=S;YrO{fbRf5HhtowWu`GTrS17Xty^IYN^b^e)r7eExhwPIx z?G}kJrd6LzIQ*h(na4c7N3j}D!aLcR?iMw1^CO&r&&EKidD|ko^!**sdS?LD-)ObL ztd1zAdamx?#73R|7;3C~?(c&y&Mv3ITnwDvwqqn-@?>-K1nPzLrLu_eel=L3pGt6= z13{6r>?8i>>eGJ$8tg~15R1)V4q!n_T9Z)DY#=yMpoRxPfy*f@J@rOOs<@~*ZPpPW zVgS2cnOf=WUth)IH5Fgj$&-Qgk?Ey8rUZj6@(MXocUg>l=g$7Q-Wifn%w{$;9Lan7 zFvLUgyn70kO_Lb5(wP!_T$?9u>1k7xUc&I!q!Y>+&0#0*y zE!`A@Hwe7M75XK;-@=6JfgGT>Gs}vD))VFSG^Xr{<8=mE3tg^Fd+F0=H)jS(Gg*#E zFyjRSC6F6(q{$$DDGW%`Se|7%R3xQ2Ev1#n5&YL}SHWV8LQAEZo;^`)$<|lOy&8yq zd8U*s($;F_a`;K&4|tv2N2qz(JCcu^X@0y*5O`(VI_KU8lYgV>WzR$YFMx)@t+zob z=hwHjqj7d%lM7Aw-);Z$CuK=H7(WS9K{MAe50QthR1b~P3QQA?v}Mz=w@r7KKM3hOQM_;W!`{;|(lG(>8Ku>rJ6U^slCJJ*iV*=PM@Kt#W zbFPGcxFy}L+RfC^UzI?d#EldnXRFKi>a2JVy}oW=mBRa}#X#ipzeuJla=WfmzFDzu z+T9x_{1@9{)K&y!9>!uUBZhG&R8v_)cI z+>>~gg#l&f#fr15j2N7mvwSPni$2DGOHEUgT4bd*CXrhSs{blm6tE$TIEl%lOy0aN=+BK6p64G-SBC9d`(Ak;ibu_Gq z5-L~U_M@Uig&yGI%f)y)^LVU0Z`X0#_~Wk15GF)5!Ya@^P54L2j2_%_w5qj#2*^EO7d^7kDlpmw-N z^)#YO;P|z1Uh(W#x~$0UookGm?cuv$xh)gE44r0CN0A-S7|a@$cUR5aOyT)am-z-X z3zUPl4=Ng#2XR?y3`-KJLjc3_o=MweohO5Zdp&O+dUmYH2rM?BXdLL2uGZ3#5*GD-dF}0iImnNL#wBctY~`7+9ZM9LXTN?hSvFi8 zE`)OXpj*d8J;7xa(7DDgti0_mf4c>R{A5+2@lv0<;U`${Qm37M$6$01ODtE7}qjxk4+OAa!b z4){D3mE0jxXyeT*$J+VwU*UU|g$lksi!tPjh#{f!mPs#b5a{a0hq|BopNc2p8;;nR z#8C#6S*#HwWIe?rZE_)e6GTW!*xeDqieh+i!~|R53(>Jnb|&3>1=T=G_($!*Csf~` zgrT87layO0amR4FqwhCzEN#i-T&R2(rZ{+7m`7~t_z}ryJ84@(&ZaH8G){9;vF+lP z%u>E^s?|~FZ9K7**brai_qd(E$>K&{n;O%lPz;MJnekO3Ej8E+0W|n05)0P7aSNyo$r%|Sy02foXRtZ8kn8UkjNgVAjDO*d;APg*vA z7ITU{S$ml{W6RgHykk_ljW0y4{RtbLt6><>0FnFfW4Al2;@U$R+Am4$V@6w*?38wS) zlZMv;2dL2hYJJ>NU2|z%jvA!-{3k;*-FUs1i>gJy>7u!K^9kh!rrrPR?w>ebU#3n= zHsDc&;QTrxrDDGNq{G{$( zdL@@$G113)10rXATTSXk_E1aKlbvOET)A>o2Uw<-Bk>Lp^L-Rb!N@FM|M|#U(d{-M zUs$XB_ttNF=>~g%W*a%ldxb@}tBR_|kF(wjn7`K&7W4^k9k2HAOsuNeZ~LP#$&=NL zR9J};e3h9HN6v)=Xpp{$v}LNGvv1`fKR{|`CdahCX#558=t15=LcwL-;@qz2Zgvqq z*@@A%e}qsY>xOpUn0T&f0-OM5LV~+C^`H#j;0p-m1*@mqsjNifaEs>5nPZ3MmPK_A zUdoW}p`0VuH4f!|Nvy*q-(#XV$Ixve5;>Pgv5;J3~B zeeKx#hH80i^SxYt{g-yFKfT)vD?Av;KW}RTBT35F`9eqCsIJnXBESAny*p|Nvq`{{ zaCA49L_-2ygF0<&8+t2zARFr43bL>&`??NTAmer5x}NYBSM1V!efv2YtxFK)0n@N! z8sSW55E)Cq-8Q-vjESzPBjvczqh;{PKKs1h37jZof!I5=){bW}{6r9d4A7r>OT5M9 z4~JX)E4w?M!9|bQ6sPwCzbh$~BbN`W`PN1?_-!iKwXd#{9crCY3zLyn@1TmGSJ;Tx zcB&d%`pv{ze=JopzZtVZn}~aDocN+bvJoq$WD>cp&qD2-I^Ojfeo@9>xNXXbO8hoS zJDj+Gy4goC!Ghn}H1Vm-u84K5Rl3S-T_34xD<2GesgCp6^;x=Q#9Xrg*DPtBroO3| zsdnv-5bmYFfqLKM2ONc}+An6`kj4L68+F$=it&~*K8CCgsG@Q6MjNrkCoPrtkSMo< z4?b2kqZ7ovdC1`?vZmO;>n4xl^0TN_I-9M8k{!^>5SbFd?pxy)`C_X4`+GA3{|W1U z&UZgG;Eg?VvHbj6{CUIgGaK}}m4cbxkUelvr$0*+Ft50Y5cNomnG?Tt5mgm0=M}<-9q7K$bbwG zyH90(>lo_P0WkMqi+`%!;*z3+^RAEejKoa(&z$bf^51;~O`FLlE^5PW^}y6p|tt zb)Bm99bNX_^{U7J`nlqL-+{TP-x}O33t3cI$(u zbWQHB@{L%~|;(f&z& zGm8xBM+_oI))=u)W5EQ0dCLH9WU+SldB#-!7|c_cR`@4V0lrJOvYsausD$Ui?0Xma zqUqdh?{lelCVxF0A=NYyf|Yk_>6^Ak^m;N2WEG!u4_k#|7wPw?HDIBoN$T4kPb%uN zQ&c<16$!o*0wQJns;T4=?CX1Xq^@0roV>PWS#L%KY5vsIo%ohS#<4=B2=ouooE`Z6 z;#YuZ8Nkc{!kZt(*?Z5NgLzi-4{y5z3>T`O-DTC3VENhbfyij9ox;R$yu72_O_1jW zacA-mzK09l0aq#quDU_fn*X(6^f)RR^O^Tb_pn)zS{bK&v293M4Hgm^7~((ZDiqh_ zN;~iTKftwr{(SWs@%hA#FN+(j6alvg;I5Myx5jaK4qC5&z(3)5AS2b&uPktXo0Jns zT8pCg-|9a(sLuf^2yTGTA&dqxHJzSSo4fN}AzUo$i23wl4_lMfGZN5xfW{*JSh^sH zeHHI7#lIx;47WP9%iHjDXnLZuv=C3-nF%EG)^4|S_pXYCCa1;p+^>$jCEaE06fZ+> z(mB>%ob3&gDOtN{_nM2G4ViRL9hd1OdQn(cf>O7cG%l1}>Xni;^aNua@fcZUTJ{Fo z`xmhzFExdbMfDnV|J9}%+;tcm<6 z)?m0*MyqU_n`tw4wVGwk`Iwyvp`@gqx;4h&nc5yEOBVc;sD&?YT?v&y7DgoW)xVBC zr{NcGmwpY_d2^+QJK&#wOZq0p(-QOqUF9V29}hnk53B6q5JEI~6~%N$UDl&ER+ce| z;fY~|*TOE{iqdV^L&=w90%fmn&paF(;e2QkLQq=arez|YtRJ;_o7!e0bnRcF>nBwP zpPyd|-MnfdqP{lNc^+D|lOjBl7pKk0Xq*|t zIC>vP>m3$GAInDGXq@eHT^N*B_k8O@_w!V82l0!;KCFt&_2pUuE*UAM30}y?ixof@ z0g0V`TL%^$ebW6RegFdL;_ytB)#X|+e6GW9>?|ZK@_mGzrfRLHUIg$2=}^xQh_sj% z9=$d-DsEyhD0ZKhYI>*8b<0lj5fqE@`p9h(z9y2fl-qwk_n8ZV?U?(2nhl0LvFM+> z+4cILx^LU0Qf=L764{h`g8Lsd1wxdln86@#-W&0^ZRNZ(uRH_emwYG}0-CXvX_y@9 zyw6E*pqW%3GQ6q_O;NW8tsZ9VTiC@**mik>p2(+KN^_neUNFnG?NWx!#O}TQL^Dsy zn~h_33O9%4jnO>wVExnMb5)coIp?x9XXe}hO$oV5I8i)Ie@9nY5$KTC3w)BuT;j_L zatilr+o4_^glOCmbMS6QH~o!8J*jw`5P=JTb~T(5rA)WUPV&Bfkin$}@Y3-|QV3Q) zup3d*GD$CqC0Y~QS)2^x)KT@Gu21hEGMaov<^E9w{rya&;BWG~pP?#sm#0GWH3B^@ zuKKAO6__%{?%UVrZ-dcpG%T`nCVyIa@HZ;>`}Ud-=3I57|Gl#zh8 zduCgbQ#d0gG$E+~64)VJQAW_iIxE|8`iU;Rz+VdfR% zNTyj%Fc~m2KWkI;YAv3bJL@m8YE=W%i5tj1vP6rhwB3~c?)m40m-;#cy%@DI<3Z$afwV4JaXAP=7 zM&%?@>xW4u8U_rLg7L@Vl0c5xa*jit3={j=oLv@`(Hp)jD(0?DU_sO#THXb;h=XK<+&kAN z70Oh&yoiD?Zy!X+ASk-3gRL5~WeP&>E3j9$$y#_=CVl;5Hl?k$=~uH!2-kade^=8& z)9B76{hZN4kd!l9KC{=~BKg0tq$+1Obj;+v0F$L)+x(Zq$HJIsTv|&{*Qa#*zML`FglUi?UlMnh-*1n#sAKGT8m_ z19S(wc-a5zWr5?|+kSD7(C#KqGD_(Z#0|fV|8NGkmd)+%J0P&;6KoC3F|e{rqjSz`2j>2*fZmaAIC(3l_l0w7 zXlX+#w0q#aJpLDl@4j&eejmQodM{^F?Dh@hN#aH54fiMZLtLc@4?wJT$r^iH>uIW{ zawUaGyx6ux>?6`Q<1Edo*qUG7|GM8bHMPbtonNpaYw13fFj%I1*-Ws{(<# zY!nA#-8Y9$+gUUD%WF{aD%S5(1j_G#9T+ltyF^HMijY9$chnf?d`DwL=Z9%3f8^RE z`qv+$tlhcK432-gs5!2TBtQ1l)x+hMEJtrU^Qu5sgkyKx1hp?c%h&)bsnXUgr6zfr zsZoH4>{ZCX($9X@S1uPPxx=5Hl0tzGpqD8My3zl zZ)AQAw93_Y?hL7vg=&XHH<`x%c{*&>I;|D>fuXc_U7H!E)F@3 zA*Je@#3S5pu5nF{`zO{21Hn=A@+L>;)3s`i1Nl;0{uVR|HU7hGC5crkEWuz9cfq04 zhWEPZeCXk_%)w9i0#w+T0OZ_Qh(P-jIGR+A4KCjIEAFSmwblbtLoy-E_bc<5d1}sB zgNj(^4*&wn0`3^1cCgT1Y9bvm5D3XZ0n9&1Y5bI0wIsycc4e?MCc5OfbpmmBBEtf1 z=5~>1nW3`$ik(>Ruy=g$nA!By$LYGtRQ9(M}Q83)UHk;^ROKfy3Bt=$O#0!(}th4!^?v}&{`x>#G^gQh{O_7xXIb4G{RA8B+j zSfXEj+2+CaJ6>a^154MqzWfJY?bs}vi)ClJ!UnyiWEvIYZ*}z7t669~l27)i6VB<2 z>C?#e{&7Gv_Mt;ZAj7p?%GMtV#H=T`vwng9s4r6IgUKVWJuZs~k`l+$*NxLeF`DsI zO%zGc37gp-iY?A-)F*tb1draj$8$YW?29COu~o_vF`vuy$S@^U*F)U}9@%WXZuXI{ z%=f5v9(!vtxvt{lcAtKvw$cQqe4yU2ii6+6Ng}g$O4Ls6zHTBY94$AY3Zzh&VoosDJw>uOXW`j_<;s(3#XujyYkZI{Q^H_4_ z4PW4R=bVL#)R714{cH-O*v4cYj94?LNY93RRG(6Fw3x zj?*sRBYz$wfzw3Pzg$tv_g=PTud7JS59C|2$j2Hg-Z=QvJ;$PC3tA7`?)S%(S?uYn zYgRGWuU46|r#F?@Ykfh>lN!0%C`nmXJOs0xi>3l5@i1~mE5ZA-D14D9%Y-RJ=ATPT zpvY*dRoKyUGc*Q!m&W90Uj>D9J7g6{ue>y)wcPvMi|~7cQZ5I!5vS9NCgoBFrv_1Z z$F$ALQGLoVqxhjIDHT%=y6C>}Vv~yEJ?8yTzrK!UA0YZs3JmuDj#^BvIAId*9qt+z z`iN@59rwKFA?0X#@{H;r3QDp8+`!n7q4MsZs4~c*ZhoV@+jqW@I#`Hfi$r(ShlSs1 zkt^u&7fxhkRX3jMOen8sDU;nsy!vM$!If95fh4>_YtOQ1TaQSY9@dmCb(3CxRrTJL zy4j;|Qw8%8u)yCYNN*lH1b9=!wq<2SM}ag>R1?q(-mFqto9K^)h9rJQ*E*d#9yq~Q z)PMk~`%SvG(dTz&uAzOKRETMT={f`nVuqgB=oFpdY$FdnE*n`dA>sb{omkP!R6r;D z)sz0KPkM@6u=_J(vQ3^qIyX1Uj$&*B48W6TZJAQW7I=F*=>)$oR@rJvk$K)jF5<|? z{(Op0lFZYQLVR87@YmhVgz^U2c;0{zvHWVGCIJ}eo9VktORlw{)i5-g2(&Eka!;y( z1bdD->G|n*9%-<_f@g`9X^A#!aWZy*zy2ve#wL^rM8NV%ZI6ofF@v1|!^c?P>IIIJ;K~T2^#_^HIUsDN4?`zJg9yU%$P}b!g=l+Jalu$XUVq zfzjTlB_G=LXAQd(i`ia3t}>eOoRe=KbSOAXHrZ*2MqrPMPdLg=HV=eU#a=UpuwdJ1 z7Z0bCUW71;BH%#S;=An2g@57}9ZIRIV#)5kX<1Oh2AQx^wMCClr#AyuSMZn zl1i{@?&O{G+hpg{(s;s4om@K9z7#RoN^__C27G=$!8|zr)YCH6$pyH@JO}M|1s_GB zRN)L*g^Sgnp6bXpg^Z3G#MGU|fFP3~x_PM!XMSI%vJ~6n=eJhB$5n4-jDrmt)E$Ox z#|nje7G8>|+YX?8cgST0z^L2eU7^A6*|}SfS?NJXe=mT(NWr?4LiM<7hD(0w^FLoj zc4Ckvi^tC{^fRl~a-hFE-|xT?|}( zXKRWj?p}&{eWmsj(}-wBuD66;nsI^GLhEdD(tKv^dx&vR33`JUo?TCD4A; z1p)D=mTW)IBGdhnIgZgcu$kaLV%3v$B=|h5&$_ z(ADIh?8Tr)0sMRJ*=&j>QzY3UL^O$cU8i1M^+|T9-Z+gpwR=5Tv~wVIud=rR!l??{K+)+PMeL}+1A=VBPzus;SF2! z>o8TRonf&tN|ybA=zp5C<}5FGw(Z`L1dT~082F0S_y3sOe-F8G0J*+n=Ir7iwhlXT zb0UT;K_Yajc=sS?I7?J77?Zhw>MD%gPK_G%Zy8=sn2J=&iGsZwm!s3G*v(~Je;re^ z9RRF4p9(frPql3FFRZZNr+HDG8?R&r(wm^z7TzM)GX=2-Zmv2Cnn%|nqjl#1MJjgY zk{b=NQWH-S$GbANuRU|?jCOv)WX(Sg2DD%_`)Tv_G1h!UXkwiLD0Z(R>1)tm9ru00 zOM>rv|Jjoo9uvYav56dX#jKf|So)}uj{;NvJiPTUa?W)@Gjk28SvrgEs`0aReaDZ; zeK+G5ZrDuNP+GgCd9Hs6xs+8EpR*4Z9mhfntE-!%&dy4zSrQNS6M{70UAT}~eho{n z;lX!HgoU!sqr2(C<}M7|j7gRac9+if{PK$jBZ;3+N6FW_eEWdQFTk;o35Q%!R7u?1 zaC{JPga-PerjYO?-UF=5>QnlmqPlcW^$;eCXT9bxul&U6HVPgn9(K(-E5pnH)yc8D zi*E8~&uGWTq=)?D{X&Bj>vlf$nQI^I5Ga$Io=MhCW?FyT(o!WKL-9>G)CZ2hR;(=y z?RVu4JZc-4xUo;`2^{@TIL#$bCas^6iVSA$dafTzyQGq{3(nw97S6cjugPOJgH~;f z$i*AfTF6Em5Euk<;d%h!x2c5u#&0(=a&GLr z^W2YHIpQk}bR>PH7MDXu#`aQKn?g z;ZgkSd;f#(_14W+f}GMc`bQ~%o!s!9%!}-A-+)(trGRxWL7zNQG{@k~z)#Z4?xGU5 znO5(_nHp#`R9*BfVJE~&|5g@UgPROm?{AkZw23Ii83(%Z^-HcO$fqM&P2bq_DlF~K z8pAt{(u_GVc0L}RztV!&KoH(>zbj@Sn8Dj7D)@c7g{2y2t=Bb+BZ@qv!W*yW1+-b7 z(uDmQlYc^0B&E7fqoQyxjf5Gz#dz0M)>>|U3OXD95e1GxB=3tBeu{~W!>4q8B6{T} zu5SyxHTSfi1C|!5w!qwQxBVI51zb)K6DOxlD;GCHld;TK$HH!pM86C>9;wMpBt6|2 zx2UH-J6>AYNtDim^e|m3x&H0akJ^Ruxl5M7q9&1mB~(;xU+@}x^(?&*7tuU9d&wG@ z2mx+LesS&lRvH&IMrpSD_W}@SH)VQ@LX&ZCQov&`1Mu?1nD@56R+oogGlM5!V*`S;aGj zd{?7kv5L`GQ=t7l`%cNWv1-QF<|0+Ld@8IDPINLew(@e6aZ2PBd$3MY?N&bK|0n6$gOrhymbCOHU&D%t@)7u_OJ0ddP z(K$!B6GXQFHif<$vus2RYUL9vQO+)v(T%4W*o4RF73e%T*=Kt_0yw8YY~KK}(SDw$ zw2hy$Ehgk`TrFvwn6MM0Or);DNGwEmeP`SH6O(mi!w$r83UjC*JoCst!EtlVlr{py z{Ng>$lsXY@xNTI_Zl0GZFs=G>I>^G>ODmFHNSwSAt)kjT;tu?^v)3~3xG`aO3PrS% ztqc49gB8=$ZVcVr)`oUp$lJX{w26gvD@ZqoDhgU<0D&F!yphS0M5eN;Vo50?G|ZqI zSI@Fn7`F(-W$rSSv!7OZbyMkCf8auHR)NRziW~vM+Df+$+GVXBuwe z#UWk%3d~eg_wd9yg^Tc#^CRxmb+lWOK2UYxCszio-iyU)68_ljZZ9xMQ%;cBw)P%* z<7a#5ZO$c32TipeD!I1wJHuIO7FvA4D+8of(v;rKHXUYYiD%7RK*@AT)z&L1&}I|v zmuY9RgG&d)%Z<40Vf@FZsSY0iBEUO1y<5zMxr>y+Xk5!S6nDZ{00ml++h)V+@m*x#?j=zi(P!9o;`e@lhqHPllFB zVB?KYbkf0`NSJxo$A5*a6R1Z42n~KUeY^Zoa2nE!yxt+lEzARQ)4z}7la*+hO;%g{ zTbLcw4Jd|alJTGiGkXE5IeZS~vmhQ}a}b9T2QYx|;483}@i}b1o=xW0_#&y3AA~D? z1!f%Xu>y=xqW>M32X0=HiSrI}6N9=I_TgiZ3|AhN?rD`#C)+vE$~k|lx?i9MZ$C9X zixk-+-i;fNoTeDNh^D?P?H?to8DFN`JuDASuq8?Bzbjj;}3q>_~{}FfET9moU{We z$ElVdZl_9l5A^AyMCJKRX^igS07nfKe^tE=@N&XfzM)Mbj>;};jIV$(6Wci|m~-1h z4rWS$SX$x6UW=R|F>ugWIIXYPrbS(A7;(`McmjlhKm~-aaNpF#r#_6gHVBNt>%m}K z(9MJ#)lV!7x-@k=kcq9g?79)Hs*c~XmoYlWMSUTK%fcQzY45^eFk@+q>n~O5IE*!} zpxn>zq;gTOyVH#x+gVeY`*C#Jc|}mD#6pQ-X095LxFGnzzl8$JEkGyM?MY5t;KdLb z-R%fokBqws-*l%B!3jfvcvVCV-I8a1rdtIWAEy;3VAPlJT( zeH!s1DrVqUKM%6hfL}dLd85wcE~*T;6l$%8G&#lJd+qs(?r&I>cUYcKEkeURS!%sa z?XX^l-E7%*Z^(I`RlPz&kz_BoV8JA&rul)`;WrII5p#>8%X$PDfike=0D$RaNPQ3R z+SCvAmJN3#o1Upw>`TiKaO4&+EN16#1}0Zy16ec#-L_{#v!hKkk1~E?&wIbGlrOUeXYB&8rZR`dY)2 zXNn#z{FbQvX5(-eR=6_qk@%9#Zt#HCtNN3Mp!&9g5~yu13&~ol*o~fe3mM>jw% zSxc3{^)JXMMt~dvWXqS8&WD`qj?V}Dt6UGRS%FwlO+!BugoZCMNCrCkKMgu6Aev@d z4{g-|M3EztydBo(qyANM#T64@7wJ>ybZaqghpzD_+4ku?<4UgI1N{XbhzDQ zWe~0tOf(j|%(?YPFzrFvv3{+ zVAKG3GeKB^LGIR~M$^m3v9Qd5i*oO|gZA3I`0DJ72XoREG>4l5aipTf8r z4;sVE;YRZ>DOGg;&R>Dcr*kzZ+k`Ii0gGmc{j8KQ0?!vR_^?KO;kn)ZWmz4B69Vpl zRsbD*u_WPcAjn;VaIW>r7o|;v^0_nDG&DqpBJjzb8&0(6zbtO~L3*@$3A5&1iO>Lm zJYUi+(3Jff?4&e7F*pPl#*L!^bk%E#BD){8JLPN2>ylRRZ?WfRjV!OatT%54>RKQ2 zF7_SYrN{)lR~*m?>>h<#ax*X0*fRn#gt1MXnVDPt-Dr7}$_?@T{bX?^U_6j)f2x(= z;RHT_?7#rP%^XRNxyN1TM+vO)il>4`ox7fh%u>M@RUktPkfH!+P(aIhRUG5z)(l$< zK|w)d_xyy1}+;`o^YrOl6iHt zHuHM{svY202LrOs?NUoOo|a|PS%j3Dm*j$2^~du1GCn1}?~_40`>CXc?Xl$pPPxFUdMZYB{hyz~uk%!WxS3@F;64#smq$bvf| zc}OG;;NKA3jcc=P5_9^Ak~0&=*l3F79IW_Puzsdk58#0@w zVG^KKuB6PI>3C5#X%rypGoNFXM3^I3zAOCD#>J{2X~R*gASsLlqZe$lTSQ&DR7XS4 zc^#>@j9aHsUFn=G66akNwF!(qfRPsWhPbT?=`IxH3}Df^h4;X-OMD8XM96 zSJL&d6e+n+1Wl9Q`-@*MM3)OQ*Yj@(i5Z;Gpo3bhCME3Ta|ihorWK8A50;SLmXmZ7 zo|7|$|21-seIjHu)4OF@BUdI+7=&)(q*LohWNk(XcE2?Xa@YmTnQvo4ZNyepry-fz zU+%=58%%$zE=zv45BX^eCorD!bk)<1cn5(8;&!eB6sw4fwxFALLr+5gbfKy*Yzq9$rcNhYc9Ll`7(<2FEr)mgWCr13&ty+V1QNJ1Zg8D^nn8;r6k2-(% zpXBRfr!1a1dWA3fRsywrKpMPV z%I>aYlINvZ2=00DE(lKtQta{1&F485ci|LVHNC>iIvBk>E47Yy3}Db;9B5tUAFaw! zuX!}n|DTN0!^^7gj`GeV>#u7pDCJR&BToatVQ)>laG-S!xKn1}t6NDFHK%P_@~BTq zxfS;nra&AhYbb40QfZzxQscx<>*)EP@d)f9Mxe!Y4yEe*7Q!${de@ZJ6AX5T$eoYn z%r0|xqP%!xqty>$XTtl3iIjpLF1Drf&OHXRA(Fa`3NE;kiGp)JFxIJ>gKKtQ<(^RB zkLKTmzcJc4TfJQg@Mq{X+A`Ms4rhoz@cIZwi@>>pi5~W@EJDs;oUL^G>AHrX>0Umb zfMS**Usr47m0cX43(pw$BnCpYAjm>m-eg?Yel!a_8ChMtJ1!Es7}j<((bGe*;zc-N zyUBVw=H|~n{+*vZMP-}M1FH;{ch11Z#J*yO9WPMfmU$^|P#2C1&DGd)yn}B9dodUr zLg&z|l}itdc<5TM9p0OsQwD zPVwiP9Ytm-&`;!Ry)~i3EWDAjDae_H;--kx?8(cQsg!HEmr+c(GnlfL#}Q!nwwUWKiR8;;8Aem7SWWA++`hINzwPG zzntt+pK~=Ds~PUB>`;oi2gIwz6I;;7HZm}@O%hmc`NOwY97@!AuHH5wYQ~k;nq^dB~YeQIpTy)e}sUkQqttjy$4tVe0r$Kj!T}&I&6JB-)n#C3L^_Hfq zJFz$tbpjehfUZZYQDsB3QISY5eno`4gLxgL`oR0E63o@vgz_M2f!XOwsrvpm{h;I< z%y)h3Y-pTWLl=+v&GJ;*U`#CzWN!Z|z7|ZDh0aXD6*L$lxRgBbms~VTe)2%istCQl zC+DK3=%m@aj_9^Am?ksHu~d5|{BNOcyMm5#A&e3bY}bWeDes=fueHK9n+#N4?_Lie zz864Td>n*UaQz7y%7M{ec_$q1p=voQ|D)+Tz}b4=_P-O=YIPW`S*!NmLeW}5s!DBD zGj@o*YEzqv9W7$7+M}pl)JS5~2sLVtBKG=D^!Hs?63LNy&U>Ese(w8zp7;Fqh~8}8 z625uF=~ctpxz?KC6ARAb4qD_`tIE`oYN(*%9hjID8weI|IJm9&NZDDPH14F^a(9)RRtqJ$LY_5&g(7I;_SQjzqLO>2I?GokRKpdyf2vc&AwHZ|uP zB@}M_kL5|r`;!BW>O~P<&ByX}JMV}g$I@nsqw$YJ$K!-i{6U;|o%QOYUeT$pFZ-SA zif(C03}OIbs)EW%#r?$2^_WA_H5eEABRa)4udI!qax!@3UCS4d7@)5Wu--iCqH#a$ z`T5fBKA9~8p+$gs{NM}q@G=0vgV+8m1 z8p$nxxA91z@$^~ois~6Bnk?j(bZOACd1Sdyk)G=1a-^BQc-)wi^7~$!j0UwU#I`BZ zR-##a?BpNj3<=GIrjhidQ;)J35FlAfn6FfWn8vuxPv!f{SX$L{`wG!(c3HP@*(k4qBFRS{kz5r{zXkOkvUtai-u%TV&m!$zC-pI6y zv^TP2`EA>{I4zm;^9>Juj-X%7jaQy0;Lb?fOgFnL55KKh5zp2_@H5GOC)ti2R2O#j zL>3IB#q!HQtyzRgq#>{Nf0)4qVBxS!nG;R~px<2Q>16Pw`%;Wcckf_AoJI`w$8xY7 z`&MOlI_q~B(6FouKa$!fRv&g(a>t=Tf8zIHBD@wpH_%d!ec?S6sILiC*&X_?GQ)M? zdyDu2v)i}&Px9&_jp5uM<|t0e%pz~j^otHE<&JPhcnHvZ=c> z?0kI4Ai0+=#GwvaGW5%busrLR%l%E`794UP|4^{o@QBAf+LzT*XU9O?sM@-elLSq`)V z>4I}(wc;^K=N`qbL5w_?Ep-`br)T=C<27)!B3}sM;9;mL3|C)WFaOD5#!Uzic|Zi% zBl)NO&XLu-Deu6g{Qv4}PJdiMWY6V^6inQ-5V4eBqe|SE!AdBw6IRLPW9ICKmi`5n zU=Rv11A2Q`Ueq*nf8sLKt+#%duCm`yog3q=V}SU!%;G=?^x~tPtQDjbg2qTn?7|U1 zmo%rkcJ_`>wOhIOd`*Oa6{CSxd)@QKR;?YW{inYOe1aa(1osWSF_#tHiM!=xy*CB0 zj(s$>om*l;!7LQ0zXYbJD)>Cn`m%@X&QPHt8%eYz&ef;gG?P2J;8uUNKd`=AFHkiG zWHmx#H`^dAZuRpwImY|{XDi4CkYhj};KH^h$pb%av2Rpoo?l0rIOogj3vITSx2=8U+c%9 zp8%_gXc4~sGZv5XakG1r|Bo}CSP+)X zeSEf4RC1LN140qfD7+L)n(j|cyv0MHxUK&IoAnK_Ww*CY@Sv@23y2!qS~bUByRiF9 zU4glbl8xyUS_AA1nf~a)jkgAl$gu$l!)NMXQXuEEd<3b#@wR?zE|%>d&@dMMkvlHi z_)?^w(8`5IMS0Ej>kZAsG?GvznVNYvlkT4lL+k|CFR;`8A^Jor_C$C&^y9g7g($cK^H<8cp&g8Hz#|OkU#* zkNtXxeqI@OZqjz{TFgv>P-f0QV*i%htB0>OoLJwKiLhw9%pZo@?^YO2eAB-sxll$> zPOOgyZ@#3RX(URr{Wbs+tAjW$=gVO)GG;e0$8uW_CK&@%tpMZWEuiVEc6wmrZXl< zijz>|c`6t9kWD`OVYmSDV*`pLkv08hZ}C%(KSNRvP_2I_ZEy#AmfiEygS#F+eh2hL z2ZotTx`SS2P5V{*6S5@&t622MxbAQ?SG(1yKYAa(Zt;Oz{6dUB)tstd;yqlJlRseP zcfYSLEv=wLEkx1$*iyQwF=>GKe!Pj$+IK5NE8P8i^(yw?%VA|jc_i3#1={)hSxsxV zHTYhOc4gI9HZ_zZy>g0NZR84R&$2eZSKiLnB~qy5VvNDFEW}+t2&F8;2EAEAN<^7R zDgGeckOJ+I7R{uc+%%j*qYnGhe6x+PQe5%^kruR6w6{TWyX66nC5t9sffp-A`kr;B zW0A3rRQ;L{VKHa=HqnYgC!;=xaMZj@TXGe&5E{T00ZE9avg@--eTQkv&LyefQb-_+ zR3~%Z%Xa+S@kquT`n--xZ7-ME^VB_&?omjTo=5F#&_S3b4IMGI$I$TFN*EU@Hs@OQ z2AmCyXYdK9WBzZ4g=0JO&QRCq`BGt28E?Eq(;8&>;PlF)FGaL9vWceNzKmkk^-hJq zgV&wTb6Ddc^M(27f3Lk!YI8Lk`?O`XS72EmGfBCZOlGfB#M%8>2V^K-!L=zXF-ON7 z2pzqG<%;l%C5se^*uq3F3--H{<&sui%b=LdG54C!1g`{zo0|Y1Ahg!LY!!hGy*!lU zxttKM7q~!m2Q!GjBmact2rRE%_s5#i0IA2c^!vKT7W-UQKMCrSut?MTKC#I1zKCUu z!h#ID+?zOFbpP-|p{<~BX+wTKmz(^W**cRzbOHZ-#q}BAK>OU>J-X5U;qnXA@s7@` z109?yuISL(|9~9i2BsJ(V)0=<{jJ+%&>^4~?UG~KvVuoDS!7@p`@V9~roo@`Jxdg-Q%t8Y-@j>dcA3!N{YyuwJGXV2&P89Nkj;qQD`Une5?8+0s78YZC#3p zr5fNWY-EXyAP;Jn3^>`U!8mQl*;JXa&0C3gp`A`sbeeZ8-)pqjaasQe*%1vq}%E+F*ZK#c}3`n1!ZUkoOUEoXS)KmGW2`UY{VBA}P* zGKAp*gA<~wgnF!?qq1N{$;=-q$Gu`o`3NrRdk;v{Ja zX}9e=;JPXyoVh%h&4dY;uN3W37lPO5LSrJcQS(bvGe8rA3mAj`>qs#8K{P^L6!OE> zmJ(0T3T(+nX||y;t~mEQ2C#nfV9Ac#T{S=GSo3R33M0K3djx`F$@C=H$KKGh@}>Ee z6>_T)zGV*N;+6Cg%DnkA12eYM^fdo(-^s8~V3v>5U}~T_YIymbZ?)}_N(Ggg1fPUh zSn5A5qqyyEOsMR;r@m8%P1@xt`=7dZ@!S7d4&Kg@yR+#sJ&K4fZG3U^u+M5G z2%dvF83Z;IHSW|pLJ%mFvf`BBprzafhI=9pGvMzw+3E~&KJ-Wph(k{5_hprH`Fw=u zKxkLZ@CAobdAte&dvgJ#Rsk>blw;Pb?9`?=rN1W*VJ@ux+ zo(euSi8i4gzEqcYLVayQz>lwzU)io_{T{h=>w43eHA6X8+sps^nkL%r+Me}$r%~4u zs?_se`kW!TFAv7tICr?0Z9>(D1sCwOPbvk?+R%Aap=w7Ic(uV}22PV#-t`oCVMzt3 z2D{)9x6kA-jev}^jNfAv_v&?J*6$1N%kJ#C?;q&J^KnnEilul7M9aLV;ijBv_Jx-s zOs5>gQ@qH0S;2Ys$H7LncZrjzNoE9E5=P=v$^M4g`*MWP(~ob7TT@ zwpTfsQjJ4;ng09pECh^kyfMqf4OBEqq){7JUwe1XFA3YPi1^yy2ZVKMU_S550UOo0mw@%^S>UNhyWqvXC$cR3d)>~b%XpZ@e;P}M{!^L5t= ziO%lO+D)w85S16up5yBKtuA)_$#|Cw8GAx=>>PL`rfecQy96!!@Fy!0R%`g2`_TGtVLibaUKVsE9}+!9t~bL5nu5 zXLX)s-&0PvvPWYJ)W;EPk6+$U-hsr`tJ&f zvxt>=num9-1hHxbRlQp;I93GXp?w*Xe$z!``E4Z%OPJR8wNJR^f6?x_D2lH-BjdYjozXa|!4X_^ zMi~o9+oAQIkbGUNLS5`4DDFolyJcHYpioz^&{lW{{-xy;rTM%E<{D~ZN~E5{L|mG)>Ph9I>Sx2 zqqtx{$hb{Ceo;>%I&BFjE7}D^nD59+Sk*5FGFAUkT@r7&^`4r$TLR;B!_YeGkL?PZ z%VTSqjD_lSOZcJ9ex2FQzO`Y_KVZYQMf-^xw|Mp?0-sD6r+gACiIVqjI`0z)&Do>a zY`8zYn@^o0nn&ZD$aJ6iltn)%_|1xn-Z4+w=6x^EnAH!lV{HJ|Anx8Y0FKCI% z4NJOYMp;wpy2-rK5d@}Zq!H;%@LI03Nm~D=)n(X4eutVD($aNSW+vIhMqXo78l%P+ z4ZN39c&TA*^+Ly-9jWkUF40AnV9oEiCqn*QMu$-YzvftL%{{25QJ9>sjmzvgF{TOQfs^u#gU-^LzNsJwhZywVxNNa}N+j`AD&%k};j46w6%AAt0`g)r&5*Q}3 zsRf5$$r#a$gG>BD?nuO^`rH6j=LDBCP9-4=8e|vH2UZ$tvR~e~1dUw!TeT4UWsT)= z8WwV5l*K>K5C!}7$@{3+UqDwGX}nYK{&-%Xorxx=bIpF_&Y)k%TrC7fhoz()DZ5McdYmFhdoJ*23hgXu5nJKqCI@TM1zh56VDcyhw zBcY5ZNun42U@n;ERSBHe=totR_P$(*?jNJ!?^VODXVyBCmx#-Y=|#iB*{kU| zp5}ShoC*1SXJw=T48v&w<`3YOozZ8%Zv#tZf*Dw8a7-zfw{F_;tr-K@WVyPIhi#n+ z``!cJzUPo%d8O{#sJQEjiMXcbZ$ga=bQuC+I7CNc_k4FYf>W=y3p5a|5%=8iIl3*w z0Xh=yXC*OeVQ~{tuB(){O93m6HZg}#Q}+qfGt#E8#d7(i;!%(UuHEaHIB6fxh!gX{ zehpNQ0{`sa+g&Dbsf7`3r)KM3gK-Ya%xGhqf;DF^+|!azopp6=vwTl|X1}LvSyCr` zm(ws@T+3jy{<9|L>6-V#L0)Vs0aj;O)fhAIplxm6Po40PZQc=bqT`w=u>5e%lr*v( zL{0fx`f(0e?WCuR%Tsdk{K4O;mJ1tACb3d$5s3TWHyH-H&YuS!{Cq;Wy1;QP>9%U> zxkpzJgUKr^(^ET!SL|8()G}P|LCm2nMe$&EnX}CA`^JcqD@h9{K2pEspeb|b)#@We z2ABiSKQPpH(x9H2+A3E4q4O^vB_IsI0IkELrDo*9$&Qt6DpEcs?iDa}0fD!iUHp`2 zPe^cW*Rlgkm4ps-+^(Vkxv>4HN;wUtBfm5xqQ*dZ57w$FmcJRhq@(EC+kObxvozJm(3RBQ>~DvYmWWRCc0Uo zK**@Gpe%8w`soV2%lH2o+m_w;<=@%4o}Dtb-;6ic)5_I-`g_87{n)%B%z7XDL@=-F z={gJSG)cw7Q}Q8?S$!H-JDs{vkOx3%*ujp{;j4Wow~C!dIO+EWUC=gJl|%Se*nvzEd+PAO z%TsZ}8V__akKe91FGXfU1dWiVqlRPC@J!Z<jcU^9cVF(gD#-=@6s#h=B~nltEzUNf4UmqCG5RR-Ey zJr6xB%CE}-Sm8by26KfMI@_4v^&?L1F(F>WY9DIlQfmIhDq8u%-Wh@vugekkI1zua z`E|L`xMVrMh~zd2hH=|^BW2g=1z$_l!8QovJr~pt8p#7x3AGHilv_~;P z*(fGp(`;L51IYs){e3Mcv;$vjqc0%%KpPntN2ckGLb@>l*8$ZK8scRbs3uicZIYwKWM&c=E>(~W^*Nk^h+Ss zkGgZm+=;wD9ofYE@-lBorFU)hXO&qG*!bE+=N9yM>uY;fGAgbt0GBDQ5UNd*{A_jgDrF{}H-TIzUwh^#xB`7bF@w(ST^Mpi4!L0)jslV;f0 z#S{Wws*4q1=Jcm;OgjzMyR|y23EJKXgN0XR0SzL4XITAf^N!SZ$PFWn!5T9shOJ zUktRWc&DQ$);ev(`CG4UtJ_hIn>&A-2)y>~g7(7J9^SKpQ8(ljMmAE3a>m4bs^h8QS+t373xu`Wx0H1_I(#2rJA^P7aI*!)?0 zr%!9R${&pYU%>0CrtWMlZx*&liv-zx_I$zX`KzSAnkE@k4A!y}Z$mppw&&ur*&C#5 z-a>4j@dv;Y zx9jQ$^D3b}0o|uQKmSs7-;Oa);fjlnUC)>}AA9}%P-6v&@~lRIvel|3M?isWsRdpY zmt+_bL}3-#+G&~p-(yO5Qfh^*ll^Z%e^yJk3}(gJ*20L#J?by2Ftd(>zk$_3!tjC3 zq-JbSnW>1(&VsUD?H^|DcQ7vm@alK1fV|Z*`2|Khxi%&GD4V-1)fHl zCNr#df^TTW-I~q=K`Jw}g~>5LM??2YhJG`L23F<#Vrqb^su-Qexu;$bUn0F&iV`GF zL5f?~*boH7@vgeq{Rqz4;OgsstWr@j4*(Q|!I;=oPiaqGpkMwA_Kf`x-$F>o&NI5} zw&D<_Df!}3t#&TeqtNnRAkA&)oBdm5w(6mW#_+Cpxi3N`JYNv`79bI>>m>m21b&K0 zX9?TtGP&p$<~d=$2DXu=Mh&5vruHYe|NDfE49-1I#c#v7U5>wcZESYG7!bi6ocM^n%h<&e6LE4Hs+WY%53GM-~KcmE1=Zqxkz>%XBrK{k7=fgUHEYOmiJ zG_cLSPe{s%b)8m>m&@Q%X4G3|VaE?<+tN>i~lS^o(dgU+a*;i&GG-fiUiK1X4A9(#jo-U`}fqA4NaRU~A))lACI;?C?< zG;9>xr1jXPXPP4_&C($;H?X2s1-mk?k3!FxG~{)Q-Xg_<+8iipnEb_ZgI*M~w3%Aa zGU1qRNo!%|BJ77y&J8Q4K-1jA9_i>(UTQ;yYcR(i4S@x=AONfyC}j_RQlmZQiKXBX z-*Ffpp52mDRZf!hQRcpIkF{S-?pWzt9{B?XHK`2PPy(OB z{YWtZG2KvU>3M;L2R!~RgGIf;+v)jpd8sb6(J7z(6JfFUAg*;ky?EBMgzulewZGQJ zQtsL>@G?GbhT_5C*RqS(uR)=XvES=r%Y{eJ$WB@dL(PO#h=F9k-8riw3f{uBf!%hY zj-m=(;v>Vv4{nX@p1ALQlp5GFVers-+<1TP*G+KD$qUL4P(wEiXEyo@kpV6;ok5i>@?wrSc-5-y}t{McLlkUv{Kz00#q=(Lh^W%s`vkYG-q{Ob9_R3IdpEw%r*5&#_+%wq1-`w0J?vQI~8`v>z) z^xpcOm9X!ds>V|Lxfd>@UJ!Z@g-Kq&Lavhs07VE)>U{v5y#0mCl-L}Y&~InYC)s1v zG91A+xZ!XJ(6H3rB~5}aHSz!CCI(sjvjXk<{40Dbnmri5#CYk%dpz<~v&)+MR0p*c zh+lDLx)VWtdI5iTE}&6nGNGo#5oCUxeHd1Q+4;&XM!7FTb!63{GHoS zTm?yWk%t9jkSX?UpAb8_F44Z++QkJK&OD;TwCz=^5JM4;muG)3t{5$;BkddT!lBEY z7|1lov-?&xs>a86mBXhm(^TuMXJv;H z_?u4be(}beX3KDomn@q~{>%{GDs**+_Q{`_yn``TKEt@M5 zWm5uyOjS281|4A@X;jRFtGB72N_h%!7fnAgf$#*r4&anJF3`91096U$`WkX8bT zLlt*hMu+#s34ic54S(mTeQtLMdf#9Px+!o6nhV?am*)#g@FPufVsDUb=EcG zOJ$i7=B_WxWd#t4% z%XpD;R*}53=GO24aS4UKuEg373Ll>ZKb1=*q>((hY!&TxP=QcRN~{GjB?h?c7cab` z!eHF|>=<%xIQ!ZHw+_HC7*C=F<|~&6_>D357p|6Jg!Cqs0y(Omsr5C~w*c$|5NK_k z*v-_e;4QTS)3jUAE3<_3g#y1`^kk&xPYj+CLj`;Q5fqJdOTMN0nEZc#sxpgem{}Zp z?S>?B$$P@siW&g(IiAMB;p z{P~3Y2^gGa)Uqs2MwkFlUJFMZvYzFV9~3ak-!@V{3U~rSjRg%iEfVQ+*H=VV#DzF1 zSx)3LDnazA-OAsAeo7Uv;N>$64=vx$HazsoN)mbV5Tk=oqa{$04E-fO*#s@FE`?KLmDfiV-ZW-J7#mlK3ROoU(-dx zTybO71{LM1BJHQ%$Yz>>x;n|(xy?+s;VB~-e+fn!?j^QYlbb~5`lqP0)xO!t>_4|> z%YkKHt!iiwPTOpl%K>yqN*vla*}5y_FR|g-vp2UH*^Z-D1a~|5mZfpX)~5tF<~}L0 zjt7;%XRdfAchuFL1d^q#z3kBHeha(b91CZM|MM4&zgsoFivtdo+0D* zS8!kA0os&+hwL-UayrKhk3SINjHy9G_uI<7eSN3p&)UHg;R2NDWsg2=40C%X1e>Rr z#FI5BP88@Gj?;&iaw4?;$4cOEIs{3NRXKczoBJrda7=X0nl%V_(}+@*EWEWL_E?yCdu%M+rP&QWo-t2hn zbXB1u@&rg%)wehj)#jU)MyZo}65sZ5E=#M5`UEWMH|0f1W!oJvc88(4`jAYs1i`ew zVqH8w8n|BK-mSI2-M&@bvv;SQ%d|4)-OAFR?H)rt*`ID02{t~2Wf%1``7iH=NSNM= z@J^ECUrPYpuj~LpbWqUF9=kys^*5>nb_rwtY@9TWV?`n9dI)UnlUt9Gz^3o-W>WvK zg!YB&t2TKt5}@Lk=VosuePZvcAA&Q3%1fqy%twi~pyc0vdzFilFIwgVk@sLQz>kT} zY?c$Xwo90=wtmtF2!e5l<^wXHGI7tU_pTxm3$t%nMRWI+TY6(AINwUV1+zh_M{k6U zZQU6Vu|9Kp9F!e%_(v#1#$f;A(iWkmCp=E zEN;nxcP&-GShp`Iaq-*Sc7yd=qSn+&2v*?i6Q<5ux(!$wCn_qg6)6&(S#jYNv{ zVXea7Dgx|>Z)moRMoAF(24d`^k2Jihlky+)(f>sGY}rjRNixn;=HM#WCXB;plf7w> zu3FIONMX=Q(Bz|Q$KUn=Pm!)m_dvhERG`au0>E*32Y;Q{aUFK|!Zs4d*I#!C&sewR zO0DHQ7}vqC?2TiV3tuHEtUL}ib-YvR45$n-QWp(Wv-B82GA63--;Z&Qh6n*yIXm1l zQyNB57T_wu(CB-A8uO*)=MJ^v5A$zQcNpw7n!g0l?Y>Z)PNT&qFh`<67)pdlJhgJe+E9X-LR%gDZkxG+TxK}6 zvd|K=DYh?@1Vcpx-A=z-?e**MS%#MYkKp|_S-q)3T)h(#neIGa@5LPey@uRx+V^C{ z98_iX<5kj9rk^!p6fRj(3VJO~Uj9S>e>tB>`=kHGaoVAcJyF~&21-mcA}@U^UOUa& z5lv_D2Rnwd1K7pcQjw+K0Ie1iO-)3=Cg9DXF^>2GUdqktkB6P6E~fo>Z~cQfU4Uk# z?Re6)_x|>67JVvr&0s9Pg}Pc3udp7zjH~PH<6pLEvKn zzRE2Vnqq!3qRSR>>9dHV8qRYbh%dSV(B1eiOS0g(?c`o_9o7AH``fpE!W*s42CJ8{NMWKc*A6>{XyY^-J4v!U4 zH(qUiU0_KP6cqTW$r?g=nB309?fpjw$D|Tbh4tKu>|55r%mNZsky0pM3q%l^4IvsC z9H|Y#IA^byFt!1dE>8|OZDF<|#;%hVlV=LZpTM3m3Dk7Km&+r2>0YrITxA3@;zB21 z3S!DTGm`fjsFEe`3^Q?CJ0<5Lh$uTe=M!Kl2IM?G@Q@7l>`+K5qZB2yvfHW+Cg9iW z5P$RWJpj9gsx)e7vFz=CJRD+-OePOq~k)7Y_ zo}oZw+?@4x=HG$%^t+?6a&NuV!*dVBK+PZQ^4zJeJYY2K?urMUYAF>_Ph?+AgYYEx zZSd5xTItYC&x5vX`@TgI=6TyNKx^saYl}WLLGnCL)joY0`Hn^*Cum-BF2o0{1d! zvT{?MvvP7r2~N$^_9R3k=ltL)RPxPHGZkmG1rvj*49D1ew9D#@&jUcF?PvfSL^M7i zbGz$%0fyXeYo;xG=w?Z?IUuG~xn=3*lC8P9UNvS`z`*~MZqi_FC3r~{0~H4(+8}VG zm9y=@N2L%)nDG{r_r#{Kx?p~<+on+L^1o9OuqM`>puorK@_hfM6-Z;k_9&UpJ!BFf8IXhE{uoFxOKBGRQsi!6-Zs(l5PKCR5V8}Pe_V|ZZaz^RYp}|uA3Kjk24Yak9)pqEE|Y!MINlM z^T9Y0MT1b?p9U@5nN5jJY5c>?sZ5#_v(F?sBf*(Fo5jh~rY<4f0wH_|be;~tdi!yr z)0)9RdU(GH(EI9_8u@M@7cqAODw^I$Z-OUBGOcKJ5oo7kCZ8Pd{31R{hiHVEIYF!a zAY@ecZa!{lyP~o(=7!ogtL9Ba7eqS4t5!(-M787&t>`2qbH)_NouliXtYpWOlN}TH z&DjHKaC@kVR1WraSsOV3w@ay`5Px?E`|l?-id6Z){k0{+Y6gb{x@UoeoR?9`W9bp@ zK?J>ra#Dc7>b;-*z_gP@jqORRbTi7eAGohW659AOD59}xxtCFeIB)B|86#G-@_3>_ zF}9CfFPO&9yxJ-eSkh*bG_>9miRg<&t*guitfWEhxxcH~;1#jCE+@Ta|2POzhyK^7 zcFXg}9P@PZ0-NMKNcdFVV>>4O7DpDdtPEau=wd=3p7k0*e_`A>m$wXSJRve-pJnJD z0;e;58++aGVK>5Lzgp1lG(5(Rk9O_Ut6SsquF!mmo&BlA0w|#QqF+gX6FDPAlewMnP z6>#qVRYkuu6XMs0ui+$hL&TH(ILRJ9_@!u>KFWOtr7H zFXw;y&+F%p-tLL%r#QgJ)Ki6`fr(t`^v``L$?kL5Ah5nqdqu7AC#?v>{n4H|WF#1G zH0wx3Zm4ORMK$|P(izfba($j^Co2g@dgT|TXa-q6PZ0$mp^=lNVJr_c4{i^f?wOIi z0JP<&G@I1)+Xf-CDsEB_w5_@*&96@*L@pF$ti|YH2!racw$@YnClr!cFVm%ZY|kD2KgIgD z$mK_+k6}Sb+Y5)uLslOtk^EsrW713MItRiFGNg}*Lej-GQOFU*lq)^6GO((vIs2AMMU5{0|fnmGol6N;-m*6i{o%zbKRLI3l zqi_Oon1p}cJZITZTo}kF?X@2w@q#a}rQW=hG`Ck?s~cOq$l(=y8sC`*2%3}Fm>v(2 z&N`|M+|SxUx1m-UiH1;7COI=04&4Dkj0_tgzAkkL$VmeT0djb%$Rf=ltrewmKk6#M zB^}ln*9^DC#6_!B0F!|d*kLVMYh=HdgsRQCPXF7IAZWqJtMo3`6gdpaJ1ks+5B4DU zKW~bss43Shy%}%0w`uUAnm&2udN(YrHD}h3?tLh|1Ns}k^MGo`2f=9R zUNJo$cI>ViNzXYZ90vRpxe2^!bg_ zxzSE8USqO;X3&Z5CxMg!Jcs9>Zwhc%_PGk(yM&JItmmrNMb1?LOV1_kRSTO5hNd+6 z)aQc#g%oJwimt{;!U+brcyWej~ zEqLIV8g{C<_!qH~!nwdVz6zu~&0Famq4aT`pOB_-jX?tMV|&Y?nI(cS9Ov^oJ)UwyagdNs@pRSP4vF4)J;lIBcH2f_} zcTY3^Pc1Dc(n7MOIHsMC2S|gUN_w{$a&u5qUiT{+l`jF^cGgvX7O9DqzD?E@0<~Es zv$}GX$$f7Ve5-l*!8JpM=uMiOGB`?ddUQ^pwP$SP5ytl7pt?>KKh&ZcIBni|%>j|p>hc{m|#S*kGP4nBl1J$bID(~>mmTVV+1dH`^g5P&AX zAvrMm0=cl$$(>l`9pWu`B#(0zG^fLO+@GI=24#D7H4#}~Eoh)-r0^Z06X zrpD_o0p4dKBzZY3Hj7rOq_x`LjNbn@AdJNf`lLchL;4^7_Zca$zE48Vb0KZ!GN=bP zmwlkv+PvJ4M5eTa5Sz1SBcQyfe7>)xDyFnsTQ? zT@L6r?(l(|tgI5}auW}H@bmt{dhqYwg#85IM>23T7|4aZ^%k{CYdo~~e7`x@xYDV+ zjl&zfc#>5ZqStJAY^%It7uy~aOe*cU%jRxSt*hIw4h)Gq z(CnKSj)u^J^=49BJDKw_Ra2HOQ%~%8t$a6`RB8()p*9c&OTl~KLQEx&9-6*RJfbtxN)~qDEA&=tj1Ct zB!AW&>)8)JrlYxph<5u_pwr);pKc%xJaJ6L`}sUfb5W1r)U5<#n$p4HnTesoix`@s zK4JvQD>gbdeF(iEp*Be~MAdqEetS-+6j^nfjC+D+#_P*gZQ-WSm9o+5}#p3>a_E5xi zTT*lPOIWScMPe24>VuBQzr=xw1lR&S0XuNVEN`{&MK5pA8+LOSS>Nf-mk*qZ!KIFE zVXH&MvujI1bE{`A8z#JU`eSsnYrKm;N8i&Z9FFTP-qP0_rJEJxROu7z|4-{+m=qV( z#JMqg3i6=S9Is~uRn!e(dYs9+CjkH8i=g&1wY=Vqv`huskwh~(G3J;`@iIvFNb@MC z;r^^^YR>n6wFGW@1=aDkp+&;V{^l!Kfc7M_sM2RmQ;;ngNu|FxX<*Onc4{`hIlEkQNBUnXI!K_kh*`ByzhP(fDRNPk0ceQ1fxxTl0q&Ei13-Xw-IQK zh!8z`>4w}^$_kPPhD|dw@2iZ*WirM%4#L&mds>ZW@HK13r07s&6O2lk1|)R&f`xQt z&z~Ltj^vX5M%Itt>!7+jhTjf;o7K#na-3R34EQ8ysUswrf=nF&;E~h4bfEH<>LD+O z568rUnfNJ#fyD~|(CA4pc%h1XEPYU%&y3{O!dYsEXSldE59^CXgL3j_3X5{9Htse^ z*OnO(?pl+S^xKXi6R=AgKddkC9=iV<_W05e8%uVqyOl|>cmoPK=Eok>_;B)4Ggw48 zpPsRtxfW=A@^02Q^m}}Kv#oV(^K}Z0;yaSX%(%VQ#ctzQf3*_E6tm%)55zyKhT1`& z`g}64lU)08Zzlyy=|;bT=MQ3L?z<-^@wdwAoHwQqGhF7^C6*-$fQp(`ZO~c-*l#Ig z&x4!xZAuZP;m^8Cp*w&jQW6jsj+$w!PlcPFCYDB2-*Qt0>Q4X_!}=)No+IbU5?Mk$ z7eUZLV;0*Uu|5Zf?m76%>ZcN@e+HKN6R(UuR&zBvFepYyvmzkZ?FErvftwbAHw&!t zo{7FCIy!0Gpr|wd7H4Q-&}GANiq|1DY1yD38E#~e2mRXAuUy>2B zdb40B&zx~f-XWnO_wXW9|L;K64B!h%;Ww==582v`JlTv+zE4-Bn}^Cx0@EjaR{{QQ zAZ2%c{#f(pU+{nil(y6=&8THuUrL)0bb`dEG6_Dre$gGEEy1#qWk?14n!69MW8S zkWBe*MWvZcf)kCdE7TcA-;AQjq|fzZ1}?$q{5cVC0lKG=$a-4~VLrtM)SBI)N)^=q z2y?$_$?=6HAi;O&+L+m&oT-FI*fS%aGO7G#g&9suLY_~hb{sZc%%r%4uYC`cdsv-x zi>a0DJCF;KWmAWueZNF5^v0x_W97;qowZdXrlpRv??H?2X?#8cdgd1PJv8*uu*0!Hwa0e-JX2UEKrKiwoQLuEVDqgQEXIa!OQ zx6#BU({bk-ddA(ims~I?WTsJ@*i;lO9J$A40}j1Lxs0$?s=F;7)Xv)2mMHu^k}G+$ z8LY1Qk64SQ?=kwU>(M3qBNnrAn zfj`Ip{s6(zyNrFpWxkqO*}!>xTsL!nO7Jw_d_Qcm%5a>?{$GXMiVe{UlfA2tVUvE( zWhlsavl-`Hu=MfKlEG?9$ao+cZI&kK8PdPFrjT-WNL`Z9_RqPvXTEt-k4>uQCFCd_ z%M@j6DENgAE2F_weL~;ukdyVs@-N-+hHX#WS4-*$Yl7hcsL=rmP5#~aZl(UG7gpkE z%x=^?n8#`SiwLXPx5hoXP^pQh;3Pbi7)x+9@B$tORUoG#TTT3Nbj&<2ppHffn;a+h z9t-Htak!aFZ@lF7I@LJ#)&9Hw%ts~y7O5H8POQHQ=04pTJR_u^On^<7dx&<|eL1SZ zbb#PXEN4%JB@disB}6yLcCmMnH&H3#KNfm_0Zavlk^J`w4*?zjSE%pkviZc%ekMUPgm_K z9-^W6hm3SG`@=AzEl+sBo<&Tl{>3Dc)!(~p}ILVKe6>AW*eD+%r<)xiHL>*@dKTVg+dLiw8da9`x z_<=B={4+WKztuU(p(-a-#zYh_6X7+B(h~cTQ65Eho0g_+*7H?VV4%ai&zwIDHpoUx z#&n2!KrQ#p6MN!$g@xv=R;Ig>o{z39WouA`*L|{s+cxG9shq$vDMMhUhe6tAk+3wz z2GdLt&*w8&Eewu@X03XddCJ6TE|k2{6fRdquHN>&@T2H90#ANvf2<776W$uRRmKIF zbcwj_{A3#AZhN?{dYAm|CCsnD$yXWC0kUZ>f+i9wpCGeyizRyx2WVV9L`--`3?Q@X z(P=IfuYPM?3z0gh)3@w3rK_zjdCJ{iciSTf491d%R|I<=Vb*mg$;T^eOlrGj5ZrGj zxAZ`|G2L%HAXnp{j-MBUU!GBVb8%-3Y!sl=umYssw}`IwFa;or;JY9lV?{Tn{%kOO z7kBDiA?=Xlps}653_C8i8?FhbvmzK!DAT@W&7B(R{OJfaf7!{z@h*Ck{9G68#*}R+ z12s`>v>M73Sh%5R{P|_)hJTr5W1M1Ua<_fX7%2XSITzDC1>V!#lL0P5#aBZp^&Fm@ zxLsVG-apnHes@&%AdJXipnmIToeZ`7LAj#Lu(JBfT>QbJuce^J`vRVRovx|0%i2Pr zd4VVvgWRwGo&8uGMQ;~k#Us<=wg3=Clb%->2HoV~sYYE?5)21J!=CdbH)6*b4&~U{)FtmcTKq%o>nl6ZYz6 zO7xSGaRGEcuoN^B3eDr~ehN}(Ve*lfj^ny*P| zIu9CZmwqGvDXv_73juh*n0@J;{@nx{Hy7M`dS_mom9pncx3$Fcse*zevftEp_F_6o z|3}kRKt=U^T@@7&q$H$KM7mR2Q94CHN|YWthOVDdN=gmgF-phKB`qK|LrAwU($f9i zf&aJGEaEwD9Ok`y?m7GHvv<%uE~g9RC#H33ycgrgiu#kq4h6qo4R(4nh&>V7D3@8t zd9|79zxRDWu&$$R^I){%UHZM?vu}bYpQ;G0!zFino)#@rhkte%=-B#wFfm1&4E7l3 z?k?wF9x?MfHY6&(jrgy24l(Xnff0wjB1puMYQ;E2dxV zR$vw~14d<8gRl0nO9AlJwX!R77pJjYTKmgisv(~%OhT(VehK+W!cpz&!} z>kwR|d#0e5RM4r&%6?U*JiX9IK%GwU%*-}>uhZWNapZpCxl_M1;L@8x0H1=_K5od7 zN7qN5E)b^?7NMAuF@!o;D;bIbJlr+;y%ew51N7>^2P)8OT~z>3F&ND9yzA^Fr{*;} zIRW>FE4fcffI-e89cwO$E_~G~s8IL;RvBGy|A41P6FT3pM_E?BM%B zI^82yDP8C?PKy<~(KAg2D`^VZ^e(~Ek}Lll%Q!yJY<7!;{X8@)V&Q16O;rBf?Cu&$ zuo-|EG_)!Ao6h!nV~z}=1i&ny>;`*19g3WMLe#+=o5BRn!(glyG$msaZ1sC(ts5G- zX60VmJ2Bx<*>=DjNpk@xL2&HPai_3TPG)MaTsZw>pNaKmKW*-?E7qE`muk|zWWb8` z0e~tJ4kjmD*Ks}X^PZnD6~%O4rDm_vO}8|#i?shZ-q#3Xq@awUhHD|E<;&fvx*5V< z+EH~Yo7t|~qjI$Yj7^NSB?sg?0x)76UD%^Yz}k6ze;=K*I*{ZEiz#k2OjlK;cbdIJ z@+l4*4YS{Ngtr#ytye`Q(U21s8mU>leZnYP8lkNHH!Y+4!~Ew0mzzJzm9^!ubC`67 zQ8LEyZ@)v_yFCFty*JU#;sxoJm^b~FI}t(lImb|`r}i9+NT%r~Y5Ml3^F7|Eqqe_#e(c^0 z^&CowDM~%RUTrBc3qBnYm&n_)HTrKCyc;vg-wA4N>F@(=jb`%?vvUV_%~mUZuY0$F zdBZ8!o`zH+JIC6d^AqPg4^k!d_>R$~g-m`dhBc+q;UYdhfRe=BB!a-H?He(I77hX#h zekgbp%x(5DFkTgjKeY)VfM%o$cCL_)g?`>I*K|K;-oQ(%!p8d2UbCS!URkFhXIbY>BT_9ZAY@;M zhhtfLOKSWE(qI>6-A~}0pj{* zWAYx~W<}VeYZC5a^N3dR={3SW^q2^ZgBqy!^*4d}0cuf`SF6vjofxjKoc+)@I$O)U+@&u^ z=usRRUNY4jN_)wAy2PdT4lR#`ym}_b>%W*4oUz2GPzDo&)+-}=UQP!lNwPPcVIcup zUSJw?XErMm4CnUW^?7=Nvxvhn6ce`}?R>OE;v*d8_@xu{?2fuMp%#n}cg%j_oT6A*R%O}O(@eDK)YrWH5Lz-vbD$7^+=vkP30BaqfI*2jC6GTkW zjP1d#t1Af_(#{9$TrzJBmSm?1Fl11`Pu{X0*@xDd?9brcd;D4c)Lu<2QM7UI_Y?1R zj>d`~S5ad56cGct@D>W#u*pP|g@wY2x$Ymgp4Z(|N>0fgd3b-GF90CLzfyCdWadrX zTu=BgwA>d-IyIStBM)QH*lXZQI^B9MVtfxYL2%@h!5sfrvoEYUSkW=jyE=->3YS!n zTlgLdeWAXvglL%2Ve;9x#{N%;$w6%6pJj)?2H~j-ZTf2{)$84}B~{fZD1{Gvp}E>Y zEuA|=CH4*;*gqWLU22asj3#@&HuS`eSYWd58&#@-qbgRSQpJ_rhq=#(q`g4M^;xIC zu@+6me)?s`04alkTz|D>>kK}ncu#I<$faoOVz&J^me9S`lS2Wbz+lm4`xxEi6`0BfEDfZfLe-!6x9KQA+@*$vfkIq;b-w?1r&q zGLJAXg4Rr*O}>+b*E}so6eV_1vPxS`_ub>&(6^X94NI|0*n>cX0Hl~d#bM)f%2>C` zq>ll!)bjE_lE!R)SMAt4pRd@a_m7obQ>XeJW|{DaJh3Qqm@G`kx{v!zIiwdrFd%2h z;r{s9<^l3g^@wri(6F9|_&v_MBn1>jLmL_HJIyX)pB(|bzKz(c>~(_uGX}$q$+s6E zjRV80w6u;9c^tZ}fP?x$^WTZ-KEiaj4#Kwth>OGoYIx*Aqiaj^|9O`De+41ng7DNk zYReZFzc2M!B(!>c)PxZ>pTvO&$zkNp>aQ2A4N>%pVFwI)Vy;<7S7Hfeo+rH@Zh_4O zV1ntaG3+N-VW4m139|e3`~ws50o<%8(Kh@oQQh8OPqAmd@&DRxSnvL9Ih;8$y^vGY z&jXS`fNSHVTROzLR!h-wu|MJAN|UMijre`eDbF)jw-vn!(t!6s^Gagb0a|TZijo5% zJ*}F-`~A>X}d71Cv?TT zTB*8l1FhxKJAny$@tak=Ui!kEv*%nJb{L}cbG;+4uPQL#)QsE$%J_$`z!$?61cwK)3pV@cu5JY?IwMQyyn=-Mk zGgCKC@}><9<)ihS9z{P_L_d#Gb?|2O}R@PVO&{l5eYk}PUuSuq+mVSto;s}g|f4C zWo^wvJ%6qjR<~WY{dA62wY8b^RjbZ&x7+I(9xor4vgRY%8o3kXxM&;R#k={wZSh0- zNp7UfUPfh1*^T$QVSty>cd`KLVM&#%GTkbpsD9XdA%UnZzu9DJ3@;~EqKPEsWequAxabT@y-K95iJ(j5!{svQjBBx0y+}~< z_0XnIG^df};aY4LG@1N4mo%lp`?Y0+D8liRBPH`HKW`0Cn{ikkXcuV_>BRN=VNv&h zc(15T{;p0ZuPWxO7;Mw!{@zWI67^&>`qNC(K2_1*@29O*^Bzh2Rv|_ur>!4O6>HPa zpBU&xq+#8GKz1hq@qZ{(%GB#!KyO$8Na56iJ_%fKT@iz6a&pN`rj-fdcj@mz!g>v0 z4!8oB6uKoliCe&Bkl*#&OvDGuH1Y|z{R`_RW}%K$@BH8QH3Q!`~v<>e$>wN)DvjrkB!;;tu zIxrgrR#3^Daa7OWjf9%Sp|ii=GTT6&LGsp(TlX<9=R|4;BEbQw)@jzl^KAI+?|bXh z_5Z#)>F|M|hLJl@l0Y7GzgwtBrfu;W*6ye{-un>U6Oha(- zfWJ{%gDwWC1Yz!chE<;j-|yXQs89gd=m2r8kA80?XKzhr*PY=rjG2f?15pP%;oim#CKJG}x@ZYWUVz%10=&cByryocTp_2iAf zOgnJRVt;DwDY3d`FaCaS9w~w0=K*HH(8I<&OXj<&pr?t6?MURkYAZd9*T;F1B$`)G z5pb42_+zM7=td{kl?Hzmelfhe>pmDGs>T;-Kf1eXigFfJV{`bp zg9icR9-z(8PMy9D?%eU(9U;Z+N8_@Pe}6PQV}2OA4W}kU?F>PqCP*@$I@gQLmiyP& z^up$fD5#oPd3yg(6sk@zdNZ*>O4nlPnC@FAeGQx4&%v-6JJ+mhemqrq^d$FfNM5;E z0~r!07|u(e7TPO9vzxQ+4vz#4H!$@D_9<7RBKR-Y$uEIQrXef!vE&>NW45f;p5pZ4 zv==hsb(VyWP9rD~NEITOS&O{rYJZ-#ii9Yk1^Gy|FW9%m=aD`Kx=pjx63US%>X0GV zo<>jOt4;*(2^E-C@ps|zECu;QM0>``$bJ-`FC#^Pfl|$FJ5Nm=J>zs{*`^_#i~NGA zrTaRoIs~K&psOLLUE3jr(z0_>W-4NDEiZHeeGZDFBEyG`W0O^)ntNfY{Y`4KCHEpc z-&pTqG|jMOvE}X~4+~nyodva$ucg@12Bz8@LoGc#CilC1Otlef1%!Qags!OIKQVO# zU0+Qsp3%rY;YJ`OxP8^%MHu7CIbSYj9;75FdfQ5j19W}nnqM)@ZM|T4 z59E|~{te$Qc3oa~5?xBj58uo5=rog+7185+x1VoElgIvtd^7WSd-8Eo5$U;Wm1Ifh zwLsPmY!<<$>24|P^DZ1ySpT==fb!DImdfePV|Z4#TNY`?M>=SxdG>7&?zXQOGcMU# z|CD7u?%T<}VQLhUT+uH(?7m|?d+hNcYzuzAt%TrR!yFT@E^%K175C1Q^#u5-fscHo zBLeXVvm(q)W&hCbf<$@glLG`@r{(+gtNmZ2yhWu1|Q zDS$G<91sSBM?Zl)z$vF!akwLtuz5oENV+7|32JLZSx6ZkrgX>v8W;m98A^+*z56HX zH?G(Qf9~B~L;jYWIaH-&zG{UCREQKn?dm0xx>W8yzQIpCd2pxb9$BGHR@~@Y*~9m= z(8$sulpa|Rfn}7I9wTNP1GH!P4-E$`$LZXj?_{6lcM7GgbG`+%``KV?NzbDTd%Zb8 zO6&t*xL@;|-67P%h`^ULOwSD~J6m#Px$tp^hrr_Jdj#P=m>Bar6HC#FG zzdRE2@2|GjDibj?W*Uj~ZdDQaD}fn2ah*V~^*EdO-c`h(4$&I858-G_D(3Aipn`=* zTBhy3W%`Ttf{mR~+3jIgr~_9`uw^m{mof^K%_AzFuU6GD25vv_F z0cRVV{7g%L?TqK|RX5@DG)w1gmyeEz)h*$gnEMIK*uo+{zy6KieOZzd1wc78`+XOS z$2LQvk*mw$G0#IM%W0yG{Dx>qI?WRm}GGlyc50HyZ4su?YsjzZ9oi|ziw%GmD|9{kn7_{mUPsZb^qH`Nus3d zwL(XhXJ`WE?{c4L5d-J^_0)vzsnxhs%`ZTbs|9Q$hI+;85s*xA&ZhmjpmJ)e3ty`$ zYR~L8K&rW(>Pw4BAdhs&j!+)OiQiNJufuA%@2ODkRZgcAh^ZNJ9}OhOY6UbNwV5L& z?gRQ0h(b(ivU-ZYyd9TS79e3t%HU%QY!s>{L9P#b6x~y$BL45jLkceyXJ6z9*BH4M zl%w~nn&`uSx<;dP-%;_E*xle~>?d6%Qlk3ue>m8{fQbA-ML`x5mcR=0H-w-Yoj%{u zS1LX=+?n-EF=0%iPn;cdzJH*3bpFF;CCC&b=?DE-Q949&&`}}i)A`OlI$m;-Hx-(n zy@uZVjOQZJca1gIt&13{Ve8kUJ+FjjctmSAe2Waypl&YVKcLXRDfi0{K5sg@gtxD9 z+mAx3JmB`5`v?ywWmnd7xJq4G#I>eXn_Q~o6yxu|&^fy6qC=x7Gp0l0>`}BM+TWLQ z(!Y5mym=0())4j_4ehU7^i^?5Q7!(PWOnn=QRLS1sc9e{w3>WMi`)4jpn3YiY^Bzg zaT4P!QpTqfL`DM}PhR#ydkYu){Ez=RP&(nrF_hBV_EdQZ6{4Adc;M|@N?>#2+S}bU z&S2!^O<&DDn)``9p#s@#E$9ty>_(X6ET4t);?CE~uUYSZoU1v1;S&yImB3;Viu;L_ zTlb;u*T#%@f&t8@$1Bo&ijCjat?Av?XTM9W61R2*&Bhtx zX0Ot_uv=1r0gsp)>x0bQ5|>ZcmVz9w(p)122FlGe!)Pp#=4k1}skqx&j;i!A)WzaA zke{4%nQn^lcqOqWOlLV@J81Zq?K64G#TTPqP;da%Dgt9g&r9>^Y&?}`)6a}Cowd0{ z?}8g{I+trOVeyueyQs{3P^mk|Uug~4|?7=y#i_5juXrT3d}XlmbZ=}s_2(&cuW?C;+V zMwlaWfEj>2k?`-E41Z?M5*N~dE+T&feWI_&*D7ViS*|#ecNM!EA3mbwc@N?>fK)dp93{eei|eINicNEn~-i37w6)C0Kf^@h~vuST%n|uFi?e??esscWBOITM+`u` zmf{7y0S<#XzVxfg@ZN7Nf9Hf9x={Q<&rn0N_hl(?4)+4TL0>wyick{aG7U6)ypoO%7_d;xxQ2 ze_1#Add$!~Mw!-eV$B#KWvzxAJakbgVKbouHyd&h_CWESNJHC~R&@4UKEA(zClUO> zToPVSH0q>Ix_l>@gZ96xm5HGBGTA)*4|BHHk1}B_`KrEyd|ppkY*ZBss{3Zw>TCb2 zW(4#X z!+I4#y|!mMpjUZkW_nj|fp#9_{Z3unx%AJSGR%nR*A#nE zX@q-1@qo=2_J;k$F=Q14x3Qk$%`>&FO5 zaCG+DX-!x!j+%%~NCe&Y?=|S`MT9K<|7a}%_mV=Wo7`-&9;jp5LqKQsGBiHk9~Hkk zmqcKlP1pnUJClIsr-JOBDecQEy-yG*D?6=z9x9kmF;hzQ2qhGvXeQmdDaTnew$f$? zMs%HPa@!P0{^^n*KB473p=NHgtnUM~0L+~L?!X>3`slA)B`X+~JlH;7H!gRNUCx> z@mveCR2yZfO<5S<`aA23=@r%7&azKwqA^6?rvdCO|JWyuYQlaobocL7ONP{k4UaAS z+M^X!y&AlQp!Fom8*&uoRs#f|=;~nxKV8wKDZ#^Gc-=FPTm%^PS%Y8E9d?2q-JK%eYT;wAn6CIJlBpJCaQD{9@vqLm?MiadSiIkY@IuqLdJlmji-je_q+K%HJj9dxYG#(Z}(B!qQrhouc8P zUhyah4Q84%03E1m6!z2yjFnzZs0x@)vGAVIpC$xgcpcE;Ii*ydOJ{nNi74fIao!`% zXEr;rT(>aM0qcs2e*KkExg<41t@PA~hhb{VpJxL2Qxo)!9Q?xLvvpf#7eEJD?2%Dn z;=^YzgOiG;QS$Sn@}|*FjK1yXA;Q$J{Eeav24M%tf^4&5ZKeoV)`8om3DwAgtWV(6 zqoWT+?X&_eB3bc6hnd69GxC|+eV#jpzhZJTxEj&IXXz;#A$ ziKj*--2G_&Um2!dX$j%aPwJQdl&JGpk`8l4ZgzV|Vav4pH7Y;ZtX)~AH4d6aQNyD- zE&hf*$@fmClar&+8KYEi7&ENPOVS_U9X-xu-lN)2!yAOMU zS&bha_~p^ap}-W&|HhT2;w7jl$!PaMQwsC%&lPKIi|m}ch8P2<{uGZJD)6^mYRSB| z`LsyO<$r1j^f#9Eze(ng%iAj?^{&t{fn*h=VFF95Wl2Xwc2n*__?tlvS4s)}jjuFq z*0(86f%?Q%5qG{=*52}A-cDfLn7y*jug29B3m{KlSkpxeZcR>%ME)dNFlxcUKm}aM zCA~dsf~AP{1ph$9e^)c3h`H5nPny(GJD;Zi;cRyVWzmj^BEM5X&^3KQ1(aDc3usAh z;z(DWJgAc+wNEN?Hu`_%IDC(mYE^m}x?Z5UfEC@QS%NJ1b|KPN1GU&{;LVp*0h*^1 z*iMmj=7Sb`X20LmzAC_%8}QB13R<*yOxyY^;@^13e@=ZBF%$mQc_f;jxb-gBc$u0m z1&hMWrT&)G24VEP(itOVA(#{I#f`Oi&IgJ!sO;fC->Ib^X_35VVu!wLkRc)(|rWt8w;h?Kg?9S_Dw! zmcQeM;a+YytkXOZV{BY$#T zvD6Uqh|>eT&Bv$85Bdw(kd%7Hv??C2wlHV6cGRY`o2fL_S}5Gs?Ib!wzE<)DRb$E1 zoN(*R0jInEdey8`Qkw7NUduCIgmxKPBi_ZGzfZ=06y)&m1I}2jpHCmD zn*HTGVeJE=eFuz?=nxVut@9C=(>B5_owY#xde3ECY{KKDC7*7Tz2=r$qWv1QBL$T=#r?bbLgXOQs zt&BDOu_|(R^0dd~l^q8htlp`E*;G8C!e6k?@cw!oj7$bLsGV%jJgVM%T6xaja%?b3 z^-Q|&`$i2f*=+0aM98b%X7HItuMrO4W1Z(*beYAJt0MA?UaJcbsFa~B_XG zL>MN*<2It0BpzJp(M=YdcKhDqZ1%w2yf{z46DDhelD6?Y_f83W7leVN=OM0pCeKW6 zFZzbvfS8Ye=omqbZVuvOCUF6*e!56(Xx1qepnI3?MkdflXC|?$A>R%#DY1@UM300sDm6;^X@6B4_87t)Tdl!Cs9I0}4qjtyi6!KE8yi z##G4!j2<_b6|+x~QW?GU&B9qUM#Qs}kM&ua>7B*@r*A(}&i2Q~O`bD#yQiSCP;uBw zF%wIpbeXtO{;R=u+}+1u!jJgfPifMN=sQ_QN!Efyyb+Q>`*k+0Lm)@(d_a1s)qzh34j-|HXr0Sj$Hm-8#(H8J{odt&Qjo zM-Ux8S@LG8EKqy}|Cfp}G%_w)Px#`B8D+kIV`1uvQjU5?)y^Bs7hu{G7i0SQ>Akr5 zgcXmv&Y8y1)AQnrg4x}sfn9IA%c*6rvE9?6Sv{|!#)BE_5{aho@l)SQEy?*|+NS%{poP^ax=kJz~9 z*+wAd6ODA+f-I``U$X0mHdz}se0VA%02g`TyZ5Jgol5Wb!QbY@DAtJi@4zz4*Dbw! z>U3Iv-REgXq8Hvf9q(QyITWoFU-_yNGnSKm2d_9;Fq3dTdTu+Gklp_00DY3BeRF_5 zolT!i+@Ebyj7=)#=YaH&@|M6%^F7*9-KBnQWo0IWpUA_@bm-F~A@P`fCwo8l(X*@? zkMk~cN1t`(Gx5OS7bW^;!X+p?+24KE7z?L2PVRXlhb%&mxK0|C%5dj#Q}6#ZQ^R7) zW}eW`zBW}+ltJ}isj%PAjKhAxER|xf_T&iLAw&ihVsc~y?H&sX=Z0>*x}QLtvjHF9 zR!@3?M;Jh$=5S?ka_!cb+Mi)8+ZL=$R1}52W**eoB9!j>&-EneZ6zo<*V0|7KpXY-5yxn&M6!KHTV5uPVu{-b z|FZke3O2Om0G%6CJ3i_+GJ3>s{O+T3^+waM1D5wY*Yo|og z=|F$t1mxufV!v3qPkTSJVv&|GKpXQGgS%E}i(WO*!%bSAt&(S@AImL|g2IlR`sJJemxpO6%Re4E6dfq!%FOTt-awF0m4mEM`ShdZ#G~f{9uN2BZ`pW%X~4ijWIp3}K^A zm&6QyNB2&fo-Aljz15(pja>Mua0&Ce{m<|>qk4+h?N4K54{kpfaeC#L+;IHyLBc6Z z|8nu%cA}-Nb29cP3o7mR6{FN?x&`n4Xeu%;u>lhx@K8yQZq8N1#W(`R8f;SY9BEKb zs}uKGK3>61b)&cMkQ=g98^2GAxl?$EaHKFUIz<9lAGf7fO^4;Goc0PdNV(@V=iBXV zL)ll)OwoKL4)EhZ@PaDr{n8AW+fR~7#7FVkhV7{0ZMH=)nf2akAJTfysqrpMz`He~ z4q0!6m?pYj?v@l?1M@qd7gx54t}hq08Nv^Ev%#tcY3Pa~OZiGnoB3$>l}9%r0MICx>_IaGNd zuAY!?Md44ja-1+n^w_HW_l(A_a5HHzk*|WR0e7+8Bex!HeV!$@{;%vJGMn~pZxv+! z?S#_S#?#{VFXzp(pPSf zdu7M$F9X+yB@aWn>3$#;F(yq+Ymj>+vJxuu4OR23c)o8O_A^IY#n<-D(bPQSS--jd z1Th=gP&i+?&k`LkS}STdvu_d#+x<9vmRHj!Ua)MAfIb!BNWIrTbU5rcS=@n5#KNk0+r z1`!aF3&J6`A^~<$N;2qBSs&6# z^4ADM9qSmP#-j+b*_WEKO?{j>HZwaNnKSLZ`AB%Se(`JoO!fA)h34`mf0S&ly^F_3 zInMdVhl!<7Xf4J~7&3oU78uLzTD}v~!Wxk-+1Rrq!|F@j4n_aCmqO*hQYTH~vye|) zHcOf&+?vl`-e{%k^2&YFOxuu{jxW=cEC=|7YIFSiw!CRl~1&2)0jg^DS+=a4$4|Ds?6 zF0&m`qcc0sIgPWw6kkVkP8HmEGjY>@%qfS-NW$XObAIAG*+a;}R)SSlol;0piUh?( zlM#o21qd(ejt=JW=P?p9Wj<25;MEC@6>DdETjX)-rmTdapK870>{#Jngr*q9O6yWR z;KFrFw7jnzaiT^~S_rz&;%XM4gt}kakloV-Me-uQB`WVe22Q-U;ko*=>M1XMpLM6b z{sR1qHG#wXS?rE038k=@-9wX*g%t1{uP}Uq#eQkgv!Z(q_ZDOV{0%zV<2IOY77&hxO_6r*3A7U}es|nQ&35M@syw z_jD~9e(tk9qgho(KGHCh#K@v@NJLj7_j*fo@@;6clyx6*Y>*cV2%bZ}wbYHd?>AeX zP2HTYEPfXVBd6->!gyYySO`pg%30>!jS(;7ABqV*p!EZip_Su$P`n0+Am%W8-&Nkp^uARc? zvmp7P;<S2vJY@{x?O4{%~_MXJy-QYGG~D!keTybv>uaRPA}vCjRYh#lE}U z%mo~}_dcz0>b|Dh%oJA+b<=yF%r;-G2@WJd%C@Du9mm*4v8HC+!-8J3%R2{U}$rblsn&|;^RzCmraI<&#+&wlX`QJ%}lzN)&0Az z1`cmUiD~$btB+B&V0eP=!qykq!k=*oGqy;S6Vgh-CNM6AGOXy!ENSir7Yea5dC_!} z>L*togCDoeyCS6@(k&kmXWfT-K3A*W!`hNu|mLDNlp9*B0qu1$1ij z9{Wi+U41vX^td@=Xx40mo4x?S7Ny-O)`T%OxGHT$=Q#CDlpEzSBke0YtU}AIU>T|= zde$_XF*Nl1P{h}LYJ7+(m7TSbxod~5&3pLJBX#2?xI#oUd}h@HRaz#)cJUhc0l*y^JRn6y zHX{!?Y+T5InI$mXjfH8SP$j?-4TmtH<-7b7x{Uu!=LipKo|miGFz*j-z4BUJA{y(V zWGpH?7#tcUOhUF2cInOHU?TY=O=N{7VQLBIG@aHnKgCY+d88a#Cs^VUe>edS&Q`|XoO+w{cf7ov?Kw-!c3*H5?HxTk!0 zY@TBlW+t4QFVUIw`qe4-Y^3!U#5)_6@6oBkW#W(A-_KFxs$xt~T zTp8$Qt1S@6Py90sWBtTmOG2|5wZ7$`SNDe{loeRc8n=9pwXWCiOuW>scUqZ7Z#u7& z3i-W%AV&S>D%$En@`fTsee;Ge7S>ow*v)Z6L9ZNChi1kz(s`{WAM`$0!s3NhG*av8 z$9RVd-FJr;{;xu22(aNEa+eWq)u`}y0~qY3Jl zn{zVo6smU)DM;7CBxF8{N0=(he*JIy+EAdua2EHQaZy6gv9*^ zlE))ld#spBOZ8Fz%#fA5cOTQI)1<|dhBd6_@sqRJ_{;v2@wW~~Z#Y14*3>ZKY8*Z9 zPglVz=kR9++)N99^e0r6{~hWNIQ3|x>>}n$P1U)}veD~-+yuqL;a^-AV}l-|j5_tGKgZzF8^F3^&RI4feSdaqz#^gsi1 zSAmDZ=|blE-X~kG|M{jO7py}_^1Taum3^wF={Xj`^b|vR&+nR z8d-|?r{2Bn!$<{i#>Wz6GGd7q1~=QkGpcel3fP_oYz&!MxRmZ*WaPGeK)Zi!d-T#_ z(aGzyWmfVv#x+zjb*8lNcfirk&!9aBh&gW@?zT44mr96^k)PP;i9WzQ2e_p|5r;O& z)B)cB5FsQvMPj4yLnqwoZL3Imb{XmKrz$ zylq$H>sCknG$1W#_De0_+%LDjPUSd9mP#k=yH;WsN|PpiRCiC93bV8L$Rl2!G+m)V zwHbwrDQ<#`jnNRx$WV@rfLr2QndMiJ*Gh3(p8Ou z3Zx{P^PDQEMI~;P-Mo(1{O(Q9u?Y@sexl-wod$>T)YmCw1pYA516 zpeBjU`@%6SMZn6?;Le6fz1OkH?c1b>N_DlnqBO~`{DaB3iB;TxzI&`VTRTOSe5%#u z3+L}hbi;>AL}elxRN;*(5DzW9!Vpc!Cz(8V%OSsK7hU@*e`LSUU2U7XE=;TMAI+hR8zxgsB0<@|~)ZhZK&oC<64jmn2_4Q`T9ebn+GdK=eyi-U-c<43IQ zdo8*ei>b?u=tx4g{_)=WTe0tIMrB`|ESi{^CRD6U%0iIGdXp?FCEhg-k$+G&*@!It zg`xal&MkTE%R21oD>q?1y($#t+ujN$u3&^iq<3I76BlM5;-IzT&tgeW)XjB*5#&pa z#ZsC(ZL1gHzD}ad+a5&9NezM{RW>Ojw^>z`@CZ2!=L_;t5iG?FsZC$TzRF7ynLN8V z4>X=>{%0~t|1$uC1ofY$&wkkTrLnWdW5|8Bg+~~?67Y9)j8D{qUraRePS^Gu8rV-l z11%a``-=#j?RDH#`r+~7kWNFjav{!Z$fG62RplF6ToN^bV7Y>MPCH_cS0fe4HG?+1 zz~D)DoW*b3w2Z^>8P-B(=x-oYZE7uOAbclB(!B3h|i&l5!HZr{R`&FZW0zrPQn z(mQ28@e{cp=0#5ua>J6U*Jq2CZat}87r*2g*g+n=1Nq6GzRJs)lz?Ectn9PaUPLFz`Gtn22p(L`kkf!h51ws*%62tS}`b#}i;$dUSs`@A$B z*cWe3&B@umdCC@Z98?QELVc-#gW@EEd`Rvx$bXJ0XZ3#$Ocbp>zYdeRrn8mh zTABj$6+Qc*iuN=ghBP6CNwFYAg2$iD{4-8Boj^>$R3tEJ8ll26rKbqLNqXhI&UV@_ zTFI8zyk$_}tn{b4Otjh*DP7>)ODI|+0=?Wevkssjq%$7ksr|P(Lf+bC3*NwvmVY7K zW4J!Qk)sfEvoRk!Zt|m1ZPRWo@QSr53%)~%ULOjM)IF!OZFEix`{)>N^WaV!67u&b zGxk!!?bFexfue0@&o#qjC(L^UVZ1&^`{)7v2m+V02;&|dHbb@!p_7vAa6-)u#i+7T zHntJM>DmJ%tgCyf0(OOI3DOB79Yg}YS;iEU{+$xqL(jz!+fp`SEUQ;mWA5sfB77;b zt1(4KDRk;oV;B7mb^m~-d?5_%vPh4WQCR0Ig~qfv#ouiesa~ZL9&trB%umRZb`ii` zTcQ4@c3brNQb^!D3IjpND5<#-A~O?stm?{fQZj)$%tuYSssrRcGeP3mrN$cuo)|}LbS~G(J6Ikh>T@3SJAq4I1TVCvRsmc8JO}< z(~-D7CdI6s7q=0|4ch9VzNe(TL^{BWnb zZ0=ziI2a^YRT*A)v_*gtS!TiDy&T6FFIoAejJO$&9vV z&GyKfQ!l@igBKMfRZ-+8!X@OOJvf7#(#v;He$F9$;`^qqttaLv`;)zKNqY~4=Y`2AT2%k^i%XsM z6%-s%P}~3eVcL8`dLgP3Nu=i?BHpVn`8=I{fSp@+ZIR&T2<{VB)cAumSb`TBT8;f$gCF^W!1m+HGfRyFeTjAEP9@mTI;Qvf#EVQ2L8R4S*p z+~^jk5@TGaWI0kj38}6pnNuSSqgieW?S930n7EC8;xOAWFTMshhG&i`k+EbQ26=g| z3d6`dDa1`7naGEmJ^u4)ghm=Ufn7BR9iKbP2Eie$+>U`?m3O3}mzG|?3>}ZYEgWe) zkDLw>qiySqEvr9F@QNiltwFC?AdCefZo`*v2NOY18VC2n_`RdbaQdYmiNt$WH(Iqx zq#ozoKLmkB)$uKt{`>{`kjVFECiGnUq~M$`hsevbab&Bw#L^oAw+`MZvf$SXwmReA zjon8X$wajFIB2_q-=YdFPbCUVmF8C= z!;=!@@5`^+R-5g1epL`>CidRDpZ=N-Cu;pK6>YpFAt*l;pBe$(z76*JR|NuDnaFL{ z+<8sCJA~;yR<&Ek0Gvp;l(Dm!AqK^eKLq zjvT10IxCtSu@U-g@^ua1%OCN2$E8a$i>m`d47;`P+-b@JlQ);`%yeFWW$$w{8mxx% zj8wyyZPID`Qa<@_7#kLgcLHSb;bKnypP7E51x?+9 z7V%Q3!%=8eBQa;=aFsA7x(7=d&is%~XW#8`ljVbd-=1P3H;hqhu3k;zcxyT&Sg;{# zBK^6vVW7VaiB2d^9zu?%8%^F9>Hy^fO~i(%a?sSV}9xb>}p1MGKaX zYJF2?HU!N%o-=n5D%Bsp=M>(r|FC*0zD)2k4Ou?AxgZSB8Sx`4iIqH$(&}0=S^MqD zL#{JzmPaw~m`nv=Tc5m+#xC|k9>wOJy_qnJNDsBEeTtK7 zwh?OWH#C$Qv3MdNw)<_dhrUrFH*j$(PLhSQ2_Bj1Ef+6JqxqJncOKs+UDDv6!j81V zOOwl@s3m`s^`a=7gh`4{(mWBlq`9xGhQk9^>?nSV`#uDUnly|m0ECj9e_v4a>)nL~ z7bx-Lo{CIy+Z|1JztKYskT_NesQDz3>6IfK-TYP|>N+n)R9R|2@bS(OEKrCi>$5__UR5O7$PN`geW)Q& z(49xoXZ6n_#9Z(KR@n5x(C#o+1M81Nuo$NrPVuVxlq+%4$G7f8pWDWn$vs*vik-!G zZ)XyJjtl;HL{m+CgK6#V%@R`FegPdJ93GN0=HIA3J2szxbb?aZl)_zY-6eYA{+K11 zz>FgmLp={4e8I0qM0a9R%lwJVII8Js)stgFUid!CWV?`-dDO=_b;Y9r85r{uAFdMVv^iEz zI%i{EF9V;LI6C(JJK=Ms2BX_A*d-1^f02P&D;Sw|qGTJxFw>Yw=EbU-i>sQxxpJrV zREKQq47VR3wQ)j!-r@y(NZ)#zh`fDu!Y6CDN`Yr~<8Y^Go~d18S2~)i8k7+36-XLW zP){Q@EpvpXdjY@>I+kY=+ndkCp<}vtB#T61*X{dH3BSLhjrT~totC>B)1}L4Dtc@% zc^>8|ngVM=%@aMQJ5cEE1nC2gVZsmOvA;7lN&=Z2QL$1>W)Oc7Gpsj99=A0O{9-xFMQ? z*1y`&>qZKgL^>#aaR0jZQ_)d-46WLJC^n>y$y`{*OLhh?WE)JmD(ThB!Txp}v#FBm zYUc#K{KsdM@sLO_%1zHX+>rR*6?Q<>-MVGJ=&&nH26}TS-I4-MM!fo}(rPix8w<{@ zD4JpQKB-=0Mj=B`aB#-rU+47&`LmExXWJUvxFCgw~6;W{oR3*rtH?ys^2&pHz@||`N~>X zW%-v}YVUXk0rFN%>iTa;TI}UOvyHnXXORfVXVn#Oj00L&CtKetr#NCyP=~{OGj*y`NrO+&JX#`v606Y`iFq5i{p^_UGrnMl4PLB#S8JPS6Q-$r7 zUzlQUF;EI?MMZV^)3fw2-G*bZo3>)Oo-EApWX$xsk@i;9d#9)$A37A<$L?0bDz@@a z>}GeV|pB^O@EF zRjHca`5u_Qber@Z+^+^Wh(WWu_jbY78AC0Y7 z9;{lf_>ZA+L}(4uS_KXFONSh-=wSM39h=eXD%2vr?&Kv$>-j|Y`-{7kF*J0O!WFVX z8bu?y>DK*rX~vWa-mdHg<2snEvc6oOUPIYG1eTJD{~8LI^r!zsgkp_Hnx^t;m5Ix0Dv-C@tzF4t1n zQwNZb98t{g$=bw1Saa!4uZR{G919%y((C=2RQg63pmg|S-%9j;X79!&l^onC)=Le=~5zzbUU?1}p+}Xj5nw zy!tHQ^!oHcQG~x4oB^j>`-t4!tO;lLiPQ1MXmC>1$XdZ8vE?z4;AwuZ)l^y73d?qw zz02L1yQNcX1A-43k6$-?P2e}Vt@joRhuF~TbxdJNEB5wLHWqn~&gj78GWp1fk|3?0 z+?~P-U@(w${(+nQcMr;**unj+kRCxsfVb&-BN*q?FdgkY^uXK|8#@o09&=4u0tAAh zPGP{A+zQmr_a^7yQC7bLT{I;abk4NZdgJUySE=hJE%?5*yw^6| zTIoVfQaax8m?te3f&PId;ufC73mT$Lqu4VO`CL-80Gl$Vm9t>7H-6o=1 za92Ql^jv?7K#zKHsCE#@v#2rnKCU5Qm4#iv;Ie&u%=ZR1J8?ss0XH+#S-_8lROE zW1D7W?7-84VO^C^KK=f@Q-cW;(Aa#zq%zjQYKF(X#AWU}Kk8A{DvjC5P}J;JV^sGi z?$-Km8mNk~)OMGl^hXgSNIFg20FQTwjUS2?m$2;7fW_NO?h}0=E|#ZcpdV&Z?#s-lS~QVfkV?r39=5eJiD(_dh;a9HgGU)tFfEVkE4Xz`!?`XWXZL3XNC8gQ{Z9}G^~ z#_IdU3mE9LaDBl(5-;gsV`zT=z z+@#oe$v$~&NP zF2F;X9+cURo?BF`G4l<#W?tL#BaMgJ+L~WI93LQ1gm(gBC;1kBwbPE1VwWTrU-$WF zz_c|O0ThUal%>gO35eR?egUpD%XJ5*topab)&`dE60e6KV?lp)%9ik=<4{qkVM!Bh z5ZdD~dALWVQQcqjTW^OdK{I4B!M>5K2&r2*7%OxeN?A(>B@9q)SdcHKk0w1_B0h?o zP5{MKL!{hXGZv{UB~~Qw_(5RQ|H@NUB*4Oe(sNZTE}F(02E*}W-)Ai*l`!@@kZf0C zMcY2hBGa8pOVze!biUDuB%Rb@e!rl<`MMdM?kf``Ue9J#D~hL*YHs_60MZjy$?|eT z%sw3Wa(5yl0@6VRs*w54>WoxGOT&Wln)63*4!*=HY&A(VsXsHF?q1Q1xjpNHKj>kF zCRN2`gN_FU#%Ju*&CQa@qZsJsOAQ7EWHoss z&692QSt1WG`)R2n1<%a&UN+eV^SX&poLGK95fjw?+>MUcgsUI>#%ti;h(hF2Q=Jl% zOjFOW6{QZW3yffdn|I951!0^zm|~ie#f}nSDX_B#bVN2iU?{ign%X$XJECbOmwa1h{{fRrU*WNa}kXC%qgFzE9Pdr%RWm?`;s=B5kgXm%` zZUu6a5=8>G$L;s-r=SthHB-0ulkJqC{obOq%{yx!PTvjuc2~@ttQN2jUe|Q7jANzW zFPD{M6y`PdYTt_NDE)2`s@*>YScw4i^sF2&^G2`61>-Usbw;CE5I?V5RfHR%MZ)bV zKA~b0LsHR~Yah*BCq*Kq&)$jtEr_E$?*Q*}uuY3BR$ntgUbb`6Aqz%NourH|XZK30 z+CD|H3)sA)cBn<|2BK0D#fMn!2EKo0yd}@fxW^_BJ9_D(H`g$c{2KcUKhC9TGk>5; z2QG)}`${?jrQ@}4pcc2qMqcsJa6m`)@RxAdO@+4fFQ_w(rO2Ep4+ECpMMhzbCH&** zFPN>Z;GW(#c8XPAXeF^)IWWnOn`VHURVq^o@HSzDy%cJcDZlUr_I=op zuehYMR06N#zz%TB!R)b1{vIL-u~ALC@`XvM;=RpGk2kebN!|^HcPih~+4U6%8ced6inPi-?5JZ{e&%5Tw8CyM|;@awEMl1-atn^V1DLnWYQY7ZVqq0wx zfCZQ2=Ks*yAQ7WmG@aP?-FdUqY)i|oX1GQ+d|fEhK4!SU{WG+M99r~YmqqQ4Tlf}> zs>c7hx&JKo%%kP>iyFdb`p5nH$`)Uk;h#6%Axzb#p%e^ySJK~U< zvPrd8IaL|$cYEav<@1Ww{e zinxg@tP{I&;Z=@cZ+XH*)H5E!+=idYrxbdg-E3%FOO}86{o4C4citV0*94mRb?eI! zb^L!fw`1KfXfi5Z(*17c=CF-vPlwRm&~j)>{X4z2wrpRPHIoM0iz!P>Ibw{M3pxxfq)dReEulc3Qc7_lpa$(xIvzEbVN^LEc1K z){fvV82NTv%wChE2@+X3iHQ=sDS6_N#6B;Sgi@U95xA07tL|R^XPTO&c1km_KBK^g z>@0}x^-#&_wRWNzXmzpT)YACPYIEZkG-vwvc#W(yeYM+?=CGVoU_ zZpM$0Q*5AlOBd$LkbDWt?aE^KJ27;VU;(k6q)a?8_C}f~do#d=vyM`{GC#nevvpn? z9+EBW^%N+JM`K~mk9dibgqrYVtUp$|p!ChXlD>R-IwI1P-DvTTdc)gEoQ{s|e7y8G zR+6l9m${UgDVVO0HS}~lYENv+_A21(@i19HNpc_|NSqpuR?=9Boz?C^4 z(qmgKBF82Ed<^)n*#uqV?ciBkJVoFI&3O7kTQ_&vkGHd6Ds0zajd;!c_UYMZ4Bf}f zrjnKikJe_qAoZpD8u5H>j0WVA1u%>r=W5u>X3_um0v&#)Lo8P$ai> z<7Ze_jvspr35j&HduwQm#-X4tkB@Fo$ab>lZJN86-s}DQr&xVTdBF8Yhs5iysyMrX z3zR}Ub77tvFftYzLZqq}oA4s!eLjO6?fPS=mBH6=_^~U53YvV~RHSh&R9JH8GPvvw z%#CZOA}4^_Z(xD=zT^c#-9N=zD1LIzNj{q$L_>X8Ag@3>ZmLR}r`fzZd`H_gP52=M z^dX*DM+yIS>50Y=m5Vd8&7j(MDk56fbp|dDJ1F)aolMfpjta-WW{yW$Gv+McmO#bw z!_QHAe>BK`F+3ZNoc?5Z#y#t?vfH z2T-opWMYo_NaFa7kb7kkwA6@e6K`2XL3hLnKo0!RuFQ+)dl{=dgQ$NW2o+3I$Az7K zJ#O7>NNU0`W4!uaBCu{mDh@h%(smoor+bU)eTzJ8NGkbet%S0^w-S0JpTrEjZqYi$ zPL3K-f(tcPVc9X^O5n0KzS*h-=FQX$(O*cperu!5G z$7J4>EL%Ad*JpN)Jorjd+%s0%+OGMcXmh@IQ~u`aoP|p`Ji_FChdSHkvyK#b&HYg~4@&XOL_Mv{i$k0Bj>B=|l&qpBm=+FMcIN8zfTr|bO3U8(Ta;f+Lxfv?UhhzOzdKTFQdK7DSmXxB z9E44e5pO&M9iDR2+DDq;>AiSwQ`W)-%@!Y0u2zcbiQYYmdis@Dz83cClo}2L|pX3H6M``Hj)&=|!B(zW@&${kCZdsHJW~^vYMhUNU{WFYR{BwtD}( zrt&mJ4CPtNs(Dh+XPL|>wNg#eqiH9nT1x@lwhYoyt3y@ks1eBTykwB7=z%7I5Li|C zA-k+~>kC>N6Kt5lSXX+&Y-nN!^t~b{%y=_{;i41yKJ04g7sGVCNTYuTckoGLcWkGa zYFYLT*wewM6j}tw2j&T1f6x?)1Vfd$=^KvcL4$oWdWq;srdsnLJ~mApo`)bq0{rco zuNoY*bAO<9Z3-^j8Vm}1>-V0zB%Aa|#2Ypy`ngV$iOk(gi;nBYEoUj+I4a8bzklyX zvldRW`-P}7o5|$X|9$vTvuY7tGF+Ts=5Z-QAr+NF(d7oO@{Ef zXLtzYHIeZoQ@AMveO#|*E|Ez66^e0|7ay8xuQC^7h$bPW)7(-wZg~C3;GJMFLw5`I zn|W_JOf%~_f=3zATDYezq4qh$b3CHVg$3W5(b)PVYyEC&3N5Lo03`w+F2wQ}<>_vejJ>}vvqXOBFAZEdXI+2y{p-AAWeZ5JZ&Kt)sGifWX{U$KKt z%gle2Y+|k1RW=7n7oG$9Hq*j#dL!D_`MbxwJhM6Vzqrk_Cj5#>KB3}CFZzly{Jp~u z;?6MVzqAcJ>+hX2dZ8xZo&FRNQaCIy>>E6u#VOdu(52E15S(0k@&aH1LOC6@G`{V4nL&AQ6E0r0h`A4x3GM??k*}KWeUlYlX zm_v;Ea^S~iERG}Xo3e|m@BX)0NSl`)&i}V&EUlFJgT?2t+SfaWjt6=H(e0;c0hW3O zFV#%St4zLnA6w=V=O3|qG_kC#jbESq{flA!_K%|60+$=%B7?dtFB$4J?QW=g#r%ts z*ImEeTtUE)H0RpH;)wzuPO<-huM_|2Fem<*@LFhrj>XnQXVIP|?q~(fO>^svwolC) zt!O4hM_76h`AxlDALe+vcXSs6_y|~fNtEK@DF#+A$yCJ z)zpCw#hXXkem4hT_ECPv9xHAZ{e=Ir*a|kkBYMwH61Q0?G`#yyCM!`%j=7tRdw;|; z>^d0vG00fBc);9~uMXG=4`*dVpDUpdc=jdN%H#{S94gr3l_dspL`^BR zhakfThpAecuFsd2$-pKM`3bO^?WNv6iZR$lfLO$^q+=^cun2+MkZ*iA4&TKyjPa60mf3Y}4%CRUlNq7^;Ag7pG z0W{{I+c?aTDPAE?RwP@SV%o5owv`>I_4dKZE_$K9<$I_&qLzxGqWH>{*Y3sjQ%r%f zpMFeyk>K`|p5sA~g;}(o+99(%8Qe$g7xXSzNIFI_34!V^`y)ji0}=zfm+MUM)_&&;dkM2f+cchXX{lFc${osbL*#?ghjy{;1D!J#OiFx-Hj0*hd zUY{JPXdk2ixQ9UsTyb={7{Ds)ZrYYV@q$sd4@vSsm!B$*c2rTEST&uDbdfFi%LfsI zKyW{t33nITZMWB|Har0lAqBy8cPM__TR93I#{O!Tr@ zh>Rh@BbNb`)kV9W&O7w`xKRljw{f4|hLC;YsYp`w*tKbRe0yS|#P!|cw<&n(`()2D(qggjm08FX|c~npI-OB77xf<31bJf0Zqk;yRh! z+YtvOwZSns|9~(NliF5|Tp>;AoX^s=TZaf3j{Aql4lzqig_(d^q=oc5EqIKpY^ zfyFPBIc%&U;&sU}OnUaviW1SQ9!7&EEJ3=dji2(Hd4Zn2LGa?!JW zmY2FH*jGwfzHgW{Vc%p{Zj7ZGIgXD3WOwg%kAOJf5GB_4((5;8)U9&c|?W=47tQ8Sr0#-(SjGZIYj6HmaC{r4_d;C!tj-@$Q*w2-Pu;THuBd zl?)~N-d}eqEaFwe{XbiFs&a9?px19T_)$^tOA^F6WT(i!}MR(I+1X108 zjjQ8}n(O!3)m)EplLzU!!Fk`E=v`iuuxT1`)`lvCO4RY^U$YG1^ZE=uJ0P#i*M3LK-n_l89~0ShSG=rNe1po+HsU?A=_#fcq8 zRevwr-Ad?7{_lY{+GKMVrY%xzr)=4ke6D}mr@np&l%Nn@w;(L?#Z?f{WJ_-oOubHG z0s5Ki&)Iqo!v?Ki#{+LBj1XM9b|*hqv!7%jNT4S(Lz;oygOuV!J0I?rQN-!I^?Pg2 z2Brhv*CAs5G;uA#-Q?m@Wo3}O(Xe9TM5zZuNrMCCa;%P(tB)*2A`FE`dAD~`UBo;O ze7}ru>KUxvDw_tl)13g>PxsGSRFqD3E5Rsy++9k_Mn~cy>D6+HTb@HI2ylwBYI;7i z`z&eEoyQxHF}(u^j8na9R`x=K97z)bkY(VUjl9)Sok9kQ^>VXI-Xc>qg161_Z27_e%N z44y*l&o?jv$xuIx0giYEe6!+iwF#CPy1j;aiPxwA1yz~P=rK28WABQLjJEN}<%Wpa zM04Y`q!ZTwlOKeEjs=2?j;5YZ{gXofDLPxPRQWQ#z3sSy9+->0xS*b~5>S z_2^~2=_-jO+A&_-KI_X)ne3{RW95>jb6q45{lIr!nvj9len+pX0K+1;w07*&TuAbu z;Otv+V8)0Nag1kj?3%=`d%RSEN2sElfp4N_WQ3C@K~Nd-Mc9kwAM@C=-}zYqSJ2lM zhC7aTVplTlesFh&afpp=M{yVL5jR?Uh{?lv#7uC08`rKEB6ITr1M5$4&kX)(o%w63 z|Ff{rU^Haoc+{<}y`C#PTr0U+?IC} z-5C%-`D-UGJ~@z+sQ7q$%qiDE7QngM3DG%|^_O}?ga-0&v1gUVG7jKRK|T;opaFX*~uUp7&krFD4-3Z_ntC#@egaHG_#mrIxCsw8ALgHpH4+* zm)u^2-CdlH=2u~?zLQfGnr$$U(*aWhPkuBfwxT^f2}uPt4j%wVJe{a zTAGKfa+Lii<$U>A*JZ(lpX()JMb5S~T7sw9g%iCVWUwtXSY?t((8TSfzV_^Rs+Y`J zlKe(OuqTFAuPOE*^7S*ich4}yhPoYb?Q^|*;~%AN5ved!B=o4ks2)I~)@5MJC6qUi zPOl9FeKFpcY75S9qvNB2RN*J#sfm;Cmj+bspBv|_{hih6&mC1!a#WU<$p2j9>Tdf# z+6@?4pLA{l02vQ-B%VO)VSY#PEVyN}KI1)y(J%^mn4#wey&|c|scDG1!8x>br{Xj+ z>5#b%v1L~>>=bqoTgKj|jx+Z@QYf^r#5lCY8EC#V#vLru*wh7mH)0619k9LGVzew^ zeXjKxJCsD%9RxLXfTcZ#CPBe%PL`LWZ=NeEcK`kBw6%0O@q+9zMm$0x*Hs}ttQ@?0 zIJ1WRiT;uVrc~pC{KH2Q)Cti{iz9Y3@^hgsC@rm>1vI+B@6dS=X4Lzm>-vi2Z`^Aw z*F|;}JWzR-JTUkYE~g5V$zeEBl)9j-Azh}sd3P#>y*R;k`<1qr%#vO?Ji7)`|6Hd` zK0BW>6@RE0Pj=H8xBvD#7bN0M*J_K`4Tl4lRZtk!+E@dH{p+17`!+m}B_Q(2JIY~1T$mLEJ#0CT2n;bOAVo{VqtA(7rh&Qa)jr$HZ|4!SFD>q?gm}0wc z5Y*LAapQ35yh1bscsbc^b&g(f-5S+-;Qg{JM`U4To*LfQuN5S$U;%!Ssf`m%54kuM z&dMH;0h*!J&0__cWze%MA=T&J-C=qtR_V%Vf}pj$!*ubcXz~#ACSpunaJ@h*SsW2( zBcGMhPOx8q+*t#6MQ^>tGp)t%#Pn=R3Re&lXa8%r@8RHl{h(F^*sQ(i4RIodE`JkH1E|5mJ z`l}QY_rQ&s;Ca^a&!vm!4(dzX5T9eeR4aS3!DQMM)Z&zi^CNzrc4a~}SiwrURxvw| zz~!lb6~M&Uh~PcC?gQzshOsKE^MQ2XhS)G7mDoz1k6YHGE`s}1pw=fSU}~qDpQlDJ zNQ?ZwbzziR9CwNiBcK$>9_5uiyfvtIZH~M}-ei?Z4Gy};Zm2lZn_$*yp1~pR?>I$m zs(HsSZC_yVD7R+?B$xc0J?_ZL@b*01l&8(S;lpldvXaV+>el~E(h*hKO@23SeC{Dk z95CdsUSbQ(?X2wDcalp(={>!XS|r@TQKfj14w)tk0-5%|z$$R|PoXjMI`mSmM;3DK z{jbpB$~ecW0{as0ZGoVS=x~tV6CIg8=XWhy)0;qK?uoJHooG!aE=cJdLwv?*Ml@9b z02=RzE~nU5EBYWFqis_X`^2qFlWJGsGYq+4<|DtiB*Ms{P>m0#McE)mx|M@JMYm!|O`4+|pAls2GHobp;6y1T5 zN#O;8@ZJ`i6#`$q5~r{F+@$QhAnZJS$0;SfB?b#clSeGulopjWGG4;-%};zkgwW zPS8Q?V?lhd@bS^U2(|LHRHYZHSJJFMz<;*i6vsjB7G~p)Xh}NY%wJ` zQ8p0NE7u=k48DX96+iuNhB9V#HTt=z6?S^mCrvXCv0LtbgFts?q@p_mhs*&N3bPb- zF|gur<8pWagtnwy@_=rmOODE%W#i81+jRpIsi@?QG8fs7LC5~i1`(fySm)<)*Oiq) zz)q+4Sa0#+2SifT3NJ2=MrOV0`?n0|-?lN?`nKV3l5Qo$Nu?!PZ(D9g!sApp{|s2J z9eGI}3n`{eLl{Ebc)qP5uG=k1G$cjnTTI>%069hREkp!MyIyOq60wfyjJCX;ZVHHI zDnr+ZsI1vuZ;Liz1-o`l5HIA3$cf7GTbH(hzhn`+>^lw6$8ny_TfsCrKlP(5Ji8k9 zo;y?z9v6pZ{#OD2!?{2?RI`6DA8q+a^0b@udxI8$c{dv%A_*1;EPcc`+K^+_D&f`EuiWlEr;ve&B@=MosPd5FhRtC=#?3NS8KqrlfdG9O2){cY z!y2v#_k!dcK83x-GqNjor3)<+cKx>)^A`k8)Hh9%hp1?F#(EV= zpeZOiN{BAeLP)->5faQb5!WfbuNG%8N?(cZPN?&{CetJl3W1q6p9Bue+LZ^0u5;{$ zqBt)V&b-fq zF2CM6xkTX01YczYX^G`1Sv{idU9xMs^6U2|rQD>`7;be5nRkeTmX;aNN5 zzor2{6p?0p&U}+FBlNlovSk7-KUcAs2BIv$QK?HJZL<2Ry;AcDS6C<$q`aQJ3#Kg4 z1jGBakOig|Q5TGy?gO|7hU2!TWRRJi$VSc9)5_opYl&$hkLOZrCrVxyU~O|G$i_(u zDie1dQ6(RLHbR-AW_XOmMduwgZY!iFVn`fqDJWtS7@B^3j5^{oeIr+Y`uB%a#h ziv_ZtVgd88Y*nwMgaDt1gbVVpv_A~5UyIW(^`RS_EwCIIzNC9Hl;-?69hVG3o>~Vb z%vH>S8cHpoQn_>yM}?CNUQ;X4Z2?*t95?*-Dv2IP@Dl;AaYOW%IkqzFAf)(%54+SU`RtqNnK8wH%v)~fvj|K3 z)-=$;l&EuR1zJg?f4>8BGOvcH7xmcGk(h4|_30RyVfPvss<*67H;N@cR5w}8Nt(C; zB59^XWr@un7A!KlRH5TCd2r4>)2;ZFzsh4SuU(Hi)bM`5ZAaIRUs&zaNr5Ys@r>~uP^pb+ml2?bC)e+qIpj?VB4o9=Ju2?AGI+3 zRK`uS0+i0`EsqS8Y@*BGy;QAXM7E1?zTeNOhQ3I+>MNzaIqmcf-|HF|(D+z#xfx2Mu zfgn@9Q_PIY&cE0{+baV=3B_sebpm(Bs*C;zwh?2-(z zHK!G`XYzbvSL|#{bbOhkM(q;U7#fTq0y7&keN9%1l8YnXx!%y;k&&;fA2!K6-uuyg z7~7Hk$pGHTR41F_1ApTdj7i8x+VyopyGT+7EBNzX=O<BIaMZHz>;Wv;fPx()jHFfAcPyIphiy)EMzG7QkU_y1GDEA^POn?&w6gg5D!*4}Z`Fl0(ONqaW}pc& zlnh4A?~>2ym4Zvxpu7Cg1q-;yD&Gw@@&+dc>HW=TSFfh}hcGL&6)&NCNu{;o&=Rzr*J6c4_bA7m(!6ZWaZPOvQV+N-<&!gAWVQ z&w+)o8?X|fXaYqEG%-@gBCf&Mo2eQpN~jC>S6lC|l6KbIdNZF>nY6Y7Qe zr{y`oL8D|+;{bfm2mb@1_ueLRuldmPbiH!H0rwiry!1GItxF86g21!;hcxOaiy_?1b zotxKbiReniQ2H$AlFy86k`s|!+97SWJt}42AKU)5pts*Rc{Kp9%d0XPXJ?%d zD)N;I5Tv@;pJ;2-((sk{j1%Z2MAI-lV3!Rl7IhmGym{eC0tp-?Bpv7%>z@By3A^xR zw+IG#+$Tq7RKF~%zEeAF0txQBcRAjD$}8j59l8)*lWm(aBW;sAW&IHC?8v<#A9)qG z6rA17F;uGIblN!2qv2)`rpAat+Hh20U~ueqmAsfYw&$Tr;5#HIqjV(AnqWgQsvtvL z`#Y?K9$wq@VpHzV_w_&^$jZS0Z*Uv_f{>m=9+fdnf5~m%CF`#ujy-SP3G*=eN7Hb} zFc>NZS~Edh76<0=7Cn5*!#42=vf({gQ%I54g+^*vWInUzKzjkVfcL&h{8r>!B?_j$ zk(EnpB6I9MXBO+OJi*^mL3b+hN0%8Da6X?LLQ{$gxm&Ipn>YhN8st7T2tgblxgIKW zk>_{tZ)Uthl1j#!iN8FKtpN=96*e8U#qXz|nEB{#CCHGdDC%RzRIIn9Ej`G}9JrpF zO1^cKy;^tRKNF;rRxZX+FZ5~^K|~Q8_gcP5eIa!NoLebky+htjv8vZ>`$l7>7)fwy zx@n+voFRjDRzhD4ZfxJBh9K=)FhbP_F0Rts=HX^q8PjTk6IS;CZ%-Kg@!&}+DsQY} z&oc=P3|;t*`r%kVU-0gPt#*Qfgd6G&)XP~zGMQ7@*+wQMMHrb<_!C3Zu_sMs=O>Ko!^KY^(=2tT7ce2KJQAxa9xA172qD94p4?6)`6c3|A~! zE=Gb~bT<2qXq30&?N`)b4Fje{2bGe*PRF_K>n|NO9@D;>89N?{2EnWgsn2C_kPBCK z>KGTQ*XPjxv@(38X{h1{z7VDVoFIwjYmgP7fA-(x;o0$Cu_<@r&R;PeWjg@b@L1Ou zDU?O9tHRwDI;<5Xhp>E@TRIn34cDo)aJ^|zR}Vop$Y_8<*vrD56Cwn#$;;Kn!wac4PZwojizg20Z z2zqdny%j*>ZpO;qVt$Qcw>d+eBDjVp9B!0UbX|5Tb^cuZz{8DWdN0HN(?x>cI)e3{h_yjk6>WkCX5Qo$F&Qn}C7> zR#iOO+4BdTxI!(!x+9l3tw_x1<5llldH6L)*W5)Z62z+pv~-{r7%gN}f4Y1ph6n?X zYf5^WwL3T}yoC3^nhB)rcSnDFoVmx6Q}5QY z7EU|%ZS|F3^$8CCxny;0a+j107$v~&DZ@uBPG)@)7pIDI965S>B{<>%9F|O+W;tJRw+r@++*I#7Z-687plO;$NHrjj0DZuFXS{i%+5l5IP5 zUR8ky@;7R{dP8*a5`i*_^g{^9d&L>f)D|;gap_~bRm!il7_O71P-k>QgcOHn5(lH~ zzB{eIwDgFO)X0Sd1fcQmv<+=}*+p01nE+fxo16NUq~7SR4RoXxU82OA4OjKsD8RiJ z=yaDp(+gQT_=Xx$vp_z=C)8fE8jF_UWXrLZ#be5{Dd+l?|4LkX-Nia#uO$B-So1W& z6>@Woob=wgnsyl$lK6Le8yV{F{pW1C6Q}KMfvcX93?S=JXz`P`pe~n~|6X8A9ku!J zM)Oe)KUA_nL^klMEXup!UF<813r`I$sdj@LXU_8<=SzAkV`TI+R1E=Uu)>MaZo`UF zVq-gp1dVJyrwCtfH|Ju>NGhw~sB>1!?e zBMl2Vw2~ij{$MVVXWt29G+9W5V=!62wQz6o<{zrQkKsoKuO6*vvZ%#*(_XmXRYX?5 z@k&PxjJJH8N|qezNi}ga8=T>B`cB1A{@I$3yTeZ%A*Y)1zZ+f90Kt!T)X%w>m^K4A zzj^%isW!t>FqL&*R(JGqT5k<8Us~*YRLuU(&@&`z=x?s1h2Hy3x*SVlf3B4^bcMpi ztV%Akv1ngvS|H_l`g*;3JxXazgsaCuO)ql~qRL5r55B!c2cpOoW3hbr zsdqh6AA9Y6J(S8^>Cob7zI;Iw669tOb$Svg$e_H)*H8DkO-p5-3! zm#?cwt~`m|$@^eMBCzU{r&ZKAac0K&0)^$&)w0Z+p9?R`nr_d#7t;_K!~F2iZx|{q z2h#%hBM?XW3bt*cg6VdzExef3|e0N)lyu66i&oW=L|+tBZ#FBw1Cd<*bUm z;eveY%+4;puduw!_Yuq(Oam5HU;MjTTMwxZbgt@3B50miH&ea*v?ke%v;VUWA~r#{ zeDXo8cwU@td2f&wT`(>ZIYY_2b`G|0aDz|daf`($hPTv8mr9?5Y!~&u?YoXTf)4qt z)@%{l`Ar&=e|c^zNi{ zxIx9pauDhlryiLbNM^fE_U7qHaT-p_rHej+yzv8J1)KePH0#&gvJ0sMsM(^)@?`?7 zx?Yc8fo%EiAiV;F-wKDE_m&BkxJJD-req>wRFOpH6OZjr1k(izUF<~yi#>u!y;_%j zKD4k^iq=C@A~-@v+=UM6p;GO^q8FdD)1#M-0hb}Lw^YQB?1>T$cYkOZz@~}oY!#z4aLXjffZj*mz+*TiVF=J1|ykOC{6BD7Fq80#)I8wX@hmm-T`#zAOM5EtR~7%TVj>8;%)i z)G<7cgC)oS3!guj{Z=3M@RQA8YgV>>KjWI zS;IhJ1B-i^rzaZExi!|w16BQtDQ|Rc9zmH+P({S!zL0CDzH05{fsZ-wirH_x%)?kM zK47o9cFA`NkgVDXAx8rk=YJ`UcI=m)nTe;w7CpEg?@}}>K);UQ__M}9%8Fic>3F@f zCHr%lT*mHc?oV~d%1)H(IFdLmX8Mcadp7sEpkv*PT&{61BJhZk2|@14=-?@dY6zb@ zVenCh88!o4$ZC2gHu^lESTuCvo#$DTsz$-nW}3ODp9{O?QbQDE!dH1z6z+yW?*(XE z7ew(rq7ExC^We4rgqBf{&f+}gk77r954P_gCO9lR?Yq@r2WL*VLpOzUkCQxd%I4!N z!H1)cB-8Ci8=a?clTOL{E4Cu?Uq5DMuYE={z^A63=eh*j8YfK^N3~OMb%fC{h3q5_ z#<4X|kZus-qw@j<-VKE5F`lni*+a+dWsbX;-bhUC>}Gb%{ZGrEl2*bXJs*h!hb-v4 zqNQH6HS16{yziA)q0hpGBC1=;cq0*OG)gn4c;Q*_tU2U;~G$3mw{ z-!i;u+l8P${)sP-=ROXJZx3@ExH}zGN#cOv1~9Hiw>uCk42;R2fv}; zQ^X7%nw_~ypBE~9l^7q)FuR{Iqa&TCZ0$PmG3!IX_R|dS_^x4h&&BgolA{l1=%lhoI7Y4qJNc82xcgbE)+6H#52`q zf2K}v8Z5u=eWK8?sYsncJ`?>)8hOI7OW4(=9I|hT*pgXW z$=vg~o$;i}Z%lzE?gt*h`LctHm0oh+_Gtha3$W_ca%3Ny@})$Y2-}K5<3`C7HybCO z4v7NUoBVFI+{`)|qNGi4-CkA+hd7DCwZua2*kT&4_g1}-IBnr2zu5OL?yOF`d&))2 z@A7xesngrAhl)3CZZDhghu(2&-TKMS-y8W14)2{%g11BoS;bHef_!LYaEXC@#wYb9 zmLt4KyC|M$HVypZ9+XK|C|2uHb9h=P-0&J6*h^89m)1bs!(T+n0|9gtxPAfTT-?R+ zPX@coqXN0AB0!=gdG7PaziIbOQJ>D+A7X1#)#s2l88f_Uk+$mrj&%bqdovPt4N z3^<(G^NwX^ozq;Yt|{E37#818o&nebcj83y`4dHQ$9-) z@mE1eg@WnmPr&u{8n0TqyUo=JQs!-^HmvdtOwbl_-)n8yq6!P};&PF)2Cfmsc^6kb z?ASVwTM+!BoxlbWlN*sX6K%WN7%JWOxmVUs{7tPObXt{0SL8lj|Icd~0n^~f$a1qA z#&QR#68lv2^KTGlj|oqD!W?#Mces1#oUGjajH=BN|Lk@+GwI!kuY6x?aKE%5iq%~- zyGZWvb&#y(h3#Dye&{k8@z;wsJ;0j-ASjd7Bnq0|joi!<-ePa&GE^yszZZV*j2|!) zJGE4zpxtkH_j4+&`r*um-`h8;7D{9dg3!pf33nyGX!_w!#8>HqaFg052I{7rZng@bO)NxEpEfWt5+4tu@WSMduSR2ZQIEv3d1+RNfdD*14j$I=+8cS}cQ1E8yk`nL$ z=Rm)LlS~d~7gBfvsXN%v-#56u)B)qa-Wf*Y{l(GVw_Xt4+O-7m<6C3cBvLqQ9HQa3 zz?k~ewuY1EC8HhAv9&uk(KZ@!Y!FFDzgwBK#?V1nFNVz)oXS*zD5DR*@kTHNsd8P1#C0Uz;L zq0}3#UnwrRQG+hTxr$qXIr*9ljw!e%b4|Gb;zz3fz?En@OzZ+-&+c{w>9{9tt7X>i6<$)cDx)%>nE)A36z!a*Fa7N%si8h zbKc#ps&Igu=x2DhkC*qA2qD(RZ)@%# zUS0D>mOlsAaR5u~;tDDT8RBXDu*;q*z--p&@fWGF4pOIxBTEwLZn_XBBPBiWl*E1p z0+(zXd@DNC9jhO5mqHKbMY?-P9}=VTzivdryEWnll&JTOf?y^TssB#nH( z;F%YFllg9ZQ~%azVQGPFg^y`dLyu&ul|0)a5fd&~ur zckqrbETJ#9ZkkfHzW{6|?az&spFC0eZTs1(7;OAV@EzHFuO^)LaM6kZPO8~~}_ zt8}~A@j0yEbeBGMX1s>9H}dq*XRObY3yt}}tPJ#ST#zhVPqsQ$<_YAd6!IYWS$E5e zbTL4mid>sF*o<~Re5P}|NKg?9R*+K)co~aT-%1Z(Tg}{c6ce=<7TY~+P@c2l!#goQ zaZU2qF5@w7NQpq|cxt`ADRJ*7MWBt%i1@{tvU;K;WiY;H4^z=Xbfi~4Co-upR!h&2 zmKigu*vLv}AWgOkHNG^gbmpil7RJv@Nsj_k2a0;f)Cspf_W&(4{CThK>(u5RQaa}p zRa)|@B#(YkX84X4kOyF>6Cz(JYpI$U_JdHFt>Mejhi2?O%Sc}}P987I-Amp5?0_EwNp!Aa9Ec5c zkV352_*t^smF?Ly@pvt39KcTfPfHhLqc8Efai4s6mVfOY>dRhF%a7cY)l`-Hg4sb^ zuMq)4bq045SDYGqU|C?VAdX8+ZDLPmjNdE6Q@ACUdUoEH;S{sU4~*PwZl@}|xl`0I zz()d3Go7Be~nMz0l^xeP|%TuM#ma^YtIuBa_OiG4kn;w@HT|dnz(H zm>RxZ)I%z&6V_^%=PePg0tEo^gK(-TJ_47wc(tF9Tz8cq(2?CYp`;?aYlj<16Dv|% z2zFkeNZrh2iHl0JFP-5!KW|Gezog>ylnurm+H?tjHvMPTgfDiXYJ8pg#_Vwsq`dpt z)<8p?*h{pcx1VOPSzQXl#<0mhv)C=gljiOImyQVa=IQHLHsdn6`Qq`6XuK4))g3ku zp#z~*+I*Qove=VlU1_=XT+w>W4El*>Fa6K58a~$|Pb>HDf#0JtK%XlZQ&~|K_WCDH zGF0JtGU8M%D^Pa!;d|^3@N0xkzh2}np4&GnD+{v-lVD26_IC8LD)0Q_?V_Y?MAlDS zA}@4NYfTTBYOi!7mh^IS=aN)na%{U#^u@KsC-6u)Aa>GFk!9c4&_ax@E&Xa6_{r$} zqUTtCF3FC)*kJYU8|r>mrHz!+srn`#r||hj0cUx$LGyET{~pHH(rOe$m!YSAEazWV zhXL?&Eh*r`{JukPl}e@YV!mnEjH6b_tOV8}$)V3x7iRp70zIH+&CXNX;?2sa@VJ?W;ZPpVdm2hLd|vXSB7NUO#d zTYCJ0CJ)2yn~d7e#jjodQ^mMfV#jb2F_eI9k-(J}m>bqaW$CF%IloNu?O5@Kt>N~p zMwgcexWa&9u+U3b@>=Eh*|#^(-AiV=Ro0gndEWsd3D&GRz1-yuU+9mP>{W?)>F#b0 z9{OsG*ieqSx`7c@P{d361;#K*57~66YFiJM)%NyN5WZdoP0=m)9bm8q&kjcISv_bo z73bPFt$z)pfWi}~May@JFI7GwZqON5|8D^0X;M%{2h}KRjo@j(I!m)i%}Q=_^pE?l z&}0FF-p*EjcT-F^Ml~ZM6n&*b;A zS=~%878B@nZ*oUXrl}nxAYM;t zcwUDkxoPr?s9?NJ zC9li@EgiUB;^OI-a_TvTK8mI|n@|6V--(-cqvbo;Q>>f(A{b9UJsrgiib$ZjBRqKj z6E`(*L-?t-q)ZCC%NlR0abKKg7A94Y=u#(N`H7p>k-*qn1*=9=snPlm!wDigRYMte zoise;caTjYopI>>j-)rK=~MjbZ5*1s2Kq^ic1p{KI=Cra4s<~nlS`=vN2_>}C#%H1 zd;*)#zf0U=$e@!%THSkeG+8|q;*4R2$H%T*H8SMB4pr~z;`VwQ3H~^&{#(aOlMILK7$FRRKpYcJNzQp z=TCHQv6E$*s@y7h&YoE+NMXOaNF}Xt-FVqbCAd-iVQWp62qZ7XSHck6sYL_`Y|^+MFcMB`L&B!EH;B9)EG}jsUKwHEx5HOi6j(+BBT3B z0=$5s+Ouxbw#c6g6n<#9TXVc{)M!TXbO}d0g=1mzq-a+U{u9gspD|Z6pIu&Uq^rUt zPwmT}<>@eykg~p@90C^0^33bX+h^Mjb|11j3d8f+p-#P^l8Zj&Vdg&IC5+?MnnK}- z-~X=MI@#N$F>UiBM2x^J*E13{lVtwVBmH=4=ku7k;;kP+%~vbVj30+DPYgnIWCPGkyt$B3<6V{NoIj6mu@DF?MPP zE0dme3CY_E!Iigr_06j^TZq%jPdq)6T5Ax1F?TcHVzp~4Pm$B({UCEqgAoH1D*3uv zYVZpIxaL_sU5RoZUtmDq;ie$;HF3i0kLv2FwI=!zW#C#Nh&KB1y82a37Dqv$*W;dF zO6Y2KorowuIS5-AV&~H_aLaMtU4=kE3vO5tUg7ZOHM7N>vJdME^g?9o7k#G$WYC`SlLV z62owgJLp0mri1?f3JX$YJ=p>$J$$CLSsw-DiZX=~kuscjo%=r$Eygsdt0Zeqf6=vt zaHwrlF(xg}yb;DkvD!=A*w!L6J5&g{iu~`)x|gX}wW_av(ekwL9lI8n6YB2UL+MJ` z?m)Zu{V*;^lkr33R@FJ8%jiDBTzf#D$R;WX4DyY<6XjK%+9cp54)%}(->}L~|JRF; zg=(tV;0_fMq%iS*M#bR1+V5n)uzW^%3Erz8n0)n%k8xwOX%nKDda^S&cMMm5sEQo~f19E}hxWgp0PP!O#`X z?V082NBc6#{W%ktHiVJKL5P=7?Z{;>wc4n{aLY!%S%mrqIKLbkzoyXeXy!5bi6kam z(VDPklQ`)cjd_{^52F}TZ1t1{S39}v_RfBDSR9&)A+J5YxEZ%6kH5U8l-<0ntYV{G zaa7we-Q^8UB1GwxmuLB_!A$e4*<%+-d+|VQO_No?O+r!L@Ga^Vp(F~z`rWNc%sTL` zHx^b2m6jRol)$cogusnbJL#-<#hqcbfxEu}!Q6wY*uEmPgKy;VdS= z?sI3;-a&wFW$*3@sjfkrSPBs!5<5xBDi}Xe6ifF%6BK(_m;Y(~25BLImIC~_6rSfu z25VkCm~G^v-FX(Gxiqe#%|aPL6qpJRN=f)8vse7t<3ic8FN0d(UFHK;Hkudk)m&mr z6`U&QXYxev$iY*IjZhieSNpCTuSax;xY$L_=u|{FP-0o4K}VlvZ&dzPC*qq5o*^@V zrX;#=-IW+cQ_{nM7$rAnVj_{bc4o^StqG7>5|r3{nn#A%XP41xI#CQ&JNgxRVYmQg zq`T)(`09JNQr~v69?p9K8IBJ=evc7OXI4Ht{QQ_f1JNx-({;3G7vDqC472xx$Gflp zPesJ@%5JBdC*d4u!lEZ8A3nUf2T%JLEJ%62#H*}1ib9_GyP=pcpF-drw?Wt&#;DOV zDxI4GhFuZvx<>4N8aY8miFRu7AdoQa!wt{swRdnDO;WlBJo)EY07)hesybu>JPEQY zKodtwxEkVR%4wSci;=9Mp+OB=xoLwSmX z#o8s{KdJQq@azac`C3~^5pA1R%}|LiHe_@$OWarOJ8pDr#R;ywM)B(lc6UGdGBCtR zckJe#;CYC%&U*zxG&s2PA0NH(X%=G$zhhoy?VpplDljlKU;37%`9Iw0F(yMqab(&3 zFpzF1D!R<)q( z`ZPz1q2%R8Zyuh{b7`6oU!MCxN|isM@e2>e=`u&%em z38YfUVQAe%yal+&s5y$ajK(KpkAaDra@N7c?rB`aY#V=9FwvS#G6eqj7C7C~Yc>OX zqV`G@Y6H(NIR^MdN3Z@*@c2|N@152?X?f43>uE5n2Hz83>9QLyvhddfiIs6siAxT> zG$MS~B&6T1h(C##)W_btx9)*77xy@2T4n?&4kR!LwGq=qH$Z_X8?|syB~?>omqbIn z)vMf=oeG6q?u%we&)gCh^C>KtZLV&;VUnwCqgzEL&@3?QLgeXAxZ7S1$UfPn@%fG; z1cZaI?__e`r7Ki@NB_H#QfIMdvR2zc719t*yIaPkZQAGQMt&h)gTi>lTKZTN&tsBm ze_JVhE=YC!saazZN!B1J@yFXds|`0De7iIQH#sz-;gD+})rv$gHO4XgMcphY3Z8Mi z`Vo{lM>?h*{p)6Cnumt{6ff%VZw0QdDG&bMa{ER1&uO28p)(bR`4&Ahe)zEC;phGS z_R!$qE#w>L%Uj?slf{lwbhPWt`;p?)#loSex#u zm!VT3V4!9HS#zj?=( zy!BS2iAfD6+2)DLG~4uh!qeprJoeByBZu&*)<9_hn?n{!k53k zL`$vx9KENw-&9c=2~l?x9Z~}NCzFE6zk)xQq(M#IOS_b9b8WRR5*4v#+vWx6mLT^5 z57U2ND6*0@WXMKs<@f;1TzsD(H3V{sXJk?5u%Q^X$2sunJcjDAl<1@-r19X5>oro=udJ+pA4j0uL`;_}ee+<=;OtNHsiS6Z|j zIUl&+NN)7?k%B_Xb1)S}x*(pHUO70msXgl6CMrG!(xpKkmm$i{*7(;*BJeNHhc|nk zos4jBHs#2hHgtZ>dnij)`r&bU#=-Thk~OZIOzDDN0a!!Xd54;S8{mq9K@sWvaryCwWMaI2;ohC>pxsCQmL9G~>yNJ+eTmPU{a*C@+I`Xc%Y7+i zZbsx{iXt`3p|>7>Y0Q1St=7g7aF$9ve%K&08o6-y)N|IYyM_ZG%IIF5(>S;b`JNE~ z3>ItoKzB{&ZAKC*7gQE(ybsncISxx-6t@WWH0oNMk=pmcj*z85LK~z2g(YCNK$}B& zpm_N25#!X5OPTUN&D+xdli~F4CpzIlv();&!gug}i=+NmDLnjUH=VA54eG~O`!oS8 ze(pHOXwLrJheQ)d$>RCX{MGGz>9vlqyX}PQru{|xDwl;=(e1hv1yEBdfFyKg@0o44 z_D6`_^hjYWVTuY;p4!|;-kaTXlg?E|v3vR?uN*9IoAjh+-ukbUeT?l7L=iI=2r3X< zyo(KvFUO+QWdTD5z+2Qlb7K)(Zvxn;>0#>wN^Zi`=;c>sdhREAjKyWSJ9U3_GGE%J z#q6wa?jF0=&ge)u=n|%~i!N&m?|o<&T)1t-6rfCQ-(IxSEsym0yG)u)&c0|@DXMBo zvp#!bn{t}AGrlJhK+}cv?)_2tx&SVk9W-;N##-x1GULIFXq%YgHiIXMp@fOS)X_KM zk4wP&DKx<~9v^j7@Kkhlt1#A%;O9aHyfAK-l&Ur92LeSOn6o%RB9>)J76dl`SgG?4 z?7YbPRo0TR)Y7+Vt4f$yr!yOR6jL)EROmvdBe5<4EpkjdniZCYTP?$kWyZB2V}_7X zV$ejz$Mj>T$Ncs^sPcaE#442l6=4Sj<_X7bH)|l6;h`$c7Z=dF1LDjtYZeSFj>kk{ zOHuASIKH)H3A!#425lo73JvTGsf|kBg?Yt#^;b7FeCg_2ECs=)msplf2r;HTeXLoJ zt8Za&Ql`2_4R!X5B+dUajP&`eegCl8Ht)~hFSU!351#kS@1?m{N>(qT@AM=k;h6)D*vr!_XBS{eORXl-j~MH;t{8 zw!{8N4~Lj$Vhx=Gh?!GPkGxcptn?-~pX^c-E{d1V;`N=Pykeg1Sspm2X+@Tbg*5qX z)$cejoo1Hyb)z=iy}wC~NcMR2(&ZokBSGMF<(jO|?c<}bLmoKSp^Fa~bUbbs)s7%o z!GfoQ#+BiXD!VqLr0AYhzaS!vP$JzTh)4SEb|z|5>0y94?*~GlvzSKVHm7o3p&pr` z*-Hy5h=F7pTf_jOoe>aYfZJPo0G{5w(1c$n?eGmVT ziE!pko}T0xK#<%D1dTaUc-56!kK$$LAR|pqQ655sfpM*gLE(T>%`7V8yt4=bbjNhT z6V+=XTZuayfc6MIZt1Cnu=(1qs1oWjLTP4z8welZ+h6)_ZwbYmtf$(lrA4iX74vw9 zU=6ndh^1MeE7taUN#Z{CdgnEm_?k%u(GJIf!bv0H;wuk;os~R#=D0{|B#;)R!o*U> zSi-LZ8_EK;BYLOD?c?1v$O+aCpVn4}g$jGTPX z&(#mLlFp_xbjX<|_9GdpW5v5OMWj!nzMwNs+4~;Wp9fs9tI6q}12g4TYgqy$Fkrb# zzE^rTj=u>xxsp{nOE1%~`7>Kz>NsNC7NV%G&20ved&-b|Pi~d}fwXg)f)=#62m~LS zl7ebHs!w914eiCM;3QrtBYg6#J+9C`xk2d3k%WZsD*~y*;sz$BOjXt6Ykv<67SdV* z91LyFLM_c&96F6G!S_M;%FVMf(O;Q_854m z(T;*6hCp+zOg1@QHKhZ57Q~tc!>SNVsdRXYPJ=i&X%M5s@V)4h)GBoHa8;<04R<9& z;~7NFCWy(y7b@Wel#n5C#EVdGRq_*F)rd1~iR0Ic%lRW}lB+wVgPD))P(3X(d}pPQ z%N?X^*KQA=C>0ve==wiAs=eAbX(e@U!2Hax-JF>~H_0uh704noC*5=1JjpLok>p%n zrZg7#{r1(&l~R$bEj0Qj@sw3r$_zB2m%pMV4aP<{gJ@j~ny;(FzLT`HvQ#be4xwZa zXUcWyIfC(q)6+ZbtGA;QwpsqPwQbtzLm3)fjpK}@O0z3uUIY^AE8zE#Fwp5Q`s{+? zQ25yFdh4sbzq{XSXA%a$;wpOjE9COAd^D-aB^AcQA&5})R&;?y=kl4WYm$}AZmZ4% zJzEpnvz|g7iF@3LR)`SwB2a!VB+3kiH68wf2(|i`YW6Hixql&9XP{5~>-tg0!rSQh z1}`1y`YKP)VQtI_m7rB>bL=E^-WTiq-Y8Eii6VXDb!G#OD}QV92$lto5Ee+mzUVKs zyEs4E4cs(j4=T6#S}CPPH?|>z*6L%6UDEHHZ7&IBF$h64O*Lj|zXE_BAW{f`G)e6@ z$}Z%3T-qK-xTD6SZUf-M4$PBY!F=LxgR}`;yMum^!P!oJYXJe@_{Y4Cw_G>Y z&y837K*m(ox${r$oM@_9_IC5__ zR)z}|DK)L7ri7EIt@B9k;ABBlO5RZQa|hRQr7KLv#3J_Afv|iC9I}{dL(W(?Ainj; zN+u0iXe9k_=-a=0`TqzMjOCCFD*=QpFbEC>N{?fZp3)0Ad}!%)@jBOfeK&2ONfA|e z=-uc)F-1Dm6pftm)4#!nJly5%3SbXc4aOz_TlZjWoQNZ&$=JtWtuzXXQeb@q<~RgI z*z=5YIfU9)bgr{_Oi9KQtMD> z8qtpig=#?1f^cJCijZfY_ggD9<$-Z-bS2iAcpu;fCu~VH=ohj@yLl1@ySjVUfB)*{!Ljzdm1W%Q8K2p=GNAO+ zAB(YzW|TVq*R}6@#OmvaaQ0qTEypDF5*{%Tua~Lt-ZWgv=&(kO)Rkq`)cQ~2u{8A~UNV|VQ-+E}nE7#J z2@z8VP_@4T0&H*u^daKyzg_+IqpPw3WC3RYnA>)m_z3F#XKzIO>K*oDcw?+_J6%^| zmglAxSHtdi28+0bG~@jff=>WX=w~cK%3fsuxYuS?Kl!;Mz{=@=7?S9C)gSS)k~p*I z0UYgsGJoz-d!HuOkR%Qu=k@JdWuBj>PblNmV4M4vBk#?J6<5D%0RG4$0!YJI3_YzACAh21WMte|A5){1dySA` zHtI{?nPQJcKN+~WDHPO3LTH%&)n*V0&Hvq(Rv)d+fK8pN%{=XsWYMMv z4M5t=q;HJ&lY=^+Vm?Kv(;?OQ$YXnJ%V>iGpl770NC+=nV8gnmUph`s6Fng37*^h7 zr%9TTQI*z5_TP-T<+XBrwFhm0G=#|>=KnBjRgyE2&gmxEVSC-S;t_&qCW1!0dSu? zFcAD}ftyW^&xq-uFcxz&`Oe`}h1snTm3I`C1s9o}awvAWo_QQvHaZs(sw2>Hvy?|J9tICu^?zQ~$s(ygEwogGE?J;6X z5Aq?w2Y(0e@k31*Yg|)Tl&!9Dem%H&$@g)GnYeo2WyU7)w!h0_-CvQGsNCxFnmlZ1 z-!=#5$@i^U!St2`4~P9gYXkhbqT%UF(%ES5-O)+#Kj+N!b!$*HN4a&vm@%LtI`H#;sU#> z2SK3fg1Yd#ssnO40s9T_7xY54{m$UzTrM|=3kzhsG|h)NDIvYDFn-}jk(A~HF zpIkG6RRVKbJGkl)it#kQnSjyij>}(oe_yPPujjHo{GGR-}3$^+Y=f zrR@|&uZq-AJg<~S zeG43!|Fzzv34eOO-1mh}&wM*MRih}CAcI}RK&Jc6s#c1k!q&%%14@87&|%-~rI0Y4 z%j?-{gZ6`?ZlJ`H%I$tf<-KPrd@KJSJ4^F44oPyL+N%K~O0=#eEq=|evB`*-N>n?0iAQJE3%_fxqcZm?%eAv57G2(*)$8QZGv8yPbDrRyjL=KlMm zPW_j(RcLIsItAlCmG74f3R49edYOl+AVwOH#qJtHJ5bYG@f6hOiUH+C6T3me=WKo%8ur7_+TIQIK(xMU z2F+YV>+_fM7I-S-1~WbJbfi45C!U<48yR#CbZ1X(ar|b~LN22r^l3r|e zy)*8{rtX#(C%4ioFtnte+cH>{6w|k*tkNeL1EwEn2izF#dAqFQJ0=+7mbHstl6!IZ)u=w?Jvj8w9k?o9LfU}#?$)%Stm?AIBWbJ)=TD}=;mHD z+==dbK3~s{PYiwD+2kC#;!UN@u=Rk9 z|AF2?L;)|3v<|wb0URkqS~Ucw&V{jDZaeJuJ;qRJqG@RTjDt6)$Kh8s@PNAT?I z_GFK}`-Qb>+x@naqCTfuYEWL$blxk{8E2g8KgYD$nm34k5Zr2Tw)c?QgT{EY17w{u^7$ z)#GXi(7~V9P5vA%qGq)Xa|HSVh?{y_E=2u<76B$hOJ2w}>#l3Ytb!}Lr(<+~6Bzu7 zXtn#^1`=VIfeT3efp)XbyaAH;!5=q;h2YVu@>gzc_+YSLPS>l&Wo{JOY#iq4wE)nM z5{=5~*UF>b#f@3>0p#zA*=;Z;X&UPqI+^pM9(kMq=q=q(XZOyUHbg|Q{U)?}-xk!Y zttpbRcVP3=FZl3pQ||aZNrryQCNPOXdgF<<8}Glq0<5bwZPAa9HL~y~@o~#mfB$z{ zXOqu(|F>h!;1%}XNX93gm(912vnOfM3vqR6>)xW>ybtAvCE(v{^9VW#PywdF7$2<4Wr!5>r&KwQ&Jfj4pk`m&C<0%37RbC zY-pw6p>43GO2*cem3uJJGmd2DHe=?Smzv&*}Rp055m4BhEaUu>3Q~Zjh3+Mfo8iK*3_XNX9kHQJ0)j+2pBD3 ztO=>~lKcEixl1h~XV72mu3@H}f#u(`^R^lcpnz&eCOZh50PN{lkg@S&J3n;c7oMTi zGKc^c3O@X!I^W>#WQ zm9>WHc5pVIwe7;@vSS&{#CnuqZ#f4lmTX-y#?cGkjB;Q6mg17=7O#oZ4W7Ne2QK3K z^2n98b-@xxxxD>pjv=|n2AI7i86{Z0Jx1?!*z5i~k;4>CCf4dOUg zX&RShtp09c+Ay@YxxB~v*ldNb_wvG~1oUgZ=#@8M_)_BV&$n1(lO8qe`lT173DiMd z+Gqha`{ERaKwhT!pYsU6)_GRYJ>#YL5DS+{6Egl*8Gzq)UjuM9%RQ@@(fLHv}Z|X`K#g|}a3<)G9yw5xj zA9^Qk;KTp3XBbm#J*SsS?=n||ZJgkSCa>1F-l_bq{7q1yrT8GJF|MmO2@6lHQofXh zmkJ~X)ww4IDvZH2+Qwea{Ru9RR=iW_@^HZRo7MHJ@kLVEp9qO8$~*Q^?+2s7N|qq6 zHz|~ocmJ%N;hjvSjan~^@H{~{O>5DI^Y58oCE+x|=`&o`NB$M_1x|us#`7-!c_rj3 z*MlA1y0IhU(+7n*p`m#{sh}S0E2xc>W!CZ$|q?u5AX;2+;Sw^2vEs`A@yf zx(Sk%?Zh2rWOaQec2^a(_Z#Du(#*B`r1GW9{yaJICCTPC@v2h$XVHcdu)DFV&&rZC z+pKjMvOn1W;u`n9XeqTj&|JeOj?@dkug`n`*pYjh43!4o$b7t-TfVWLDDP4}&n{+% zL9SUVtI(`jx4j8zb*2iMf8;u5a8_P_z?mvy!p`H}pYmh9@X9jL5l=}FBY~b2q`K)d ztl!&yw!M96=X(fz7+3+t@s1AxczXNma4z6d6X?{%ZPdak#~&ilFA)xCO{gQjN@wh@ zuct$tmvsAt+`J2NjUss)mqE?F|ARK09kPxYax+l$U>4t9uQ@!N5@v!2mK4;?$+pYf6H_pyA#%lIbnE>U+;8rQhte z#(psW8$gWGhKcITzc<&uhtl?Zo!A_ue&ag{&`2Lh+KYNL8l`X5sdY|x_mD8iV1Kt@ zrzY`nUKgv1PEyH^FmFe<-hZpk#C|xBDNddD4PnCw0L^(M<3SAxgF3Rh_-B6!wcW%` z^^vhxQ5TG87%3sk>i@N6?Zj#E4c)Br*5gb!Qui+15+C{VM68B|n_jqvwN*B&B!DAa zEK4xpMP*u9fQsH>-z^*8GY(Y!VJhNg?Wf4~#0O!23 z*^_42GIPzYEaPiz-w0(K*<_QgiXQgV(MEjHB!;n#9yQ!M{nF3DMW zCK+GMOeTrOi8f?p%sa|9pQ{&+>mE**RM=qY7AL7S=us>wrJeMlGGMPImGDU-hy3%aHwiFxO=W`2Cv?%vjZWii3|{Axl2u)UHS}Nba;;vdH&&Tz(TT3{}8S*v=|Iz1RUGiJ}@$@=8<6erJmr)hZ%jk|Ci>05eo0QPU?RjUk)})_L zl>!T&e0A0{&L9hdlW3kJ=BT|Jq>ZKdqJg~)rD&gqjQQdn`!~`WgS6 z)5WY!tgXclh6#C|=khq5i9t;mt7yOw4@)=O=+;&|$<3qKGf4Jsg}}2je~AKBe!Q2g z4y!0%i5v0sk}^@NEXX=-G!~O|!AbAnPLBr43WxnJ2$2r>;JLc$OOY?53Ea@!DaCl& z*=uvZNA(*@rxG+l@1Q(7=bfi?y%OGlRhGgyE2~VN{q9Ay#7`E-4^R`x0t&pf8#&0D zxp|S3kVQo@6g%R$R4pv4K|Rgbp``pAfEaBhADJ(8nTD9x%mFKX0{M0tTjEy3y>=F8 zBnzk(;B0Tl2}#GP7z^YMlw|2b?ICPc6ZZ1B>88BZzX}&jdgi-sq12v1M~Ju?ZGs^C z)7-138{tgaGt>)y1GBNj`8%Q~o++z+CzkF8_!1^hH%91+ID-^oUl`2@bM=i5FP{R~ z*kh~@UDd8R;t^L-nJb~71GtXG#EDxGhsQF)z?o_>idi%!Dq=9yCOwJ{zY(nwhYGot zVqH>CC@h;_AXNY(INdjSHi-FrR04kaXj^8=McD`0qU=W1?(&LWhEsgCC~O_dd$PqY6!>Zd=#;&NU^I98j3d0NEB}gV z(=(?4xvNimg=EVM;J>WLSb}Z?a54ZId5?LuDbBTA&EyB8x(2mm<+*oA~csfw!G6;~6q?zAZL;kA^YKWut1W6@YGhN29YmgqKCE z%=`BW1jv;cRXOJxT46U=M@_IkKi7v%$O9t)=nZeT9aL>k7oIz?V#S za7smPK~AloKn#r|YUic9uV1rTy(rgRN_u978>mPW`8|7Ph9X->BJipJ9ZHVFc=_3) zGeoh&(z5RAS{m|$TEtxJ36Dn3`IKiNHqV&9jKI3p*Ig_9UR2e{Wg1Vq`{j{$c<8lIPBIK>4Umux4WCp|54l#QI@G0%^v7kmdcV%eUDr zonoyyf{qolhvOq}kY_8F`;%H%&$Thzw$6}-i*#I!H-(W;sd`JxlEia0Isc{?kiJS_CmQ`S1AKv4<2 z0aDQXomgF`#cghH>Xb8cnj=2D6Vjd&UuWVL1EW@GJQ2hA@=xwm0N;bgn|)WU*<)7B z=1v!;$jeNABt#BuZX|2gT`0HNxjz(w0H$37f-1B`GlJ1$QQ9^B;CeHdlS7zF?sE|h zQ90`iKkbMxffiFSAQz^!pob}~x#g8)fMVOMmi^cao+USABd z=iX1nb46o2bWwWje+NZ9<>)lN^Ut29@SYjnr`HQD#up<3t z!}KHu6qG1!z-nm7E@V-|npDBeQG;=B6<-qXpRk}R2PS>WZzp`}qjtNTAqW=mpCze@ zjI!;2CfuW4m(C%|Pjee`!xM}sp(qT|lwQMbVVxAWW*W`c2<3(Hs(Z=SW(}yoJ<7iP zD*HP)rOoe@tctjyQ*tQ56sx_kG=P znGe$PGSP%#f=g**P#Z%(Nt5~%fieO(dI#AbufRFC_3{C^}eB2Q%jcn5rQCSJCsE_IU@cw9=~rj-(wxj&cbUaIK5Y(Sg8 z5unJuNE@+H(3X4lEjn(SYgZ{^|J^`Y{F?Z2%zQr)26$5l;Oph|43pTT{Q8k26-|y` z>xT6CYOB(z8NQ{db%u~t4lQ8rD9%j`F}GD}P2_IXs?Bn_`*>aD96KbQOEe%jjoa{U zk%#g1?^_b7ma&u_e7gFtm}$^}1r&p?&2O{*<@qDg<$3Cqq4QM?^P%TwOLzYw9lg&2 z6zFA;Qt_OH^KTdBc))-m1KDNYvs`@KcIcPh;w1Fc|JvoUZu&mXlS3Y}B2)PY~GzQu>1C zF%}$~pM{@lkau16?6WVX6wIoDkdiY@7nu&x7PGYEP%520?LY%jfPQhiy!OiTp%h6W=c@jim z(e;nIGQaZ_))94UnxqDvtH`(cun6-~`#V4*PmOuS`}PgFz=7|&_BVf3fg3LWVHhr<3scZJkLf4O3A8g z-m-#1NqUi{(C%&QO?)&l_zr!WFagG9Jh;fHl(ZseWFBYGS0b&_5& zf{jRCfAj7DEZo>~OkFYDx4c_zRJ=mqC872dQ1$0oH(a33_Kc1^)4H+Z@{Dp1Ts2Dbs4_7m#5)4e41emFjzpH#?n}P#O7>i>gyew z#IoLa_n~#S>X-TWw9)G5>GV4-SiVAM>tIgBZ2Q|xNxkrxg}09Y2{$#J=jy>AJ5Yu7 z7AZ9Ij3vze<@zOB_4*a_y`N*fho589*3{2!KCh$?*f7Y%|4aj4US6m)95>Rs?qHrL zmu@55V0Zn@A7M2S28nO?W#HAQyY1y?NzhuwqYdt?*a)s|t2X9sec--8p@SA-74vc>4&4HcOXAYT$ z=RHKFW6wgg2_DMy&%91rbap;G+m5}|kPdk1A*~cklPz?A2zBLT8_RQxcg!yg5OEX# zM2k3Jm|xPP2>xQfSBY%0lj>>X3liUYjW?@RuOSqDMiZ6`Cr#^EwV?b~8J1is-f4*{ zKf0s<_r`9&|IqJ{pbZ(w(CvGxo6%XM{~(g>T*{X%;(fP^Y0fbw9ux$*-CCpTeQ7Yv z7b#vqLobmeq7oUKdR*sr*TlDk;fegBgZVJ8|1#Uy$q@;!t>FFS1$HUB7_E}m@`M`i z2i0Gn;ogOMcyBQ+06hS9pQUD`$vY+P-P0Y^jlH@k&LbM5GxNbh-;Zv6J*69yh4F#i z{2Z-vBr>}bMppq-$ntdkGFqZ>T5=bZkUfmao>rAo&!XJcVe0?xUsNs!?QeFTn8Lt+ z;13)tjhH`ajzvP14GC7K>^+nF0fWTPCqAIH5Ahs2S8g78^LBz~;VrIgNdKwV5EGGVI&G`MN_pNu_YZak_dsFR0paPICs>7!!ED&H1D&L#0hen?|I(HMse%RUK!? ziQbBuxpT)@S$AZ+J&PjUZjM@dZ1ztu3z+3ylQN@cN32drv>C3Zx~)?T2)H?|Q^6kz z*W20o)T65GvxYZ_ws~?X^6yyz*!x_=XR50xH_&-S?IL^Uta`!et+=n801dq?7bHC| z)zYAUX)~|ZBB@vG^HTnx+w4zY%TLslfk&q?6QZ{KkLsNC<|c+LRGDntD3QQ+g>}|GG+^U51O@`Mh5zD9&^K6UG#*v93_tLrojUMpra*x^+KLxg% zTw@&88?DX47(bMDf%1Qldz0(&9sG`9dqkuT!Kw%}F<2|ROc52o>yYgjN|7)Zm*EUI zCpORR>6UQ*s6A<+?JymlC)--*!13;++kYm_=kw0u;~u}gK59OTRP#Ujt=TSEe9Z^7 zt@nmZK4UV={ljp+Jnjv^_M-$fme6q*%08pRK20V4!tl7E%D&q#@;H{K`9ZmH{O4?y z!L>EbVMa=c-HoqowvYo&BMBw$wdQP$Erdu$n`=L(8qnm*u8jxyZl?<|sf{(53O&l5 z0uX9D`oQEjmlMn z?_#cBw^k17w)tR?_;r#VF&4n{(|-bv?0+oj5yL7ENCpNi=+9+XD-|46?z5UZ@Qz>Q zNjMFhVBLa9{}iv_QcDNIelD2>t?`k~b8R!wy|vEwkm8g8sUyl*kI#2#MECK|RO6_Rd;NB(_3C8@2x@&b-q;#j-D?S49;uA%V9#*XhS zd0VbdRTK(_$AD{*w2Wy#HynGpdY6u!WA?PD#4eifMbZ^(%@}+9j6FZ4;A6 zkC*-EajU(d|59qB>;7rxcpka#_#sHDDzeSibW%>l+3B3{uOL1mI-oFmmN{Dn#y((R z1*8d0y%miAJPZ0irrrXq$@YIAejX7)X(?$1kp>Z@OB9d>=?3ZUt}o>X0qF*b5z;Wa z1f)xujP9ItNXP#gJiqsNk3;7+Hrej`zT$I!&WI>+PFr$$2eb&wx+;#o=_$pb9yO!+ zNr;n=c;4)H;j&Hsy!NI+2+Kuk9q!5qNs~F+8gu8t3 z%(jRVcfRFdJt|yTS@R5yiprcNhaYF%;roI6>R&0Y%^3#L8ByZV1Rj_@kFfciGZ`Cj zhJ&ks=?v@7)X`BfP8~)xm`Wci$m*z%IJp>=8;AG)3cL3*X?ed%YfjM9GI+HmA)k(f znr~?@mU%4{?k}k`r(+3B(gI>5X2}x^?UQ2E4B{w|E*iWz3;)aU+d(|0bc9*rrBTo2 z?rJ}iuk7+^?-(B{xIgbxg;!k(=)#z|DdB8uvePDAKKo<#I%^$GA{v9^^ek%;coc>N zMk|p_(?_8OXY49djrJvwHsJZzS39qbcXJve%|%wQ!TtU5H;(}1qJeu-3*)k{qXjy! zn+FR7?n>E}jhDyn8D{~6fsYDB=n(*@VdVVy}tC=c0~Pm*?%)u z=?%7Rgjr(88&d#_NcP$X(mB&&jaQ4w)iwq!xCXN7q_Ub#Tpim}3rg4(Zq@QkS6BLi z_9qwV?zliV>l?lRDESAhg7%6{yIe7-QwrMao4%`Iaz+fDjai!clv=N#k+zXn)GXV< zQkJJgs{xAAoiA!%A7{E02xBfM-0=fowyCGNwKfqZBJ}H+L6GR)V`|&kQgdqAheIup zJu!=$iL27MfHo7nO>&U?p$_IVy|#qw1u2ppJZfFeSzN-LnCbKkiM)y0{^&ioiTPvd z#oDN~v3u>7z&0n;P(7wDB~#OAT;PgOw8}c82<1ItnW-l=Vf(^=>}Ass(as^%HZo3F zTZb#to}7sKTFqcrMX&Q>M>~BDxlhmPxwLa1n9_LTmnBC;J@x`+09t`R4EAR!ZhGR5UdHX5P60kIXq)#g2)D_|ujKd= zH+W9;99a9K^FFl=?^lyW^@{a4L6&4t9B!s!?cCKIYfv2vkca0EqkcBTW2R&*LF#BlNmO!34Im zvfHCv$~~y!X?W{53rs(#owteCFO{o7gV7OFkJeP@y+!%#YebYt%jP4&wOcE@VW!*7 zDKM)CJ*m`IN454(nw6mS=s!p>l4cy?dAYG1a!~}GGXNTy^vk?bK@=X4{S(q)A{>mn zZST(6V63K#>;O&a!=8bcyC;uf*ZE?*1y2^1JjxNBStjK(?ok(qEBJj%gCl z1J~&uEBtQcntF9F)GzrbkB<8aTBY@SyH;i$R(oxGiIvP-eh_T*u3>g7>^GX9*HW@* z$HJr<^X$F1k~cEZfw$xpL{rhj-E7=iZAS`bO5@7UI)dq)zUGR>T>aMUBtjhbNmf>d zEsv8WJ+3Id<=#5h>vkss+nhHeZ|Z zq^NaD;h|M&oeH0#1A7@*4zAvWWjp~bNJKSV>z zf;-Sh3dMkAZK_xzi`sOGDo{Kl%HAj~Wv_z)2?RqIArLrvye4x>LQ8W@w~bx?ww!6I z324J8=J4b)WdZeU(b0vl0{YpgG&}l$&mNytC+PEy3bhx(21fh>;B5TH=Z{cVBac^Yien>-MNGE1J74|Cb8qW&b7 zL+b-&Vq&?Myxj%o;j?HB=4LF%6w^b3zG|B3Use;}QqDp>rjkhhC7uo!^!0CZb&22q z%vF`E*3Rz~=)B^z`l$VT$Lb?wTgU+MDDwp|tHvJQKtHj}Z!f348NS5xcXWE{@EsY+ z$Z;(|eoSs!;y(FEv#Oo?S1Q8Z5q;^UyCO;)&BzwUprz2ua+5LJu9WT=ys$^m*E5-` z`zzSqE-xBz)YKeKF_Y$bddO#e949G@Z{8qy_%iwDfX6CWLKxXJIkO$kf)+w3H@~-( zBAZ0doi-~70f!d!s3ZzzjV60m{+FFg=EJ1W14?+3%$vPh_3ZK0jHE&oEG-5$6+fK+ zF$JBL(l>}OjudYPL;+MGsDyBSyw1c|8)3>3x2h|I9<=wZ$C{NRs6#M zvq|1Su=6ps&vq-8qug(JOAK0Ic&O0WJv`jeQeDk;6PE4fMv}i`jTSL&6#1kJ|H+ygv{VxWOjCBP3;{gW|W*$F)8+6O=9r8%NeB9*^eP zw7921CidFgJJ8DaK#&h`6~xWI`mdPpjO(A2zc=D<&laK%iW1yx+0XBuW#<|nSFF^J z_Nt?bA&_-Nr=Udc7tVgI;|~c%@w@{ES36P zoRHi0v29}uh$E3kL$!hPL5OLHh*7g}B>|qB8hQm%6{Q0i%3T$nP1brS(pagJsMY6L zzR=je*3w}vI90}0sPn5?16JW&Yt3TIK~sOZaJ`nW(Q@fOU$G$WSQZB);bdi^__}`F zy?=3*`uwjXkNIR=K5tT}%5QZaUg}VZ>iT(o#}}MBI&r(iW)}cwxodt~8H@c=afd_4 zfndI(dNyS*EyGk%jeeXzo#M+H?m8uZw!`r+LLqxQCGEyNM%jD}_mYVqz*xDxk_G6S zxOX@T5un2bFe|{mlQ3?rebm13Kk8$-ZzyK6l6IJlg;rq1QPgfpf%r?e8fYh&K}NkP z6`ZrqhtNWtoQf8>Pjqv8JwSDAVTojl!@YAm0Wo%h-C9p53_YhU5z(=^SFIvXaPM^I;k-cHzLZ$z9l;T z))#_*61sPxkLvQDw2Y>-l+YL@qc4NN?D?z((M zplFm2$)^L+SlA6Fad) ztgrFn9syAh7H}jx(Ke*`baXl$l&<=4uz7z2@3RPWsd99t8 z4xB;t1k8$i%96CV06&}rbxfT#BG=Q08Hj;37GS)3ta@1EeM$EYufceKo1r)w8=X}l zC+CH*}1@H@?$bqXIa*Pc>Z^FQ7hopAC)wO;_^K4r%Auk-akvSmPja+~p# zsGES(#CiuG!unVb$=bIl1d>G7lMb2spmkbXrQ98wD9;B-l#;~*4RNme$Kaq^Xy)@a z`?ZI6FC+|<ClJ28Ck+z`$-1SRe{XgKOzP(V&XtQ9|B-n(F3G>DcPHI;VecSTT5M6 zM>p(a7hbHyW<5$=dJh0AN|(Er^Lb5{c7#tZaj*^clPwYW%3JkxG zX(-FNE(0sC$ED8sU;D9Sav02GN+NMdfk%$%bjtJtbk90zZhc<6-1KHdK%Ju;wWOQ& zx4E5V0!KSG-B|B!CyEn2rPR9LEm3_(Y78d=FXBmtoi1HU8pb(mBwIt069frUYUC#~ zNn{J$s~(J92mW#E6LVx=9H5FqlG#tmL_cWf7lu~ePueqe-~CY`By!%K@g%X}4&ibv zsAHbg>ALva6en8`f^xvJ!2$kO-f&qAl_Hy29eIIbY+;qr>qn9Z&W#dC zu4mc`Q^mH8)Qr6a_JYlGpcTDW=bbFR62V9W!A~Rpp?`(Q<{mkM>TUiKqPuf-fg`jC zB=&ZP<$;+Z=$k-1yQ$7~2qcJR+|Ym+2h==9naIoH>bV|L9DxS8_74*_g2nmaaoc#y z?^#ez{hwWCCVl}6;j;g8jK_5jkCnzu< zGO#xX6iDch4>B$ztgasA4TH4EcEC>xKT)7#E_C(+9wDuz0uqnvsO-9Ke-OcGUxBn>KW&G z#*Q@X^C?9$edXV?iG|4V8WY?a;-?aJOPK|FVwCB+fI$R#ue%Wtvy-R+8Ta;U9V7mp zjmqf~lCh>e?qZ3^a`4b{-Z0}ajF zfxk_48qdb>xmyYd0#i?&^6HvPG%WI#&kM912w>g<5xtF#IcQ$Hj*C@Cm&Z1a8+b&+_&~lIO+xthk93f)fe}8} z0L&(Q$*a=a z!)cCiTa7J14LlQ>k^aQy`gTd#cxGH+c9$>JIH06+(9Db5oDikt_^A@ug!TK8aqtQU z)^e4e*&MWo{H&@(wsb#q838)zxep9dlYi){Dad@Y_cUz0m zm#FzOCFfvpArM3Gkt2dctoo`#Z95;m-i;&{%Sa{TT3X#%z6*57?o`El@SSFSX_tR` z_q9hiWhjsv@V;?}JmU3O;PAOLe;7tA;wj~?RohW;8WU9dXUf{CS#K5aN=m`!38sls z0_wKgkD^c@>+Tm!U?L(#hSFiFpTbr%9#bIGJ@XUrYE(3DnGGlx^&8QtRw27$r1!6b*|}=adOQ-`au(dh z9bqoB4yN*&&WOQD3il3RoVFuOD}XcPPfr?Z4lFv1Y*>QEkCE zD3SRQXmmpr6>GQbo{Wp6?iBIOs5sV}pU#XO-;;m26$M8E16BNoxF@>hkq#2-CX-*s zj!W#goiGG1l~NC3d*4SF$)fV1<*t`7*v|@JQQhZw;YVNmgZRYwA3s&U9$|#;iyOCZ z{DjJ(jY#?Jomr5X7EB)0K$14WM zO2M|c zgi*p;Ev*V=fRZDo@*IOmhh>e1BN@f)_!bQ=9?%hXJyQ{Akf?lJ>b(3ED>$%ov|*vb zg?m>()`;QkSJF#-=3!5u=Z4PqxLp)=aQrs=OUk>7;6Ag?EbRqJPedRw_RI+B5V;J2 zCV;dk3>jRVS&PJDXA@L3`La|~YwEJI??FR|Z=gQTvvvb-f9 z4)P^|6ne}2?J~i-rpu7%V9SHSOlX`wi;Z>i+^^wt;1w#X=*AcPUL%C7KJhQ_-|!3Z zmr@ABbS;6h);Qvgd~k3C<)#E$bK zwAp{m+tNlkF7fVP&DM}68xzuxL6H#!RS9eHbVA^w?;J(G=u(-y{iO65bnMJ2C+agA zul!W69cZdy)Qc0J0<>MleD(~REVGVMtXN*5PBqgHw5(~Y%Ih+E{N^9YQRA2U36 zkS$&X{%~i_4a@geJT0OexAX+r4inSAcq=b1@#~_ocH>R2OWZV?ki}qs-~a_~;iEg7G@dYOQWKng#Y<;bW?vZvS=l4vvlu8>uMvd*P|#-DBqCYaGl>$C+{OZ#r*M0{E0w4 zhtEu4TwIRe)_#uQk;Yv}{*Zo{x9~)zPxR21EJ>8`WKF1uuR9*F^e9Da2kJede-RHz zzTgnD(x_cRcP;tBB5WJ3H~A>)&xiWyXxFVDPv_cQgG>_+$ab`eSV?_%8k=5)T+BMK z?8~?d%$B)!-`W2iq)e>dKhT?4-2idmeeTMZ<8=URIA14o6QP_T&8y#ZcxwMFC}LtmBIoc8TObRc=K|rg17|i0730LBoL=j{VzWTxI;y)WXP|bLCL_x$ zd?n&_S69T7=uHh+>BUK0kd6zWLkgI16(bUT&DF+~casJjJKp@OXAySZY$F|NQ>UyR zP;@(msqbK=M zVeo^U8|s-+cMi6D+oOsD%3)&v&f-1Pz?n*t4&95|KUR8o2?64Z1qBFjj`g;WUMI?D z!vtB@>o!l>usDS}mnB~t%MEC&R%+zj{#SB+ClXDau>B@iKz2u>$ZSY+W52Z`%i~_@ zt2_QX($e}|=PRE7Y&B(wc+NjjtJTvwkND>+!!n>uk&`U=)PwqyeNo-NRPM%@5*(V?#B(giTQfhSU#FB_owPud z(_Ai-;jL;~eH1fLnx#$4$HvCjQhvOWQ)xQ=&5e}^3~Z1qIjXf;JUIF*9j!DYc!?4? zP%#;;^3)nA>id^ac0n?s%RAO3L5f0t*)5F4+^nTSup^_WtcYSJaKmz&wigTc-kgx2 z_2*;S!DF}tIQR09ZyW{Q;oS41;HcUSbCwRDoo%-fIm`bX}VzUW)hr&J;uARSmt2}?R@b!c? z7Y&IW^(iChI4_!_UMD|7F93GmDLLvp_LT~uG^H~kAe_7&Or9ei`i`>vzV$nNsLXaS zzs9!r9(Tp_mYDMA3yq8-r$r~>i1rGpEicF@n5@2!?vL+k`yX9y43@bw;>fb##ixI6 zfSLHabQPH()1qh+6i&aZfBuDg;%yr(1X2;LrhJd~er!i%5~JqKx1k#iqZ}}1pyIC! z%UNHaK69Bnw-KySO+SP!#23wDSGzcUY>Bf3g7RTFc*Ep`6j(;>IU7ClfJ&4qbXk;sW9xl^fZBH@DC5Sw(ObCRSlRy{3xhgQD7Gvyx z4>sn$o8Gm1&2d{ozPOUZ#=DYZ%_UwJVtb`z+0?kZWYivnX0Wo?3od*>afMw*{JFW< z$iN}f{ar~_AEU+#6*u-^#LGRox+;xgn}A9x&pzkQt=s#~wJe!SdidL=R4*UJCb(1{ znX!;xg}31yxTVY`N3~tR3g1~QxFt3hJ>@Q-T+IGyAV997Ff*}l*nbx%hg&d|+S3&> z&j83MrF_9rxQuiE;*e*lH5U0y*=hIfx%~VhNhsbn;WBHbtYikL8?IED*}z8v&qd6g_Qxk)I!Bihg4qT92^6GZGm8#m zP_sGi<@N1504{u2+yA`UxyXCH7HWsIqBlDGkwf{em?A}lLN`9>bXKr4DRFX(fS3PQ z>`N4ygF;`PWS)6Ko7~N=WZZWLCF6JI$go)(-PfpiSiXJr{`^Nhn=o^s(#SOh2X|B0 zDohPZ5SoAyplNykf`~y**e8@d=$bG?i@ZR)@5?KFR1^KSUbT~{AWEx@2z~a zmW4Ea7pD->y3O9w`pK*OQwE{zg;spbAAP-DZaA+m46OyN)YCa79D3u2yjtG}vf2oy zb-s0EFT3Bf_a3jrPWp?(7C)JB_|g*)YUU9ffuZ^Mx!`KhqZegxzT&lT=za+X{0J4Ak%Egsj4T0<#dc3QiQCXm*o^H1Fj#je3 z2F7^iiPh+`jTfenR}UW#h2ycrJ_S*PBEnls@`%gT#99DLu>fM`&ogxIy8NF=)2!N+ zC7{|W6*~ItB*I91^Xj*PM=Zq4>twNoGsD)&%TYx1xPQsVFK!A4ndw=ljQA}qaIp~! zp$T`+cnYDRgln+cy+6Sop7Bvs@C-v;#?Ru|EeTrwa#bE{P=b15_HZ+f)tS&v*O;@% zg%))QI*b56snCk4AOt&Y@=?<4|2~suAmU|YKe=;56V85M%3$5Jj8iKsCi>Xafg&xL zB;D96Mg@qggtrt5>Wv7<-@p9#%)W1?EWh8`wk-dg`wMLc&KQg!sm2OOeByLl~< zXASEL99avI$kS?cL=0b}vF!9qrvFGGsQ&POyPBc;OG$;8)}P@?_ySgHm>DgV|7Hi# zv~g$0N$IkfY#qd#9Rc_>L;olh)9x$_{{v#@6AJBZjNGG90d->u>;)`~UU zo)*vaekYh^c&IvM`-}QFO04Nwh_r%C2odidrwGS?y-lZV91K89jgo4$5y{v&PB_`9 zCp|!G$O=f|OW|tk{iI%I@=Wy9Dj%oh;M1ke;10<_wS<&*uSWU+Upvc_gXKD7tTUur z4eJU^)CQCKpjZ&4G|Gxx;1jpt9-JpPOzmd>&0RtUu3fkbjN-cB^`5nR?@LG~~p(mT9C0h=FcM z>q%CZY1M9R)$re2oB5|MZXF?rKK7ff!q-Fs`r7CFF*u@-^@mih+h%b(Jl7t@{K}|z zKEV_aBu|Yh;6o*tKez|RM!T6mNysbX9(xNN)ZnDT?O z;opy*Hx)eDIFcs8?2xCavLH%y9UJ^HWvsVtT4R{hFX*sMiZxu5wSakl3Oi;XnL;=2 z9jh^nj5d+;th!8kN#T{#Y~^rRnJ4XGE1sYqt8qL{z*FsoQ!-4Ew2#H7TV;!o1Yfdc zi4l{-m_*G8f0nA4aAVN|J~oYEjW1PEvZbeNK41ULvt}vXQ6u&vRzsKIS!C8}hIe9) zy=&7%y6`kTlk%r`O0X6u=xS((6+;r8)Cx}YR}DSE*VqhmMg^a46M@lUex}caWW_c8 z#D3TDmcEU@of-RT%k(K9gZr-9@~hWg*!Ip6FD;5v*c}rkk5p3w-h=YsfXmAdd}QG} z=GUP&hVVd*fuAjBJ^&rVJ0EZAVaIS*>?oW2S#ajZN$phgH1z!;;K|S35JkMG8!T{m z0zY#nWD7|dxoWjYd4{SK8$k(VB)91f{tooqE{T%{?$;fh87;yq#8JR`=QSX;J{(~y zLo*pNPs)uNbRM~ECUbk7mD%V8N-Y!u@pCkYN|enve>oc(k`E2RNF2Vl5eZ8?E=Oa; zmAx4MHxMdH{7dkBG-9sxX9115#L}C?>eBbRD2r@-0n*#O+MVuEVZ`s7$+3c?0>Azv z&J>>@`5g-O$Nt#`Elgi_JsV9Y2-{PPNGZjG4TjjY+Dhs|{8b*Vu;4UWp)SzY*+#A6oqVtVtX>@#N65|WbhfOk4RPJL<>^l9%} zRP|QzdR;g)Q%DbRzyNQVKmUYPUu=xjhPld6Q9#&6MS&7gXYLu_y{80V3k!rV&+79Y z9$3DO3~GNQeAuWFu08rDs5Ft=W33FY{y0+7&Ztg1Xdv9&bIFP{!7q_P+aZEJB90?G zP`wMl4Go>o-uaA%Up&$Dk!8{E7+tLDH$!f0vM1C7qyv?e9!100PnttG(iRG^ozAxo zxY2Q5snEC~xV0rx7qutU^lB+?HLZp53I(d{R%;|I`zL9o7Js2uWm3HQNr| z#V?PyRQ7Sy6o6%qDY|WBKg&FvOv`7|4{2B-Osed>QOQDWM+U(5uftDN6X|jU|6lu^ z{DzYHulm&17rVczlDcvXNDn)Ej zx?_=0BpT|tV_%lJifnnNeW3HK%Ny+Mtahp0csuamfm(T`&DXo_`yvZ?4T+z& zwgtOgFu1%MeN2QO2JRvzf|T!Y9&|xcSKW4rI1sQtvEIA%{5p9_kD!Zx^&#%FBdS-Q zEk*I|Hhim&zMhd_2GQNa3P!yPejzY#tfJpKhBz*J|CFyAXa?bv*=TI|55`4xnptT1 zSWEd5s&=-N2xKvYACO9iI;Zk1zd|%);=A{LhvuJcNUx85HvXg|z zK#6}z)%DEvu??W~vu0ooZ}=)G3s8!RltxR~w*&0N2)T#cWTPPaG>x;A46ZPBN}2s8 zC3LJHG#2jsAiAv{gK5)dGR{<|x`~W)KLOx_`Bpb-#@Np0X~sOf6{RHAxp;Kq(L3pF zg~8US9&@G1Lxef2k2uALbf$DElHkc%`s3K>9a>-8^b4QDwGz|wG_x)C5!r|gYUBm-A~l0KPy8QcYyT-15l`|Zb^TC zOO>$X_tazo*;bzM#AZ*7Wm{*g+d{y>+51fA)~QM$?Qdk{FB|Q;S^kjQNYKvQ65c(F zFjxC#{;O-n;Q_b{qQsr+#h#4q~+pUaCeZev$A1+LV3d{!kD68|m(Q@JEfhur6 zH^AKfzIB&TOZ{^(^5RG(eJviKeHjobrYIBA<#z}6WG_Jyp#%A+h z+(NeJ`L6s?b*&5aw87p1Pj$xXct{r}O~=RA?&&(-zXwzld6nvO;mPt)nfEMVUc?7X_Ac~q%Seb$rV28AxWw^j;XH!SETt^zAB-*rkW@TbX0J@@a`;-l5azSeg&>pQJ=aKp(OmT&p7c@MpKv(Ww2ARsSLZ zOt@296cXqRTvA)gm1CSAUGVDu#b%`fm_RlkD^>Gh!D@O(8vE9J6m|=0svow|)jblU z7bj&C%d|#ubLu>#e}(Icm~4^l;4T$UKW+u(&wssqL>%}L&vdiMIB?zd z0IZ}C{Yl-u&6)^)p}T_isL5mQGJ2UqnTHez;I4FQNYQL(7B9jRhMOF%U4q8>U|D^VSTDxjh`;_0;JPr99~+`$(#r3 z`eWi-nY_ARnQsWh+TgBxYw~`Gf^Yh?Q`*s=@6EA#(4+|Ivxi z))(QSQ52GlMqlt&x98o9&7?+N#wTdUTI*EUmxU_1gN;m1V|E7BnC+GCf6jm*J1;)F7+ zc^iq=hUYzn>-0KpE%lR)&(b?e`kpnISj^HAaB z(z}c}a|L7GJ*c5z!9}s%sPFXmWY?>j91Wdik{<2lYYS5qK~AM~V{L$&*zvCTMOohT zgX$d~ZrmSsOwmNPjw~_OD@FhTIba$KR$9m;4qX{1G69$cMPR$mu46OqT=W-`0#Y1Q z55{)(fwbu`op&Zl3r{njnPp1`6Y6yU5@FdLuymZ+$JjaOxR@?mU{`eX0^@6{LzsDg zGNEEuN%mv~MH+y^zxh~m6i7(Dt~@CsyxZMf*2`RQncY{U){ZhJQMBv}iHsnOXtADn z*0OZO4LFGKIu7RZyw8D1igsBK?N`HHOfI3)ew!ubC*{ zlQ-HSY-Pzn4#jB!f7*-3*jCdN!vvCiw|AM?c4r2Lo8ZJlr2#4xir!6>#8jJe`$3~Q zT59uaHuzKqW5cPaA<(los7_n=mfVrN z#*qkq&t;2LZRa!j4ND_6_t*b00STlKIz+CIi(=Z-eeY^B1Nq;VaJ=BJJqc~i6qx!5 zykk)TroU!X6jt`I>qJ(5RC%W|{`2okeJ?0)=tcGwm8wHILGCH?Y5ldUt&9kv{1 zGO%RN1=%bLQb0vI^lQ*4F;Xo(lZnqyRp_wfW765?3;j)NgN>pA+wH6^w=bnvccP(c z8YdlH=xo!(l)>FXD7xe{K+tW!y@y4r5e~AipSg@)JL^amP}!d#t~0&OutY0eWsdvF zbpUC()tq+(9y4YmXWrR8b?sBS{&QNi@z{A|W)f6%0RxHqGJ4jR`HfJ+n`xb5;U72sww=<%p=6Kpg&yycS`XC`!456Z}egadhzs`-7h3+Mm;#@4^_YMgkbCfUJvm$shqp=I}qDGIJz6}!swh$7}NqVKQA1M}&` zOJ4S>U=`6y!DnaiooykTp@g+LDUW(1LUJAF)pmrgB?vTvaME+kZoMW==UheXcgcsy z&`i!TOu7_|dS{i`>Ulf8DpgYzV@53i!Hm1I*82hQS|}7wZ(I6J%Mll^onJ^{KQm*} zSPVnKGX^Y|%zTg@77cCw_aAJVTi|4v3bI(ZvQmc_I&#v16#WD$@BV!v+b1P&V@Hd-&2E()P0Vt#Sy9fi{fL=9=@%Hi*RMc> zN;yW;_PTldE;WG+^UCz-{)rxT@bxP&E6FDgXi65uQAPdu)-MLsCyG|EttVxeLDR*Q z2HC_$r>nzMDVjJ8H!xAO>{0CCj!rQ~Zs+)p5lZM!@Ca>WkUGt7z)n;cI7pO$;c&Ve zg!s;cbWU*pXEPF6xH=BLbJ|In@XNgaX#D>lB!*jnx28EF*0#q$+WhY5m`mfVuu=c$ zICywX$PQV1x=dO+8TKEjg;JQ^0##34W*B_aDbj0Vc>oi#i+}ALr;!-}4LxMB(2?6Q`hnV|gn%^Fdi zV|p^JPU%rh`fU2U1bgB46OWRP5eWjNUU{w%rS!wH1=Wsc+uLgaZDWJ?A@rA}W)4=o z(^(9~)K#7uxAeGYSH@To-EYd3ulRSFhlvvxFj@CkqqPjfv}>CJ10)>plXNYTUGoP} z+r?{HW;Q`G3@T4xfmbi4S~#I(n!y-5)Y#s&B51NlGqYVSoY}WK>w@`hQ&P=k9nsT- zCY~&+A0b|!v<-L!;>A(+0 zg=hDBzV!PGd8w3DR1HyAys!>;o+GAw)s=FgvorOlakqmg2h>OpL6Zw0SaQ#R5Y61O z2E@)SDD``F`4d;VTqk>D2JqfD7f9v3AA+I<0GKX-jhOmA4+^IXfaW$RwzRuwwEp>) zle|}F>~Cl)rN8Q1yw%%(FrzcI0?YX!3q0KaJL4fF)OYtEZMTG`dXKbpr4e!DY)4ycF4*r>Uq_rxz@>jrlE zR=F?;3J#pNemFn(edSvPwLf^+qjN5aLS%j)yL`Q;amDp$YZ66g7d5cST-52M8J zF^9!o_P0C<6KGAw3A0$wzXVX-b!5Oo!8gP(TkD_X@ET{?>n)|D zvF4@ToCAFeZEdg}+VGZg4sniLUVJ14Y>7P$kqe0Gtc6ECV{|50KcAGxYdzNIrS-6RBgbU9OAT=RQ-ZcTmwGfa;8ihO^M# z?R*Q@hIkasPIis^+`&={aFZO~md1M9xx$Gs37*|7lFv+Mee18yO@V2SDHb!^mRk)y z{BO2(3G^yC)+5^Lf|I_rB-1thVcNB2G_dFZc2kORmJd0*{8gu;nSksrwg3AS(sPHv zj+Ol~Ip$XBK zkR@S~RaZ>A6gMkn5*KA%=fpqx5 zt5bvX@=qPF5H$aO|FEw7*8oQ{)#k0)xb%|0X&{^(^znywfrY?mmFo2OXJ>dOb zh6%gVjeHBJ5W=bLy*a=b(5=A2gBl2{T>34ayPd2BG(U236~{})8)Tu&StT^$8Xlvvm8ntN zSEhbc&i7HKqNbDS9eD7+FYY^i02g^}keaMSps|Mu7&ORH z;gV1EI?9Jy+S-oncK0D4MkjeEx4!B{vv3PZsv*BG672k4nj=vubK*-tAV5#E{$rkS z?6&AY4xe%ONxyRQNgQJIxwl<*LL~F>;xiO4EG+pkOrRbet@6&FxOv&3={x!0mEAu; zl89oCkqik9*0!#)Q2hNULoK;o2s=&bR=1|uSpqdh`YyPcKjLCJ{Tqi2htIZXPv;qI zo+sK(*%u0vd_&m;m|A%hj7@!N`84V54g#uBnZSOkA7!u%CbsVVA9&m9^`$F*ua$%t z5U+eK6m@i01=(R;38SGPXq|X5?}3|3+Mup3YgzDGxDxPu-8yhWOo{C38_t~gn;mXU z16cK@y<(a5jHcADJ@y7QJ{H3rW@02tw-?JN31ee^>Y~yg71)L6tFrwB+nV)|Zhrf@ z2B|;Wnf}URQMI*YKpmVgl8 zHfJ7xy11{0S6AaMkmoj@^DX6ivjqQ|r-ZSY-=!^QPtxIYksL@vIjX-t|DX~I2E<$( zUSGT71cfUy<7TS51k|4Z!LHIu*fMI{%YsL+=ztOPaG3M&cPaI!l)DGNxG7WuQLlln z%-U_dJCPlIwpwU#5}zpN+h_H@O4xj2NfLEwYunA+GQ@w-X7pf!vTfS^67ln%p`xHv?8%=`sc5-)(4L4h zT<`#)@Um^~`M`tq9vfpOa@rz_oTkeFTttR>y}fBe zj*Y)8`C$kCY}aBSvOb*Oq|(Hc*KiP}ZC-zpc98RFXAxVKC(nP!*fb7V{d(*5kG(M# zS@nkibA!nw8=qGmmfE~JHOi%>V7a9$=J`k9MEJdk_JY!;sATq5#3g^f(rBwnkZ-&< zD}fyx4i^SooVn_mZ;8t??n!hZZ0g?=U(z^4))30gZz$<5k&-8>Q)>z|T77xljX9d> z7G_`x_6mH{pRKH9+!*+IR_PB%m?q1f>DEc*Fq#RUY|WNFoaLJJ*O3)x1lR~QKotRB z_h}E_iE8Q&h?j2c9`7oXQxC82e2j@ZvKNQ{%X3$Ay>a8L3wn|I0=_dFB976duLX&~ zx|O+FWb@|-XBo?YT^nd==^TXVO&=A901nB)d8%1N`13ca=B7v@C*5T&Swv5PuT7uV zt$<*|qt?0-C%amLWvlRZ^y4=U+IlS>ntHKv*?3k%y<=q}*=7kplj*_!^4BL=mJRB# zm4y!5o7?&xM7mwaVf-%)bUVZ8@J;;d?Y6M*L+Wj*?!CD_uI>7iojr|shQHw!efWDe ziYBbZBYRou>3dwqORz`PX?s=R{o|2g)UL2IN2B>sZ&dBET52K*IDoQLIIP8XA32nND*V;Mlx$AS1LZfrf*_!KOj;9&H2dITkL!w@f+pSQc1G?5_u zzbB+lD6h#6SN!&M$Vs~F+aH#lMv8mmjnF$0S^pYHE2#1wu6Fyk&uxBE*_y|Y(3Wq2Yqp>k)v(%Dt{p^Wf^ZR;AOUfXQt{8pXVFQ7r%Vx@FLpbVlXyS8@Rn z#QWTHeSsOQkh>+oU{Nm16zkYVEjN5MBM#54Vk0Gze|D5j1^`%ixdo^;D>%J=l8TD~ zP`J05mRhmF({F&$>i+34Ezufl;6tK7`SCt!zyxq;_h%gM zrs?=Ux%_(M&epffAfyMeu2Usq$&Gx>%tna9%Q}}EIasPmRqEx{1P8+ZlV9QbhRa{` zlP2Y&hcW)|*9Gm#+b5XjYsU&wjJFGk7oHn8q=&VqbMYDKu~^?e4a4NXqF;8@QY#2x z@1U12(R(9g*fzTTC~%-0W~%ElY(e-R&9wE$K8R`bK}ia4ld0iX?sbbWgjVbV{**y? z7utwmI)C0)Y8)O1SxYCWj@H`KKkf;pxUuF`xF>@c0_i&qg;`V)r{XJt7vc_t&5Ei) zX$yH%F|UVE{aCblO6v+}l-C%U)&VbV_)9(pytJPgm?B=$k*jb+2Y4aa zpjSykL`>4GcGp_K4rPA%*{+BYPB}I@M>N(T>c;>>izPc`#m_IG2ZYuC5WkVPTUw(& zM_ZG3z0e~V5N#W-^!TY%?!@osoos8qkQ=FU4ZMW|l4-eUcZibF>zF zwE)O;CT$-%zX=SUm!lU`vtK!BwQhErA-~RfBOu(f!;9$$slXdB{^W0{#kIa$M+~go z)b~BHxg4OUDY!Qbux8ATUJ?A?$|mG4E10DKp|%1qx#d@nPkX7GbjC(MvFba|(+c95 zQDi}p5?M=8EX=!hqF5iYK3d9=?@X#Xoa;f~FIGQQ*s2W0;pAqtNBO^A0WV9dTK@8d zMma~S#^lP(T^G}{QSCH;rDw~qqiO5KdGE27yqgks3;qsLBZ)P}&7Kb034Z12fdQ@7 z(idsbxDbH@J5%vDR_M{_O5bp>0G z!puP@DQ?xN09@41S(Bn~n)6nPPS`M@=t1)})g@p5Sh^)b0SpE(*h>e;!c5BfLGrhZ z!;^2pF7b&=2>oU*{cIVCN&s&^{UlTbI=uj=-QV2)%{^J$Lca<3quct;UFP>c`pp5K ziw7Xr*xuZoq1tz999jU%EW&h|I11q-?gnJ1P2s{VHe4y|2Q7elXMB|YBIeLd1OVkW z{P#`%A1q^q-X)CR9Qv*uO_Y~>d^KU8Uiv;kQcjur2N9^TMiruHI3+B900Ry47h@+4 z@Q>k1nyTrUNY@#8!ExbWmeIXyDm*6jyn}$#kjXMx9f$3#IrnP%P1MNi6swN_8-1Ve z`&{^shQvU~hJb+cC#oms5u9DJm{da+X0u$KT}=sg|HcBDFMI0>*G1~jJ1-^WxLNHF z8{CaQZm|KpVBAD@My1)R_u{q1bQ$Z>U9-SMXNHFh9JyoI%c)I%mb~9kNT}Mbtddt1P_vSc@lSCNa1)=T% z#0|6n&=hQPsdG_*joGd8(Hyg8+@wrazV|q|FG!+{TI{2k7JHKWAMp8UYFmQ)*|DOR zbLZ)&$b5i{PAc+3F|tgh1ARs-2*bq|LM|PK#jR^&ajoW4QS=D)ba5faxCFWqQ_FT) zO@Xxfyhm&qK65=g0;t*tFg&E=N={iVxN_*&0CI=|5A9#)R2;Quoc{!U`%zE}{i?dM zbtGs$x8f%u&4gB~;cPWDSoE+T&!mrnWd==_MfCqSloqq!D~wE z2X2owIK0b0PO<$vcW`e_sjzWonHNhe)Z>*b)r)g z|Hy#40^X29qg-J^LwgYWO3_qqnx z_Aqg5&vVDQ&p9^-W3ox*X%)&K2%&EhND9=B_lsokTpL`$Uw4It4eoPtaopX7_>~v+GvTIJoYrhmA zI>T|oPS_pq9YhU*|C@`Q`#E$!Ss8F;@_M-h&Le*B@jK`CO<-=loqj#e#_$-S^+2J- zz2@FLFm-|oLt^DdEPi4__001hI1?%Ro0FBKnbr}|uDln=yEy(X(sznNdXMmmdB#%` zol#U9O#%dz|MB+s3uQ+nh1U#?Cbg3 z6hxC33V>Vp+F3BRE8~4v)xMn46k@Lr=9rXE)QZ^B+U0>i&&nokI znV`9!x7~wS60u-C364giBl_pQk$XPSqX5R+q-D0HT#vwvMe2;A(4@WiFfm8B9@(l{ z_ZAgS?h-QA-tPVonu7pDE9{UliH2aEe75*JdwCAcHi2ttb5=gCycvRGz?{;y)S8#7v{OOom7=o&SV;f_IVI>S3Rqp zQ_v!$c=;6-okbM!IlMcKnbU3y+8dc>vrjx1HuUBgM=7EcoQhkrR6vd%FnMBt-B}Eg z;#$ga+gckk2n`N6!+lHx=)evCGawD2zr_LXQJRYQt1kz=d@1#zWDy`KcjYjz9x@NcnDxLO$s7$hl5|+NrV3&d-|Q9X9>TLv?YTD(dU9_t4(e)0R`?XD z%dq}a*!5r1CS2=n@GC6Pk*{%z71cYan%<78-kp(fA6pqnl(N-Sveo2Ro*v`$nXL~4 zyD#isFyP#|sA_}B*JzQ46l=6i@4yhImN5q0HL(WYkW0H>>|56xoN(eJLj`Q)(W@N1 z7(G)>4l#`0DKkar70$`k7cp)fmpupo ztSDj|@B#-jCuctOzD5Q=e!lZ+wnfDQmgnL+P)|xRY;CNZ01e!kwv8MPPv<4bT(^;n zT`}#P4xHu~GA19iu2 zwu#JPiQzi%UFPPW3 z12Ca=qv4#wCT~I<ttQN?^GaD z9De3^`Gr46nf|NN-*|&yGZxSy`@W3aO7iH-wh!qL-uc#}ma$JSv77=KJ2DXd{U7-? zhR(oz`WI5T&L{1>$!btRBG@)mXrc$~Xs&ws4i4?C=be5T8y>O`-sTq2&vWn-(6gwx z339+!-2O9zX*jOg)s@U(6X20b6r?ksQ z+}TSuN?_^ExAgb&BQ^U?7l4qoMptYBf{v%YPDfwLK(*~>@68}M|C|1)R@o>1y>CkV z%0xjfa<-SfD$C5Z{Nmi)cPWwFXIHmiQ+t4sam!3S)l*0Js7_16`AC<{T}SudyzJ~E z=Q3r$2J8j?&Wsv5262VQ6mK6p6Uj4$S?5v6uOA1Gsr;IcZ#l|b`ON%j{vy0s`oD6B zFpk{;*kqnPf>bUCh2FDod|2dBNkXY-2@N%p3e?bS3D}cyzxPNNOh$t4M{Hz+!S(7Xgr<%8Z0f{Yvy@xy zrah-voLeLh?0yuSl~uUIu6MG?*f?vu9P&v8Qjs(A$eRrhgT3iM;l$18OP-qgK3AK6 z7T}ct3TAv4P#hYyaJ2FPVCHy|%do4Fm61ANeYCrTsalwa+i^Zv|-sbh=>omEhMg8@P?Ng0~xe2^GWET#4pG zsWI1qRT@<+!SqUFb6(a;^}TP=8iiKy_YI!AeTq*6fx?wWHI9>`^Xfa}lK z;~oc;NBb;1y07})F<;a-8~?1gt-D(mbe-eQrCu(hv%Y9A(L2#hjW5sUjBXnqamL33e$xhQz#oD0b#`Y6UuoIu}8DlNh<~w?9k6VnfrNfU3 zVK%3X2v0JI;7Vbx*MyBgBNRKZl|3!J*@vvKuZt(bSHni3U4O!p#~Vd*zzq5m6-Hi$*W zR=Qz06`q3MPBnDtnzxf0(4h)531;VWgh$|oMQDE`mMc*OV|+cQvudx4|%K|)iUe}~q;Jx$Pab(VZ5ZjoCd6;op>5ETX$;N_R^ zYFl|??~-e9*~6ncCcC-Qtu4m`54?f4%+>wNWa79to~d}&xB>Ts;8nA$>SuEN-k!`k zatEC&Dgr%JA=4*tm z70J#=>3MbBBVAA4xAlC_7SS0-tVZ#Rmat>~*S6s;y3V3hz{Tvt(Rw|^yWh0eJ6(n= z{1GBU)+^M`QG>kCT|Ajft79lcuI`)`D2<#!gAZHLkDkS=;HXv$raG*&TMEhXZJI>d zLTtu>2ABCx{oDTprlN%z!rCkPjwji{y`mI&S*rXK3U{U#D4ma;ZE&LzTD?01&R=TT=Mm!0*c?RRVmqw^X8Y+6MsO+k; zS}Vv9UmNyg(=WCUxqLJBBP9K!?C0%Ow4-p{crkNl?Nt8|Q$@U~35$#CZ&4uX1Vh1y zfxHE!A7m?Iz8@iYFcb(P$3;fWmK&}d`@Z=PgPSa0sc)@J*a@d}TF@g(f|x!Qm4K!%NCjrOL^G<1C4ooxv$ z+64T0cO@abqo(IS>}CWHOHsn42B_SX6vvN#C`^N3q4eA>U<>9{^4<%qcR z)=uz}3Z4x7vZ5Tz(jek`^@hQw5J6y z1X}Y?1Iflv@nP0g8Mt=2g6wC^5}KPEvu30t{X`8_;y;J(D_Jhf-YfabDEw0TFsJv{ z?QR||w*hfo=``F}zF*s`#xps>r@STZ?+f<=?Z&+qqKd?90fehZCOZSOJ4 zq5YcL@P)Kc!`O@Z4y%3qTRg~wh}SJ5Z?<-F@XLd72vSjb_4YK-D#_Dh(uTS~69j-y zy^R5x_|#r$2FsN=y^V+}^_=sr_|u*gYB$L75EEbV=6tesV1;DV4fwNVGV~=CAJnI1 zjP`#fQ|Zrz%qMoSrwPD$VYsnZYn0%{CzkWyUY=&ffy zGx>dgIMZk;LwCnO7} zp*vm^4q$n)hp74k=16!V-fIL3xj&U@8FzDZX5fZkADMn>?En#UxbN$$#Vi(SUa2f(%od@iOK zk9I{U&2i*YydSQL&ju+6^1|5^nwYQjnl9^3_?doA$(1utC-?;N0?=u4f7w?J4mRrX zwsRp;(~!DbRTRisvAfpTCmxyih?Lr7(GSAs#vW<66noh1JrTDD z%X&auPsVvM_}LwmpSt`_Dw(+3`x|_92Qz8<*IUf_X@J2%6M&cE!?QTd8WR#)waYBNF}9>$FeX%KR+8jh&70`c zQ?P}?_^9gdO~(lT>%~WYUxnczYR}g-{+_RaGhQ$7#jDR9FCbApLThF^Id)k9L9<1% za{hbQX|0iGsS=!QO+GEE+9LB;;0c1`nrIAjFJyYK` zhRtDq_;Y}JKUnyWqTk|^^J*Mu;FCZ4gGZB4zlL5f<<5ehnUpn*Or+@j51=~Yz~@;agnxi!l$gwS>*#*goKf~A-8!z=!NrD+9v(;cc%=kvmFScf zq-N$-+g~5rMNNMGnvW*nZVbICdWd^a`J;1+6TkTj`FR<3YrG{BI8hBZbshtp%XyFe zHi!v@NO!He=QQu~%|mch=k(cu?0I8_kk^U%4aW)QjDPx0>qJu-BWWzGqpp&i7AMb5 ze61bhCULSC$J1gj9Nm7kE{B*Kf)En8= z8?me4S{hzi0d(~If0fUl@_zLD_d44B$)$YvCnAcre?ZYBMA0R55O0s$S5Ns7xK8H> z>H@&KX0{GKm`U)r#DtAUXbSmF(O7|0w!YcH?nWh|I!@;YN{81p4eUP;iYYKikN%>8 zcE1LD5NovEOt_fCaKTTxM|-pcv>V1?$cV;rnKNNRcBSZInD60fn}hPf;zGYJ4&Ngw zNsvZc^NG~8+tbaJGu;HnFf?-V1F@D%@!JXNoVKMbVEe36YOy<-(jPtE!HUvshbxzC zzZY3Gm8X~9k3)zl1TULo8%%X2GW*u8%UOda(*y@anO1R35tJyJ(`ylkb7IPrMXqA zwcJ-Y#x(bq;XKaz?=lL{CoVO&oZHJ^CG-(?&k_!w%TaS?-o8U1Uo8zR%evNMY?$6D z=Pl8;@Q^vbv_C3EKlmQ0{XoH8|E)rzhp3h3vz(&JseWzxM#R<)$}Z-N;>%$COBz+w zdcCmjG}tVA9eGNQsH`#<$|x2|HL^@7aFE%aS|cenC)E+6@qG4f!A4W*{@&J`@)?RD zCPRY2QQb74Kd*l)U@?!P{8R*ERvzypGqI0)#n&TQ{!0 zYy?v2rk|Y&f>n2WOLSH8s}vkNMB2Wlj>KC;4a{6L*fomvISV4 z?g*QOzXyJ$7hI#Bfih5HyhuSaPlZ*U?E%14Y z?`J~q{@_rz+h|Dzruq#vmz2v*)%q5Rl?Aa+E0-&Le)E3t+pOi;s?J4ZT?jlJ)hoEf zJBpo4(w(nuu7EwyZoR&RySCyg`_Ce`N>C&;f^>c=!y5>H*Xs`%1>OQ|W`87lytH27 zB-?OJ|4(Laz7r=oe!Q!IR$bM;_dyOe`B|F=Z()HGbV@_a=3r@1_BGROq|@x@GnghI7vpUXgZ> zG*|1O-m-MzI$Vrh_=LGaKZzG90tN`(nL%m)+-SxBhd2WpG+M3WY}8b>iA{U4Xy+9*M{|Y^%*^oEp;@j>up5t(c{qRVf?stB%9bh)rQPAMSYWf47|*a3#|R z-CgBjqyQ#5BFUxP2z~KU$G|f`vp1o;2_wVeE6(sMgD1zUc_kJ}!e%2sm9F5k1VWyp zx{qx>L6e2FjU{Lyt`qKHze-)`*kskBmwZMwjP@{M6W`d85K22{{RogwtW3$!cQv~~ z#bZIBrN@{1Pu-2$iNCfK7@_)=0L%1awexH*qVGHDnyw+@L|?PO4gMJL6Xgyanc2m+ zh~Oy@YT=ep$Qfw*4l_JJr~rmcChjFpoJnHI%NXLbBv52p8{ybawNg_+ z!{`{_*FcBg-y!BK^SBlo0|Cut}2*IAyI?8ZU&YynI81AYKajxc5z<1&_+|W>; z{qJEqL+iS%zj;i4s13~AkJEnakZ~h#GOAd#E);^!*3e8ETGRTec3(AU65Bzy5n`>= z$&;~Ns;Bf8@6>YhTZHrjb-K$R&P-eygmgY-i$0yZ_MuV!@|2qM%{#M5OVt^XEAv3`08I3#lHlGr`_)g3-6z|X{M@)<$BxHNAocA)x`04bom?k4Qz}3Tk|h&eURLg z=hVr%LU!64&8m=ER!>g1$~aeC+^7xk7M(1Xj;gOsdKy5ou-=1IPJAOgS zmT(2vPFVkrjp=7_#!|#Z_{%0IQ4?%tUQ-LoTs68|6EutK#pWomNeowIqW|@bkJEP8 z-UciXRrbrT6-`#x4CSz69e?+8um*7@0a;(9%Fn(Z!wir4__sgEjT(Qfki6yA@6MC6 zPHw_W8S80Ojtlxb`Vr1eZQ^AgKp0dT%3hW8?QbS$$*@u5y+Ck9wbrCt`A2MGuB|88 zZnr29vu(&E`=$I{865fTxQ*51{H=mC#3Z4L(kf&{87|2eE#W8wdl8W%AI>*krSJt4 zvK>SbvYn3<-ixFTEn1;%iIVfr{kwTR@~$L3E=~O4$xOX3(~WRi`m+2%{V6YSdbG*6 zbJQ=IJ>EC1_(?(B(ddVFkFrC}cKl`2hJP_^Xt>{t9u;M;h$$H=AM1^TQj-1PP?2qh1__d+FEE#4>~{QztQ>9wuuyjY!0<8A^KsI>KDV(L4OvKbD} zTd{JxbXd8=HFHn1FTufo)U1mZ3)5S@`IVn`u*iTKPvx)P*nEt-LEGml<|a&+5VTdT+~+*HqOyetVQ>skfVyyn!yNY9|9ftG%u=)+7^D zIVW#*p?*MbnZxP{{-|`a>lExxvZszg~EmC+^0R8u~nz=dd+T)pOs-`JX#E z*^66PBS&RrXdRb?KYzVjd|s$1aQX*31=*j@3sbj9BxeQMY}!UlEdZ$}V7^-}Rt!9O z`g?c4R28TNdjZ2(yu8v`X1b6s>^Hcknb|1-WJ7=4qpk}m(5#Nv@5jM@f;Ix%Z)tm{ z1Z&EIJ*r<>{(uOQVzc5k_iF5L{YdWlY-RTstyl{ECsQdhkRE_#IU`ef0I|RYvQpb% z7rdShU;NzDoZky-$TtUmg?Qhs>ZY5*bFE5cRUevkT_W$Tl$u}Ha6$=e_o!Zy%^auK zr(5+OKa>b$;vYFJC$=tRRK1E;ZI=VpKHcT1x29=-yc1Y#6M;jPZ$nwE^@8`jGKzbm z=;TxGjiEab7YJ$zvax;9kxL#w*oYPVN{=7Xs^e4b^M&osp5SPfAQolf{P#pPZZ%?$ zj9Ix$y^e(U49jVmuOj2k%-6E!^nh0|rwC?XZ1RZ9aUp@k87a8!VY$l`TOYb)wdZZV zR(f(J0vkV>7zKg=o&(iP9=9ZR=OY9%;zsos=AL<|aJ8Ok6u7?3>j=3AVKG*2o*yCK zX~jo*ge*ImV9GT`VhT*^7Av#?i)M&UrjDZGl5;PNZVL8}p1Oc0iLl|U_yv2nO!u_N`4_hcHqX2{D=)BcF9H#Dm8Q#1_8_k-pg?s=%v16K@kneEOc zOZ^0d(s;C?;w`)>Zfy5q22o=;?oW%1U90?M0eR3klOlqOJHKHW$#j(fD;wyknHdil z@;IP%eZy6(et(JQs~Uhc)oEMWLG&NB@efV0yT@5Al^)+8QN|*6 zIaQWbX7UPntl2Ok%-NM8dUgI9m1f@|jeyAcP||T)K%lp$`X(?fwGnq)!9fGnB>aLi1;bhq`}Ui3{F~>pfy6}95bhYaA`-?GwMZ8f%__`5|~Q6Bp-lH zP5zgWx2sv@W{a1!r4Wt#@^LYC`JtRu4b;f5gO-?Zxo-zx)u3vtj(+ITwEhd@>F_~H z3aM+rbRMih@j|3MCqkd(gkQloKd))xlLd{xOgwMusw@ppuC)g>O?{#H@QFAb>U6^> z_!jv;7bVS8TH$^YakqXY2MRE1Chcm;9T^$xbnvheeTqNOSv#CuUKL97HdlSr8C3xKxrBxSwb%0R;;HM&1k9<>elc>yg((rW?z9$*(TO?VW~iZJzt3Av7A=o?ByPoL(OUO zRId9hWG2ZnX~VM}>$S(rn`u3N6jQ#xsd3ETZG`UTB=w=9#bleQ?S z$H^Gqe)MPYD71HRsGPxc1J%DTHGQAL#PYtWz&%AxB<8V6^2z+rPE5*tzIp**>)`pm zP06~ypMH=#>X<~;_q|cmgp>`qQL$woePcl@=~;OH1}@FbeY+t1t-(|M78eG zc)&x%g!k`fVmiLgT~~EB#nNBZI$*QbYbq~!swhuP#ugW=ci>GA3}oP}?S`Gp9~Y{z zDCpYF9NOw5xku@bnvbu<~5sVq946 zJM`PE68pz)^zp`D*uVWxBa2Tve95mMQ_qx)p!~_Ne+yDt^he0i9Qzzip^_rOE=6k^ z%?@7^tbF!no6r+y`WpC`1;BIzU{?do6wYpmGYj=SgMNion0`HGA^nA% z?J1e;$U8*~E5yfj1&~;~`nHnvhCBEFqw#|g<;3S6G zh&%^%S8GX|e--aSFynu2N7x2>I(`W2vJu3n0?iH0zcD3?Ba$Ce0Y3Vy6{ZK5Gn<0N zERDdd;A{#qf-$}S%VvDqi4W8gcvOw&1j)?aTj|HE5ug3hej;o4$T$})yA4#_J|l~lbSJf zfu(Z+u$ZnR%W9;yJI6c0TBh@ot7X9!^@zCSbcgMK(CHEGh5XwmZaGh7l6q9NkM>Jw ze-{~?GAQDG5+D#jFqscZkWSsFhy#x+=^k&8dW;12I(P6j!bi`he`z!OFQF=6qiaqW61hz01Ov{r z$0m;4%5BkcCZK8KlCmv@_icO$RZX9QIpy+5R~rm!Q+_LruGfc!sRL%^^wX z>wn$`nprkM5q9_7GQKZnwftp+URH?vFS`rR?hazW(pbaH*j3f2`mu zY;F7iEQ<%Y6>+qUFjn-ka*e&Hd>2RD5`#t9#MQ&L9OPI@&&Cw*kk zH8RJxD)|~4>M4GQ4MZ=k_k7ZGvC~#X*V~s*+#SjS)T5)p020&NeJ)+cFMHdr{9TCc zbajik&sO(vTYFGD#?spW75J8(v487I>$1M=` zCs=!}m~w;~-oT(a)*Jdjt3=h0I*Fh{b0jybE=pY@{Mg>9WidBv4$}W_^cf$fEvMFK zIH_w08cha1HFI266 zl6R6apS>fJ7-p?pOd{_Nr5(w`TUddWuN@0+QPZIAJLeuoAHOZ&Nu3I~Sit&~aiD_W z<0_W8YI;>Ms;tGhugGWioWs8Vqa*rFFM6&c`+eED%~g~&?B&AF@SBL+kWo8?8Xr^4 zjlAc-@a!_+E9sjSaV=UM?>L!`oh0#3@fIj!@`|(xhE4YKGa81`uW8Rzi7J}pio6Ss z4l4Q7VQ2WCy!mW|dVardQeu^JwioX^n$ti22|}}Nj-}B$m=;)xDtkq?XypJ!XJm;| zweO!$Y3XSnbhL(YQZWOo=15|%>NOob?Poj|9l*ttsvR^~E}T>gCXZaP;0pxm{^lyv z&kGc5+sG>2n}m3)&(Yi|EL~U6MmyLV-h(}zTppfAMWc2?<;&hOL#c{i%f7KnjbU?m z`uJ(dE4GT86hd}2MUP7q-_+kSvxH_^L^6bqQijUUL{BjjtE7B=v|J@@FA~o+kfmNT zj&nQab9JAFkI&QE2XR?{4BDUOcdVh|)}e-2V1KE`qz#KE2jeUoh)!|HE`c9C=l#rxxfY)h((q|28cW4KbfGMdPZt{&4&-78iab-SReL=lg29Nw z36c~d-KkFVL2cA)kqxTMsVllbp1>>Tx_-Nj*k9kR#tcim!O}D$|4H_XmU<&`>1sEx zgJ7Uf{V2@h)FGIi0u0+LV8VRb>u7fbKanmVY4K{Sd-$&KVZ}rz#CiHnYx#g^BH4St zvmYGVH_nuT;0TnGFCx1)wKcSH${hQ@oWCn`)NUx5x}?6~y1?Yu;z_%*s|;I;@rHj> zz%`mcsf6W`_ByDTnM4jbur^2(dkIzsfvR zriBxUVBk$+B_-$jNa<#MJLMC*f(xb{7cx?C3_wOX> zqnKd-_^RZZj`v#J9o6n}EAN7{){w*^DVO*{2skgPhb}WgYm_W)R)4Bdt9}mSwOOf- z8SsvH?!&yI1#w@~@&AkUQMi!rRpe`pDbMPq1 z>N;uU2QP|#pd(ajduYdXQ<90yI?MAx)R>ehJ#r;6a_>Gi^ zqxRE*lyvh)f`M0KrRA%I)1D1)Zb_Si-?RWG!^x?%)+mBFi$*HPUj(|oGe_HfyJT?o#()~+>KTlJZNDw25TD?fix5+W0vsmC^ zMtNO{fnYvZj>-Ll7;V2t$|y37{_haNKx7*-a{H@7&%cMzW@=HXcge`+$0W3&N?r7Z zGHxe`2R5Nsb5as$Ozbhd$73U68*FjAq7~oblRGV;-KvJ6KBThOM(9ntd7_?Wq=x!r zdA@@6^&HaaXqSn{&t#OFIw8zBAID{9=(QVZtS)vKiT3P&t6nLFOPa*>>dQ(DtUd(6 z%S{_{cV-(3Z5|etOh^f1I-r!7K z;%RvHI(-y+&?QOieVwJUk_`>$5%2e9&>Au{J2*Ie*7@%r9^e#icxcu$zsNfYI(Pb+RRMN zqO2R20tdK>!s3e>E7z?AqY*e+`lMWzWE}Pj5JF6vjW5Of&3xy2iTQr zf9Z9ySDVLv1i>?EB~HUC(Y=A5l&D6AW} z-ZG;F3EJ;|N;w*=4hd4zv7Fu65~^aO;v&~=;;L(5!5HTm+5frY8k;tK7yKJ9f!a0F zsbmJ7D~1^T`1H&R6ObSf-|ldd!ZW(PJpPJi@&*|N$D8+79%YDHL|=;%Bct8fhbp~m z;_4o>RWRIf=}rvkl@436O0KznAxCUYuR?yj5^3Wbk`t6WWZX;SU^ho=$y+|hxjHVL z%zE}&%Y;c6tMq7{l3IrIf&)Rj0e;oA?TDdG`PEHN$VcaopI1zMCmE!!e`|(3{zfXx zv~qwBa)hjLRlK=$cAct7S=;~EHinuQL%WbkwBtka1oA@`Znm4$g0W&0^fNZ0uc)m{ zp1VCgoK%79PzlD|FFklWn6QNX3bDMcN!#(-hJ?+F73pi-{|{3FMzqs?$V+ut)mp6T z`;otVFdVz{jBtf2S*+NVSn2NrM?)>27Q6T3GGc-#}liCAwUIPztg_`4(^cxTCtR$FkF2&tX4yR;^H8lIfCM z4_&zTR{TRY*m81h)R5>a{=&}bE|l2Ne%WU@Zc>!0TIWFVKu`xlZR1^qxEXlY08L(t z28lR)J#P}B7!n+-|J~yHieIPS#wO`*k@}w5noqgTHQm4`r3VWS!&>hUUg6}@wj1f| z>NB0ppVlxPV6>qo5fqXtbR1K`G$;y+Y2Z`g=U!8+)$y{UG|JNKJ5jAe^Q~Cvt%QMA zWqp<64s5!cgO0bM`RY^dBFQxPbKlhv&K%?KL@l_m`{vs|AVmi4wzIZco6M}>zZH%Q z{kTKlUG)h|j^S_fiCrFRl){>ZL=-KgEBm+Ga#xLGZDPM>iGeC6&ZGBJ(p9Cwd<(K` zg7B+0D9OsTU`N#LV0~BZeL;|@=vqv!1gj3xR3Nu=$Mex#%?JMFed{&y5?Pp0G%i@G6-7dF&W2l#R& zcMH>Ibpm441o99Fvc7lDbtHP>u-$>#kWdhyJi*_>-Pb}*IA(i5O(=Qxw>eK0ON|9M zp|6#a-tscXjc(g1-oq}J`Rs+BSLL`* zZsIXXY|5S7G440_+FtnvdZ3`>hE_2WPe2eSluSTeRg#w&c>Vw)SDxg+7`~n6Krbg- z15*sSw7C+3iuaRL?@3BVxDT|H$7`>^V4{o#+S(vz&d~a;PSWa0ffsZ$Hf0OSx17!# z*ZmuQ%dTpH;)ch7+~vm!K`e5Y33CdM7)dp5D+d>E@p#yC-;RBJ6n?T7U*Sjf41sa| zyqb8yWZUlw6LR~$zy&)p#x>_}Ri7`$b`v`jKrfYSihqh&xzMyiFQ%l?S$nVQbEXPu zX)8z2d~s*Croe(r6q-B0d`tSO|OV2@wC+;$Z;ut1?FJm@F&68~278agU3fsf{^&d72wwvC|1U<_#L9X$;0inC9 z2cBU?35ns=eN7qtsk9to)qThUje+4P*fX2hI9Ze2+qeEgCu5g|{VwH*F$%gp1-8giv-1$E=pIf%x9frx?<{RnB&N4Q81eJ{Ch7%-v0sz3R4ps5Ts9|b~N%Mi-O$- z?GVXaPMZ4`AdyPR5Y)A;LP;FECcrwDCnQ<~_|tG`ai{0yY%Q9H|LI$?X;b0x`a;Uv zkMh0eypPuVW>Ft$Iw4Q`BFx9yCd=(8bY*#I)E61RR+3!hF>zlUG7{h>$cn-r=n!l;0d}@ zYEHL~voxC9dv_?{cT2<5RpXok^={TqDxM;V) zp?nn7!xuG@-HPq9gTf{UGpXq6EO#aGF)@(Rz07&#mWY0kBh*|f=JOKUI~(fk#Ync) z!G*77(yFX^p{uq*6q=s+&;<*g*)Ny!j36l)n*LUXZwm5Eo={Z?c$X43N_4oAKPjc& zC%|0|IZ4m|xY9j$gtld(>>gpDCDS&vS8k3rc_b)HF!=njpc}QIFHJM`H%S@H?i=|XPBaS}TabFQqHS`J(?Nw}(XyN=P`k^?(PdpQRYW0Tu| zooJu~z~?;Qt@Lv-cS;Y6CT7Szj^q2Uw+J7@Ll1aucA#~|aQE@5bYbVQ9kSobzFvj! zG%s^`SEO{yE=h)?8M&xknOfc}GABldog1)&CmSB!f@UeSiJZU7FmkJs8x0j=jC7;UTF*# z7v5-w1uMY%kRz5ACFL^ZNl;tF!-9h%@Q$fFeq=dZhh|dWQPN$Aqjp&hp`D7)nR*Bv zHB||5XD`jMyUavHF7nY}!~ed;@0;46+LUz6RTtDEEGJo_CmJ`Arkh;}sO=@w$v`Qtt9AXRUSX=$-bmAe5%b|6RL!cOH~QBu zs@L$4(`8IlPXgB*>~z4Zjr!$WZd|w}L)*wUVFitUP$eDdV;Q`Vl9@I0@6} z*np@SNr%tWtiBf>u$3Z{6)J1dZd6xgTX0Jk%_i0bW(*eCQr`;wKZ>9Cs?<0aX0Dc& z`%WyUP=ow~PPFva8MY6$=u3Izv>G>zu>T?AEMw27y{q_fgxSC5 zQ}R$~o?tu;?+i!txYXV*7SwH(<+r=I{>G9 zX16re>a8HQQHFOrt|~Vs2W{2h!o2hjsoC>|8lxlcVs&4fl?u1|&CHkfO^08PO!}TT z)A}Vf9fX*2Oy`@VpjoGio-cFS(i*xIAsTjFTiEojWBx#Ig&u%I6ogW`fwLDQbW2y( zKTFK5L9Sva(#cLw-Q=rRFVeH{a4xbaZy`Q6XP_8Hec!6;gE)y~-2_(Z$~AnmD0hII zMXlY}TZV-fHOpy(C=AbusoIEJ(WxW{$<8wj_N?43U)HAM1>^K~*q|P~^|OP=*xtPm zv468cogRov9bBI<{f<*Xf9eUfXcIV~(-Jto(mV96bT52rN>%uY_1lW&FmYtQMq_V0)_wkRKri2S z`3KR`x|h0r?ajt)YEd3aA8T}v)jvqnl&i;rE6gLiR6j9G5Y8Sy60av;^Ysu5dPAaokUAV8x#@UKit@3Jd)Yp)uDUb z#muVVRBdz0Vq@7NG2+yNWu=Ww1qb2Hi>d%x3ITPKXNWa0plFk0QJCSN79d>mNvE;F_n@Io7 zxBFsJE>9dMENfDscp-|N1SF+rdz&AEvoOcv(Cy1Pp08|9(hY(i=|O()JG;nVbEqkV zoM6Wo*tqCQbC6dwZbz_L{R|fFCv_;C@5)MLC(#8F6y1Z}nO>Oi9qTV@VI*J+bWjf_ zo|`XyJ#TVj2q4Q*F2xb4zjJD_bg!m9D1RH#vuM0qPf8X^^|6_0fWK#wh&bS^TMDIH z+KzyUBXd)vKmjJvqY}eT(?+P&bCR!6sw_V;B)_G^M9%Xl7oJw=vHA|XHT>lHzo{Pm#a_^-5O*RJI)xf;pMGI!dOIbm&B!eAH@%Lw-?XKJ!2(LC z>jc*M3I?j9y;8C2y;*tACkI@+7LU)mkHm*C=uq*_BsC!jG5G*rBDUg9Zmb%n5@tHj zBW#ui_Djp{0-3wdocVF~I?7DSy4~tWBFQlLJrO{qKOTTh=}HSlmm-fG1ZcQXVaBeFJ6``54Q( zOHo``AOS3Ozdu^mg^r31QL_EGr}|5R!FNjrTw&sD7nGc?cG5>Eu#@%+h$ZZfG91{A zOzG7obQbk#fiV2qCN$mRUQ5xt2DS1vx=-CEsjC$Pg9u7+VbEElwY0fctAvJoKwotS z^nV^A<8Ms1%&kghI05`O!P_cr0)5l+`zcTTa*(uRn7}eF%jnTrTZw{ImIh&F6hqq= zS0#+)C1fzrzn=%UHJNGNjLvji8LVX&^yo9bXUVNGw%x~jY7^f<_{GhA^qm_-d%^|< z8y=l}9P+8yFe8Yru9ZYR>4Ko_g+bL`p3bb~WSLC^Wcgz6cl;}`z*@LC$cKMlJ;^OB zO2sGE!qh^}F_dJK=~A0DUjii_o0Q%_w$!DPNvfG+jgtK&j(tZ_TpBKk&BHxO4TvD= zcb2{fXmzVYt$CtHppcYc&~R?k(kXwWp)f_w?zgjjafE6KiVw_>bBw*Yuc^FKO^ZtlOqu{VOFMhFb>7^%0oIYnDA#Xublhz zLGlht`t8SqZneeu_chUuoJ{%-;SS2p7Elm~8Y(hIs$xrH+Em`-zP2NLNCTJ0+rH1a z8S7M^u(G0K!v@SAY>s!xQ{7-eGuV5wxWP5$<3bu6I>$8#RMyKI&^>jHq)gxU(pyk& z)9)HCbt0~IB1jk6FnR zdQZ*nz9cQYs0hz4Ox8a?`4L?+yp-dqF*|Q|KT9__b(=!7cTv0@gJh_}ESSMtHbp9$ z=*;e(r|;M!^c!A?>I<~?(0ti#U{9ndxcaiY+g95!XS1hMDPi@ZRui=QeV0N^!utr+ z5nQs?A$Iq+c7uz*tEuw0C+gxEnzJq`TR|=%#xz~#W~ch9+V**eLQG9l#QaeRzI@>o?Y$%OW( zpr;Vz$t_;=D)%2bR8!kn22f1VKTNlMw_mXA?Bu42h-KFkHZikQku>o3oBkZ3*vJi3 zhk!H4WcQ$aC3Y4rCfu$QlB inst7.IN0 -E1 => inst.IN1 -E1 => inst1.IN1 -E1 => inst3.IN1 -E1 => inst2.IN1 -X1 => inst5.IN0 -X1 => inst3.IN2 -X1 => inst2.IN2 -X0 => inst4.IN0 -X0 => inst1.IN3 -X0 => inst3.IN3 -Y2 <= inst1.DB_MAX_OUTPUT_PORT_TYPE -Y1 <= inst3.DB_MAX_OUTPUT_PORT_TYPE -Y0 <= inst2.DB_MAX_OUTPUT_PORT_TYPE - - diff --git a/1ano/isd/quartus-projects/DecoderDemo/db/DecoderDemo.hif b/1ano/isd/quartus-projects/DecoderDemo/db/DecoderDemo.hif deleted file mode 100644 index 60eb1a359eb374313f9da6348eb0687401108b6a..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 334 zcmV-U0kQt94*>uG0001ZoOMuLPlGTNeQ)A_XtEc501Gl;kDKgE8JkXdZA!VgCQxQ6 zOU&kfw`?SusOfFmd(OQl_uQwJDcv@BqeKNL1=WaJVwc#C?chl(${YtS!H#ol!X@Ur zeZaBMfTy|8rWQFNasqNk(0VrezDXamly-Rx2Pj=c`jb9ySJbfS;_?z?S$M0>C`LO| zOnu-D)4K*+R>w?b{wIFvNEcDu(*l0B{3Zq%q!#)EMZjI^nY_`pVsC)*>}0Sy2Ngk8 z+t=jA=Cb$?9$?NIph7kv8?%N3bW(MzIM70r(Cgb-UZ5}thCZ+?D|k5a10RN-SBxB= zco6c85#;)&Sx5~^vXa*OZmal+Ch_|Ikw(+)a-Ps+yjmu6G=6n+a diff --git a/1ano/isd/quartus-projects/DecoderDemo/db/DecoderDemo.lpc.html b/1ano/isd/quartus-projects/DecoderDemo/db/DecoderDemo.lpc.html deleted file mode 100644 index fbc5ab5..0000000 --- a/1ano/isd/quartus-projects/DecoderDemo/db/DecoderDemo.lpc.html +++ /dev/null @@ -1,18 +0,0 @@ - - - - - - - - - - - - - - - - - -
HierarchyInputConstant InputUnused InputFloating InputOutputConstant OutputUnused OutputFloating OutputBidirConstant BidirUnused BidirInput only BidirOutput only Bidir
diff --git a/1ano/isd/quartus-projects/DecoderDemo/db/DecoderDemo.lpc.rdb b/1ano/isd/quartus-projects/DecoderDemo/db/DecoderDemo.lpc.rdb deleted file mode 100644 index b1e0351ce2ab31c3ecd3283fda874015182d74c6..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 407 zcmWe(U|?9w%?KnJ7_x!T1W1Ra78Pga=P4K&=o#u6Dmay9=ARWQ!+_vJoh!1Gp5t7*Qw|6g4`7sm_T z_6j$Qn3ZK?%WkZ{ULvdf=&Zxz*HW7=({Q~pX+XWjpa+}INf9|$`=ZcX)^wIS#^#RwbmfsAi zUBzq=wDjlB58M0HuWvc}WL4{yM;WVMhS>kiQuM8MX%7r|lpS?+b*AX<$$g2c(ktGr z4f?j|N&b{CK$rjjU%{A^n2^$ukdSaf|L;P+B@#0lW=MB5$IN;3ckZ5;xy~~r%ou*& zw)}S{ndOp#4#!mf!jeygt*esKCNOj@d;h;o)Wh%t^F{%IW}n6tMPCcQmVV_RZDEB)IC-C_d;3KNXZo}N8(x+i;}$lHne|1VtM zZS&+^`A(5VraCGAb@@Cl^ZoqTxyR05Pw#0#_rw_!r*F%(eLiI(@3S5WM)s{`CzJc* z?)p3tc>H;S|5pLECkqXWY+Dvqoap`_@ap553%N%IAMDyTJAbOo zDz?=1EvuhqXQ9j;sy*8(Yx(Qe-Kxj*PrFWc>Py>PcxD%$LL1L|w#PGd%TJ5;H|$Pq zkE}b!dEo5(2@#B|*skgCpY-L%OUGxy-~ZMfa}2e6A|dmsE&rz~=YBCErl5F}@M^V3 z?UieHU6dDy2L|u|{}qf$sR=0=2|%zhTJSu_l9>$=k{xrIY97!1xw diff --git a/1ano/isd/quartus-projects/DecoderDemo/db/DecoderDemo.map.cdb b/1ano/isd/quartus-projects/DecoderDemo/db/DecoderDemo.map.cdb deleted file mode 100644 index 26ca2645fc867c378908dc379186c4dd516ec1c3..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 2881 zcmeHJ_fr!J5)LY7LFrY3A|h};K``(F5`q*_DF*3MREo4fXrV}vla5GyDoBYCdWfL~ z12I4-0%0ByLujE&6A}qUgn+bgyz{@fadzkXzS-H`A9i+URvZ8TR0{ANA^-qCG|fY{ zdmJ3%6M&Gvrmm);rXg<_>f`4j55A@@uc2}E(66Z+~n0)fA{KbO@LSsIs_BN z@wK(}n)@hx%hm-o=L@8Ft{DRDXB`6QSG%4}*x4=SulMlUA2@A(nHuVx`EIuMW1!OY z;g+vz6>qul&SxS>OV;OM?>nMa6ucA>zw&pGhT%SIc5jSIbqYW<1uc(Je^y2Ay8s#`#qor*cuLjp z;O~L_UWWhkLon2dfuQg~O`z*xb6f=!c|B@*H-r_@2=|)xy~M){7Kc(Av>_1LSUS@_ zlCuJ)F)BFm?1%V`Z&j6DLv4(CX|ur0}5u2b4pc)$s}{lFzGVLLBeEpqn*wTGd?W%HCZtQdcUGs#z49{e2s zLPLXBfCM~jR;*p_<}Hd{p4l5&`&qVIT_ZWBqr@?(d10tq{2U;?ALbkb7RoBHeuaPS)TH8&Q$-ZR26X{_X3w;yj_D3PO_O*Sddr1Y?(B_b0DoJ2-^Y7$wtD%?xcx3ZTyWJ|G*Zy;N96fx$ znKc0Mg>}rV`=5tHX@$y*yV?&1Js9FGt{EKvJrSek^kRtUsh$qROF_lqMTfZ6!&byEH9I(JJZ5W=zWqH2!!2>yUK5yMCEch5TJE%1;PyYrS!e*>@ zjL1)ONTO9(%((DAW~YxcDLIL6{!1LV2Mm`wE-mPs%@al2fJLOcQeu9$Kh z7B|}lmNXVVuPpT3OT?gDN)MlsrJ%Ay(-ccTd2%~@GG}V*AXay32~{loTt30DMXCLQ zw66TW0TFd!<23BqjZhh4(msS)}|Je-3=qxVy!D?HPyQ&^_E_Z ze=$@0SQGs}4*cR3mFsUc-jhb6uE2BMu#Tf4v+7H_*XLy>m58lEVvt6I1xY&$#SfFl z$_)V*seLDBG(_E>S908`@6Jq2E^XzD>Z;#7Qh6%t2-<@izJf82Blz8YS>D=DMne3Qq+La&_{aIkvc3AHn?_OO%0wj5Q+ah3ME z^4>>=*~Mq}wtMK9@p~b;gv{z!E@q~xf?J;k&|IC1;_CpA2~gnd>!98Fi+~HU3Z+s- zR(VXKDc1()4EzgCY;IbaI1WymH^n(Xq56DpMTh_p$xdqc*d;}qeNXC~rE`9*_nYTz zIYpr&*}$L`ZWi$LZHSG2$CPTYMH7GLjSbqyn<<4`?3ep#(od{egRL3C?R5i2Z2k&K z{ikM^BTG`1)HGEvGA1zBZ=0sGaoVkh4nLWcQuTs-1gappCU}1@p5%Y?WD07S-eGA` zGxMw?ze`b+@^kN~aDTY+i%-l?f-o_G2TM&fkPYcI*S9}`KNw2z3h+I>ob8 zKS82~{$z;5u?Ld6sLi(M*$qnh<&tMX{2y$eiZ+dm$=rB+sc84pn}wXp4R5H!?6F zF)=SOF)uPOGB6-hN+3*WbY&n#WNCD1Z*Is$0RR91008_Y00000006`b0000000000 z002xY00000004La>|F_bT}8dVt+h{4D^vt52$xz40u4#JR|L}Jw!M(t zQz*1aNmFP6D@)nRzKF^uf-EW`A|fE0JVjQO#R@2^zsGx`q86#LweR~TXKv@7Gt0SW zPD@%czf5M%%>VoT|M}0HnK|d4bMHqonanOjGMOEa`ox_q_$=xeP5j|{c`Q?vn|bxv z#Az!Z0}gVVWLVE=swY+#rM$FJrY1hgA#rLd>m-LfE+>_IVT{QhsY~KqspQ=wlgTj3 z<8T_6$0fHh>!r=qA@efT>6LX%!}av9tS_fd%F6x;r?TFa%6^oolsDOAsyFGEM}^d7y^SVLLzWrj#uGO&cH3~Jd0LE(py63t^Zvbxj?$+p2RmWa}S3xo-Z zAG#rWY2X-%qWMEISBw9$Oy|%Ib^SS-@o~hc>se2?_p?&&3B)WShhdU91~?gAcqzw} zvfLJBgS<>t4k@pe>EzUUnRm&t-Zx5J(oS0{W#gpMt~jz%M*379>r%>)n{`Z8ds!xr zC6+Q$He41im-XeOg$<`}Gjwa(atGoN#QPCtU^(L%>)$(7+Q?%y@R+!FF;hqx zwVrt?!~CX_8MX;^E&Ir(YnRK1>-Ni>^hpSzJH+Cs`djuL`-_1~n5~|2KK1W}#za^@ zk8S*HgRP#c6YFUw&ld)AeiU)Y-`4*{#j;L@4GS@mV%H+8f|M&F;|57qP@4Q(i~QC2 zPYbh10t>r(AJfkBhP@^OH-;;5z+RGN zOsPr+_U9ORprpjGt|YL4UB>Y1{zMotXMAuV5)~$;xKGVh*1NE!H0Ij34F=RjpXi9m8bG9#UC`Y)oGI4WnF7yfSnaehR`JOZ$4-kLc>|>h0+3n%B5=uO_L<5hPTDC`lYF?mTzQF?dgXE2Y1poe5;*sL zIyk-(sodBMrUPT&I@k|`{V))&pSHAd$cwh4f_ab0&WIa6KbWT3B~psBNP0`he17)04A;ncN%xf@tUDJPF*nnj%& z_r@`!lsBaiDTcA=VYZxsmwnRyftJ#juOLoA+)0VDjaih*$TI08Sx=12$4R9QDJ!{| z$}*YCIv(rl!(2L?#1hXi0+FL{$$~{o7k2b5=n}vzWQ~XER($Q#A3VEFcQAv6K@Pz#5%+e^5&4^V=dyIh|+fhJ%y>oFarHKGC1Nz z_~z1|Z^Ksl{wBmMB7H7Xo&V){57*f+No2|A8>L=pvn`dfrc~NusyCHWr>RaYC%?%) zDHpDn$f!)*&)g=dn0>K#E~F<}qI6G9>B0p+llJWWlAzuuZZ~?p00o z;d0Ox!%)~gA~>QCHun5O9mCO9?zzHt$z!=*%43NRyaB- z@qZ9!oP~H2kjld<6s8D+bUyPe?|)E5mB^x$k%8s?52>gUd^$uvAtEHifs|MbRkuK* z2`SBIdH=&HQi8QIFfSy;6CzULMl?W5FfSy87U_^ml-`fngt!=S7{uiRDbgi}ND8FP z%ST5_RE3R^LgGOblRZ+Xni_%^sPO1~Md!TvrAKSg8+1L17}Pn^`%(b+kF?v$yMCQs^`IAOy4sa2CICv;7j+u0$ygt82sGtRvN=7@H% zjR$ue+cm$ZcTwGk0VU-y(3O&*s(cDQ1Gyb2 z=5_9)yeU^Pw{uy=vPBEgAUWki#uV6ljPyQ8Fj_bs7&vtKf`d2+{!J4nEE|7RP$Eai z5E>E=^w2+GB+Dtth><6T42Kq{DyrcyV%M)l%ITEDOcEnoJm+W{4Q1q=eKB^CXE+Iw zlS|`_8ThXaDqOZw3Z9IN2mBO2_^E#Iv;5$z0H;413;3rEHqV_0goo!Y0^F{gBY{0m zsVs&M5)m%J|6LHPrcRlNQ-A#AsZ%FUoG`wkYSN@B6DEzXtVEnLWpcl8^nbWbFHuhr zZa```DvXv$rd$<0JhBrE@qq6IIM3+mHT5-x+FTti(gj-!_6A4t_K)*IS9qcK0b1j{ zVJMct)^I)@n7rX%0GcB;SE!j@pOgB+sZD<~7&s%&XsfRe# ztzABMVNZ8gHa|NX--ZV^oL7f}N8`c`iuzqd6Le-A1~|+6R}&Sb<|9r}3^+W#0sCRg zLn<|VCm#F}tTH}Wz6cCD{ci(}zl_)gBNZV$0Z_dT{0@-Z0T&vZ8*1vawT%r; z`TCmHym@V;J7i;S)-+}(*c#(>Iur)os)6qk4?f!f&&G%A#^V^k@t1IGup6N4iIT_c zaa;`QV7y(^`2C#f$rWsu(qI!*`&P-2G!&MX7lkLnkUd+CMjdS5=f;k;TZkXaSHm+X;AxX^+&>FADa~sRYpHm)rsJMN zW%(=Et-%b~tYQ2e7-P%=w;LwW-`Q7fMa;pmHbB<6xV)AY(VsvRdyyZh8D8&!Aja@oi{aI&fj0vi1Ae^$-Vz_K z8;-5<;DjEkF}^Jx{AL)hgU|MZAM6J|BtBf{x^}=hLfzAx4t-QS<%H_sNBhB-0?wN( zJPorm@~y~f1KVdZ;aG5Rm8#5kFVTWgXu}@|Jh|<25rm2sjMa?5Wq}p8O(r>B31;`v z8jj^LIM|X`0}kfZa6ItTk?`K_34ZVs{opJ7;HLx5tzL7kras?-30-xJnzs#wGyH5g z(+_@@AAFS`{A@q?IezeS{ov>M!O!=DU*HG7&<}o*AN=C@@T)M*hUc710B4zf^*IWk zvFVnNAH-L#gWu{0|6MHGsd$y9RPV zJ|29P!E-wRPMhf(3$Y=w%(mKyo0*$}KH-jd`Gv3s36Wwr=<&yyAnKsUN8_YV-0Fe(>i3cP|{K^JrYKeV%CSD4Xf~1nBXJ(3M{3eSvm#n9cNVKlqpY;HUV( zuk(Zd25|gks;Vm{?uJwwY|dNlOYmC|BpQnPJ-GA~z z{~2hHeqZCIyba#)P(C|=S0?-Tsy%`B12*(Z2jF~|kdK12=L@yXxrSV!wWhv3#%I#D z{_IR(79MGphRsa?msq4L0jG85tyRSK12(`i-US^0u$>Q~r?O4*6j}_#=MsNB!WB0d9A^JW)7FsG+Ak#ht`bQxIy9 zN4F)tw{emm{A9psOtN$B6hGys0=^BDx3%Q5H7(iZ+|0K6n&xai(NixgLB@yC1G1*( z#+l7E4GsCi%xqIW(G$+6fsr5fw6xX~>S~(nkktl=iIsx`gLyTao&v`i;NSzEEoe)9 zE}L(tnVC~{sCk<$XQI+$q^$y+uah)2OmAz+w$#_mPGrT|puriFc!E906MwEJ{yb0o z`JVU-Jn<$b3WM>;a9=LSuS5_ z%{3Rqld6Ro`I&9a)@M}#GR{z6L#24Kq_)-1w6CYu$jj0)>GIS}x*|2EE7NM+RjHZu zjntT~PL1iCsWDvxCXZ$BI>7OlaEElg7y1UEx#Z>x`BvNuXl-n%#gR79L~cNIn~)n( z>EVHI`@wJWgMZHtely@Zz%STeHnz1kwY6sJn)9={E1cQf*j7lisr$a4Nw)xwzl0;| z2Y{xw{@$7<*$>ksyDd$!AEillJIMHAGDcLszya0ToF9j4gw|y5+})8b-JNMR^{zC@ zev)oO?@rUUdq9@%#pAtT#9zXb{60WEX6*a@;6L@U{XswF5Bb3#_OksEFZ82+ra$JT z{BbYz0~l?)=_j@9!THu%*@JU62W6Y^nZ&rZCdg?6EHLgt{s0A<5v_YIMYn}{2UyZ4 zyHEA57y3QD>8cBCEnnvc=U1oTFX{ckA?4kjWJA<2%n!b`AN)K&`1yb*A2b*EDZdbK z-aV98>Z(`Oylv=Q1P-1JRh8L>S%<19HE(0M7!15|ZF~mH2EGK~jQ#QZMCS z1sZ>u83?Mc)V!_fUjvxEM?8ayQ}Z^CucyFqSqdDNgM)TXPSlDkQn27kaCi&_`5bSu z$^RRE%CFYL<1Sp^1RQ^fZ+BngiN6;3t)ahZ_V}vG>TF|kHrG&9mFz*XZ-I+Xv{d#7 z`?3HqOp9Cwf}qdtl;n zmFPLgn|;9F_W|DmF#Zys_;xaNLyw$4|iF zvEJSdI4ALB>+L;$%J21q-{%Lv-w*y%z!T434**QJC%eG@IncXv4vO69t|?^O3N1~! z+Wd@st}fe>pNS6+A*l_v#rr`&=lmjQU$SBKxL$eOeb}$`aMcI4rvKUx{v_c1ro()J z50kWJleyq2up~b(pY}rk#?Jw({oud#vi%u9<B zlaJRvKjlmP;QW3vkA6GO555fW}iIF*fzD``0g<;14 zhCa!RpE{v>ycE))ezMw}YpSoQ&EbuQ!i@Sno=Ymsv>6aq zz7#b4CZx8eI(#Ubl;zk&O*k$QY8g=AB_ML^) z5#~8Qf$kH4NiYOY1dL~J@pPs=;Sjd(OUZPt0E|@SjleG_LY;I#|2Zw}rTN@m5%GPfuU_;*Q?F1@b2lsfj52;zS9ZUGWwv6PgPZzw2L2 zt6US?@kDz&o`14UttDiNpNgwi0gT#Ef>b1mE0_866ccnYTHx4@l|YpjxVZ4KORMmM z0TU`G8owBvhO(JiW`f{a`&6AT@gNAr^{;FnC0GMhBcuUL%U=ggOvSaZ?B*2LLnD%w z3b!j==2{O`J5{%HP2|ci-`I%1BC7jO*NSM;UR)K+AKuQDQPoM0$xvJ!%hy?4A&p&Q zQd(Rk%SIMg%CeEg)v|14am6efSzI;CMiy7jvXRBr)83)0uufsHm%OQ(Wc8He2q|v~ zlCrw8qN>8UMdPVTDMn>cz!ART29f*seuixQg1)Y7ZeDQ(q)0f}=;%BCcz0FRvrTwz{&qI!q^zOGd6@=1~wRXBm-vNRM_yBaJy=1AZB@tS{rv zpYjSbe^1?EcSQLH-I?S4;41*<4S}v@i+g(e+UIpD)FoM)4PS<&M-x^8P8*J#+upOZ zZ}HN;62;0v#0KT363&JkFWCDT+UGA=*tJA~mSiJ5$}bgM2uTifzH2zMz7apUfmeE3 zxtVGy;ZP1DbV}Vms2qdimV@$5?RX0^SIF^wp2Ey_9Oq}W&#J3$Z)mI&x9=5LN!G?c zF1Y`h@Oz0X0(io&X*?cVa#~l$$X8l+0?>|O!)iGtt$M5f=eZPy%!zIa&KFz{Nu!2Z zRFHnA`9*<}sYb!p1<={GwMuD8)&$L=0zSw0t`u@50Oi1hof)XLbe0LqpGb4K+64Up zDrj-+-!D;Gax1X(yZ!r2O`3n`g}x2wK0~CLms_bIpa%$?G_5eE@i<~-M)O-n68CZvxX|lrEksR6yDDNi}8e7}30jN`0 zOR^Vx|@!iQy=M@6|XI_d;Mjzu=YCHRv_`#O~UIDxLyBN7cYI9Ak{Dq$B`GR=s z8N09g#+murY_48>S5okzpK&W@YU8nPBTdlb+)$f)mifV#`@xR~oLlQ9eZ5QP_ATx0 zQU+^D*3|mb-1<$>)00EbNDe*I4YhUGS%CA_byIWyr%U;nC2mp5hPHZq2m72_^S2z5@hMh)3~c zKvAuscrhNu>VTp`L-A5Pisu4~Jv9_B$D?>5pct#6_@8(buLKmMH56}w!aXuy4H$OT zFuWblh}Qy&Q5uRNIGJM%i`N5+?KBkp75f+zYXXXq8VdfkCGz=e$ z#qf5(u$6}4<3Tg3E3HPX3n+$bD7KI1iJ|zck<-;s4aE-eD24|V>+pNd;TdmKJc_LX zinlcsJI13J5m2nvQ0xo}_vjuOFs#uq?4o5*A1vjd*e+mrUBi%#*NjmC#cLXhU1J%s zbHMPbhGBGIM3sG9jSeVY(NKI26!rvg8iFx#2=)vJp3@NQ27+jBhE1pl z7*=Z-_5_1tmY{-aP+W@NuMZFE%W=YptGgV&i7scp?MGZM1bKcjs^LBqL>r+>uvQ|& z7ToWJ{;3!G0Wb7_d!c{kh5or0`av)BFTBtXd7&TnLO%j@jFSaYVz`Ef>Z4xDAM-*# z?uGs(&>ju{m6!4-ywFd2p`Y?XKMizDx9y3!8PX-85_2=IKb`N%y@1J?`0#kEjyJcP z;PXAzAK_L{=TJRcK33C$BSFDd*{>2`2^dCe7#27g$p0!Bbm*hpO|Zd_2Amx@5pMt^ z(FPlSVW7U+{yP{Z>lb;oz1xXq?@>2`Y zN@M>LCj;$&9Sk~jAJ8#QeiMADm-1u%lpp5@U*-p2?gu~K4}JpRamJmg<4y#gxlIE%Fi!+$Dm| zZ>Isyr)BU}+I4NU_@295v+?K4Y&@s?nQ?|6{7gUiS$^R|V+F*8R81@^5&d zuLc@_nM6+eW}v!iQj!k4CQv;g3HaJTb+U2#Eid$SUg+z+&^N?J>n;_46bo&-RJ+{^ z{bMim9YDv~@S1GD(-VJ}m-Tl89pf^~Wc)o|%J214exDo8rrEBspNeI_=^FWIw*g!i zOz>y@;LrQPU+{y!=m&o(fIB`vvfW?!GbrpE0@tP98}2rcg6kWv7DsBX7XRU4!CRLBfahZ;zt4#9K*nN3V$>( z!*-XDX4r<(Rxvqj_YFQ4G{y2PylXIhJf;~OO&pLTQT7M&}01xp-v+I%ru+ZJ__Qmt6`M)aeU zv04T+t{BL$Rp5=cH4J+@86c1u0R|m<94e{7F(ys$N;jPBgtNzV9yq{F!3NL;I`}M9 zvfezLZ0G|~>1e*o|KEv6AziRm(}mx4Q?L;u;R4-K`L}p*&W*bJvY$l@9X_CT)3xl* ze(*7XliuEcCgr>NDIeUF)p&L^l%l^s`_uz+H2ot?&2t#E%0$ zdFNL+(GaliQTNd@gy-+brUI-oRDyw89P=kP6~#S?#3HTogID{($NRx2_`xUo!6yOk z9y@e=g=QCXYAp0~2J}iV^l7ot)f&rR1Uk++yBfAn(%}CZOZ$@s^xuHSpKZLens6@o zx(2-IreMF)ejR)$8t<^c2H(aFCp)#98t_Xug|vVajB2k(5-!U0&#)c%knG zI)>{_rr+ZSzqc&gX@nXk#k(V5vb`Q~Y`-%2M)f@2a%;y^T|Pm_14cIO!jm5vwao&s4Z6Ww$^WrN{>-EIZ$rj zaGFe?0yJmemKn8es=;dBX8cq?7g zUf05w1&hYjEbQy*?a1!IS?}|z9yKp*p*zGV4Jv1VjW(8HOMqlD(+fSz3!V2uALxZX z$O~QXg>Hz2M&}ISl3Kfb?m~QVM>an@n`4jhIh_qbE3%=Qxj_->y3NloJn8SnSC8^* zF`4Jfz@vgZ-%#d>&vLwlm-(cU=TD`}at;(+qCbbBX<7!GPTh<>rUFlOIcIS!;@s#@Vw zYXQGg#O@FNbhur@ZF(AgD_m%8%dSpKdF^6%P#SIdjMEOcKZK>$&i-r)THJOF6bUXA zzN4_y$q}=))=?-p%99X7+uGSl4NHS`qjR{MFSY|4-41R@>|;#acX0Qu+fp}&Rf5|q zyQOKYbKLmZwZt5jZhveR5;W58k4-a9KUiKLP_0$Z$}|>WaYx2F_{GAfs&)Iry<>CF zAH2x3k99FSBrr^cq_Nu){!mHX1>!_@L#+Mc))J9$?>^6SdYu>C_I_z{O1S4SVY;>X zZac)s6IKrQRik^?_c>>4#lsy|JKU|^G!ija59`2npHXS_ej5H@GwdUIu2aHo2S4z$ zYuPmZ*wtx=yDfSN{&FyHy)jJ3eKh;^t>CbZAh*OeROQJb#;MA&t&>zNS}G)BsW^eG z5)p{lCtD$6d{`yi^Lj+>Mkx@pl8%bBMZ8RAZQMq&G!4>7ThbtXpE$Op zw!BX+OrSP$-hKeP;V|V3;3EFe`}7)2v%*uDVZ`#f(dUme`o+DzM6R?VYn0v}*5-?S zvMS+T#e|lfm41n-_7$aH1Z1p};{^qxzn)V~Poo>$ZK1}JMavC|*->lp>7pFCf^;N? zZ%KhFp>OSoFhq4w#L|di?#79e@O8yfvA2t8+0JH1WFHi1%i&rlk5mTC?ple69qt0! zFP$9mhw#{HWfbzm=uER>hqw`OyCRXLgjFpo?GllWiWEdV7LnNO3lt~sr1cnQ1le;* z{jsyck=1H>MCn`i93;HHLNQ(3L|CP897HXv6p4O_A|W8w5foVp#3)oD{c060V$iKn zwES4K_Fo&OoNZ}{${`HvhA!#kI|#WK&rHaImsxNio^=rH$p4j(dPO)kNyUWrpob|s~vJ?AOGZ-caJ5f3?A zUAU5lS?##UDKXk9A;!8@i`bx9CEOgVQ?SFW#Yci&!Eh}H3=cZRAzs_S;IVhZ{*?4| zkdNt9&9M1!2#W_jCI-#s)pMKA82eyZDy~j8i!*BTv2;rsL4z}H`kOp)F=9REwj{5= z$%V?=+FhLz?gtx{c; zMv!|h+%$F!YS~=R7x!A3M&F8CIM(wejgg!NM;fgiC>)n^-m-dqpjf&k*^zV3&SyJs0xZ+U=da&MD#cSUsnHPk)%T$btKdw}PAY; zM@S=vi30u;8R8>Y54rX3MTq(IviN-@0STpMoVglgrO zAV08^q;uZg6bnvF2Wd@bA%mMkJkjmR;nw1oa4&0Vd`j$I*4&ojK@Y=#iSKo{mNeet z6!v)b?WVb~a{FVTNVvC7$54b*g`6(NY?1*pP#iR9N9pz}4S%F@BKz1ONAiD$UosMg zu~uY|#(b;9b5as*QPrka;5JNE8)<$_C#xK(nVvSOXk2;z7_e>Gj17xi6zKwt+VvC%DUaC$w`+C#$-F{G@Px-S9s?~JIV_fW0Y zr<7v&6HV1hh+ao!Os~I>9I`FToUcS|$0l1X6|J^Pta5U=zn;&1+r>SxxhJLFotAFg zzLov*Pw7wLTpGooj?*;kaQj1iL?LbIH==Ai+#|@{7V|zxdTcfCC#w$n5Z5HNKxlcN z3jQAe0RR7Z0eqHgY*a-QfTvG+wuM5WKuZf0+q!Bg^uc!B_U^s2yBGF8y!S44!;dSW zS{9Q^X$hoiQsbXuNYG$R#9&BBYHK4Ati^=HXsGcY{_^pUK*A6FL42eNB!K6f-PuQX zx0{^I-I;IBIdkTmnNe5~TgDjs{EJ~9G%N(N9we*;T*Gu!Lw8l(;Z{(Rc`=)Uk*34n z+$n+zgx<0w~czi9ih^zuZWj2>lTPf>?j_I3sEaZ9WK^WHZA2}ZgIUcq zbRetQ5kNkh_5TZCmV2pmc2&)ctRt)aw`S@vZkQ1pK+vjgSQ?av?MON(##!r${V)92 zQRGm>lG8fztA@P_V>h-oS7PxYR|7&pjbX)QsN6t10;BXpbTvq3pf^(d+P^w{1xGQt zL`~UY!*a+mR|(Y8cJ!Z_97haA)TR?NIRKkT5A@Sd03)LVUhl~0@%Yf-W&%F`aB56w zs0Ea~UKCqQr7i4x7@e4ztQK_r60{pIlvo|5BvACjY1=`_gJXx{$9);Tcrw2I_3T^V zhVOkjDNHSg|LB+;R?V%Xb#`ds26WQGMg2n-s7}m{r~yl|Vy-EvRs%(8?EU832xBls zl_(r@%#G@*)5s=Z;D_&~&kK9vcuHv8M%x=Xd-WGof{fVSpc;WtbCc@|*JYL4+jD}R zs@+6PaYpM7hV?jc>ya0ZLRJnUyh>&@QcXFv+Y2B@A-8r@>FD*!n(0EmIZ-=L4eumF zZ?|-w1y!^XapubrL*9@3{A`ZdzJg{K*I>c3qnQ@`;Mcz!OF$W(Ng{&jQg;(~MGNbP z;h$caYJrAa+zc&kzM;z&cX)O|wX!gb|2EO_RPdr)vg+8LrxzIEI|gG1yHpXt?_j7eyx;f|?z2A+02OcO<|)tN{jk zN(bxkv_h-68U#ad%P)dEa#FDq;QSSkaJXOsc2Zpy4Ud+hhqy_2*PvY$D%VkiD!01G zw-35zW??9`+AQB7_Z+Tuld>N#_}<0x#YKoJqVqu2gT~$**LwiV?|Mef7xdrDOqd^? zpLWn3ib&tAOMz~<9?F>coA+=EvEp<|9+2puFXx~V6}h;mTVJBJl$Vb^7W%|Jtzt$) zeg_SI&U(E7=U;ESuG0001ZoSl)&3IZ_jhmTSh;GD%BDfu@jaak~(~dCU z$J?>s0~JKbBHY}25=eEhz7-qLTw%>7xHST4g36?dTL#1QjO3)zH7nAB!KvfqJNHnR zQGf+Wg|Ln?lzAHLFz)10z^KfjD6&vx9@^Cm=F%ffh4jJ8ngpOaVBcCJwRf#U55BkI z9>N_G>Mb(ZOQbNdHOpckdrf?Yc;ec{O;41|Ka`yzh2lrdJ;8_Fk@X(@;A)b@X8-^I diff --git a/1ano/isd/quartus-projects/DecoderDemo/db/DecoderDemo.map.logdb b/1ano/isd/quartus-projects/DecoderDemo/db/DecoderDemo.map.logdb deleted file mode 100644 index 626799f..0000000 --- a/1ano/isd/quartus-projects/DecoderDemo/db/DecoderDemo.map.logdb +++ /dev/null @@ -1 +0,0 @@ -v1 diff --git a/1ano/isd/quartus-projects/DecoderDemo/db/DecoderDemo.map.qmsg b/1ano/isd/quartus-projects/DecoderDemo/db/DecoderDemo.map.qmsg deleted file mode 100644 index 5e15a3b..0000000 --- a/1ano/isd/quartus-projects/DecoderDemo/db/DecoderDemo.map.qmsg +++ /dev/null @@ -1,11 +0,0 @@ -{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Design Software" 0 -1 1668463001396 ""} -{ "Info" "IQEXE_START_BANNER_PRODUCT" "Analysis & Synthesis Quartus Prime " "Running Quartus Prime Analysis & Synthesis" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 20.1.1 Build 720 11/11/2020 SJ Lite Edition " "Version 20.1.1 Build 720 11/11/2020 SJ Lite Edition" { } { } 0 0 "%1!s!" 0 0 "Design Software" 0 -1 1668463001396 ""} { "Info" "IQEXE_START_BANNER_TIME" "Mon Nov 14 21:56:41 2022 " "Processing started: Mon Nov 14 21:56:41 2022" { } { } 0 0 "Processing started: %1!s!" 0 0 "Design Software" 0 -1 1668463001396 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1668463001396 ""} -{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_map --read_settings_files=on --write_settings_files=off DecoderDemo -c DecoderDemo " "Command: quartus_map --read_settings_files=on --write_settings_files=off DecoderDemo -c DecoderDemo" { } { } 0 0 "Command: %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1668463001396 ""} -{ "Warning" "WQCU_PARALLEL_USER_SHOULD_SPECIFY_NUM_PROC" "" "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." { } { } 0 18236 "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." 0 0 "Analysis & Synthesis" 0 -1 1668463001483 ""} -{ "Info" "IQCU_PARALLEL_AUTODETECT_MULTIPLE_PROCESSORS" "4 4 " "Parallel compilation is enabled and will use 4 of the 4 processors detected" { } { } 0 20030 "Parallel compilation is enabled and will use %1!i! of the %2!i! processors detected" 0 0 "Analysis & Synthesis" 0 -1 1668463001483 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "Dec2_4.bdf 1 1 " "Found 1 design units, including 1 entities, in source file Dec2_4.bdf" { { "Info" "ISGN_ENTITY_NAME" "1 Dec2_4 " "Found entity 1: Dec2_4" { } { { "Dec2_4.bdf" "" { Schematic "/home/tiagorg/repos/DecoderDemo/Dec2_4.bdf" { } } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1668463006150 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1668463006150 ""} -{ "Info" "ISGN_START_ELABORATION_TOP" "Dec2_4 " "Elaborating entity \"Dec2_4\" for the top level hierarchy" { } { } 0 12127 "Elaborating entity \"%1!s!\" for the top level hierarchy" 0 0 "Analysis & Synthesis" 0 -1 1668463006177 ""} -{ "Info" "ISUTIL_TIMING_DRIVEN_SYNTHESIS_RUNNING" "" "Timing-Driven Synthesis is running" { } { } 0 286030 "Timing-Driven Synthesis is running" 0 0 "Analysis & Synthesis" 0 -1 1668463006421 ""} -{ "Info" "IBPM_HARD_BLOCK_PARTITION_CREATED" "hard_block:auto_generated_inst " "Generating hard_block partition \"hard_block:auto_generated_inst\"" { { "Info" "IBPM_HARD_BLOCK_PARTITION_NODE" "0 0 0 0 0 " "Adding 0 node(s), including 0 DDIO, 0 PLL, 0 transceiver and 0 LCELL" { } { } 0 16011 "Adding %1!d! node(s), including %2!d! DDIO, %3!d! PLL, %4!d! transceiver and %5!d! LCELL" 0 0 "Design Software" 0 -1 1668463006616 ""} } { } 0 16010 "Generating hard_block partition \"%1!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1668463006616 ""} -{ "Info" "ICUT_CUT_TM_SUMMARY" "12 " "Implemented 12 device resources after synthesis - the final resource count might be different" { { "Info" "ICUT_CUT_TM_IPINS" "4 " "Implemented 4 input pins" { } { } 0 21058 "Implemented %1!d! input pins" 0 0 "Design Software" 0 -1 1668463006630 ""} { "Info" "ICUT_CUT_TM_OPINS" "4 " "Implemented 4 output pins" { } { } 0 21059 "Implemented %1!d! output pins" 0 0 "Design Software" 0 -1 1668463006630 ""} { "Info" "ICUT_CUT_TM_LCELLS" "4 " "Implemented 4 logic cells" { } { } 0 21061 "Implemented %1!d! logic cells" 0 0 "Design Software" 0 -1 1668463006630 ""} } { } 0 21057 "Implemented %1!d! device resources after synthesis - the final resource count might be different" 0 0 "Analysis & Synthesis" 0 -1 1668463006630 ""} -{ "Info" "IQEXE_ERROR_COUNT" "Analysis & Synthesis 0 s 1 Quartus Prime " "Quartus Prime Analysis & Synthesis was successful. 0 errors, 1 warning" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "402 " "Peak virtual memory: 402 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Design Software" 0 -1 1668463006633 ""} { "Info" "IQEXE_END_BANNER_TIME" "Mon Nov 14 21:56:46 2022 " "Processing ended: Mon Nov 14 21:56:46 2022" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Design Software" 0 -1 1668463006633 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:05 " "Elapsed time: 00:00:05" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Design Software" 0 -1 1668463006633 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:13 " "Total CPU time (on all processors): 00:00:13" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Design Software" 0 -1 1668463006633 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Analysis & Synthesis" 0 -1 1668463006633 ""} diff --git a/1ano/isd/quartus-projects/DecoderDemo/db/DecoderDemo.map.rdb b/1ano/isd/quartus-projects/DecoderDemo/db/DecoderDemo.map.rdb deleted file mode 100644 index 515af9a25e619ba1c92d26d1baefe3b9bba43df0..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 1341 zcmV-D1;Y9i000233jqKC0001M0BZm=00011WpZ4R5H!?6F zF)=SOF)uPOGB6-hN+3*WbY&n#WNCD1Z*Eo!00000001lm00000006N80000000000 z002D&00000004La>{eTE+>rCR|HiFJjXO*Wq~Qlf8%SULj$@<6raA z-y06|^CzCS`W0fqSGtluC{xoT5o_uDlO6xE?04+$?HD`2|8Mgzyzr}t@kG(94EY=YEuY8}c~1ZB;bW?EAZ^W>zLZY9fc z{Z?WTx-s*xMP z0h)6h67=Ex(TpC?cYyf*DH)<|wJO9?OTx@f2saVP&6w_6N{5pizpBx@mZ7psnI#yg9 zpEJ(vD;6<3Q5AXzB_;|lDa3wpqJJ5pW$S4esS@_Sr7P|uPyQ!*CO|TUBsdQ zqpPUtfS!Pemv%*yW13D*zJ73W{D2$BH0k^l(``mRmCl2_>3AR0^k_U8Pw4xokk4<> zaVC>P{PoRe@dL;iB%P<~NJ9K2{0Sw?YUoll>z>A)?o1Z8l7A zCaBPD$-I$drq7NOoZlacM(OoWi+AyU+7;Rw)XY;lPpD5YrBEiW-VQi7-nBZ;6X8i< zuwH_OP$FQmB&3MnL+CUr4hbC;wUZoXH&BAa?INs%)lo$`fXD2 z(dPIr?HIPUG_dmyxwlYXLg1hBb4zS+aiC~_{1*TK0RR7Z0pez0WDsCrU}*Yiqss`S znShuZh=W|5;)7fR{DVT67$SiD{L&xlK#G9{h+*>nPF}9gA)k`?$CU#Y2>_Fzf&d=W+a!fGLxNDhm$v^>qx2j1O^i@^OW#W(6C1l|eBZrdklH z+Q-%1(FdxEjbQ^&bUVkNc|ZzmHB1$!mG$pSIVfe=-$KCZs5ejywT z3P35Dko==EKpJE%%z+SP!68AOe(v#5)tn3oKowj|wAx_~gc<7Y80zjC40RzF*ih!A zmn&F-%GrPzThB(5^Y9*v7x=aI72Mz^YQ^T4@lLQ5%2_nfCnVUcCpXlDZ&*yXR-hJ-fyZ3eg0Dy;^ zoz@WmAgo8&I-_$g5b@{pK_QSJXb=>1oP^KI21SHGKu~D#dWJyO<*6?~vG}4KP;@rF zXuY>eyI~;){^tZRx@_>jxa_*2i=A{6efg)8yn(Nw-@7?q8Mu4<^O}&m?ltvZjLl%i z$6-HmcSxes83(ItawVyEx4fVRElC5n?z@v>x!#3P>tMuGq5Kk|12gTXeTBIyGGh_D zwM!V}H4>e(H=-I@H8iN4|Eim4ra0`Qg?uYHSD{y|&Ggumt81_5Nf}iiXN<<9_rWJ*l4qiNXC`Q+QIlHxv<)##u!TkXQ|agAN_XZ z4an8uia<=Iw8A7cxCdbRD+TG?w)6KmxNtU4&KZft^lw5ho61O~ zp*i8~$N|xI@#BV8NdtbVM*7JhO zH?vjMGcdz`gZA2=LfzL6X8^$AD0V8T9V1K}+cBhAsc2h~=XYORh(;t9cm`MgN(z_6 zbt+X!wGU=MA#U}ZpcvAl8(yFAH_i6ff{IVtqJzs^5dmw!vXk+*x?O|!9e$_k<|XXe zZMd1OG78=vHU6;e&20eSF|xu4^RYt25FPWt67KSMuo%m22GPUsmV0=pKr%)0!$TGi zJy?j(pyTr{Y-ba_SRHBcR2|@i9iZ4f65!yaf)AMYpWkY@PsEELVMm)1(+$VCM<9rW zn^EQjat-fsS{{4pf4<71Ky6 znO|1^0QTwdWy0|9y-)Kxb~5irCekk?d!9s{)=t4rF$7y~{$0H9+t+TRf;K)1g6b7P z$E6S`J4Q_d9hZJ^J+v*?JI_F{_?NB)?G4DLP52J;ok4E zbI&ZJr3(n2Pw*e~Zqh%=D(a0$SuBUbf2x|R8s7h|b3)(c^)gBAktJ~soRyAui<&DF zw!Ngwu7H^=(}_Y>rN;MQ^&qyS$GAjwafJ_{d>p4R5H!?6F zF)=SOF)uPOGB6-hN+3*WbY&n#WNCD1Z*J)}0RR91008qM00000005Z_0000000000 z001s100000004La>|F_bT}8dVMdTqOf*S~kms$jYh9q=>$^+Bnw!M(t$1& zrr6RZB~43P5TQVU0tE_IWvQ|iL_`IIQrYA^kzH9tKmpO;^F7g@3kb^E_kEKy>D+r~ zIXVB+l49nUlbJK~|Gxh+bLQ;lZkx$u_85`L?1Fq#EW3l3i;KIoU9amrYhYP6*Ik^x z`cdHU*~4}&E)H#1X|CmIpSigBG+yJ(T(@Z+y*`*+^Oa&;_Gn!i7s@s7KJb@|UN6n# z@`U6zWxKYSI&@j*Hodxyd1*W2tJ??Dre$^il;*lUlxLyeT(rE)CRe*lzpmG~{h^PG zUJvQfaykbz^Fb_iT{C)ScJ7e_KV%e<0_ap2_T**-h1|75v){ zi<*kNW>mLxUeC}d;&fuUSfV;=dVIr%4eyJ}lwv*eM^VqIz7GrYjp(hhc0y0@ai=(2 zD66!O(6VG;4Rf8$x^tt-_ai5o>*m7dVkf)bo9<_pV_rw_OadV3zp35?3qgf+Ochv({8=9Wc~J79>c=@ zxZXz(HXjA7UP4$c$DFcRELykDO%5$@*4gCDc3lq1v0b`oUD{4xu4Uuo+O9aVT1LlI zuiJ9U=(sR9?WMe4*H}}ww2te#UAGN3C%$y?ark6iwz%rG{kC>p$6S|9yUaRWhG@~e zasw-(bPICg*I}`7>b@&&)7-ke_lU=-N1oQ={62A!m9gnv%Cinaf5=wo;nD?x4z- zMKxLEuEo}aW}U{Fb!J(Q7M+`IW*H`wo^`Q`iDFDUVX4ESTzZ%Ddm{ba$Qe}H#9Z6D zC(7mfJM8p2=C;r7?&~_XcXrw1@*uHRI-)}MB+?M<7vluzp^ z9W$kJdc9OGw61ZkycF-Ur&KPqo)%9?k8XGQ=c?B@ZKuv%@}>2ImDhN!SFfj?m)eyv z66eW}N9&g(A1b@vVLOJ#A<%F?4EMuOI22EN9P+4pTr}@7=&wLg+CGN)p5~t#{ zRc~%S`g16qiPF}{I~Ml!=ym4$gp#?w$jOrq`p>inma;RQ&Yp$cGdp^hv@e*^!BZr4 zrO!FI-JiTR69)Z+4H0@+F88qs&x+r8G*z>zj7U zUWAx)B#y$8bu7AfUHLlTxafAhu5&#qbiO}ixoCM;4v~Sh661tZH`7P44ApVLST4mf z0t@|OvffGPn>}xK_pxkVVQd0~Y1d9hnRHuY(IL_yMAblA4*Z9sHP=M54DI`3(L6&v zA8-zZW$;hmdE?Q&j?Ylfm%~=}-(gsEzisZgkAiO7BDKTD$vVxOMVSlzHq*)I%MV2r zS>$zC-it?MasA@>Sb>GPj$Pawkb$%mapknglq8-jfujvKU}2xp2A$jN(fwcA=EEeB zC7*QBdbQ2IT+6z0ZHuejRZpF+HnX1mF8j1xX}hjxPP|?>ZPjI+Yni0Esi%}f>(IHY zy|kX|rM#M>v|h`y-b{`jW4Z7(?IHmKRZNg z)bFw{t@^dl@fvjUUUfF+0%Nh~}UybjAgC_Ig29m+hv z>%ZMiDN6hwu5xjig5?xgXWZ(hFh!VjC$P-={@dK9L>9GNm{{L`i`$goy=8TOSxcxD zEioUezK5133#zSY&F#H2*ua3QE>(|MQp@+0z2oHgU1gU5{@*EM#`m{|u`jjJ5fbY` z1p$1S41SsnezpwG6JExnv4DTpjjbH-Z{DQYenemWTx+q@hk9rKft*~OsuJ| zDb(ibP_!mr@b?Et^5~5gp({k_1Aw*#57j#P9Oold$sPYZ(40hag_?==IjyfW_vvp2 z1E;Dq7w?UC9n#^?Y*h1Lfs{WU` zV3=_<;54s)nm0g2#WDuNfMenrFbQ+`y_m}lq*8Ic4E}8y{06{jQL`u z#P#JcoIOkEazt;LC3>#~?bi&;z_8rH@b}mZCxc;yh2bAT21eMW{|%t=r(S?T7A4k1 z$p?NDay+XmG&VQX)MslO8=CU3#F*c|5fEO(APs`xhcyQN% z8U;B15{~A*0A<{Zo@tFbL1j92cft9?EzTbrRIeFa;X5qIK=)kkPefykzH`CbBY1t| zk*3#X*{A&&G;(K9)7IK(V$8A+-+@M&)<2d_lv(yMbfQt<|BMJ;RZ(=R6j%F98N3T{ zj=n^?=g2(omcf4pIIsKE)YoU5YFekXm?2Y6MHP^94&KMm$o7({t7IbV#-?|PWz%~c z&=_6sf&)-zI}kmBEjc!HQ-#8T>*Se3cA-Q9SrsOtGD~g~iRsOcw*rx@3Ew@5fW_ zn)+{&!G9Uc_EqqFk;U_0$3nYIe^G>fUFJCVmtaV`qxeuf_;QFXvjlGEcyL$bb^)Ai z4Y|5}TZ1_k%`y+ADOEaND}lye;)_9JWX6vT!(En-1C-v3c3uW_A;$xWKd;X&>_GzT zgves|+~Css`5=;!aE*{dfDR0Am*Rf~lx@z7T`u6E0OBuEj1LoFCjy($*V-`D7v;xf z@aF(0y?b!@l#j+CG~35IJIaSXAVMFQ2wf>c9|Cls!+fUmTAKj=B^jLeiUiuPk->is zIQ}wK)fHp+LT*jI;5{WJ_yq{4AlaaO8fZRuRp7a9ea>n=Dt#V20}4S0yco;=yP)B4 zJn=(A%=DXh%3bi^#)G?N_5TH&(QBR7#LIQ2VP@GEz2C=j-(|o{5xRP;sn0C?bpJtw z{v*(Wet%h{ybTc%D4!a^E0f*6YL{v60Gvk) zGWe;0)0kx2+A^8)(*WNI%G+9U*_xJYb8d25eNA&VpXh;^(?P~3-6OK5=Elj*H4P2< z!sKjIKG9RmXMmCSiCS7~3UxKjbtqaB#GG^4@)S7E1P7lDZGkWKxop0nW^&GI2r7NH zoP|cgNLv9oU-4*anAp~mZKdzh`&&XUj=+> z8|_7Dl3ko8*;mpeTMe=uxariiwB)DevMsshe6o|tuY!rQT)xnnYc8mVTnm%(liQlT zkGTTmoT0vkM)goiZL7?*uczkZC25&-X=)~2mKxLLX*sthHIu%P8q+sZW4a4Ib({-p2MBrO8_zg1ncVzGz z0q2XgIKFIbYi(+4&DJ&Nr}C(9a&u!_A<;qIcV#Ah4{-b?98uo~G`0QjO=*(doF>^V zX_EaQO|n}-#+QvTqVfd}sMhBEXq+RoCVO3PUAlC)r|IhYG|7ILuA_IPY1^G3OZVjQ zE->OR;YNNppn@6u9vS>cBHQniDZgI^e?VmWgCg`pGSeRxDSt$Sz89lyFZ-mHJu=@q zC3|G9=7?+)ZfA^cYl56L!2;(o$Zw&*Hlle|ElNK4o4~R?*>$S7MCi92rfa*A`%HgF z2Im(L@R#)Z;0Ro65x}>W!FQCwSIXe$1D<@)Tp&|^A>h1vsL#}m(Na|O4IMrO$bK|9AM^5U`-EVvvTf}yY`av<5{{|%Y)Z#v*HcU)Hhj=#j0 zyRQ`DuL6EY=x>^OU{z&xwy`;zYpAM9_6*w9;NrtDmHi1NYw~Rq*T^aOP`J>FYvmNz z$tk`ir?_5D@ohQ94WQuVZS_J`UB0EZacZtP(bE#&0TVZ@M2|?`C;@+00{$Mr_)ENz z-z3D}EX3a;#Q#8uzg3984S4C^dR+<}x2M3dJ_U{+fq^6cgmFCC4=8B zgWn^A{|NBJ^VhuqGwjJuuzw8n-kgKVE_BxvvTcQyrd(})Qa)FgZOKo@jYCLUlW*sJ zpDZ{(iTq18tR8VFk9i#SQwQ7#g3tAz$>5Iz&gT;I1wKsDnoSmhC%}??yL?iF{<$mw zPs!lF5ZV5;O!+f_C*G)g@DQ|MDxNQcA1{OV%HRtCPd;AzWXc!H;EQDN6J+qkfG3aK z5}EQ70q0xo1NXIzIkKqen=nrb+rVvV0=`JVD_rIS+sC1TrxU$BJ$>!-J9_(O>tCWG zf(!47^HG|~1wK}fm7+uma?(6A%|#{aTN>M%Yjb9cS$3i40m`4YX{xWORZsJ`mumX+FFCKsOW;`(11fxyvbP@^xkW7q}KD5 z9+z7FSU`-PW{gUm{eEO|>@Ol4akvRsa{9lJNnekY>JR0M_O$~r3?9QVRLB`<6#>i%`$jP816E>Eje^*a_F?= z(CNvc|DGKBpM!)N2aQJqioZ-%cE0l)X|CRBkFZmx)HyFz6=6BZqIAq4P1ZQ%9m%1c zfO4NwXl!lAJ4kf~Yf-VtYNKVg5Z|5L^d3Ny%^SyumHM{+1v207ZqI{vnXt&3wlBr>I=_e8GMNhz7%j? z23XM7yKqL|!rrc;Xc;Rm@6QP9cR~3Tr-a8GXC{Z96^8n{>ukVztlQMwe{z|RcUi!Q zIv23v)KY}N;gc*HD@cw?4PZ!takcY6k)^6 zIiO28Ciw$N0ce>sUjQabi;=T^5Qn4pZ2W1FB|r{Vd8C*be<@o4=PzV)7U^0%zo)ma zeP$=h)>IY%L+Z;Y3v4(YaN6+28SOm_`{pm~GpLJ-Wi}W`Gv`2(Z{hYcw9lG7x664{ zQ^v!JC|!u01D)?FPp)soFa6+kz*b(*a_*VR=rkAd1JF1MdCL*`rgpqRoh#({E?{AD zJJ$1)+Hw5U-q2X5Zv7dsqN0y~bTly}{2Y2k1oxbG`m`^_i9Xv0G4c)1P6RqIY}m|+ z>sI^*S#zY!V91;lrqJ_6>72-;s(^OWbp>Zdl+Hvx2)Kb%1fRzx4qilW$m2D5UL|aA zEX4cRxH2R%g4x}uNZtqch|oV0q3;!;|4W4aZxQ;(BJ_PC^iM?S`$gynMCb>B7Hl#P ziIhJqLO&uxKPq$lry}K#iO`RW&`*faPXZknFKmWX=BB@G*f15h9a%Qh{aE2WrIwlo z^$nATwt9R9d|IvhLwlO>MlenXW9h{G0NDJ~t!B6$3`biS9*oVf77U#hhKFJ^TnUC* z7KVpoGpqr_91FuEV2If{mw=+%Lh*cjiq)X#wNShepJEj#7Fj5M9iL()D3)3%UW`w% z0u(1(DE=ot#d1(AvrxPaig+V)85ovZ7~Y6)#L1voVWAj-!>yRZVkszAS}3-PPq7FT zt1J}Tfr6o^az3c6H_~1(thO+GFg8Os7%s6eY#+H%T^TfD4k*@GD0Yq?iCLhy(n7II ze2Pv`thG?=8lU25P+V`J*eyQAbWq%Aq1Xcy@kaMlFx+He__Un?wL0lR(F}%LEezTC zZWKVV-a@fwY$FZ_!(A4Jk&zKq;c+zy6!%&vJ_8E;l|07JnkeZ&Oa#Gw7J^Z62@VCp zgBF6lKw#-jiwP6J@Ti4hU&sa~8Y*}LKUKd2E-tWarb}_8pl%1`@I|wn`#v#oJgCr% z$#FX>ElwCBCc4yu^&<2SMd&+#juAXaDaT7qzf%UkE1vD>_^oh7henvG5*?3I_wdUc z5x&&;>UaZZ8wY%rQ2nuC^?K{u3MOoW2$Xv8MNqKLebq%Xyz|P24cl25W(OI_??TTB zyWoQ#2RJ)$EM8MXW=%f)+(>=3|2yw4>*tAl?+&7M?B77_cd*31C&)nOUFi8h#~J-D zd~Z;@w*NKQ{}GG*3xW)^--Yf2ni>KZ99{5*BIS!@%1@BN7t7#FWbmaj_=$kW8F#LZ zI|+D>3-_Gm8#P}F8?T4$%NVwwungNThbd@*3w}y0`(5Z$Md)QB^l383PmkdBZDkK~ zBU~!^;&uk$=ndR-ZLDjn#mAU(&CZ|a@$oE|nQ^8JewGY=whX=ka2~7TUQ|t?*8S*; zFMj8wz;SL09OtFLu`&gY^Hbos035iDlfk=t$vWl26pUB}4mC03M-J82X!++G7#9ig z7Yp%U1)lc#cMPtH_3I+^B@ueeL6)GYDqrL-jnD@=A64+7FB73J7g@e0LXYMz-(J@4I$TuY`Tj6o10m z;;xpn#lM9qXrl}Ms@(_F>f{?hug6pFx;*%PaL3s8UGQxH4^4bJe6IOp+sK3%!@#u_ zeIPQ!ca>0^;TlTY4T8fpm_8V};<;d@&2Wu|?FV7TOBj%QSO(;WA~Rw{^mm{bX`%Qi zDDdamu-NKCe=IV<69*ss<7kXKs(u3pK5KE{lVKBdS3D1jeJvEb#xR3!xbVB7k?rBh z$;I#~zDzy+UzMz*_$lMj738UyZY`&}WpK0d|c=)!T9 zF8p?wf=;+5$G^mbyQb$)!NWidsNDtMLk1rOIO+ZU=Tg3xO!;SJ@V#a5&jF5yuQNyH z>sqI@C%TZZugrq|01wT9zP{gIh#w7n^3Jaaq9LI66s|4j06T+a{&pQyRDyw80`sQ} zUL}K9%issf;A3R)u`>8Lz{6u_J3IjJj^){x(?sagMd&kPp?84gZ&)mU0q8i}>_>oq z&4T}PEbT7zUx3D+Z@jaahi5JaUJX;QUtRF6;C#RWAAF}UJmkQmVG3Hn80ZDXfmDSX z9^rwjk(4x3wFrHn2wfYYll@Y6gGhHlgq|6p-A}WcJysg?t_Yp%o6>WE<{rg+k3)Ai zb2E*LcOgT=OD(Sl90!~ke62W-SH;`$ByFO1R}0|b`-S{iL3`D~2OntKXqJ6pd|Kwq zUuE#O0B4x$b5nEmvHGGknA~bvS8;&pv03(czatuB%v-LW93Lt7A1Axe2La8UM$4qy zHq&6U>@)sgneh|CaP*FKwwxGs+aNwQW4zLugVX%gqlTWDU2|Jz&l_Dcx38BRmLOA|$iPBJ>myIxj*WEF7wr7mWkt8KFjzN=!PAQGT)Nd_0)$W1tmkdd$CNw`fB7Hh?JX!Wj`!y zU&Sjos7En#D*th&QnM9Tn5b1(5V`3ks8Ol~TWf$LEW!Sh+Mzz5;EgFqfK_G|W`1V(P8n=al?C#~)MmON32Nqi>b_USIYMTFN6% ze}K~P<&!}>!tqd+dI!v>QqU5%W2i`QUmzWYok5P6-g-x&;uxGnc*{;|n0ExlB;Dj3 zVfWRc=O(v<#~l7Krp^|_eH*qk%;A;b5tQH3H1=~mw)1NlDkEs~*fCVb>E`ivSWDng zA&nidy6EDaF4T^%Y%=gd!bfc3`Ge=iN~HnJHo!WCDdFD4ZnXISHbj;NK@mqw@~37 zFp5+tu@hAZIn=;4IX)I7ReLcLQs#@=qP!Ai5Ov_}g{T4Jl?YGtWokD`g_=G`B96-B zsE>*|s>~PlDwEf_O=4*pq-DOOLHaInyqA1=mqM68ZP|AFPuLCX|BkQZaOy=Wi-$d? zlQ7L{h}z$7^7$i;ehKei%1*t?_EGkDc->bA&Zb0oFQ&9CHTor{+T}*SD9ExP$1($A zKfyCiPoo>czEES)PAd$F*-_1<@wnA+UuiKV?B3o~3NZszW@(vWVdvCFc(`FHZ>5&U zW4+G~v=u|jd^x66LYdxesNLHt;q|u6eN{pGm44)9@lX;=4PVp^%o`0m)Rl?phD0`K zSUAXbOHJp>A{K^hVG^B2kaxGnlrw_vxuo&f!{EqTwR9VO8=iwyke@Y7S63A(42~nH z<#&dJf{ZjI6vXW8vYsp36{t~YLKKIoMGZPr%Wbry6W^WJA^aHCm>f#2ezcR)>-cXZ z9&ON&7g@0yk8~+^l>f}fyUI8=c}3FR_Zn74T2@ikFYi)C*|eoBeF-Pq`?7)`i$sE5 z!E_a$SK^9#00a5symaGr|1jdb5)#8m%=BL3P(BSuObwd%THn5x#_5*hi#i$EBDSb4 z$I>mQ_rsPB&$#Iy*iLjQrY>wcRn2|BPcu<4i!s>?HHQdTzFrZM$f5BINmKPjgg!N zM;hJ^6^_-M*}PF7Dwe*N>_|EaXQ8vyeM;o7=vx$O!$HOFj8MX^)Eu|HIq3Ty$`|5Q z0eRW83LU7F-b}ebJEpaevxqe2JuTBxHKfWav?isYR7-diDg(<%%?dT2niY0COo=dQ zm?JDv_RK`t{kbxZGQ(5_|EYqGVVN&pi83wriZF*zBK**z-7wQzB@wox3{qBM<)9SP zJ=|`s60Bs3X@{4?e*4dk|9F{ZjJ!xMcPk2i!T`f$(Tv8T;2kEQYYv5Kv*Z#T~s zfpFA^ibVKe;&_U1s?gH~AK2T{cYNBHUOtt@6}{c6+^mTC{)=!}s^MU&O|2qqn5nkR z{g~s_)O)t9+qYjKr zi3I%;zQLWwfl--ZWu}+Cx>E*;Ipu^Wr6C}}PK&YM_x5f0uvmpK?5(LK9ON|AhB*=h zsBA9e=MVisR>$jQ?wb|I1UbS%&XL0;9bH;cHrAE~FW2q<2!SHDZ)vn!$fo305+t(J$c*NZoD`+QE+|OMP+g zqjba`3|<=#+o59X^>#(jm+9M*=`-AOdj~B|V+6T5biZt?-__6q_kEnl&8?wq ztK5tg{|^8F|NnRae3o5oR7DiWr=_h$pcD#)0xjjE*p^jGp&xA5ZEx?+?q1mY;k|dU z8y>ENYFSJwr6rK6NsTYnkf6~R!C**8YHK4AtY|`FG}icrPky`+NO-^p;zz1L0(j2Z zJGZ;N-Q8p|n>)Wb=gfc3nHiZCv2w=Pr=Jalpkq6st%Vkk^g6m>E4t<=n$69qB=cf6 z2_p@Mzq(ZfJ+=y$)FhQ#1|Un=1^9IK>xW~jphsjmG&E*$8LgGDPWUi&{nmNt2w3d` zt~XmUW85xfjqrKvz7y9F+g!@nvK*|8#!r6m zI*15^$^*9pT<*c+02Y8-0bc6CslxCcfS>f>;=*u8pfkM8gG&m-ZD4shs|4tk&b$54 zQOu}*mg<%x>xmVt1-{-pbiZZ=^tg36D~m#?f}y`x4_-P39hvHIp%~X3t|e6`nvi0l ztZBg@exb3ziNWFYz~D>$_36Qp@Jezxx9@$Fn`~9Nwj?X2fj7;T6pfpel!3(+kKPj8 znrzPEk}1cX{XDgb9f5(g^V;^0K*F=oR(hbN#59#__Gu zYUmn}RqP1l&L=bBe_=vScwRa?ifSa*kk`H&)3r-oZXNU}fTo)&l!s+Y8W_e|Yl;0Y z{?}2=9Bg9A^EUBcHOUOa^g|B!BwM|8BueWShcDwOu0<4z71vFhyg8BH^`vp%>4`Dn zP!yb`?l6C@Ypg*8gxV`v$n7JD*PoSExS*CdU<{j;ziM z&iw!%Noi5ku(gVvauQ0fDVZt9kQ8$>sWkdd<4uH7Op*E#j)~(WHN~!HSn=O~J9SR@ z6K77w;uiKIj3>@q`2{-iSPebK6IBu*H8;2x;hL;)YpYLmuOKs;;*92P42x|1#)BZ7 zZ>$WOh{{b>q_+DCvfK6QFGw%Pfz@*W6J(Sq;&`u7&x0w_Kv6qGDczfR@?Xk>jb{L>4QP0&$@8=3tp5q?$@5l9?O|i^@6y|EJiusC0dXPI8w4JkNqjX}k}vYb z-#wBXb;Klxu2_5%<-U7*eWK#!jw-m1;AWZj=5F_uVHn6s9YZofOn7kr4*&rF|Mr+S A;{X5v diff --git a/1ano/isd/quartus-projects/DecoderDemo/db/DecoderDemo.map_bb.logdb b/1ano/isd/quartus-projects/DecoderDemo/db/DecoderDemo.map_bb.logdb deleted file mode 100644 index 626799f..0000000 --- a/1ano/isd/quartus-projects/DecoderDemo/db/DecoderDemo.map_bb.logdb +++ /dev/null @@ -1 +0,0 @@ -v1 diff --git a/1ano/isd/quartus-projects/DecoderDemo/db/DecoderDemo.pre_map.hdb b/1ano/isd/quartus-projects/DecoderDemo/db/DecoderDemo.pre_map.hdb deleted file mode 100644 index 5e1c46f7227c19ec57ff9bdd327adb65cc3fbf72..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 10887 zcmV;2DtOft000233jqKC0001M0BZm=00011WpZ4R5H!?6F zF)=SOF)uPOGB6-hN+3*WbY&n#WNCD1Z*F240RR91002KF00000004Ol0000000000 z004(700000004La>|F_%Tt&6+Ao>uI$KnEEYhu_*n3=3B52vT6XVOVechlW7NqA42 zEE5bQnJ}3I!Y2E^21H~NK|oOv5fPA0o+5-rHW5%(zvug0KAz&rX5Rl--|DV=>)yWi zR&^rC)HgL%x9a@ooH})CxodBdNF=U7+$JP$@2O7)9J8EJ(#tfA|9A)IrDM5TM&2^A zoctM8I@YTg=2^x_TBbo!rB!)KSzf;CF?sIp;jPv)Pa1Do++)dusmx0r-ZE)dFI`YM z`LIq#$yZIK%~|HU|6NpJfcC1Mp51fo)cuB{Uv~nZIe9|dXc}RM-%#Tj3 zm-#R{*4suYOX}Gym9$Y(saF(QNh574kNr~8kT>g?s`|1_9!o4~B(1m1TQ2M4q~!07 z&G5}=S?7lhDkm-L{OV;{SiR)Il!0SvjL0PtH#;el+Yxs}yvvFAAx%1wSQ%v>dh2*h z8d)#tgHkC+rhp3FPTq1Ok=~AD>iHv9IDxt|9{1Wi>r~xkJyY4fO}9*(AZb{p21#?& zCT<(}(XdSNQR|tPd`|h>x=?*cAI9g{2>L{k)ha$4N6h%hiNwDngg;~kCQlNRLgMaJq2YE1IZ|GOT=zO zlf|>uxCf!fo7f7_M05-_aBox@TF#;yL9&-q$7ZxzjSbC@-N5Z1eSqy@;5<3Fa9(x( z(7fS=)rEsA79Y9{2P>B?oHx9>x?;h+Wu&4{VL*#OwA7p%QSNGFpiya1Bx0W>%ZZVJ zT8x(mNFR6d#IVj4SU?9bP^XORpmsXCk-*uCMrgjc~g~!d0DUWk!9XA3XK=TW3Nnk%sTR9lx1ofR8E>^ zd{rJYFL?o450}BqKkgjSAG;CX4U7M%9;5v*>W8D_aed7LT)}Tb9D~UDk>Qhw`qp6e z()mM6SUuou2$&~Ks&)(VWXFwyocfWihZ1mOog>mnoJ@(m6ERekk;O|FFFTZ+W(KHo z59-K{7rvz`L94`lpkd3IGA5n4H&VG`$P`8O&;!{VnGBR8oAGW-X@~m}CnEaUB8hSt zr5$8@gKQ@2Wh(i~W66)HEMqE(FuUxTs>B=SZMivi=bGLx@_efP~Ll`ZytlL zv~kjjrnVUmyo_NyBpp-Ia+D?!)i4$I5^InKD)7%f3|0{OHtrnGd65y=|1Tq@K-ENgI?(U4rWU$|=vUoMq&v z>ccX5EHR{!v@(@tlBQYOjFxq3RQUwqyyeaC2_;N~KhmEV=u_Q@CVn}J8@1c0-;9o* zxbpz#p63xijHu3YoNwhZ^D-h^4|`(n+QK;^KCbK_`WL~AdNERmq!Xn+JXX(Ocr5FX zt%qHq-{#H{c?HR9Rrza>@mI*?Rd{)J7M42diDVqG|K=2sN2~Obe0~j{oFiUF9E12Z z#NCm37V&oEd9F~q8%8QpybO)*Lo6aDalA32yc0%h(%jBEMBdW76j9zS5qH5P5gG7? z#@~@Xh^X8FlO(8h^&-n_cfTaX%_!l`noh*&h*FZc^CgKW=?{ohosw8yyXz$>$fgsq zh^Vp=cf2H#FB=LMR${xC;~!ZSkv{8`*Y0#l9#r8zMDj|4Lh38-UrD0JQPGJ=Ru>?$ zymp66QUtLPcef-Fo7xE|*_?sAVAF2N$NIC1{MMPtE$O(YXWo=nm) zS@sU!K&x?^~OtP>NHc&e11vVYfQ}`S&gwCF~YH-oe@KWb}g*;wbn7Hal z2ur;E&N?}x3S^UiRGzcGWae-{NgNJ5*OnaGqqC5YLeSc11;!Qa%V~n+hR@0(sR!Nm zR9^PfMoRSwDCgW+=;^_K-=IQOf)jCI2tCOhda^n6Ompa2fYNG(JpTEHAS>}4%+N|} z0F5qmM@)`Vvx|}039)_O=~FO5CQaLS-)U1OPny_1b?WrVQzx~xwNGlBKHc?LiG(s$ z+wv+8oNxou=n6>`Q|=55t*|{5hy(4Uyjs``P!61~R4$cIXSi+g4QhK;g1u2;*4_!m z$cgcg*bYdI_W{z+P$-sxSvd>HGN${*6WZCp?hhn6X7Z`7T&7X(&bj0&Dwj~f`KzZt zmvbW;M=tDvjq*9D;B=f`y`#!6Y%h1LE&D#pAQ!d3SSp}Jsc`6 z_L{U3`kzl7LU*UMSr1SL&Xxk77F{JKOKsuVnmaR8oE#r z(oaF{tvb+LVYbRq%?Cjb0hE(@s=rcD>D7D?b{?>_V$DxggqjaR&o^)H0tebY(RBh5 zMe0Ix=pdkMOl+BlnYVPAIrMQrd5WIO<&wozWky*wk7$Tf5Y$0WfC2uzc^^M60UH5+Cp^y&UJi$|WrTYbuY^Mhp>NFeQM^AI{2oa5gAdrjXWPN&gu`hz zD(^L06;PH1Pg_C0dRR1}e&hRabLdJyd4Yn%EZLK-AnO}~v|a@~SC_WrvK78S6b50B z1U7tbkzUr}<7G!V(wlAgh<^q><%eG#0Z;9T_xAMBz~ay3y5%wE&|}S^$D2b>1C-mZ zQYMwlmN6M4?;C=;`gC*IXP86JG>4vL4n5l(dX72tTyyAo=Fs!ap%<7#FEod)F^66h z4wZA&UqR}fFD`bosC9K1(Y_J5V#W$YU?y0@n_W5>2a~jb=UnIjhl~oKQrwg52;w`03Z!r z`9A=q31eRr;>AP!8QJ&Kaj}YhyMQ%pYuX(8ggNvDKAAi=Eqb=Sw|Pdgf<$II0aBTe8d&4vjIId z9#T>Fv`9#v`|!~|K9#Z2w_B{Cz>J*hNdg<+j2SLW8%3Zk(I zO7x`4?u(5c2AhMXg!n2fCf>4iES1ewGNo!Um7bOA&7eMU0Dx>;Dj)gw03XPdmUsAN z^B8*ld7;vO#OJuJ#!;B7+FOF7pe}J>e4e`i)|Dz}szoSQ=&w|{+*S+uYB|-H=_%n~ zwblsoUk)em>tWU@LAuJ$D05t;Ki^-@bXQAr;53Ewtm^DSX;!KPTXYk5Z9Kl5(~6}` zIa3Y zJIW8F)7e5bml>!TwIE2*w(8IN{!7iNoDn3qKG7w5tYA1j@aDs`s|#ko+m zSeT6tgIi?gKz|I0TuLbWAj}$*^QTm8tC?a)d1_mxT*aNo!t9z!`peF&^H6;5`?$E* zn0907K?_Qis>szwpfG-2(%SfvI11}l59rH>V?IL{nLJDPkVnAP-$S?( zvxd%mFy?eFy`7!4Z(bBrrAk%|?HSojDOF0(5IuM}Xt)dv4-Jh}m(Lp>Su8)-Kqib_ z)>Gwjwl~l16Anb67%7Tkm``B)@ChUhQTa@T_cE&3m5UjA2}ns@n@k8%gD+1I&}AAt zaRW`PPPG}ZHe1z)`ciP`N>69d}-$2)7CQY;nv3eeQU{m9tdIngva zM@|Z>9eb237^_%wu<>-JDv-N^uPcFqdrfBn>CaWNMQq`UnNqosPYHt`0|7CI5job? zK*KSIhz_h~yQ$H!;K;dAyGS0SA)P&4DwNCBF7EnLI7xCg{$c_XY91F~P3|brJH=G5 z<4Lq(rOcH&n=kfP1mEMqmwH8?qjq$$&E^MSOnR@I@8@Il<;04!@B;R&Vq}nCe;ymG zd?sDN{utA=RQiP2%-LmqnNn}2iXCQlAeF;vrC8y_)-deKc4te%tS7~0$mXZI%7s!F zTpZ6=R(mk8WTUH2L;k7<$oJfO3;v?Ca_;C(F6ifY>XmQe{3!`q^NC!4vBYSMof^0(-6n_B;#h z`4-pU% z*(ydqXkFv(YL!lRgHh{Fxb6Wr{22_`djT_?Ved1C{?J(82h4>&XbyeISl_kA$cN3< zeZ*MkqsGYl;YGYwkLAld86{_DD>IU_GpSk0A|BnD&|mb-O7+8_>FHNs?&qbXcR~>K zE#S~7gQ4-ZG4h=Nb)~LIgVg2gkob#pYgcmR$Mg)LW1?TEqb=32u{m@LbLe^I(DMN` zbBGJfg=3h1!dUXJlJ?n&^PW}p@_%pgJdyNJ5T41+;+~UBb_O^~>p_I(@wc}%O zQeSTxr2kjJhYwY^)q)o$kAvXX*}<>3gWq5W|C$~A>vr%P?cm?AgMSlnUWOGftaoS2 zY22|biN}Rxm#b+|_kRmqSQp#vZZZXa+Z1>+fcP^SsJB>PziWZL)dKrH3+!zc*zW^t zvufUMiNC`Vf2Sq>2f!PyeRlzBwzs<5TcY$~7Z&*K^Obham(=}z(y%J?_49xyk?PnCbS`$`nQ)WLgQHFPq!cw{h{Sy+F!0X13(vH>4w<69Z+?fXsc*so(+GMUHeP+wQ3 zlx&;4ce10cqeJ866u4L_y>b}p4Yz@Z$Aoq|svtQEF~+!2-UVF^q@lh?n?sKWltXUt zsO3Y$Bh`hmz4!CxvQKeje{Ml_XywTAl_NOz4X!R$J{*2FsQFA`4P9Ndc*)=jUj`_2 z@lZY=ej)1U#C*!KH&?*-?eRcVg>NU6Be7D5_Cd#w$8mX9w#Y}>GkLrNBwmTYaki&A zqdQmaD|CyEsseLmU1};d6P#Uv!3RhuI?#}hesUgAhL4baG^Vu}-mK_*43Ht-OvV(t z%I8Z1$A-gcsWlKGrb9(?8Woh>TYK+Qsi|j!jNI)&@oHn8){_Z>W>5h;<6{!}j0aLb z48rz0VhuWoKX4Rc<{+uxK?OCA{DT4Yb|ezF086`@ePjB&R_I%SHuK4DG?!W*kjIZ4 zDAS12Fl51AP~2B&ml2YR+!E+ht3C-+v%koTTy zF8efd=;`LrGt8l90?O->cuP*a=$q|znMgSZvesGV!p}B`p5s6#7eh~Oy_?+A(I~@x z-~PDV!26Dk&yW=I;UA>;DsV3f%%-UOjFCSyM&56X{BL9AkBpH&Hby>RjQoi)@l6E4Dkg{RG>=ih~Ktls)h$~ zuoWV|MaB1Z`}lDKejFz7G@jgki|Z5Q#=IIH!a?(Cu^D=;75ZT-^dna2M}dye2|;Fi z(GLES9sFfG_$zks|FMI=0l2xxy=fd{e;L^!C7qpPCJ}k658Mb5!qW zGZOwuyOwWb2Onz(-_8!cBjDy9yOTc}r7|?@^rW5YJ6j1K=Ll~%^O;Wpjz7<%ek^f9 zvxbki0q+8^Pme_uy(j1*P7*J^sV}LQW5pKNaWeRdMyB~i{XKDR<6YqIK!K0)(r8H8 z4x&hj+#hOE%bfwuAZVJqn?tk5Gshs-cqrzwV8X(fD>o$w>= z;78fPSKGmlwu2u7xQ)*p3p_j4Y@RyKPWjK}UvWgYPc`YVYaG#&O~BVWqRssDt5)ditkBn6p>K$c-rVQJ`g@VkZ{l8t zANn>c^!KgMw*wtzvm2!Q9VYnBhG*UxUwh@W{4Ss)oMHtje|LQ04b2nx*a^Sa4*ryt z?oZnZf6fm6f*t%tJNQd>@RuEU$i?L#pZh)F;TwS<^q=f>|Fa$ZFaEltR0g(;x{vjl zB;SZ8{58PG`M^I2-Vw(7uLIy80zAq@7lhx$5g*d+LGTYd;=`^02H`ig#DBz5zsaNT zphyIX-^^0{M;-Bo*4r9zs@Hs15~Tdc9N}@=-U(?=_&DIPJx5ujwlxqhWg{JHB3{97 z2R!~F*lt&!QMUu2ydBZ}7o*AlRSdj|J{)#NWfb#0AAlbRJo$V2-ZSaA(48Q6!mj>k zS&fvuU1+82_KuHMI8OjN!i?n-uE5)(!>9V#y)zp8NtoRaJ|j}ogU~bWgx_cf|3-9p z$;Wbkhz7q6mK*Sa?|?p!(CxPbz<0ERj|Uw3dxwkSSF8;ZzKfmkUG3nz*}?Y!97avd z&URO3RGXgk2ep4sI}P>%Jjz@bBz|v8`~=|5JAa}TdLMtZXPypfc^lC9Yxe2KW`5gl z2k)?hPqKqgwu4WxgHHuKn$N8Ane0xEgkFPT>4!eW3Vmv1^wB<=zXWuYv3eeKKi&ub zf03l06@dN&(D)1U_aOLR?BE-r;TF=jj1E7^$8wK_!#Qi52GeotsfKpkh-mJtM2hEU zR2cFd#>h#=$h3ou|7+@f#xnE9$b}9v_$kjI+YUO&_}^q)0wlQxUM80!g(Gw}`gAHP zLOfVP=`Z{-PYKSUg7<3i6~HXsm#gB*(%4_*$b$!88{=D=)%Jb&og|riQyl4bpl14Ritsoi0IrNB?_@U;ND;6)V@yC8c}lE*4}c7QDB4?W8~fB8)2IS6E) zujw*R9Cn=|4?kSDg_7S|$!~=`b}ot6K||S>AT1#!9}Xn)%A<_G0LA@CmCFN@TaU?1)A7!rkd&+WM{7wZn0cqHAuJ~+lKPcr0{o}n~T-- zXhi`Bpi8XQxcOd+R)`Jf5#Dp*JX%4a=^@s5b=-za;Y{Z;ve-(;;}I?;oPx8mOONo` zffKU}5-w%EP_&{O){A2QB&Olhg*aJJeM}qbn(Eq&t2qqUewRCh`-jFf+#$IvR`eExtFG}7R~^*8)%FfrXMf5oR@~ZAd1!4hC@`2DHFpTN zN4R2am&@u4yagH$o+Y^;272u5<)KLt1vjD1+~qQK@l>tV-JprxlAv5H zw!&92A!WYOFA>FFrSyw{92UysG6fR8`EErwgtvvh;##!AArU)jKd(I=Q<^WNs1*LK zUF(H3b!|dY$q?$d1 z*fmO9c>OqWiK2%%NgP%vlB8%VmX*yZ!d*(USUBW8Mln+{^7g(KA>LddyTF zw)q&vXpXxGvZRr3CuA9JAj^VRSa39MQ3&qH|6;pqPT)_s)G0n*zUVUv{sOOrtUG`0 z&bky$Y^w`Q{iCTK8dI%6>$!hYfi|%TO=l_{wS4VPwTA&j4LWScNhj~%>dNcSxnwg} z!QZdnqf?yP&jdNc=?`7;g_wQI4-5$&QmpVX)#_`=SuGgnEzoQlzL$C?l!wODzhDs< ziCF>ZwY*P9O?hLl9#|kLo_xo1{o~UlQyb?mWy4d5?%42R-!095@8}Wnq$pljt{0{o z#-{Hb$JG|`4Ws&Byi?B3-U96=Mk{(wYnkkFBmX)6q!dGn?3d98G2EVPFtHg}JshGpdsbcxWzlmRE}Q5}J0xs|{1Fal3h$YN`hp z$hK@E?*U6Ae}H41wXz_X$NH!Ee*gdg|NnRae3o5oR7Dhkr=>*@T7C+p1&ZaT*w$4` zp+9VwZD;S!?q0h0-u2$Qr5hfuglbt#3Z*5Gs!5G6)sTSEkcdH>kkn!$5v*uJ{EHf2 zj6V7EMj+uqABaDx0#WdsvpaWpySu%~WOir1Ip@robIweFm9Qm@v3K7a_Cd$?KwAqf z5)3#-%vKHEQFU9GA+Cs0HVrck2S2)50zJ0MG^ukb z5(-KyW1TQ?@%x+Sp(6mnENwP7!@@3SjWE1r@9}Gh9a+TKvK(wN&7XMV!>=n#Q(|h; z^Fd*%eIl4P-nYZk;(y46b%4;QB5*6fOI-M?h2eI9m%1=t7~Tc&!!C>_EMP{5r_;a8 zg<*dS!EGRUIa>+PbDg&bpd)w{^jTiF9L0!Muof7-bKq_*9@`3NxGIZ6sFIbz;F0~8 zjzUMGI?_;B=#J3iniGohurF(w*NY=G=Q%z!k{ld*W}rSfH0obL3g`B|j_xK|RcTq= z3hSUvx4Ehdb0tM!Y32QwCATJ4fGLYZ)OA|?Q+B{{I1K7E2BX&`!VzCN@%>0Yx7w*$Ry;IC>y?%$H@0C+oMr zj(q~$@UQPrNyC=He>6;qsIg5Xb#`bD)09p{CN&(bYA2ki8Zfz;aAI6FA0aP|z1nyK zVYFO^eo$cGIB{LI>)8ZMeEHeMb5ftIIVp*o+0!r|J$>az=tyHV^k`2=je@I1Oz1(O zE2^-z3<$dpfUMe|mMNj7o)o)>ej9GbK$9jZue%S*`awATEDbYslxRkWA`acRe` z0*To`ie6}HKMkU2C$f+$QA6p)bKcHszzb);``kunpvPny?Ng&cg_}V~<2nxu^U*v5 zdw@o_bEwL_3Q5B;6dhyRVF(<#>AX zaAVvAfpD3(xR(nC9U?M!=G~q<;d0r*P6BYjll%oiZ0n)X`I<&NZ+ETqNGPAYwqN2h zi-Mw0Rm4upq91;{brqzLI9cpnzoy)@i=6?W_tSqXq#zlAZUuKzWE(lO{?=Xe5W^!t zk(w2DAeuS7VNzf_tA~lMvcWn`G!%>bMlcL7hIw#DUR<{WTsW>%TPqsPom37=hDXa# zCt8X37V1@@VAyI%73OZT?M%naEKIq6$*~Or*%q3Y>_P}X6o`Szm5|fNO_c|r6jABN zySj@Me{^;wWh_w1QuUDG%iCrbV1>7tRhEkGbx)o=Z&7)fTrMikPw&N3&)x`v z6xS_iUS=^QOvvaay|`5gVr)NUCA1zk4!_ng0{ZjtBN zv+mR03#275B!ZOv{|{7^l#p^FAt9k)YVDR8f*!_<8*K!vcUv4~-)-Ht;~4wSU%%RS t?qbL+KmS1B`ho`);_7N_Vhf}s7+yYReROHhqcdOjToGwKvquPG9spk;M4|uy diff --git a/1ano/isd/quartus-projects/DecoderDemo/db/DecoderDemo.routing.rdb b/1ano/isd/quartus-projects/DecoderDemo/db/DecoderDemo.routing.rdb deleted file mode 100644 index 90552cc6163148d674e86907978e7dd7868bf55c..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 3830 zcmd584qdn}(aBW*#bInW813Q!WoFT8d{;(_wii*Obgd zN?DnB$f${EvNWe@DjpakL!_lKP}30112C-iU0Z4Uw(tAnyPo%YPtW^2&+m8N_wRn+ zS4%-bp=QGx`CdWcxV%})k6!4LQ6Ui+1ISKu3v&wt2W&`Kpn(-+r-6mV4*3SzDL?ga zGuR&zjW%!!42hOMYXeq#HN}^}R|f^n*E7Dl5Wl$>w`PKUAYuy>q~v$Qq?vzNiRwD6 zpt_J27?3yQT!L*5PKyb+&wKR2uh`=1Tm_}Q)!<5a;}RN=>c zC5wPMS2x-hQ0RiE=(vzGGt(bYC6k5D3q@lLHDg)x(#+%oyOCyD$gUkJcDB-Rkuz=T zC6mk-S0x_eOpISz!p2Jm7x@U0bF4IW$w$=Dky0&vrlz(mmz4$yAy2}g$QHL_Bu{;6HAfS z(vlQA&#_`&=q8C!i##hEdSdw9f?Wk34w`N%6^%ew6QjIwD43WJD-z^o99kPv>$u!8 zKMI}g7uPSOi-5BS88S924cC-3Crqgg+tZXB9vfK@nYp(is}yqGY{lV?z+7`8A(y9P zwWU8^c!)Ln0+NTqpn%B|=~J}m%+PZ|12-WE(=|(s z5?C8;dp{^ik$R!5EL?n(c5>rVBzhN>hFH5Eu^~1%+LZN4RM)@L`*YrOo@OX32Ztqt zYB;5f{1=%isNvj4xl=pR`fGH5-i`hP=I@XZ!OF$lwOp8Mklg~+Ty_h=@gKXnKXCmM zQSS`W_su-=`4v%sPs(~WB1nL6mc?Kt;*RGR5C}$x^YaCgsBB)teD2Y0V6EyDo#$2O zF`mDQ7Kr^u(MvvB|g-v5v z5&L8~EF5OD&o4R~9Z6#94ZN+3-+{BFW*qsvyo?hD>*Ghb-=6;Paqk?`tDEPQy?Usx zsB1>AKO$rF>?>yghY};3B=|jE5&UZz??Cjs$X`j~9<~y#NX4l{*W*-%iX%zY1M|t9 zNs-9N%zdQD5lX z{KDnSBaMS*bzkQVI;}*29DQ>iowOa#`g0A=ieWx zEd_Wd43^SxXH6+8PF6D+bX_F}a|3{xq&h-Zvw*!9;N4;}&a9YeN1D8DHJTtH56eUJ zez~e%-jJY3l`2!n3yS3oV^pbp@Xj|N) z>!sr22&z`nDo@P-gAG-ln{wM7yyklNqh(>HZJ`zv{7+1}&UG8eEH-XB8>6LmvyRM> zVx2YET=oNDL`)!WI?{sEIM$ZkyEU}2_0puRjwhZ_t?W}V-_9HsG}N_C525q=S>y;S z;|hCx{R4G(UI3v2V&W)NEr*z-Lk{arkEA{+a!`g?LbTYCm0PIXD(+>u>g8ZB2P7}V zw@)7vBB#PhSY2DIuV@psfZ=@sPv8&x5dN0?ewi3l>pu1+?^;svY3R#KY5ZFNwkY(8 z>Fv5qR6f@tGdmmU88ebW>xdl@YnRqFK{>IR4?+BFC4DxzIZ0#!tQexuIR#P^kp-e97*z>!^&tlzF%tN z7?PNI(7h9e--`z(j$NB|tMfh4Z0-IIf^Xq!t)!Bzui4KuJedi@pn_-;0e`PP+j~N4 zMg*z_wf`D}TePMnCK(rRN}wA@k)F`XhDTHdsw>wZ@IZH-yW1tkiOf0InJj&ppc6#1)|xP0Z}THBi;U7e zJEPIqht&24QQclBKyLuL=u6*y^F~5y*6mkw0fE7RCR8rmD!R?H&FQ=Mu;5DB&YfrR zI}ajes6ydINZ5|tGmicKAopa`msIb*D%94y(oYpZ;;;N5JkLQ>Ik?vVn^MGM+@l+Q za$ux=YwQ0#t_CGwF0|{cB+wOe1cSMiMkQsn?xW*Z^po|DI6HbfCzndZb)+qhJUn^S zEN8*(`VUC$xDzeZt36Tp79jF7DwIgTgIUM0KiCD!AvJ-m`5VigIw-}pa52U?%8e5Mi1X#HZv*mPmmQ{<*#SslKn}3$XZ|ap+^x{rX3;er+Qc}<+ExVFtzR?BJuzFqPfHph! z!SBJ#KAqek_e&4F@zzeA0cZ^gI?8E*r^@Z>^~>+CRbhQV<)FkjHDSiCc$~H4DFRR3 z&~-Dx5t)geM#4HqNWgA7_kecNZe3(g7UW?N$r(24+H%zgw6_5_=ZvwpKS80@2?&`e z2lPkM-3hm_6>=AwV96s}c22~dtPSd*PI@Oix{Zk8;Ys-HZ7b&d5B2+hIIPw9!n92O zXD5@zt@%30FHqgj&o6i(_)7N&6&F(rx13J*EqRjetGJZzQ25cusY^=(`g~ZHJ>aze z3#t3D+Frwl01&L+xo^ZOZ8rU;x_bI-)BGZZqTKG7U%xXh*jTu)?2tJI1SFFG^rla7M!}d`EnPdgTZ9% m$SAd6W3qkI`4mg#%5_g23K{C?dxrLxa8omI#_}JjO!gbBAack6 diff --git a/1ano/isd/quartus-projects/DecoderDemo/db/DecoderDemo.rtlv.hdb b/1ano/isd/quartus-projects/DecoderDemo/db/DecoderDemo.rtlv.hdb deleted file mode 100644 index d74a4fc9ecc87cb8b7d18e7eef7b41bce2c3e530..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 10831 zcmV-VDzMcQ000233jqKC0001M0BZm=00011WpZ4R5H!?6F zF)=SOF)uPOGB6-hN+3*WbY&n#WNCD1Z*CMB0RR91000{$00000002)50000000000 z002)a00000004La>|F_%Tt&6+Ao>)S$Ku9fXkt(V5@seV(TCI1(=+L$r@QIynIycY zO_qrUl1!XT0s%z!eGQ0+h=__iDk=g3BF_aPpaLSIpy2m>pUdZSUs%lh|LR-ab#L9< zb#GOJL8iW`sk&9?Kj+k`Q_EfZ#i>;48pPKc;y!`;bi^^s86~|;P5h^OxF8+N)iUyy zk>%visM4`s#W2q@M$$5kiYl$jQ_2eRRgcN@fBn0Sv6tg;wnYRawI|{W z5b5Imh%cH>%ClHyo&^zPkn6dGr zPl~J|t&l!N(vky9n987*o#z#jo@gG+$SS`El2c13mWWakszOqi6CM@*fkv{9eMq<( zsLGVo)#ATe-pG@hi!4*2M#7XhO8q`!8j&4q6Q=_wqX{qRn37g*ll(M9r?NUh_CzX1|p_Me!rt&x}B@KDAj;X3I%jB`dl19=7%Yx;yK1oXc z!PpMpj+S*{*r;;SvM#J%mYM1$52g$pQ&U7PmHNDwGPx0PD&j3(yd7!Ui^R$(`!HC? zW75caNgtI;IWh%Q=yvLEJBjp098=G4u)+(}o$=kjeR{j9yR2s_+qcVZt@g1@4U*>A z7q@8Cdgdh!^CnrR{dK!hpE!I@h@np$S)JmubBwmfvEf-U?DK5!X)T5HEU^b*w;;$h z?g->b)uLoAsp$`|5c#Xc|5WPVk#cpAQT23|smEy?Gpc1c44#6rh=F7j2p`^IPNVLG zE>B=9Koik1)WE$_ZEORJJ_VA4ygD|cAJJy*-%)Vv25$f818ffi=gHAk%j+w~mXEKh zuNvLB<{j&Cuxb6O<>OoG8&@u0Pb&Hp2DAu7%NB7X%3X~NG%5{>MC`LdFR*|PsD>BfVAZB|>$a$cD)IrZf@RBQnW(7mn)MsG?`?)KZWK$pc}*&0!U*X> zxvqkVjL=-SiAqYHV{-Fi*apeY z*9nBFvF}`L(_$=oYjwb4-j;0kj zCi4#>sI zC2}kG{$~qCfT{K9|?NN&P4L zZ_sE}dW%Y=+oHI|D6mdQBWXEM*PW{L>Lj}0K zyd%;`oJ@(m0nw<+#F}+$*1v>Zj5@O8WoxNQ)GBd1XxMV5jA<_(j8v`|GDT4{ zEP`x~Fb2wz&DhaW+Tk|DnTTPwNTXavX$RTfD4WT8nM%I$Sn^{k%b3bznX)WQhFZ@& z1JB^;l^fTr+q8E1#F{a2rZ%8>09&}DS^r;MpF3c4dKd?^>|tb^p%4ATt1S#sgZ^8n zSmY=`*G!9u(8{Hz)|J}h-pO1l&LCT_N7`DMyJ-xyorwW zu2IU8dbUd?ZB#0CiK-7Pr@XLomXV*T56k4S#E?eP%2bv~ns#YBTGpviF-^yd=Wkj|aW@7Hz)jJ|S zsq85Fhrx?_F;a)L7o|QtR?lE~EbEYMh6ADBE4(A}ijvo<@?Sy5Um%lrtmN65DfQG7 z$s2(EH?M#^I;EH7^Eh~Nj`#`U6vR&;9)Qe4h&Lk7bA`seEK-r;XVB<=(U$2%j+ z`>V&5f)>T#I%+7j>65M-znYnW}+({3gV!ZW>=H%f)0Qo&%+KEJ(fJMLUVh zZ}LQnbZNx-^XAQ&JGy+uiq$LUA3As5+|fC+XRkiAdv4e4(fKP^EEn!bT80$^=U)jE z3P0n;&n3$@kFFjYU+2AHP{eBnGdCXtVX3E{-Y#cRfo$`S%5$cd%sdV#Ny35W*OEhb zd=~QI1zH%bz*wSx@Ie8N6+YdHq#0P}4^&?E&Q418Nhs%hSsEC?|Ina9Rf3aoUR|Fqv7EH&ZfNP*2^J@51JoxITwr>xE zf7%WH8NkiMg2ID(KWr8ZiclB*gBdC<_FHMO-j>b&V$_-Fy`Xaw-2$innjEco`QKur z{~$G2WIq8k{!(HqEz8wGO~qdg#lyf)0=YZti>1m?rjX8-hRXRurk0Oh$0WA{F)o=` z!#*f53>QKk#kPHB2z=jo@P{MdX)~O5BAi;NVLA#}7Ts(jIog8A{ZYU=-$Q*kZUzDf zJS?QahoO@7(PCM<4GM>$-)cltF`~&u4Sgsu^ix!O>mIaFTB34P^HI(2N1ckII_UdgfWN>%P?4;q1567UM)#r8;Le2q0wW;|e!m<10l>+={UDAK z{sTZwgM!?n@DF=&{a`pZ;d+3Sw&@%w#_N$W16!KL}#>j_;sIETKUiMk`(6jBK=h#Ef zwTGT(4?W)=dVxLkLVM^%_Rx#%p;Uv7pV@uF(ZF{;V#R%#NpYSSQU%2<>e(YA&F(!HmeAcvM^G0f|4W zw$4wARj#`(@HB2e=EKbN=4434N^b$u(v|-MP?|9DMIl~1#GjRY-xN>&TJ89Q=XeKuZG9X zf(f|*3vC$u=VmxNitwQ1{{@s5t1T<@GM1{8nvd$|UmBYoh5VI=>=BO;sa!II)lt^bl?kD(hNMmdlnx(rMeA)rPfvza)IB2>lIK2rw2zM^@c~fWz^E*%XBTGj z;t{G5*b#hELz&{Re^9D-g=p-nyk{Yi6_=#5nQAuEpR-}bM@HrJz^mK+xsiM}S0Bg> zsF@1tgxFqRmb zQr&4d9?HX*d~O6CRjk%37{@w(B%+W<=FV;QeZg36v5U#|mp)((WIh{*yZ*Su}7v)T)mKQ^NVLn&M zRI&?24;~E~E(7CZV-xia%f~0y$WJto2_u*FOtqRHEOPsV0}&`jilP|iQ`kPd8c9o3 zF<0Zgj5>DZVuoG|Qc^c26GGGw$`b^1nHEpnKohG|V+L%@R?VTl4&1qtl?$1y*zVOc zMa;XH+4IFgRTlH1@=)lP*PLn><_8xxR_J;StAv;e*FzrJ=u=@Rb5Kr4Y&8Z}<@DOH zM`Q8fEI!!aJ_ha)!z+q?+Q&XqSdv**tz+@2AHK9)_qqclsSOEPW81=4cv_lgONA2m z4ykv66Yp+z<86)EU30X@%|kUsp;*S6PMIGPBedmc!n_+3o0kiEOG%GwZYgi56$k49 z_7h;g2LP3v@E0-F7xLNIz5?h$ZRHh8gZajMQ^^ftSrv`i1gYHl4VU||d$bXn4im~9 zOrU+66PqvKosCW@S4u-AXd2*tOk(bwXj+{kCxtDZJ*qW~RjfJKczRP6$bBKyl|aG0 zrni6$7i#%3ws7TKrCKUxgu#!8fP}+{9GhyO;g~~22iEib)aV3odbeNtlP?6RR;WiVIA4l_TJ zDPXlytZ;H?8207+^A%y%QxY>|^D}+bQl$?rj^``u0~lDc(e+wM(e~8D%%`!>h%mNuEGS`O)XP?#`|A~l?34?P)BveO5p6hJG52|dML z=&6A22DaD_q%+ktrnKQgrjpJlevtb#FycS*e8IJfff#>z0D)cYp()l6p{6orB(aDwB70NadjBqqtoTZsH+n zoEKQD^!|=C?21mP@_~-1a%D%je6SM@x~e0pe5fN_uI>nz4|jyiHQ-{oBz+7}{8{Wy zuC+#f97ryM`C^{?_FAc$RfngVj~c3<01L|&f6^ZM8GGnw?V;BJ%8!U(AJ;f-O7~at zBiw-vHg7DbHk0`%+kMVnmCpl;KMQa90$?3k6R+ZVRL@8(W1`fBGIcT1;qx)qE%cfxfWxZ%%Yzs;hNp9^* zw)}veC3H&s3w5-m8g{ma?rIOcz#e)bpmq*%k-gB10p%4jc?PRmP|Zhq@mAn@?XbHm zJ+$x$6`|&%(3b$sI_>U;1V;fc1<=k`?*-D*885RI`aU4>ml{BjO$(+`O}!jI_7JfQ zL7Y5}!oS}Ue}yCd1HiMZ<|WqrN=L~b1m3c%ukw^me$o6x_Cl`?hsIe;3s^7I$nb;&CC_uiCavju)0 zK>S$^)axCvUv$8J$pQOi2kZ?F*slQVvTEMwh`-4Zf3qY0tH4{XeYXH=x3{|0Ug&N1 z(68A;Z?}hj9Z;(|=MDgA-sC5n-vsiFoIA7&v6*6exQJ)ev-yF1u0PF3D3jmJ`j)*h zzU{Rs`F81^aG`PbJl_q6!a&UswP1YD9(o_3eEKzyr`GWVR62Q+?*|9F@%4Z;@(1<} ze$XEJLu-8>vKRUzK&=Mm*bA+v%nkO?ciBV7?V%e1we!IVd!d``p_}cY$Jj%U1=P+; zTkM4%4=BIR)4XTWoXrB6sLAbw__BHBhChBFIXgVub}ppy3~uAvRrPmnS|K?G(FG5ysPGlUVM#-?0ULpcF#dRHqU9%5P_jL92XuP}vA4|Hd7mh+|xeYuzA+*;MiR4(s z1mi||7jz4dmiiuN4?Pi34!O}|H;j!>)K?|;-uKwcKFyQ;&Xx7CO%oe7P2e~*__|p6 zaQL~P<}-y2bba-jwWAwD8KBU|L-~C8#i*kb^C`>0LJ8lu#{*F{zMW8w#Y!PM1RXye z$JNF8G9P8n74Z&`cqIbI`GNYv{z84I)Gs!w3e1=Fsj1Y=@OA|jA0VCSK@A`M0BIgZVFx|279GSNH8PkvO6nI-L5*YoU_i4Ssnqqr((ZQO znEs*@`b$9D`D8zuORe8h#E%>((}>bAWWiog1;;|sei)SiwNtt5A*W6AE7>}VQulCs zbu0GJsy%er9y($VU1kqG!XEmc_RzN_geqIyw*!j5RCjtqyk)ZGWvRM{9l;`|6#KG1 zS5$`2kqK2+m@c=6t^kzV>SC$JcQgAHuD+~KYo%t5BYwTT>O2>*n=jsFFLa|lbQ7R6 zVLH4Xn9eQD=E^nxJVsx>C|+2?j!L|Umd+K#+rr+Xl!>=jo9z`m#vXdCJ#>pb^f*Ad zE#EjXzG>yertwk5F@4!6?>*gK_8IojGwq>g*+b6;l-DKkmYjIeH$Uhzk#Z1at#j;! zpKA|2&x6h`8&7V7o7^+eD9e4{n{l~;_Z?fGAt@EjAEXZ|@HG_JO;NX7BfoBqyu%v# z-`2=)SR=n_jr^81^4r$PJFSs-StGXrY3csou@-u_HS!*7Qj6ahS-{cyjv{u1}B~^J=&Y2kocD zcIa(R=EUi z-_J?-G*5W9ozJ`;aQyin^^4o^=`P^?0oHpguIK}SjyXv@`h>ouegG@BxQ>&Ac?*yRV@0WZt3C8KqrS8ah*CR+Y@xcK z5l&^>KG-Sn=tkm$cJ7JiATNr5uXYsw4h!+UXs#FD2{>C6{WMg&wy#N!R_+-eWudy% z8|l%2v*YIA(Q~EPmu;u~T2FjW^tb#qcyEjQI;Xa;PmXShPK`OCHvsM8@#9X?H#(sw zfHur9TBj+7+vFsCvzzc^+~CK$!MC`8lURnLtCMt9iKDY)Hu@(ewG{j zY&ZBhfOG$eCxbHhq;`L(@le>#e&=?8$9Wy#aefDQT+jg?7j}ThMc{$$eyaI7|FDi} z*C`iwK#8s3Atq(~pk$bQmbKI25=Z={j`;ThPyOr`h4(w5ukg^b=i6BB10H%-m%S(-Qd#!hyH=#qPUf) zjS{}UoA5Wd!Qbcxe-q#^YHCTozqYX6_M|_m{Rg^fa1h{e=DH~H2Rq_t0B_&2Hyz{caXkYeE2CLmb=#s z$EjlK4495vPc?MoMnrpOB~m;;qr#Byu}03aMrJ)^@?TRQvX)u2My~RZ(NB3s*>==J zCjTbmS|G_a@-n#;DIB4<)u(e&VenuHrN8jUJSDh*3f`;5R{-;PU#^ZPOA~*QqX-^+ zZH#Ye*1Hcqbe3cuOnpl9wrU?jg{A(#PY6|{J_RT(DSlzpX!D@qIPzFFlfO$F8lqae zD`1wbo#zSlpZ=-ReL|Ir`9N}luMT8~m11AkhwW`u9hy+#7BaOUK2$ih;+cJ3_au04 zUU};{hsN@&`a@%@M%Px?tecTpJ25)GJbeJ2^i7I}zO2szP+f)raHD<+bdgY`gHGs$ zPUyT7dXW=)u@k!BgdU28M(0f7?3dlLaxK2jkj{^!bL==idC>}?B&}-ZIzcjpbLhJ^ z;fId1H!_qFQs1oH0qP^HAjWl5&rTRBLQqqN$UJ`paniaMKMBqs$7Y@{ zCGVx=*#WYgKlCi~{N*#5=OB=IzNX7OaoBZ=Jp6F`u1bCnCBHlJ*tw+s02<1^0%-*) z^BhRzRY4hl0gCw%#Dfs;z!!L~MLDvmllhMV<)ll(gayLU+Va4h;Ag582=OWpHnhDS z;`>o5gf?yuv1lBP*PbbVB-clw*+Xlbx%rENOwFqElMFsR_)}KC0!^%$siwLH*)J%C zTP&Yg4Pw?~Pa_Xa3V*k`y;x0;P84te`owCDTM?w_gjh2VbI+N1bb>P^!#q21GWJ2tQl1ftPISXFqS!x)sd>5(Co8ItX+vF8U7K+= zhvC}qa|g43XiT*kM$^NhK=Tg^9%hI(Yip1Tf(GIa$!D>0u)wUk#zS0nQ2SQfJ7}H# z`k+{GYe(gwwZ)>qVsg~n!E6t+Vr-Ys>MMf<8V{Z&`5+d0>=)#rNf8Ctq0QVaGxYIP zt<&A0iQSE&9HnR-^-3dCZT`>{vv3&mqGj$m^FY=#eMSnzFr5ZF3YEP+@^zHf7V$)~ zV^=;SZ80;|+G2+6uoVAFZP{UstSP3A+vj07T=Un~OX-?DG>eIXw_} z84|Oj76k2auhM)WMWqOD?Y1DKt!rzFnHwhtVUMDz*xPAR?rWz9>ij0u+Hz!&LNtFw z5&Ona3QZ4l0reO1k!to3Vz(-7;q~LxWr`l+Byn7!NRy(iSXQ>DFuRmyF*D>jMzKgS z@;;#! zE>#E~subaLs+6x#k44JEHm{@@&2d*lmNfE>gsjI6WLfYS3y#Ar3c(%uAMbt5N&M-S zCdI3&m%J^-U*MIH?H6v_PnV*J?R0^yf3(#@W2zNsJ@-#4&?Yva=^~}0Hf-Cs@i2g> zL5EG9b?WPUU3u+!m%Yqa@V9H<)GJQy7lEAN%)739Z^FLi2ZjU>DOUKHYW1z;tQJfQ z7HBp#@1-6z^3a%u7pw*&F)JYb2=CKTQ{LEX1{N?f-1l_g`o~*Y%39g{a4F9|h0y2O z7rUc0|GA?_%#)&IUHObKeRgd6+;LoO5r1}6|8sE4*)Le2-Nfib&uNX*d`vqPJu#?Q zC-j))v12Yc&rZK+Uij>YeeOunMx|MGE-k)2jM>O$3bWWwP+S&Ny%S8I9Ujpp6#apQ z{gF@l@<0~sL(O;~ut=MlN{XKcA&)#6*swMs8V^|zey*;H)lOE;OtteD4Wg~4+J#Kf zNL3Gh?Z;=2e*_CODFu!Xm4`;rED+*A{q4UeHlfzH+GXtJU>s8q*)L7SWWhzusBMXP zbwh<{Ow9#a<1~oH9AsvyNwFxT3Hay*@D3?NMfnvE7+q!Bg^ul)Cc6!e2?twiQ&pBJV;o(TAmc^t{S^}w>)c8^j2^tNF z7_#Bs}N?@scW#2>$=SJ7;&h-JWDR+nI0v+x-8`a8|;W zFvi~hU?>C~!$0ew#nc|pv|P7Wm7Oyf9R8&CD3E5$dCrtg<}D-h)uxI z+n@e7wg!4cmP12fj!;lq8S8+7i$B~v4;=vr=4f-d9TjdlYk=Xcdrw?L?C2uKmgQlK zY5wF}AAeI(nif-?nOB9a^$Im(d}wE&IsA|h8vvnEMc@{Im-z743&U*yFZE%*FuWV! zhkY1LSip?-Ku36)4}*UT!L1;9Ia>wL^BuSQp(A)T^f^&?JjIN!V9hXk=iuEM+_sg_ za8(Y4Pz5W4!J`K*9fOWUwP&EHFg#%-bT1O;(NNB^U=T-WE^uOSI5jZ%Y=2#9a3s8v z6wdB_6T?liDl@XU6E#4a;d0Fo_A1K2(u(`9NN#N|=Ll{qF>jwpu4ac}BIUiX{XK}v z1T^#lP2rBCMGajT?i%(7jP04dTqOf1)7dc-wjw~SZkYyB%vvP!^nIrCFq!UXQ6JH&LDeMISEP28}E@ zb})53lw(UE=Xbc4eFognZy!!dRZHQ2G)#$U)@G7AGdPQ7O1mP98n#w*lU`iwvALb} zEUwv)P?SbrYq)_h22-ZKDll=pgrT{0Y#b)O`uyTKsZX9cX^C6dGcX@NbLA)KNMjZB zXir3oL#Tx%3{@D4CY-GWLH7$X9aCJFPTu8GVzq&MnW3WO>}rCs;QSNA6!3#Yo_0Y=VaF%}0BR z_9WdLx4W(kqvg0jZmz{`5D1rfvwyi@(jg*iXU^@p3oe(P>=XbO0;yjS#I^w%?XPVn z3cPEfM?(3;wF45DRiuhuO%c1Oihlh4)>V)~;^blP$2A?M-4v#}TX)fKhJi&;ccLET zD5sZ68f;~CFwt2yP>bb*TG1N>qwo%x1Gg1qYa77xXKUKvqB+`5MP4#AQieLwO1zCw zuLj3}t3@(D#sC2;qkUG2OTl@p`$f@g6u}=s?FCI7o`W zJs)}*&kbbd4%0!T84|!W`h#4lm=&A%UW1n`CdKVjmUzc8e=^h?Tcsj_NmL3=IicH{h!09fqeEtUwhFUJl ZhC2U2!wZgeytUEn%4R5H!?6F zF)=SOF)uPOGB6-hN+3*WbY&n#WNCD1Z*CO}00000008#_00000005N&0000000000 z004;u00000004Latd_fKlu;1J&&GIfL`4@12@9r_sL=?DptyygW|0kKtHsjBMjyZ@ z@&SAViOt-so}!r-bwU zQ1$~9Mk_KM5nhh$d)X?j$cX-AWSJ8FS0oi;1>tF}V&qOO9TCn6uSfnW&hg1UpHFni zuz`p@kl*kXJ4^ItoNucnghMhP6~=bF_ApA9@}{VaCUs$v<70e9%^33jvTs4L1G;?x zDD5-)Ar101b1N!#M4eqz>p|EJ$90v478E;$Ufr|(+gfM;Uh6E?`b}?I2%loj93F?0 zGe!6zvj%fm=4a1eyp+irq>DIoRgDbh#sS>W`sqPVEhKi1yspST?ZO*&j$H4I*{9?@ zzV*G1-^WXyi`|)ndP&!ZF7o;~&yT`4WbNMR!=+n{L*e`P=8x`f&o{TGldb7|viW2> zpUx)Zv!<%WBCQBN5MBK)k?!cu?(?5_!IYi}n0JElyk#j*%{@j(ajG$+Lfwt=r%Rli zelCdPZ??u5zB^8yTOQ|sV|Z%#B)2!R_PCZ+cWy2Pb6U91`S?2ovm`K-t4Ef-?g6np?xHwugZ+M(ryE$G7mhFE!Q3>mssOS9VZ;C4^d~nOP%N0rSn|OCgG6t zeQ4Fde-y}aDsk?UZ&Kas3xats^2>@&I9Alq(vcrJg=IGn9A8=bJyK=zNgl*QU+b_U zUQ6fo+xIc~WQ7i#OhQTL@tBR0jur7*I^-?gs_4A--v9sr|NnRa5@29tFkoO{u%3|k zi4jOM0kI4aJG(f=2fI6lxW)&$I{W(thXjQ>hs3-3g#<-1F(?2PYpK52O*!aQ62Na18Nu z@&Uz-JJ5-)LBZS%6+kt=9TQf9^aubkKM*7IfSkj_&;bK0ov@u$KU{zTjR641{CWcCxUE4G6m=yUw(!Rpy1vKKc0XL04YFKwDRc8mz66uxn@?XLJR}|yp%h| diff --git a/1ano/isd/quartus-projects/DecoderDemo/db/DecoderDemo.sld_design_entry.sci b/1ano/isd/quartus-projects/DecoderDemo/db/DecoderDemo.sld_design_entry.sci deleted file mode 100644 index 7d39adde8f31de0546a03b8e43c0c0a063183ff7..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 225 zcmWe(U|?9w%?KnJ7_x!T1W1Ra78Pga=P4K&=o#u6Dmay9=AZ28@c)0HSXx3# z#K8jx5^n7Y?G^MeW;8SxV7IP5wtEL#^{(HBh6M~i4H$wBGaosssu>n`RMmB6<;ycX td=8AC|GTX;U|!N#GlB6RZ28@c)0HSXx3# z#K8jx5^n7Y?G^MeW;8SxV7IP5wtEL#^{(HBh6M~i4H$wBGaosssu>n`RMmB6<;ycX td=8AC|GTX;U|!N#GlB6R4R5H!?6F zF)=SOF)uPOGB6-hN+3*WbY&n#WNCD1Z*Dq$000000021`00000002P(0000000000 z005mB00000004La>|OhJ+eUU4x7jvgU)%0(-;b#jS9T&oB=weU^(cBY-nAW7QoK#K zJvtBpiikmg20+P*j`t7mFX{Kq42A#+ili)c?HnSZi5c9vbLYNh?!^rC$;`~mpWyR{ z`0y%~X8s&sCtlkN&c#k(`&Zw)k$hC}PpR~0sQno}zrg2j@c9RPh%f!Hj`yrjKP(G; zeu5ABWAV9rZu{b8n0O5r#lovp+WCW-ncc8+6?x~aL_FMmBV#oJ|1dB!F#%^@sI#&7Hup5bP?252C*k&OXjvIUDfk?v8j~9g>o_h_^>;?^@ zj78ZF91$ihHxhB!OfK!n6|B0E}v0HtiQBFd@Qj(&;9m>G^Ifno-!6 z?LZT7i)I+P=OLM2e&_`azw7YcaWB9b(TT$I$Zkv7gdoFfcYQfvh3rwUkFp)dVZ*Z% z*AY(G=(gP;QIKfo1?Z)%iX4UewgV=`{*{QkXWzMvM3j?IQj@q*JC=ku!ocwqBQ&Lo z>xYUY@f`F8U~T!hdlok$uR~a|a~`=a`(BjjRFnVcf<@g}97bN-H4S^9W%5CR9vuGr zj(B!h03ueCOP2LYv`QwiXxl-zX*ZH?1YVzAl@;Yw2jF0h9t#NE2>EE>!6>fxzB$-8eao^Khk40aPO1 zhx&7m1?e@=4X+JD#!*30d$@iOjf1LdQhiPni}EUGlCUHeSbB z0D(f}!qFwC4f#-1I(Fdtr-2P)%EOmR zV-&Q)*c$TG3u(%nShC7M_-Um>6!#C7o^&WlgJKY_^zD3p7gqxT6mhI zP@)pMNw*^^ExO4{nTp7Bf_;q_6x``q~_YrV` z?jQ^*dxtxN^dGqm*Mm52NqmWl`AvXD17jW}Kq$yLXFx{$bUlO>R0fKwJ$cpcxq5>KCsIoO&z_elJk=~iqRS{~oj{{XUE_dGX;J{2L4%kRoP?t;Cf zH_Jx|Z*IF>J`0^IaV^TT%`iwRO}p*+S6f0}b84l#i)Cvxwt5Yjh>cEy`ZK%n`aBA|fm3OOei&_u%a)h8c!GuKxfd94^jUpe zUiy6YER0~J+4@@NEf?FKBj(o+Hut{VeEj*6So&NjNP$441C>D_7HM%t?lftJ_fV&NEDys zziLo;G#q(~-QJQ0cdd}jH=E7J=o(Km=mcoUaI{thFU7lZs}A~>@maxVH4j5}1EjMk z&bV-V(+v~14~+(q_J`OA#*(y(LAsE1h>!0{qH@G&EDjz!urkLP3Ib^g4`QKEeD5{% z50PKou@UOpO-`K%rV;ko9e6ngRA(;3V}Qm8q#&sdMwn_z&25V+|KlLb#4LxwU( zpI&Kkn&1#hm|`tU>gPFko~nT)4kv&0uhvAfGFFhw|ZHZd73MrzTI--o^ zZQJG(BX>XwKGxrO_O7fkT4#17(dtQJOWEb)Su_u0nQPhNtc&UTf^zK%YD>)PezLMw zVotl(x>cxHs#5!?`Dzs=Q=Vj>I|&Z@h%h>O!qY!2wB@dE6neLPDQCE+<4RhCfq~mI{@!ER!^5tHOUO6K)~e|R~wESpd-mI>0Gz4?!Sar zMWy6j57@;t*g>c(N0#yN*FBn3GU*zSC{4T$^M{z^ndXz6^+Py?01IisoP@Mw05k8( z4BVD@0wMz8IZx*}&taAE#8!*Rx%s(mtj?lDHk4r7M23mLpu?I4t+6n)xj5u4b%{6g zB;~rmbUPZ7=It}AWx7}bDZ-VhNvjj;zE5e)6e!)&_Z2U2+_%zA zLBNAPN)5=YQB*PGtl?egPxp3H_0ThnR^&W>@Bl_Uk32N9CVsaK9+YP*m+skXFX04> znu^x_)v8qBzK7>Fgj44e;DSiG4#jeRNrfIE~GN@0w}7B#^ooSZE?~MnrQ&=CE5w^2dt@fB-{}~HLw)5ppmc=O8R097`UWR7e{B|_iqy6O2o+csxGf+~f&`0`pN`aUBb{y(Qc*zX$w|0`%VYJ`i zve3j_Htt3!&y7CPjNyjw658o^ z3US`x2eRY1jo+EHze&bJzf+9A$Twt1GM?WVA9sdon&dbOGr1Y3H1m%D|1z{Ahwsqw zB0z3N5j!zojx)s+XUV>+%?;djQsP_Q{v8{4Rh}X*WbKqKg=|5pBzOIU>RFQ85S|6x zJ1V!@$X5gcZ~5JOv58OF=_mN7-v&Ow${e>)&hp+kDb`?-*SHs! z<@QLibM8$ll}dk)uY#Q{MdAMY?~0MWj5}kpa+8$Zd&La)V)kE36hCmIxLP^NP33sU zkOh4IQOmuS+zZ5y7XP2(R<8U0AG&O!FPP{OzJ_U>c-V^xE=0AVrnX0DVXix!&!~V| zk>AICY1+voY@M=eB8?m}56X1G5VxK;vW?ZLm5r;PQ{9|MH-9|rGP94q(X;2xQ&}$* zoK9sE%(Fipt(lHwB6rbmWIEgNx2VrY1}eZi#4eaSULkWM`{0Qr&ZV3o#=oMXf8dkrkBuyco1I4HzDXtFsE(`^lDT9NO1#ml7~`MLfrP6wQ_K`gEx z?0)_HwEE!P|GZyO9wces|;4-`xH<11D^QNpgi}6Q{@dD*us7} z)tou4m)-VR`cniqWsS;sQ+&!2Pi0LrC;dwM34>yBV2q~clGOa7V zF@16b0+)dm+*pK*oWX{4tNK6|XNWlm7>jyE50S7qL}S%7EJcaaVU5?SDU0x8-Hs}9 zn9QE35=EN6kW@7koZefYD(LPCUYrr_%nTLaH@*Fj$K3xYAIyA$FZkEn7s#7E`O^*D zY3hoTm&ZM1;LL3b|0^4jv_nrj0t%W(Y>yKhMZxh?|_RDIW#_jWxgtwy#j!^;( z7ZdH@F3Vf_BPw-kRdBN^E*el3YYbVChFTS0E#=Dxrp!^v&F>1F4y2-Pi6`v18qcWG zt$Q4WxJLrbqMD8}Ln!NmC0kKFydYUtS5O@Flx5?wOndw(%f{C-?fa)J8;fOhh`-x; zezJeGbGo_;=WVsjY?teYyo>ZS4k8UoESZZ-%u2n0WRl!I?u8?I9 z@(0K^tCd<6Q!}Cq?ubwiIMeJGyG^cM@ryTA(TNr8Km{BBXR!krfE}oijc?mCxwKz> zuITFXT?0K0RB%0%TJg^D-q)vlJ10A}Vr?8~JW#MsR`OfiIr_gPDj)&;?0D^%E zE>Kg9B&~W|(ioB;9>S_Rxxy_j@@i-V!+xLPr=j>ub294Dg69H8i#c=qOVs8wnWNhb zWIl1~ zLaH5oGBL(Lod%3rTm=tl#OfjU0v;zgW{%S&_{BC?yb4?Rp)(>((S(M39l@u8(_8lauONY&@l22m2I&%X@)-6!ifqmS=$&du2I3_^ik9?6c3%?nZu(18fGD1?KpRxZMv^ z#Oy2#4ZVQZ!$AtEp#={K9cfinp&eANz+k;@E!WfVi`lXjYh}f)R5#N)4P(lD*Hl3w zq`qciQ+mp(Ww6jm17LYMMM1Q6YZGWOt7^OP+vozb~m;UglIben*n_)Segq%jRc z0`LvjGz?L3Uv>rm8Tc{{Lna7A)~(tayx4l`khJ?S{>XT-m332>&L#a7wOk~!VlC$; z5@K4hYM9A-(Mis8_esX&VrE-b$}n8FzzBG86u3_0!q&B8@<3@&+pa#`Mqvd z0BSPNTLxRf2=CY|e!qm?SvWF84953N9aNg?$@$M*A-nv&tDt1nT4(-h=)_^d!~tVi zzd3`oVpR(=SoPdok{eyFP~@sUP*Sr}xvQXLQ-zBCQW^9GYb$25*3`-&jYhGH)ev1?95W z%&b7qQdhH!Nv0^6b>p+r*=2ddtgQ6fYak!Ik;_~HadxGp`dXVPxAHMi`W_+4$1uE) zM`ffSZWoS>B?vP|8;hu!$zNN}0+-yW=^N2W0V!ZR&KSJe|0RKmQOc;cr)|(PuC=+F6nK0z7~gw#LR7W8uuQt5{5axt;I6-Pz4S z1SSEX_g-9yU`DYrSdw|6gi z8`Xeu84j_y#s;u(L41tilu<7iS}Ou6C;ZnYB{URz#2jUz;A`!woT>kF0z2V2|wEz)Uc7IPCXNXts~GRpxO T`q#xXi;!)%))YSg00960WB(%i diff --git a/1ano/isd/quartus-projects/DecoderDemo/db/DecoderDemo.sta_cmp.6_slow_1200mv_85c.tdb b/1ano/isd/quartus-projects/DecoderDemo/db/DecoderDemo.sta_cmp.6_slow_1200mv_85c.tdb deleted file mode 100644 index f4c7d4381538112d73a3b2772aba9164448f65b3..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 3159 zcmb`G`#%$k|Hoa5ijsRN*HZ1cJ7`!|E@x&ZxozaOL@k#4Xu=l4CzqBxF)~CLp$KC+ z%-nLTk;&Y0OYU}Dw|zU`^ACJ}_&nb4$LsleKOV2g`I$Yl&Fus4O?HtQmtV- zOnyZ;OEmuWEMzr{83diBt0pUE>ETq2*|Vvg1ehFH)BCW4`_6e~(36dB2uRO-U<%OB zTdGk4q--h>x+H0y^+xNAalmvL!>C$wBU?XD9uf$;e-agMd!BH+eGDf>_msKtC;dX^ zqA2=Hx>M2O?ej~;QsK&A1lc>X^rNNWNX@j4Q^0gX?v;jE*q*Kz9Lmp?p4;7+2sMUn?74MaRw}`**2HLUL4mj9kObS5?)# zD7i^b!&YICJVopaCH&Z-;jTbgV18RoC(_eur`_pyJ>qh=RZJ)Tawo6O>u{7bIR^w` zV5M6pIC&6!f$$@~hUn&~wHQKh4wUz49d&7+Cvjl#Qw@l}Mwx7*3V;+M_&{eVBHt`u z{8%f5BQa#OU%voS_`N&lV zdFN;FF~Eh>Yl%}A-wIkE1@rS<*^^sqqj~sW$Kg(V+;jY^S(K|f6|}Apa1^VydxFDL zi*))EuOPI;&*RrTQXrt8uN4hzqu(Ge`bWjb;Q4viS((fDuUuX_7OBGWIKa#8Pdvvh zFHt)=LH$UP{3EQB`TQ(_^5<3CH*=jxJeZ=z;66u*{-)(Z;+SXzC7!x8B{^j~l{S^~ z>c_rO>D?DRvg^~j^$i_vD8J$n0)K&!Y;^g#Uf$hHKfx8dY}b-q`-6@Fr=o1Z(V$>= zL3dHEf~%mrNV%Unuf3C+f)#_#P!$iJ^4DwuA8$MPE`+o+Vj8RsCo@++V+=szk`C7= zK7pq|Zk(p(=DhY7oi0_)O`I~aWVbVP#jz}?bR+WsR8-~sz7$AoJY$5eVIVV}N#gX# z7NPeY1Z+cz9rdHnl*_42y#az1xt_Hl>1OJ8+zLI#W2_QPuE92rurW%no0ewRzN=5z zrk}TzDHL`5j{~I3PC8XB-WV2aQJTC~xzRvNR=p@Q@?yKV^!vg+u&SDZDp(xd3fNm3 z9iZTb8S?P%fi(~JyUWi^yZr@m!s}_&Ne#VoKlEzDIVRHYlc)?Yr&4JPI$3%F)n!uBY4Mmn`hXGE*^ z)47SNd_OtDd?Y6nWzY|-r4Ft5eR7^=R%gOrHy#>qcr*h+E`)!Be1-gu7qt9vM+!Py56LmvDIlmfpkksLy zFnWqtMXKMHs;XxlVLQm#f7Yb2DTf~L@s6*#3y)`&INO(U-zValPKC5qxsbPn;l3!4 zC>b`>s4;uB7abph@C{Q~_T_&3dWG5&k^^U4)j?2FBJ!J9Uk3`7kt>Ch#u>PmkE$7L zI3F}(Vn0W`W{KXh$((j(uq(o{8UPjEj_0pj0|NYE3n9RX<)||{W5{Ox+~_t+*V)%@ zZU-@(_w68szH_Z^E%IW2kDl5&XroMzGnx!Csi?A1DtPJT$T>1ZiO%vDH-sRjlShgd zhh5T0up!!s^pJL|?@pL*_FFinM#50WE$G@qX}3!A=N4J6VlEc4YAK#Ws-x|QA=>{s z_H~iOv0{?(zvuAg#h|V$X4|b&g`q7xsI(hku8(Am8~)xx`AyOhFF!aiP@J;sC^b`p z^Yf@H?nyT$V2l!Oh99*Dquv(YIH>EemGB{0{(#Ie@i{@P)y)gfQ-KNbV9Vns_TY() z2i2F)wL@q{*M_`Zm@dD8O~i%pk;R!wODhQGux-d(*0wLBJIE`r8=ISfrI?e z8Z>@<2QVM`%-g0Z1B8qg~_SF>|sVgV`tMOw4=?V4LeCOtx3vF%VgZ1KCVq@Rr**YRVOAS}iA7YBH z?z_kJ%b^nHH*t$=rR<7FjnwM<&5EIT{3pul+S^9TLHI+>ordy5H-jvmA|D@*hviRC zgIP-3o}m+}haH;DNrp$sHnZ55IuE?_H@vtYK70 zTFZHgD%@5O^>_!%5}}_mH%e@a@1DuA$mT;;$_NQGNk1}fRBmq61W|9&utEf{w?EC2 z+}!ua%mBGu3Gq{Oy);zqpHqwRHM=H2y_amT#0QVfE`!Xm!vP5gbGyGng?h$>Bd0^p z_9>sCX^%82hpYm}T%OJg>Ko|k0=2(nl?l@fD+OAU^jIm{fX_W1h}Thp&sRdNrlBqY z;HH>+?RF@VqFCjiK(>bZ6PdZ;r13whm}_jzxP@u$RH549v-vlXI4N~%X$E*@cG_g` zp+q(-qa@fN!v_n2>d;e~W|xS=p~j%I4A(1h$XAuX$k_wn2KM6jTVDkv{GN!61v;sJ zFfh6iV0L0;CctJQSa2F$uV!E_U6b}C-q~cp-og8~o`vDfyBABcZ?8xG;3m>LhNK^k z77ZsRI=NcL7(0tuq+db4uI_6oIVK@y*VL$0Ty%V5CJF=A6L|?91V?I-P6p| zo3qTZV!A|LP5w}x?kev(^i(z@Q^x6OycBLJVDk4@4jxOI$BliOUniN?0q1@y=n>i5 z-D*}ZVNk}r@$G9Alr=-_V{xt2UrF~qqH{qZu|ZTnkD&{3er@;h(N>|7XM%x+&Lm4dwlC-(nT91LcFOS6!6v$Bqg{}qi z{ZSlu16d34q$BRwoo{`VV-5T^y$3p-&@r1+uRL3I=|gYK^-jHU!k1c=C%LQ$;_EvR zlNiNm{|z0qU1Cj+6au zIDyOdXs@kukq}=8jd44}t>*~aHkuH!dl2DV$UmEIyynxMq$-$s}QBWK>>7~INA zBgrMMeYfDDHZ1sL{My(Z+Kpo>Y9n1OJqiAtElAgi%5%&q+Vy$42LI&tKS=v3DF3OK W@Mk8gcpUNKOlB9kWdG+q^(U_(8^M5q^k_I&cg9t{$I@_=?gToEWSX`NU`8xOudcJ%tFG);$z%Y}U zRpD_@ngkzZi diff --git a/1ano/isd/quartus-projects/DecoderDemo/db/DecoderDemo.tiscmp.fast_1200mv_0c.ddb b/1ano/isd/quartus-projects/DecoderDemo/db/DecoderDemo.tiscmp.fast_1200mv_0c.ddb deleted file mode 100644 index 2c6901034267e5e16864c7579f6e55433663fc37..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 107168 zcma&MWmMf<7cGnxcS_;l?(Xic#odZaad*nW-QC@_xVsg4aEj}}-SzT5_q+eUk&I-o zjJ;QqoweqgGs#y72#6Xa$j>bVgze|T@wwMDcXqLMuqR<-VPa)sB@uJ8wlgE)W@8~? zWo7NfoLA%E|xFD6l^&Z)vIY$9O=y-%+o?@|8Rd&)`yj~$|Gj=$Os%Te7D0XtUw1)Zg&y7 z*o!8Zbz~F>Qb}7`#>%|pU|4$q65P$&v#6~lt2Se49+p#GX7GJN?iKFmqUg@v&?Y%E zyo@2AH!ygaEfD}@&L0jE?Y30bUmklf_H$GA7@EmXUJEE{4qxvv_BW=<(!0psfZJTP zFi&k1mgDP*2vw6-O&F%T4JlT}la}G{uJYRPKS1rRvFbfxZ??s2U?cM9l4<<6!9Pz= zKQ`O$F4t)3X}@aneh05?;f2dfE%QB8gu6GuryWMGB`Nb<*m6Y3{J3}e}r zxu(_!`}H;bdSsOtQQim_;cRXuCix{H21Zx_>FoEUIeK~Gp5fZ=+7Bu{=glVpP*Y@M zbas?f@!Mw8^jmA<4e442U}TWhtNPE6aI3pO)Gz`)XEZ&am5MlXJJ|72s}=vnSw!mr zY13<5rdg}j5(vvjeDx@E-!otN^)FEmKS*sv?*}LwKPQP)sUm2PsXyiqd^0hA#CjmV>xW0=43?RmdQT84Hp zO!@JF8QQC7FC?o#)`EHY{%~nt!PD>q8CmILGaF>%`#p_RHHW#H+~;k%@yUDV*e6RgsmhLDK+j~eOB6E1n&Yo+n$ z16(s+ZeSyBFE{C;g!b?rXCi>l`vKW6^gMqC;7D0q+-iHu>r;%Sy*`1_&P`f4ti?u@ z&5(79+W9GCtnHI8<8?7?@imumt_*E1IVhs*>pEVpc_KKkJJX(`BRQ9hIfXkhm(5Ui z9IHcpL)UIl)wl10dG$Hsow%-RnTogvy!{>=H^CL8Y(l26;&?pqR@eJ8;hpfCm(#}& z>hHaK1=t^NtGS0C{|=%Yu9FrA-vancs0&vQFNa??xnG3+d;}Ke0{S8Xz=eeA2>xq1 z?c}Y#g#nHw!(8nz=V32K9~;^m$uC;R0e2};w{^~=^Y6^3$NL4mgy-4$%Z_;IPr?Ub z?QxS20firLdJH||w6`)J3uPl6)lap@cW3i>pja+zP;!k|B=;YQz8BQ@syywG5k=s+&kc{_Pq3d()iZf zzWtP48+#TP@DlMJh5G4mc=KN7!)D2{`K~wA$s~Gkg47G=IAl{|+cg1(a$-ME`>bX zH%kB4`!u?EzIj|g`4Z4G)SPW^Fp`aDoR$X7eqoUraDDaeW`DZsm}hkNX1a0b7sqt7 zyXJnVL+Nmr7}0^3KF%$nw7KF{9F$>8cY0k`w%gx=j5NUzYY-h)>B-ceuB`qyrkL6{ z^ea3a6P!g)P~Ca1C^VdtkLO`7^LksrogkO)pPH_#tGobc4^L=zhsT<%n=iQzZ7$Ci ze$;O_gMN9Q&)9yOZ-=z)n@aEYT=8-0D7!%!W%jF?E+}(?x1;RO(*d9ZKR5ypo6YD| zu8AX|=T*BcMuv-&$&L-9+7^pVClBETpL4~kJ+F(^PaKd}cxb@qI?8h2dh+A;ect!m z6g)l^K>J}l+Rl!4p?45abyxGgwBjef+3_RS11|0hXnJ|b`~#mGd>7)kCbfTW(C`ds z_v81QRP`L|n>tYr;{dDrubi-5q*0&$YrZ(w6Iy$?s_QDeSc3EJ4J2}fp7Aesn5faa z74*Ee_5fG-l(cEaWS)8T3#cKX=x} zj`c`D+0#^ppV8|Rm!I(JO>~d)#n(xn@yc$$)fu7I3q@OpzaEcA4P9mS z0n(ch^tJO3^C&O(2HgYm`WtY>g+YRCuetAiMcr^+H_Y8(KYar(s`rTxC4GfnD7yZT z-0bJT;tAO)V{_=J=hjM*B%aT7EfM|3{SuUGap{I(+k+%{yyAz%UO4?XT;Aw zV8dR~3uOmCoeSIbTm6kx_O9Hl+C8q^o3bJwt$@Rd80F359Ip+FG*7`i{{00%KcllV zpNGxX!_|FT{Jm>`w~Cog-*H>-vh$C*4RXV`_6;Ayhqvt5o{P7P9o~yao0ogx^%s|5 zLjTRqQBc64-};x7KM?K=gzddRvy+$=wdlrv% z>|e|SbXqTV)fUfQR?nHwiguUAj+izkRxig8s~*m;_U1RFFN_*Ip6=2n_PfvJYiILb z-sfMM?gD1+d#>4)@9drb3Hw-XzH2Kz^l1sqm^PvKICT5QZ0vjJRz1vjYfj&|>u$W5 zCwSESdujN1bKjLT>=nBpgce@KW%XPS5M19aTc2CKiFxt4c$#qVDf_sL&9>*mb5)Y= zy?f|>F>*7yaH#95c_7?;GstZ{rp*P<4;!v{^zY(75OTE1ZmN9Tur^!+a;Hs!AMHN{ zJN^kx@iF5Rq8Xu0={s2y2Qv%16!rWLXmj6;)v8|d=(8=`fA>9uZ|D@N`qkr-zHm9* zH#MnQ8r1sjXVp3X#(Q~|i-x3r-KB?eE6CFF&d;p(=QHZdV%5vL+l56t(<+nE&&{6# zIML6>Sgkh#MZvEBzTw~cRsA;E#24b|cBlf_f(5ws7gRmr=dKj&Q*t+j2T$5h=Y4zv zo~GfvEgk%PF4iyF*_nH3hx0ve7HzsG-!5Wfv>sO?yS0dKCk3dc2@9f8+r~$?`kOvO z?rLB7wEHSl-iNsRsx%wyfQVoEaTl?n+;bnX0cZ4Pbs>KsmO~dbn%RTZzz$2 z2Hc0fF_vAqT)p`wz~|J9C6D-Z6X9g*HS6ZQ2MsKI(fLlu!)#^knHjNYvEW-letUEE zO+X3yCq8IGdot6w zO5v-JLO@1!!R|*tk~}}J%aGzP@qxtG$S2J1hwP_Nr{tWDaJXnxX|fbgC41ZwBl&%yA#B``(|f z%w_l_8xHq|23y(3-%YUWzLG)zgy-mvkMr_HR=ak~yIH~{@rE=d6s z@BBRyzB^k6$}$1SZ$=JT^Ghle#?gpZ5<(obmoqe4B`uzwAZCo~gr;{)Hk~sd0+N)_ z$0GZ5CZ+mpGlH9x(xe7cK({k!G%u;o9>SA2-K&7n2k!|D9LX<ZhI7CwiMPx>T-rp@_j6 z-Gah?05w3XvG~HW7A|=hwPU0}3gkrV2)8HUSi_alJBfB+wV^3(42>XS=YXr1jLc+) zVEHEYFF8&IfSeBt@Z=>f7i2+6MZP5c@%`(ib0Z(ynl4mr45g!DMkbpzdB?!P4*BV% z_+$7E&by>Ic|#^&kp}!W^sCQM`l4zXdr(4U!eV8xt0N*pwaJ=c#Z*0BPKp@%vA9|W zauhyvvroN2xjlXD(^u-LQV44vQE`Z^Kg?g3eCd+v^>diXY{<=jjQsmuUb;v&y;!PWxM;wH_qF9=V;KxMWt~xvI!66;TOF*dm(MZA88e zlxQoUMyX5hU)O|WgD?%~DdV+Dd0b}CJDJwjf8uOdDg#he&7BuXn#_E!~x)jbe_ zY+aVwQ9PC^f6#cu4eReIOmqMh7}r|?GUht=ywpjJyn@a2nekBhJ2}FZNb5kpemUGyr!R|kig4IjH-vff^5rIIJi=&usXYz z>B!+N13xA-FcO#M$0zvG1xEjTV)=>--;)=Y^eE%aAW?q1xNOGcOZod!2Ph&MPHig2 zM!!p{GrV1FJq)=xu1j7`t$RM8^~)rHR&hhXogc4e{Snt5@sUsYtW$_VM}R^;^)xb@ zblAvk2qj8Jq3J^HpX8RHRR*H|OQX?ce;{6!5oyAm=RkRk?@DR&x{ z$~dDv4)tlO;NG~G85aU z)AvH|aGAR3B?)1b8dFd)EQ)zpsy80_2^jRKk-IHDxSH zzKmlc35H)Q+2HZf=rogxHF}Bzi|b=m0=$Ph5HyQ z>ZRo9Y7+i|hiv{H_)-L$H?cscC$?-wnIJ;$beU_6Eb{H5*okZN$YO~Bi^)mYBZVPS zhlG_%f6LV<9_G?_jv`FVq>1T#Pt|dqNm<{W|BC2i92i&n^n;p#hLh5cbk#vD$(H@Q zTQT$y#2dPhLQ!2p>5`~!73yq1EyY+E)9!6LEgoS*x^H}mqJmJ@krHpZp)-V>W8`z2 zqk!czk1^V{6ECsWP0*dkx(XA3A}NXU1(-LOzVU0+iI=Z_f0&ayw(~VrFXB_>S4(Z4J~;JqJGL(- zu;hSsK5(D@uErM=jJSut4%v~OUQA!sHHi|P^Q1Bj;jC-;WZ=r;i~lL9=QTjn7bs?r z37P&(jZ~?r8aq75C@}}UXP)wrRgCgx^Xe$Z?Wibz5vHstZUpq0`cip@?h0ZW$KKgJ){!jP@8b1BSqPZriSL-xtv$*LR z14)KvI{?(ArBIdSitVetKwy-xqZ+ctaeG-Or+4k3hPvzOuo!*VedDo}bRLw6;fIla za^sb~Q=h=Alv3zf zrg1^>jE(O5jy0n7R2xnh)R`dddY?iHx3m`IpD)v9qE}dfY`9aAEV5{@d31sXy!l!t zuvA+{b+tAqaAG*PC{?hioUTOQ%zjJEM4eL{v5gaSRwXumgQw(+YmsB;v#c@Y(4oV# zJ}qJ2ga$ArClh2@gIYn6)n8m{L~+56U1Vuz;I235$c(WQ=2YGrLtY%Cibx_hZdG zUwy11b+tFSR4w*Hy}nmE4+@xR+NXz2sc^ppE+w)T7TB7+;Q8WygvH7(HlmwIMT*nF zPHLd#IUMJzohHd$8)o>^o%<;~y6#xf(8k0Z6sSleEtags1-i1m}CVV(pF zE{-<_zR}uI^a%q8*4P#%Z9sT6Y4O8sai5;mhv zB&Oep2bGdWU;wKc=5{#~5K+6IwSr=B4l&DbcJ)C}q6fbD9%5^eWdSp36n;vC zA^2xOtf`u%SV%QhEYs77awb{e)CEn78UBVKLH?K%t99zg3EDsG$VI*|kr5iearRw?|8B~^i~$2a%8 z5gh9|thLNAS!01_2@J?qIQq2hdei&ztg?@CFb;xC-leiP>sZu9ef;EQePkli6X zdL=4qTb>b5#45Yu$3^PyHWGR4^DdSn z0kt`34fXm8N;9>lwFqg5B9Ybr0`3W(5H=l<*ViPI6gv27^`ir8p;Xd~eI_Lq>%_u$ zXVCkx*U6toSCCcL-H@``~ns&HC88GK7P$ zLX(F6E@uTki6Z<~)cADpIbetPG>uL#@benh&0irdNr=~T1~Z6JByr)!OBp4~k-yH( zbL=Kr_^Jwaz=sj1h;sMcRRQwqKV3DWjoZ*<$OgzAK#3z{DHMUCl{2p*V0>!qM{g(p zyhc1a2#io~5&j_$sTx<%f$)h(6O@YFFh=0zs9w=45VyE;XkPP5MAoT~t-YcVN<8b9 zl52S}=7%I3@!4mXIuv+pF=ZNALb3G>OoAbkS*kx#@A4kb(M$0eia#v@ZOM7Mpd=?ryruw{oKkY4eu93m!5MAco**X= zMb%ffmfbKyI;()t$2eUCkeJ#95xL9Au72|BFp?(COGT3*>tWK6Bm$%$(?%#@2giiFDI8A) zOVUJB%2iObZb6%)qewwPh`4!WHR`YU>dqXOKx*njqdIEne=?C$=TJlK&ZmFJ;MbFl zw4UiYj3ADeaPEdw9-#jw64}!q3^bK-GjO0{bn<)1V5LdIr<6^!Wy2d~6xs_tVNB8G z$7e1xi!T@{O6V&Aqp9xO1?mo<`I*T9VH^z?glg}3;cd}o$%PSx?IkRxr@P{6^NO9q z_GkXL>UhJUVTUpX6MgE0+Ef==6zpCz6d9&v9LJOE9{+=WyJQnI3Z%AK_B-}K-j+ZE z5^+e2bSZ~Voc>L%`wbP%9p@W;gq^9EIV{VlrjJxfpG4XeFE#!-I_V1C|Ij!v z@i+Y+&p1ot>E@ks4r%m6RM|{u;{Q(?kQVKoJ>vN-IA&_;O9C)4a$Y)IQ2*A^))XdZ z&Z=(fJyHs$b%`u7S`poisUF2+{N^(m@m!Y-VZ+;|`L%7i+;(2L`X_()?=UGTD;_P? z^%;x#Q1tzU1fCqz7bmgCs+1`+X~qnLQiaeF)Ievpe&AnpNh;mRa?W6P`fcK}f9nqe z{rz7fAN$RswG+16L!k`E)O>o5eP362;mV2cDmF+ydgTQtc~IQ`P9tBl9{+?9>vBLz*5g_t4;driD!@SSdy-4JPJ#Lh`yFknMkH(qkD- z{i6%;GW6AVfM!wT8RPeIY)Q%Ee?=}*r~0(TmtzbxUy{FDY~w40Td51^ZPu(9kYa09CWg+6zCNAHzlTSh1AL+OwDDqkN|3i#HSp zl`#THs_Q4M?EOQ7$5cVEtpu#I3!9BDq;{uOeK8$=5{(GtWDVAY7&kL^W$SG|^?hx3 zbYuzn>=7f6UM91fgMqq6=VPKnkaQZt4~F0`%KZW%Ymhmqi!Ozq<5TH^>S()b>n?UU zK~=j#dbZh+o^B%ra+GC{R9dWhVtuwT`-V+GZ<$o`E0EJP#^UQAL%^Q6u#F-5$aZOS zrb@HZXU~Hz$cn~x&WZyH|-q)k>FZkUP2emp!? z57A>~2HY5{<43zXOP{%9P}UYgvAtI~jBjYgM52;Z4-sX5+W8N==BBbk z#3g(OlPHP9(24fd$^O65S#7sW);nwu;>(d*E#_F;Ra8u!m}$8YAHs(FPrnjDLnX}T z({O;4-GflhP9D4Pq}*8}M;WCCo8mnQMnqRA35jkgE5ooNHj|VFK-BdwP%-(nf|LxO z2-~Pq1n;@^a6<7Xpf!pE$0s3)hRApC9{b#qhq}k(q}=R33f)2HP%E3dRutFVA3 zAdlqn79CNjo#1Peauau|?4DFE$5VR~_j&k;|Dj&007}QI?OH!+-eDzaiK;c2M}72( zG)bPGFf|2mBR+W!<66T%Q;*M=lfx1hXT}W!_j45PHG#U~D5i0PIM}x%JFe=f&pjo_ zCpBZJZZ2xFGo96IkbkAql^Pd^Y!N=Cm{;}E;4~~`e_$hG3XPwB(rGAqj{$`y5m0|Z zBSbfemNDLsIylEb&rYSPS%P^ZSfq&~SeUx%#N?Y%Rv(Z)9B($lQ)_6GT;c4UCeQ?b zGfIPkjY0k!=?Qm>T*tI3K8dppvE605+OP|PFM5_qaX+>U`7)KmP;GXA7#t!gWj+>X zTJ#`ho^v{lp^yUD#WpwDw>Qg&FQjcaOz=Le`j?=mQ$xr98tV2PzD-mDEpmf>r8NY8 zJeTc%2^U55pxMLEJ&+Tk1kOFq4!+`qNbKNvw(?~}{kg;UAc5P0wGf&t`S+?(<0$PL zuq{+)mopaLU~z1$n^ZE67^F>ktFez>+;k2_Mui>b&5GsCvfOoO6}%`_Xa z@;c+M1hVia3NBb>I+Cp!GH=n5H;juExPc-L7UAZSgMIaZy)wOBfz9N-1D3XdOx54CZWTx?PrCr0TNPiZqSjuTH+IYZV!c&QP2X=zx#?HJ) zF$DnN4~<<<2SsF+g+d5=4Z(#1uudUsHM(L#%l12;%yztWPudu(PapUvlNo(vO2>yHnCQZ<(9DOLRRr~(AbzMqpR$#r{EL| z7Cs5#o;b5}&DwdL$)63(w8Dyh@{7G}4mMVYWZ!p^43vGOb#d+U5Eh+?I5Q3){J1tE z#y>Di%>Hiq9tnI3-Pv6fEbw;d(JNl>l}9Q2c%Q1-6u=L!ti$>_4< zqnF0_3nIcZa9W}#cuScqBm^T|T^xPFy4}Yr6ClG-l_R(Lyy@_tEAJnR*^1JaU`;}lI ztK|OtiXR-v$7bk+zt}r&q+4IgPS3|&^BIYembt&glJdmCB@4W8o@{g% z_3TIWUHy8qd(4d5vl-YqX_{aHV@dT52$|#huED<%WC zvzi@a*=IE}Q0@F3NvCQre#Kl_Z8EZ)M&vG}EAWSHy>Spty%i22Dvc zL*AKrO1^!597JHJL+VJt=%DSby-l%BQq~q&kCpfhC!j?K#6?YV7LKE`h}>aNPGM!o z=wVa&4NcEA7DhzR;iQwQ?Z42RHbH75sG832Zp7(y^u~?xQ-qv6df~OBQIX5Zi>8;4 zcZ@^P=O|}+n0Akfsarny<8HU{XcW5j${Z`LLHKb=VxD=CjLMBKue$N%~Ky$ zQGet;-NLN z{S|^+v+4fpW{kse^1Kc7WDb1ls0|f9wly(=VWu129kb)#9@_%z)m;Du)<;fl?^o>;8Nsknn@p* z(-Aa9+N#0x@ou-qG*jj3r8iG8Qu0o>6c6UzzmUZR5L;P_*w*j>UiI_W z8gokZb!-*-+wE*!R9-0A1e6=|+s|&EWWJodg{7eDkj2b}sJD89xDhN9Df8gX{{#$o z58EeUnrNfQOhR)@cC)v4kyfluV5uc4z-2Y#Vd0Y7bX zh|6qY>@r6DQkX6dg6JX&z}H~t1^oIsZ4CwTg+gpo;l9`w91ZwVmi~g4?7k;&!@B6w_b z8TfP4Z^+;h1sxx)X(BHM4wx3{A6wza2gCy71hSY_9 zg$~4oor6!x%+E6d*ANi%?eg#Fw(+1a7rT!cHGk;sJ_LU#iXw2# zgTt;MYyvY6qX+hf7^V!Gft8$-pya+!O1$#8_#--_h9e^>(c}m5PLj(E(@6k=l2nu+ zhOLZiBONKYXLKL2BhjV`$4lt(f;X=P7?#YlBuF^g?b7*;M@+K$U+)is!mzYO-;JM- z*_LJo{XFpuvk_dcb)m01lGGSDS`rJ+n@kMYDC`~8TXfkuyIaxa-iJD0NS{-JtaPT| zl(x;tu6EbB6|2vA=!hpXtQ=~03K$Bxo3O-X zq@?k3l+{brMsR4toaG;OK1hkdwX8&@+lZqr%+Z+JTdko4ow1<`yFc#J4T%E?kJUzR zXz3Uyc^M~DwK^L~gcrSDNr?5wCx5{t=ey7gVM>cBlWE3jHEgf7*!3&`^b`6rta^`D z1+QZBKK!U^gOIGG@-(`_-Bnr7)(q%EUsIC`x}xp1cO(;F89i>2W+YkH#e113qFli( z9NBT-XETcP2LOEh9;r8n9%91u0Vk2N@a% z+H17M`(cO+nP~_L^AMo&1gG_oQCr?a2oh2%GdebZ{{(<41(cHa%yX>eF3lzLbSL;S zNDEvGqZHS9y8kMbhwGLq2sbE9jkaTX+2Okg$6glChJhaWGy4mUdo zd3p(3NlGjI5tOjve1b_zXeL^w+=%CnMb05>adIVU7H=fSW&BT zsELKw9wqlBZ?DOSspDM2c1}IUu{h#xT!PiolyMm=_({hioKCwFhAW_-4&6HZbt?T7 z-a3}%>-^L&w$_~Rt#TN_eCR%L<`sXrAtU!Dp|l!Csg#H}wZxz)4wGzRq=`|$dlQI# zKRO-DaK#yv2*<=9G$oBS2sxpw+xOLf9v7@2h8>cAUNRvaYC-4y zjP){VuS|W^q04=(!krbM#(ac}n$7&wYrW|AnuYBU4`BT*@c!m^JL-Ghl5`vc{}D*+ zGu~ZTYi2eT49-&xr~#d^SN-vW-6eJG`*(sG6VrY=F$rutJF0hnSJ=%4#QrVRbUE|O zkKYz4Zqa6DS;3AYalKvjOXO+3Pm*YV;IC9oH{))`XosB6J=y7b{CTnf!UN+4LV&Ys z8>AT<+%apfmB#>aU*~hb(iRvRN!yo7OII%l>eP)Y68})zp`!x7WFbb6g2Lkh4?Kb? z^_Uc8skbl0R7|?u`m(>ybb7FS;Ypt<)gYm~h`erirLm;H5{S3H_R58i zZ1XDx4+f68zbc6eteq&hMkEL+qdy8H8|CS0M-mfVKNA=pXRA1o#}5YW5^yuO3qg2| zC512qp*u-NxK3M{ez`JY$UwqW%AHY0&RgI?I{%FXGW6}D2{;BAbiog|Rti0Vm<+E?rk0_>eN zHA#F%K1ptZAdU1L%LS6;Mj@!JM5%W#4na(2+knq6@43?}fICya|gAYLGE=1L>_Ml;x@ zy}w`1KsI;AwKVdHp;V5g$WlZ8WGx^BAx|(*5+$z$g_z%`n`$m>_Kcy;#oLg|Ew8sT z(J(I#7m7Z6RpDxz8sS%;JXy0vJn8aB3HbuIj+$$H`9g`vKM+)t{`w zex0|4-owt!T5xRfHGkez?r2NvkaheHT(F(D8+=oCr#f3xoj~fAi;(O-NU^+Mr8m~D z$0L!mLW$?ynO`9Cs$l?s8TvSO=uqW4I0Jao5-hT62dfAC6IW{!4lzp*^5+2@fgwu* zB)n4ts;L>dM?JlL4ILhw_g+56*55DWF~re&ySY0s8_n?-D%Q-)l@o8!`N4c?aek zOU=z}6WBUBJz^Bi^WKAE*)KR+!1UvvBZ&N3woWY?E?B!@V*m4;+t5}e-IlE@FA$cj z(6snd95);Z8U};_6#NV!&Re5MIExWP7p8Mj`$r?s3x4(>a$Zy-Pdxc29x>Bt`W!N0 zhfUv6zF}QEoy%&RBYpmyN}X&l?Pm~E&b|}!wUXF(?%IiQ?C^&aqM(#(b>*Z)RaH7Q zUnvbeEpy@R+-Q1J2_Cb~bWT z(z`H;TW*2(Dd=&l23mGY!7Q%=f)6im39>>acP6G(lgx)hsOTign@d335!OTIq5wL@ z_2U)yiE`QpW!gBwXh;3VWL`hjz*hQ65aU{22!~!r;zAQ0d=Lms`fmR8U?iwOCi*ZB z=&sv>?jjY^J-5c*mY`=ry$*3f^V60Ezu13qI){pP#H@^d|9%PiwK2O>L&e-RsozU| z8{Ll>ITA)l;)R}$NCU6(R_*DRqRO`iyh{6tU(`eR?>ULMPzEEYPd+A{jtA7lKfdOL zJd0cnU>K0V)e~Mc`{qz>B($O#LNR-bSq6sLHciSq3eD@9@~A7$eIzOV60yxjt3! zv-lh-n}1;|+rrV`+>uL#M*=6kgJFa2XKTDqG`El?!~V1Y9kU~VurRL`qXC1C%Z|V% zJ9Sn0u3h4SZI+oOn^Q?yC@zP7`XltwQK@c!Z-r*n>QYwuNh6idJ6IetZ{z14ipP}d%E6pZ`aI~*LTPs*RZFgKsU@B7*`tAXrF}5Hl3pzo};*~H?_sywY`+nC)Y6F4o05-s_|=03Xoj^OPyp9<d)?5kcnCyue%$CRT>owpYaQ{=FZ7_Y4z9I*cv?c~sC_ z$-kMZr$20hMp)+&dwd+`e={r(CbIM&hLC5ZB2ZSjocQOqZMSyXp?Un$bg24(EQvT9is189$`9 zFdMeRbmLtFyAM9ei4TWVR~x5D@2}N>hE3>3!Z+-Tjec;<^9VKzsmbE)Ac5a&%Go;P zM8lQ7VhZ_GZqjB)_Y{&yq~TT0&}2v3tDuA5D{~Ku$tw9(MwLrq7|IDoLqizCL1eY} zB{%S!On=VQv$ehCUzn*sz&=`mX0~es%Z4DZtpW2!>_n68RVx4Ty z64JAzv#YTlL1NPq-6QwP0G%>ic%BhosW2W7o_L-h%x5!T29roUgwr|EC-?12Ftk+6 z_rnY4R;3XA2J(q!5Ue`Tniy7he={-AsmBjX>&XRZ{OfePR7b!L|;cnYMN? zyERTTBP99tcxoOMdp5hb`fvP-&Qm`{g5xq431Ln1 zf6gB&=!Uii!82%IH74I=MUSgDNjj8NerFq&rFrk($4v;k6T*WgmrEMJcWV{H0InTiWrp>_}}Z{!tn(3a1j9TNP6sidq!MkR_Ps zkj%jhm}|xH5jbqc;{dCg(8VAyj#$Aq%f3e>I8*_*VgPfsGWlGQDCwHrF6>t@N|z05 zG-!Iy7^r#nn~azDAHmek~>F;Xwg zfcwH{6%)!A!P^PmEY@(p>Y*ht9mAOx4X)1IP)xeUQW{+?M5tYq*Xd- z{udOrvbp&R_0hARF@2T3C~0FC$!jGvhM(@as2U$W9sPtG4&{PLs12*Q8CM4zfen*j0OzX~$-#^`+A&+~V=&9S}2eG|Ol5Pg66 z8Ny*Ik*&uW3o7h@?Zxl@K@}7yQWuN`-d18WH@F(d5jtazMedm&rQmi^Cy`vP>{`*Y z`MaxA#SKO|lKZjgc1zGczRGx!%b%*l6gI57btROX_(;*?69|4&+;$8mOyL*4;q>+Y z>3EuifgZD7>w&N1UCU2?3ZxoO3t51(b*Atl&?{~F5;Q|39asJOFStPua)fmOnS63& zCSaxN*TEg=p}IvzeT?PnyqwXkyi+0yRRFC;-2||Dcvxmm{%W2FY8uzPGBr8oCSa{R( zOW`pTr>QrgEPPDij;o&8l`k4kZz1O7E6-f=cLjQ*Y+97pqryBm}TJ?^OJ6w2nKDzEucQ_hO zn_fUBu?1&$is(D8F`OJ984G*)%Ae8ILgII_p+k-C?CNvK3tzsZ$avV(4LM`VNVPrO zvRrKoMpmxXlos!Nff}?9ugh+Fs9(j#rNq|!4xdrh*l2-ASG^ov(Of;xqhD7w1#b(Y z^g4P*8I6woV$Yj7n!w#7PjA<0Gm76Nf&NJq`F3Geh3d>6mz@S+VMp)RTXI@|KGufD z87gNboNb;7?D>bzps7n-J+rEnz82~crIh5gKdJ23TH3SQ>u^rIXCv!9{trW?u!`m?qYz$yc0ma^0rji@wSlE_y_xJ>b9y`DEAO6L^XzjyAY~ zaYeV9M%xvJeXI_i6hferC2WO1Zm-a$_{|UWdq%bk#za5o0N)uJ zbEi3beRAKIGD)jZ z9s@=BIL}=7Jr&H5s(!U1u_9@Ktr|J=5EEb)D&l&e;a{5Eu$fG8DDH~Lj^;-7>?%s> z%jQi{E|Kqy+8MdO_gWV@L;6K*+%hK9_s6tL8;mKuYwBJ}nG=alF_J&RxYfPu&zDW& z@S@>!G4<>Ilr(>4qv1v-EtNDUm|-49%heb8{zjSH8%Qe6rGwW`$$myd;R~zr)P?6s z^s7hdFU0m8|L_bZoS#K>lZqaX=Av{8wuI3(4{%SFZ-k1pct2G`9Z+L2@s_d{DTg<~ z+>)H8>fT>RToyvn9C6dB9XfMO_$^tVXRvelH! zj4X61j7NR{1wC#dJT{UecwxpSG55izxoGA)ce%@&PyEDqGb zCoP@_T<0s(rxEOCYeZARggpdU4xm%B6l@Lp3_4E*LwRoLPhiSemxwgJ{uF-2gnyWn zv+NYsv~K%e^4Po?(7@+~H}O&S@(YTxHF?vHtK`N28=>n(e!E!}?6dfd3A5W<`htyE z%P`M1k3M~ULFeK=8DuSh0fQ7zQl-&=(OA$RAya>yHxIlcVvYLUg;ucoDRu< zWV@!V?Jx@_5tJ94^?i%yfy$rbn~!*5N%3=0qypIfJ7;aR(bhh1oqbnFZN!rgl-T#H z_XSw+i`+NR{S@F|3f_-t5@7RMLL^<$A8pNWaaUkrqaelwW|_Mcb>^FEmw2bk3+)Fw zV_`9tpiXmq$uxt&>}dPlV~=dUxbOBu12hZ*I=I*sW}q{t$@NtX3iYDFL?DiOIX)Vi z2jHjf6})M|?gvSZN6KW5GhNlo7AJA7IiCO}(+2D?*5>K;=zIzr#O^iZIR&aBtY@DxE2Tm_d;=p zBE?B?DDJ_7yL@@q_h(jC*2>(;y))<06XSy(Adg6a8f%J>7#wQW14E(=IBvpJXrQ zUic&ESj(PGC{@z%_bK>*eqW*}S&N_Pn5JZ`CVB9zi<0;mDKt6A6-K-9$>ueg{NFp$ zmHZn|(*9w}g+pG>BNhcZmH;11H)o*>vkJ1->pPQoJ>0~B@?RXE@~hi30l8+S)Sn!T z=Dj}z3-@9nJh>Ji%}8vF_j}HDl@&j=KEfmbW5!YlNrrU5l+YmD%)2wT$9X+WLQ*V? zwwJiO*2C<(LO^F|>-dKm8aXee^w&!cuE!8uwiD)+e**7!43NilEMapht0`oCXL@** z-OXDc?eSGT8$+zlnYT%Y^1x_1tQrx>tUf_(0k~qd-2KSQ)63i<^Y)d#A`cHFYPm>h z2~J;j--C)c{mnG>Hh(MK1KaJ$p{#Le=W0k7X($@lVJPP-RzYKVKyFb{Xi;Qk?MbY~ z-cPe!VP$>JeyI|bH2#1K`qDoKGr9%%w4etT?+7LIdY_Wker0+*+`WwYRF9DfD`tK~ zEh=k3Ec%lvw=-55*|bRx2bFiK86+%sulED)D{Ipi#Ji?m(6=jkr_m4KS)WioYdXRv zyS;9vm#Xi79tAVvn73UZ9zucM)ZbdnNZm9T_1{-oekbmhA+Yi~s#c&2bQ!v~GcYLT z;AgCAKHu}n@IEoYtO@;=UqubY`rbeqh$eRO`Olul%Hi}SA19;v-U{%Xk+PonY5)EB z0BXnuwi#-q)QBeHQ1tIgy?h4bjE%Yick3+C_?k^vy?HJk zno5TNmkNzF+EZtNUdRVxO`aic#bwu{9^Az7-67pPElxVuZ#M;f^At$q9^(x_Bhcv8 z7Kn))2goMTpA1hZ(-7(&a#793-B*)`gMGe~IFtOZlsqFqgWaJae*!(#Q}8AiUk(Gy z4ZEUuIxjN?l16WX7anB4>PR1Nuv@GA8pNmI=3C~aIr5~EA{b=WoI_j|9cb&?AO;Yl zqLW5s5TIg)dz*o8u5_#e{%zn920ity=2(Y%tNb2r&UxlBFn1kQs95!8y?GOyR>Q+; zEMmCM`!{Ws8GL`se=m=Q{^?Xebs?!fzeG3LudleDVjAy3&rh^o%Z9%MDDE1__)$Ea z0ZN7iHYo~;WDIP=e%5NQ9^AN+7G8sgkEtyn@&s9vh$4R#L~`TegIW(m_fTuR*6@;( zYhSnW{iL7^lhxE=id-SDX}FWcK{b&aqdd4Rl6b`+JpR2a6Omn1T#Yl% zE2Q9aj`vHEVcsNf%!Mn0{kZt*)$EiJM4ibT)uk_a+@;Xw2hv-<@uNy}1!vXMLaCH+7 zTo6ZxCT?Mx^~XJM(nq}>t!Dl5qs43+VNQx>gN^iWR!S1nROShY| z$lw0vA5(NBRX_Fgsm&ZpW<+WZu90R^WB4K?r;R4^*!@~*Hj66*&*?n2?NrzwCien= zS2*R+t%t($I2Zg}^e|K*b}2e6yQNin#oVhuRTN^3)P(x+7TLt|)^W2Xp zF^lPeQbh(rfAG}rccvLHELr)5ACVha4p`_RlE31!lIbt~#bM>nPb1sH$jbRV3{e_I zoKd>zA2vX~dMK9|vgBXfkdJ6npkSxIyCNupK>Z??`w96Om0NIo1Q3e~GV}J z4`+C(Qk5V15R64&LKwgtj-K)oCdDd4s1r=LK2dse1f@g;TqRqNZUpl3Y5mUH;iX_y zL)JHPci&`URd~dXJV{NL-*6YK*Xs}QnbTJ=QNQWeB66=at5tESzHm8Myl=Ix zA4DxA7)eqM&zJG?I{G*{v5c+s?v$a{WfPj~h)gH7$nucTvhIwyR#31;s{%)A*V5$Z zPrLm8Nn8mUgp~S3FbF{p1KxSL9*KgFL=7En&d2YFBq9w2KI7OF_&m8eyM*S$*r&Tn zulUINRH}hYQuZSnfXiWaW5wGEa@D@_;5fkHPXhN#;I`^h$Tf@9c6 z3`e}UgBDk9mFa7oNB_xF{PzWdzkUS<1ne*f0ZfY)*kp%i{k277EOKY#Zx(%}^edx8 zDJ#KB;<@pWkiCa6=mK;U{~>8SE`=y-0lo^JTx}a#&C$XU_pqV>fVo-Lbi zD%tlR)PO5uU~gftl|iUB$%A=}l+fPgf+!15JwlNcZ#h9lrL%fd;FWS9j=^p=@By7pE0h zQy%>vSCxbo$2VaA7R`LhxD)RBqKrNl$n%xhH|7)%blkbTKB&4+@(*%&d8RScVWf`* z67NC!6eS-%5Y8m`H7wlGx0aDgg2VLhlNXPv>5G>G>@I;AcQFwYSpnLrT8GR!k%;B* zT6<>I8~B8hz|+r4{(X*);JLQEn3h4;Wed3jKFJ(3N$eLzgnZ9~Mv_dWDa${2=pK#H z+%fn7GN~K`q_U8W9e7b6vDl^6D^G`-Sz!`2sJ4izkb>K*H!c7;F>1Fhrfu+il+lu<+zs#~PaYV0_@#>%{*3r!LW%=4TWDFDrUm zt7%B1=Rr-2_3YgTq;`wN1C;`>Tq@Pyza~5WnA)8EHY8W#ZRA}L15ySPsr0hzS{Yl~ z;8s&NnSpQ>(5QK$&P4i@%E=SYv6G}zMP0scyVg#Diwl+AT1gMb_!921^2sGE{#zqY zvNI}EutjQi@rf4gX@KcTNP>J?Box=8uO!>@*n~H4Ug=5P?q|b){jW?XU$HnQ@$Wgp z5$jY(;uq$w$h>S}y_pdIbqvNaPU2T+9eS+mt>#Hjz~W{@P^0h~5m?FD2%afl;6>44 z!06nyl*g>h@amkH_x`bQx8e^-Ic0!KZ-WW+0Uy3HrP9~@4nKCQHs(ZVep$Q4Luf9O zneC#ez**=pbASuz?R7i8-5ec=fLFQ%i z4g5C_j<;K!OCN&jDa^2LaROJqE;_{X)KI+=^XV+`@uL7R3^UlOceHGx|46AVwtLW4 zeY$2kX!ySwB##o~KBM&6%2H$C7sx>^ZO1s>w2TQ_46vbknggkN$5neT%Mb0~PX~$n zd~cS_c5*#m(D1sRi4mc8tF3%E0!Lids#Vl@f^QsbNh{1gj@Sf=~`d107%P=(dk zEOngDHQcI6)>?#kA`EL9s24PNGJ)*YlMAf$0DGbtbNp4F06BOHJ=2 z49@CagPlEpk!}w9MTFvX@$BPc$u-N#_QkgH&+;*t5W0;T_fuJ-oDI`3Pi&zA>^q=WzJ-)Gna_C{x_pThh^=@>X5&n;xm|13{_#RI(y9`_T8=-21KMJv!LzlPD&C3A#*Y(gPw!JvJ0W%G1_2|zjMe4c3ola%(&u0CQH)DHHo}N&q;TQqn`Om85LW1! zNDoLRG}LFMdw}hu-kNOp{W;OcMC~5OYjwS`;k#pXOi+;J-5@5`omsF@BJvEUWkXp}a%q4_YA9(;P!SKEwpK+@n<9!a4{zie#3=OWSNgwLwB@sT_Vfsg91#T* zih!xC0e)qpkwNIa;Rs+G_>9>@^zXBYY(BC1VS9UL4KvXNTh(Q*SA`HdK(dFCU>a0ROseF=4y>x0rdU@3&CQb3=wmiKuG(Kth&%!kq!t!}=? z`_HOXvhAI220=vCSH+hdp9B=FQFsjkx2B)YyOKygZxt?xRrm;jm+h`Uo%0QzeFb{_ z=uxnWc&;sbFTv9>K*|Kqa#TBZ!A;zfj3HADH;O|mtW80YP+f{jw9r%YT^4OC64L*Z zyjkjK5&RR2XsyTP9~oG7w=`B1022Us^Kzp`Qo)yk;LT>xw@~c3i!RCCU?x8Z8zV^8 z_pq?5`gYE3N=JjIO$w%m5A&gdsuRGUsG)M9we4LADes^_K4pB`BBoHXameTk zw(XE`vPA=>4qZfor;On1|%dQ zNy6|y`BvSYVS_MIX>>b6qo;u3fC*p1v6sddvcV10Q8YVM!z&%p_PODn0_f7NxRFd1 zQY$Kx9qaYD9^>XzV_~=7k(iT_gla2 zgGz*yN}qj?upTDjEP?hwhyt1YfbEa{Zw-`c`acXthAZpCrHh!jAiRu(=7npT_+RM~ z{yG%?uwdWR3GcB`&?iQAShz+AD1fcb)l4aH;!ml=T`Tv-ar3$@WwfEq{rtpgz?m}y zH#f52>Q(hD2-2hM8YVHnr%lWQRgm0MGVMqg~AzMPh zAHvBn7eX3Rq69FM(lN@nCo|aM#oB}QDBx?FAwGvo+DHvHIjYJ<4S6RSP#L0d{1j1m3r4wuauwUNPeV0Ixy2nd_f)1Zvb|cC)7xLV?S9FIO ziqXBLdvBV$6(k4;Sh_V7@T;XoXi5B7Ip`jdHy?Jr`f&KgCItFfE2NP;&0A@k%;mH) zGT@v~QD#`DR{4L*N`O`+d(os}Jn-x@n#{kz%`9!dFZO)Mjbi}+mSi|_;yB~K3F7rd z8jNu5i?QGk;)mXIR3kT?i_d^K>jQ(-_^*Q=;{N7PGRC|!GQuFE?7Ob`?<=M2{xtHw z%8Y;e_j(L}>MrN*eC~3HiW_OunRgd^R37%9@qKAIppCZE5Wp=LlY0TY+H%Wh1=}7M z>omxVBGIpLY#-#p+FyN%y6^tCF(=WKKk@IVVZnWtMm?yQWk?BNfNkf-cl z?(`~*iDMt=A9}Daq!CSkbA)uk((0)KG{}zQm$pD%kv8CE3 z=b8NVQ#SdZ*O7SQTI{c`P>B)OdFMho!PgvT06YVwr?*h+%GbWx2s4aW*}WAz`Pcz> z=d^VroN7eK5y)78T#1l5%t7us31ko98Uc=)`DlCnhg3!3=1H!Br;{q8!sI^e;ZLd0 zij%USZGF7bx+N;Dil0*!XZN0=b|QWSlhZYLKOeKe<+lQ6i4|`^v8OK0rrxlIN z;Ww!w$~@O(FA;Q`H*F&zBE7PZXWilHpX7N;?-3AcW1h2t$w=vhyNOL(Btxdjw|ZH_po5U zvQA7n6tma%a-*0B3XjGhKnsfkTz0w5eypgF$iH>xJf_2e9tiraC}SAS^R;+joxRb< zW|q)dqO>~am@FHf#9f))Fs-aNsgl{K+2c zhH1Z;@Hv`H*H{MRncc#AR!FIP;>=?o7;Q^Q9(mv1(Qo-QCn-1;P1VnSG=P~5mnyQ3 zD#*QG58MvLv&D(3FK^Q;=}VuJr+)iWB{fRH73rf87r&Q0R|FY zdqzsWcUUdSx55{B=So+5e;qeKCj93~9s2En%o`Q7@_5`Qi!WEFatg5cbI!-XwdF6g z5M+ZP%dFofKd88Uh>3*L2raV1D~>zmDxD zx{~_Uk1&VY%=H+H0eW^nI|fDKXd^qC9$W(3p9EYw$K9KpZloJBhXDYo%0Sk>qm3+~ zSn%HPT+chpn{B3?RgJLvtVF3zs>uiz!5loo)w)ITqBuDe!}?pAV&aJ%Qh&>~hm9Nc zd#E$Zqo>|V2T1MM8)I;1n?>{weZn8ai_k9^VYZkaaQaG_Ap zU7~fE`Gv(9PT?6{^+bB-CugP!qpuSS;W&};9`=XhlS+)z`)T8|DZEc3tW)tj;YYAB z1iW3%FMG$NjAQlTPYkm9mSa=l+eyD>1ft_U1fue{+~nFrggI>FXhm^F1Wl>jeySAT z7Mh;BaOwfk_C(TtG{|h?MnXvx(A=tz*4LMQ!BZx!4PurdFYG?Ado+sK&G7{m4_+S+ zbVsfWAOn9L?fdU&;dVPX==1C?QtJCzpMUPTQ8XA*MADR5BIu6)*$m&5B#m)hvi-t7nRI#=rxWvX%YOZ8&DfH3W8Zn7p>Kd{#=n(D|l~ zySht8948lP3Hu#e1qJ)`LBlH?lG$Z zbOuM%(tjbQoIjA>Q?|_s40jw4v0+!O*Ugnk1@4Z0BQ1NO?v^@jiSZuX3HK{*6{7Z7 zW@a?kFY@NuX&1E}nt?j+__xM6v~kVfxXp%zwbD{`&<5woDii_%H-YYkY_`@UkVmK`2(MKnDc`4W72+9F*eP)F z#Y-sZENl;NK0x`0%AezG6V3mKRbxs|j$ATXdftd~i*3yR9PEOtb;)(3{{Totu7 z&E<=dc3P_VED#_p{Dq~e6!HG2zoI1nXtA(8PaK!jcY(VY{#?#IqJ?~R=yBl_cp~n; zyUm!fVZ5*kBMI5`S`NJ+VPbMSjsLQ%lD);OQQ-LWtNP)S5XnElNN&AtJN8r^Cb4?>g z4ns}lo|a!s=^oY%#AdpN(C5bc|Y0h9V* zf5>_5Kz8qum!soUrsNf7QKT8*4@bTW=kC3grd-sKSUhEFkbInYF-%SJ%IA{EHGb7# z<;5yKClh*yo%J+X=;XdhROR*z=1oY__=5hz`r-<%aYzs1HvNSv(<7}a!++y7-Y2!a zYw!6J|5}Rn+~6s}Mz7Zd&`BppG&V=Nfh=J0=_1En2Q+yp3 zg12+@DTnsex4_oltdz2)*&nt*qOI8v z^xU#UUAH4tA!cB9Ke}ZJrL{Q-wWz5628Vh_EzXNz-tSQfYl|7YAZIsO!|#Zl04G3y)icF<$-f|$i)Qs-hjRQ>CK)eT79ekM z+Q)y1*if70-6v)EmDf@Z3fXJyLm{7I?Q0I6+(1TUJWeF4$`iPzG3uz)g6YhP=TBsRA`}A*O zC&XFJj_&^|g!lMVYcMVp!ZeB3jK8rBaOV@wn%~ZngF5vC}#|-OT>*)w!sN`h{i!aszwvqfs@(J@sBjLGTzdQbO{|x^)u7IDmWa#$1W4HFPJ`1|BvHm%DX)W=LW{`&ULXMx_c`Rnf8`=y4!3uwR_CgHZh{Zx2$@0B`Rgru*)J8t6d) z`!)q~AWg6MWNug(B;4C5jRw3ewceGH47w9p3-WS%hof~F>0VNQj+;l4PlMBQ{TolU zT5sp5Yri&}|5Ja5hiEI^`PGO_4(xFYJi7_w#zO+X9AuzN@CHKw^_$W@%gc0|toAy= zGYqBHjaMZ6C2_ZMtsF-7DUzb0-_Sdp2LxCb%vv^rC{YZSHYE&3w^Nz95}G=NHYI5G zj*k~(O~kiI16c(u&J>(pp&A(I2yf4;`Qh{2FhSKbWqrg&m1+TH2!QW)1doUj^_jrh z#;#Y08R6~izwxw*niY4U;|t<0&Th{llhF5G_E&+}i@j**6xvzL$6501W!M$10rVzQ z7=y4WfcrrcyGRJ&WmB?Et`Fueuy83@m~T(+X1=XT=m_r`cbLzT)RJ~^P!i-^t4XG; zNYGG{(c5}A^A19r&ZJ(N+uZro=U*BZ*Gn|w8f&7YZv+xukev=pK>~-| z9Y;)qdXQ1=-DRk4OZ;*3T}@asvQ0i0UF998?M?BzDRE?`90!~Zoi#_t^ql$qFvfu` zKfEnir_K$DJRaNu2qpeAuXpT7PJgR~CYn#oKH@wXTi(%G-tF~Wwn)nKHCXg!L=p!s zbk99z&@{l7*cUS0`B}fir1%Q99tFJm)r12jh36A){%$jDQcT)>Auu=P2Ug8%AOneo zU)Vn9bfbK0e2D9WY+sG;pI<1{mT9%~Y3#v>K}a?oD8z|#IGB=ff%vD1{0sP<=$>weCSy!4O>6`ovRETaDflfL|%`a`a+x_+XyjI&-^CN2n z=TQM|5tQjBHjOe0z+O4%;{OV`8Vylnx=&4|F|+zs1$dg()--@pQUH}}%~aMImzjSZ zbE7SI03Rkk@f1K5NRKpE|j-0ZycOKqn1*oALG46`I)nU=^b#4K(%a7&Wht2`u-#!+mA(s$)X_j?Rf0^d0 z%TU>gQmgl`g1+>&`QK6e-vpi>=Cwh0OZ`*xW_MxlQJCo;Tg$JYs?x@p0N};t@bo?= zB%j1TdWUUe`lTkDh7o`Z7^J(EJ>TiWav`o|EO6prtso=mQ(MaApM~tLWCyCGaG})f zh3gQ2gIIPXm5i1l@E~4_VC%_e?TJ&4P1g6x+?oru(|!Cq`CF_WK=JU<20SC*gY~Fz zY7W7(_-me3%saX>@dC>?+a4!8>7&51i|e^isq-O8JJa#!+0DT_5K%{L`>pX-O!OrW zCt9>}eXWFG@FyLWSc#T1%M zeGmh51PuL64IKPX*6;QB;G>8~m~1q>7Wg*ooH(1mABucB@~Z~I1X3%}CcRqR!mYq6 zSASa>%Uw~CA$`ObHv`<)ZF`+yHd&$s3qSz&mzOxpSiNWHmG*Ri$p#)7yOP7Dlz+8# zNtB3oHA^SMzAf8agC~xuAuHX^yFL4_%)p9+h#L)&T=`DTV3c@5ZQGG z2v#_EnQW+|qS=SZu5W9gMNapC>aDI;xD~*4`-rmb@;|duMD-w~1Uw1LawTSgXRRGstP-#*a} z@ppij{6#}|%;W_%yh3w7em#@lebm%trgJgg=h=lz8`kxW{Za2X!wHmAm-XMN|I7b1 z`G!60+mw)7+r~)Pb65;IyLw928*Vc6D9bduJCpa^Fy|wP2w)I=gT~9I$y(~eqm;&+ zlP=((itZ8hT4{Ww>Qjdy8mt+ky<1TpXqhOE2IxRb7bwnOCiz%NVB0OuIub}3_Sg4i z>kV@Xz=5Lk)%qf>M>H|gx2G7fx=+)hx#wvrN!*ijRezW^4%a;z7>qV`n19oO8AGsMUxw} z$&DznxTf{pKOcM_-P?j}q_ef_!F=c$L2TCOPdacLRv|Cp7OdJRGP&^HQ&!~~A3^$1 z@u#bvAFtg|boi=F9++61IES8W|99;xTs$1;X1KwDmU&y-tGWBgW|R^Kmb#lSWWuGP z58z+);~NJT`2S`V66$+w-UD^=VsIwZn)F6%>zx z2I%-PyDbPfFndh!n(6*%^QrcV-F3l0?`~hJuS12sK#rAJ|5hX6KgDpC6g3*1yv-zL z;em58X*KKnux$X{sWOxloRo{fug;_Gw?jp$d7>UU_!8yt3gJxqNl7f?7lZ zXN@P#1F|5O{{mnT`S)HT7nc$nW#sXs_j)&L@ar{N@V;dj+E2?D9 zXhtQ$yXIN(n%{;Ik});5-6Rtw57y%Q+=Lmi4$#MuOnt#u60?e}6wYt35XB;|QGyNM zb>4si)<06b$}lxrk^Evpqbhgydt}X&E4P?zFhR*Y$>X_afr;9E<-Z4^NRNN`L9T#_ zfdv(Kl59?C{x>0zrx!gdm#w*yyScn@wNY=!^)u;8_3-~6`5lq?BVaVl)g^8g=e^M6 zBPYbIZF+g|bHLjp?S-E4?tv_0LBJb}pUpPzhiyA(7e$2(d~q@GNhBKRo|6q$r{Od^XAL=AIx&R`)xKQn)6w z)`})QFo1+38t-8*$v=!hh1FuhLQA&Ag?dAV_=u>{j|&iIWqQ9kjM#>WMuhBtL3_7b zZ8wv8!v%5%qcg($^*W>oK_{uYt*%>Cppy!E@N}JDB3MES| z+=y;)Vmi+>;YgEGCZHpMR|?v6-~I*~3{9Tv4vqQ&^D)z;4&c=<~ zXKwAUIXnc-0$pC%wp^#%GJ*z$y#eVu8xqN$^c6_CPy%5VNxKv?R`nIL75;NPGVWsb z8ODunoxzleq37fNthW74b?mP4?^)xTT_OY%UmLc$jd*eq$IFn`wA5OOvo!Hb+PV{4 zikv#g!wp}2h#YOY|3?Rvy`9HV<~Da1sUcETlE$P~`H$M^jaJ09G+_zzGG?%+!egbo z>lAaQebnp#*AuCo;l1uupd^EtyWn7d7O5Sfku{T>S&MFqdrFIE;I&bHW%^$&M{!#e z-&XPl$SDRkpAE7KSh9gUPF#HQP^u+*QnFra_N+{1pxlgRMAS>0|7fww8dM+w#!9<| zmn(hA3f><~+_&H4YZ56=IMS0?{&tQX1rca?$d`$rOMaLfy!oBolfc@-T>}JsEmt^V z$?#IZDLT)WogC1xJ>QSi&YD!Y;({3Qj81eXuSdIO|5(Ph(0rnGoH5Wf2;^!`zF$Y`Qgt41u7i8cQY)TsvjxXjac1A_mT-&G7h`T)VO}BdI8Q;65Z%XoUi%-8oNUk zc#8w!OBb$TuL`h>qobtc_@_SJMchna*qaXP@CB+1TVNYiLJTzD)#11w9JXD?JyM<0 zo(iqVC9_T8Xpk<{amhVeEguJkbbJ>{S&vRr0(VdVx>9xscrip$61~Db>VGT#H-AMO zGqH8B7TFTH+0nWj$5kA4llmbjy1ax%3fHecVjcvlh}6Zf3`QdVD!5(ZKx!jVd5pon>`{!C%o`peGve%FpV@j|RuR`;zM zZ;+*Oa1E4y9FconL_rO@2jXn5vjiw5Nh+>MnNDAm?Oy>8=dQXB-H7T1e(tb1cUvnh zn3}Fz+2AC}dLp&0L#cb{ObEM^Oy>=2FXwh{cDpHNGqjbxi6nl*CC%DT)uh}KgyZlfi&#fD5h!lQ2XV97`8~1 zU=VlN>&Za324b4v0?&HGim_-b;i_@)^Da!q&J|4`gjl%l5v^X6#rA~)kw$3Z-9QfjA#6PckMa!Y?qjAPHddt2b& zW1V>^{op#EP2PR6Jm69i0XA!(z7Z3b^1xgH_V}UK1aUKvpkyP@`a(QAN1DB(=hp8) zjx~=wXdnO&$(X-($28gYc6isF#O^xNM`F_C+n+U4mVj4JKNlQmP~y8yZv)X&bZ!>W z+)lJ_7&|Yc#pY6>Dzb^`Z;;xeyFTqk#1=49fI)8w4r5NtnPArbNf(vygDTT}7wg>tJOM zAv3P(HpQb6I{Mj@O(bb3UxI@|UDSQ5BQU$|_P_OyqPDY*nlC4!t`u6rx!y3^Dn2SE zLcsr;6@f{^CNcXe_e?I@-b-C7)haz-BAqRm_}t1tLn#tuYbrU* zwzTw;Vx+^{*84D9dEsfrbK1Qlq0l>VoYibXxW-GC_q7^LLc1zqww_eXybRVW@xYl& zr897$+aj@Pvq(swjF!@wip*3yGw?B%G{QE8dUJ=Jig-+e8%xx+u+9?bZWS_<_EXIk znrb@F!Xge7-E(&(pD|?tG9ZOXb%n=jq<17QgzcYr2eL0Yn(UY2sH5D&fln&% z{kJKYNC)y0IW39*fo|-5&~f%qb9;FI9zA3(piXyV_wX1*?Q@?Nq+`kxV$cMF?SC>I(oq*#0(VGk0S-xtZ$D8A%&} z-!_@Ep4bT&UZ~Da+k-|6Wy6Kt|GRMQkc%u;t4x=M6_LTTIM8*eKUFl`ZZoLpDxyLF za&V#ad^2OSxcQZv4VX_mZTXK|+P00B@>+{cEHA_SzG-iU;pP?kQT5Z2)t$G<`Y`-2 zlKA||w`Ss>%s_Q*A>FTidB*vW&f?_DsNTCfeSh77cS2KZALEZRQUtFADq)EHHaYYc z#}uNzmQY~dzw#c7QW|NwfGqqq2|BM3J$Q_ROrEi1WBwmI)AIaUNe%^H=t<{Au3Jss z4QGr{pje%i=YJn;b8#RGW?|@=M~BO9{#-YuG2a?fa7rKbijmZJ+EL}Ya6=Dimp3xr zPrRBN(V*~K?S3tV1ubRulx<6H+|1p1;@v>lBH1au)24w_%Qj1nO3w5&9Z_JH1E1fy z=w#KipRb@#Ge>bZn3pQ_{uieKPNJpQqB${O^EVSRlPa*{S%UiQd#Kjc#%irnb^(d- zhn+3*;XIf3>+L;}oO*0O;EYJ!qxeg!M*$jh;~2X@6%p!5Q>a}_In%@rzT)?rg=B0x zID1{6E9*~s!^uzgzm#ko%#q=8HI+Jw6i2UZY1-E<`6>y8Sxhi8lq#`>xtw0xI?_8} zEC|~N1u2?_6185ZXA+jF(EO#5q|UAlQqU z&;Bq3Iny>*egJ!fghOgy7!kGT`fD9)t)#Iqkhtfr#Nn#2QU&pNB)molblqvN$EX}Q zz4l8bESwhBB5Y{^?|y5*RIy8;vs|Uy6%~LSdI}#HoL|qhWdGU}aZk$lz_LV+<2kk0 zO=YH83qUB8dfa|!$pA|~yV6qFZ!Ip6GxF0wQL!mYf*0nsR6V3SU#C#QQ!bC&?D+VP z6)U6a*wD4%Zbs9v(Pj-3@8McX%=GP{Qns%gr*f@RHz1tWkrPOu?{dC6cN>DL2ZmGJr&+kvu_mUqlk3;4mB>J zB|gGzNPDaL`#Qp@x=wZ&in#6RJ1g55**j>$%}vpiq(0WcJ3+jYjv9KzQug0}GRK(c zXO8=Umr-1hS?X>VxmTSyd~d|UBKE4PY>vrzGm)vEB{x|pBU4u2RW+oL`j>z_SF&k#e=+1n)5nND^_HIj{yvIO4Q|YiO@fA&FwcLk!sgz4x z6}=?E3sBDQHb(IGJ|_1(75&%%{;D`TW4ho{i`qEYd7nX>oi zPugdEB$so5`LzEIGfOJa`Q>L_XGMKi;7GPx-5Gu_GA{Q)M)l5FXrhB*Ysttn7%==d z;U9zVE0xKPr`(`flpI0;Ork~9n5x19CiFvFJDs&-mVk0~mw zD|n_2_ByKhhduXTT+f1qFjI|0Kj42e*j!s0g)SJCN|iW??|esT17%Q5dz23iqBH7d zF?5t{XDOKzG z1ZSvW+kUgX;D;Scx7$FJ7j+7Spr(amZ^;I^7Ofv-pcT$uz;hMHY(d(cxWVbiYQ#n9 z{zG^|vlFuP*vQDlVnHO#a#b5$2a2(Q29CSv$XHWXC z5ME_6;rPlRKK1F*DvZT9869kE+wHNfGA`3Ee(=E`<#s;_Az*TcVd4KCNj^6FaWe<1 zWoG6+=%uWVJ#uaMt#v->^py>GG5i1O;I*p&@{$yNwsNy`L6bTdPjXleB7goDtY!2( zb!pwH>Tzv69&&4V71uKpe`_nt_FHEo+Wm{6QtPQ7Y#qAjUklqK$KAL(Yn zxx?ukv87p-c<-EJ@7%)^O;y)7^oyNN+L|K^j|jMeqoGv-sGUVyUuzQNpXDa+0r&re zbg7a^AjQ<1;-i|g&6aaL`A|OcfTa6wfrFdRU7}(Esk~1)=fBbK?rkLI+at~vKl@b3 z)x3?w^>(ArP#&7Z2z+Bg?pLnlkS5BPQ%l=`S9l7y-(NafER$< zd;jh&pI$Ts@07*p7a3t>B%2cxlPJOSpp_Z}ohtC9&1oSnl%#HY2j8%p%s!-C*7mlz+#$us`a53bc^L-yTX0^HvFY-!IW{ET4ef5~1eV7kQpN2C zl=08(jLJV=E32uRa8RnI#KjITkAvW-Wy72Y-O@yA`uXnSw)%tWmM7MOo zOkLf{3{{==(rqow%g!ZskJOb-UH?bZcSpnZeQ$q~ND#e*=w*oLiQY%=B}fQHNr*0D z^g1DG7`=pG)R15#dKUzvj$WgW-s>3kck^BE`)m5}A~aT60!B@T%t;^^6=Dd*}APxSJlwT@5XIsXh>v&8hb!-MDr! zz!yv?0pISiIld)_e&x+rqvxU(HxQ`014cuxOv?q0?P#)f&q{akM$eiu?GN5JCZ%Uu z{=2(GeO(wdI0)6#l#ZF|E2{jU#Q&TP&4u(?&1kO1FmTiIJhAWY-%9Meo3H%Mz?okU zRjDx;_lYr^j+b)Z-seg58H5>gGJTx)d)yA~si3Qic;f4SE?P2sD;>RLqwTOuqvkYw7yZ*f;_v`qef<2 zOkBUE#)u7Nm?o%f9(7XI9y|OwF}yrP)$3b8ZtM@2>?NL^WWkmOYo>9OE4QWF>VEQ# zcT9i_12otA)%HBSetuMKjq2Pf%eajlBD2ze z(G{nn0@pV1WqN!x-u=i}u%?{qaiRJC3=5L1qfmfp`&Nw!O*Ekxvpi0-_Y>H&DNX7+ z*=?7gHcMhQOG+CTQu4e=DasoPKZLjMfPKNjam7-x3Zc$p>w5$37Pw0a z8}uS#Z?L$;YE!m0h6c%bE{76{nab(7eN}-JQdr~UM%>1)6Y#Qeo~)ezZOa}qpcQ8 zV|SYzWO35MTp9I1)gd=1ntSYu=*&qhIz#r?btx}29`=^$-S*MA#cD#eVTY_S;$4Uh zQpNDXunx+wbaP-|iR9EPy!!f~D0_M`sA2zztrj$QVZ>=JZNEOQe5ne|oGyS{sr(r! z3A|Cbb=MHih7B3NaKCm;y*gG-l+pDa5cF3bL=2-S<`dLH;#3>p;?R@f#f}#J$Nlkq zvI}K&+YQPSXpx3KY@O1P?4ZMwVnmrMhQPbal-3qI9tp#aaAK$XK~7q+FkM!b8vvA8 z(p=c&44-y{Wv>DW&1BT3`H*PsZ>Bd~6ce{|?+WccdeTKf%pTF0mc4fz3aF>BjkXo99 zO+&)B=u2VjhmcK3sb>8-C0i}kzoi%jy>q;lLS%6#ySw##wv!=Yz?cf!9Rodd@4at( z-(5hnAi-KGTD`TBX^Y0Z++pL;{yOqC)0JTtdT2%8uVZ4Cn<61?zP#lD?OyGXPwcdn zo{0r~=SQ_$ly;%gy^$B?GY5Z6{j_a--c~yW6=0*oF7ae#eoZ7u%KY+5;2ybE-iPZc zm!EkWnq9Y@6nrcnn1o!VXa!sseM?Wz)L^PSwxM~loAf>5{&g1M4UHv~O=71l_7xqV zyW@Z-E2*AS8IVQ4C(RwW8>~NQ)dgQJi9j^s@PNW!g$jxkZ!t63=&M9P@n0Cq!1t?30YxpV!6~N-=E9 zGFvBo9>Sl0?cUOqE5s@6P(-j39W`by*o)4@IS77qCbJEak`kvc#XS?GA9K!eW1$hJ zR$-GYG*pNm{p-PRauZzp*LqGWGd9vHJDclxDN6OCip;qG%?QPYg-GKoUxpG&COH=H6Q;8xahJ_28siZI(C4~Y&!$FwOZS<@Vb?25Z&s_zSk@@1 zeWxCKuFYw}g}NU|!ZVevVT}=oZ7utnV6hmUty%3{V;kFr6q@O05L)hCowFUi`ri@v z`T{nI4Jy{ptlU9vq;{i~KYnNYD-;4j-$t+@Fq$*(->quDg0p+1#9kavqO$Hd*wnOW z-7qA^h#NTV+FMVe_V13M81~FY3;rxgQ-5nG z)B{owG{#I(_;CY zshn+@WZ$Aluy#8J4fuvkv^9^g+s*lOMp&P^<6F91oq2PZm9f@R5O@%WqX?Q1{jUeC zbzw^5dG(=nB5@BP=6uq)~^*? zefzWfuj{*C#klpAmliU$IQh?rBK?>-Wz@hQQQfhDomt;2{?@i^p)DHGTWy0ebJfER z*W#rT_9Wkq@ni!C#Z%6;27S&nNT8)a(90YL3?kH-fp5p`>pNRZ0lBY^Gv~5lEm-_R zk_0JBGSKaxeWR1r?f-gd=NI(#T|y9c7b>Lr{=%3R~nh&0U0~f!ha8G zF|<{eSCwSb?phn7nhuvk3ndHGLo?s_hyr<&pbeVx^a}nh7)oEC9FscB$ChJP!YKj- zHzre-GI5iW?KSJ17#52_zhimO(e_7?BipSzEh2vcK>GyGU5#OMXzorqbl5I}WvH%S zZG%2W4BK3J+xR<$65A`s=~ImcJK<%h#u6v0)GY{}C5yH1ql5>NLXdL)=h;HBvbPi* z;_XiKe57X^j)cNncPw=Fxz+2$fDK{tUI4L5d$>t>9|ew{?eD#vRb)ZgSu&|%j|5b5 z<@7%ml{4qB@9G$tc-b=C{63~J-e&6D-~#2tz0W9g%$4~!Z`wRR1D%!esJ+9jHpr3- z6I5SwC(8{!%6sJEx9lTtPZ<4y?4UK->1X$K)5fKp@z$fZFE7nQSWY`O&wXi!7oGZa zZJ&EJvgo?QsXWsR$M{86$I4XdAMZTJnwju9-r!hMCIz{J&Wg@WqV3;vU}%&fY2=lb98p((pg zNW0MD?aP}wTYoO_h;37JMxH=E;`Yb78h3y561 zeS{>-50vH@pm#Oa8dmi@z_K8eMwA&V8XzCiivRb-XDNg@TDLhJ(VldV=6!XnVY!33 zG05(IT$FQ~i(5vr&r83bMZD!IZD3~RNJRR)o^jq;!1FmlwKeq9Er{?LO*3zNrrCz0 zX$E4Te@6K{ZoCP&6QoUoHN6ll4K1jk-qv?A&AZIenSJk zf2l|*A5g_0+N+*yo#;lHOb^%kx=8zj?2sh70gE7s0Z;DXMgIS|gpuCoOCq$blU_n@ zOxh4MTSnOBz#&Nw`jf1Yz0qED25d0*s3D5|GeYoL#Awp$cG90t*Y`)l6qdA)qfcxS z>x-5pMyi7=HvmR~i@smkQz##o0xT`2JQ2XnFkpY)_-rMpb3W{AW<(+a-@jie`Wx(^ zv$+AUUQBKr*ki=2?zfI4a-U%m+1+QwampXsZEcqnKA5R9h ztK{apJxkls{x!86J%8V)w=Q_NR}L`r8D0xdSu>l8Tpx?_2r|3le$xKhONdVPB8)_x zg<3hcEA%x2FD${fR3)pB`RoJbP=o}M!(7I4`A)j6kdrIsSOKberCp_zGc5Qc9vMLe zFVFnDDJ2C|dw=_;)=HbO%W4&;QR>J1`FY%0scv;BkjarSJko%@L7m-4I(g^Q8_M?Z2bqFI8V#z@A zO(AKWFPqCw5s2?<95|LxD~`L+#(*qlXI+QNkC6vgEI|!RK5O-=YWI6;F7iI5I<;i9 zXw)w@9!MNE5vNGUiC@2uId7X)%I;r7B!rQH{z(257huk}tYsC-*aql@8y~fK|IX^?Xze8PbVXsK)}lih)ggSiqCcIJv~jvY;dOPLsB6#C>+d#flT`?I za~bp!eV!ydUN9cX8@cvWQBDoAvtCX)sTN68vLj{B>4V4SmKs*&v^WN7Wk-qwzf9+7 zJxVn=hsio2J7)W3Ui~L>L^Xl#N<+MToytI^#~_mc=FqZZRUxWX!6YnqyTa8Z-Dm)Wt-?15k&<= zq1s$YGJ(Y~Vqe%Dy7tM-&%O^x)!}Pye!kNAY<+hAQW?U-qh13D>LS>7bnx1TBn509?ch*eC3xKyx)#CX=?}-|9`qtWF zN7Qrn<0s3@r~vlWw`p`LYmE(gD1GlItBOR=Fw4KJF>guTTom3Niu88gBu8tH9GNoG zJhA(Vn-4S@W)wm0?pGv$KHSsT47bX)*blQ`@w5Dv-VcHQNhnR8;1UR`F&%c8Bt4SZ|q+N0f&_^E~jjf_YLU8|azJ063QP_Oq$djNd zS2(E~W#x&;2w&xc6f|DE=`I$vy*l>d-s`cxypJvl zRe#zn#a3Lcsf@SVL7A?pRq~v3gg~vY{9f9$B{a$hP6C+7KpDfD#+t=OPDpjoQQ2?K zJQFhJPdBEdm4`2>A#hFx`CKsP;X%;hbN!@Cs;S*M;_K8q~< z@k^hbTbAaoh$OsB-Dvc$n!7&xSr-HzQ$d__?z*5>;_!^;C8(T>=-Q-fRiwftXPBOK zYLs9NlbmSi_h>Ujhm#~0RG|>hS5e_tjWMm*H=14d313$|PjK0M?@2Ixg+Es|uPNfn zg;9B#1W^?!BgA#@0LO2IbGh})5_Q%WY1S6w?Iy(x~wRQ@>;=7qY0*d<70Etiyg{0mCOjrJQfAIfNSJtUa1W*dp%_w!a$G6F%Pjs@f{aR~dTbFqgE|%=a9#M=%r|$gg6@MRm%mvLEw3|h6>VUv(k{iI8ZXTH#Td8{6<1Yc< z57p{+vqA;)$Z0jDO1sjAKqcoK5h<&rAZx}t)e+GTVex_n?6clZ@M%c7yWeNhq-T%9D+d6vD*kCYt;0C-&#t13pF!)8By(+zLZ=9JE+0FPb&jn9gTcH&@J`O*)iH?h23 zLM60J9IYmg#+4bkZ(is(TzPQYDXwiCg~-RNHt1CQ@;E4G=f1Lu@_@*}7uR+8WJYN-DlhDKrt(tD zA0KnOnQh;2;0u#D_NW*(N2X>x$dFZNB#5&=sGE0&lg%SjT+0H7-rfAHcrDZQI&B7= zdXy*jQ0Db()wzDvk=FY1ad3R-hR){47bW6HQpV%ph0SUxJzv1@8nanZ_?D2HFDFwxapnKxW2&WN#59+X149gAd zQ+yRk1)OgC*)VoX>BR}6N}B~I{lCXi z@Rt@Pc)Q%!q9_U+7j9iWWu>Qg`5DjXQ#HiIcf0?r<=^QtwACp^MP{<_?KGysv}azu zdSneRedOVWmIu+^7f29|_j!RRU_$lpSonJ}t~TDtk}BSMixs!x(1>_O52Zew3Wt=~q`x0rP<}bS(2RpT~`A9t%zdHuHpo*s_ z#8tLiXw+@!%kXY+Cb-oA1{NiiB5@ zPIavv(rfGAMgA^GyKYEis75pSmx=yeXq4Xh08=Yl7{5B+!&#o}?X!&hqSPyP@U-9@ zu$>0Uh@S#qWMt;1uB%SsPO%dxr&pG6MpXhd<<(>J6ti57KE5CZIM2)5pUddnOh=rLmJ;Z7d;`AC@)9POOY zT-CFgzGHV5`(QqY<69?|YmtR*eAnOiK8f>5?P$_9>HDqh1QcrX*x&f(Wxa=yEP31A zB+X#-3b~%Zh#rJ!lS*yn;D2TNawi0%4l*v5tX^wPaBi<8I``X5gC=t-Y^mwRS_iJks^*$ffeun8jCtK%Y)V0!|6B)Fc~RG?>@Z_T`z~9^ zRoJpqwP;Dvtc^(baHERaa7@To^~(X12VPGi_^$%$ysEGk-`_Bat-K`ywq>v?4YL+a z1(~ZMQ?~77J4<31f}LnOLOhYo)$P~=EKUU0lDkSX=zvYdLhYPBXg8_Mu9P!k2s?fv5*iUJ}fWvih&t$}k)LK~pBB&cf7`K)Ls` z8pCzJ2hR8yQ&6Sz^JjwPdVsaI8o+wg1W<5ETgyzT@=9H8yxAQfj@s3b(5^lYxzV;4lywm`R0@GfPea-)R zYPxEb=QR60_H`~RyW<+1csirVj&P6~W#^Bf(lyn=FY9$?;MP2;#y5*~il1P0!V76wFE{NXz~HdGF;^ zjYnsx%j-Q@YyBJzRaAr|sqPaf+bmeU(bO}nN_OVs91$`Ssf?4)n~s4Mo=sQSF-m5s zy$POnZxEU|V`K`L!luerr9jx@I5DaXv`xwOL0>55-udq_)X|%U$uEp^N*V)2(U{8K z=YjgdPHg#VvAe%V3>nNlq+3}xKXpC2*rh+A$K_OGq%+Z*vxh7G>^jmm(=OdwLs}~c z7>(rFLS{75!wiz$18M zQHHY4KoLwyzCL0Xue}n9ss!}aNs58m3NOxqvqc2gM#ammEhPa$=!N~q6exWg#7IqN zGNEhg=I$^Za7u%GxK*k%|9Xb@J!%mtGR@k=C!9fP>kMscs@0v_cPlg{19zWV$R^ga zj}RQK7EcFole*tc98q`3Vd{xLuNo(4t*^{C_(b&8&HT%%1UvLS%0XYnMqti?XZ3g9 zxpt^%Y|Mx(8bYyo^>@0mmT0(n`l_guh>KXE)y+Wtl#Ipv z8#Vs*CRTjvgsx|oOx4n*Hmg147Q%Kl6Z7?9dq$rGj+=d%CnuYF-ws>0+JBw=1L1Sz z^H#eKNb7(JbdQLXg2`cQ{`xqHe7R?-UD_!Q$45kI3eoie<%gulPzJ>U-;| z7Ev-Bj2u2m zxwos13PVK`wuw$CB{)ZwVi-~&8=y`D zVF>b44nwWjm7k8S~ZGL?%CbXvhxG z&{eLqRYfPYtZJ9rAp(I!daFK)x+;V0w~R>pGNyf{I|_FvU(WIrJ4`&;^}bJZnZ1ML z+;#IJ!9M>+y}ESfI8AoMSwVUlH*In$O0T-d$C^K#885j-f)8I4 z``4mfu)Z??#J=zf&n7ATTLRZQNX@|Sjl6OY3q}MwA*0q9UfaK@kKJlFhuP|X3A6B1 z;y_AFqKtCi52p+j$10jNr@A{AQ_;75P7@`;=6?UOHKYICL$o;>c{j-5MdGH&2uy0Q zct#dr4TWES84(7EN|97b2Rh!2bT+>F#*17s8liSbX&__9VE1B#fEG4$G&%L*Dzao0 zpG8yJvMM!Sd};By_HDVtTmR~R@q>rKyoIje#ZDM(u&NDR-tcGepRH`*Ghro0O*Bti zuSiyZ#OrHx5}Vy{Bzj^jBK#GB3>|IUdBDe=H$Bn`7(?|-r^s--w?EJF)upxO(>V~z zGi0n8W-==c2(x*x>Ws;e|K|H3;EXCR#hTHK0g`9CGBu~rl;rw$jnV!ZPQF#YIav)QAW4aDCAC z*IZ5~^XlMte`EZoHSQ;-(#Lk0^dfw+*qt|nsi{fX&de^bL9-%}j{F(=xk>Vm0~ z*{7mAo?lgBfesBFIeOxo(h3|XGo9At$L4!FuDk-3+SQL8q|e|iC;jE9B0DliUJ}Ii zn}NOZQHkEUd+D9e5yOzn%@y5Z&M&G;ErFULE=Creg-P3p0XHG8zD^30qk=qnnl#rm zD_i=b(9i&WfO?>78h)V2K*+AuApXJJs>72F$~(?q#BDk%V>GKSdK6HWXzF$STylX; zQi_T71FnHQ{yx(7V!JqYqcj&-osAk5=*Ydg_pBNBUd3{4jCj|kJOE-1_sp7<=y9ew z8idzZ+1i69ey{u5X4^!MM2^s&Hg9$&M-oa)QT)!|TATMG31+$qkvQBy{;MA57@@Hl zM5@D2hU(jh^G$ZgNS4r0wemoa$~L>aQ_NCV%u-nl_R-n4d|sh`5eiP_8)F!dY4+nZ@d-A4ovNZ)`&1`NG%fzSDv6XFb>=5h*YYIvIL3Gy%YJ+20)V*00BXTdwlJ4hDB$U1e9ZkTe1w& zkc_&xcAxhe`NH6PaHJB0^PbuMTc(N#wcp}JM^ex2TWbSuk!Mq37zA4o-w|JS#5s2} zgVGlX$sM*U56;wo?rSs`BV_qFrCM8)oWQEghR2?mgRg-d0JhNkF(-Xh|sE zU2{v)X3QW%*KRrVWg07PTzI(|fy%xexfn`vfbmZszfN@jZ+J%wB_Oi?XVNxUZnRp& z0Ri*9C=o!M}h4-AzL&Jr#OH(17gCF!u-bMWk}I z!rsU}svd|VOk|LJ=`@r0q_Fvu&F(0Xbpm&mmgk(^Cro;fiBFbQ+?ieM62j@zS&L_X zHf2EZ_T>H0R*{)1%bwC}ss(iyKO^zEQli~3DKV-|kU{mmw z@NG*IqjBcO*LrUql(A+jiMo>BPy;D*#TNki<@^>MJ+H3~N^gh>J-nj29QWiG{EWc= z9;ek4-Ivw~Q;^kS)|oO>e%|gR^`<41Yeh=U=_@@hA860*U-}j!0{ic`JkU|%g%pMg z(k?cQs-v+F$tI7pS&MYtl`OuUNfZuS3BZf$*`%px*SGu9Z4JVJ{`K%$&}^1&JxkR% zo1WoOtz}#dFvGg(JnyH^S!o!<2jps;PvskGSU;X9xqZ)YH;`8$CI- z8(_J5bz_{Ac2cK-MGQ`ZV~~|nai1zjtc~QVs563rZ%l3pz>gOp)jol=l8$vzcJf^#^C;8 zcBmA5O(tTm{z`6zjm^)KBZNFvX3*cPG2v_$Wjit0EOIqJA+cY2$P>E~`=BAgXm&BH zS}2bmr#MLaBA>h5oRzz*tQ81>5_BB~TLGaPrkA%@60ATZpswz|!IqbQ^7oOjmB>YY z^^Wd!M@)GCYCXAc8PTxp(L3I|OEQUUaicK#pLc#qKH_ATEqTx>exf2kBIfN>_kGAn z=&V|x#Kg(GX$0i1QjnfqxKclq;;N8LbtGyrZ_OROkvzKIS?3IZN{~s>_u{s9nH^_qIyCZ~XH> zq8!kR#<; zF5o1q#LKHs)lzLh9PKYCbfefI@2q8iuv~F|aY7o~CIz1z4fM8vqi%3CIJH+{kgM4E zcWm-WTG{cUB=#`1i`jL=)F@{j!ijX=>h{Ae*<}0ZtE+iWEg|M2+We9Gs<&X6tvI z=vgHPLa)N@eN>0;-G!s1D~Z!U?Xt{Y$V?n3_q7rkm0bZS{AJVvT1dS+>?%b?vRA}O zYct3Yg&VQcv*x?{l#VF0sZrzTrI1Xm+E+nI+|tdu<-1@k7tmYG5U#EMwyVG!i90jdqdj)M*MJ`MQd(c?g1 z%oLINuH;Elq}2nc2B@9)bS`2GxB4klHHZ>pFUDPD9BNUjD(B%H=o#I_y5VSZ{F^fb zM_4!z7-!76~Q`aUXk#b3uJ4F!JE$EFn`OvjAz~XN0PpCDsQwi`|nf>M%RrLCi#;#nx+CHD4^e zW3_QoJezE&{TDA@PIs6kr-hA%A_^>!qGeo3S5k=`!h2^R`n8$YPCKR*wKBmONFZQ- z)pvrR^gYcMRQtlGwEhA?K~Q|_&?vl#Yd_bZ;E71)a4 zGEzWdzb@4oDEfz=*0EASLtph(%TdGB;M%oDUs|x)_CjJw!mE2}CQa8K?$aJOY_CJ?D&3Yd%?GN8Qfu>xlES7od_b$Vs5& zOC8d8hg6C$U#W}|_&spE^(mJdN_YX-Tr9Nkjsj2Ak6B=BeuFr{zXB zq9C__m={&g*lsgfG=I9jjJ%vbHF~j{=deI3aGYY0={AXa7p!G-8Xw&fgo59Lqh=o+ z;-R;BHaL834=b-@u8yro1`jJ!O-(NC>OTTe*&Y+nP{E%S<*)ZMTF&3xHi15fRseQK zF6h<4si*5H*-@y?Ff@?4=>O%WRDHt#LqhbrJhLryM33-+7GSveXlrJ(#YZ&V;iSa< zf=n$oMto(@ymzU`14nV@uJpoHB5T-rKVlTpBsD7Vr?WDAuty|2S~a_z(hWmUyX;Kj zCH{M-KDKEKP)+Go!;gdzUtnvlzPU2L(vf801g;zY54q=bckyBobM4I<#=mne()V>- z`4sP*6;#o8lEv*`g}tpNA6)|!n;%|!siUhQVeCG(prKQhf8rTVn_ z*O|S|v3N0aJ{41sWq-#t>rTb&)nobF`|C)1CRu$*(m6so_tg{iICslg=`D(~s*l|n zwV3U?<^&3^V+Um=i%PFolCcsiy4^DylJ6fYY;65{gO^(hdKplHynA5WU=Cjp02wND)5DWw9;V{N&<{`Q#%+WclN`T86HIfeki1$?cS39@(|(F_WK}EHIXv@xT6n z%2zaYF7n_thrcJU=!3I{0lzeWpL3m`dJfVZT^}bxmAGTc{-k4~z-q3Gyq~jU{@q{> zodZxR3+exB6k5cAc45X>39(|!Z3N`7JCl(C=JvHZK$oy4kk{seG})P)6uf?c^%7;$ z7U6mt;zaay_)3<=lc43(Vvjv?d^m|-GFCMm}gyRux~q74Bh7pER@yieW!~`nkVNk(c~PnH9WNyPbWzbJ-;I$h1s21+9N+W!7q zi{{#kpMc3ycS8MXLd(A2pHrx3HOuE;sOT>ZU0 z$(;lVtl7GA1SGPFt!aB09@_q7gA%9y^Tp(y!Tdtq{2M~C?F^M*)tN^=Kq|c9t&7}N zd{I11mt@A%HfV_RNX=zg>A?tq>~%*iSq177wl#DEY8COeuhJk}zn))F+2wsZ8H&dy z%dt2!FBhF0lY^_p-(E!?%xe1^$XFK1Di&s{>gLpP<6O$6m29@KHHs(8{2e~#5Y@@a zIgeF2DTogDVFpz1Apnb?p)?%6TIIInvRs@PG#ITGYq-!?x9%YBZJGvsC^jw{S>8er zRk;2XzO@?kqT^?Ge;V{Y@7y=$C=CMF#w*`)WZ+0&=vqCWW>2m-Da~trGbB(!+KG;M z8g$U*?Q+~n4PGZZH2lkpySRt}I)Y9#ZOD3cHI>YQHthSI%*71NLzlbeSm_U^%{t$I zD3;!Eum&y&Mh=gZB}Cf)gj{{X{29M8_*~K;S`mDt6yCRK))+pyc~K5%Bo-!(*{GW+ z7qLRNTdU4f18F1i(%po!lJA`GL3{$qN4{?tN3f3f*mrxAsu{@)xtonB z^zzTpTtMmu5PGW;U~AF@kAI7%Oo6u_AaQiyz8@s-=DUyeAWTTXp!tt{Ya-&e{d_@t zWjEZ-x%*MuVW#Xi3ukM;D24H^kF$xV+yB`z-ua12+7q-^=gwKb>fcU^W?#(sCmwRM zNG4LMy1HQ^Ww*J90Q*^=E8Y6&eG*Wk?XHA1G(e_SsfKqd#+Gb(IUo>z^r&0baCyAz zMQxoF;PH~0_@Bos2EgocCgiK4-+%l}N9s8crlNkv25!PT9hfeKEIY8k!IymV3uOm0 zUSl`DVJy0n{}t(@&!SJx7HzsZ7t)rVvj%-l3zhj zDIOi8W`8c z%D%9toql2#c^e*6d2zi^A+VmIX{Wz`XK-As`xK}0QG%&TuSyRlAOF!~ovkl^DvqNf zBpVpCuxUoi3l&{1iF|53DD5}w7K&$n;XT613P(jmtohqEAS|wv=T;Ag+mgFP~4jmO^ zp~oI%L@E{t2P>+0fgr|o&GONZZeU`G0m-SwQ5}x#pE*43h``q)KHoB%M8q-sn}NTk z-O%gbPh;*!%J3#TfVLk9WL+}V8A$0?|BDINkk0HnyXa*W6+Ndp;@nMB0~9xwN3THo zu@g&gPIQDH9i=2D;@xI4LuXLN0Fx+23S_ui0J+;|QFHUPckJE(Sde(;^2*%~wMhd;FiF-$Q?@cMJFe~N)>+^Gy&YQffEJHN7|rNX#EA` zTiD-3A}(g8)vkAXuG~q-35U_}zk+G^C3Y|Tif?#1#gAU_FlD=SpQ1?$WNYrwn{aMy zwUiJLS?Pf+IVGDiHprrEk6BIdtTVD%P3*7~lS`i`d>1%JKQcoMH{U2%QsL}$BM$)G zUbMhmaPx|vf2s5*It>E7jk&((8cjxrzKjUjE*`N59?Q?gQi;0Ke#DJuACPS)0W)xe6M!ZZm%$5d> zHM-5|PvA41NFT0~G@Z-VCI#?W_@d*FgxRYXSk+^1o_ERIs3*d3IkQ!eS{H+O_soxM z?KGA*U~#1- zcYc&9gLjnOqiGR)>t4;UnBJ^^1p{h#+y8By>(wK%QHTf_{wqfUvIpC-Q= z7VcK!e}*Op15p?blWX^=8(xs+(3qMVw#p&A2>Ug z?o&s63d2Im1(@Xm=sK4+sR;q&Y51NHV|w=s>EM6=d#TUY!nZQuK?301jJ;a8J}5A; zH>*1hfA?K|C2S3`-kpBfUZN2*+m1c>=`VohqN_?<9lS}0WiO;6S1HDizfueYb{DVv zn$xGs*bDY${kvN$C}s2e%RK--XIpk-y#umpTINlTa+>+P&(K%LR}!MT0x$PgWZVKW zd$YEGDfpZ0?I3XLxalpfLtX~|#;<5%U(+^Qs2B)C;*r+oO`h3bXLJg@fbG1oEihuq zm8nXi9$)t9%8|!sJ33G?Hp%^OCEv-fUXVo1NAE&23wxg&+gb!h^?s*7Qnlzb z<0GE*_)||m>p^g4h(_54D&A;9`X~lAm5=4}(v?JFtghzh2G(kI&wr`SB|R#>cGo<6 z@Zy6grGvr6mtO9AddcUloBNOpfe${eb4DxP*MJ%fE3*Pu;G*fR3k4{G!0)Xq*2`_s zT{h@K03 zz#`@;kb^G%>afn3Q`GNdD_z9)as1HzSi6M>{SS#O$AM zqU9UVKGVC z!htq;&gIw;hD=Gm!sc$~eAjOYhgw&tvmbCpTHFl?M`z`U~p3hJmlFA3@^*&?h#!U;qV?J>=JOaZ0fHi_dni zr|LL~$v*|Lb0$I&mI*2z{PQ)jFwE3${@qNlqCZIQA=IFO-E3<3Z-xWouX3NoVx=pR zNK)U|@gPdX69YeovmfrFN7Mr<{Jzr74>gr1RS9s8Har;F!oXwEDUEP4CrNiGsZ_@G zaV4{m%m{zsEn(uR++&PK$&MHFEaYRR_Mn*%t-O*Lv9UMk_PYpY8iA>DHn7XTT%`1U zE(p9~&_QHdpbmVUF zTm_47P@whGy0<#KA>;RD(=q6z%lVdSzvCVjAQ+oKP{n>1qS*|wLc+B&I9(-i>c^2LJ35(mIP zYb(3sq%hin#mL=yWx-bJEV+HO$e?IS)zXXAs)RIrffQhBmIyhI zfWxG)pyy%QqH@*Yqn*R-!a-(|dCiI-y`;M2g1jZUs$^DtgEv%H`3n~PYOx+S?gg9Q zH9YF;a)>4$N@SJ@TG9|{7B~Ro9S9$mJlEGeF3dZzd};4xpCFjh!(IG2vo zuB=h~Sy&n2(4zm+`&-uK^zT=_4mQ&twF}X`UQ^bRR z#tL}zXKQYEyFJ`2k!h^O@}PFKy`L5#O+{uN18W~ntHus$dQ@f-3mP~v7S5raF;pb^ zI5wPf!<}$;auVm(8A%!C5@h}HWtt3s-t|36PodCjLxTahQ{sh{UXBGt*_u)_kfy8B zxUm|P)_uG@zd^p{=Y8-R^vq7kH9mdHJ@8$H)E9gB2 zaGJ_?2NPGQHi+icj`H{wjezBIdK{kMH4wXh#bTA_lwwhTn}aJ=shZz-vuApn>+s?O z+?cC-&p7I$4SePT*-)eh%!FyEYWQ}Av?);Y8hC#@7_?(WoXjtlA}1`095^PH&NzDw zDp1o3q?68j<}$j_N{?wfT2Iq?uKrR>~s#7cOM z9fQFyx}&u`gJ+oQ-dCd#on~1}^sb#czOkh%8Z=&3o!J_0BygUGgnh5d*tW^@tq>yu z9#gEJe`}EW^{dgVrzi&uQXW*{oHM-M_7^Xh4>eXDQYoM&#Ued2lqPDR*orqO4M%Mi zZ1;W?sZiAa6`L$wc<-fj=?6)80N01jr(6Ugo+6XH@HeSMOlgFXh-z7nO>FFL&b26} zAV`by4nUS{9qeT|j}&wDos zA#+ka=dJ{%q!Nlk9I@L`0m^8dwE_Esz&!dT8iE;5zPhb~jz73- z0vbWgF_mPn!!RaD!%aKbad$|h!a)wIjQ1`$pERmjmVE(~aZ3y}P~3jmCZ>>fR%fs< z*cWA6nK}{_Kq#Ow>7sioY|ziE3|*OOPRZf7e6Py zqgjOgSK5=p^plZ-P>T$MM)O2YAzdWe6^}JU zD*x?&!}GQSlTG&QX@m5pvW~4=>(wyjmb|Q@LBL~5`=Vd4K2Un%BEXR0~cW#9S{8??>usSDV{Qgut-J4u|f}gS$|~d?l^#IJQkwy{*S4zj*6rC zq73d%a2wnSFt`SX1b0t@Ltv2L5Fog_y9WsxWN-@>+}&Y<2Y1-c_uD;Z|Kc!bx~saU zy57C_y?5KTHo{;v5!5>^1M`d*t8>|~yDg31wCOSWH0ey$AUo+buJW6kUsO2>j}JK6 zS?`bCO&fT==z0DlYP-)#;74{~jG5=~rv(i6A`eCHjPIvN0{grT|4MGM?%FFfO^5qA zWo{T@WkFHA+o`*i0wGzjsJHb)B;ff!g-MC}oR6pvXLvbxcj7G=5ZS@J-=ZJBQVoQX zAh}hO&JTGBrVB{B&9Jt}Ik*z7l8@fFsA8*-oZBQEag4|8)yvcitL&LOd;E+XSof40 zNC)(*$ekO;4rQZxymMEnF%56Km#7QIeWaaQO~8rC?6N6zgZ5%PW6_YZjtq3fz1MRa z!<(_={;zjuQ-61zmJ`UL%kcK4LFd|rsmMQ7UXBZLyAk|4=q7X>z;}jc6y7nns6Ce0 z((ZD`_V{^m>*}=25pPE!Bp)x|slpq+9&qguR0x zz1F3hFd5!-7n9j)+S9yu*uXz8AIwfKnWh?WXSB}oRH}%!;Vq&~_q#4&nv>RURTmr; zRIT*k+L%+xil%X1ijse^!w3}+8KGv@Jc+E2Y>(1Di6mb;`u@aQaHs{rp1l%pTU7V@ z{4w@ff=d^*1_<n-&g*x8g5!tSLlT`5K7|f?2H+J?1ck(IAyvMVS>-xo~ zA&($Dq1U4xeVZO*`3!nmTFvPRu!TR`KS>0tAoU{)JwbU{BFe<2D!ef`uzm}jRlu_$ z6UJCt;z`@U+LZ5htE8mq%;#+0f&O7yp{b0}P^9IVq#;-Jx4r8iyzcsgh~dxXClV}6 z9T96(%g=Lz=sDeFa2}@~b8Tv-v;H7k0 zYk82XkzV&JpmBz9Ac0I@9-*WN@6L%iJLwa~=w3n7@TC=igO+!s=YR1Qv?j+0leG+7eArj5+P#`({O|nuq6UA2)sT^`^Q`KoXLWTk(>IL5}-G%Q|T--CP&9?n$_5 z6?ce`iq3`qCESPyS-E@0K#wYO)OV1Jg_xMwJY{;TU?icM!8-!G{{00;LexF-bFU@P zKOx^@7eD3~1HR0nJtvqtQOy{$81E63`o`&9{o|ie@h#r<3-;D{AgBENM`jsAl};jD zUw41!ZgCk5a~>Bd6{Y4}_-^DPoAv-8rSB^q1v!g!j1|jaGX&|!yflf?M?RjCfaM^a z{e0L3-=tO6jcb6y4ZYp2M8!QSXNlPMNss0J_$1tMfba}e!01*-XG0%z2u-2i1!w@8 zvh4T3x6{ULon}X2UJvxlo-J34x?Pym$+yZ%bEX~k0)-&1QmO6H{~)n}0QEkn_mwQE z6Pa(X`fifZ9Dh~(Zn&jt*v!n(-3M`JdIrZ?@UsIFkookjm=#I|L{pmQy?+l*&4?tY z#SOAr;pFDGIIf!m^OAkTA7c&f;3xiVANk&j*(We;_1GpzUT7d3YmB(_sk`&C)grvuK?C68()sNDlp%(u3?{Y-?}Gu=I2 z8}Ja%uItWrs}kr!s*7Q4yu3fag2BTS1P<>8@bKe0yn6qK|G3v!Q>%PU{+o6!-l-{3 zXbtudI|UQJO2I;N0B#Ic?Dw&rR={RDNKqRgN8b0J5SHFS$P0XM96(?}(8>iIU4p!xpO{~he(>E))66;4|OAoevucMd89 zF15=KydXQ7))T}nM?XA!+rE6tlwkOoVQVa$-B|~<(OqG+(J&bMb?|lOxl?FxQUjYP zA}a|>gJHC|5e2`0zjzXkj0xb(MoUyR`oVyrB?%6}Co}MivY4f~#AXYI zG=~&Kj!wkJQ4$%yxddNl8|52T*fkR(r}25jJx(f?5$!yIGwb37iM_^ri;48Lk2nA^ z`)T9{I^nTmgARC6Gfva7@1_KZ>p1mZ6#;1Zeyu}7Di98+CEBU&rrOsJK0VcM<*_uT z);#KE_Co{&HKQ+QU%2${9%*RK(D z>E<oBGqvv+S>pH<`XbU;bb#fH$Gd5%drDaSrt{ zB5}E~x}2(eSX7V}5Ughxd~R(uDFzgQ`!SBuk;2D%!hhl=QYs>!e1)FTQ5(({i1Tp2 z_*EYK+(`VHXNY>3$Zaqe6-r05s$^G#cw2!k zEjF4?{@%+11T8tM`_uf!s+x*V{(;5-#)Wdi7bf#K>js&l=*N=LSaRBwon*ISr#P>Pd1Ie{`Vhs&m= zwc_{3p;hfeu*}b^=H8lI(RU|IX6P!B-y-%g;NnXZqK4 zf>RU7?{FJz<_p*u<_u`<5QX@sbd~027vQ5CDR>H6DJsUXbv5Zom{@l&qenhj>?g?W zY#F`0qI@g*qi$K(5oenu*m+f25TjHjE7HK zt76MqQYaGZQ|<`8JqO;@K;1R}w;%Wmq0#@`XYl1uuw;?P&oIn(+-!jmlQ3ca5WZKx z7d$%GGB>D!6&-naLwTF-rFanBl_+J{2TeNm^EH0OhUfiUZf14@&LiIhL^GlK;E|D> z7&{Z9o-yb&KTScY~U#%BxfT|VXA9$AvOfJI;gExEGo)XSlM!JFqNAsroe9S zruT1GcdaL^m$riZbm2`45heuYsNjE=j)1Ax{ms75T8 zJTOF;`jb#DjSyYkopoLMZl$X8f<$2MsG`pB5lnk%JXkZ5Y)U0B{*%lbGm{(n&Uk+)B8KRW%_LhO5)2Rjq7psFNQ zd}j@AA3LM*C;7Gxy)o0dgCx>`RgoUI`0^eP-#V$A|GnzA}_kwv9NAX z|Iu?1f$h60IXPi@R8;((_r=LqO(psQm*a=65n#(EcElY-p(VfXd__#sV}(X53aGJ4 z)RJcLqPF}nPBzK~EaPa=Zfz@ihUz*5en<__(PxLJo|V*5kn@m{>7Rupq?&kqkWkC$sN{2iBwqDJM%d=HCsKAV;e1 z1t@G&CP0d!?!`ie`;#)p1kEPdqx)WdgH#>G3&dNYYPvJB%kpyrg*>}y6&^SnlGcw` zI563Ut2UNhVy7>t46~Bgn_p>;0?Y^{oQ2pu9h`x>1Qx8rt}kmOa{(-7g*NNT*Mj8x z)W!nId*#_uKURogI>iHebly;0z_7OI9|JJ&-O@msx>44swAnxd)81B9FiD%p+Am$8 zL385XNs(*pIC{;siY|+mp(_)2{0=X~KD!D3Kmhnv`H7!`mB%w3{wV+0edMs7cU9@B z59}!BoA>INUPH`elF96!<&FOe_lE9&vSpBu;sd|KgS;OmDUd`AT8s{A=$&1C?{`WP zZ`IPQ(zRTY6Semt`Y#!2sfq`&@hWj>g@*Zef|2Sy7$h;b3A-U0b&VTwyvSi=%GKsy zjyTEfx6v!CMJ6C8YnNEXSBD9kf*c`p#+TyG&!a&z;#yhoP2R?L`C7~4%{S&ZF%{33 z*ZxK12N=+AE$?XdYA7?FFVjB*Pu^9^s=QW&EI1*BiR38_z*{h57HG)$f1E}4-9(!= z&kh?9woV-ak@&vPAq2`kC1TuMkwH=sxuPyJAER@p9HM}ACR5nA`pjY^`xf~ zL6HX8j#Ya<8~@$}Z75%7AhX5v7@lxvw-bpnimhkB6i7-{{Kbl^&S*Cc1KwGK2b>Xy z6#n&{r+JjwN&V&53@`erQZi}0x8)V(D<~sH%JI&b{(})tZD7!Mf<;xc%6}03!{baM z$nc*8+Ks8G8sZ^B@EtV%<(o}W+Ifo2n$ps?yX}1kTrIoeGv|H!Z`=MHu7N96~`MYA|7)aSQyOjhoa85H_8hX-61!^@_6 zY4}Z|xkT17UrQ|1VFffpLQg;Ud`-Zeq|#$&JF}|;f6M)tDlS+D#&IijY?Q-t?Oy<>&1qY@cvwRGs4dNotOX`ys}*2 znxzPT5rps6+^-9eamc$AH+ydR{0fy9Z1`hWP8H@L>AD{Zr+NEZI!fGH35T_&2|IcZ zd|QXFC57qT0ficpeN7&i`=CR~#oGBYzLwl&ryKpy(3^}b&LCafQJs{OfXi^j=gy{N znTmWc<)dyyOP>TP)u8{9m|x%pRU!jNOi2#Mwa6kU1;(MTw~YHq917VTU#-KkeAg~= zHbtzC*CCzWoi9(7FweRA9KPyyw+R$qTgPAdwG-Y?*{IG;zb>WG>>N`dwH6A?CRU%N z58*&HOQH7G-k!1RV z>gyYJ9`VOw(4sVto_NSN>Mc{5J&UlDZtN;Sud7oiDfI$7l~O&;t9X~9H#kk_o?tS^ zewRY07~Pw6c7;EirB2M}UbcAo^N=7V7wxc+p#w|pBko{aZml)jgeOq1OW|3LVH62e z`R=E_YhYf@85%muPbS?{?x|oia0aIipZ*5Y*r;@T^kBKsq483xw!Q8S3C6Xybr4K$ z`{_PBq5ZxiQk#P#N;OkE6(>u+aQ~BbB9dGA#}7uPgr4I1$-Y-il$Gcy6Z2oLpF>6l zzI5&;m6UrIvTQx2G&tN(S8&11eU^M??{gU2N6YPy#tJ9>>1PLo6mZ}Iv6P9_W+!sw zgWkQmp3P4DFbso>*!K?K(=5U{5Tw%-r#L7Kuv9>OJFTOX7u4rMk$3hL<>1dQzggyB53b_1?E{X zD@)u5k8){qfu*2oc0e(HS}70y%T0lbHYS{!eSSujp8@tTL;(xpmX8XsCrx_1Ag_cV zZKtl{@yE4WuhLbBq|Y#umY zKgh+hzf^zloQ57h5F0s2dXZ_~;WzzRm6@X<%XH$`x922Y6X1y&Gzy3^cj+HEL8 z9dJ2Pq|rB`FXDB4>`5+Az5?w+@&B&r@$IK>VT5q-5&7IeESTwu{L6Hqt|@kW55w;E z%FAS{$2!g_9O#u4$N3$*=PrNZcmBH-7Sh7-k;EG19c-x%qEF~8IazfQFZiQs$;-5c zFZF}Dj9*w_!;60s&*I%I<+fMq=nuu?gL|cfniS(K6h`brw8m`Zq}v9(3?6x9`Uw=~ zv`ICfrM<>IlZh2K50PJ9^~>KZychZ{{sNLIf+`B4)HLSbK!$OBGtT1^=xpBH*nVs0 z!P>Qx(Pz~?k?j^o^;e60+&j;7u3F)(Q`7KHfaJxzlOAH%?jI0J;#baiJeIvf$J|Hw zF{PH=%Dt@#4do%bi}01BYyBG!`AlRUAW|e#K|Xc;8s|Ftj{cD8-)!O_6wFhy)?4Jd zR{3q6Xo$`-zF+CU5$R))FN}Rv(HU&>nAwmOQUDk35$x5mb zUqGiri^fE^nU#~b{@7Vp3dO1I`x$dx!xfCqPTx}lu5A&NdfVPkr|JXrKJ0odsVXRZa=|EA=quaqCU;dZDxyWyxI0REzAdgbZ^ay{m)Vk zjEImtY3i)FwMJ988-J6;;PMrevSjbHze++E#0P9mlAq$I2GOrS@0fOQDA-?#*@_j8 zB|kuu4wS`TmWQE=7vL=)v;KhxKV<#p%Y%o`M65`rA{%v4kU=k2=RERw;0rspMGtZP z3dfTXSt5&tlrc#V4_v$16QsDW6Jv_q{&NhwR}UVMOx0g3&tX#>P|I_{SX9;-cckJg)!D(`23Im;CE197CQKY|JlZn$0Y~lS0wC9T&%$j5OS1`sN zQZC`J7Lv=*~WHEzYM$4a+l z7srp!MWcG`r>=h6u!XjS#)QZBAhIpNi^={KThk%G`Vv)@x|CkbI#`tD$1=# ztaGK}g+dsy+JqMNX%yJTp;+xAd{4Nbn=C&m_67$@|D0xFK&dEf+y@#9Y1ZGV&*v)BbmToBh*y}eg@80wr$G{&;E zaxfz>J}{DPNCUhE0Andb zfLm73^aq{6L+LW5E=J)=_8qz1uH1u9#yvJLecRePFZxmCJ0lH!`5AV52W-BM-Rd%9 z3j>{`;*N-))+J+kI!Vc{GB#*Jne%uyqV}I*r#vU~GL3be&d0MihXi$eAS=8caGP7O z&H3#9SUc}xknt5%hsY^IP`2@Br?YlYr+N3;Jx}l_-i^Eo7M=oBb*ZQKjnBi<2TB?FHx)^9Vw#MA5}#&r?oV8Je{c)yUx zl6=;tFZ{x=mF@70#4Z|&jqNPo%vU!NMv`SNY#^iSj+i}K)kL+jkh)m4w0H36`pLg_ zy}bSG<6hfT1=u>1Z2f7qJXb4GI}@D}<=CbZ;j(baSo5RRo0S^+tP4cjJyI)ARnf@Q zO1QDDlP7haZ>a}H&__dqHB-XN=&9J@J-zl9gMR4oZ#6^neG{l|SKF3WZ}3RHArHbv^){OeuT88TeZ7T3QGYD>3gZ(RkCl=q~!S(HY_-bkR=eQI;S z0EaZ+llR>c$9IPM;psDM7p_ykm-c*NI8V_Y3^9yv+F(m$$sJxz`PDvZST!is;Q4!C z$ncs-wFcOZlj>xT;p~#?7_NFX8oPKu;N8mYDlH}$AXs1=1xjCxJ=AHuyRI1>X0{nJ zFSg#r)+9osHe4hTf~3x}oR59pFawW`Rtx>Xxr~RTTIFXg2S^#eNAZIlV`^4t?=3Ex&v&C-B!Bb!S&Vw{WzTfe!a^XiV z=A+&RO2cnz^{uV)7dfXD*CBw{UTA~h%LlEZXlRlj*o%R@_gkWB8L?QYvo*RK{LxZb z)=R$ZY;W(0H?%l-&H^9^hUgCd)-StEkXW)d^nq8#%?8`&eanpmU51sk0zh(Jdk=#U zv1#sum1zV6*f-DhJUVqeI8)XC-NTLTm7J^UXJ%(c8;?Soyf^#yfJM*LH*B9J}nXq)=HYpuwp zmbKDCesM(*2%@t#*-W4t^Ev$ko35-H2Ko0vp9him_1vI(p%ZC*!z|lqyW*#)Kja!6 z%h+}sY7a&U;E%6a)_Y>s3R3D!8*rK$j)ba|E+ounR|Bc#$X2j|HKi90>Hb2! z%D-mm$S~NB1oPlVCF^~T>#$wn>b7;zRI;_Z4GC5?m!x|B{l0&9^xi}cx@AoeM&fgYs&(EBFg<^wg zY=%EojA8gVs_&!W#`O-BuLO$!;Ho&E&!uMC(#&Q<(PyE3I7o!lRCmN%cM#Abn}pe9 zYS;R4#Y|csK_>;>*@m_ntw;GEC0kqu;GRP)>` zM0eHZKIQinsb!bLPke>(?xTI>EYU6!zYi!$kJ0KR=M#BL0zvlcAtLYFnua_%5?qx- zv`#jQ1aPPF;65?QZR zwUWuq;o(Yj%HK)onf*%N;87Or@1`!D6Z>1Yd1(245sshcl-zc-%kBw-enp(PKmz1w zv0H%1JgePm-2;2*(|VVegh-t5`7_U5zbh&AsUO+5zO*{X2l@M?`ZcPdHf&q|<;k#|E!fY0RQmc+jX`cva86LlG zCW8$d=bV+TY?ry#YLw*rC<`X;u8>O+(!yNp?tw+t=t7(X!SWTUJNu~>rTi|aVsDnfZ|kLw zmtSlvUi27c?3D@UZ#;tqidq#>C_inA8R)oK1L&JAPx9Y)4lA2ywr2T`$UR$C*14<= zFrTMo&ae2BrJs<EM&%R!AqUy+vfy%lEsGcjR|GT9P9n z^}B|yFPd`*^kqhK2t{`Vl_&~7Y9oF&s7du{d~z5)`3`&e zw>VcEHaF^T03RV}ge2*2fOS>Y*d6t8;+8x)t1(#);XV!RtvJlAr;8oJ zfpg|!4JEn7g5tPv&t301d*?1!6QRAZ>WWO|(Q=WsoSW3ve~|W$;%?l=_ip_2Zs1eO zXyBJGyP3X=R!%!EILYLv+?s>hKLWV0m-7c>pOX!b-qht|`daAhzP2!&vb}Su!{ddS zu=#|@h^i!a1hDW%W!b%J4~_T3&wU+^GxusaKkE)_s}Jwn<%I$a634CpIT)$Sk@ZPo zjP&i`5|^b`)>fXr7!tU@sC^ecj+J!61)?S|s^%9vaM_Yz(SQ=Xqh&0kH>1hN7PkxAdMM|-G$dcLq;#S@j@f%E3#8Rt2;&+*>gbL>mgpx z)KWg?neKj_Dmo|eBx^nC#_@RiNV~Wn4_l9HTO(v!l<&=|&b(fOtjGh5l0&RiTl#() z=YlpPG=(li^7Z&ui$2;pvU^}FMC7uU81+6U`Is33AZ=zT`8LlaC2S_N|BD*m(R`Vu zXs*%{C*P6iRz2(fN^yvVxNI(X^@8h{;0;BcORCO_%8r@lamA>S(08(Hazd^nHT%%L zY@B6ND62C;Lv51+t5&g);?c^?qB8@a0yuO=)!$H~<^O#JUh8C^u5lhOB{YgVb2=#i zbRe!gH5i_=7%1m_f0F)i8_e`9S^&+${Yq{@ItjJmp0hHWbY#!4w|EMntjTvV6wOEt zy{6DD=0;oz&~g}JKUt$zBwLdtT8_Y7EB>1xNnr6KZvW^hWad*F$9EFxAvLAKtefZd zt#l6uFh~KAi0op0dM$U(^lX|#e6=_5Z05#}wpVU=eZRztV0_Plia(K@2`S&wAPe%% zbaxM6mQh@@)c_FHFS*jT6;6*CcHa2?CQ~zG={?j_lYbfb7N8WX_sLiVuQ7GIo7oS;wrjW z+5}`6-pLi2L5H_iMqXE9*E`&5c#SykOz9B0_qCr~@%=-ZH^mKP&bi~h9#8YqMTpJ{ zedlEtWATAkj$*Jz!Hc+)*@-UNoM%u!qmb9Uw;nXfFXAIR?Ti|e7VIi@{{6dR5@7wS zGX{+?Y3IWHsCv*8D=K7yq=3IqLb}aQc$T=Ibl&8?v_qFZwb+~mX4%C^Jpo5*n(@ud zU7X7p`;xoFzV7+DbY-kMK`X?qB>(0#>74WhfW^hswtKsJ6Z*`>jix~n&QXj9W?@s? zrZTvZgz=~Giuq_th2cK_*E>n8^im=&U^2Dk0JX7IiB6W^P`jdhHHif3V$X`tq!TiK zuSs{(*kPY_;iOr~_@*dd|D}*uRXNR7TR+6XU35ws=GNB{^6{5jpAb;MGl9`WH@~+! zvAFO$qGL&-_wJXk1MIx{LVC*X7nVIG0|wEj*pqHbREy%3n!6Xhy-7Kz(tI(yznh5C zyz|`fEaDc=LyRso9>_Kmae1GvDqf(+OZ}{_-|M$4hZl*IBjX#Tf|p`3&P0a384Axk z7@>oL8g!9rfI05;W!~{7C^=+Fbt}004y1D=LBGAp)e+In(L#+);T5C*+$3o9sbh?)KLf}~z0n)f z<84aJXK5a6nza{$B7|d|S!1LA&Ila6a2 z$D$rp;ma;#@nLG7b4g0Q_E$^{c$yi$VdF|cJ5OA&vooAdH>@AjpU~xi zQi3NVLR`_i7)5F-tmIaUqg>OA z53MXEXo?f^8T9F)fyhSx^cnH$x8*4re}ehipJ}w}gLH!ALHN2@_m0m?>gjWxbZ7dk zWn{^F=5_Vaj@W2S6Ix@I>s@3D%kCac3R*L2hjG8=5Ca|kOYGYme9>JwK@tq+`eea^ zVO|ua>fh_p?zkpxn6t_^8K;^(eW_L-Adv-(*sO&#Oz%BPjEl2VneFwKywB$`Bh`0-IGQ? zT!<4wmhsN}J}#EvG)3nUZM{AYR7Vxjg83DCJPiTF3oN?UQ#Z$mbweX=g*VC;ZfShR zETSbGDx7&Z%ap?NQYiPlhRclj`+lh^veTWh(O(L;WM%6=;Rk4i*bi@I3lLm#<+ z7_eU>E4g1gk_ryll9s3|-dD`kaEpD2aLje`^h7>>FAe*e{;S}}C_fJSZjG9~>1x9g z@06aHwZh6VZkw00S1h-fXe?OIA^;{RxxHfG%x|+G-kGN+s*-uyQ)++22zxFTxO4pN z11g|J`QzoRqY`3I<^(yUg!!~du-PmGsEX3U!qT%{=$}uy2z%uBH$M8%!WglhNtK!P z;8|`xUVMiGmzBb^ovX5pgeEBsjIF3_q&YLwNN-XoN@LIFR*IRW;OML-e)9T2`~GS% z3fIuvA4SQ9_iXcd!&as@r8C5wQgslm55`#F$u@Z;3qE8}DXC?Ca_37h*zVjJR}*~A z@^mvJ?v+dHQu^|&IBd#1?)b%8lrQ=S)+3#K`Q$9S9 zXxyLH;9z^aTec-K(d@2&y|TPTwYACT_oS{buhA+S;zs6s(8!0t{5sAnA(KEoB@~-dr%!?%SnHaU@sx9xUf*NS4>2?g#9R$>D_!-5G*U&rT zZa*vfl<4Muamw$%j851Np+6UVU7HLF(QZk}a@*P=o4Z|$y0G+dwE&~aC z|Mb?$OH)#4S;8n2wHZhvAf#ipODN!q3Xs7(7{8!QS>*)PAY^5?f+t9Fho8ji>u;`S zJpk#rzYB=IALmB52z6QBSWeGO#YnM^244lR*xaRwrkPi*vqZp&Yt_DP1v8tT zdJeA_yv+IxwsYQlBkxevA&;-|P;(Z(NsI6pXs*Vrbtch(-d*`U1&BK}=oQs+`$+F( z{zwmT`gJ=9w!c_yYy5j3RqxVjNhUn-qq|f6yOH$bsNi32EH)-tspHTPL8~dcIvd7z z(+AQ1DCS2mv~e(p1__uubz_J|iaR$fNx=F8Mm_JI+$NG>;V$W0REl`y7j`X;Fkq2Q z5rSGTSRfNo^J6#T^SCL(yI5o%6xdfS&ksEtA9Xk&6I}pbDmNmynx8)6BD|Cw-tZSU zdUhn8JtYU$eI@wB+I7Al@C(eNuE>UbEO;ECP4ET0WlPWM)3A+p(qglWiC5uDTZ?nUgu{FYz5Jr2+B`GF{1T9 zfW?o&jM>K&ngiBRfhMI&DT=4My%0t?ZCFEJZ}+U=p{-TlaNKY^GX{g{GG6Y03m|*8 z`x(n=Lf6$g1feDK)IrWCoh*J%e(6@Pp+#aT!zpxSpNG~{C#&Ns`1f2p8GKLZ5$)XZ z+_T6q!mV}g&qTym>RaQb7~)Czh$XEOO`ykT0u&Pi4=y6MX(3w;qhtCjg_Ugs2V#{# z27h~{Jm3fD{HcV!WUWwQ0n?&oW2xfBhcRZD^O`0VrgF`nE-2sf7q4mpq1GBA#-jb3|-J2g7Jiert&j4+=<8jP#Pt)m-S zii)EO{`C7o@D+@b%1R_cF!Wbj12$Q;1g>&w`$I~hn!H+D+C{!hFPXShR;MiL@2yqW z7RQwQZgwR7-dKXsRv_82b%ic~a}< zEHDOu7YK8_@8u&awIPOhvg#i>CX8jZ79q{w<}&IVw*_yHWkDFnDmUlD_gsU%oTWTp z*?7TU+R(Cn`AZb@>mWY^t{NzTfc&ZVO_0Inqocddq0I5Gzk%+u4e+WlgN`M?@8u8j zncpQT*(BK4ehaB!kO6QCr_BuOsNK+*-UW`1wDY$T=Z6i5pub0~zPIQ={-BbgYgPVS zq2A|wxDaWfn{I&Vpr|olX0p==irkP(tz~|hO4_@b8GkZGcZCCaW8D1PyY70R;f}|5 z=87JFkc5NES5$7v0a#~BWiFdx8VU;;eD4L|1J&0L02tl$T*zk7O5B?RbU~b8JxOx_ z_g;u0nu~(^daNCzQKa~AWSfh2pJ1LbSD&UFnWQ{ou51fDlQdw9;R)rNErivrVS6k= zM(^rUXoKdN7Q`_>lukhf?%~g8Ap};}o+g`}{#!9uXhe9)&+-Pjj^%iWSnW;8AZfU= z6*>L%{gqKtt5f0xE0g3&!KOl*4cjaWdF%qupvrDD9t;+w7jByU*wk4(WvunM#evy% z9c1g;?-X8eHq56GtbAH5!>F4_^Xk^zqu$*|jUrHg2juNBzxpAU38M5WF~cm0JW$uyyqr97gwB=<=aE$+R6b zKgB>75{x6-#d=yI>?T{i#@*1y$}JX>If;KtFi0NHSHpOp{?WG)w8JCiq1~GrZrRMu zKXS*RZ-26q$~?0jE|-Dci}E-C<vsN>D9+Ra4cAoop3;`u`sP4ce_m2F~*H5U9Rk`4Fl^tofVeI!xUR|>gwTZ zCkWMQJd;|wWBU%H9%bqIBK^)=Y1W%@84Bw*zk-Pk054suP#}jr7Pqy@D_2Y8Ih~*u zY~ry}8SV*{{a`VPE!6ln|M}t`3lodhL{PgI0aYmGi;1eWqu%%7cFBNyVxP9A+9U-t z7lsf)ce{T5dyxRUqD?-JKZXD)-RyX?74r`z^|yUiSXL?bu>5Z==4ARu9Bq`0fhl^hmwN})M)lIg9(Rtif}B^A)AFXJ0-cCwA`%l{V3 z-9sl-@4AvUFTQB>sl6kHvF`0$PdVagVyU*j`brv6CPVD=_8Dkqs zN+CNvSv@Q7a@`yQKIj%jd25sqKU<*{4u5OxAlpK_|$8PM|6EKSf7>j7H|9gXF0kdk7|2`Pv10uoDIK;a8TI29{F_e6l$aO zVx#|_tqBy}-^sI0cNkr-Hb(hAze5!@_M$UX4wgbQRvI*$rY2=}FFF-!l~v8O|B|3q z6TKKZZzUYsgq;a)!pj}CxGJP9ALpN1u%*4m0hE`%qIc}gm*QC*w%j~PWzrXn29V7sHx?!-midc= zf{U#!LG}!%e4&>W2U4emSqr=8b7MsHmuB}D)n}U>kVHF89BK{m>_6G+0j1B=cFFX3 zd`O<}f$HcVyA*S=AWC+Qr4C=6YrKf-eRt}7f84dMb141W1T*gx$ zc&O>bOXHa+-a|AyB&G)*s*z)PUgF)m5TZe2yL1t25KjG$eb>8(z%dRQUk~E2r+TVT z7p_FAP?p6C$Td3IRxIuR6Q~;b`TBns$?urzbJdvwPP;lki6G&gHTI9C9+6({ULI#D zTO0>yM%T|l!zs$Mt_P-Au1Lx0rck$zt|peXvL}Xrfxkp19@JT9=PDn%9L6F z8^n`2ds5VNNJug0F&p*Ny(A-SyCd$hww8-IpR7ujZ?dV3(F8f!2L>;mU?Y>qcmywK?zmF>k6wn z!&02xceksrsL9v8tB5?>#q*)Y^g)jtP05-)hw3tXFqh@zNWCo(eIWa8L4de`ooZ1o z-XFl%hRo8eO=KiN2({MB7u}-MQbIRP>?Ui!{e5*}y8Sf9?ALn00_xxANeG})(l8GJ z{A;YeHcLu=gFWSNgQH+)gGv6`b^6PQ0-S-88dp5%b!}A%Z6B~W@mA^Me>?Xo; z!T)ePnH1^NkI&qGjcQ}N6*ujEX>Ge)Nhd~)j+`}@5w!~(e<<)c4Htl`z>4rDh77qQ z+Oiq8EHLd$qU3U|C3rEG&Kq5)j4Pa3IjmPe*5v4xnbe*QVho&aERLCBd*p^tR+v2+ zG%L;uzb-6p9REDGXfshNlcec2; zLROFqIpSuN8opx_}=01qCA@5HZ@|oK`ek)>PMX^Iww8T1u z3m^jWp>|)+uu@#{hqju{IxR$KR-u$f08sxZo{0;K#jm3{+r$rFc74FjO-3DpZD*N{ zoiglKf|?FLo8ScSoyg5nsC(Vf8w}R4#z#eQw!akEBn3<9j4_>c4l4%;>L(Z2Gb}VrE(i znm>im`?h$zd$|XT!QF%L)>_kmQ*_(OcDy~?A~Y|0w^+yO^bC17@zK;`EQ_e}BhM=W zpJy}r|IXlp;bi#_)e8{(f2cmsbxCFcUNg_LYYX0MC%%3n+_D(mk^pQr&LOjNV2 z9Y`gvD3Nh;kz#@YNaOYLcyHq8ujNpNi}67+V?bfhm;TT|Nz=i~L?Qn<8~2%~Ct0Tc z|FHFzQEfh7)M$a?5?Wf^DO%h$DN>{@QlPj~iiALM4^SM6I}|9zix+oy3GVJ5ToUBX z?|Vqt0jCYup}nD7SOou?yNqcB5`PO-P> zUrhSDZ;IP1{%z~eB5y*1)tRmQ;+n+4X4xjr;F6xIetI7j|EnN#aks-yXb7pRr>%4z; zi;YSw#*V6f3M}Hhwrn;Red8>eh$WzavW(cjDhE;gPgT;?C5`4y@Khiy66U;8LE*EW z|L~bd!IT6aPqL?_q5)RHPPsbVibx&&eI>Q@YEAVyqY+{aGkv@NtF^w*00=-q-Y_ma)O* zZ_->y&IUtdGgQ5=Nr1BJDB|{arl{9WbP;Q2bcAOcI0o}%&7dJU@W&MK?)eKW_$sF$ zicB75$f$dntJDR5C{$RE+B7($yJ|*RAs*xPauPt}lq*kB@_u)a4mx#;D|L&{Pk*D2 z-(8WxOdPH6jXTlum{hjAs(Ab2FCz+%q^f4*eB1lHG8Y$;4$8fS=aJfK4_8caqmNE# zCBZ^!FoNrfh0*_?T5~5C9l+jeb6}_TPl-X6oiSQ(^A`=-OeL7U z7G#xl*u@U{^n$GcZdi zUZ**RoowU-)7loXTEiVldE}3HpT3=?b`fo)-LQ-GoJ`UFf-Zeq5G)#U6+@~f>j2x% z{wd$O$|ap9?(~Sj4BBuj+DWx)kZD9EgG+6fEOb!qaU0?>Y0pLN+}I{mOx31nViHn` z_gUaCqJVMSqYT~3bl@tPD-jguKWe=37A9Gd+$B>}%2+Sa{dQvR{x`$@qe|O0V6h&-~K+$``?k@yP7fuHs*uWEJ)m!Jo_}zaLNgHclD$ z_)gi`RQ8PfM!iU$5Y=gfBg(18{09pY3w_*KYp}@3^&9^FKk4D^$Zys_S02Sg*x4%^ zw~6l_crJohuZ%tkt}+0P>9^j+jat;#Q2!YfA<3%3)r}-}PY9c=Z|nKb!fg7(bW0Ae zhBXKwgwhEFi(jgH%PRj#${K2|B#WflMJYM;0IzpDpDldyDdeR7mcTw;XzQ}Z*8+wR z!&Zd5gW{=z1;ZIG{1STp?AlxcA6w!*WpuMr^_U!z*0_FtUtzye;j~7*%iuuqOK$-+ z=bd8o0xnc^vnX1aDU5I)b6PyyNr>inui=F2YVI!qHQx93nPLw(8$kj#{h`(r)_yu{ zQC`)6NpdsEE4=?rWHzBpw`??*trFAMrWwcoUxM2!HjhZSN=+v)yo+|uo?B~pq@e$PYO_@ zE%I@{qSU$(df+uiRb!$46$t8~-aZO+p`xji_&GFK&BEs!uOc}n*P)mB<3{3efc`3y zPcQP$h2z19PH$>l+pVrU?rrm|*O7YYuIMXW7K(Z-^#)}OI#fND+sj2M{Ou)%R|n`a zkI^xP){+NsVk)A&%_UU}Q;TOd85#Pss35Q&Wer?)fT~%cgv@L^1|MP6@^c~aNB3Q^ z5r|D${{NT$POEj3aijOyQNodEpBFpSr})3@w|!hsq# z`^gw8_7eu)Cv9BelF$e`pOBwVbA|43$mnRj&pdUSu*8=(0ilG$ohq!m18l_kblW%K z%g2^nhTVD^B}?e$+W9;4${2eu3)iGG((`HK=TyCFZr}bjgYpB)q0I5y2JG6?)d!#R z43tYh4^E>@Gym@ey#D}y*F9?5m0Vtw3u5$#^T7DMk>d76gRU5yx=p$ZzH{{6`*a4& z2JnmHa7)dNO1(jY-zQfkd*LAVoq6`JCiclOucPD$l+Zr-vsdgWY$3OD0t?-gqOsC1-EiU^C zPTpVmt;&KDFGe&9Kh^umoOwOyzs!0Fh1CTD9F>OIjr0C(FQdG1s)Q3!26ZeYt7nbp`qo`h^0bwZuc$$PO8GFO}eytJr^FmwtvOvA0PbopQ#}@x^8^ zC4aeHND=ZMl^R@Pv!f?yKrfn^#6&*^rqtYFq6ssfGso1muB=iKt4) zuW3BuY0bm!3+MS>An(JRX%p(c&vy!(AGsI~CMC*tk5Dc*2i>@@)7aC#1p5^`?Dz!! zGPhsivOe9r(Y}f{MEP$O*>TF|kp0)K}iGHT3Nc%(6DP_%K^|R`~T)Vjlo` zw*qzASv;$F(T|%;VF|01`O8E#_nf39^X-~Rr##5pR}>9rgH zJ}C)r@jN5?Y;12%iQE|PY&fElz?J}Df^3mLYHLE@{VgIvpH7Y?o-$qwN0~@IhP1}w zFSJsOt4ud*HbC?Rh(2-r~{4hE6FM4U2*t?avhOxHeL)OW!X;N zGN0h54VIGK((@AU)`Q$lP*NQR+bbBg1LQID&EemYRgH}Ew(dK0nQKz6kj^6So&R7s zdXQ)3SB!3eGtQTW-TzVN_CBeKPCo8-p(R@bqFzs$m4HkI%1n#}T^S*3_e*3s11a%5 zs;tSot$q+fY-TE0-;0r*7Q(nxC6P6e;B?ZX`zd2FjQHfvNLesi{XkOUv$~K$@`el9 z_!e`n(`;PHG5Zua=$oS2FAn?$+^;CX(fFPa+o~BP8HirkADr5=rO)*yL+D-_HT-0? zLex0`Q8CzTaB3Bgkky%WgRm6F6;ij|ncq`lnYkA#YZ6_&>nMjbsSL&L;4)<<)rQ_o zvsBbMQJ;_3Ist7g45rxiKEdr=uih~|Hd>e9`*EJ{f!BLc_~`-xl~bsm&#AN-sdxzqcV>V048imvsCKMWmAi9y)5k@8vFVbOUxvN!5qe=`i9R-6_6X z+w>oX&frd<*qNb4s+CNLp*y7?yX=3deMB6G(iv%9_z|e#;d;kdT&QEm87QPUhdX7K9^UJHz`@zb$-vn4P= z+@*FoqpmsAi2zP$o*0E$Q~q7N8Mk9ao{DZg$X#sF(~wPDvdhdJy5}uTGHd>=aw^16 z!_j}ll_uSzZ&^fj&sea{b~n^WfBN1;W^ScSD3;&G7;)Cjx+{Ha6Yumik?t)@rUU3N z8HeADpZrcy$$M%Cr1PQh=G^Rq+0ra2(DiaF9|9r2rtCD$cGvcYJ`}W_TMB(Ccnli- z3#g8ubUn**-B(7bsXA|azSi8SwhGrcHqdz*-xsJfjve$K^yP0ORi(GV+XBgds=9r< z^V0aZR@=jAx2dCnG*&xt;PTewvQOW-&V8z|BuylP1S5rUnD%IMK<4WZ+NgbZx z*@tLY3Qw1~8XYPeu6}qhd3}sImtrL6zD)oxQlA+pG%h0aXtr}LiSKA`=$&lNyHzc* z7?c$9#tx_k*4I6=vLya9zBRG}HN?`-GXuFZDa|fg78##xEE8M` z-6{l^=m$L?ctSJ4^P)l}!=thfcx8#qQN z<(%jlLO!!W?H>&OH2B}1LNQriDEk&^NE(ckTQ6i0!j(B>w!2!ciY`x)`#ygl{eA9F zl{BF{@vq9WVrIGC1iJllCmg>|5zTQi#VaJN`{THI_B3d1YtI%qrA9`Q<@T8^oWQ)9|_LtswS@e%ykeE_V zVg|k$$3v_|5-?4wncWgp(#%R12p;Oim< zY7ktFHN-gug*#VqI?{9Gb z)!*LI^?T?4TPC*oD0P?5r~n} zE@NkP+c|0x#>47UpW#Qdcr;dj(YmK(N_`aXYdtPzXTGXB;i0T?D}TyPIeAy<9?KkPAjGA!o5$Xwlhl%m<2o2Ji_!e^EAt7pj1pSv zwjJ~)3DrDz^pgM^&`{p)PWc@a-*JFQ{AQNL!#vJIkgHY2oIdQ-#6w=~kPwsHyZOoS z9Pu`0aG70qR_1Ss#D8qz`gL?H0cKh^7skYlV})8h$1b;Ga$^%dT@Hn^Wlo0+C!xaT z6-6an8WMq-iU`UZDx+*wJkAfknMhA2zU$@;7A2BCdM%*VaLCnD^FH?wF=3 z8w6Kbs7BuUWA4n}1PePPY|1Q;D^@S3ni=J8ua&bQY@wq;-5o!k>BucE-wryTrSPVc%#DvdWZleqShAX42ep27N#ey1s`D zd|acP1gP^M8YmqhoRdz%TT6Cm=jjKT@OIF^4&PNuvrC@Ny?3G@LNh9_5UP<(E+VhK;i2ANlP> zop^+_U3T0R(-(c&W!+JeXv>IcQ}eKD>hjl=rI8ktbZsPZXpNU)e&a8Yd@!8amz0&v zt4^32oHwMVp;hq4_6Jb%gSUZ9?mu_h+#!yP97V(~j+pJce=78&bPR!uZytIM2{xKV z7!&|(MoW*WS(?*n;v%um-pDSZJY6dk|J^_R>L5t&LfwXn?o)O>=0BdZJB%7YIRm8~ z7mqZbR8}rr1?Qq6w&ctbug9qb5Eq=4r?$!(_%VHw{X}1*c`m1xIn6$nGYYwNcJ@^^ zbFneP3_%&*WApXPjE7WQ;&Z%}p*-W4Y-6W*{@ocEBQ0OQaA!5NuANm5Y@a|#qtA%jUp-uSpn=mF<}Lx0`Xhp z>Kke@cDZSxNU}rq!W#`XBXD;gg(*r;khuCnbqHvAk`Wh{sG3n)m!d6RdG+*FLp)gwkS+$QdpuV1>2ygR_9 z`?EB)t^AbQM%GbmJ-zYPI?5$<<55Cp^pE-j;S@(ZnJt$|zi}Wh9m-rAj3C(eruuJP zg%o}f1HH)qM@rmz{M{()R3w~?Ns_YH`{g>riR8Cd51CwXxAB-=Z*68^@ryWT7F2|F zy?yPHH0VqJRcd3{`(+$`#H``>r6#NXmbkmHir5J$hh2y6j8)%ViX?6a%M7s-L&2{= zC)Sg;KT>?6E_0O4dQ7^x)RODK^Y4r4L{WLPF8JfNOcbwS4s0V^$64Pgm3$-cRC23? z8jq$=nKy>tQhBs!`Tn~ty~9vKNMOAxwBg^P`XZq+sC!_9}cA8<6Y9STA|cex{qX;qq!8B}yE41sNmiy^VV@75P+HXbK3r5{de&X7c(y5KwlBfoD;KFqAu36JKa zoG9@bO0nhV-i=4fefE8)b}oj!u}~Gh zW7xTc_B$7;5qQsek&rvfj|&yDEK9(y!C22ZS;ASQxW| z`o@Nkc9vt)7FCXex{l;FC;IP4=QN|PUk#}yZvzS(YG;c%uF7jg+V@p&&vu&d6fR#pC%Qd%K5*AGubJrBHk z)~Bs+0*ZXfn6@N2=7kH?4;lZ=2NUnahvIFoGvr=?lyeZd`X0iFjmA5`J@suj4$r@jdB6{ zo0bC`Vl_6&H-1rht*r2WoevqipPOBosAy%yFK8FMNV3ugf@g`9$*9hoWF+E&!@Dg1 zOi^#IC<}8!3&XVTzjHjCMkiW$~wRS1PK9Q4<~OLWFpcgrK}g8Q=Z)MD<|I>j4p#&@T^x-C4rKoFgSk&@S(-G z(X zS2d30U=Rt$%_HB{1D7Ml38dq;Pmp#DyCTUyi0snZnmiqqA^`b~-zzjlqeJyn<6@nbCU5)K`~V4tQ5=@7v5 zy`a%5z9)p{vh$*k<&5^Oq4#tDwjw+c?%@w76*5*1Fqfq~LStYUjt?5ObvCXzBk9tJ zDK$L&b1!t9@C5NEH9tx^S;Rw|sL+nSvPs#FtCwWi3rvi~!GMZ>{;f!SCf#%Dd!I5G zKxc&~;TGPHrTWw6$!(0RDJr#fu7=V<^7&WkYlc5eUk`1#x9`5-Vo*tI4=2t!Nxr|; zh}#XN%IvZ{y3<)#fR^oFGrutN!0?p$rcVp*k{@ylh0XSGk7=_^gdCsSo7J5SY3Tp_ zWjPJKkRy%5v|> zXB#0}cjn7>`m`Q+Ti}q|-?G5Kvm0aA^wE=^sENg4C0~V!u*#4vWHf1a?AhHu-&c~W zN?5;uq(Z87bp_#IK-o6lo(e9%%dBkT-McZ|`{-o4tp+zG>CkgrL>3!s=COXC?A2Yp z9Pyl8oc$N3-I-Uvxyt=U?`w5yi`(caPa%fMW#o^twTXT8BH~Nw!|%@vly_Ka$|&2? znrqWm`~@Z~*-df8W^qfWF>YrVZdb`S{{9n$@9Uw};M!WlzFXde7LVTi&5$pPke?xJ zUN~S|8`GW*7Cd(Tv6kQ0(UGYa6;wZ{5h&!v_;|Yt2(CBpLEG?5{uL8}Jd~5Z9ra}F zuGrp2%ZxOrD|*V*De!l4!{_Rh>DAD$s6o#^ewXKE+Wv_w&e4~%HkR?CbK_jH!^E<5NJt9E)A(#M@lUu9w+Xvpl)uP|L{AMV zgIm4oVufX}`-WI15yGP?vcx)T_AVyU^M!+4Mp>HZ4e8knFshYi-m0WE>uxf_M7J z{8|OgtO!J0scE`rbQvr_ny%V-;cEOer!G3p+W&SNLTm!Uagcc$vXvATZhW+VX|&b? zE^V8zX=0gXZ_%a_T9h~dx!dDf1SVO8cd)km8j2}fn*5#=0uS0hAc_z%i9EY_rkQkV z`QR;`l?2 z?{t>k>)x@uiALoTpr_g?%}?6UV+Eh8DP*?-VRMWr{UqRZ3(5h!xIt+-8l$6hLemk4300sdviE8JxRJv&% zp6NW(@qKt!1Cy-*2|5g4*f1WrOoE?Un9iV-QV&+frGgGZ1Aq^ezdVug!(!11JtGb@y~S-OWOlgy@T z(VJAVvlUby>wDNa@A1L^OthE3C=cyaBCn2}PvMn)@M*1R9ImZs$x)EIcz=_kO1Im_ zCf488xeMG6H--Iy_p!S)SgIlvXUm2^v0IxytaQ^%+`nb8+w5t_#-1?uHYYgng_ysP zz=EcbjjPM=eOriTRaoB{?2Oy$}$rt;93+vhyTQ-|+t zZFZobJp(MhkzwrHyV)m~CPSP}{9?NPQOD?$1gPzD$ML3c?fymEtH*nTEpC!UN-*o3 z36DV6G5SU0E$F-GKHlAzn6O%8reDq6D^gx$njH5%LPO^^pNmGY zD?bT(87Y}xdmnl$U@I2tVU+N2*l&GbVz+1Ctaz0^aBmYoqm{AXAl84(PaAqUrxhAY zOQzV=K7nEGxprnF=3sgDY}sg|AlT>gV~%&#naF8XjkH34%lJAsz{~7Gv#Y>atZl@y z9$MH&TnFua$gD^S3Zmm=Wu356+sv<^QOVeykt776YxM%tHyd?)lNRt=XN76gqNRV> z#gI?X-BD|Uy%`Tyx#gWWO%bP;uY z;8K}+_9S00&O_tivId9ToW1thwKW>DUjR5k|seYC3*Du=V{_gCjJ1?YVS_b=^n~+C$i58FKT-HHV z?^@Jve@E%oX}zl?8}d!a+SCV#irt^!xR5TGX<=WJ8P^;h)U*tV0^^#*K-v2tVjQ*w!c z7?3(C-6kD%qoSEC9c7`anLKYomJ5H(UP{5=+_r+1u(6)^89M&?Rl-*(-KH~JQ>HOa zY7@^_e;vDE6SJAtAD|z|_ZiHJF*K|1+_MIIh;ngF9G4fF+?D|~V}yFXzL^2~!I*#+ zM`qP-l~eG=84=~&Cm}b)m%OES-1^i*gj12=p)f}?wM`OTCb38d_msWP(+Mki;nLp>jaa3}sV8qcY55s=Q6$$caX13r9Y{5oUNVZoUpE0?) zTbcs|bPy z#y!u6r*VAq5_tTw$5~?PtHQfzOnFr@Zt8>mHM`Vw&KNV`XY5^3bAKLZe~Ei{Nkmi7$vRK5b4TB;Gx@r^Mu>+3);D- z>1Jop>+eA$bwuY5pvp^iD2NzRVfplF3)>4Sr-ICXXT#Hc@pCtQW=7M?kmJc8!bE=Z zy)E#$O_kfzdSZEKG{0QbfVqJS5;}I17uE_YksUBn#x1{WS=gPb9^HqU3}Tz(hms)- zSe#nKqY(EqYkfCw9C?X;@cNc*TRkHG1WH3aKE}<~zEHpvo_Iuj3>1}2Ih}bp{z~Jy zMrcfybcJ|p5ZmV0(H3Le>zQolxG#82`#MNX#Z1L=n|JpygqYQ2aRApVgX*&HVd6aC z5V=*&zZ)w4she2U3uc@34*SSGTXv~0LV6|aT)?v-f4{+25f(etb~YYqcyiz+<{K*~ zzf|!^gjnIWWM+?YFQ?rgTy3vf9k8y|mkLXs)`e_8t!?C4ulnAVLpeVe^^u;fs2(hu zJ@!KT5yybUqN~7_E|m)6BR=u6{b}EpKm7HQl(@6!=0~22$KRo2PjTYFa4y@9ijWt! z7{0t-4t!MrnQdJq4|l11n7HX+-9;sy0qk8cmu< zX4lIltpfUge;s$Pn~2=f*n)wgMo)WxXN?1>y^Qhq+mzZbGis#wh2m%m@MkZnnp*Ai z=@!tFSY~=7tn(!6@UGxk=j{ujAV5l4?5wD{q>ZF?BwVijEtVnOkJWK$&{R1~j&9rE z(VI}B{0r>gQF|CQ!pS68tA}|d6|Pr(-Kl;p8h9FG9a%SVB$ueB>FrT?b4}>MyT-BD z^>&P&sRUgs2FVJ4RS_c*+;`jO?SN|Yx<$d`_XdVLd56lXiKlEAMs&{dtsS_nzx!w& zbM7&|9kY8yRk%kLw7*g0!f+6NT2P}+DQzyn$dIy`wP>%A1^3w3 zb8_0%WZ4nZsr7wm<5mS}WhC|me@}#**2^4vK{fAZuZt6l8doEG@sI8H9`4c_%#gUj z_4)hgS=dRnA+fY#G!uZ7JUS~DndG6Gr;3hc$4;zv+mXN5G(yp(%%Xd}i?x_-un0op zYcw%s`9-~U51M^j<*5+M%z$uf8EM;LyhH=lFOCv)cgi57msV^oyiy=HC19=*T$sg`tA@rzC zJ~n9^9ClT0p>2c!sW&i?JPDJ$x%?F!SGd!_7_>z@y~Dh@JhaKmcRi!&j^Z^;(b62i zI2yiSj3yiEsc-26z9!aBI*+4&-9hwN($wRYf={Unz#K%__E2n}P2x$XiSHPL%WotA zBj3C+AU{0?RAe_8fJ|Y!+fuCWg&YBk5b$K#`DawRV)WmNhw!%yyDn8)OOs5jEE#{* z6gk+NmaE!E+mq##GzfQ`0s(ua-0dwH;nw%9OdoXSBX_l0Yqme{T@-G8-ivf)_I|Ci zQr3M@Fbz|%*JnDymLuLPXry`ItW4~|NV1N=<^?Cif?NeRkTONpO>=qRw*0pl^ByIS zFy~yV^P#8>o__QFOzgQXES*NxF2Zb1kigdaz=u}yR~}$}k8gK}lRr8pDy}Z0kXDP= zTAzs~JR+khU?CEC>aH+@pl*L@qkPdJvrL z9*+8-UyM4T(V@p{Oyr0E8hsr58U-^lKZC_W9ay&OCa5<;oMl%D_LHK5BBgo@pl5Cl zL>E4$3?U1r@!4fw3a6O2uyM!EZbxO*ar z&WiD6oAKD8kBUu|z2qGv&T;R-5}UqM!h3u}!W59XPf7T6e#dLr){AU(mYB=vl{|a- zjggk-Ndc5^4T0U})s_9R3u@nzD|@Y+#1pVC6ZQU;}hqYhpwi((qsNGk}d4n zv#4mMVI@ay71P)!gkkx=+n{NOHInYEl6zS_9|Nc@^{rY_aS1_B6>Lr6gF^RfNW0`O zDJs?aXlBXEEK?46{6N*y=vE|+%3^g$SeZ$HWZ(&L5nTXx}#Te+$_D+c)V$ z=5F|-`Y-xQ->{B**z8x+TsXe4IVRsTwSXB=!27)M?z=WJ5vt*-Pq`^~s^NrjMBb`| zcb&cR{#*$ptr=zk)Na8)BlW&=+IEB+>>~6p@~*^s{nnjQSSy=F8ZPKKZm?;#{u;}^ zr77>DsTkRMK6Bcp1~ufk)f|_`rmk4c4}18HQL&#v_gO!(MeEbPFsaWAx?w=dL)ryK zK!HB>k>!K*Pk6c^FDu$|Uqs$nMxzJeh`r&iMs2)%hg&5P(OJ+aQrCCx|;pLvzl0SW+5zfVY;+Db(SBgGU#2C-ac}kHv){$bJ zE_6;G7$#CdMTlmLhSSmX347bdy2xT;I3~hN`#YVPVfB?{%Kp~&U(|RQ{8|c zb^p6%Onv2orPMNGZ3(x$p-u49dXqKX&`ve%CAIZ)aWA+P%Xp-l9qpL`J!@Ic)$)4$ z-6IZ;(}Wo*>HhVdnlPaam%=Pxz`Ts5Ilf^ZFh6Z{#xkm=cl*8iZC6M^9MN5WtN*=b zL*4nxPG9MXJPqP;tsp1z&Y$jpa15pi{iA2tb{vqd);2Qg!cpa`jCW56wp_E}z5&%m3ucuGy$T6FKT#j=7>pk|X!@3BFRtu7 z$u}E$xyLbkbS|61lhF4tDkgj48=4Wjs>VVN^0y(lDmL{LNrZ=3&tGo-$vT8TG^W~v zZkM{^T2HGQ>hj+qv!j!-=r^LFY&#QUeb(t1HLhL@#)eCK#4v8S#Sgp2om}h(;GXTi zh-+lX-M;1xRo3gl*vhS>M+*a()bhiZ1Q#nWox70QUR&-b;<~cC!Z9T}=B<6Yu;pQq zZ;p3At}lhMTf|%4{?(M$ex&(05BuP*)6{f#a(%nE&|2&!yZ02$DLYG?=QWm4BdjMs zGF&5^W+VVP2FytQvE>1~uz*#SxbXAAkuf9Vc}{ar377I|AYt}4+5|5u`)YoOgF&%l zN;m#-!uX6leWsO$vJlMyO@H9=q?iNMtd!CBst5YnAD=9}8K^8N>!i!1Q%CC)tDVa} z>UF}`FBFTbsngiO)?+KH#)F_-OL04a_!*|TCR__(%bm|z8R}5HB|85nRN6(fwaC>a z`Dk^q_51LAbm;fKS}TDg6gq}**%q1I@V|vhUV==Rz(^d;of;c(w-lxcorI}K)t6K^ zCm&c-_R=xJE^nI78fn6@0TM5}PaM;t?RIB8Qjf+Ta^XIe6`S8S z6dzNbb?5uKNe#bcpZEtcXgG9Vb`CV8o-mIwZ)I+?M%r^+bDqTc#?mskjr?lKkEIoj zVaaK6!w^4;keYUm5usYx?13IXsLkaK)jL)iSJHi8Prwd1awB^J-xQa*a$l#{KYXPy zlOhu!Lj;^AOW*hwZb>pr z?wRB!$1i?!v4Mc!#{T*_bdx*ocSt0pHSID^H6Hu&)i%NP>-TI+PYtcUpL~3O1Y%q- zA&Q)`V%~CM*m~hc9`bZnEpCIf6mntoM7t*#%iW0uTP3w`sU4@KX=n?W6{Yq zhAL2+0S5dp|0Sapus3^5JZfjKeWnYr4f;ZpSw^0$yYnl`?^D=F(nrYY~XW4MG70 z;0HGkn%;)0A0zZ|W`81UJ5Q+U_rPW5jtbRR71}IwHE3Qckwwuha-rX%;6*B{uOCh$ zoo{z8cSBMO;}N?NJt~Tu$pf#MyicQtWGL-fLht&R(Y)UO@L@H<0XrLZWzuxo{R(Vh zG)aFmw~e-#-7A=7K%cUm#k{@pb&(R5_q_5U<;p91G|xF2ho@WjL1y#k!?~a7$YlXM{Bk?4c))awK=Kc>t;})rYQol?pu=k$-wZK7o`o2qG-CyyqPyms4rWy`I z+h-C;9PRNO{UNj!5if0#eiV78f$-V!r?(t zlL`=TE{*yt*H#5+j9z`8K$k+}tfLA4p3t(TU6cHAxGVS3TrKu20Nli}{LTO5{`sN- z@7xzivGX&{MWR(XtiWR zT%s*w4p#M7H|?>r!bDKCqi{b+oJle^CuDPpwRiMGuXmTr$xu>=YgXFlg{`xW)?5t=G&N2T zw;s*Xf)XKuEDEWjI6c~jlV^Bf5ij| zW1O7Q?GtiBoKWPt+AIalLPh(8E7v2~b1<@-_H0%0UBoKcv**;+u5FeOkvw>`{KcA9 zTrV*isOGWP_JmH7?JSVyxapN5$g9s;x1p|DCJW!$opqHrmuG@Q*)rEBJe8+Cf8Q%* zX!hFW&zU^4!mVQfaVQ@cqjx<({)nXt$O;&f3=x?-=R}o0~jZhTbgkAUD@}ihNugQh9hxS zd7KIZoXIhvA4jB$?gZEdLJ21*tivTt%x~Z|Ql5Tej8Q49?{o~JVyb$dVGeu0b|e_u z#U=js`Lt#prn>keMDbT_#0$5Yk-eLRB#Mk)+n>cFixA`F*J;Lxbhq(}T^!XtJm^?` z(u<0-k+l94WrzzvH zsP{(iCFai$UZX9(>EH&WL}8*hIj%_-Fah4Rp|VPKdWrY*Z{~|sLsFT~n)GZj(#X*9 z=3LOcpg*#oaK+Q@yQFTVdtoF{Q`Y}#(T2NIhWi^%$t8K z9BO54{GC~^QN~8%FQuVkV)}?g5${* z&R&0IC>!Lv4&F^V*3rguee#N-m&1G9gycu}qhqih9im&bE^^`9e5W^7s?WM^{l~Kb zI0SdI6YHo}wjYZHmnUld@7T5$C1qkkLe~nk%~})0``Iq_J0I6h0|ruy)#KPaewJE$`rg0o@T(4}lRw?y=#5eqCPZt$H?(cfK=5%h!8EMVw%PCm!X<(rcMrbiJesyZ zVb^BX*v*IG#EZ{z9CK(7-@2y}-$G_#)rLRi`#Sm3R znVetmQvJ@+bdo(x$Fd1@es#)dQ&-H(4VG6!#Aj#3)|N@%jY3pHngu3rI+F6}_vUp;77_ z<{_8=zC^b;sVlVIC~3@)wBmnrfNS)SU9ENN5Z@2NaTd60z)_GWX2=`ZftEgsiDYQq z12eTo^m*c4orUc+^LF2qyy0o)FhS8m|69$4R?#Lra$a|bvQ?UNfd+BG8?;cX2UVXZ z3t~9U>(nBM=_Y2jN(hhnD7KF76MrRN4!`fo5=R_-9qcv`=KZs>yw;7~xeV+$TP!KC z$DeBMF6<_*d@wMz9HlBtF659DTi^_Be_+> z-e&8@)e&n(oH_mXqz9b+URi>ne)C>a?Ldl(01Lmxz0sHo_Ze8z7+9(OrSDZx+~bDa zhSF)?Jp5^4wf@7o;Q8(C43o{A$4esfI4cE4obBy@r{_H&nBbY(}x+!^_I`;K?<LUFw#2(TRr`jJ%7EO%hq%Z3(IA)5&|UgIopoOUAKy~x z@TY-#K4hFk`vzbbVwFw&icMYr! zSdY+Xxu)F@aAS%Q(TX8)YcBy=!ksW=JyCG;n+KssUniyTWEYgHJFf(gnR*zo4i>N` zyn$v%HE3S))2#~L`he!_Ue27yomHJxHdG{&Oxz5b1(*eFV7vH)BVM}r>K2z=5JX(0 z*`+J_@#K=1Kdl!5=Xx0v1>+PpO{BH#ZV!KVthfNZsz+(wS3Vl3P(!CAi7us)q90 zH?MjZB5Sijy1lsRn}Grr_pi3mdh1IFLlC9a>r+?|xcq#ROn{xaiWU`-^`E+Pdkd)E_DB2KYX7P|MRnUxO{1%HP&@oM8&qB2EQ^tW^nqh< ziUh2{yvth1_(RWPtC(qQZL3R$=$?Mf8`Q3nm)Z(XIZQF9lrFjqbXWx6v3A-nm_M_!^>4I%J z+vo~+k;W1vH+Z%DRTdYNw+`YbJVM`QNeFd$hN0gmIVu`QH+z<2KcwwUGU;ga5d)uJSdY1h1{V10m&#P#;Gs3rOM8DnNLBqWyS(~+BkfU<@PG+SmE?$X7wpfaI2UJr z&dBk^rf+(|n%^@TaI+UMhekzaCa%fub~WP`Y-T2mqfhJ`6y*o+rtIJB!wr@to|EL< zRdM`L-Aos@c(LDmKelaOqhsGc5NddbyI_pteOpE%1^p(XDfh0qO;4DAAWR=M+C-nL zGOnMAY4bi`ofG+>FLDImxMjB{8wis{hE{dw%PuwE!DX-W$KKj)Yl34>EoH|J8=`j} zh74V67~b}fBPC?Id&AGo1fKIBi>6;^agZz=-(=Oh>v+k#5Wa(_>@K=q{{AAq^WBBo zBhM->UZ1Ld@}&Fi3~x*7_?7INM=s3hCOuKPam4iq=Uh*xlJ)n}q&HdL(&#FLa+O_L z3srlPt<>AXo`6vhRGjmUS!>?P-J*fA=KsA$C}ZAx$zUSh9|^7-dv9g6l550V`!aJu zF;aKPWrt?2H)=dOjF8XG`&+9H^)mAPO1Kt`OgS=;uApkS5k8fgl}Ug&JADF%mZ&#(w(o11D9#(akjr|3fz$ z@qIF-_FGI$sqwsk7Yhbg3X8mO*zEYqRvOUK*b0Y|-d=I2M{^pjd?|_Nz_t5R@B_P+ z1*DH~4UGF~cBN>i_&BWELTaOPW-0RPQ$KN;APxO5Wdoi!gB%GVUHwhwK2|T#p7zZ; z{2X-*x z@m=+{iR5ZijFpQQ^s(wp#@{m!%mJJ@Mc8wfwdHAO;ma7&Vy5|p8r9Z{NOl+VzN`rJ z>8jXPVk;qdg zUjPkD9opX=e^_ye)7Z3syp=y8ccY$RZ9yF|Pyhsy4kSP#=nNJZh&9rI581Z@vMmO?rdH4gBssd6YC*_3jK@f| zPyu@H9Z+)&{KdT<6LGTvTDQQei<%xw!9eG16$a~ul(L{wpSz;;KKCDEH=MO!L5Rm! z9(%*Q3>XL{r8svXoR<;UZfxD`q+lx*ajP5gz8m}Tb=U>G!r6B1>Qp>wMS4YhREjav z%33oIXgvRNc)@P2#!twMf}qRMR0kef5#8SwS{=A70y$cv>|A5Lj|+lBaGQ>10I#8&{NW`y;=K z=7OsAy^%P6z#;L%-RuH&ax|!pKkp*_96{qKll`vHa=AVF@l49vA^2e=;>>c0Isbki zCiKvTlFi{D^_C}dbjh{`RnHZ&di)tnU+K`MXUkW5Z#7nTuJ|5UZ5ggxA>`J_&b0`6 zd4zmF67-IYG)O{Ru1QV$$hgqvl~U{dg)mA9J&e&p71+|F3Ak(Y%b*3RYoqZ?+&i8kl%rQx`As+X>W5jjk2Zq*8L?#U+&-)uFlL?to6c>%EZ24 zgEodAm97Cv2MQc@D#RtbxY8Pr(%8B1M2;o*1i9e@rvRv)JaLk2Nvx6$I)?eoM>`$( zP<=Mm#$7F=W9*?5Xw<4zCz7<&`~l|H6>_n{&3*Z5^W(ikrh=O-_LFNeAUAw{(x4Ms zye8;xfZoowBrzK)Aj!mi+BLhmWSk%INxT)Y@4Nt4hGm2#_d^|qub{ATD=vqxkZ|=) zq6$-k>L|wLpy&S+il;cMOwDdt4aW`EYCL1#XLldto~mde z;Ob7_n~izB*WL`U^b!8C;nlK@IeQ{Dapu%e`&8zE!$`5{jcnD0;ctf}<27$|J_x4^0w!2pr1>@ix| zsZ^`7v3rDnBx%jDDI7hg<*|}8(HmZ|;O!xJs-*#G>B!{Oy%dGQEUPboAnnz;^x>Xv zlGR3cACCTAvo;x_5~GR}ZzxBGzec>@lBszAF8Jzby!W?d441!$1mbH*BLB;ocop&t zD10uWMt;@YAoR4aMj^YQ%jr(c?V8PGRZTQg+|S4TtwRPQX#X&z5m;_j)53R1aWd72 zp!cP_4WhiSI|Jw6tX;adB+E_6{=E zi}t1)h7=TuTAgDQW@TN!-Ac{l;IbpFfbtx@4ZAJC8Qk;m5y(11$&^;T23P4rc>wt~wA zIvSm(2P1sI%YGLUYeOqG&4%@@)L5>B{AFQO!IkF!)QO1xZ*Hry6el0ZZFLk%kmH5! z#YLUQlowU%2v_e2@1IS)k{8v8K0I^DN9s$CSfqBi2ViN~nK_&UsH>NuWoAtz0ko;6 zB0Gs4aj*2fj%+A{(0biX$YIu1YY7k)jd8gzJ5~H`27)rp)Vx&UA z8|NoG7$9>JJ7^yCB&?w;U>55+W4GGsPy9#p&(5VrOQ0+_Csa{-(-eg`yEM$SxZy`t z-j$@B+n1k~oKj{YzN{u52*-Dyfeihtr^SkZHC56r%F8IsJzR9@Fh6B;>2n)wy5-KD$=Hu}umauS^;f!#&ETe} zJ7}2O^5<4+aP6Pb>GaXhWTxlVH(YzzW`nalUieH)A5Iu42@oYI#|{JZM4MvAO=a6B z3K@TOqtg%o#$B1ny-$Pk=7B`+&k<9T{hQiB1?6@a=icCv&5Gb(xZWw@Lgq=!YR~Cs zx2Z9{dBx(B{snw{A3B|HZ7>6uh^zT+ba0a1s*&{>z!J0uXprm^Jh3QY#@}qg-=by1 zR?+VXZl!}j?n10=!&2XL$i zFgczQ*-=*c5_s&2w+T_?L8sZ$60PaBb2m)0wW^Tw4zVq?$I*Rxp!48#v+5z9_^t>T z_2@1b10~UgzpGxw{xAd<=@lu7`xDMTQ!)oFhjeBcTy#Z7NCC+T_#atrJ z+{^4>a(^w;`0M9I{@uId=z*u9>#i7Yn_jHnMB&Pn+w)cbB&YYF1wL6R?P@b)4!1YE z=w1K#JU=hQofvbKG;9FOgDMsI)A0TyNPyFRTWne%1X9Ubd_3z-=hQ*5Kh5UBS)8~| z*@>0@3=Vgk8wlBF?6dCuE!#>E{l2^peaJdZV`mM zVn-(>Tj^7`hZXV&-PV)Vy22CdHZ=FFWW8IBQ&CV(^k4Qf&wz>6JS>!dqKuw*G4bnx z>?fpSGrDJ;bL74}Uzz9jcRR%<<=NZ-hJ{F*Xx(!5jmEIs*9j#=L2#nR^?M^kF)5g?cSDEd*F zMD(OjDSb^CpI-5s+WmE3%>2pTZzYXpJw+6EjTi<(MF!xWG(Ae=AXL=rw zTQGBI=X~_JoSny2z$OL8=a*G)ig;sX=mmPa(sHmU4ym;{jEL;l_OsMGw3!;&#ck;m z-kYYCe~NOKEF1D>)#H>V>3nj~_E9 zkdJ5Hkes^u@He%PewlIUJ0*Vx!c&0W(8=fL*2QD7ib205V#PLtmB8l&MQGW}KbV^i z-eW|>u6Xz}%`~NmZ$>BX)8sE=rDsyXaU>0#sLlXJV?vB^*gJd{b-mh5vjRW=^^|WP z-$EugSNI?$5>;u|RMoBrbo7rn87B3_0kOr%X*ob)r6Bll@8w|wYpvp0dgQ>x8DHc? zVDie|O*j}oVQ1DqDjNfg|7k$KPe(_!7_z#>^Y_-Xo-hR5M$~X=n0(xCRc}jR?+%OW z&ce=y6ty1*SFC-XY&zs1KibA2?KRQ9`ZNOdO3AZ zv)@LQUBI6HI^JmKRi8!uKGYHWDT`_ykfOq|z5Z);xNXDIC73fxa>1!|?g6Y=!( z)rRY2eI9qAHFP<~SM|`!wlZpm!A|SAH0b+p_@ti=yL)@*=apjLV7G!Da~0mL9MZN6 z(fd=L|} zGB=Yp@Aq}oc3&N#4JXmxotYk6O@A({Q{vMupxiCvs{D_4f-poJBZ<=K>~~!%vUN4+ z@B#oR_o20I_kU) zgx4TY?TIn)`6=&;*Z96A(qSP;E)COnyd}*-bS}%x;rViZ3R1f()ebb%&U;&i!pD8 z|AGIs(3{Mo+F{{WTim4Xfi%9kf2nRX+(FIjt(j{+H!d;oR>NP}+t%qP!QL2`v>-Y* zdCb6AuMLW|cd=-3^^SY2^cV2!O*@M{V|rX675eE~wP75o*xMTa^(I-CqqWJ=;%u$fruEM=aP^IN%^SnIerq?kl!JaHy-&Iz)Oksp zE&h)5rzOf@$6fz^MK6~IP5xD;UaG_TVJ+6&UV0g+y<Y`Xj}mQqL>b~y~ks@3QJe#(|N|!hFy;y$3OPtOkNfGO8)KenY3?I zNHTRP#G<5dMeZSKAoecU!_5Mo!EVr~0>1qjUP&3#4ZOSH&S4oO-ry}G*=gZa!=l3qhD>J|MU9?U6yW|H>JR`*xUzSlIPlM@+t0W zY_Tbpp$PXCSp3t zVF`g2$})T`jz)A=|CQS)vLa!Y3k47h5&bVurRKL~sP+lS%}(ksH~^KX38v~*eB?I} zlZi0Us-9VbdFNXjFW-(V8+p0)yJjr5F6qbKPsr2x0El?RH%kY)IUz#>QVgWJpS;c5 zcU||>gXv>@`OGrOCkUmIX7)99Ns2mTOaIlsigk>*UB#K?Ua6q-7#csb)>yE?*_f24 z9pQT;suIXK%6shDZr>+`YTi$vAvwt77E2;Q(%Oe6btUNqL>Xb0E)N+2IMQzr8csCa zs_`K%O9lminEZhMg!-yfo+eoimY>dxUbNyG~&F)=0xiY$~A+0$4u zeuMW{H8yT?t`G;dMZ>7qO^`*j>#IF@5{1fkK*P9**cJOG>IxIq#+Gj08@n%?Wj(wj zCt>u+*5{=RYd}T=AQ$*~?5Gd;9`&{lc&}wm3M;m^9NO|$dadQ%XmC$mv3a_2+mRs1 z6_VuVTczg@8eeaGvKN)}%f#qugtM?w3V9Btc%2?Yu)IvS<@L}&RP@NAbs}@_c6}h< zSdmLcNL7Ej`a}tefcwszKVnpv8{2V-=>I~@=m&49r{Vg?f?(k5LoK-hy#?r2mbbIA}uN0n3hZYJp`EW)3w5$%xxE21x}f zi#{83;| zM95rK@5i6sUw-OCiamO&ojwJ`GA!TV<-`fFg}g?2Bw-AHK&?Ro^VnqYzUG9%9f$=e z@7>HQH1ih(dS{{G#Kg47VfV4_kSon6Q3R`aQ;HUuliVxK%da4$j9A02vftC|t&J1N zKfV%7GiT+(4=?^J9B#d9F}!dok%G|2Uv2TpNMYlF=wWDZY=<|$dmOnA1qER3MjvX8 zU7rIQ=I8|dMj-)`Ft5T!F-(4Id4);AP+R1?E6RpSH*fmO8TIXz|$7&4JkSX}Rm* zYanEYz@6dBE1vI6*Cc-PLOk?QAKgJ`V(4ssz-4>== z)vM1INfRn0Cgz=6(d*7y)6yV2OW(agDDv2%gKUrx#)&T+3o%YpZx}n^-}p|xx&oE1 z;#pq{)|fc7-pWX}I7Pk%66T}z8CR`Lr2B!N*K#CUylN21p4zz_LO3^UGu_dD#IA&1 zOpMhbCnkHIAYV)Jv=>3v$UMOyo9a_JUO&*D#8Vf!-_ZrX1*Jz$&8MzrqV!ga-&cR{ zc^of}c#D;dIR+mhKVNUh91D|(bXe4A00hOXD9ic&%1Q4Ku2(M-_WpIc^wimRapGy`hC!mBcnc@^ z3J-%riYX~r2OaJPDLtc1VMix?I?C>*DvIOc#kESV!mp?ItU=z>C;b>tybeGoGjsdG zH6^;Yvmy#8MzALa1Sen*Fq6dCs{&+5p8Uz31gLhdz*#MQ_ zl4Yf~4Pq?5$70C#zfSt#Kb(JF`)ljC%U=Ob)y=mPR`Xmy&qww7UeKQ&pEzTo4GqVbx)O}3-Fu~jB~zXg+j_9YFfzMQ}-CHsLWlDmTz@G+ue5$A~P}C(|3iH zHqJXp@0y+8Lc_g7R!P%RH$$P6B?h8X6&Ww~*_r!R_-`G*vgEZz-*X_Xr~l#MM>)m> z_d?6BnvXQ#c67c0DDk^v{?WfcO8$?R)>VViY zgw&LGRP1PN)yw%^H;i-f56Z9u%mP$xeXZqV(GX%Woqi|aF@9`!VOB?l-WP4$J~qP_KSUn zI8zgB;eRZmOtQQ8br`d8T*00Swp9MJowIT;sX3y@uwM*+a)smvI6zCaXpgR5Nl$D3 zuyJxGk@L2TfK~&KqJyv^`faSTbJzCXL;^`4jRuDX;gsW}Qf&DC8;ZdT+oqDQZ5~0n z)VKK*j+hX$kgCsw1$0`Fv=b1Ho|Gsf+H|1A72O6k)^6bL;RTi1sd#V2odc2oBn0>{ zhVk9uZQB;Tv{I6F({k8H!yLWJaw5~f)P9@koP2xqdG!ZtI5EG7k?4r=6h*)#@;)O z_c4D!SjpQWaoO#_Ya?5-u^mC%8EZtfOh4NRhIhO|nh^Kidc}Lao}Zn!R!Lz$1ijmD zd+ACCox@Cd+YZF*kyk{TmUOs;AxgjQhHs|#VI#`YKWA7)vH>5qTTiiyj13ZStHqpA z`w-Gy*WqbIL2Y4uE!jXCR$2r3Qn%=`z}kjf!vCY~H2ICpEch)M^q%+eqAK3#g>nBT zqN1(QU&m{>6fy30@Rd!$fOC_aMlE>ov~yC^rYZ8NAL(e2g#O;7Kk@Psb4Pn0Nb7Ke zU(1h{pE(HBCc43GV<(-rhw!Ngp*fdSJ^GCbq)o`(#LXOsiU8d&W|0k}-(V8jHW|K+ z_rk)R=00}#U$e~2R#SGh?d_tvDgzSsuQ-s({bYIk7zRNPbzHmN?5VbWD=$(Zn2aHTh;~L8X znp_88FwaMW*C^iysseuVucXEv3oE*_ke|IYXyOupC+jXKkp>Uaf1B!?cl-(V!-qEC-S>YuvJ~O=;cy zJd+P_v&CTj;E0mN-$$!@C0SN4lNa!hyqA&y<;Fl7!N{PVIoedX6>MiD4X7Hz_C5dH z71k5x@z4I_pVj=6KS~8BWpO7b0F<$&-m}KZyZ5xxeXWLfg(l_-F8tU!3=rI<=~zX+ zUWY8m>nN*M&kKs9kZqINAhiSviw%ZKXt{YGNjtQLgXQiXx=4QSMCMDp&rtn{k2$QomZ2MVsb zVxKBt5#}0pOyR4zo{Z=>9vK%0%Lw@PK7LUy|NdJu1nHu>$<3lhUMy}}nDm};$)vd~ zXnQxGg2%J?OI4WJz78=cbg${Ji_OH#y(4Rvrj%<8 z83!Go+x)?F&P>R{wbp-IK4SD6>V2Z>vBvRA6lv&dGb(p5zuDW+`_$G#X#K=oodrPg z3G|b?UL9jZB6g#rmHb@xYdEE!mC@Rx^${K|7MPZ~ATzP}ynP)cYl6jtV}xhUt?&Mo zwJ+#o25?=|XrR@Aq&R$S^~ zJm`<#-L%|qReT!|P-pQZy;0a@7MfvB%8HxpsuOkvTyYiNPBkCNs$YDU2n27G?)oV1 zfLWHUHooR>Bn`?)%=%>>xcI3NR27FT7+xFxkUu{SxSzZGJqKl8UHF`uWyRDxy#M>y z`qZ)K==&_n$@R(3>Nmg7OdV?G;K1s)6arj8|+kNhjG|{C4mn(wKff;XsEFku z9vbV;pf>XFn-=k(Pv!;m+US3*ihN|XeldLirb=l5FK^(T6vOe1`mz}MPu>XV4Sh@9 za`6^c=nVXdNstM&?HAZPnMu0nW)qhQ6Jro(7<%)pZ`0;I+0*t{-JQ<+eA{*mm3d@a zgyu>HoEt)FsBd(O(@|iH2!}w@)3)EMZIEKoH<3#7B)aRv?hAHWCB9d#?IyvuqX;<1 zin0K$Vr&gBFpbCR+s3UlUK96AHj1+ANy&5;>-9a=nRtdGT(@s2uFCAvtloyVtty}z zo!&nZKY66UbF3+waiQ-Ue#kvE#LqNSyKf+sxp8(GoZ`?WH{X#vJaywD(cEfxT7-3t z5EE1A)#(kL1N+wTs{)!XyuYBVqpWFQv-90O4*3YVJ{9vf)wk)wYu?0T91tzNOM~ao z`m(hxW*}e?0iQh$uijz3f%OFahwhG*4TfIzdx7UQF`cH?SDs|Hv0dF4IRihR%9xL( zx<3%#_`k()Z_u!i(Q~prK}^HQrsgM6SDJncgkhVOOxfA0>S@*2Dib{AV4K}oXXW4x z{}y1!&WOUdX_1yG;n}I6u7s-X#8y6U6@Zd)+1ho#9NFB2{=jmxzJovXfT20B7zLmI zRqs0(7u5Q|5`<2_(0#h(O1t=~pJq$GUPih^PpNyTj2!gr-L2`?r9dvh(cs6DfV*S3 z++{Cx*nH&20Ojae>Bm9J(W})+X0_tXJ-8LjVn=1&`n(2-fS*#PmtJsxXCvl@omAY> zn-xd&&#BB-W2b|$6J0?3Wv~1s=j7;DNzPA|rNYj>&{vDH8^2Ec{NLT0IT_8T20d%t z@Wuht)u-=)f&pRuA%SD%;Y7b@^aQ#LRF+3*JwVHEGIwMgtv(3&(6}zVume_SGF|j& zt9FAklp{QK*iRaM;L#2|^>5!zw0_5Tp^L=(BqDf&${m}Csa!fsk&>n65=}JZ*efqA zrKtas^9y2Z!s{-Sa%S9{CKdOLiYA@_V-`&`d8cJ28-Iu zlT4Cxr8>ijc9CgRhC(>1AfkyqM~N!>5(!W#TTw3#+dyA8X>8VkNO&a88Ja&rpXIJ)BOt+TMwK>2F?VLdJT z-;e>@93OG=fe*v%6O*az*{%Cz<&F`QY&8Cpr;A~nCo5`Qwl&Io+A7JX@{j&3#>RCO zuicOU)O!))2|5*?pjgrU;gmy`oZH;J> zh3@89=hD;W*)H9j+LZ?*Xg>EMGSA<3pl70juh0(?GE`Usgvpz9S(M@xx+ZqoulyS~uG_0%}tHr~xPO@=Cn4SycpZCjLT%Btar?t!C&%g>Ib#AwC zhzamqeq@0knwxyI-cudX6qPW}1h29SwAc;{cS1gmb3i=gs}nl|C2ek>gDXMzbUFTC z;wWaWUE7TutkYZ}vWPMOS>=IXJEEG9kKH4$0%Xq320z1e`W@L3*<`LQo_HT6Z~B_F z4x_x*Mg|FW5*(*+*|$@)y0RHFIFApQGEb>V|nBw&`Fe% zCHQMLHqoy-D=O`;S%T1n+V9?DrsNAMum2lUvl}BYSD7ZK0%xfkXxK`|9TqO6Yd#uT z-|CCRsk2)bt)q@C_T#*)z!XM_u6T_uT4>@y&S1kvEncSC=0>ApQDW?#GTe)70O2Ki zyF$A%Wk)vfS0?{+tTZ>%JRnAt#XYQ%IAt-(&fXIss}KrR8w!C2H~&6ez6eV| zWAn)bl;>3u(?5%)Qh8^{CB!ghp1ANt_4TN)K-r^acWS(k4mADJOIpmQdVrMCwN~n^ z8z2^mFHdQgV1ATx;LQAUtpZ0cEl0$p^APj{X}CLfe%&eCaDjL!8&Bnf0rV#XFs7JZ zmA4utr#R(5^&hZ9d2^34bpRFIS=%fQyMX!buUlxGOMbJSA-kP%Z5HaDwS1e01VXBT z+zcMU>coU13R^@D;!)UIF4g=ZR!ROJmkMALlmq0Nw~3ul+zsvHZMFS2(9LJ|hWrR+ zQuK-qco`Dk4{ZrPX}%`~eG|w;m(V}Ky&Sx9c$VPvNsmcP_DX;Fg7Fk{13na){HVw^ z)x}|mdu9b;wpb_`!~^AQJ(aruwE41R?OZ!H^1oGXwVt$YRL8jaF{&TlVrAY8uJ~a^ z{mJyGQj%^ad~h0(>t^dpH&@;;wkb4Dju_y}v$vmHx=FN7I8&6kdiues5;wmEY<98s zGi0Jfo-SFEs4GE#PwbPW%Ympuye_qpq`QEuj`nOmT{2XraMdCL>w?rx*Yu&28gMV( z0TfzDweOtEFTdw-uOp;yXD*^(sixq1= zYwV*dxLN;hySk36y#g3*Xi4y_(eJtMu*Q-QnV#?)vq1)k!p80TI}jw!IZ|%uw(8^{ z-4N+zC~x|LWOi?o;|HQh_b^O7jYl%VSpscn{b%Gus9;BWECHedDFXgTnouYI%R}4% zm+Zr9=EK0t*3Dxj^eW==u^wjQq(&|^vh_v{)`fMIz|!FHL7VDQG@m<=WLV6EvKu4g zrXoX_DJkQ~yN_TMeneAy+x8qGE0AKTi!ZZ2?I}jgslpxpJKLwi{o-v|%Vw9x>mOg! zWN!6Z8MdW9;vA_N*%G0L3|M@d6iy-+5Ft`@R>%TGw%P1l1T&eT62X=PXpk*l*G5SK zbR&e57-w>k9W2y@W3wWE3)*EF+e8(8RV!=|34JctZb+rfc2$EWB<0{xS%4zFpeDe{ z4FvxeN576+Fn@Fb!*6Z;!i8L?HAf#=)gN5;{oOP@pZ$4!96J(@F}qwC^%oXdm{D)G zkdzl+)dF=6aVX65wMa|6BOcrx^{nU|4}hCcwJ8|Bbr@AtD~bFqv^aqC{}V)co>9DP z@o7`+a{}OZZqzC@ccec}-f2_4gu2Y&TkyQT1Jjbp2L9JIi z{Ba~khbr4Im-Y0R(?QO^ejG=7$EoOB?R#=(D~mu#_g4rB7x2m<`G+f4yf1X>Zp0TI z$LkjzUu-Gg3ik7eORofRzVbo=z?ry{^#qQY{c?RU?hLa6C#1cG!%i)i`tf zQs=FJiSrV>Elr!1WP^v>H9-2miux>((l15~+4?+Q3$`INovbxuP=!;9MV!_vUlI`3 zHoY;p8=SmBQT-F?_Xa=5%BQGAD)pLDhXCPgjJgjM9bu>E109={3=3EKbEAsz1pM@j zUkfoTp`zpiOly+F3YK;KfoqAZ?8C_(Ul$O;SpZizVTDdp-*T7$V}ozZB+J0il&=AQ zLDI_TdE}<~yI4nNQtSvQuioQ1^-FmiRR}>SOfGmDz3)~LhwU4RNU>->l_@M|?=Xwr zjEU^k`@F)!V!f(5-@BO)gcEjfloFN)QW<_Ix`;x1#2xgzKSZOFb_8gXE=q%_Yx}l^ zqskobFPgI&qjlj{Hex_@0O>Y;&nf&EOnD7PnaLM3-!vy$FpA#%7^N_H;A!ijH>snM zacsi!PTA1Nrs&QXVD8#-74nl8$B6Tq?OEAEQ^Y$j6ui@mt&-gd_!2<*qS^kF;sSf; z-t(#R?sEemY7anG_;L0PDntR($;iJm3vu|^GTxUZOIj0c3X>OVIz1iJ-#9Pi-Chfh z_lWnnWK<8zOKRIu1XX-)*HQ+yvMD2>ZP*WF;mGybIDf0QD_pDu<-*4yi ztsJ#R*Csl3Rmas*LOxI+v_f?Mf$fs)LP+LySHFiUbc8VFMM1UBp7HvvvSZBnPMZk; z?xeSJ8uX#9-DN8MdS3C++BvjA^?gMdZhB{1(uF7dYRC!3pkjF#ztY5meFFERU<33Z z*AQ5D=F}1LB~DGoIhUhWV*&y7hJ6V;DcUso(k57ngY0DBc&hLhY3g5+(erp|ms6 zjJm?@6`N$s6cLzl^zTgMO|kz8J$r5I zTt;iNb&Wm|RmRiS#l8>B^$Z;Kjnu68HkQghnmy&6m))o8-P~;~G^V-^E}f;?ng{QG z%N4<0=GAEYcBqgMpm=g~2A-8MrayO8T3BdO{svrl)K}ZN`R0x{RY=ISyCdS6mx1!j z4N8BYBFE6WnkTdM9!6c+hjcdF7%@D#XR3bj&Ji@qb@86F1EUol);t~a>us*4*JsuD z%{*3bQk*D__1O7Dk`3cjf>r`;pF3ljxV8(hdTKy*f=Ijw0eL*&*@rL3(%$Za1Lv#r zRA+vj7|r<44&g0cTZ_jlq4pHc-JQLCwej~Bv7Q_dMg_$y30d$#f0+w*wke*wyE=7S z{{7+bwBLw_zCS<9bz3K>oMzI)^4f<3tP}2xDm*>-Wi!XE^-y$4c(Eus(^~wMO+wcf zaFB?fO?bPab&x4BB`lH;Bh1G+g<KC>KhCSPjvhwPc|v7etin||-) zYmd29C~iDjwTu=w`8qbO204SGgc<;tF+S}9*N3WXEk>KsW4}Vp zq#rE|>;+_J>E%~nV}NJZo=C6lw#i@p_Ed+uB|$ZmZZ_=;JXbt5;u@=zaZ~v8j7vU# zkN~jabh4Fi#-lVTySu9RD2F+(l>)ppm6Q7f=eA(Dl~+8R&+ewP0R?3;yf#`7;)BTL z8*5KvxkDyK|AJZ-e9%RiQH^Vd$uP$Ehbb7Q1E@t10yBFrxtZ8grisBEK`9Mr>^?BTYm??rzrq4KaU~% z27XE}%LKeIk!fw@>8g8Gc>WbL8lL+$ykC#&A1uZ^(|5a$X_hA2FEAy{3W(DS=LgvF zeoGDiqXKYF3Q8*uBAPr7%{czRx1qB=x~u&jDqYP;f%wOS{jZ|c>}e~dwr#ko^MbCk zoz-a3-otB)*Vq{4o3hO|*U@$1F?Ll`sZWbU?hSlxtgrO?7C~7(PCVcDjg{=Wn&i1-oG~AD z!`kZe4^Ht)`KqV)N#BbhE5G*bBbxrd_ zVDT0kw*oA94KO)h!;Spu^((6-ZAvtF(0a0tWU z16-vm5fY@_Rmev`1kRMj&XlMcfOE@;x25AK##pmM_`Z%_3rgv$+(b` z^#0<$CkUOX+?(|NNRtq+oQCU2I_CZ^B?GT>HuUEe=-O7Dp5;QcTJ7N=Ywvj6k|;uY z?U|~xsJVCYxN%7}h3VHz?_}?BNMO89C(egBTo0uU(HwP6Rqw}R^UfL^l z2}&E@zVo{+>ccUf5;vIbx_o+%th5H0oO;M=EQ?|_|oQY7tY ziCsIb*^@ihTsIHUlge&J703EzTJ`n@gay87R$8(ojJL8vIsTb1N0Q8=-D*UWEqL}B zzwUqb*%0xY&wGLBop&SWrWVzoBn4k;zQ#Y6;bK8cUkPySotTG;fO)>S#)KhHSaQay z&3GIKXbO~jIbG{GiMnXH`aZcpgA`um-uY(J?5|y?o2_b~;&!xkwX0XqqOvZac-XYs zXY1=`>*}YL{C@p}(hs=55Ct1-?_lg&sNqoUdZ23zw}l!S+!nLo?Zfw*JC@xPHh;rA za*Tev7+`N&U)}yY$L(~4`^%67bMVo{Qb8jV-#l!xOXn@y`uQ;t`KA0}l?Ua%ymT

N|3Ik$%1W%O<<9slVn7jtSuqUT9garSqmB?VfeZe+&Ju(f!}F{AoT>75Uey9+cA zA2)O^dcq7p}lER0AF-mmityhu|pWuV!3IFf|Fg+CzFYD{gnz5GRG0%nN&i-D_hPeKTYeBg{ z_Jgj;D?wlTbyOPafLz5fVaWeCj+)r>g~oTgbbJ2HT>*VQGVy>TTG&?$>l<*tF5Bv; z6Fep?4Ox>G<(nP zr>}!`l^XukMz?*0I4kplvuR1^p-17O8CpCgXs>c#rxdQRXkE3gA8hIXcJQiKE7fTy zWaG5g71nYUEoa?^gLZ5ohO8)AmVEjz1!8VjUI~J&?petR2y502OT8Q-NxrUXqqX=3 z>D{6HOew|1bffz!Xwf?1zapgU8)6kowkbeVW2H0Yc|&k(p}611$EoaYDNjm#ubOKN zi3I)}7HCfDuz#f2o;Z;-b)rg(ar4ad?>lew;3M(yCeIqrs9{0sZWC6!;>Yx$N6cW`9^Z;8zhsF6RDNP2;T}m?&GeBx+|ClkFcDm2{jB}8ySv&O^&lK>I*w~B7J zHd!0zMA-3-p~&4R>}#?WAIvVH56|#{t_;CfuH0d%pJ};XQ;=k#LskLd(bV7{RL@fp zi7!Knfi}q&C&^cdvDzePj!q<7qYA6 zf7!Mg4@QVBFdXM5?{FAAC^UD{OfcSc*`0L<8}(+K6Nct-Ah1#`<7Q6Fh>yGtr>|gF zbldgTCx!28KJX(NSNh2=0qM0XdN}EQh)CP*fc+sbQ@*`n7@^H$6y#F|zznx#vpZBU z1X>#VnaN8>pOYPKxf>Vh>c7GQuQqRC!FDFqsg!}{=)5hg)9E=O_uc!SHMz;S1Kdjv zt>E#8Nn>=5oLDoD%5%9V+~^&h4;20^L_xoYe-|ns0nmta?QHhYh!FT+pBXv+huKNd zZEi+)Nb}e{@X2}C-tjI3=UbJn*j>wmK{+mvH~lx&CPa8BU!IO!kf?dr=F!m~ zo4>`@GdL~KYgIap=vwiO8oESIeB~MQhZWm+ zjQ`U?BbWizE?T3igR$Vb~uH^QQY-Pry@|)G4oS!d57kD{eS=UCWQT->kIVu zT)1Bx;(dw)Xs24JH!^U*Tf4odQVgt*riFJJZU#f!>^Eg}&x|UGgzd*^vf8 zj58n8@N#<5j`~oa zf3B5C78G>fK-cMTPlZ`MqZBu^3D4T~HT2i6!hq#HzwXstN~on<`Bfma{T4Jn7SX8r zo?-9%8Ew?cENUfz`Vq&@rw-q6rC8n7ss8JNv*}3rMck-Zzd_u9ax=zVekJYIy5NRM zcZTJbgFE9qiV9WD|1~>vjqMKY(1j}v-I&PPIf!SUA&gJ<$C~uhVWg+OQewHStCNu~ zA!4_;bW(Ts<3>W7xSS;O>gx7xPZzvD$?(%iONq>rVWE0)tx4gfwq!e^V>Nur-bxsyrO)XUacjh6{SE?wdtq99mYIoZ^TRJq}VC-JF%xxwIa<$uYLLc`0r9^9kLM#<98-I&OZEfK8Ps8tARUj{(X{D z>kFkxUw2BOQgpS+I>2|D1YH)F6KU8F{!I4|9povdeA*Ebj(EJH?&TkTQ+zh}Fqmg` z#M3|Y*q_hU!^sj3s(K-2N52|XOjZf#t)?jGwC9@Y`l1LA-Q<(r0I+3m5SW8z9dSkm zMbSgu%=ExYsBadlj6&^7vZp8-RGYQ#HCv-gw%#8kpVuYdMYmqjgjubUSny|5KoZM) zHa%Pb%;Rjs97n*_d5qqGlplu+t1bQ!^kEAj;LIp}aF;bVtoIgb()Xc7yINtU{V6&G zlj*WP0+2K47LsxT5r_HY$dv4FvWZa=3cZ{CQamw$SsiRd`EtqG#Ef3fwJ) z0oR9{O!mesKX=ESWhNo6y=F=uC|`Hbk1Kz2?JV-VCdnDMG!tL6p11$p`h!DV_88uU ze@eRuS z3T^joCAwPpqGL2?1j_?9$qD$yAdWZ;bJkEC;oX_ z84JY=xICbly-6XeoH$bvQj3YG1NvT4ig|&5uI(|uK>`%@OD$?$WIW7O#YNKvG z1iKa3!h!VqUvXg1L#tm}98Bk77tmGSwu2VgT*)Bf()M~!=T^#e<`}g$LLr;!n{!KN_Ph1Gqr<5!uTH>!CF<0S< zG?Mv5klehwKvOaJ%Ruz`3?`s_uv!$U8_CHzsBN9Vn zq|j%02vcbf_^9Mg2x_9b~e8_H+U<`)G#8;Bqz8ZJ28=4hoIWFVcrM^G4 zd&|5klgs{M6AM)^Gr80GYRyfqD`ymAhP&rRfYz_ zlM#MW-%&->fWwyb6v((&1`L|x|4qMM280a@|Us>&|$fjD?%mU4YkaAFKNa#EjWT&$-TT=XM>2p6toH5%MV_r<^fJ1Bw2v~7qm%Zi z!M>L@DPz#$Apo?&hQS6>W^s#;ZFppyPQOi&4XPkRbYtpfXenLeRH3G{u!IT7sn@?M zmi<1n-P?d;tO}LKgOT9o3dNBd59EWDHuIC+C+fv>RC@L6K?xJROO#g?zqj9wf($0{ z5-h;LtD;R^!b`#{Z^_$e+Cgrcge35AqNM(;aH(ZtCEHuQi49o;0Ve}l)#r7{ON`I6 zQ4h~to2_&f;+O4h$_cwxe!)Pi*GJ5>z!`<*X6-u{$>MzIFE`xnv0l!IJMMZ4+d>dF zEyY*+3c*Ub8|A})ROjt>wXV?0nND_EJ8WS`|DRM5%iCgJD;lqJ8B6Wj5b~Bo#zdWw z3QBY8Ot{ADt?trz8YT3Xu&xjxX_n691|*7_ddH3dfKgB7*%1~4{rTI{%L?TyyX}iZ zBE(V+y+6Bz!z?=Y$L%7WpL!$a9b*BpMK7XX_BxO{l%0a}bdHhWLC&TeL5eD4fRz9i zUS{`!wBBXNIs1=G=gmCylG*olxd7TZ5qWHwivhbG_ROrAeq($<)BYdWA*>-hmDTBK z$8rJxYzy%29pV)cG*F9tCX~~ru>Cxt?ao;lYz)-BFiC(QdFQNKK@E#pDX#O&W@%ik zA9GpFWI%i7ZRe{7pjcCQ^XB^~4kfwibjPr$u|F&;G`5llG-_{NoOF!eF zoCY~&TY!;j4EAG5hWBWH8FzYP{$)J>`oHnenkplf&_rcJGt14u{F_;2vV7v<3p;AH zuoD-3kpcDh0yG_njw>^iB8*rH6Mpld1wlcrP?Kr7 zbXO_ZU}x$>`F+>DDj9prU*xrYJK}rm;eHWb zVbOQrS5#&3iImxSYJQE>epA)Bav4xxP0~!Y{6=@@{|_z9@AKcshM22BOtQuVdM%f) z;-cSY@U8!iT)k%yJC$Lf17#CidHZDMehp{utq&fqJvlzo0ZkCZB+EOl6C}E$Sf`1I z{5etx;KR59w7!}<94f=opH5K4MQ=9;CC)n}1fHcQ?Lv~ds#C>z=t+B9=ww#SMPD(c5=WRTscXIAPY6nQfj75|(wdYrEQ!pV1d$%2X!#UuO3KGGTQ~UQI$V@M; zS=PS5f4xR2pmGc`MQT$Y9w~*@?}2Diz?ySa*5V*3DB#e(!+vDOlOQBEqFJI8SgcPH zXjhXIWQ7R#!HrplraJxTH-sgoL+1~4@D(Mv%C{&mtbNbOH8O)C<8MspslUQ!-SMJp z+oH;xC_$wCQM4YH1Cf!Xtq$pf_vuVJbc{Usq=y3(Jd$0tMKiQff^7wMgTObgb{Qpi z)~`1X7Yi1(Nvv(BvleoLrSEs0X9SUDEvk(5+J3mw6x=MR5>L>KCl^Tv0@7`CP>q9D zeYW$}_<0E*;kq0Pmf1mpN_K;U}KaT{M>u}_PovGua+8PgUL-+RSWNX8?wZbJLEvk)xcS$3G*+uk8 zx-7zH?Gik#mW&EgS*%mDLx^04^l&OS7pDwV1 z9~0rXYu7!~Jdv>581hJ!5|#Z>V?YGmsvU(J1cJN%QW@X z8<9DucXVYvH)!WP_cEmnC+()SjG$h47c{^W+7?;@Cu1@qItdK6YfQuc&1HRN%u%#u zLps}3f-BDMJSFW2z>MFUjj!+8n;FtD(NMR#nW3UJ> zY>k%l09 zcSK%F6CjlCwypDTyHj|DDfY6Sjz2Vb8fn|cu?H~+?bPrtB_O{qe(rbV)d)1Trg;Qu zlLmgcQN^-H`bYXqXKs&O=t9jJ>zY*(e`z7buSyStksOB+4aHOAW(+^=#_xlfNiWZ@ z$cEjk%}!{$f-Oimw5;8YX8}H!T}C-stfnmc%qv#5kU4vz2i=x_cv0?3o=UNPE9pY!D^K#o*@Z3%EX5G_# z@BP9*$3*l>JJAxZnC`lKFMmlDcpQPVNXeB)V%8^W-OM}R=}r83`n{($%NGtPCJ1zM z;zTzp{OLB-$8AS(T2ufwN%R9Z{W5Xbn5KR=Zh~yyX$>ya;l?}mWx-NMzvyNAn>-#` zO!_?uNX7EP1aFA{151Z}&j1hoIeYUGqU8xI7WM-dOQGp+h#*$m#b(MO#b&2E>tAsB z&yabAMSRH@G&{g2XSn$WqeD*-UL6uw0%T-5Rc3CGg}q~(MADVi9j)yQU_ygRIfS+X z8y%=k5NO&m1z01C@rDbeF>9Rc_`JHOKMpTa1P@U5j%V=mlkZ^~oj*LG-eOC-OjZDH z{(LVb-lO$ZN#yqHz6rOiN>^4O;tEKh=XCF_k;WFtmf#@8K8VS3C&GFUg;|^ft_jn2qQzH!igVtW^|# za+{+*;=q=87Bp>gf^i)QbiKZ7w+Db>1e&FuspZBUg_UY024Hwe9xjAaRCX6jc8C_i zwEbj8%`;GU*-ws%243u29-hiSx~N}m3A}6VPC6q{x<5Fa{&S*L|UR*#=e4v zlN}Q7u#Q{k_1|s%=~|RIh`abxd6f`MXS_-7x63pOEGqGH@QUz~MTgX2Jk?4GJcIZX zL({qwA)3Y8nf35hq{^&eqMGriDxW8nZ7XWI#gPY`z10lju%;tbK8fn?ju zy6WV9#6{Eqe+m{21=}mQ@<>l!ZTYd5`6EK8AC|TZo6rq}ZYXLY^9ytOgNFJItC#2s z%kWJ=xo7x$!@WyEmPT_Eb*cT%>e-l-4&OR}N+4?gMu)GlJn2JfTY*Y3Z6jpPyG4Go z)nYp^y3lbD-zpb9ulC=qO%VbWrQCq~r@*gusQTu_(%@!bgAK*_Z{tK&MAmA;;7jqC zo|DVeW~ga^4nyx`$5)&uU4*FJ9lGqU@!@jp=+bVeRxA&BH*X*W?59>qLBB<2A~${k zR?MbUFD7(yF<;DCrv?&Q%_~j4t{#@BcoyC4ZiX1UCO%6JBxHG}1hcBtOG=fU9$z>$ zyZY!@huE#w>7yUTJ&`^8;l{f|715*e_(e&BVlD5P__B|q;*&t{q5mWUup)dBIKMfn z)b&n}IMupf`IX};Jh$f+-hkipVH~j!tC0m!qwD>I$l6J3ALRp?hpCTwia!WwKYSsH zS7;=g(I%(z>m@=iYRCAX)bXEjy5H>&Om4C`0qy&QdIQ^b%QTf_?8FH4!7m|!uv6&E zPd?*pM7CYr8~w?(xXbOi3tZk@;)DN+mkZE4HD>_3D^>=rOL|8MrdhLu>EpBDA(W4* z=$t#Edbuy@>(pEljJ<>*iM|lJ4n=`UcHRhh5+BdGt;tyR=q?jk+y+8May>n>^WgoD;m8ECc4;Rbmt!;&Jm!WS%zfEa_q+{$&(S)`ky0H|(*Ek;`z5jTpjA&Fu>t}slqVi!M?Npqe+5xh5Z6Mw2 z+QIAJvfO$Sy&r9qVb{nD^QG^;HY(&MUEeXJ?;7rbI@1Kg-|IKR4uE@d9Y&F*)kXf< zJGpdll~-4Yz|!MnquF6a{i&GIRx4eK8v_L52_Qwrl6u65=y`+)okx=l+R`!G9g6Up zt;C!^tUxNOQp;UZi<08kg=C= zj3|Ug6)O0xqiwLFb|ML>69j9DSO5EGNnp7+8?11Kp}{#xEaQF!87mzPot_IL=Y)|j zg(+czZ-B;FNe@z8O6P_~H*96FS`Qj+YI%5BVcGvFiVTO(X`ss@;4@5)W5<}xkmCW_ z4?Xzr2=p5HG)(S4w$rn%PQmT-DTZIR@=P$R=db@d?Vu*&rKtH@ZSuY;PG)z3BjiW) z!X2yx%$_e|JQvR~ceuW5Ld(t%SBqli9iP#EC<&MPRM zj+TA>B?kQV0VZ}LlKy)M>6m9Dc+%}RWGX9SD^%@FR24@ zF2OpsV}-3MLHshdwT9O|2BG7-+fksG-YeGEKymrEx%R+&r(PHkH3z)3^bSvmWmc45 z2YGbv-2EBc+Ff<>;c9gMZ>Bf;2@rWtAm|jgtwQSC$H>?A5d|Zd-g_F>a11FnS3g}UB+w#Ko+yv@}3k{2NNLCV4R zQL@z&brER)#Ih&e=#3jt!I0<~BxE{;Q-gow*FOON94B5>2)rDnGZ#Jor63{9IZWeb zv2YGS#=o4@wBrGO$4mTC-pIRQyq}XyVdaomsO5OD3(0%E5iYn-c>ZZqd8W!&Ammn& zDB_#GK}?vR*|+;w>QJkK3p#HLfL!ZUJE~Kq_dJgO^G2qv>SOKI&(fJy*-cJGr^%rl z{0}B;JhXw+;C(-WnA@e8Ew;lL2!E&?jM}4AUCo>8@`Cw(kLl>eP zbO$d43GUp3Uz}LI{5-;Wd+Eh>kVX+e!uF>bO2ib5Fq^TNjQ@@2(avT!h_H)V-dvQ7 zpSpDCrSyW8L4wbjkCvWXwqrKQuY8Wbvl`oa#4dM|Wccxbs=y0mvsF6Auqc)v@PA!t z6DIM(Iuf^)su1F!s@)3}YM_2X@U$pLrhg2KNq_QoEGpPS#2bF7owaB4i6^I;MEkmS Ln#^+Hu(C3L z{n%K(l4`Of^46~ABob!Uu3vR)Nzne+gm=OJHPs07zwG}`nkD~N<_Ugg0N-V)c5eha zQbE0$V9Dq&8F;(E5KYdE#0?QhiV!gAipGiWI!dxsQL9Ypr#aYU^iI-Z7EDo1eCv*u zR;L@<{Ja-@t$AJdsr9=Me0+S}^Z~^+3O#Pz^4r!u8ooL`tXk#|M;tHtGEBqFE1F8{padg4%0lJ@*=(*i!vonGh(KQEU#W;esnOZyAv^tTH_81(uTO--5_ z=Ykpdeg~2su7TxtphT0LWSh9IvokSg=7_j&AT>n$&$S8H=JLq9L z_s>D92MgorRIFBB$U|bpgN{V!{I90d>2dG8^Ym)#%NMiljl-8i*;8`o%V;aN$!2qL zdOqx`1(O?cAzP#2ycp%@ft6YFaXDYZRUeHIrW^^-HF04cf8O@X`HBOykdV%->_s@y zcR3>*nTr5JJetRvdnh3R_;a^O3zUkagDA^=yK%wZ$#zP_`U7WSill>&ODK>bLuu}G zZYCwxTCp9L7{y~@$$XN}&Yrj&j`#Sxt-2bdf-^H{LS?I}Ch5%N)tdTy>lH(LxB!1b zx2<;Jl(-f0R^l=5KI3?p`B;+Bb;(apb#c1BXc4i=F(b{M;>K8d2g{ z*BhSX95w&^`?uZU-0hhrJMMU*n-k{tbsRt3I-}}KXZV;?S6xT>?G1&rwxU@&yMO)f zfv35zmidsdt#1U|fJnP)_M61hN^vgy&XjUHo3t{hWjFy7eX3-7995OkfUbf-PvD z{*@k~CO^>@{37|}&13hD{td^quS;C8^oJ8?#C-TWo+ZSGhvL4cH0Upuj#})z5~l)j)tjMc3qd$BkJkd`ulCJ$A!NWBQx@*rZd>E z_ecD*@x?w!$${hWNUt@c;Z`QOT%eI;*?cCGy|Zr3Jp5uEtE=(#ZDpwA?R^5VJg0s% zhBka^?Z-rufr&w0r*G>8?)F;e>s7k@G2r^afPCG<`+Y?1!pLTlmbl0BCZ+TfZ&GhB zys_i8fw0rz>HcVwIY2P&aflLMvE9BISFNX;_tpDQdkYBM=zf)xJ6jMu_2738uy20^ z-BkVc->~J%yBXiMV?C^AR?KTS<2Er+d|scrXeL@Md<|bc+Jx~NIStAP+`i~OKe&Kr z;_L9)vpjMozKs@h@uDFvq1DTu?|xn-KE2pHXuIffK?dF~TkvgXU&qtj%lo8Q3%{;< zPy7N`U{o_O28* z$ICI6y{J!FuCLs3BfMlb)xA8woA>rcb%uR=??+MnZLAg-R_}RuEaT^OYR<)3XJg(Y ztAYEt6h4eRZ`F18C&6Fs`@g}rU8XCS**=U5KObr*@weNKK1SB+3}!w5ZFSxXLV_0-po4m`&R>WxSDjh=|5S##$=TQfPBHXFHj551aSp3%obeZH&gU$HY;>9^h>lLoXkkB z7;16a^X!erk^|pu0*Ka{$m80(u18)kY&QZx!?7Bp?=3>eH}2i1CnL_Cx$95B#&oXV zm-i1pI~h^8O{gcYmhtrgE)D0GOxAY%*Q2rAm;D`fM5k=VZw`1M10RL`yzEP>v+MoI zmqU?s#JcX9>vX>hTm8%|%7S`nJiPEKw@4MUzhyB|XVJz-;%%Pj#zlM>Ym)&*s)9~1wnta=n z{JW?p{kfB6$*n(Yc%G+Y>$>fuN19>kQYZQS+_)d{;vy^M^fxoEW?b+-b9}8$hvmAM zT%Phk-SQqzmpX_Y4-+HF*}h#)FU5cvcm5WK3y%yYn#Dcp$6JAwzzm*~fd%SM+kt<{ z+pLY2H^$ggxiM`YhdkSOIlg?4SGgaf&;%Ve0=>6@ z-g!@zjnu%8snulSfKBV5fJm2yq2e=>PB{Of>#|m7&U<+=7JFFJf&j_v93-pZg<8@xNde69g z!*f+k7vgGk>aSk5w|m*+$G;Tv^3CJEzyP{b76Z0DkK&5)R|p^fjnDxJvq0Uu@=98e zc8+^3_r-?~#>-x-V4cs6mTOURuQ>YV16?EcdITF8Js@hlNufp$rb`2ep$mK+%)49# z!<%Z$HA;tqyiFbe>%+p&gYY~@mtIY@6?%d5e+`&Sc5i#^3ze$z1Q`R`O7>9zXkD(v=0w9dbnd7F^C3S1>p6|K0b&j-lt4)E+qJc2tUK{8AJO}%Q zwokWHuDC8U$vh7VJ{ZMIA=CE}_64nU#^;H)XP z+ts0H_75?gAV#BJ>kF7i(Czyr&yzq7^~P=Uy3^K=@oD>hFJrm)1+ONo>LWSA!u$NO)ffbCh^PY%nXO8R;m+qSuuB$Ol?Y-3AyBfn zuz(|s-ut_JePV9uo}JPeYC2te4VuA)3C5Q72ZPIOPUB_w^|QAAnsfKRP6H3&mqM>B zGsj9Swc*Id@ES_=zaMuSnUOz8tw|}gBi+#7Cujb^ds%Aqof{K8f$iF!)rH0iau=bX zf;B1^_+0fex^J6w5^k}(WE)s2{&jxUL66JyJ5Yfk{z#mrs%gAEGeu(ZSNvi~<9{6a&Zk+%_1O#bSOF=5yKo z2zf+QI{j276mbNllO2W&!o;-_EBN>9I|VAvPYM7^&n zh(m^Hi>bau6{4NxlEG@A5^w2XI!$Bj3CDeCM1g4z;*)R?X?Kiacp$n|UK)4m7vqneQs07yJ`U~q!SDzXmA=XGlQBoz?`_LzeVo(k=`!$hm|WPF^~{#H zsKd-}(P5G%oNkiUC<8uE-hCO%s?;ojiB*YInj&jLp^(cg_ABZZ+OabVq_FqkmGWW3 zvEXZhf0@)hFngadsHe)nDuqPEz;>pqqqlu+sEph3J|k!X$ug^vQX+9}#VX(5%7gUO zSU%wrl^5H>*>gm-TDDrUEIPx@Ni$n8`=ES7e%e-85zZ3A9Sb>*7d7q9o`A;!P}SXp zt2@Z#UQd1<9gihLu1Gh}a^F75m@R`dmiB@Ee9xa)aZHja3;hkD`zjq&jb!x@@||o` zhS^mtj<4YKmnKmHo*>ugtQee?HqoX65Z+fMi#0j%#kNDapv?4*x;1=!{adX1+BJnl zh)V#<(jbh5THH?YT1*tpB;=Ak+pmnf;1)B;DNHp`qhjfiCZ2VgZfFdyFNGYoJyDaZ zSjqgng12k^FTu9ED-|0H4wgh!iOi52xk(CfysV_?M%ZicwgUO1Ta_xS!yD<2T;6if zQzC0FvYPUkGyqe0#1()51sybc?LIFKuBhKvB(; z!_mXj$ERZ(axXn;a3{-h##}m{4YZdkZiXO!R{;Nb z*R`VAH>^zEE?F2w;sk5aF_D7miM)R`!F&2gON-<}5mnX_TlUip4prhCClfx+?TtEB z)=$@%7NpwsK zVN|wc6vSXCqq)STu^b{276IZk%_RS7hqX_yhrt5|FJ|IB#N$7_^fJKZW$>@#{o%m(x}0=IsQC6b?ZmWsWY9%JO2|prqlCZ=qXTl} zZBuo#XL+^U7 z{J4nA=2oQ9t4I`Tf|>&0zTXs1vIts4NlGzL5t~_~CnG!XsUel6p9P}j6aknBfSCZK zzwl3ODe?4AeJ`CeH0cOQ_2XH7qB1TNJyfhgk#6H}>U51Mlx(um%#|U=m{^`{;)qaS z71Yv8W?<+TgW3hr>^|1YEFZ&{&%XL2;ZE+j&No!O2+y{(Gk^YsBUdP|nZXUHOR{w| z0|VPfqV)&Kh%O4ZSun3}^x-;cb8?;YC9{;FtW7XW-qoa4e@ayWGlpfY(4`uH+R=yP zsa$-;tCn4fnD}K+dS0G7gQaD(20?*nM#)jz!e>fJI^96+vI2z((JgsEUyG2)_Y&2p zLURPuYe#sMM=&p)!_N^bv0gf%oLNNu&Dqyowar=VT?6jBY45yGwphon91-0qCKKqb zq8P#-_eNB3u@2vxiFeanULLbfvP$aitkhflGCa1_nCQ=R_;^8{hj$t2Fj`EoG2pGkb~L`|?f4cV19gu!#BFZLBX**;947 z{ohszk8w5b*y{e`Z-ecLWKNV=L(N@76ls+FgrC57!srRb`y zOeP@{?eBXz{Z!d>!+$*6F~O3GUKmnQGUxExSjc%DAtczwX3dI;9>`D3@^Rp>tr)Tq$VAxkq zw8RZ(!2xN^hrgHqggOb{{UTmlS622o#&C;BX|hVipA2nONP)|#5jGYi)II!8t7lqE z?~oKN`9(?<-%Z<|3j7;%i$D?{Nv@e@NShimI`E-r=Tdr=xaW<_tXT}0u^e~vxc9D2Cbj86$7i# z$7_S51tL(^=ua$?)~R1QMTbZiVJ%o8oQpsgmi{S)VLR{6c?=)gDrrp#2hz zhNaZEWtVJN8!#-ZWA+s4q1}3;h zsQ-E(D1z_4A3G+X&d{qRgeWWF8~KZ_Vvq!jdrsBhk~qh!7?H&{f|YCE_P-1{s%gbl z#&@}v^`y+RaY~otlt1*m<@j5Il&fD4p%lRu?1z!GPGguBk3=XKm;mJ=U*!{`XF$@_ z33GBV<-9yVIG4ITo$yHYpRsW%gwYsQh#A9|7?ZM-z@(udix1nIA$VMpN~EeAuqB7d z9nI1jYhkFHIG!@-l@s7kq}W)qfhDYA@V0Y^bvbB<;*Ll0l1NYpn59lxb%W`ZGida( zBgWyP6esHRjh6cA_rtVw>Bhug4+p+|arZDx4VyKzSP{aHm5G-lAMn?r#ys;dr$Gc^ zDzG6B^pc1!=g^<&x2)9%HD^n+JF<+j<%SEH& zUq<&M6Fq4h_H;~oZ6#CI>?NPOAr}4#*5}Xglee!^fr;^uil6VdxWN*JtaT{guAo%& z)AQqRtdVB2*#9{XQdm zea@zE!(tUeBU&~UGAjGi>qz3_#r@*sI2Kp>blf~`2(+xJ^5M{<+?_(0NB z;kG`)0!a~3eg%^%^kkWeWRBeR(k-<_7df5JldxPaf@j#uEw!U)KoSm~H#!Smit@U8 zsJE|byb?v;pnqi(RK+Oumca-z81qhXqYF>*oN|a$g58>E$hmb-cMiTQc-5Y=Us zcZBSGq-7wVXj=j=<2Tej#Ou#fSYx3Ojk0&1I`R;MH6a0nV8(yAB(5yQ?>BlJJQN0a z?&`H3+=F9$C*uRNmCK5u82Gmm_cTKaBrhI!%{Y~m-NlKr{0AlB9B!v%ecxr{nB!Cx zNxx*td$)W%n;kX5b^dB3K5zo%}v|SIE6Be0q-cM1?lK+zc5x}zj z57ocNXu2GCh%U{PjrN=$u*IEOd(rBHYNA|d9 z(o0>xC!*$)K;9gRi6~x}Q)yDcqh_L{BY=++@R`5PnC9+DG0YEy?8bAaSl)t56qh7Y zIbIYU(pL2yfLPnHbvs-ywSRl^u4VjW7 z5*a-tDbp@EgJjydFDA2)K*dBjs${M7%XJAD(?q)a7RWm<&6 zNhd==eXTc|u*leT;0H})do1|A)yVZ?g4M#P+t?`=-AjnUxy*{^1Er9O$LHz96w?fG zonLcwx}#19K;X^Nb3V4lBO@`tq$iTiLh92OdBmmHkljZOk+N}dJhfWV8j+@UmD839^E;}zU=>sq%uAV>wRMSE<^QWaTc5%s zv)=^I5|Ua%VP%j-EJ}CuVo*o1!!Z<{86e}v;ZROg>DMC(cS;6gXn|=XFl=<8dqw@= zp+DGT2*4+Cy<=*ZP>bMT# zUxufUinoyYy;{_tZi`I#3v@gs;=e_q~#2Oj4qf zgs=K}T33O&>4`C7Cx0K~tUrh&+X%knmQ|d(2mD=oKd$5u{f<1B$d?jW$@fQoi(AIx zCQpVfT+wd4JJ!Vjd{2L#!`NTy5|MXn7}(FP4zRNZ|rN_wpt`$p_p^w}_g&tA^=N7Y0ebp*> zk#o|li4Nhk5Egwbu5061!feUSMr^jS?%SgKq|3vSeGJ09nLk9WVkCJ*Gb=bDewe@Q zo9QZl+0MewONroMT8!)9ahyM7gEE{@T?ysOzDpkwWqwG?LMJ+1A|CS0 z9uWz4>jiO@6;_s5SB3N^2w{bp|CC^_9|V^GFf{6~x|os;UA9Jz>2$-*k(G{Pe+ysq zCL^-Y+aM}ky#mj1yfEQu?4S#B!qQmrOe=J$u#y zi={8|QKsl{jP#xB8K2k+MsYD&|CHCqyD7yzkH=gCfgPSC67rL}nm5$KqbAjAMI;<3 zzv2i!W-& zW8M){qG}R?w$BfyWwee`7?F;pTvZY4!ZuQ;StF)z0)qF%cw>Q4xLGm5>wa-_*(MIH(dzFeB8ipaV{eSumz57#cjKKO zHlF{5fLr+*{xI5i?!qDn50-SI(&QNgY_41V?rrh|dhjaYk5D16^|so%%P-Nn;|3$K zk9yeQ=K4k=i9yO@zNomp-%Rl;%<)zmm}%je8G%(_(`8z}X;*fv&|-QEju34a0J<-) zm`0>6N}n2tv2klN0{g5m57`8*Ycbtbk<$I6EY40IyNKkxc_T+@rk``trIUJ+!s3fbp-0WUH|UzU zK0m+vFXp<6=Z(Y4z({|2F4j>-x$vY#Qc+d+2o`tVzF=)n-bm!PxTH4j=edf9bC}oE zXK8OGw&b4lDdU2n{ZZA-F@{tfwFVE#HL-K4U6Y#TCdkGoZn7IX0E(%KE{8<_RN~fA zsh?8OkD^qF`Sit_=nTy86mMC$o~A=7bqc)?)wIE5U#s;&DeKedsd@KKw~55xc&c=z z?xKaN{G)L#b`l-m(k)`bEcwu9Q|)U8?2kFz2YdlZn(pF&RQZ)8qn95pV<;HFb_*PPwt*q|Tepkg9 z4dS!0-JM}&P4XshP$h?HTM#Z+tnmVgBK0*Y09?vm?5pKhZ?#<+VPCG?&@AiMZ|B&h= zL#Wj*H?T~Pw;qaA?WgftB}63I%qRm$54IUh1>e%H4#XG-E11D?N)I{Lpy=W-&48=A z%bb-)5VF~&S+dGs@(pAjx}n~gkLhFM7YZwCfhM}0_Wznu$=B|Wmq$}z%Z z8~^B2ioAp6tq9d1Bk^o=a6EJQ$YC9nz`*z)1x|Kmi3u~(I!AyxDe^($E;;-=tqAGO zs*IZ`W4<;xvccM_x70QtBFDm;>#K=+<>u76A7FS7 zjG>=4DPUlRTB2+iZX-A@GU^b6FN^&af6{hs<*tNQbxF6IFe+5Ou*)dHvA6s75&stB zhjiZB{)Kv};x;H@RhZK-T1N4aZ-A2c&jme+_+kaP>-aNQ+mRje>CNQ_ba*EW4uf1goxVT#J$Jsq_YSed+$k&ce+#L+Qp96!}il&uuQjPU0-d>jaV<8Ter{~p<6npP^t*{)#8A7 zr7kg1lK3g_0F3+G?K?|xTH-6St4wweoDQOv*CLy;joX=m`2rn|j%Y2e1*YxCvRp&6ML(9hv%# z8sngPb_G&=NZkM*zy4u8%|wO1j$HOkJ&?3Z$L5@<*AJ23@xa?;r291ysf%xr_>;LN@w+Hv-{*rU&voYft($@1n&O9u zze>0!`4MuZB{SyA5L7ThUvQ6|?JV72-_4^9p=w4@BPu>gnyA%KPjtqQ3Q#deWG^ze zGM2OkYY|ecYsQdbw&@XwMbzsX{RI^K@#gzwL&$vOohz_rjcL4v76qhgj@8^2S`YtJ;Ww^0_QX=@9T`84XJ@mLP+OsSK2Y`l-N>jS|5ax{ zEqB&pgDre5-BHx~Y3V1}MzZInt|UA89JG4!F6RAbu{+fi4dbz{|-#3gR`e^!s0Z^pye@8`Se zk9_P@Csr7hb=T~(F@^InnFhpY>My^0>LdMk4X3uPW__}a;G`|)U1jtzV#_CXD5EmX zShVZKPiZrLbf$WJ@-MNQk4|ekqp!7xigohotJ5}t_#y7^s>roT7IN<}R10xGwG5TX zF+ai%ihuN7hrjH7%mL{=k1ddv-$>i(A$VX#PYE2W?`2m44V3Fn!_oJ;!6e-bKBZx~ z-@gg``4tbIZyT!NbLL|8`Lp_gx9?n~7yN6~U#X0PZ~rpaKGa(EHhnoT`jZH1wI33T1I2_7#Y>DXv@H7?d&MH9N@h6erbRYULzQ{Pjr5iSm~ESH zs;%-{wfR9DtQZGf{H)f!=a3J1ROYP6;F97Z+fE=2)8Mb#{dpK^jP%0bwU|kkHyhk` zZqZ9gC)}5+xJhySFeDpxra{q&y@oV!Wbyx%$`O+cVzL`QEwMQ}gzRDun;%zCIO$AR zlAa#-D`%)w$-my>H>d3#vtCTvK;edYV%UF8%C;r8n;(@2)g8G%jLcQr2VAT@W3Tae zxMaJ24=$ZvNk7@xi7V6|(${iUW4#)862(#v#LPqYrlEGwej2<^w3Wos?tlWwFN?VD&T#VdF%h3P7gsvVMU?OslZpx|=DXhk@osAAi()x*ERd}R4 zYHp}8D9c)PUmYj6?YiuwGfF9dqB#{>X#W*C9d@kOKn&OG-vkZDL2jh}&kBKWo?Xlq z$3r%UsH%%>&ZA*WkuPH`x|xzCrVj~W#s*@viDKh326b9tIVe8<%ZOOC1k z%Pj{i_Eh<$>f5hbbn1<25Nf&UOSFcn80oFVYq`JowKb*3$2{o791DDeLZB2~{&sp% zGTab(Spp>kqJRW)~|*bhMg^;D4He!ViGUQ@Vsx?t=oHy8^)MurRb>o#D> zwMumuQr`lS5`*H{Uvg^hoG#RZU7Gsa=Z+f>DQbZVkHar08vVv9iCRvzq)IiXg-_er zp91$4I-qyUNXwfs^a>Y;H?bNK$=>n$cOj*A>VZJ?H%Mto=3W9dRKed9#XvzgG)2)R zi8@sxrwiROI48EX#sV;mhL;UCIMKU=>km3%X0(ck2S{A%#Jstr&so0wDR)vM$DC6W z#6-w}`Y|Ww%Jcx9M+7dhgT*1j!daH5JQblT4-r-%!EJ|OH9gZrp;1emp6w znXgxRCEyw~%7#}?7FD5_itX4f)cWRR?==Xzq(gGy;tf?UB>_30Pm-;jQrBy- z^T{?xio;Y@*p76LO&p7xhq{#G#%ov`6}VaXOhn-?FZ1lTBi>}7Ri^=W^6JPYc^N0u zv{F?S#~b*Ni4V3kx{*l>(~&`W0Tgi|zr}0(^@a;w7LuJ{e@pL7o&OEN{rr2GuB0@0 zX))w0aZR=+xr7MP7t9u0C=1V8Aa(vF}?iS+t)kinc1o-5z{*_?o`iD zoHL1XksR%klmbDQx#^_}UC@pDd@9u?*h(~5pb~WyGBmsFlpz5OtNLcIGVdY?N zZCYhii3i%KEo+^BK@rs1XeWhnzaDumOSi5dVk}lrXC&DxOl#P4UMjwC52(XB&6)E< zwyrvLCVUgBizm{tSk#SoXJJPaOq&a?TS%zGqvtcnzKEvq>WovbWd){Zq?tJ#dVxMF zY&;}=*?*tBhECdc&UhBmHwbcaZ6)1*f8XCg<~3ycES& z-0W3@vd8lnP^Ccd^*yb~KA>2xjYSkO5=qM~=2(Z81@INzhOr!{$S)LQS0)x@-bY?U zk&muDFhxmr%po94D33u?M-|ZT0$gHA!WK723UOw~m#w4oPi`c<+_hZNiWf}#0XvDf z0C?s)Qn%nt77oA>P5QR#JMZArv%>@&KOeQX>@LsamZ)NKqcjo1UNahfoK{XG5jrH` z@u;_zH7!qhhr|5(+%Vu?=31=sbzZ{Nh@$=QPjsEccPk+r^&?m24u?sy%L-+y%# z@bYHt>ZjDGZeo_*dAc`jT1Gi45r|29)Mm*N zWs~#RAi-t50f{s}hKVKZh9i7{S3=~;SvkT*&T*T;bL-(SrBvVoWIc!j!(LYyHX}Hh zHo_x^3!ugUl(Xk2L>-e8CAfqGrXjtEZa_s{SqW5WLqG;3wm|PHrEzm1Vu4GPA2H-P z>@SRZffOhKm}1KCCJ{e4D~~V=sJ`Ai<`02~D&MzPPvyWXnN(Hmv#6sO(0efnujWza zfe8Q^v#nMqh1hfr?_*}(CwGoB$w42sj)qKjXq}##8j#nklYHXM)idugrE)hs4%|Gg zcsc)|K~BM@phj*Jky%BKrrW(4!lJjFIc$tn7Z@A@!Cu1=>ZZl^R$74g0#qRI3VN;< z8sx{j@p;Rmh5vOk^)&Sz^jw`$-MgB(|9h+jMe84x&Hh*iJK7C)*D)&YA-7Tf)f-gM z<3xN2!?+C$s9vU48+^QQMk5c6;~bjP^5;YkM~(U6>)#%x81qnVki795R&R+g@J3&349nbb+Odqdt5VFaq41n7@)P(#;5a` zj+1T&mvxDZ_mR%O0nQ_4%P$UPhw zk3`ZCW|&Z(){HC|Qod2;Y1VnhnZXm8sjKJkV`fQWPcc=853y}p>EA*=Ik^x8;jm!- zkQBv}(f&Fl{?Oo_3S$aKaR`kFtTQl(Gl9?`IT?a6R2{#II=Z}0E2Be15R(?FeerO6 zK!X7(NFo?{8@?|y*!}AEP!RMukkttqhP9hL?Q2FNYNt{5Z zq#^k5!`Cj`F}KQS>FEqKck?+r3pkt(3|`}G1K|D~t@(kCGPZO?g zaEBGljsaj`OP|TFQvZoDXBS6v5FKW4Cqfx*l@rACsFvV}Ru!{+|LaYN!R-PdG3=5D z1u5P4&xO8{}_FEIJ)@fiU#XEejK6(JO}O-_tq9f|lQtGX85S8@=g zV&U$@Lck`9^n-7xk}W$bwN~_dZlrFuLi4G0h^-nZF-O5qH+DAS%#O~(NF|fXwl$;Q z@+o{JmJRm{%Q>$tbp0_~KOKLw^YG*6Y~$wKVDFVvtX%uR+fXDR0=_M!fpUSmed@uS#5K=ca=lV*if7VbEfUBbR==cOCzIJR0~ z|Cm`!>0azo$WPYDR?vCH2R!^+j|&5i#sY%tNJz8no_@H(8DzbjX^G^UF4<}4pQ=8V z8!VQurR@%mAG;Ud?uIIuV9!~~|I^N~oYu{xM9k;4Pj*v-Wm*Kclh~s9@;s3BRn3squ>m!z%~oTHmz~D^Ih0J!9;DohqQSCojxFDNVY; zBSSz=V=;X9M39xwQu$R^$X?D|%h#+seX2Ln58^7~LwCb97^K%Q(fQ-ZGB$w2IEz1? zKC?%__`zovYYJ;2VG^O=?|(IZ?5#onx{({@V#9RNa2T2_9dMo5CU{W(8K&eNn&ub; z5KjY=h9O^^nb6IZTdzC_kPM~@zig~+goUeXXvXli5^aBk`g#r*MuM`{jS{jZ{eIa_ z6pd0B=VyDB+`ZJ#y63Ia%1X+HAFJ*U;v>eD;xXw=Yt%+$7y`2D$x-~#afG5FbJQo1 zX==pj_LB`&py>z-ZoX?t|BCqyz%>}*6DB5@9WAdy`4Jpt$)oT#bto;|<9JbIsu4?` z^@Bu|RK1NzUJNwzX!YK}wm16c{W~6CE5|2{)^5(+%ug8@AIA%q6}gWgd zhBJl=WmwGLrn!tm?Y07cj5HKuhIA{RR^X4}loG>_xfcjY}0h>X3|E_&92GGi4$WT3z zYf*lJy>*uinfn|o`$2q?oM?#r?^-O!CLvr<_hWm~TlkrU+?IbfoawYsKk5@??x!(M z_QH?MY%)`Krybh6gX$S`V@X*U@IqIIIX?cJ4`Mf(R_1-gbuqHOR3hHvq)wW6%CUd? z`>IxBAQD8mGkCf;_5QDAV+{;ue81!#9GPN=$0NESdY#ptJrP-8$MwE<6`qE~@4~?1 zpuM}0uOniKYRM+-gh8yiv(P)ca9|D7@u!XFpGxd~1aX=WxRpF9UW#TwAR*TCDsLXy zgq!t^h!O3E&$ENfBTypqeCLba8I?wQ;k*x&+nlE4@=4fZuG9ohsT&X1kezRId8fh&eCw*?#sv%P4b|*)%sTNHiqrqDhyg;LtRsd zwaV4?$;^b~``r=hNTMUme9|I5Gn#xEnk}Qc3W4$2b6r+gaU(-MBzC^9Wq}{1;G|X+ zY!=8RxqlA$k}Fpyh|__YK%llsHF33i+W7lx?XX=_Sl~tAr<9Uda}J(2nrZR0vzkT` ze@kxq`T)0g4Z8jgQ{jt;&=5n*yj4Kgu`+4pXFOu*=zakn9IPRhBrx+14U;B&!^4Gi z&Q79?U=cdpk8hmRRkIKSz=r*nKk2w-?#RUD+hgho9UyxsQ?&+VXZ82Xrg>MR%R9JP z6&feo2#PhQ7baO2D^yV;E@2}q0VJ0_#oTfV=NmcvL(wP($^USW^T*Azmx^aRMpvFK z$Pk8$dz-7I)iFU6Q%JWqHgw$vCEh;}Y=7RWlfknf>nwZjYwpJ)#v-q%Al53 z5Ha(%$Rh7zW{JEro>nIs)C1Vnbu}e3s#73|`C8FFk!!~yh?yU$<4s5L-(lYd_q##^ zhJBZj5(H-MC)~GQ7kAz!cH}vD;&E~~5oaKvVNTI0y!>hysvGZjod!aHc|hbChAF!* zZ_16I)A(gKiALin{m0dVj+}O4y@tWRwjA(sI%65~UQ~TqY@(hE-V+bZH!Yrgl~JC~ zob2pK&?vleU=Z`2g8D4uO($)u`r8+-`diME1o9gZ;&O@k*LUR6seB)N@ATEu2BD_+ zeM6o@P>P5#f5I2^?OHZ}cDhWD_r9)kbajReimiA*3rPuae5P36;sgzfJxk?5Ce4}J zG%M!6w&+4gJm(fE2O--|D5)f5`EsdX-71zb|64wdheD$15BK<~@^HO=0;RPp?^+x9 z3mM`T5+F{0^!YA?+>Z~jGk4JF;j|P2CN%Qgb^`8uinn*yUhV~;4RM`AV4+iG&d+_V zrJgeWg>pV~h^3n7Wn*K`Sqn+|q|RKYtf`h6_@r+>@h*Khh>>4cxm5?;ta}r4O`>D& zg~03zg6xd2w>&DG5x?YSZQ07=wfR6+pE1s74NSd$UPdE=*a%FX%WZ>VniuvJB`BI! zm=2yv>bm1VqS(LUL1*=vks;~o?1TLIy1Jnqs_t%7lq2ye1bj{js&=I6P>{&Y2f^~* z+WSP&75ltAN6k!TNPET?owyLIsN?Qli2pJi+&oMI5)IJ6QD@9EI`&vO-eqfdjmAfb z2~ou|Hg{h`l|ce&i;$wc5s|t00_KlhnD#>&Jj>nl%;HGrU%*dz*IN|h`8^+92cK2a zkcgyr_BSwPiT%HgJMQdU@nl!N3>W_iir+yYEUbvAnIXuSU=l1BHQW9Lj>HZ`zuUF6 ze-8KriYZUbLWPHu6q&$YnU3Lx8jOKsva9&My=lrrojs5OJVgP17vGm&+~%NBG%4zK z)u>Kp`9pZbjo5iEIeAv_avm#Q6kV&Xp$~*DDUKJ?<&bK}tU~t`T>E!7mzG=%e%p)O zxEpW(1nY-KIn6IiRUlXoev^1kury589BN?5U-lt$lnlbGZFxJ>#st1%qpK;bdmxm_ zlTKa?CeqJ-0#AbtXehm;m-1-J8oE=Lykte@7oYc&UEfMPaN^Ao>t^a1{7aVe`7Vi# z&v}vS7(5LGlw8ZaPw9(C>jhmC3*udf_by07p1L=|Q31FL8j1flG)nK`Q}S+|N2+&% zeJOUXHY2SQsqk}bQyI3}(|N@@%fh_5Rf2KS|)jO?=G91m3qG$OxAfLk3fUFh44az$h!H zQslZ&6+ja|3M@>(gYOJe%TvavurQmh?DK3p`J$hQ^?ole`o6vy116v^7*pKi;6HGDZ9;;)Mjgy)=hiWY9*q2uZovyNao@k# zX>e>HLt(ZHK!BIX&9-Lfbm3BtjiT&bRY#XY!t&w-Ff%*1A<+t?q}Kj6gS%Pen3D|- zsi@)j3&a`1@Fis~4o6Zaq#^m`l@ZSNLg>Iwm@==KfJ2d=W23xN8{r8t0M!^hFsM`) zP=ymuuR^sX+uNL?e+9;^$Xf`C;iz$qr)V?S%;q$D3F}hr1b*f!?$}6%3wo?8wD?O& zh3?=pWMh<@KT1s0;v^`BN!&%BLO5dL@>c>p0f@^SxDPjeoA^o?$WxwwWKO{tfKGkv zuDiotmvFIdH==<)kPM^ZrDF0RbJ6nu(Dc<|O}O9NiXbH^NSA;p`JsDABi$V$F}fQD z2uODd3`Po!l5UXhO}aZK9i#Kz_jg_I|NCQmp6A@>ocq4d8Ok#4k4uZTQN3H*DqT;o zrLaF91h%!L>2WzF1caQxbE8ejZxa=+r^`s=8Cc-Jg%{fljFkixeH+CVUIO6{!#4dF zfHhDhG7tN&##@HF4dR&QCUjUl`c6y=xT2#ieI{)r>cKPg+w?c_)K3Ny=~jD~zMS)I&Q{%aDqULpSBO z&gEH87b)6zzh{NXl(Q+wooK=*$mP$@?*B%Bd2wiENOHR_Fph3j;*KQn-t1X@k~#PU zinK00W365g^lrfXq#!rQi%^$F;Oi9{uU6++@DCVB#UXr|Gbqd7I_j9mg3t)GzQHoc z_wLU*JYwC;_cM5reTiLp`7Ux^v5y>EGe#|AQfxeakTWPpb!ArFE({D!pfNOPj$^ zgcMS#-Yir7SdL{)@3KGs9JFwgNq15v;-enF_v{N*!h_|$#zbv5#xwT3F(w?c6BE#1(c-`sB%v zvz5upua!HYVx3x(QZVw*l-Fx%DRzaE=gt8hb1#MGo1nz+RW2aWS~a=QQklwbDr~g~ zl#41tBJD7S6{^ix{7g53mBv{3qnm^drDhs!s1fm+9nx}am}#(#^MjeOdUs&?DtL{C zM_a#!*e|!M!@9JhqByQXje?c!K$%x_syy(kFRyQ#Wrxr@?PMR}(9SPYeQ*ie`WW`m zh-D?D4;wVJg{H;T`Acy@xZRyx^Gvy=d!ZZ+7XMoQ*f#HD#Xt9{w4?E~9~zyXrrhcQ?-JKfvzwPeHlS&QYWg+&2(b-s`^xlmGs`HQZkwOeHnB zu-4`3+jIBR@z#4%Ss_O>Hs1VUJbiuQns?(7IIf}fF7Su$bnQA3Q!2*E4-?lF-9zcW z5rk&WQjyN~QVOC%i4oJI@^$ez=m={xRj>#F_R(hA^>Bj;L%e&(!wX!)mpCAvp1W!k zeuxSop{BH!D3l!S;Tn=cE6U_bwD!d=GNB#{WiJ3BpYxAu;uuu|%BIKtP(9Y~@rKk}DXRX0WJ;K4x;nI8KJfwJ0ze-so9+r(7 z24IiFvA6vpA0Q2^r@nb;5Pr7PmJT$!Lw63ttKF`z84+K`B;cV%gi`fU6vE3JIq8MI z0G~!eEpf};q?=z%=K+xHe(h7;DGNUuimnpJTqcbj9(OTi7^R+SaAQ#)g=cVuiZ7)J}Z$*97ms-fk6D8J_E*=84|f+CAFKP*+wI3PA{Ef%E6ZFIw6#w z=d{ow%NXc#LUGsP=a4s+=yyAG?s;)*_0pW&J)Yq)qc`NOVYk{w^u4#u3l!9bl36I- z9`x9~9*`4SBgi86PbC`(61ZGq<+iO+K<=6rGMfB||c+2^TN*ddFQ zHh@(cNJ4t!0M#DPdFV;%A+Sf-*mi)KEE;@{6wVbk(iIcM#zcqh&Q?Lc3L7h4&fAZ7 z*BTd6)Fq5zM{KiEM!x2v5o#t@Xe&>P_+alaPkIkbX2sQ~p5rQbW>t_=%Id^co0WnI zXP4&2lLAcL%gE-@MeuUmaB&rJMggCorZXl zNu_dq;dz4l=%=*PZ9j7BxkO^dGV)eC}1$$s9ZaNfMc_-*0p(XJQWFBN$4 zZwvn17AEKRd_qiZ2UJJj?q*Yjpm1>Im>u6i%Fb}VTD-4|jzap-9sY=mJ|SG_{5wdB z72%br1dx6=p^6isaB^sT)y~6$2+DuE63a?zubLZWk`g*1NXlyUp`gw1VQy{|?fkcUbc0_3=&Z_YAa;UyR0tJ*# zH<5oy{jyZ|{iVPpaC|HZWKo6#`yLJ#qKz1|s;Q5DcfXtBu15LHuCpx2zx7*boU!=k zVeZuSh@(7+oX*tl+hIBMm*wqJV9k-v&BOn`H3f4FVj-0s;bE3ZWEiY}r+&j{@pP>S znSQ!iQIuA{cN;|A3F6)9vpR(Rc~u%K|H0@tcUY7gD_ETF5KOvh>G8X^-oX>yhyFXk z$zjh?0uwI<1X!@(r5(RZd2yPj;Ep&l3+pK#gN^4|)Fvp*$@PL8E+v6Mu@7{MRFjy*Thl=GeEvDg$a9%f%hMjb2f8q^kUE0iK4xOTebg{z9 z1bcP)tnAkGp&`iMEo;6DVl9Cw6bXAxf17Kw?^A;^HEDqb{pSXD>R>zP_Q$Ezek-Ws z24eSDTx;*T_6Aard9>x86cYQ3&EUa!VEt=T%YXP00}%^59kfA?CTANRbR=PG_S%z@ zNA@pa9Ra7JQy4`C*#bNPvpPZn2A^H70_qXGi<74YEqTNSdGYqla3It-TekSoSE?b? z6C_W<$Cy7tRi(3UdvGaEAoqmW^394Kn-)zK{77AJ6#WyE>y)AON5cFh#cRv}R5LS2 zTe`}?Y~p@gKpHbkj>@WY+*#>YvyjNms+_Ejxx!Yz4d z#dE%bbbnsbwSQq9-qKE*xicz9Ik!Kx0mh%Oi%W7F;Tp6^H4_V{3aXbrC7*~2$8k4H z7Q^TA*PBF8VZ9|z(5K|g;bN$SDAE+q@~@=! z{w2~W;4m)HZu`W0D*kvRsw~FKH$@M&B!=fbu{5k2Hl6Xp? z{ott&ka9j1>Ck9mn`Y4wDbJqF)cN-&=4*z#U*lj(FmLkULS#^XZ6ev$gOsm@O~9Yg zQIRYJ^*4hnm8Gp2V=Xx#6vf$l@d;I)waCX+XgXgy*&eqYWp~jD2#vBT<+SxKdm91# zKPmCvb+nY2_Qqyi7!ANW${2+n#7zp3m9h?eqtjL2T8GyEU5oSD#ld;)4h2Qv6#ZVb z*leJA7gh7H1ax#BzuR@t``aLtD1Tj=bui}>CWLa>%b}rBm?AP2{p_mNU4FdUpO{{_ z^L4(en;Th@y|Cg9+>QUZe|En-@geY3cImgrqHj6#bc99kScf|!(J+d~P@h~F#OZM! zFK?n6YL}Ew4IKS2I|#`BeaDyTQJ~s+`SyT!^=2@AZdDF4O3G9iMER`zRnk0@c;Q4_ zMO#Q`RcZu_4K599|J76faMP(CTev1y)-wnoQtCLX&)CB}GSYwu2tl}!@N6pTQH0Qx z-l`KjsQfHE@KwxQh!=XqnrX#fy-v%5oo`ylSB}R$L$Xzp{wB(I^0Z|qpM)BisM;4;^sh_i!->HOUYC>EAYk>f3Z ze5kC~crvof4?4{D_B0~98|+LnEEYE=UlhTIirxC6+(t*wHD_hw+``yCc7&I%B#UjEgeR;{4GSM{PXF_e zH}3($f&9IQZ-oS%cg$hAcJannNZ;&@y0(qF#{3>531svuaC!UVM%M(nCjVOS3z7hl zAHCkpVtYg*n}RZKPfv7~!rmT494dPciIN&7cBQM%rN6gS*bii+i@QGBbp4k;q4s8` zQ&D%J?Z5mB)!W~(2_LcPtS_*6L%lnrAe*zSEa;>`*B_owo_&YHKj0(u)-Bff4|oCs z9d713GOq>hDx7Y>mN)u)cdB(G_cB(2wDCOSLxFe% zp%!cB*_AHz4Cw%3!lBbA-=<{P7M=y!sz~f(T|{@Ly$it61=f72vXIX zaVh@qO^@P+B4#74gy2#%DN}~KLNwM zx4S8ZNAD<~qpjiupSthU81PHw-)%B0`sO$cNYstr0Ig7V8g^z8zW+OPzi_yDI2>L2 zM^hk^FF=VB0O1XI>Nkxmi$_&A{(vn!2n`;@AY3shDA?Omi}~k{|2__b>S?!UdEkW*2R-0b&Q#)|f!S-z)k#Wdg8u-?%uCMJw9 zo7V3Y<~Q@_wbyA>Q~C!o=+(zlI5fP4D2bRSh;Twxb%TjaD>F;ruDH zN=z+G^ejfxlUhS#4@0Xw>UOmiZVtE00-(kVbJ!l z%*1b)3Jolbp4M1IP2s^Z!{KfJvn9pTP_5azH1Y>HNZe?Ix@T_G<#xC9tbg@<*ZzHa zG{Suj`8+Q%smSLVFDQhd0O&j*je3F54WwBpk}?$eDzq-H+xO497;r!%NI>YEJ)0Nj z`C(#RKd0-f%c0McNN8Q_#4T;8#pP{Ica23CoQgV=e>1kH3gb19_y9%u^}U|JW;1P? z=^ca!aCEH)(e790s;k>%^&tOKyPZ#Vtsnv|#(vTB<96~SETnWRNSe_%wv0)?KMO1R z(|%Fbzas{Cl{{gF13=q2L8ls;>?z8#bCV56JT#)o-&kH0Z4?5rz$v#cHnx_x9^Q&& z1snqb3}H4oQt9eM!_m_pm_A31uy4NsC-R;!jDTX)uXZJLQ&CqvWQ~EI8f@v&F_{Q? z*~zjyM(I?|@bwj~3P3M_H~n~-^`VvSG?AW7m3Gn)HAv_2;qIG;Y2t>k``1SLN0PVj zEoHH-VxjrC-qG5#F7RwIha9u91ka~!yc*K0B}LG&+vNwk3Fp|O zL?So**EEllbOKM^w8VKb=aeH3t)IbL%#=h3&Q?ffMD0ym9jhTY)L#l5%c)oELok6> z^63DGBK-5!$Y?0Z(IVaN{QW6tEH~xhO}=avphNFwI)2@NH0E&ahab-P|Hyfw^eh!Z z!rKEg^^E!w^XupH(CJJu%EaU@;zKz2Uf?HuL6NT|e6l;sj5tzZnQlcNAcKmxSolFL zDXuQegpHcAA?^eyGr=*c74#4vw?P_HJy#1#=p03b5o*Is4D?@?A{f=MWq_+JZGVWc zh`8jUMq=){FgBb$$wI;0)B| z%~Jb2p_ju!_d&rfOgAwPT@mQPJrcNV=zw!CNoiy3ur6MUyX(1EWeRx(4{UFRa7iWB zv;udX%aPVr48VdduW7=KiEa1UISaVxHHo0nA88b~!-Y%^7aMxi%biI;hS)6xaBkCo zS4)wiZ;zn!_HULtuSFSGy54;A*MHnjv@x3M{dR~6a`Az+?n(`IU)D^PU!0Iv4@|0Y z*W$|~v{8J0NlSGsgWe(A+_(NUpM{@)#AYm|FEc>s(xuRg6BWw*tX>r_8;ok5b}j$Q zY-|4IjuB+LVva#XKt$b!u zua})CDU%JL4iatUn$VRxAPhDN)^9~@KlkDk#KaW?=AN&G0t(A2d{h^#yoZn6uYXQX zbo|aBvKw*;-7coH9JvstT?*4)jV7tBt(v?DCmkL&9GLE=`JW(G(iAcn zo?vId_{jOnAj&g`Y`*^>n;esnx+B120trRG#noWt$yut)BZS5_PedDXAevoL+1sBZ zm{1mQ=Ng-&T_Rf?(YbwCDM<55I{usAz^ZoiJrZ@jdCiB`qg<-t$l&~Uc$$il*LdynS2073R+e=e{BF@AiR$f zkY6BJh)ELGRcJ(xrl{ZT==`*XJSP7e{VXw*}6rZv>$p+s;qh{Q3BL8WDta+v**6__NZ~nYh=(v5B z_<7z#=9komETi~pbgV}Om=xu^9TIQ&>;rk~DM#D5^poufe%%oubj>_-VtH{bC7S=< z&v@hXk%ycFJ0NnFqbiKGkd9)n)AKAc*RCZ5hlL<1Gx`JM0FO?WWxq_`lum<61W9$Z z9Q5T}cZl?Vsk+I<$6##-<285De!HK-i~}MIJjhCy`il5rYgt@y@5W$U<_1U1ZRiUQ zYi^EGI!{38Hi~I~lAxy;<}3+**~8e8zB}fO_(1>09YKRpfzqWEJVN35_DQ#%Mhj~SMDDX`?v6iP`kH6xAcw21m2q@6rWMK z8oo_oTjk0?SMWudSn6)f*jrDP)IBf*wICR9L+`s+j;u~}pC^by+!7T)&4fcY>23() zxT^l(62e)wmk}57`4mTaVgt?{<^GsYQomXm4+`eWMad8XmQnfa@9)De#CPKl`IvLA zl?1$vPV~GjWv;|?ncqNmVgW&VLaWj>uyQt(u&JY6mbS&tT8k?A%S3t1{A$=cekFKI zYBh>_vq(4*lpWGg*vZ9i5qn#TD@s4>zPyk_p^wB+!0D^=7d2;F%QEyXtb-H_qMxc& z?(e8a2YNo##3<-4U@;Z8DQD;Dm0q^cWnwuO2cE@dvT7Byn(`@?xL;Q?cLg+iLI=H{EWw*d;gtx;yRiQEQZdD z(M-Je)WTkcK8X;}Elf9r{1}(`C|QKEONpwC1CWaTyzjdY18ijNX3he1*ueL7EkGl% zFrol=Pi9S5J5bNb$#y$G2?cv*Nt!vRk(FgGgQ1P2hnx*sp*9RAo5kXkAH3WL}sOEh#tkp4lXUTSuJ zw~jO;p2>MnV<5s=y}0+}8LHt-mg6Kxg;~Zj_>jgo6K%~m<%8d;KELm7X`5bIw~>Ifjrbhe>Ui@QD*5&Xc9g@# zD_sJ--z^?ndcL$@&;CQx zXp`H$Q%@THLu;ipo*)^=e}~JY-LHVo{R&ktIy=*8`{1X3v>Kn1h9B=6UD-517`{U# z3&euLdL~hwzt5r$`qS1Dj2r^hlKuAyBZjKrPYcDAK=VSoqs;R8ICtkjSK4q4+4DqJ zwh1GnEP0S#tXk;xrjd{$5YWADr|nYcSdMb1Q0zQP}C+ACU#N3T zT~|c8u@tQIPXMfyZVU7yJ$H4-tX^cd`<06>o8qH(A4_F z!m04&`sphXoe&BB#I`q(P(1uXnZVr~N))v=s7_c=sYAnLI5oD-u70+>C~DXakIfQx zbt+81xGkMPXobVqPh!tToUQ!rkJPLe=?YpOZ5xp}h7w7O@)>|I;8G@gBx@jXZ?1KC;)w1P;_tj%@n77s7Mp=k6#lPE9dx^+61XvcldUjH)2Ylm&7!puzYj*9*6Zip^6Uk&j~JN>|C4DctOYM z;~yS2FF;@;Dhc7J3icp2V$=-NabY-8G!Ed^FuxGKkB4Gsu1MVSUS2TV>E-7uoV?GK zi!R9U7XJ@iQzbH;*#-Lg;111ST)9E5wi4m{4uIu*B+5WyFUBg}o%z9zz9!BW-MIz1 zP4k^f`2=~1s(nkHpTO|{AYw7k|1((4c)ywX9=>la%^y%}Ns4oEWoq*4Kgo7OM@*ar zNPxyxo%IG@4O{azq%&3eXT(3(57(deJIi989B1b$D+Ux`;*XjEzzjdKsmzSCauOKLzRC4&0~?jch{;a?AO+~q$Vi?QJpIJOQg)ZNXeQS+ z{?iYroIsv4l{hV;pDCTXPVUt`bpvblF?HN6VR&tMa{{hlZ8vVPP&LUBNdgugP?3b?-7)9$+HKhB2bry zvSehZmzX}CfDgM~B}UA5SWtq=mZ~5XL<_(#SGKnQQM36y%#oTpDt7(#x%8I1dp!V8 zprXE~#mu#o#3yFo@tl^stCYL@5KFf7WWxC96pC6)iaGfW(2k=gsmW$|HmmkgyrJhx zwO3w(Y}bIXS3ZO1q`~~=D;ig7?q;tMvkaxkwU%sJ?LiF6sipQQa_tq(B+8raf-mZd z$iP7HmS@%K((frqU%<6!fTg*7+C7C|JfZouwU$g%MLpgFu0PQC+JWj%OV6*ufLaq5 zWW&slPPQ`=%r)kRJF~ zzcsz)LQd<~Jy)0&Wd>|mY#ocC@_2~RU#lkpRhKu_qRK_m)IlGxfzpZdOSxI(XqgY} z-wV=?_N%1|DscHe#zY!a*=Pwe)Y&DrcxPN;{WV~eZk9yAc)ZAAa$JF9oE2qfs zX58S{oq>*}LV8PGd$gV`PLQCpRucZLZtsOX#k&xyWkZmBDP8se01&NtJ4zgvN~m^f!73ZFhm(q zBu>Dl^B9Q{|0pvq*De`Nzgu|jivOjEe)s@;8j%RpeK)O;3zw6-BZ`g&W>bjnK8Wma z^OWb@J__{@27)5IuX!iCib|UwxhEy8i=JoKZRi%?4YypE0v!v*-GpQfxEJr(jTtjz zy|i~`7FB&8c66r>7J!1GCo&7dfYy=^Q2%{v(ex}c6V(}#f^PqZ))M?B7bl-Mf|}J2 zm`I5=(SS^&E%I~B8Nl0>k64e|bb{LR1l*~rsNITTzmc`yU}~h7H0r3?L0^9RK3?bD zr;7mOuRo(=bu>mvs|?(IuE*S{+;fvXZH-?014TUSeg0qSF{d%)c;*>RMu$C^e6+Y{ zvhbf-VUSkRYAc-Qs+c#`ukT%03iOF^s2*Lp_nP3m7A$AM>TQYHVm|!)->z?$5O3>E zt1WL(CH>QipqT(Ml3@K^tHMXF_iP91jOAKwT80KW^=w!33j;W9TM(0Mzlw8)iL%4O zpU8r41hZ9!doAwAf}X+GoxV|Q^8^Zdo0W*ExIx3Ey|YxQ_@VcPd^Zk|8uK5Hrwl>g z<>DfJeteFfxNw)dDIgYOSamtB8-Q0Hg)NlP@RJDug1Bk7B7|?->A<+~w=2$@zC6+v z5*BYLq%=kIetF}>l|k#NERx`MA9Cl|+i?hb;q4I8K(`S27sKb(W-mgvU7LwZYwk2& z*FwJ=Yb%fe9%eK{k-wp4GK1v*bN;7&vn{#e@l-v@%`mJ3b@`d@E!RTlED$a@{0@%J zGpc(j6WYZDCrTE_#?ZrwJ5dW;`+(nB%ohpn9dZzhRQA>4L`K%4P1n>O+nFk@*r4=J zn@Zo<8+6m@$YgZYzahSK^o$=HXC;}m-BU7)H-zG3(|&=UI~NQ-?Y*HxKo85x=XCD& z=?)4JVNrpl6)sLxR{qiO0}|4S?&#=iTfIaQi0Yoj+A!r_Lv22UEWDwu1~8>#P~;U} zRy%m&tnKCTiXgbc~n<>IgmPptd*x1b=N}lVj{n z!-fmt5##WbYiNbn>mh$nGb?|&YJSiNi}sq#h5fGtkPYO^-fXkhy#m*p0Jn^HfbFK= zxXv&3JD_@aXHBa~1}NVp*{05O?u6|C{8_tr3K3XFZ&EbJ!S$xChBW-$>b&}R_jyKI zTyc<=*JX=5X;Dm-IrJw|%dT?{@t!9sz(9H1EO`Az-?iTZqgpT_D8_0>;sz3dq6KKt z01C*P7k%fhPSG{m*M2hkaoDsyCDqRy4&Ob9yxgo)#Uy8Y^nPu{7SCnz^i#Ff6gPcR zAlqM5ZR%gg!52$04<_7Glyvh9pm@F~1J$&zX)Ntm zF;Qir^aA2gS1*F$Y1*gIwIn<`h@HQoZi{<2a{2e}uj8Ud&D`rFIpv0m4fn(Xs29u3 zCy>X({G~>3$1k=Ec+c+v_F4I^;@s90XzieNnrK-~cSJA0TE}e=s;GMr*5z9MUaSyE zLF<>M_q$w|?=rnKPC4`7HP_JoGOTz_TO^?!&?5W*U%J$L!eC2!u|evs*c*G80Xl5H z=KO_0N4?&Q6o^*C*A~iI7)-Odxb->cyCSnA3yt2-)@qAr44yTM)yi{3VqsB%t(~_|l*D89a5Mmy<%FC&$6wv36Pm?<3Nx0eJsk&v@uqdYYgA z6MljRflvVGc(hO0O8X{tue+ycjkIspkHnbH)a2$X`+EagM4RMX7y2ed(}ggf>G@q7 z1p_|+nC`17elSlUUOzOyamgU=celfPv%2`%Zp05YRgof(?J_`0BGtc^Z^4QpdP^HX zxRxKB>Br!-31pp46bX8qjDO%(% zR}&l!W~WZvS%$v;w$zIm2RNXDil>FkR37nu^3RV9kHMisi3K%mjE6OQ=9=$#qenl) z2NF!c>-qzJFaEb%7rMbn(vx|8&m-g|p*Chsk4;*w{Rzcuq_PtR=6<`Vah!Gwhp#IC zpAd6Wbd7<+xC=9jPy$g#)N6SL-b%2`qV)-jhm4=E7`la_McBN1jxGZfK7ff^ zJw=t#l8cX@%-Mo>Ys-^`%uZe8gg4TQ<<>;^|~N;5p` z^ZkAzcS$2k78g?6OlZspnOf%sUig#+0Zw51(H)_Dhh=pl%2_MG;ETKu!}X4_PNuzIM@D~R{JXg4`uOHUt?yp4duSKt0;3D>m_SGHmT)u9)Q?prj#;@D&0rr4^N6pE75 zop;(2c*Jd@Gc1w&Y%)K%e_*Ndt7vBv&WJ0r=Y7|zl->)h?C8D62R`7x22mdiKB?_Y zHSJ4(T1lWUKYok)HRW!B&mgD;Z^)R{&T*|w)N~Dw)DF3?=t62XWMHIMgtyul#uCSmAA0Gxx4)i?E+PzEpvFMGTgSLjAsv9D zSd8rb0-1`0^GI4>Cz*iTbegyU4X{Qw)dJ#$KlFbjT>#lcIu{O@@nh)%FEz%^9^__w zM~VL!STeTV)#l39cK-c#m!M^JqOCDG2Dd8+*# zY|r?~A&j+x!+s3IJ?+<6oc2Kli)p0*D%5SUIuYy|NXG?al_qxm!kymo6dLwp(crL6 z1dz(QK%)&XKghm z)-lTH7XTj7pjXc?HC7@^IR{6H!O;ih;EX~NjC`#X8OqKWOAtT$xaMPasV*}VLVo4Z zVm!-lyBa@)^SmFF0kU?8bY~Tt2~Z{+_HzzV@K@AR4^d;ZUwaFueW4-#vuLoC@V9ZR z%HLc(d;_a1VV9r##8WJP!K|I5!6KG|_kt#z5iVtEQ`4#os@id2x!S*&_BM_#u!NS{;L9PlePUzY` zIFXkFK@pZ3I8SMt_`)E7Z}AsKOiG=&Ezv`aouQ|BVf$SP{&+i8+@y$PKv4E)h(8M+ zRpvD0{%%>S#z%NB^da7df!>t%F2D`ckR%=HPGX4CDGwOB~|gk1$qMXyAitL80MJ1kf=c|%v)DG+@*@Su&E(q7nwgSJws3Az~swtrcn=7p>||; zwbcILH{h0;G^MbJf$8NXw*2--#VI9C|G~+Rw(~=8-_p(GU<0+-{@H^LmA@!WQGQb< zhPWoef9c;SIu3d~YnXKEzxkVF0##ExgAy04M~Wq182s{~sYi#%RW*I9pIz9!U&z49 z?`>J14eb2A*j8BIwLX=D5%rAU^1=3BN#>S#$kt8JvuC8$NGc|Q+7&mRmZ5S3uu_$Z z%6Oeu`e;h7iU}a0LTC!&N^N_LRhpZ(GQg9djk$20jqD`J^HX*f*pvL1xX&o22ENA_ zW~Y1d3+!9%CT<4vF8cXMy(nUXyn27mbB{}H{O8Q%%aIz@3nJk@4pLPQuZj>9Yunq2nf6l5)Z3OrY7_9ZZ zVz%T@F4GS3vrfO%(IO&|WIiXp8_DC;2+Q#K0nXEWbdSE@Hp?_@g+v-k%j{mw#MCtY z{hcJ99KL0T@myPNYIY}5=1|l6@l2R=;kQym;_>W(y8xL|y1d5R;ILOCZ!B?qgIpB+ zEphziaM5{5($t>OZ@#n+_8bO#mc6*VbX>8pBol#GiJce+AHb|B?^u)MQvd`7&fRMN zjDG7Xa*38V1>m;U&vUx!c5v=l0IA7~An$zT@%v8Vrw-gUduyFoMMCM=twA@k(huHL zPP*SH36atC=>_V-qsIfPUvZ}pR0Pb zrz>vHS=Myvhqmli+}&Enx%!7cfEAx!MIq->oFmyaHc8GXn{a0jG;yHE>6QlY7iR`Z zmX*rd5-i{uT)MV2z7^~sj<9g8quW?~T<~%gi9x6k!j;edlYUyMGa_+n*v~PEns6p_ zTJ3#pQNx-&;61!K2vRphP?@|kxbX4ToKd0;kv5A-484or~%Co z$0L#fu*t{(Y{s_oP7c~5d<6+1%LN=EE-+5syDck#|X2Y|JLTqouDk^GRZ8>~bm8 zOBR37FSp&7($}%W&Zam?!_LIYOrwS<(`*Oe^7*r+WkE);!jPd;yvyjmTH>6ceWP`-5?fqY9`T# zj3@o%&P?tW#yukn9kbbcRJ^7r1yO#HzkZ7y&jPMKlI*SLKj|eb9zBD2(YQ^W)LIRfNF5$Zn?mAjBQ!DJ{wH^!sDEa zkSA=u-h)w}b?a-I^-4lGJG99>TH9x3SI1AQYTiS8 z(#=58>u)ViASj#(5GOWxu15zP)kfBL_`Z#=++hOTQnA$9^=N<$qub`P?Dpaw7F~?p z;c3uyV`-Ty$-1I24ga-%Ka%^z@i!&#+jH%;b@2$Qh3G|Hx}wgJ9IXRqMZixx(Z*-! zr>Tt2PyP12lTu-;kw5m?w3J(PKbq$c0QjTAKiXnX8LXU>^Hn8~9)Qx^6&8gpeR8LQCBkcxv zx|fpsWj@$K0$ILslccMZb&-RPKlF@`t&n2iXr=xFi^RFyU%dL|j;`NHM_sFk0Vac`SwlW&gK(TcQ zg9c(n*A{2T+KZ9P)$`;&zs(uDl#A16-V(!_O&{sVRnbq07WhG24~~^;jQ*Mn$=5Yz zf7enC>9TKa6=w+#L{(baC`L}dF{eqG!Q z9<{&$W8n)YqCWO@M<0D~VRc^tG=#JTyIN5K#li+CT1Zx=zPSe14D8hA#=0a(&xW=K zFD|DF7`ut&Vg1p0{M%+)-FQ~mYb z_`2Iqvq7!v@(ULMEoCDVm0+DAarhya_8HgP6j4)T?&KTuz%lbQ5SIeUx>0Du$8Ov^ zOj*i;`c}ZN8&8Y?@DK_IeIrj(feKfLU(#P96mZvcnw$~EF%qvt?95awuzID``D=~# zJ=ItGG+4oyOp%c)eJ3CZ9rzbQ34$WRcYC9W07zB};LIRKKXz%xe~ow)zXbY=1e8t> z0!ZJrCme<*5r(ZV*Ka-Z%k)Jig9c8ZOFXp@jeEpX5gbqiKQ2;>b@IHc(3^kVr{_%e zl}+gqv#ey?qcmD{4w!-w{pv`nSMF)fx_pLkMom-vSh%dnaa*#?%C?1m0Vvlc%O9aq zcHH7N!F-W9kzE=l#vv)Qnq2CjB4&HTv5ye_WGmzp|GZU(IFZ>5K*ffno*q1W>+UvP z>7CZ&w|&IHY*aXZ#dVHlN9Nrvt?XowR_USaS!?#`oRmwh9k5W8-9`7)$t!tu@lKz8 z`dDPvG<Gvw0c(KN9xNBHNbBV8FnnIFQ6vZr5y0! zXr^nLGP1`XkMlc=U~6ow5jz+Y#J>5?jx{{8BF9C0V!pgAVC@IxWF#rmFfTGXlVaRL zJx+|@)oJnM$IGP`Ic<;FFVOltxDAfz?p=r0wp`zxb50@x5*(=BsxOHBnuhLb9XKLd zq%dA`+LI}1i7^OmGZw)Yo?3e{WgrqMfS~{Ir*_;7D&TbrbfyM9b+#WSfULgN`#;|P zLxxNJ7?MT|>wd_QY1#7#rKf*dpBgIPGdk6wELmJqv`}YgzBrkeIQ{H`;CRmKl;bP6sxlY3jP|;^yvk7q`}BB z)asp-Qh*M(_r39#08>?My7$yRO6Z6>IkCkRhSKiWe;Ekk1`WUsSm*Wyt;zI1*~q$u zx7tSl+dKt#kqpWiqh*9#p9x=RG=wx_QZ$*KqHj`*W?@2Hc?k{fw2~`Ys&r*UnL`Hc zlbfrRCMS-oD!Dn2olBa#IAxYVZhMgTdqFCRu9I;3JuvQ*7I5PS#Y#A&D*T*=_{6nK ze5k%-a7Soq?WdALu6Wbex#Zcq(r;;YctjKzdz1DWbqPa?YsV#X%_7)hwUA%BJ8EUI ztd4XMWo|h|Nx-6qD!+P;>(X1k^Y7XBwa3PIg3Nf=W_^5pie~ea=9U@bzm59%mUH-) zzw<3$(Fvy!RWH6hWHOC0!LvnQ>!#e~FQEL!@*_Q+CXcbLy}@*AzMXaQbP=7%_x<=_ zd*ZIeD%&_&oz@L|`E;#=H)7hl{+2&#mqbyw&_u!7(Zy-6%i@T+4GtIW=kTs~!I(p-4+6Id`y)G`_4$X2q67titju;p znb~yt_4>qFi~V>|#a2~x+5&%=|HQbS7UypoHB_*b7~8rlj|~vX9YHFI>4J=unmV@M z0f9_UL|DLPlQO`6bGEDGO+Wl%hXDnt1uc>qsLyYGE)>zDT1_ zZX+dI6UR<750AC(QP`*!zN_Uj=GcK7&$Wu+>ft~d7K17tU`%($^32cta%Ls{&4G(0 zDFE_JJPhMI+q0XX$&!4&4jVL3Bd0bY_8#=$18Di+l(w6{&*9P5AJt6Yu6zeF%*Lpi zMYxxlU4+gWW9p%wYUCJo{d-vDFPcK!Uy;?wxZs`aUw!9y>88Art>f&ctaUk%XwNd2 zyA}wl;-~gr98iBQoAM}q_Lyx(&Y>2ZvYwZ3cbJ;a3K_F~r4DE3yo{4K*ObLBybA2( z2tJaA{ZV(tjz^lI{$NCU7x5(Rxj)}hyC-VHcwYvvKFB04N{aBQ)n#_%9MnF6EaEpJ zs~kf#l$_CphzPxBQdA(6@6Z3U&%S2xzKU0AfW0^S5R*SJKRE2qCP3Vl_KJYJfiykU zn^xxC{ab@KLPUQCXPuJ!U(+2Z4s{RO!L@U;6F@n-ykDK6SjTYq|IV)njbzAt7x^-W z3|}`{_9q!E`Ukw80j)&y*Jo`rotKDyMC5G|oJlmXQusT-o8zAT@;!#^x7Vte2F;@L zM`rc7Aj;dEhB7?;Juw@7qfqac5Afd%lO*QTPk}Lhs!vO9obB{t-A{%s8qxzt9U!-! z4T`R~?Ui-yCQdgI12MRHst}i-KZU6bCBDGn1F-gyelGu{A6Mzb_(qNqu8L|PxSyl` zvwJ?IOz`p^O8Vp}1IYA4$W*cZx@4c#MORM(Yf}*HBiXud9 zYOB4fR;)y+y;oCv#Aw9$o_>D6?{$6uk?WFkGEQFSdG60bBfTHFuW4huEN+$e>=vdQ+CahBAzNNuBUUc%WV^bb*-^Sx z&p2!Msgspmnu|Hv@eg@hzCh;JJtr*lIOC{k+cbpzyimqZ&>gxogn*{OWCH8@D>pY} z`>eBn^Un@xuVIm#!#b2&kl%8osz@40r&HKyI7>}@x z##|EApFf5Q1<(xuEmryHMi zouNL*!jq11Xn+&DaQHxWoB8-@N-&=Sl=y@ezRih6KqIC*bC^f~j#>Tn5mgLPb@*>H zW-Y0Ds4fT0rN}HO_U{XMqtxCtC~rtvewRz@3m1ys{K%QIl#dP?OUg!FPblz4dNq+G zql^!mE>6imCQ7S}+NoesEQZHYDc&Y)qAsU9ytc+-KOM~3Q~zKSl*GBJb*ZHvemD^> zIOG3~-?;yZNoBA43nzS?))o$XDlsR;U}$sptoDO~ENb1P%jy-RN_*p;+E+;nw9nf5 z#V@1s``OVeM||3=41ba)?sV@{DvXMTQg);6(lC4I{ksHc&hj*psNwhwn#oE+9NUq< zNEQKC^NHV&t25S#GZscS&@B68kFoyLB&1xLJ|Cm(gKD;!JB#N{dnZE_A z2r6z4`7u?abSoi;RrRY)qZsqMY5TubMsy~97w15Ujd^&mQ{~={=!%?iAdfLU8&Pp7 z_Onzt%9%dCeuo)AFgp;AJHp-DhO6a|M8<6=cX+J;+3(R@#@gw7AW#0# zxd@V2jg}EwErq79^=+LJ$4t{>d9AWbf^VIl2S2x!j=lV}b{cU{XzavL)%J3WFkve< z?}Fkv_Xe!_KOIxn>bX+ysbmzUsC`?A7&_pfaBWD`*z<0*E`pIbs&SYW z85lLN9l#v7>$6g*%zesnrBFftw;Yg;Fa0##n6Htgx$+nMGZUN+TQ8WHcPEJ}*oz2ua@-@q3@R=cFRuANb>hK?>eBKfUmZ?JDA!MQ<8YT3? zc5X}fG2=gk&#-U*G&T#`GPQ_IK8c?fo*mPv)>s}=F)2tcm@am$s$A1uej&(KNu&+$ zvd49t3DaoZY$;yny7lLr(eb?Z&8<<*2Zj`utEca=WtJ+{EbCZ9I>4cS4k~f{3lm93vrxFzyT4OXiA-DB)+M5gJPlpVn~rw8jMCZ9i|#JB z2mQ+1L{<%|B}_@J0skA$XCC$f>by3zPg^U$whC}<4dn@Vt#@5NnqvlhW?P*eG1hF zU!e#&x(Hv_zmNKEgHCZysuv5Bdv}=F{IY^a!FpHKvb6$s4M#O&c3xz+aWa%0Qg# zWmQrmywziWM6uRW@6YqGFXb&_?znu#P*Ld^sn0?6%|tz3^xGdt$GfsD4dVT$dK9uO zxgjd)(?MN1bFbOE8Z;~@%$w~t#g8Q)JO2mEPmf(|X^ceNeWCc)3vDYrU(KseAuu)f zS~0evvHyp&!n{_^m%h2*hctDqcLk>Q9l1n0m6t(7!3(b~EEb!oGB@BH(9Ppyqd)`` z0zdcJl5qNPy2+N}VAqp-=hDS9!a%MNxmac#SWRfZK~OaLpB0PH(!Wvi#4`Vo#BoSVbctF@O5~i_h$c!O@ke3a)7c!7*l>JuqADK?&>a{EIQNKk(t5v)|Meb)@9K(TWOPp`H16=Fm5B^8Ao zVQi>3A%=Mb_=8l;ePKWxl--v7l~t-ohO#6;X+`*k)LH2TdYBdUt zO(@Z35qwwLdWg1WIXU|F&Q?~FCPFR89bVvzLIPg%ysjHhZ|6gEMy0rW)Hq*KhTlFLS5s7;!t-k zG>_fn=D!_u-00L38q1~F3OM26YG*hG*yEr6vx(E%q$SDi+3DLn1`9lrO&!t-w8ttw zv%H$>Q?`MyL&;;iBxw8ez`w}B|7mTB~i;>M2fs+^^gNx|)%>FKx zG_iX)?b^>Bj~a4j%7hI3HID5U%iHJ>JgJ*EYDwpGlUxyWAfF5V@Gb@^*tLTZC3%j2 zKlhZ#iR`QF9~^(a$gb+GwEkiA{y-koA0Hz77gz4_(pMzd58l3Qgp$?pcDW=@OoUciSxkpK&l1 zG34_FHT3I`;`hXk*CTA&#CTBU{sML!K|i<;UU>*?$uyn|}Tp|uX-C4CEPr`|EsH0pLsO=IUH)e}axZzc*O`FB%` zL*$PF==vNB3OzZNbs_9K+{}|`!+=`w()3>dJS0h#cWF~6^SmmvCCv?E9Ai;1wD%>S zFN*5?i_nm*^8HOZw|>?Oi8%Rrk9<;7pcv+g)5`LG%5{*JVyj(mqCJUx$}7-cN&R4V z0#;^sg0=1W5V+>QF94v=?Rwc+% zB1A{nju8XSVr_wBrzemkZ_gZSesW4P`vjJu%%VQkT+ush_34brA&n$@4@f)#q2Q!l zQJ2wcmJg0@3@s6*`BYPSu~5zR>JNEYw7a)gYrd}j9y}crPD@Rh*OW zsrc4m4k{#49N!?$uDS+w0PFQol`2BDsdM8c)vJ*U0in~ibA5Tbg_P$Y0f)1eq;c|w zPr+nM_kp4Z9C&;6$)vxM_{V8W)xB=VII5vLFO&mt0nb7eYZS$EshLIo7+Toq*P|7Y zA;Bt1LV<*H*18h~kJ5yjB*&OB-++Lk$bHn>57A{J64WcRGRnOzQWb^W3WwG+;B{-z z;e!?^R<+!oF(mQCE_Ct>aq%#(A{D(x^dqkR)0G@YsLA@spC!_9WOnJdmZX4}It47B z3(AOp|B>E(U+Z6@e(__9xGm#+uO1+-Jus(w;$Hua{%AigvK(mjc~v?LAAUoQ|3)4U~g(d^2;4lCBC#)pVE`ZM|fEXkxJQ zTF`xkGcI!~RyboyMG@ZzYI3=dCnT~~7C=+up-1OnRwK^~N?f7)zT&?!QmyA|e^afy zB)O?*E@AsIIf9Z$rRa``3o}@no$^9yyTa*dMdhoKRDLh~CtK$$Rd3uz6nnK`Ct15b zD|+dnhnRjPG?vCl_j4$Ewii>f@l{&uJBE4<`)u5 zqte4~LK8`JmZMjan)G#W(h5sjbyM8tZU0%cNn=cDHt2e1(@l#5en_h^gtS>J!R2(R zN7~8qcCcgre*FBnEWsp|;zw3dwDcDQ><|Pxn;%%A>`{inT~cbh`$oelk;D_{UOYnw z`l@8+shj6Au489knNKpcg>p^(G4nhrcRIhsU_CjSo7#&v$mIe4OqygGQ)!;$L16Nj zc(eU4v+B4I5A*;Yik^-}PyB23T=ej)c!nKiJ|%mhlZH{83wX75sW+Z^o|?%Sa@Bgc zRXDH^7h0P83gSnG%+qy_2fq3$3kTljq8I2q(agSYe{;aF4>W=~!(^gLDLLUXnyMRD zN~bRQKY~t41g~n9`U+hCzcMTRrK2yVJ$qGao=!085PIV&%@&|Iv=|O`32wsXzeO}9 zH65DIEEqrl*#b9`Saxo&dLYNN6!yMUkhPqH6yr&_YE>{iNF`~7NvTjj?pr`H4_w4j zW2Wx^3-IC$;&>-zs1Kh43mvJ0Z(^S(>2W~*T!LFODsm}TKAREq$L>z<`mT}@6Je@3 zGnd>YgT6ZpoYPt>AO=>tA=TwKR&qgxZIO)4f~B*&t_IvgsGLaV&n2;*7dH0nM_>uU z4j-tj`ku?li3#nowO_l z)t1g;#5ZhYI4Y7Ih@RMePJk{?j(THco9%0AJO zcu?&-+by!e^**?qVjVE5$s)lmAKjkn?f;`T?UZz_KJhjQOsSdDpF=%_W3zeXeH&Kt zQJ)c^>>v#@Mw0Fj_w;Br6PLQ5xR`N$2`u6rY%l4FWaLSAm2dYD&aD*_tTvhW-(baw z5Y0wTZ|1;bUkg#TeDCsX) zwCv&0&O>LYfg5KMD%b{}$Fd5s^A)}!oK70Ht6J^i_;({!vm*`Frf4FtB4I&srOnU~ z$f_HzUfTVdTOXFmD#y;ZTM3d+++rmCVcl^+gW4kW_o``V^Rz;+x^kCK(`Yc!-}1F*bMZ>INegDX=dZ!U%APkv_6 zjcuBkqkTX2N6Gqz!7htYf`eY(6M zIhwVlFFO66*-aeA9~iMVVXHo6>(ng}I~Cnq`X{UpWyVqSP!O;jq^REy?frs{gI zoE;%}@n6FBuzF7yEe1?S+2nHUa>@R)mDD^wh!0SAw7psE6i=vYo=#E;&MKA35>MD} zJo7JQi)X&*fJ292O>(KWu5+!&gdvZ6H4bxY?| zvoV_Brq3{ZRi#g%KWZ<`)x^o1{FT;LMcBm(YyZx!+$H2%N9wMg;m6DG5MnRe5Rd}f z^Yzl_b?06z8T(PxI&XfJpL~^6bYWz*+}L`UrzZ;^>WMPg3maf>N$3r7DK+xi+F6Dh z;^2(FWRfU3T{Hw68{^10O4tw^&;2(a)>tUAwpQz>^Kr`Sy_kpMAR_^dBzIb7x$#ul z__rE9>g)UtUnnf2#izSAdMQni;nK3IZcE1I=qlQ%HgWIV8;oZ3BVa18`W&DPGM`;Z zT2Nocg0I>OE}Q}rsUe18XZG4fF-wa;BP8*21(Z3A8a)W;9ztiPu5*`3~dk*iUOr$M=HIW~v^SgFq_ zrQ&rNU3bv{u%cTMhSE!n)v)>HdcQM?dAeKRg`)J1NXYQ>{e%@Mxgifh)(Ibiab(t8 ze;FbrZ>n+{XTnFIzzORY(WLt4dKcJLvOU~J{ix1jD9)?YpUfD$I*8L51H>j&e zmT25%r3x~-X`^ZY$U;qb#RaRmZCk0J|mf9%$`2}$IK5Uf7^8E0h!wKkl!x88cp zQTJvQ6r^;lBhC)~X7KGdM;-ANHk5Pt`C1X>EoD`o*#PV(25sr*bC~wKTb{xV+*4|G zpq9`f1R!591_J_8dIePeL0_U4h9vp}67{LgUx3~)N2As+t&cs-6IEgyv-@diNyS8X ztLFag(zo}nzJ;#bE=(S-7-)!$ZvV6!zH|UZiGPyfv7l&73}-=s@>|)Cx)Y+sbvO{( zwR#<_?k=`OYa<1BqLQa8vt;U%v6@=im9N1@J@|`5Ukt8y_Ev{k^g_-qmbnf~YLZd< zrc5xcFgEXQwL1vs8&+DC1hH^_GHGB0R&97_Bg&*wf3udT822dcsWl}b&>3Qg=;7es zx$pCkT)MtNkAMss-ws_ZeO>H=*cKQ>#a}iVmpQ^8Tvd<%M~X7^Xrzc=A$?^#t;JN5 zrD2;xj}MY6Uztcbw{3BD0M2CFe3-uZK}B1b4ri(I3g1{G)z zWeR3KSo(S)k1xI_&s&>Oue!2wI{~)56_l^~?U2$=Lr{||d%NS$j+^5}@W&VSK^Ju< zJV+3sLc)$=9}kyTW4h*#S1_jp_SFpKMwj?32{j_bpJz>s-m~B1ziEdi=}ihGK_}UZ6cp>Hbtc<%9Wx zI5?TfFhKk@&7S@&)KcAqBatHnnzCC@S+rtphz{*rERFMLQaQ3ag9=60svBA77P-fo zyRxnJs!8ePh@RiwwJLqdu_AU%gYPqxH#$&w8su2_`P6&)6*@G>@I}CXVc1`vAHME_ zLlPZ-VobW`i>tAs`^$;S93_Rvs*njf7VXxez|}ovfpH%jMC$tuhIl5C2%5Z z2_@gNex|4E7GKD65SiECIdCSNO=rc7@qcPLn!Ubwp*xe{KpBg+YN&0XGfisvT~KXQ^Z zvuVBmuBLy5X`jrhpE+xLd@9ONhDc&yHM1Y1pL1Dea!vTfFh}8y_=Q$61!=~*lb+h` zapcwqKGxW!xl94}tomBBNEfm6ql0leN`Wt5awJnA z^CQM<^@XpdYN=aPw_U$^hXMCP*JEd5y=UpjQ{Lh;Z8rFuGRyiqTj8gP4L~$6K74-< zne~%u#ubcN7jp1U%d-4ZmZlNpo6N6Z6^*r&OpPvc8~!AsZ9 zk__*pra>_>tO}r(CkjRxYUxcK$hi714FpF9FroO~wCQ@tsi)s>)yJ%VqZhQ25-rF8 zvF6|QTnW%KIV*kW9kOzjGablN!6a7p1I={T4Le`R{?urtxDQv_QFS%?3CFZR*tf%< zX`QfJdx{>JPt>~>@}F=tfyv=}28y8W=W#%9wce;IVgAl)u2I4(xtJ_8r2L)x_g0QJ zBRR+MqljD4B*!liRL_(B?mTkSyYM2Qp6md!erWWr_EFvbH!H0is^&EhK0QG*5>9y& z#*!px*GXmsm1a|>oQl~%NAi^+pc^8muoq7wK^wHmm|GEgdf|=ags9u-@e8v0vl0>t zL2@xr1cF-UdPuAeq;AcnV5BPs9U}s+B(&&X_q10%D^${!yxjjfr*zF?O#diI^!KI9 zT07%p;5j?Io+s&=B8Jy@A`dK&H$=P8km!lcO&>wFQ|MR2bqi|N956F5j~gGU3HQmZ>Vs0j_MhV)JkKE zziij@zJT8;q@@;;?#f;3tm<}zfw}`~K|j1{C)4QCW+k^4zB#iiI^HP^{NovEbH?Tw ziwE=WCzi)iZI$B<7N#}FnSeP!*SQfk*0MivFOpqK`$7(dezdQ-RAudsa54Fv?4`2B z&wOjJavsnry8F}Tym`QvT8R1B0B`E)vLRilo4Z-ss+FHI%W}N3@VN--}PwwiZ>A>-C_1eG`3`SVo={YFJ0hqUnYzJ)43Xhp5BB$!>53Z7#8_b$6ls=UY(0 zi70+!F~WNn(J!X2FA^1R6CdBQ-)Jpdjk}&|eRkZ^x^0iC@1?jI zkh){#G&Pf}hEaJFC(NjJSrg&F@s(nVy5211jnhPPT;(Hmqw;L_ZjJj5=j~J9t_rVlX@~ zqiJy~*cP5sIyMM$RI7Z~c5<2LYZgxuI9K;<5c`aWX>Z8Jwo#7CT_t7eh!)4CV4`dE z^g4~TQOG49)FeHIvqkXekyW`s`pYSD!`k$!_1gy)-R~bKlWjmGXl=p6B?MmZJwQQ4 zx%#u}+9s~yjS<->K~0ftFC+{>sQ9? zxUpJxV%Wi%X2b57YFCxiX%Y|r1c}Z2Z#*MG=y^o)Rjoc>s+D59T)pmxt8ee`JSqjk zMmG-bB&B+*cS^vZ{aU_-)Ndtbxa>DouXu%|uP}-E-B*0?CZ^qJ;i&w)TrsQibDgav z64Xe!4O^JyKAzeu_?)f92qWvdw-BLJ)GDJ;6VUYA3D3sEJYOB>c3h>$KF`TFxgIwZ z>U_VUOM(Zw`KCn@1)?8giyHTvczaevDK>z!`yVAX=S{fP1Lt|*I+t3Aka+L4pSF2a zY#EJ(-aEengk%riz!^sT4KK0|SzG&e8DG|KlxU36A}$1A&cT$4o%{Dv5f9SfGTwNef5AP@YR zf^mN20xr<~*SN9!ZA*$Hjb{`JpmXnBrU=PE%YyVi>f+a1sld)=ps_^w$E&IFhh~bA z>Cc?w%?lbr79vCPq{a)|_Rl3<<-d~ZRP_Q@LfVKxgojWm$@GYv(O>yHZY~?zAL~c8 zi?T4+pEbRG?-IavOA72Iu8>-q0u&dsWlyUCu*LFNK7^Y|}QH1BMne7PsL zic2^~Ndow2-}|#EwR{v@1Gh6BdCT${c)n%Y!v%14#(C@ui}9V@0nx|E8h;UGU!e(G1ZomnVaZQcgC*Vwi* z0t${7>kVp@uG^+BT>V-6O1tQythXh(XW~&f(gGm(Wt-}z+(*qL&~wtl@pQ9f$svEw zZ*$8p7%%5^N{=qvl|JpyAf? zQDcMN7GYgv#;=1}o}ALVVxF}-1fPG_-vPe;uc;Mr{7#V-^u})z`u6R&nwM-zD_d!A zMulM9tLw{EQpG)UX8>^Bqu%23NM~XQJwDx7a)qjUx?o&&GOHWI-oELW=+uTGO~i^1 z#_s=JQ;d-m~|1 zQzp(ED+V|35%Ieq-|OKucadGrvzEsQcw5t?2fip?z*y@PGf*fM!r^WpkCD4?zJs4p z|0c+aCSYl*<3NCI?cVDG}cvzGj;Djf}t`Dw|rS< zF(?^xNE0!WdCu--AU^EG=KawpkjTF6swS{>>gnv}cj6!+y`}7Nb8-ka{4Fa@SKFMh zJGa{(N|eN+O=A{Uzmpq5&)a`H1*+UtjX9W-Zp=({Ah833i|E3+mwt5n`}%iRjguBcaV&he z<>$g4C}~h(V>^it2LUMWyZt-M!tFZ-EZ=gAo)Kwaf^I1s3Wlxs0!)`=M5!CYKR-m6 zTTPD^I12qR(6T%8snVhS2Fd@5JSw0=drpnv4ZHu-=@ur~4Vh%+Lf_OMrY%&+Bbd>U zS!7C4E8B0V`(fMDVD!}s^oFlNVt(bmRztCS;Dqz1cHafbMGFR)bPg4lwf7EAXszf% z$)Vs#a&cK3_br(#RoqB8qqz~?Y)NNY)H>BfhjBMb-EAPjpA=!6c?MwNw#G}2`Ww8w z-jQC_d+m^-z`w-D9}cc&qL|eRB(ulfp#&6O`(k=*B#zz?majj-s82cX>5QXu^|HVH9v1)!FEHR4};bao_>eL>TV?FX#>Qv=N%$DNu*Q)m5o z>4F|kyrSWr#!B~*r`>JPGTnXBM8Fr{UV2#ddjdK5flRODf+0!cmeMKBS?oCp zrGNU>9YRLd_(PVtcZexS`R9-Nib|9^QfTk$_Le@`03i+rANCsi`vgbFhE#x>n2p(Y zWSoFfaAiiSjBxcK3#5Zv)7J)Wd(^7-Zfu%I5mY&v>D$|e>tdJ!BxWuCzagP~!r!ZNLk)oR} zDO0%3j7j6Tb(o}%{k|CqDm6zs(MnH`Fb&BuY)D+Yp>c*Y&Uc8|3)g?i;nY)l)se=k znFPDmIm5w{i5ONy3m8*w?rX&KxsujB`>Mz|F}RCmTBB>JtJgh~`tq;{G?#2_D_7S) zO4yybLbDJ#oeY}^sp7$h5})jOLF3on#07GVf7+$a-=o|rpQSU>Wrs4|lgz2m;!5cn zUcbaR)v5G8bWi|Gd%oYLYY{2*tdyq@G+77SK1)`|W8aZP2To&pK}r4afnHtQf7=hzu?msO1rkZ>OI1 zidft%Lamy2ZZiJ#K}J_P2mUaaHFXA4R)wm7Hp<=Pyai7RjgNfO<=nDjs<^US$o23) z(cajezp%2i6XlAHpEulpIgV)zIm1OSq<8WH7Lxenk3l^8WiAhhGG_yS%?0Vk@P7B! zGRC6d@o;4$CLX?IM9JspXoGR{jjy(d8*QWVr)_F*o(E1|jJV0AyDNw+VE`~4G4RRXC$N89}$M*NPn z@Tx0<0OYY7UihKOXg%zQhfB|Y^cS>1F%bqoApP}P5~U-CiMQJqo^-9~-5-87-%jPv z5dZEnwh$ZFWf>S6;nUlS}Hi+^)I%bG|VlW zl$AL#Xgm-PUs@4$QJK}Y?~E8hacmc2U>GNag=Y2h^i%No`IY@vTSZK(JCKTG4HLg1 zH9jWtIr=4>KA#S9Dlyl1*oZzzLB$7k#!*q8y(iiqx~^~8Fc>D9*ToX`fV3J`^z8M?N#{43zoml!d@RGzID{ z$f=(Ba{$cR-n`MkvyF!gu_U?0QQTYX{`(WQqWdCgNbE;ZXf6zv&UMqm1{30*cMNc@ zLnqrJ0DneP63mBUeP(eFOBB-wB!EAQdltJYb!ec_X(j~-yIDKhn^$<|RD+hxQQjwl z6;cJ*#~}hicvc;feF5G4xxB7Z>w#BDYrdp)=4VNZWgF;%_de+eeE=Wff_}6!R@@>g zq}dfVUY~L4*syUGFp7M>=FR#qEeyx-EIdpZNZ3mvxJSSRL8bR*Iv&WZy8!A|h5iO` zbq}r5h9&3DJ|XFz8^W|b^2FnD3yi@jVA7uN*g>-zG>#M&Tc>QBn}YbXMwHD*!4ca} z<0~>9h}gT->y&ac+NX6IiWweb6e@BfJMy}bRWa)COFi%>b@zml=?tOHwjT?>Y@aIY zAVE#bcq!vp)7ASC{(-Mc(Tlj12W`?Q{OCLU*_?8)P(|L|k9 zUs zX(qzC50UJF)ST5F4TfC+>)~KKf4ke+He)YO(*)SNa3+qiZU&7k&!+kRUnUC8^Odr5 zn{>xs>aT+xMKW&l>p>ed4@h`)`VV+AZ20z)l@@lgcdgfloMp5w0CSR*=d@X5Zo3lP=$Zhjz z)$b;DmqcxDmW9{YJxZ+KPU)w^lrG|9`y zwycrs58cdBP!!#&FupN#Hp545|2G)oG-VE|O!6U)%hK2=73u$pn;{?H6;Dp3wM$-n z7kSoov$}jjQ($iq~qIJ`<{7I4TTTPNHn2wuh#*+edy=s_F7Uxh@o^9E5p}h zL3#q6XWb}CngwXJPCBE%dR-8Q5dSi{UMuW)*7)pUp%-AaMAxavSqf%c8y+LzLsRow zWtTx~_4+Z&90zK(dW(olJL0vV!xg!D@mHFLb!htZ?2*6DhxaZ?H>-;tg;;9ihT%mR z(#8zaFrZ@yVam%`c1HIry>Yx_*cw}r)cL#ovTvX~Vor3=DU49RBjor{WnTw={-m75 z1)->hDWLcwR_#9iBWjJuA#Q_b` zr3NULVTroCvvza^F)L@QvT6sq*WsdcuY@CTjtIwi4#@g#%-|)lqM-OB1YdK*pUl6E z9JfWxgbx#byFh-HeSV;IWB&K-Jr{M7lu1bLgu~ER3(D&|P^^1Y2@Wr2zk2Uyvek4X~h1y)%HPTh0g zCXO*?7&=?K0fnp#7xUyDx?YFeUR)=kaQ^H{-COWpq9PEVvjIJlQvllscc8?#2$)QI z1;)>TVke+y-tCcCatBBLC5(yRAT16y>IWAfI4j6?hQDTbS8ztP9ZbXg!$w|Je-I7# zMD+zHRq6#{bhoe(&C+}7&=Gpp0(vUs7_+S^vzx`aHAp=6Aumr8dR?uc;htk)8* zcvT|4>u(y~&vp6?v>!UxmBGjBoQvl#h4N#dwYt)I1!weU(CzgFx*S#@J82W~6iU2K zePkH&<7XNA6&!Z`3DEbZDX{WEbk&!(+!yxGO{o!43@qJta9D6gDX67UE$Tm(iBu%$ zoQL__9}dXm9WouYrrJt&a=-7HKi82^Za)9v1JdK+UVn{>cUwgnjjCpf9%`l?aepgb z0M;N`+Kr}F`I-7S@chb7&=cwM}5skD`Cnr35E}akb@v zL|Xfu+j^sa@Ow>-K^*uL^uY_2#{iBR=?=tcZp3{eb_Ty3DnaYwV$mFqwg|w?w5Psi zV@=K15RC9Bf_>CdHf*A(5}mip1fW#*MDxg+132!!iW}ZZqi9M>?7BfXKD%q-^LHV) z_vq$^Jz*v`H>D>I)`lN9{2AF93%y)zH$Pc5-cebbKt9-zW8#7OfI$9#uO{LsrIY;+ z5wl|S4^q2IS^6p~@x><&8+=37=`Un;-);OQq?t|9V7gOyjImQ{Q2FtoiQJt8Mm;D)tty{jLb1lKgk?{ zX6P@>hUCgaJnMCHU)8Z**{#l(c+=wDU1#6utp7G8N;QWPQ`>_1a7{eSEE3Dp?L_Ym z+1|~J>GYMKf01eS{BpZ!V23(v#gcH~BY@L9Ao@qmxE<1{fmc7DBLp)%mudmgf*J=N z2nJ}*=+P5y^K*`9n$iC<#PRP!m_-UR=6fq^UrspADXn^#-@hqm>v8$kAkI*-_-@CK zqK$fb%BJz48-Yy_U1;O&%(+>`faKgNLEU(JbMY@%kpsIEf?jc)v)?Y zPL9uk2HRS7Z_ms$d|ANEh0|pt|H8oXhjB0P&;gzf#rfDEvB1j?6@DVMI19y29G^03 z)7RTMBA@K*S=iifDz99HK36n~a{tRi5|f}A6Y2hVqY7y)Qza2+F?n`$(?s>4 zU%>&-KjWUHMs*`~7x)O9j92dcJo9%`gUR~o_H&C<)=?3#+ z6>&>JOncU(4hLjIB&JXJm-IQ^b`F!6*JDAQr81H@|(qtnI8= zFMF|>^PZSu@olaYfOyb_9;ZwjQH)VvXBO8{!GFb%6)T#y1G(|5^czvC{}9Yla7(M- z%;D%p6mbfV(=$ku)=K6;lAI_;p_OUeQ)1q(+dMdh=5UdB5uL-jh>IX%u?9l<7V3Y$ z;m2{WtYhf|Zeeu_ghj}Rf09*+^#9JV&^YhmU95dAA<~?o)&vM0F~)arv}xG?=a3ZU zrpHBi99<#)a_AuZWKQCD#wJhZ>|aWabM@!UL1Co8Moa%UXIRIMe;XRQi3(1^DW9&z zB!?*EswWN1NR0xijCC0i zzEKQ_FQvNi@6EJRs(uHk;HWb!P7etE>D{5dCabv{@M;*%2l*ZDDvq?ob@Kqb5& zZ}gQGl^cPT{jq97il)03KAH_Ppaz`@_!y~A0P{;8sGi#dWONF|!=Oh+Afb1lp(Cjc5HdwCm1zZ`TNsIci=_%XiD5;qP*s`)yQK`ksqfx$u z$IN=`V#htUxCd8i?`JAEn%j?yXe!hb0h{t=w?WHqeh*TG6%Y*WOHX1Jnz;R8&;n$k zJ4=+|?fHYI3`C}kS*A{ScrR5W6z>&Bp7y2C3~pAMCsBw8;eblUx!adXirKTr#6gyT;+yGzIJUzQYs19|1|#sgU`t7sLuLgRv^RwlfVb5KWuj+F|0H%Ehg9D@E3v@ z`#oIZ2u*ZYBKk_BMyzv~Je%`J3i77XMjj#`}iKUvEHdWia+Sq!&&hHO-5Dxs{I$4Us`T5LqTL(rM3G$MfOL2c1vK3oQqxB9G7__@r>(T-Vxj9#Elt9P@0Q^8memm|4R|f)XMe^H-I3&YSJ(z59Jp$`3=c4=)Z!ynHf2s)3k6}Exaa!I?p9*w36X{5sV8I_M*r9Qjk1n|V<*@eQo|>=-s#`wNBQKCA+i5` z96GLu5?FW|rzy4w6&E(-&3*1tDbzWj%ueK}#y=k_VW;Ai*)=Jw{`^<#Bkv5>&iI=l z_s<6}XsCsfdB&TLMT;tWEC&2#uhUnOa{kxq?D$e6A`G}*?gzpJGZd|YZ=k)r4$RoJ z6o$|+jnnsK-&Q9~DJsbXAK&UOdHuQ?jvXuuV(?eMnfMsFse z13D8N(Qh`D(j;TeevbFp6BaRSur@=}^;4iVj+KdfRd8Uy<<@(uW)*(WbD@RV>Ymzu zZQa&#=fm0Xyy~yj=w`nbA^FY$O?rkNL!QUExATXj?))1o`1fPHc<)WP>S66wEBQ0| zET83HSsJ{H^8y_fpAyJ;N%Z8Eu62?E856v+vjfRTZJOcQTKBf2&(`8^JxehQ8fj&j%&lx7V=5=qE-nzgw_?7tv&pjcO zbk`#Yo95{v5E}|5j!jeOt%${@pNxMCzf3M|7StE7dUl%R>O?PueiDF;v_-`X zJ9GhQSQaya+VxBy<%h5#Me~;EZCofZ zB@Sp4-7i9uHpn}#*}pgu07?t-4|9~@jgIKl$sP<-_f|evExsQOIshyHG_vrqPFL@U z65VzG=%@|NZnXn>2LWis+3ycf^&}KYc{pOy+Eq5Grq`;iO@WhV0=J6GA=ir93-a zCH|rpVuBUTGq>DLFfMZa>afL1eqe!{P+OAZ^SHaqhRi@m@qZ^?*j_d<9k-j0c&ys*Ck~iD3nP4h zQeQVan!Oub)o@oriarPU6el2dQsk(FydkPxz;Zw<*?aNL9=4YbjEYX-AG_G)_($4p z9dMwSDv}0-7P6eOmBHdCp!mq>a%HG5IT}dLAqa7$m-bgp;3u_m;8h~wh;B;;2gJB7 zr8)teFPvFIsfSNyrl)U z{Mt19s8Pxl(Pl5l2SAsaAv-_(6Om7sf5bQ;#^=Wl1j)ZY`W;@2T6$&yVCC5BsS0?W zrXc>L1&R_ru7B|uV;|UwD{-8J#=?{3q2AUCj6`3X@E{?W{jX&i+a#jgmRkBQnBr$z z?AdsOWg${WqI3P=K zutx9Uy;i1~H94~mC6o$>b57CIHF^Fs0`dLJ z(%~zb^Nxv-?(LqYpfX^X^2$15#6-MKvk$l(07)tzC3)PwcrK&MN}>#f6hAy5>r^{X``Mq%Q}h0Ofq|0k`2D2pUKCwMu~^=Jc9ptQZoEB7ymR!5=$Sf@J-+kdaO z7R7XY6f1!SIMmu9!lrd!9*eEDy5!YTv22v3>&)8~b`LnbzSg10;hrd|ir=A=6Ed}N zdM(u|=fJW}t;4u+?41e#A;efX!SO{6-0n$~@k{j2ds6hce~Df?w(;{FJ-S0;CDwN-hLnJt;VJnT}&mRm#jNl-#bzn4shQ;Of-!()+)dj1zyY4 zVSm2zLlrvtKEcahw(s7&$^B@*A4nKnD;zxH<0L-EK$>VAPwuUH-QMu7PEy^yLPGY% zv+z-tQJLrb`*BVlMa|R2sy>H1;EOA94$`;Qm_G)FNcr`GK4PGfzYAf#a(zIF3BNYK zlkHc*qpa=sW2Z|yNQ^A5jl$!L@XILdrt$_yxJ>Fi@fSwbqm$nV=wY(}G zT7pso8&4aE^(EDhp~q8z91Y|3mq|~HnzfRa6dlA$wg8XB{P44o3L@A~*M|o9K!_{< zf9rW{E2p#^qnUjKbtl;>Z3Wj=@w{i3_Y+?%9BROmh;X{g2A}*jU5*|aoX3ESS4zM8 zKe-9|Z14T0Gy<2BUDU-=yK)~yNQTF%w` zM5;N7jky);J)%#Y#Sa-=@Vjosp5|6Ew{GX)r~xN_TM|H5fxoW7c&ohLg6GkH&fXjqt1wZ$?zM+iyFygmZF31x2o3jd8sN*A`3mCkF4jx^E8}d@ zLFEvNTY?}#hXzqiX_xTik!I(XDO@Tp1&>mH+yE5}s|5#lbAbQRe|^YIn=adp#H4L7 zGEqEm>fepIU_iMh^Gz8;=9Pu>BLIeMoLJJ|x2x9|29%kcrvrKO-}Im17Ec^Dv#Fek z^pgSauQ$R`O4KPh{sQ9y6wiuS>>QWTj6ER&=%>H_KfLxH;RrC#68{IlS5JYTgb5u^ zJJ$-?n~{y<>ArsC6g0fkA0lXq{$#hH9u~j@kFgzTN=!a%z!^;XwrO-iOU?gBkhZHd zP6IKZWqv_}+!NQ8O#2;7kas)~G6JE-Y zh?_=7<*yF|2_fslM2dLvE3Codzry)65`GzQmGq}R`SPN_4$&5mPYFyr;8dClj2u{Z zB=|y?uG3BDwF~~MMo=b$y%KX%6mm+;sr?+>f&wP>q3~v2N^q>PKF=%z)%7V$%pVP# zicYOqZC>VA<2D(9%w;-|DpK!oHwz43?m0|{_PH(BaiI3?4tVTEjpT~udNHn>FZj(w zdfyqkRkx)2sqi5As_Qinn>GI0KSSQ}^^&@P!~iAo%yvU=2fOP}I|Rj@CJv-QhK3@O z+uibNVeU$4gT9J6c*Ti7KHX&IJfUuyai(OIj(XCJvJ;?7SJb_Yk$82`~TG3?&Z{972`8}suXivPgUhx>Ac_M zY2QBe?yjRyXI&s{)aX9)Uc{uY53t|;M}(EIm8E z7j=nO^CmVnuT!*d9WU0}Op6Xq1e%FTip6}sZBy9hRujpGo@-G?P<+PPoh<$JbY+EFo_=p)&C4$c2JDD&EgII!#?%pQ59Vh`-G9=t)OxJ(xrD6-@*c`x%C`K8Q>l7N zRJB#^!!{(t(9&O{>(Ony@t1|c1!Us>{Mpb;~T?$l%Hty;+YSKW&hjq8$0e_w4__wUh#m-!#xwq@$0Vb^j4-rPDQ)K-t5*3 z5i0(PS(abUgyT^g=|1ye^S&FwUr+pWf8cLu$#3Z^KOSP**tUi4w)HRua!omdglqg7 z9Y)1SiG4AqU!6{1UX$*QtJLd^q_okk2a7GQRxUp5@>_g+nZ0?m5bg$v7fwb;kK&E_ zpSB1#FGFO*=3)a zSiR%-d__MuvdX&u^qZSly|D-Wzbwao9B)=>2M+x8Rtqdd89usYijr`~hUl|BMe+5+} z@X<#hCp5u-V@td=iX{ka_KPvXu_{mWB2QK<9Y76ZfDV+9I-6tUEV9!j`ZmSsm!2mp zf&#x*L$xb&^RM{sr0WNn$0w^(s(7Q3Bm)nDExf}F^*oXTXirOftHeKP5XqVArQ$t; zblD|&OAk1?uzcrxr2L)Kt$*1>#^SL&RhM;Jug@7P*eXDoL4ETBV2#v;YMbC>^ugM0 zb5f1;$B*Z#xDR4Q_SyDXRU#LUn$p0M&tui}nG|V1|1L?Zd-*SWF2F)IL#X%d3(k@G z2=m}yU`6FBc?WgN4@k*s+Q4f0LAwnxfMdoNIwpV5u6M{cWLgfuhLZw*&(Gvc^KDU= zfAq#B0D3QR4g|bkCp5H%w1)c50oq7@Boo!JDSup5ugbA>NolJkDV zz?C~oVJYjmV)Pzy9I{A8%->0-6|K-AJ`}Ngk912mv{kL*{T$NoE@>mNj{b~2O*5R< z-mo&c1w<9e&aRz1InoZNHJ=*A8!A=9_Ou*Y=+<5fFymM6SgosdR$i?%JzSX}IbnKX zD*7b>1`&8oAl1yzxQ^5N^T1B6AQZ!xx@xKk>wgfZJwcZbAEtCWm+g9KYgu6Dh#uRqKh!6lWg|iavnFB zILR>=SQ5vKf5Awv6uG%i;6{OeHf=D1^B8kETeS^pU@PH{VBe5ODi@v<76ZZ>94 zPEvLJgPDO*oY4uH_g+VH?W0<83_MIyqwgtz-%!ZY2M9%I_?je&JQ4*;TZ|Ycl{YJ_ ziF?UNKJ7Q60yn;y>Y})QY}9DoO$_BIM_g0Lg}vxnn8s?IDK|g=Pj*Z<|HW!u;ewPh zc5&jzj|EatVLqrACjFG__U;$9_wHZPSH=&d_c;DY%nyhZ{l+fQ@ylT*NA(+^%E2KfA$yZY%ccO#7_KN->Rz>R#sNV zP2>lV;~zja94ngpL_y0Vc?-_nA_Ex#mw&!aU}dqQw!h4 zNlF+0{g6RwyHvl8=$wF<{2xx8LgWlBxl>7b)~N=T^c5BpBI;unZQx3R`k?1V#1Upb z7GsIU0%?N>#yG(b&d`ufe{eYKAgoYQ6)OH|csEUtQ{yt#_ID}1xn*Kd0Q*LteT<>_ zgnUx&wpQZ!+_Q%iIlHJy>Y(@#y6{+#{W{h*{Bl7NKoF*uo%2)+O5LLJf^Mz2x9rTJ( zqK+qBo063Y3xH}M3j)MwaiKqD@y-QyJTHl^=go}Yz6)G$d!pex+}Bpw;&*lw%SexE zI)l}R8`T5Hfs~^uJJY}4|59rHrm$>h^5;B^7)X<9kktut7lRFc)O~;nLtRw{W*t&_ zPuo~Ut^fR03T*TnH)z~^XIsp8Og%-~_B5QQ_kLBBe6TQOoc1Xe7_GkIu6v--TXq^U zczR@-bW*x|cECe&6GMHOQi?;Gpq_waj?T0f$f58=29j(N(IZ!X&yt>(7Ohoc&Sn$t zTk~hG4x;vOx=OTC1${mQuKY=_qwuH;aN%Zlf7NTm#tp-_?|F}dC+-yJdZvkA#im;G zAm1+dgr)HGIOGw+np$W);Z{?#7P_E;aD1+q;{-$L-$n$0F}S_Ka_87XC0Kg}pcbP9 zw`bIn9Q1>S*yCjiu7g@zr-}q6qJ8ZwD53BovA%7bfWc@nVgS!Ulur=Q@$|k2AP!uqmkzZNEKvy1+T5FmRQXzO!D7 z0gI622fa^%F>#hH$+Qpa;toJ_XztSt@+qwH8iVs0VTEfojU2jX*FmBj+MFY?G2SK}5F z{kFaN6FFP$BTeH@&i+`sm5oyTRpw)XbX*T)uD~;3MspdY`ki%#sFr4rm4kfANQvhp ziJ?5pI>efx&!-mUr)85LwyD?~X;v0EL{u}|PtdQ7s^m~fs6|$L!-;r-};lG68ZVi*q9jp3( zYb{<)UOg!I7=BWE)89q6@x%;QMFfFF2}{BHnx-!?=J;*09KosUPD~vr;RQPNLNl4t zqLB*2okwLw;N$PLVWP!QcV}6S&bmft7HD05N8_ub*d~NrrSjS57}qQzxG9ZcFp|Qm zMm|m3QDNvKRxwJLnL!+(@ukXtL^WsAHqsTWKfIbCx{Lv%LPOTE-~H=5&^Lv2jw<8Ji;v`NwJF>b65%Bn^@O2G)mh9<9t zZ!|;+GJKCk-2{lBuU_z6wO3DWap#b>f7+(+o~A{s|1!q1faSCaLaawHy>4BUmv!ho zU_2~=o8Wv$%R-pXEebIe{v@fBtrdW2|+U2pQ3F_LX# z0Oq2mhx1N^t>3lou@>h74?;Rr_6or>e%9g3aaRbpq83s};4P84lqoXD58xELAGgPc zYpO-v3_1=Qw5KY$p1x1ql+Mu}VjN5{dl##oql}TpdSBkf+%Z-unp7#>JO3Y9+V-71%iiHkiX?r35fv)%;7Pv;f#CRt; zesyN8*LWhV{azK(=$yxQS=LYVx2*q=J`eN|3?}^`e@wjiqn3~?KTji*@LeGEcNajJ z!0NV=1Lmlx9z=MU{?r?vvyu9yawt0Y5`zSBR?gwnd3NZ|XO64nz_a(!#n* zN2*HQ`904_HMWcErsK+c#th{^<%rp03U~}z>zf*y20+nOIRaZ{Zej@JJTvWA?Id*$G=Ny99+o zMW~EJiYS8n+}eqL93q+9zRedqH7v@|{O@CY4j6<;b+EJnWk=wk{TD6Ff&`G~ce z+8lNLXgj=CrgS^5IupvC+m_DC_(?GXD9NeY3P#1xt(*J)z!*iKjctd&#{xP z-D3ERplfDDq+s8KCT9~zOkb#1|1>+GPW>OxYE+^ZVJagUz6LOYVJ?5X# zY=58TZGvC-ID;L=scJK>0?~U&>{=3oFSOik!!B}nE~Zv{i3Tleyd_dvVCEy;saP=FKjtizqjZDu+cJ(vq~^{ojBi|M{+BtUV~4vft<)=$1$bjznu7bo?lRYTLb ztu-!5yk(Lz0v}b(RY!6%+Yzh=ZthyhREe(&9OJ|hSQ?0Z&DPv%8GWXvrJ*nV_W5c! z_&R#ouf*h{9;;F^$`wO8jt#$q>4Ig|sca>l?N&c;jrNL(40XJ5@|U<}K;jmAcOejvRMUs^e zr=Uw7uM{|8^(_~Q4@&(Uh3vQFf|RjKD~sGGDieY~Dh!3sm~60`tRJ*A)M-CsHSvEp z*Mz$^<_x1Z7qs7ZyI7oe|4y-hs;Fu{n>wzjLQ3?1tYVz2WK~`>Z;9ioU|>A9;MF^u z@?g-i%K;8_19R?jrH)^BBea*_t;_E`p%8KSccLLhE6tBtJY5~QQX->`s9oL$&n><| zP-?rl^MtW6=zIU-w{bOC*+PV`VT(IWV^gY6`LEa7#dCg) z_^^Z{s@Zp`L`$VqF-L$NFTvM+9E24YAz z@^*-Co%;*W8*43T3n*w|EdY;MoPVK`mB+Q(L}7y%yXyT6S?;4X=zHT2_saOXTrg*# z>lSPxlNLbBlL7z6K?pb$3R&3Ckfly1G*q((L)UlYOtq$gMv#;m8-jOwm4ve~%rQNp2Y`eQ z2!H+WM<-&AtPVBN1`pjjTmQ3RR7XPLVK&3?)$XKa-_1uDLUw8AelY+dbKt2#53xz~ z^PfxgD}q7LNq)o!!;lFcCV$rQD&8Aa2KY6b+sfp-i~=>>l?=F%e!> zYQhSad8&Ld@ibR{S6Tzbr`hD;SNQkJh@9Ee5?PtfnfAk~kT>s-d2m|Pfz`>z)?fj# z*4wyL27}Zx2_1Q}d>pLoFz|Qp_HMT~!~qPzvSBJULVNq~_i37oT-vm&+OshMhiBO< zc;N6u$&_=k$g$b9gHF7ug2slwMYabaK;rM+=ho2W@oP5k5wbM1XS^W?6ury&{LcH# zjf7B!XEt55UW8XViD835#`Ecow~SIob&I8f-hNS~p#@kmr87 zX1!h^Ut@K6u9RzAQ$nF@zxn;Obg=R8m<6`PY$yFPFJ2|Xw5I?-28K@CTlGv+a1?R= zk;!&qPEGkvgttU+|I<;t>$6iy)xJ5DeZz>7;xWkJ*TD$M*{CcxhrKkkm~_JP1uTQN*%?o z3fo&hi3!9i-uI1Y<^QhGHA-CA9Hm?-IY0%zrV3k=_oocz$JGNZjHOoEg!SA69WEW$ zPAL?=RO(3jYr{TIfz4!r+fm7gMMtA2R zcJQS;I}qRCc?#2JtMTI=S#a!leeRo!S)h{UVE?JiC60HunIOddX1rAqfgUN@5Hr{y zxCd#FzB0&O`^->^a$o*1;7{Dy739{ZU*#I~1`K?3A!CS6O(UZ(mG%dE4`&-i0p?hc z-|mK(l)^S|h$W6g6e0x&LRtnX_Bk~=Biw{Ej5IXyg2G4MZ&kN4!<>9yFC&x^(`2yBFo(@4ls6F8Y^ z6LG(SbzDg$xY?FL?jIxxv{FBD;p996NObbCZO44JVg9XySb30aTji~TuU)L(CW&%V zI)~p@hn>IyH^7(t8%#bFJ^mb&mu5t%*O!Qy%U3I)u4Cq|ycyG^NS|%yzRZ1&j$>U{ z%!Ir+cMzv9rpO*~vgo}t^e;L6EJ(i{Ku{2M5^&zI@n_5#H-|<~;D;tys>^jC@#t|9 zdygeH9$1eg^sl_U3w{J!#e;>dvy?BBr5hOoU?jBT#O zsX$-Qyn}tY*IxS=1*Cq+4#K9a^5J$LR)Z-FT-L+VlPO-o_ij<&baURU4-+1KHQcxeb0v`bjaH!jCW^(yXe%vz?k6ksH zNNqoT!)hcurWn6C$az45#{8JWT8RN*8pW(5nYpPDs%O zJ$x%jmKEf9MIbg|6AwVwLq${>ZCp81{@44?X^8Yk|7wz|U*+Ml!*C}>PQGVem*@nV znNfQ#)&{oS9e`grJ*;T;33r>x4Rx(NS<2}N;sIY}IK^~)^CvvKKGLBtMS&Lf-Zb%` z^aP0tjjdj#xcj7yFh7_oxP)D)iFkHvZ9KUw@`%FT;Ypn&)?O(COLF)fdtzHdo_#L- zMA@M#dJkG>tq6XkFasB*3Vk;%km9`^B2stF8zNEa zfQ9DCkt9c7*uX7%c^o96*DXU~6`asxi*7Hh_B-PtCP3u(|#gQ=EH}f9$<< z&hN%v>GgWI<(?I|*nLEg=OlBfdQ>J!c!k>+QXjE{6MQIIX2Q)eDs64UG|{9%sktqt zt$G=q%JCZ?p>%{zN#UP-RBB}7o+HP0*og^w;lk1;sn}1Th+j#lf3*EnvSyUCRs`Jrl1S}uo>Xregf(KPl z-s|3d{z4j`o_%CLH)?DI0j^5;T{e&0bozwb}DKxGt5HscKB-R$*< zvRXzK)O#zq#l}x)HhTxRiXQ%$K96yZdWOd75w_^SWr7walGN1Z>|&J8eYgDb#w?yZ z6}p(J_#`T|q!a=wPwYD+RewPwK}CKWf^)AtX!PQVNB8jtfu}WmXWHO48a$S`O}~C> zphnq!3sg>Y>;7awyi=kDvvI1FdCP`!wT4?*l{ugvq`VJsmQ2g;+%5O&W7u8jrQpyq zU66e|g03j&)lvQRjaQ>Fxj;CQK)a24Kr{PCS;nFMR%WeWvC=@{SxDp`0g?5p&d!4Ngi{wJCLzF&Nk+R^+7gKS`&A@3IH_KnrugS+{tt zn?qC6^?M`tvat=@LzkbZ-NG|MSin&h(;Ta4)l~^T=B3MpHGKwX*BAi!mjJ3eh z#rH~7U?W(4_s?4k4`T-IXgr{36gX0Rnj~JAFEl~HP9yY1WeIz|ip8!g-B25P%AtRs`I>Qr2uF?u_u~0(U*^IgvZ9=EZ)%^hW{oi$BoO;K z^Vp|P0!<tnCY~AyY8|2Wq3{dCLD1YQ_+xevMEvK zH!sw{W>U5KJ>H7b*iiNK!rH%J=Y7*0$WM&oE$-7&nT`7ON8Qsa&{0)7<=Pij>vZR1 zDdXQGkV=ztp_j~Wm1Ux2b>_bN6~)3=7I~0$r0ia*Bl(m+}u6?;wAZG4+93s?OpkN8s4VeAkZVT;_xm*k!-fc zlqVm_f=Gr{a$$q&0L_XzS?8m27b8VI6}=$9RWDpS8+)(Bg9HmFp?o=rs5f1=c$>l^ zgE>Y(&)O&$N9}3W`)BELNvod}=pf5022rkJ!Nybr9cf0xpF}1M+Rani=AX4ld?r#s z-Ux0BKt9LXaOB|?oKO>7eJDzPfdc*cR6P!*XE}(y&LwSiG!6Y5%beqa)5J3syLytZHo*&;QVNIi-q6Z6E>U%Mm*5fgv{KELS49^Ac?5xviO$5X;Uf(O*@F`*&Ah>f0~{y z^J4Eee`NqC%FAe$q)+-(wt3|1@|nCtjdzw@<(H_Voc`HTt5m%Q1fT=4-pl48XC!Ib zD8Rn3`nbN0DJ1pE=8!T9XE|3T+;Ht_tmHC8)rA10RwldVa>54Ktw_*3${{swUJr3H z;%GCvX;m%kq;fM*di{njtsUD~t#7a-LxbQ7$JVR4RdeqcIj+JJU@CK7ow1TY6Oh>& zLIBBnela3qQyH4&YRiQFlR(qqSn)8tgy?7tB+#Dk5z^Ikar3P1*dzAcDI1^`4QJCM!s4hSUR}@hahp_&%(axMHXY0c@$1cRDeGYC zIfgvSOFfx{C`$76N^*z5Z7@5N)XioLS<}Y=+0~UMrY}jCb?;!h_zlYSdg4h;eOEE? zs8Yf%M#_Zs+Kn<^gM}Y{4mwC0vRSDhY=mWRC6+(Nc}XS(HC{-is{u zN$Uh`ldr}gi4a-*@cbIetQZm(?CtJSI6R&>+%5Pe4=+@p8NwbnU}|o8eiSDY$+}v+ zvQ8Sald90MkT#}5kH%JA3BkBo@s8~A*h+bkv*9kWZd~2Kl-ftCft#Ij4bGO)Pm^Tg zruv=eK%W5Hiji%Em-ZdfE4UI-tJf-&o>z-DY$PAxQ+9ug#8L+8Y)NE#Lrv~2IJ?b9 zZcG;nA@}_i3_O0$YjyO6yE%^oq)MRknmqpUXDdA%#bZDk)YY#yF}$?I&xXA}1h>l3 z`N;8<(%8K6#vcNAiu9L-kT3)4+6T77#G`DxUcqci!daoSotUTuU7u{3f;n0+Ww&dh z2E`OcgQF^Mr`N$8Mptc7L3SfNf-xbc6ikg>>A~$E2 zj-(1XxgM<2^&bDtc8z~OXGn2!NGU`^bI*z8s40^9M5p8ULDcFE1y#S1 zF?*_i``^7f9grjy3O2|i=brzvq7%>S%g&EVWdT!LC_Bpgtw4Clp;W&}KW-lc!1cyi zt0C2y`sGnQ=LVhExZT6Y(Vq4<)iJHBB60q0Y#kq^z0yt!*iLz2Yi|8lZpwYcDF@=q zZX#OWGtN9^ej(gU)5qOkZ9q;ys8#~5H zS~MS3rw+osFYE_(u}pLFo$PUrE2x)v^RIR7s(Dfrv>EQq!8?*g7hQ94{4O_Qc$j%z zd}n6}CZrl%BK?>JVe}$P_RSNN64Z0~H)69n1*H9-1 z|I8^6*hB;e>G)7&p9Uj`In{vaui$EsK8H``#Xa>l=`zKY^D=_;5?i6YS*Bj~!ZHSC zkdP=N?;9hK3e!~$JeN&f>y)GqRHZxQ7*HDNnCE2j<{iZIPdO2()$ErhoDD9&rW8$c zX)M>4m6E1fzbMdO6Cn=WxPoa5Fokoq-SaTrqrsyJ0*4FE7WPRVOyM{ZRxpy#jna~y zreM1hN|mdx`Ehm>_416fnq^QG1Ux5#*|ZoS*NM!cn&cSVHgI}Q`GbHnT9^pWF6n+i zsxk0-uOmLkiw1}5q+WvyDD3Pc*lE5f-wl5VI7%P*P*9qhYCSEoSpfI4Zz7<&WHte( zk}&%}da$kj*hk8r3vE(b+`0PdtaWM7)pzwS>P+b)%!SKtX& zuMMv9%*RQ~#Z0iI{LiAjj-P!U`g{N9XRc!`0qt?joI}is*i(>uWh7(hOK;x4ifboKYl7Bivn;eu zKi$mzn>5JdbwD=SN<-*t%Y})OH$s|2s7eE6F>{agb#QGCr^BA60iKq>UqXpG2Y!cG zwH*1k6v6g@op71+`b;4pek`5!k<_PF=^h|o$`8VfTdvW#1~q8yzcWjreljiwi45)^ z0HK;F{L^Qj_j@YMm5mE9p;M4!&n6|afZ~%#aC=^Pin|}+DUAR5?XxRwfkcqb&Pt2|fzS zI+W|@?03v8Cr9&V_Q^C#OmOz|t31=QHc0~P1-JKNQ;1-|xcNT6+vofG1z}xQq+aPu z0rb>p{;=C3;9MjRR^bQT&iX_;?Deddy-I}^Xz2`}G>I?!$)}lt%9Rt)gAnn#zt0Wq zu~$F3rQ7DDZ`qx|xCE}}Q~<{U{QR3k`we$es#QzsJ}x^I%+KrV6)lh0=S&n!=cTyf zbZ7eZ-{1TWc{YsB1xxyoVgn)9VRMta;p$H z`AYY}l@)Iu5ZSMK^e=F^^t%>&rKEC%ZXf*K03sJpV$X>_z3|-m)6+E3E}eXBck@8a zj>wkr?cP+us%?!h!K%T_2gPhk^+P>B^{{7Lwa2r*qaUK>J3syD8+QG0QH+ z5Oo3pUiZx(D3J++6s8gmt=!2&fEX1U5I_EXj*Z+A5Ry*tK*(+{;0!!{PMPCFpALcU zgX3I(?CKA>Y%kh*smqjz^0RJI8fUrUKQS6|kATlhm!5b#WC1B)Q5D9MI{w=+z}fpbCieydPA2Dx=we&f&y=WTvKv3_5l1y} zfZI107|MuZgEG&DY1OHHOcgv&0jPl5K$OJ}J~d)#c{4GQyrd$ClD-Qs2#}E0Ml#@c zTbhY?xH36!o&RbJIS~$SjV+Lr0;`?x8-=V1$dE4SY!YLWuC|%Q}$NlyfYNht!QtO1V#E3XKk=Z4&)Z@;-gP z_H=OP^P9}#3=XRebq%BmZt1!ukxk3AxYxb+7H17zKj+wFlTB(fGf1Yyy|-oZg04fLTkCC zvJ>*~DOKFZ_uhd>AFf{Ce6ON}+jkrHx znJPguB6G~VwFdVkX*vg0sM<8=ABFZ|S4=z|<)0IBJR>O<9bG(Dta&~m)0`VE?Y+Ox z;#~Jbt}39SY#}W#Py+j}v%O(q6y113f@2`Wc2eZdU3YeC+QGw=k7H3Pu!*It(}w6% zo*Y3g4Rpcyt*g>&Wvcx6Hf$hdq`2Q=feDdnC1g3^+eb;|c=ejr=QAHbQcpK_qoMzJiO#urJ zec&&U{LvY9+0Mw;BDAygBLGyVU;H+Gk|>$rxf*xl8kfj!z%8!&>|4xoYA6hn@Mrv} zK>X8)o!({oD?Z*LB??bC!H3xpo;{+WV1~fnWlo(7b#IKfFVmH0mE#hoWN{a@?Sc+=UF>>L6_m!q(wZ8M1L`gePXB; zb4o(%Cc{CM-jUc5I?i(=9+d0gUhf?$go+qRT>dYwB^^6m2=f}Ki>C3xRwpEPZ zL4rEA%~{vQyP9Huzsn&E?>qd5j#vciF)$2&Vy=P zCXTu9PCDfJ>ZoYae%I+#T)z&ce+_RvAW+tHe|HOyX`qEgvYMBVa}ut0#kj_Bg?@2D zHZLuUhMc(cbK(>*hy#<*U~UYQ^{IjRXp}?~z*dLiceiW|uJry~Q@kM`p_c+Q#8ny? zIS^1!DHMgR7h0YYNSOqVULAZs-kA#)s!g)uQWtY5m)CiZ;0n0@1634rK+Gu|=nVGK z3NJ^0VfhE%k%<))PDy zXn-w2N85o|bL@*);OxH#em4+%((nZ8yHSiTl{wr^Xg2K6S&#ZU6U(@g$<$fOxK17H zw$3MH6;WK+i`iTHpiW;RPDezeE!b6r?j<_6<5DTT^oNiW&PJXMY3H6$#}z>*B2m>u>Y>$;gTkf?Uq!TgGHyV> z0tXec_AgUq)H-SU5`pL2S250hhB@AXO82C((I|8|p4FA;s`fNrCQ5+u*{< zSdWR#Y%v3#x-7Ry#PUJehGMWSI5R`j4x!!o1>oVYs_-HzyoThT1+vCBM`?6!s zFGTx&tNK$rGl26f3eu{_Z zAqSp4uN-nn_iG@DvZAYVF&Z(FuMF5xS-J?o4WAEiK}IQw>kcdMF>w~(C!F{sk^`5+ zobmyXnfw=l@UzGhIxAWKkC#e`alOr_>W2&&4F7@4;lKsf*>{FY{w1%~dpc|O#$ zhkV@zss$f4GM_Dz^n#ARg(~rb#QwXZlLC^eulC1}?@JvBn~*}6dxA^F5BCHlQ+A$O z)m%Kp8aFAreP&RJ$rJ7-gHpKgFJHU#F)T*+c#5uey+p~A-aJ+5U>Y*Sds9TxF0mKB!}nT* zm%5d|R~87HDpY>-HlYx3^GuIM2BnP2%Y?eELkD|^TG=mRfxzwOB{#}_0gBT}SVyvj zlCm|SzR%{_BK438sy2t(gCDJ?IE{Z`pvZB8+%Rqc1R3It3yi!cg4AK8VBlAl-*KCe z%$zP^^kr!&06pNlv@NeXYHlJ04>BgN!|0Tr zN+$ZP%N^%jB;K#6S>Yl38r`Z^@m*l_rg%w|Iz6+2;AL6(WmbIwDGgJcqBzH>nB6ml z@q4-PCih{-FZ?P{`x=}+w>wfL$!F5qPmb>}>}8jzPchdJ4ecAzA+!3@;d7D#rfP6< z+^1Bdv~8auvT{5Yqch`^d!L&Xx(z2#O|8`1J>Y1HoGP-AEBK%jpf%*#-6Dvjnaw9p zk*+v;C5@#=ze2x`=xe(xDYuduyo59N5Comvwh)zfAuiZaqc#FPK}$&UrRk_6NK*Fm zZ&1b8Spb)2>7v2|j}Vvqcb!e-PBg80mJl&B&$nNXdc!Na;Nst;9H*R0W8(d9dV&D+ zPq>;CO#9fW4~XtvJO)sFfvctN|1kEIQEfKiwnYlX3lx_W+CrgtaY;&{#i4kMyA*c| z0g4xgQi?kicPQ>I!5snwNYN17x#_p=y6c{Q=g*K#GI_(BXJ+>7XYZL629kqMV`-Bs z1GIW-$KpimssG)|t2Ko`(FMXD2^<(^!s5k!H@2n}=7}eh*s9^*^Djoc>@1n*I{8l_ z^q(jY|ERQ$Zaa{&n9KI{H-VPGJnj#%z406x>0W;Ohi5wp(;FINywk_F{)d{?&xp{l zw-nZ)D@@^~M--r|g;19lp-^fuC)#i^(O&JM1uCUA0_J*jQykl3&8iwU%eZN7a;R5( zberZ1cj?gAmVA?szdqkpw6>72@EZ3aX@4rcfkP2|xkA4^;?+sZd;mG65)!wW{r3^~ zMETzYHucFf_lN##k5&ZWcM@t)bfMAzUj@Q4_xq5~xkHxLH6&RbJ3&&Lb0qIfmdAcS z(j371(z=WXP3Q$86(iY$$P+%&T?SS1ZH-M}4w{Al=c zwa=jhWj7)Z9q3q;!#eqHURGs;9Ichb{X$mrRUW`fmmv-2VjUopL&#q(KTqc$=**723&-EXq3CuROKSIZXP;3i z+An>t(LbO;dFfAvR=RTD)?vj`bMqFHAxzlCNYMY!rbl)8nB)8T$RT1lhXoKPQ6uwA zQ7qK8D|E1*>{$(y6ypnD**lkjEe7#-^`r)<*jt z=-%nXfo%YroE7_OTj!#S%tKF+*-Un=>U|?m7rj~QKI$R~_1#&NTWgkWyxu(iDJh0o z*k|w$#ulw%zW44feL%R@+i#H}ZNF4vcozrj~VFh36jg%x|e_@Kk)>_g31&lqo zm;EYIM;!yrHD9$X+%O6$#~1m&xQkRRz*=28eCdEwb(ui;i6%&!H1Z*R6CoR)-B#7W zs$PzNMRCZ?~Q-7D(_$GP@NYTzcjh4H6AHB%~H_4Z&vF*%zKEv9!8{a<(G0N z5RoKk*0d!QH4&RM@{r( zYe?>=_YSXMrr?qv!t*4BUk4oY+9Xjj(?v&k#ze=NGjEBcgcENO<_Y3zJA3gD%QCcM zviB!Y4sMfE3Kq1_L_5#tY<51^ER*HlMWMh&|28>67$$e$jq2Zv;5(=!`7Dyhbw4uR z;b(SKrl!2N0o~2r1}WuLDBYjL<}>Ud`&HI1yYIUNH!r(wNQ6yXz!qt;;y?|?sOCXA$lYKuW2lV!|- zRd$c=E7{ra@O?T!E^WO&Yr=J3(J@*jb@tQU*WxRnRfXw5G`ZGpxjaFxYyw><;3uVr z*C-e0XC`}hkxozA?4?fw_0*`*{nR?o4*i7IuJx^}b&Bwrax>7yN~L4!t6w2wjvn}B z|3d&-Yo6Kf{^wht|E(Vz|5AX5;?)Gz*=%q=!VNv}NpC@Y_qCHcQr{uvX-5{}e@Ov}D%wV2mYpNWo;qzM{{xLTt zNnzAkGAR2hV%Bd`r=ppnp4`&cVh?2g!)h+{BAnNe*+Nhk0L1OhR4BUtT5WRjrMP|Z zEIHpY#N;gH{-R#G8ThtN{lO#U zCl69KEFf78VCWNY{sxkXOY-1K!dhvE`(-6s7r#}LdoTCgi+ytslm|VjElxY@1P=Ok zQ56m~C0(|>H+7q1Z?hTlYvNG6g5)F`&6T?9vergCX2zgeKEf@y$~% z9(KeO-kc>`BJ-=IK{L_qhu3?#vrjA%eILxvY!ipvI_ugmyA4^te7Mvo8hc69t~oGDUz<`I*}LBEAiknwC~=LFRN%0wvbq1@Q(%W9n?lk^;osc4;0#fS-tCf{9U$$*bxe9^hD#3@RpD z2e$?((bKw4>UQ%l9BPx4Iof4$U4FXryK;2%o6i0YL{;{SJ36@^17l z2BTkh`SgV>*@!yx#iD;)@2@=AAH1cjBiC_|lc+hPK8)dw&K7p6N0|(N@a#*Y&po-FWK< z&vgHIfQfs5meovh@_rYFDhswGIIh;Vei?F=FcBuk>qIBycm7MrlP%H|L~#~b)>nNX zFlpBtYNdUImA6!Jai5bl1K6rzm%LPX-9pgQs^I|_i+TM@IPs*k7PnVCxwOgG$P<9G z+7FkDPsGMcXbbzXRSYECt#~>cx_Fv;6t}DVnISdq*j^+x7xEsSr_? z@^8t~Qi6xPMoTr4bDP=n z7&)dp=FjZ@+c%|$a$zky-jL~ZNY{YbVbGF)_uSJ-#yJZm!Dl=;FlN;juX>&UW(yjQ`dNUT~vg`2XYNx%1(CDUTp zuqC>s8^gx0%YR~?E2u4d#y@Xo9Z<%OlT1;(UVm9~7u}K~eX;>F%T#!c8#A<>_g+{9 zKZKk;)AWg@+fW30w7k!60rJvu%;I^KuzdvJ1)0wj|2dIjiWf=V0z7^4XXBA_q#CET z<8B*kn`J-+C~$CNLV=EjZQutxY_Rgsr*x!W1}97C()Io7ZZxWsgQB?Pq1aWjD32ez zhv+{PZ|<4Lz-;o+O5ReKE9ts0<{1<;0u_!LJo-CPpH8_hftxTDyVIzGlw@)a1Gmo)3?Q4q7wuP{me3@#q- zXyk>y)Q;P$S36`hnVR_EJJocNd~y6CVcQwE@V6%@pui{1YYz?z+3@$PHf(OPtn|N} zqEYZ4=iOu!orUBER0$&4&f5YMxGayQoM!oVvNQ&uc+R+zK-pG21BY%TK7$fi`B{;# zd+}-hOM;Tvvalf;y#U-|<;$?!YYf>P(^q4tfBEg$q%CSH%6}3C7c#kr`1!`~E+p$| zT$1?0!vD;;dm9FRkI=r3nz$uwN&5hGiD&UKwxN}PD2tbm;N84nmE)fx-l}NKB_12%*O)XCCAJw9u%{&ZwO*Y0=3&e z99i5Wk~pN?Mxq$mLK$)5D#I=odE&t?cIAN{ja`p<8vx~Br9#9dXD=<(G=8uT(!*T@ zRh<^rksdB>hDzp^aOn?;W9Eqc5pAh8hT??~z18*?MeiW!B%)nwf=+Ik$28515LrLJ zgEe|y)%_o!_ighul2MF5-jQL>OkxQlCr}C|&eowWktwcLPWTV1%l!UOOR6gIo-@Y> z?WFBw?MsZpU&h!$v*rSSW1k)l3HSe@I%D~xc_djyjrRU{M%}r}Y12prj%642G(zrDIY zd3>D7O>sUA_$&}KRqafRS)sXf^=;w?^h30f zFt5E4T3Jx6{3~<=`icfY&0B0ZgE%5&4YGTEzbr32ta=+)KGKn0^ebWms%O$|AZv(z zu!B{-64H=Bbn}v;=#<(a>$02DC)Rtz?k>OacjK}?5uej8H)A*A3~!_O3${_3>0tNW zO_yhu*ZQ8E{FB+iJRkrwsS}LD6!gKC;mPaHM)Kn`H#bfG{aKXPt)u%t^m(&9{bJ`rl^%}FZH=)VfUzD6>RKa~b$8oh`l?edp}9PP3V8Gfy(( zCGb!GxctJ5SYrUR>A;-_mA3Q0k?R&14A}=Y_M$H#Si@@kTz};XI@nVvcPqIw#_{n! zf&bOWF@fshg5PnXQTm!;Xps0Q_c`DcUVQC%`=vVI;c{1i8a>Ce-}A@azr#ZROt~Ua z%W-WYd_%bk2cLrJ9(^rh`!(Oh!S%_{&!;MKjp1L>((wws&KC z1;^f>r;ArZwfsB2_|}Lw>JI-3o7$5^Yq|C6w@%w{mfZM?Sm~AQ;>ow1GSe5;t(9kx-m|2Li|SmYxt*Qb=(T<`LH0#I*X~_Ue48{lp9T0nRi2=V265oA z$2`G`bbDs*Hg|;s7Ms@1$^|N={_15DXce~aCaA51Yy=<3#Q#OaMWi>=JzddQyk@<& zN!bGLIJ5qqec0%UwyND~`3c8x@W_6Guct-*plB%iZPqhS7t!AmJwU27E3?#n{#Se? zf3Th#%%ikH7qeD(=yhd6qB42E-(l9>?-PLrCUGgdmj+_}H!Vsf!b;dt2hk$5UeTJoAte>RA3N?E{;BLp%rM zX8&DRXB$7U22s*QyR+tiNzj!3VJ%~Mfo6%jWjA6#$UbYCMu7zOQ|o45?*4>e^5|_) zH>{&6*|5Dt1FEgN67%xt; zT)F<8W?IeP8)>1JX5U>zwr=pyX2I_epr!V1&9jBjtCw9$2)Fp&>tZNXp4_JAsDOA} zAN9jWo3lneaRoMtK=VmM7xT^HYYxd+Dz<|WRwh1RNxXx&f~e5MQGQ;N8qpU@se8%Q zo6q0!z?953EmAn<^kt14g&l4@#S&)LZE&IxI5c#lTiAvbFr+qy5YMl^#-@o?zWl&f zi!B?OHpyAE$z^4reLR?-WZgyXSk8!VOce0AT-cu+US3VzdTA4zH&5G>xvME6RJBW? z;jX4UgiwcS=S$_TGZjS-S*8coy|-sUV;6QdCD~1_BDMK>DNk5o4SBEzz+iCXBvS5k zooj2#X4k*6e>)_;B-2P2zhE-#31DtlawnV2{v#F#<pS`2I2$`eb6;`Wa*P@$rF`A0v`_1Thy3N&ox&W+PSa&dMaayBIB08BU1 z#YwN|EqK4%+_K8fHsgKc*?l*9F#$h;e03;2%-JfQRLTC~JT0GM`>|KFJ=Uz)Sy`Hf zLJEksS4~krQRl_3Gsp~JlISyJS*MOw_S7>_c9)+y;{7*#INtQDUK6t70db0KM1(2I zhZ^znVvU`GRk<~Byhyp=pMHwca59JUazKyG#~AdWkfJBJLU|X2m^q#xLHW_5BZUI`NQphO=ni2t=vI z?3bVunvL6b=`-3hm3Bqb$l=E4jl~=p44?mW{@%~D`u_Si+zUo z$9JR}?3Sl%A$h+WOt#K)=e|pF4NU9M^i8qy#9#0-Pf?M>36FXk(|XbndY|&T?0Q5d z_QL?}zZl-}V@(%gPEWP^1DVv<{ujfG3jxlI?dc4T57Z-miwhWav5N>}cBDN>54UDr zy6+3WT+5;*_qQYA&HbS6W{F`(3oUhS6%FydxUA0*In890@t9h#xiC_R+PaB4G=KP$ zdLOH?>2>8PF8RyEnHBc*_PA5@?qg61bR5}jr#HwroK2`tvvel$-cg4W!PXTwi@{u3 z?$a}7n)hP^AA4|{xkW#ToKW9q590WJe@mz8G`vn`DVBA@Fb%x@5~!iboR%Pa?J;Ar)dv*bWSHYAa;RmUF|Pt3lmm%ld2wx&4+}UqLR< z($`^)GG!l%)`a^+0SX72t781g*!8#agbuL+zY~XT^cwHLeqFM@z#Rw;!u<8WIIb}x zS|xH8lrKUiMf4E<#Gh?!ri6$A3%GLK?fd)HZ2sPj$GU@Ut#0_M*8i^8`o_i~h%1h- zmE(wl{U9drbqy30f4ec1l$yu`5M`ZITmd9k(+S-kYV-II~7g)!XUR z#b2u|6)2TWCPTdPOZ*)5`(gjB)0kN;}3FQlXv1rNs0txdWox~-RLUqI9Al~NZg zn*|Sat;~*x!>4ST53#?lBb9|Sl|z32_})Hoi$Tk|S%=&Il(;>40N(fJ(=hn4j!%bg zvJ0Dj#rSKj_)mLO;FOQgycjqNBVZYaFCz4%u8*42B3<0EB2c2SRp6wPT(hd#{>k{I z>(5__3`nOpebXPEFYD~0bG0xkJQuz%3XZUn+W$0+*TQ3b z_jXN*9~`(X;ROh3Bu9PCWN}bG1`rm>zN1mH)#wqRt&i1LKcrihCH?to_<;Uf@my~fJsZ$Ga@FaWAE& zfaVvlUjx>L-&?i-o6uW<`IlIuBncfBPtThY$@oSuB4lUBY9j^~q}@nV4^)IbNXJ&N z7m}Z|KFvHdbE;kVX2vl0;>$2uruOUj)2}4o%>tQ+x9Zp|4yCU7X%re$W?S3_Oz7>( znZJapmd&l)lgY%LI>c&LFsBV$nT1;hTuB7ics-`d-y?ZxL)5kK;!8&UmW^i4V(N1t za`dTwA%$v7+xQ!ARmd>rXJ4!hg2R{=V^JbPt%OA%{3fw=vF7im-SCE=4xAO{ zm4g}7t&2z-j*HnfihY|;(;Gh#O6FnJe8L2E;zBuEul&0IvIe(~I%>c7k7PJ*`4+%3J;Sx!*$D_yMlV_N!sQEGSJmX1Kp0RoI)ro0Z zbmt@8jXDM{iI?~8StmTTFEUCuWF9^d#zU;NnnlrAV~5Bnd%le2Y_FyJQvMb35DSfA zMBjtsTLayM)}8prnCM=2m1*W>klp&^OYREAC#9UWPGp=L;-qX#y~G`HqQvo_$v%8= z`SI(OI~Z+o`y1s@3%4ywUqY=;)0&E`E=AYm|D3xS4E|T!yXaIuP1~#r${(EX{TwZV zuCs>J{ZuKN=+Rz6zhrVCtc!Njr*YQd#Q4^s8~Fa$;Q!V3HJ_=VO5m{pi^=qMeaFqL z3RA*MRI-Q!S%f~G<(=7cUG*VkE~>5P_9tv759+y;t5I3qZ@8QazVbmj43KZf2grKhoH+iQ$c{XkbpYxwh%C_kPwj>uRRvO7 zTj}zeLxtCgaf%=f(sjb48@`Y|xgBk=1XGmBGVF>=0591F7HE5luS~Xev%H$GfEdZIC(YrN#%21 z01-L!um^f{Uke8-36ScRF2Hw5{FD6?Nvk2^Vb{(#XmqF<_e5 za)Nh)?H@roSkm)3HG{Nwg8TLlM>evQNb&(e@c`TTO^GbOSR!4}bmYvF@oVF+Q4=TK zp=|NC@ACbJ*JdQ{iw9(3>sdilFvbFvO?W zLo2@xG0~IUZc@*>PA}_r@fYPqa`rI+e^jSyPE}qz>xc7Rgc~HptPThg1VRl**C;0V zV$6TO8e)iNeIb-MYo$IAD-z?%k&DCVQ%T___8OFD&I_E z&lPyTlG`OWpaZu`F|hjWPuSlpj5_*7hkT{|DT6P&$SLPbrF{TH}Z^p-aLLTbY? zTS7{Us3ItRw6Up3P$1oj7 zjpnCgPZ`p1RI>XmgK?l+WDxx$s*G<4DD2tG!c2X~ce#a=!;Y==@_un>a#&Evd;agj zH;7d;h6A?efUoB4Nbf&$-Umx>%Y!<7eg0(@SA{d727j$ zKXIES!zNnvkCs0|Q=?!ODgpI+*%8NcE$a6qG>0yD52M%^Uh{@6-P!yuudI_-UAy$2 zfgj&)I=y>G>KIS-OmF%iAl*(?HN1_$K%Xs(O8^s629}Glbnk z7P@0X_4iGJ=ZB2v5^uk+uoz}6njGY)b6qB?u8A;kQA-% zv=(I3Qt=a2Q0jy@nnu|PaTpC*78u(`@Xw(hl2CI(sA8|VG{2OeamyvQ;$uuO_r%+G zwC-|~E!J@|@->aU*{~rOt4Eoc8MZmVb8@c`L|Ae(!P0X^{-`${V!dX}_kXzCtwaMJ zCXNI7E;RAH!=lC?JVj9ZE{~&j1G(ui&)L`-#w^(|rV}aF8ev+d&DR9+7_gro_!H*| z78re;ke+`$k`p#n(ns9lPbvNe&zurBJ@>O94T-&B?L6}~GQT3Z_ekMlR~Tm8byC5# z-GS1xWOcFpj(8;1gj*4Tb0SiA^~voAN7O5^9qrdY&TWqAcP51`tP>yn8ztf(w@93j zTIdVb$%~-0j9E~)&mBxs49+1W|USg7rEgzSr5*O`~t z;DG5RFE_iLbeV(3-34BN(has-lc@@)BtyNgW6(~7_&jt!+Na?rz&YkLde-GsYRze_ zBDBx{4ab-bNXodK9D5BTWSYshyZcAO`Qu{e*#0|8sR;uVwJ6xtyv2O&~EJ>SQRcTx4JP1eI*AK9s_G1>)e zjMQ`Nzj0+&UbC06BKYnKT)pFD8X`>dys$xypOr7WemZv*_;WrVI7-HPS+Q(+6ismy z9Y1Ak)s3k_ayIcIXx7x#Wl}I)0CxDP z-B!G_@Y;DU%v%;J9IODl}7zJv$Gz11!0 zC!7AE{i_D&UZK0{Qro>`o1a)d8E)HMyVB!qEu+LO2!4m*<^~qJP1-Y0FVUMWA+@eT zQ*U!PbeB6MGB&)k(pGWy>_9q8p_U4L9ROAbJ$>srvZkVN%KN&Ew938BvonDZgem3` za=rg_MyrB=Ym)?c4)YNoXx35A^Vl@SD~27p5PrW183?-VL@;~D2+{7WXh!0;HOnsa zFdW~SDJ*Svb>QM2n;!QuOh4@QvDWiJ+Br|BexbNYH+k)F!`ov+5sd2ICwk_i83Po& z&cCC!>;7Q+c!BV}J8%+&AlV0{KKOSf@W-YTfC?Mj*(jn-Q#9T)DLDCL2VC2-lbUC9 zz{Nbrf+Os@3wUbPtDr1L{odj)8VFpAx~607xxiY;#~-4zkyB2AESCupE>-gctKV;v zmuy3M$pA09#Q}YWJb)+S^XL7T-2&-1Vv>&xC<}>zI&Hp$)|;kELrBFc&PB2Wp}C^k znkOsM(gc}gN$f+WyZx;+Fttnu@U7zTjLWASwZ7?}Fe<+gP9NTji23%Gv@z&j$YEcs zMmuVxg2&o;ri!5?aGAw4Xdq@3o94h9F~_V(`V zSma|{nkgjZ1Op6MbaQ#xAm}XbLH4!;B<>-IO)UfmU?+k&WPJ2`JsSFCUF)gDu?G`58z1;n*jWm|!p8dYQYxj0J z#;mk*r>VTkN@!-#YDvw!y_D*J=W?fcG;|WRK5?!;U?&=4h`=?)*h{b2`LonY_S==^ zBbgz6V3doE?{RfhKN^rDRGW0C3UBL4fxk_)q+=^Z_wIUEHp@xr86YuV(u3=N?ak?X z&y*`XJGbvg#jVU-;f;buR67Cpue2M4?sI_@b9B@(qE~v8~+Mp zJIY%>4%Bn#TxOK{Lz$9;8;4RpfNMbIjPx+9wcuT|wS4c5*5Um0+p_fjuCXSFnH{g7 zH}Eg(f!T@Y+d-2jek7*?UjCN4YchvNV}15kF9~oCxgUMD_~7W5IljK@(+<+>!SSvC z)q%40N^pu>&Sk+~3f%;kpf}kEA4m`sy~LREP@>qr5olxfRNkvhBKw6DiSnLBRkmSO zkIy>JzrhTQ?7S+9Iw$*>g(CqbKV4hl=ELwQUn{FL-2@puaPlm#Gs-Gw4)tG?iQ}p? zH0%{Q|6p_(Rz_NPopmv1p6dIIW1YY2RJc&b(4GgAC&b*uZ6zHy%hz@S@8NNP{VQLQ zJ29-H)}yhv85P=})eqd#Z*gta1J;QzrtnnLTbm2D+nC#aFgfcnc55~$D8cH;ef_&kHjiB`W^{t?WLoe37@hBm%zJ0`*_DYKouJ?~OswH6rmn1N3#jBz_SS)Us z+pnZA1KXnyO#H9K{O!0{CvU~zfj3{aaOWI`cAVgA-wJ{xGuq#rf9b~Bx9SWeP9O+u zfp1ycVq}n%zFk8^z^{BmK0q#HM##Dvm+#-!LpB4(t%T%03#uqyWMTG z|KvrljuU7@NZrOY!EeZA9dJKKxuZIdBfzsSg}v0FY+up*_|g0}CCKq1>qzbjqdq&$ zKOZLi$Kbv@wID;(lE;SxXAVr=7Ok3k^Jm`8gsK5~-J6bx8d}gxBXJKT>8d{rOxOb` zoq6|eUW#cCJh%}SAk)v}D?0au^Vd@Ry5|;VZl!z=y*3VN`6_-O`m{pJnMqpGJwiKO z)0+!uOyv_2<}UBCT5{&jm@e<8Po?g5`HIgRRdp zJ+s8ze?z7ifa|v^rM#AD?fgh=*~n0UIwO;V#8F@7)R~5OsWD8ZSml~Cf@Nz92adbE zzGRSc!CW5ZwUaXOHkgsuL1v0(3y1X*6X0=aSmrW~Ge!bk;d-w&@GfPgU5m=Xe$SAS zgnu-XYclIXs#`NOvG;ylbIA$cNBtg4P%7umB6u9Or??FFx2hG;pNne{(7gE8=s3p@ z&s;3Drkge`KKzoq^L~lO8=%XhJ&$-CQd@9^nTg9`H^mHc7T*LIb=SG1ZfrTrpFeQDI=*8~d*xI>IZpaHgAQ;;s3-5kVh6d(k)HIk%Bns(NZQ9U zx;ALm9XS~Ebm#a~oc%)TLZ80?EEBl~#}D4&Y~yFZUDPDRCFuI8PSv`2!I|S%ah)d% z^6X4%fjr` zRtar}hAHn7d(g;4ue!da-0ib#Z(1XTcI`j2p;QA{c_hB?^Kj2$@03HCk}&r4Gd~?* z(NrFI2OHowj~R((6jl!R(W@K`z{BtXBhl2|0r~<&Uu({`Kt`*uK8a{+wiWL0lCfN; zG%J36_0ZoC^u0eqY4tWw?vsdb61zUMcDm}-&w0K$VVzO(as;^WWYQCsThq0v6yM({ zL=f#Z;FH%-pfG{^Rg%cfRBJrK1I2N#RN4z=A0HDRZ~}GJc0G28hqFJ@4dd`m<5vOl zy=s@KP=*h<)berO6~csd`8 z$0122$en+(IYnbpX@9cTaOxTKKwR&^Qht+eXjp55`?cv#+vE9AoJ!Y<;C3Aj5NwFq zikl)Z$JUMo+5*1&Y*fi_D`DR6TWfcd_4h9qDhc0+%Y^j;|c zKw#B#5}l6>%6;;2p$Wi*gg2?El~+z$n&`Z~7ZG*12eHpS0c=;3D2b zZ7zan7+*GIiB_!F)bb8ym*lEt0+dRKP86T?^rmz zMN}37F1->{19+$P)nu;8=%mZOSxgp52c^*9HP?kB%? z!T(hg;ODuh{vc<32x1$#V+}qdBLPEeo!8+N{&vqD6bniX( zhH8QR!f(OEF1!ZkA3dT!Y#GeFE58<~ofN@X#;9*g^VhtEZp^u10^W%K^~XBb<*ov_ zKC&Ge>-=37Vi;54X%pTiOS1iZ88RahwhC7wIzIs-kiCNTR3kp`E(U}1cNm%*$vGXA z5VnFzuALrLv&1{WV{SGF=Wz%Fhg{IcpCwtJ?j7D$fwOTNAG6u6htwG4sOi~@$sM>) zSB{k>CCf8{JSW@zZ4XyvEcp2NivtkiL|~x30Dh{3Dwy`Xm%WC%xuaYO%|V;3F`&~N zLqdqs^}@g$%bn#KgB65F1Jpd{c~sKWy1lo*JYA8p;nfy(296(Q7&0_UT1WBYaB_5Z z!%JfavyTi`{1i8mPHr*jJ50`*1WCf&dKvpkxbudEcpu}4XPFZ5_6>p9oM6T<@^p-w zf+NTIFW+!)*JlBM*RmO_=zWR4Gy#2X=VkWl^n0krspzj-ejkfA;ZE53E%pHb{?*JKEa<3!hRWucO?(CGL(^YGbxs7O9@l zdl8HKHCT(UD;+M2Pd5Ha`$IhA5$dq%!{fi*Qxr*g_ zs_#S~tGmp}D-+xA^62wMHvLsYJR-rT0tALE@7Ygh!}vf9Uq?u8KapVgwH%Yre7`=f z`BQc6yr@^mcTgc0&~AJ{cuQmhbj1C2K{M$de{=e|f=ERFRPu%+y?rGMXLjts1Jn>B zo~dT@y3G`IC_2#zAH43>7l6*CzV}o&DW|)d&?sNpy5Py|h1(<2m@X1bEPn{~U?W(w zFhj0qjJ<_^BD1V*ucb;1{~j{5p&US$%Qo@tM>XLM1@CUM9TIV#PsTuAZ%>Z*7o}pI zx%(^{rY|1FjQ`Y&gd47h&Lemuoy~&LMN9Uz`^TrGx`3r5*z47y- z%g}oC&V&!K^#xnMdlP`)6L(U3><{VSB5hd`z9n_qtjJaeyAnIyJhk%?GRD=z@-_g_ z%hL@8yD2$)z)i}w37hn_U#t_P&Fw?z(2Z80>d$d)7)h6w>O^zv9P<0VvDJMXKpans z>k_*a`Y(5p3EiSeYX3H6LA=gg^O8AMRlIr-akbaUIfaA9e4Kylyq_-}3e++n;2{cuBL1-yWy|RI+sk=PzzdN-=NZP`nwE*IgD71o)w(`!#r^Vt zC3svP5F8<)J(gRoZKybl9Z}wcYde zeaKppC}z>|4Znn$wK5;|xro_T-p_vSnJREy?|(bB4rfTPKU9e_`{FnW`@JXx z=_(%j*MON?m*HfdE(0X43w7g4ZWl<02X&(i#?aQ34vPfWK*3(0)J_BCBQW=&Ch6t~ z5JaKxyl8LkfAGzjSpxb@a6%B)g5$#$z+lbO1N@7*m`S%E@mLY2>Z$*WsiLFilkVXz za>nAGYn$*}kcVl2PbKQZ-N8;svI6kChm<6F*JF#f1G?Quv50cSD@@#jdNjn8#;8}q zY#uclrsO%R62P{;z0BBkjr$vltn3yl1bb1(h!**^8SV#(8)%nPuLt_+Ie4|Sy;}yA z3(SX-&j;gRmB9t_@6bkt#PLKm(lBIyDeMO! zQ?HM{C)4OIRf@%a5-#=jSbAoRfOz`fKqs}e>}WUfeIl&+6Fgh4Kw~1oqoZ3>=l9#; zc>Dak!bX6aW4xBK{4Sh*=bdMw2L(9I14aXdB3sxH+Q3Rn4x@^fO(PbSb7a)}7~&Wd ze6jW|QdqwVsVegc-BrFdWOtS;8|hN-n*;_xb8&7oF;D)~RfwO|GH^fx+Ep;cdW>Ll zMzDCF`B!D+O;LNX+S>h*tdP`*=yCNu!Ih*P)(zIMD`z&_5C_LC7=HI>%)gKzKv8$E z8_s!a_v*(-@H;dScKukqm5^RbTs`vxuyK?WaAYOE@H@#XjBlp7$zUlhOkgeI9P^gJ zE5P~5ul|=EMt&W!9s{cLS2S0W_UnvLdf>dov4e2hk9v`|?VC%x(BJwKT)_-`=Ofp? z#&o^9up=%NBRYJ-dexiP8Gdhf11VGERFd#89R&q`ZImoWM1XYWG6ON$z2@pct`1{O zK+F+=SBrpweyUd<&mTlZ;&T7s(wp0Ho)C1{^UsUo?c)UI+r=Xu)6h%Slx<2}>V5L` z@2Y=GTN>)_B}To!k6oYJd8CB5mQ9=iGlEwcgh1{`x8wE-s}2Ds;xRt2p^Q_M3Wpb5 zhXP!jFD`!P0@ddAjql<~{j-sHV$7g6P8z~_;A{xyz6{PyQ)jGS^I*8;=bJ6Bx`@un z7SRzS&xTPH#G(>PM+hE!R`92s^Q?65o5Qz9^$IyKHi}=18*=OL`lD-1(evsuf_Bgc z<@syV0wE(|CIUO0<#o_89Z#9qGn`b+kft%z_%=3&UFb&pcHy$@Zrr`V}dSngZh}t zD{=enF)+B1yQ5&oC&7j4oM>GxAP{f_9>IX)5-+VDjR{a+wis0!oYFkMDA^EOy9pV5 zWb2-Q(!)GCt5A7asYFQPt2yVz5_t9hRslE)XGIaptaEI0A!v(uz z7;G3qE^Z{nj;sEnpd>reFE9@xP{P}RCP5IQV;ZmTVjUq!n2pbA#J3236ForfA9@Z; z46Fg8!;)BdC0Gx@pD;34fZo)ea#>|EQZ2H!#4e<5u6|@(*xppnH^VN1Sa znwv6XoZ>diiK*KtK?uorf0a!i8H1v(oAD`C))lI{JF~bsBcHFs*_#pXm)(}tS+sCb zJ*r!cLi}#eVu+~z?p~cVLq}AvjM?02BudGjZzWI=HB0?$zxhv^m5>)0d!)a9F5X8R zV?V}(Zmkq2w)5VsGLfA6ICig!5>V744Le}UHHR<^&a)Fi}dTiZDH$GsxfmAr@3 ztPv-$SC5l8hI-xcK4ZLokHF`2oNBXg@MSvc4A}GB-D9@s=8{En{9KJYbyJ7b(6-Bv z)3hG$dHXs3X=CeaG`cw<)3?|&zVCwA843l(o%Z@H%zc2An)$96{4hN7v5Xm((Ou2U z;7ujghRFEX;<+un$|2Yd@8A0V>&bkVGp4%%X7guEJR@A$t#4t`f2z}OJz>&&6v5mq zPi*WW4?5k19t{@jv-(Hd{>Pp?(=Dq> zWIBI&G*l@~N(V^vF&vHp z;$&n?5cmjuL4($tm(C{i?amN?>12j+hmSg~4(>)M#ig^G7#<0w&3DU*%(&X6UhgUZ z7c1p~?|`?me6&uOycj5qN|uwZ4k^N4DOTBUi05rzqTbl0D%ZLZdp0~iPd!H**4dsp zmthW#+;A8eywT=%pzFwRj$T>x<3uRPErc#>B|SY&4?~$#^^};uiutr!&*O(ts=vg9 z%zNdo&~812c4t_#f#?(i1k z5%Qx?%MCXI=ke$E}T z=CxOvpNY;1H0^0p3hIA!A%r57Llr8ZNI=}Yx#Sc#gVfV0Rxu9?sgCRN(tCci+dUR-oF)>L8IbImYHnogghnW=?uXm9{3NV^h%a zz=1Y)=Gs_pW(RFBA2!%9*ZC2rD&`3!Q+r5rfSdk&ZH1NJrMl1FMQ&4iPdT00pI}{Gf4jsh zCpT_oo?IBN`g{rVgoT#O?X~Mdrp|#jK<)G2mx4JUFjzB!bY*`@aP^AQ#CmULc@6)Z z>s)#*^n6Dx-k_Frm94NrD1#yuQ&0u7+b?aBg4U{ijn~APdz&pgqP>4=m-z;koNi1N znVo$Xy7y3X@#@H#Li0L!Z6NyHBXhjh!_SS;@={g$1w1ojJiJ?qr;e_%$xax-ejFX*Y(hv^_}3o~2{RmscD3Z#c8%;#CfjoZbZNAu0d?V@;_ zPqF=qdZBWpE`d^R^c@?IgI(WZ34^+sha8ri zlCY68Tgx$IqL}04G>6$RHZ$hC*ZcGPUDx;j@AbT{J+D0<*R|)i=lyX%-0rvg{T^@? z@jZ?UWc5i#-wyRIkqP(wwdwej-M+v~^Y=l#kiQH z`A3K$jiy35qt*nPb9qruK7>=XR#xk;{i`7{eY?)+d#I~sCH$;sowl28-gtu3mZxK* z*UWq`GbJ?6Zg)Ss4!U{v$-a*Vp&lKlHlXS${gL_MsiV|YkG>ejj!~=59xo2og9BIU z_I-WBvD>1qKrF^4I0Th?dWQ+1(e99)D!U67R5GF6dWc-e7S*0}NC2 zgu*XfE|s&dn@>ZiaOMK-ny`WiTe2u?a5n546Fl&U6xQHP`Z`_W+bv9RqwBCa z9GtLv)aJnK*fhLAEfWHxhtoeBNcBNJ3t}DV?fKSW_&9nyRw22RTAQ+K&@#l9$~&~b z%ekcQpi92^k$iz}L`6k{zPYeIa@W7r5FqeHuel$jKp0Ww#jJN44Ni`4uoH-jtXFMf zUQs8vC;?vuTgjmgvO&+U9o0WW-O<5C_#rHLR5pqEj{uB-$S#ba*R=OR42x%-q5QRM zGpED9+@Jw?&S;&yZ>O}B-u-vt8FwEAK;ZXSvMy`+K(LCQ$|!_}{|G-1Xn7MXb%GA_ z$bE=1G5ZeMdQR%`*>IKp7LZJhHppcHHVaa9!d_i;8o@Wp_Bx zVDdB2?Z9=9k`a?G>Gu4epQT7K(y&B_4az4V{&rjRV7Sa#!YQ|rI9Lg0fydPCTq`$Q zZSWtJdo7+`phk!tc?RaSfxM(-bpX`~V;uq_zB)cfdfog_Zigl-g~WhcgmcvhM?6MF zxDWOaPsqlshNgovtvqqrTkqH6Lk7@#XQcwR51AV2(bZW2;K)BX>HOJ;8muy!dmI*Y}Nk0)UjXo=Q=#Zz;4HuhSf*8?~?T|`j!ml zcaP?C=;9H3V1=jIzDwlQ#Qtl;=_59t{e{=;PS+5*6*a*!G&)Ldq(%0AT`r^?{4RkB`)!2`^#s<1ckXZjW3WI8h7K}15;E4x^&(A< zyFPRO%X!+RXwHSSEF|S}}P)VBRSX9>eB7 zdfFW1(9jy?UUhh}UTkzT)PmlOt{_UoyYfxCb)XVkX-Ow;<97^&yLb` zfo%iRugN~r>xV+~i}HIV@cX+G2;L>T`B47&>*VZ=R;;_&9?y2M(B@vz z6e+s``Gg6zdwP3W5of0qm*+BIlo9I}rL9|^vaf?`IbzC+no^crT6fzz&NjiiJjQPj zyWrs zvB>R{HmAmP9c<aM=Ksw`jWd~L<23|V3x6iejfgz-J_=`6SLQs+Nbfepzle^H5jk+~8EK@vtI<~d-N^geu|{PmVTF)!p6$_$->l-*ZPUt&X#XZ9$ET< zGw6FJ?lpHyDzwTIah*IItvf|JqMsl9pGJ}NC~m{Z3bT^IZbT&>(`2pr2SnIW^kd|j z8eg?uY@|c`Cp=5vB8K@9b==7!zW%*#)yXxfFWWvHDPwL)Dds)?9VzdjvPb9I=WxA} zfLHXtD_Ce`6D9tu2@^K5f^$jQjW}6%5A|Zs`yuuL4Iprnbs4b3kPrE>mJ-#)YIIHB z-Rt*OKY9^Tv6tK05D;;E#m9{QUPHZL^EMajAMpyM=#y*wGk!DHQN)FE@?MP5tkj~B zslnkrPeiIX`!bjk>gW-PeaK%@@N3^-)nxAo#&u4GW4l?CR!5@3pZ-2-)Ub-*%0nRm z>U)ZvIsSl#^funLEeK*-;`s~bb=PXFTdNXAMR8$GVs~p)c}NMgG7KVSK)M;>r3;vT z$h1;YC1Cms_O&K4R*$27B2%gSs*itM0ZPocO(}*aBkjL2Iz&=l|F+Xh8DxRP`xOSd!_jEIFqPyRm zmxS=dJrq%7!V;C>Nckx>nz%O+14q2yV!CxyiTVb3K#xdS`!<7lPjT%34G-?V-}lJ+ zK);wHwHLd3xxCMrPXD$e;#2CKruF|l-=36M>$6`XGCuHM7e6(6^7NsQ2DlL_ogRbW z77tuj_Om~&)HYXQbi-FXoCi56*YTxu>PJE6&M@>FMew1Khsr>Xxxw@xRpN$$+jf|N ztn3;FbkAqt&?sQLW4i^RYa9HI%KcyhAo-f^K5*JNpV0H7$$5Wc29(3lygb^8Fus#A zKN&nBPuDz(L2^y>({`>pA87TlopW~q1D;#;wLW#$SqcZUlgP@|yVGby%p}zuvlJd5 zV!$BLLABihqzU_2K1VUl-ejdSyFCy4UG12x*w$DV@#Y1VcKtaKWRX9PxF9_jOB4yoKS@IjEQ$pqYXS~S&mO&Z7--wy z^4-#_HR|7<_~pFZAibsY-~`#g{=Qv|{7Ut(9{W6X8H2UlHok8op#I0Xbx&F7X@-ZWd9IZ8~(->d`*N`HB={Y=&r)lBT=t0iv;%rB0905XVDhU3&7lA>RZwOBzC?_HW*d>3;Nh=@idm}(c$0b-9`7L<9{aM!M zFQLvtWh2U@abg!!ZD$mQ)Y;W3X$16q?R=Y-Rzkqkbe-M-hJULLJ$u8y@?YRvqx$e) zqsm?+Vsi$zrW9Q+RB9}`hV^_$gY z3;MiLN|p)!K62h}Rr-32*j!RT4E_Sxas)yGT>fF-_*+h*e~E=;_u|z<IIM204F!HkE`M+5V9Qi7jg(igdsL<~TdGh!w_C7mJ zNe+>vc8y$5moX7vano!)Yh{ixK$T}8s3T-t}^a`O&lX*He!7G$#I6aHGxdZn& z&?_mN&h5?)FYuZ5W{LiQWZ3K+@xdDre3`39k>Xv{m9tpD1kt}DV;K|Jz$}8d&DHq0 z)@J2LdV!#3tj+LDpZC}r8ot~7>zF?Dmzy_|ef7>C3+sDk!_z%sNWqdTPcXD0T|+YGAc*idjK5jM=`)vRS#xHZI`(06I~#974VNPN~PeY+>q$PVA%v$@*iw z2?d7i3~UO>YJDn)kF89jJ>Z-M1Po>9VqGTs6Or2lz>CtFYMFRHY9Qq=T}dIJU<~ek zU>vt)B}z0p#@5PgK>yVkOV{~=&YqnCtyj-VeX>g4n9yezj%)~p<801YoNn%2wA*ny zXW!H`;`d&b&ozf(y&>%tKy03epQf2bMGC$1W3u$GF3KQ2YqtJIjNMNW@AiV(7hi2JMGs>(}~lhw7&@_ z?FPDQ`pm{AMia=NRA(pFQ_>~WO47S{k$n`j#vx3yFxlUuh$k0WSo_E5eT{c&n}!ai z)~2@e;fZ=ZG$ipK{9=;9hp?q!^KHv20kJ2!xpg6=AQ~}S-54zCFXpN3+gJXVU zQ`QoX95}UOui1*j1hVIJN~GyhmaIHR_o)_Jlxw0Zz(E0~jV28?0rzXDW8k}DFo6)O z!JT_)__KRShUvS^(F7`AYxhAR(F3b0+c!o;SoipQ!sO*zcn&=9awQm8xtFYSN{_nl zhwgUBm>3XOvs*k`y4S|f{nDqdC+59PB~XD8e&pZ>=HI)*Q8{bqEx+ z-3g;blxN|W>{mbC=|2*d#NNhqISlS87Ld+wmToOt%r|^C!t!)6uhUAmLMe?hZNCS$ zJ9pH!#CA{Zei`1Z_!!yu6|{01>n_W0fVVY#QF%H-U|)E*)9*(i>R{zJV9fwIsyV=7 zO-o`m5Peq=f9+pF-@duq0c*7WxAq?Uf}-=oA?$7NnvFQ|)j^EfnLtq?b1vP-3ryqW zoELcit}vCw*Y9-^h5(JO;Er#d;SN2ltO7SrjJi8ZP7LY6Pc~yJP^S zAaNse2uvzU*bwJ5&~wIP!jclj<8T z`)g%?Xwp-5$kd`2b3-ftpsfvBfjm>}-?|Bm)3>ICPRbwn@Dg!}xY*65 znt#d`mAAi6z0DaM9*HRI6jk+VNa3ECV0U42Cj{}YU7&49!yZWFSwhb;t069@E8O*}! zXKTwUt!}u3PqLRsU+A^ttC%$C&^TN4I+XkkF`tV(d!TYm`7|9sr! z^=a{W-XE~vpj6k}zDhWM`M6rBRVcT{R+1;DPLhQJAKbK@*o1i$T?)R51V>7?p5*id ztZy(7Gte8DR}On*@>Uv(Qy7H=RA#+qjcHI^%-g!zKeBHQyedhq9&~Q>IV&05nzoje z=$@w+w|{F;4jbn=80m)e-2cl9UkV<4cQGliGgWSYS8{$@W626ELyFT@s5(M;O@}s1 zB;v4S$<1>y0^uprrUG8z9@{kni1jC)WJ~7#fFx)Ra+X(KCrP2l%%D z*ce};v>ZXV;{u;{3c)zq^#=JFE<`Ivkecl!J5SBN<(mqIiL|&~2Eg8d^%=Ir1rwcu z;L#KH`e>;HV~oMkCFMl8@eu6>g;x53a7Uh%Wk~IkC-!Ic&=G?NIj*Qki93oZ# zPuXJg(^|7wHb@Ahx7Kr~AGn{Q*Ou3SLq5p|QEVytHcE^4zYX^-vw(O=l_y4dA(cua z-w3Dw1>(2hwk!jEi(V$n#XK6qhO>c@A+HT+R2ro0r)DJ5NCi_pxJLX||5CJhg!B@1 z+xA56HxyF)^4ND5=c&wNunN7j6R=fc3R3d9WN6xipp#If{ezZY;o9%Z5=$kcetlWe z^$_dLFq`9AUWD!qT-EEQ%T4`_PWc~xAl%}Hno4k0=Adj_(r$F&!uI{m$KzVjYqwR+ zLz@Qgo}227#$in0wLH1EEU}(O9-6m!bKS)}+?|X64Ak@NZd&#>(ihHE71VvZ?T!?(gJ231N4gHe3j-Htl&Q9yAC?>n9Iw#{y zboP>zc}7n+LOcCh&~^gaY}ARg*IGTb+K*pWKFuC>?b^m6O6||)8ml(c_f%R4q}Pzp zU#oN?DmRZ8>W^fc84pT6ZO@*jo?=CV%TDQAq249H?{m;XX*I%=4j_O~-jOOse&k`B zIHpv5@J%+DKZ5;O7B!q8tw>-b!0k|)3DT~(*9a$Y_QZX3CIwwC$2~yA_&iz73=UZ+ zuPpxw+WIL(H*InNl|8`kj>E4;UXh7r4C+v?vZEU!xo-I0^!OX}Ma{YyQcQl@G1B?n z9iH|(B;006`~1`o{-e$lef~F^Z${&cVzr5K1l~kfb%J@K7;twM_K#{l<`e6G79GFw z(od#cck#i{KcSIVI}G0>us&wlRghx4|rJNx%P{pdyXiv1Vfc<#&1|jns{y6 z1A$D>u^$)Ib{fRdq!P@-goXK=UcZ*Vsa1(Q6sUKHk7EhW-x<@Y)Twvb)1ET~%R*X9 zSs5Q)AoC=<Mp!WWeev+wh-1p_*MIeM91-XuZ@u>7-ZweD&7dzi)Z; zV$~A&#bj@}HV8jYTEdjhE7P@f?RlYU(#l$#$SLw z3Vz96U%171h1T?GJUgeOMz75G4$$-9Z+N8SvXzkUCA0_+v@Jv;S%E&`=H2i~s`_hm z$_3ZDngFFKZX4oahm5GNj|Yus6|Yl8ohtAONUv%6pNuEEs${cpPj-bco3Z3c1s5_o&DL@Wbc?=6if9`h;eM z7;wuU2H3i|^A)YIkm9L4e{MW)=q_1@umuEO?*0UjN|ShM4wS%r^0K@SHsh6jt!2Lv z>UHUpOd|ZH{J>#@4{Dp5>6^nu;Gvyk$je)3mSxnh+7~bVAVvl}+Bz}1Q<8;HUmu(} zic^!bC?chtJ)Cli%r*1`ju70jGa+ST+WRwlL%{*#SWjJ*n1I@aeJR3;juWubo$0>` zO$TQUuDv7#XLjlxi;Q7B4ULyZ+NgyX?1%%4GtO+tY1MR16x6`Vwz{E_-}QYKxRO?mD3J z?PQ-osbB~ER+feHB@RVGDhopN2_D<2VLJ&Qd-|M0&ZIN z{~ZzE>Qh?`{xSI)YxEcjVDCRX+L9t!O2P-FiPD9757VG0*1dEW(UvNFbfw;j%Q(I5 z*3&zi23Vc2hdr1+Gh*0}nTHywGqPQhWB~n2*hBs583m&W#LSrJoErOZWEu+8Q7&#E z`8e;=PAGUCz-*eFL3S#AnB7Ec5lC-L*(ap!s`rc~en9wu@~K0lM;$hYSs7rW61_T_ z$xOl@3lU#V6(#d_uw7A9VOA@cD3|Gz)I~lwqCW1jer{({1kW?Edzup_#O;da{wrIH zgNn?6A`#;?ly{4JPJwoX-NaEVh~ey46KiZaasw#QG%3;{iy7W5;RSyM zRYmz3dW!}tiS$+G1g$nqp0OMaz{vdB&O|;;sNedkH7JO^K*f8@a!I|`>sRM>4+g@S|L}QfxC4{Li0XzHnC6iU5R}qH z4#$@B!_#Z-CGD9O6k4BKb%R81Mz;1C1#K-82I=r3d@Xw?LC@9B8mvpgriND!BbUS4 z5r*R)2dyQ^I+qT1;R6*jk!b7iFB&pa$8u-}oPMU?y5s!p27(*xaS-D0V;*G9$ zSWcTxWl2)e4fgnbZFVA2!vfZxJ#Sg%%)lZC)J<~u(wejPJR0og}p~cMRivvg<%{a_@A#2)_ z(*MAbF$+i56tvY`(-ec0qC&r=aB6lrNz51omtARVRN$h1i7COHb5fk*^E)5#ag{|# z3i##LO1aBwtvhxpUJvp)NW*fL@a19EDd?&n`)pr zwI&C0p~O4@Kt(LIB7#Ni)Qt@ycS_LD)3I_oU)qmaD2>#Lk4Nb_`^`uvzL)DpPh4uM zE8qO%S*w6FzmuM(TH?I`O^T3VUfXcU?HU(lzm2Mr`?By2q%?A>99swfinICfB#5*+ z7}X1k+-pDMduD+u$&#QR3i+@PZvTH-=ezt~2!FAe$pegd-mBf0OhX^uVdOu&WiO!*++)e_1k7R4~3A48iQhu_k!h6QX8 ze+*+nhj^$-fucc3o29&rmA|?K2zC%LXjo9eL+E_&@wxktpI4jUL=PzqE0pB&uoF%l z$m)6caAGR!O~uT^^%Fts^3Q)hy$ASajZ}=whIQ{*p7hn|-d(&NBOTQU7~JbvIV6pW zybzKKju+7fp`ew<7jtW^(@wR}&JHb5P62N%smte2OOTu3-ie0u;g1)O)$6Pc^zc1` zY`rSOVKYqFmKQwsN~g*d{69{=tmK{O|1%)PcrhC=QBzKMR#)&m)#YKPXD03Nj=cp! zZCytD?mA{&ZFy`5H{cgncYD72&XdF4O^fzR0BT2hmk#093=zyM@5^W~r9Ng}4A(Lb zJGG}W;D+-a(T4(Wx$zvl)_e-|)|Ll}C-SGri|<)HDO^_!mEFD0EPL^b>iYE29>RWH zCwaeAL-JRGkMcXVlq%a8)QDX7ohzztQ7E&R&x-QhN~w-%3p_j56BKyQ%dlup!|?;? z@}?KPV|YI3$QR&AwpIw>@j76KcWN1O^vusEyRCocLcaDrOeSU=rMVf9p#8FoDtt_f zDFZ}{8fd$v5kt;|eVl{YI%UDxpO>2db%^t2(o%dao8w~#@WY1Z2-?NNH1$dYnl!{8 zhHQc(*E-#QNktsTTo+LP-gS=Q5XA6|Z*65xKO+}XIs#wqoLd*85|sJ#&Vl z5z3oGz$g2II)E=E0DTfGLlg_ofsxpM^O`~0po=?8fpz-}wT2?xFT=km0BZBcP9cIl z+{itAlQ7@Mk>+lT84rL4@mh=K{7LMsTb|+Qq`YaOR$FZN>2UVCgk^pY4Z?Pug?>%5HAbz zJK2Y{e4?L*t`d7P(PHRA& zkksd)9_pWQubTwe+tb~k^q>z(0byhF67{0<;ml-%_`RG+jh(F0x4cTPTfYVEslR2I zA^v7$66B9Ttt;mmhIirv(y~`|y2WDvUO%%OOY6V+^gmai1xzLlil{iH?VsJ_V;cv2 zy*W`rZ!!k?QgJYg?F#v87AN`%eV)GEo5{K^glx{wd8td36NKN0w`f1 z$;rn@bmTu&-dFNk)0A(CfkPhlgt^0bkx4DPA6HYwn0)(LHa%UrUJAh>g*qmX(GY-_ z{($Cs^+~%t=N!u1Yu45*(6FDi>hb1E98+UaD@^#523`<*IcDDt6*XNZv1#wdbe`}v z?lDszxJWVY>;7q(gY3*tia$%Q-A#;I6`bwEiY zu>w=OD5ELGdCoZcljnK&bLIgQ^Q3D<37VH?1>pM?K2wddzdTyPrnM9Z4eh~7nxoq1M0_C~yF8~gV zcU|WRdUnCfl(A>Zf%M*-Ov@b(E56dlXKA{m^-Vf7>K_0cnDstCzv7t!<#3E|s|U~{ zE2XjTQVA?scBapc4#iy4cDn5B-{}gT$Bq1uApN~Z6GVJi9sv?wd}u$n*_@$SZDC0I zu26l?lk`0%GXEDgB-wt0M&4eE>EZjGZNSUHuu-|Q{)sZWkGBz_OV~F?$;MeRZ?N>& z4ciEnn0?{!KzTP#cUXBh9)x8NPO>XuQZZ0Ls9HE8%GcL)EL*8YXmhA30w-hMQPDB2 zJ^yivUjKVBq3_t($uYG}^$21YH(eJRUNElc#-rT>UXU2vCz_3r(4djItS{YIA$$|nEdbFj7zS%dunVJmyk111pE8vTmyFB>90HDf;vSb5oURrN>ui|K>3H#(%+6- z^bWMdcQ*uK^}7OqMz<;%OBq_&I|{V>DhnLB-i&YZ_`C(`0X=6X?T-_xJ9jTqG;Wtq zZSsL9eBrhF=;Hmt{XO!rprO&2!hWA`o(k3Q`MU1ON|KF&#Pj)2A#K7n_sNk^&lhsAb3 zY3(dD8k4Lc?Wxxl93W-b6?~_u&SG70=P<~kl1+G%^(M35_}W%M(64p<&4$LPY#c8YOV zSYv|Q2ZVw`Rh0E9RykN!i4MgHDAM_G1L(LSOpI#j-&Ia_&OJKcf_xo^xJ~xtB(8;` z*E~#8L;LHYFm~$kj;d3>XVE_Y$$d(6I6UikJz%*?$XC!~^mx59a(57*GA0-i@iv01 z{wP`{S;p_E_P`3)lm{+O1a=oy@*e;Y=3&jJolNk>T{s21gVIVcR9|!M8;`1=?^VyJ zp}LRRA92nnFgZ~-nGx-GgKU5kkfZD4*y#lP5&dL>X|&%R@>!gK5?u-hyg@#XOI4r~ zqxVHP;c@OAvNtY7fqoK)F(d2Y4DkD-JS@HCtBie_4$OsM;yDh%1t#2b12xTD&#Ic& zg}3}x^Mo(MmO$vhx{RM^U+25e9mA7lS=eZqM$b1<0pkbPk=zH(4?p2CJcog-r$~rW zZ!Lfk&Oxbi$4O($n`FKSdUTz@<#7sC|D9h0zQVv%e}7De1D8J0$BvM4>S-z*CY9=Q zL^;0Jb|EJ+21~sf9^#7(g7nnlIiHhhpdW{KK>~23^igVEfSJ)wtw?cRWQ&ph?E)r) zskz2`0E{dpK663V=Q)5$0M zJw`oCa{)~wB6ZU=j3|%Qy0B{jNY#hGN4q5fprW>CFH;0jA*FDIF8#OxAe~!#;&Q+K z_Y0?5%ut32ezwcG(G>MnojsCjVeYNwivJilM{yx?+DEdh+_{J3 zF2#7_MOV{FmesgvQ2YF;)^1io%>kFTB?^*Id4a%h8}@NxbY;CJOK1XwvPd+J*PBx8 zC_NUUfu7u5R4`%i4N0pKm>mLQWKu`4-8;=o)=e-_8~}{wi#MW9qt)e(h-=Fh9NK70KI+gIE*gp?UBxw;}=%$7fM;_y-(SQ zpn4QeWCstIV15?oUf>YjF4+eth+lccGUi|l7N8gtFsq~S`R_9qe9ce^9*@RX$vQV9^B^QKStensAw zR9EC$-?H9ccjt8f^lyq~t9cZ$-==*wg3B)YAGW6ywo}fR>II5rwmB`ij84fDs#IZZ z;1;0@?Sp2^FTnioHJZhk{ls#$nHpH>V5OB_euGQZAAhH);Bnb1kW)IKX>4}&4!M7Aj+VYx~JV(oeeb);|Vp+QdYiv|=?$KY=7BHbO8#)E7uFSkWN{yi#HoF(qf(#<&~&m(l682Hy(oa#9<4nl0>|;MTVW zIvH$98hI+!e%w@QKQy`Q^K+yH!_%<-q6B2~Q-pa-N5-rCsfmHq?6(;P0)=CAXv0^A zXJzJ4(6{yn60GTGU;o;?u5-Gh$M|X%{-lF5OQ82O5*hqTzWC^VYY@ zv3tj7Wa;l>%6J4nq`#97b4s=_qKX-)K`5gAvI&WCtui;Kj+0>>Ks8Ca@^ywIhjtp0 z2VU0?wSXtIgpXsSiOwM)e+s|DKy?|A)4HfXf3COE2dNEgObg_|nsT^^Nbf{&`)vux zQ5fsrY(E&!{9`tIu|U+3MvJOU==|N7drgx7wQ7=P95ab~v0CcCPF z!#l2NW)Jm#1O4%Ttr?@-RH*}6BqpG(ln3}rAEau=tcfLQK3HwIc?Ds9Z}k0>{Y-r* zBIa9oK)r4QF*_*$sqAH#X{$km4eftUjEL`QW$m71iy;9MikX^^)D#UZHjL>3Ej?!j zrYfKM(Q60a7=hQ)>_})w0{0)R-fIV@qX4l_nVs+uQ!Izu) z1$-m;ef9bm`|$OXGjO)=N2Yz2gJS@4bX%vs4Q=p+CygCzP!~x)_+%uf^BdXLeLCn+ zivcXvLl*g=h|ry2#1a?{o+&5E$t>;sIXlAkmyOw9kREjTQzaF_PuBs~{i+JMJUxBM zC@6Byc6(e$cOZiNbi$RoQ)@yM_1w^mxeP2aiZ3kbuR_+lmwS8%O)q@r*nf)ZXc{wvnC+W!vDV_=n>1X$+LY-wzO0S zSZHClkGwPLuh6{sE&(!uKU8=XyaQ1!sN|b7}V*Jbe=jxSWu!Pj5!N z-EB~?zX$79isgR}im*{29f3CQoc_(IxG%Us0e^ltautw7;&D1peWuF!BBD*vHcxMi z%*jSvagyNejk)+?idaH9Gn0O2Bp&z}7Q5f`3KdDcqWC zz`F@}?E{VZB)Zb-E18sOQnlG^IDaWJz;*unXG3g2&elT(Oi7QQojOZ5!Xi`f#^Shp zo=>|`&f8s{U3~=`yVEOiwE_Q}Yglz&9E!n8hDY0}@Xx&M4fCuRv;arhE#;{_(fp$a zS`1qJ`=$K{R;Wo*i1#vRz8YKGJax%_=J#E?(KVyGH0gquktYe3d?yaqBf**pcZGfR z&_AQ^D$wua)X#+^fJs8EY%ob!-vtFtfZL!v)$Sc%%YDjwrS+G@J9SJurB~eTW@Pb$ zYL}c_ZRxZiN0wAgspO+U4I@+T+#ec73>uxkJ{sI8A~@&&5GQ}&ElA}}!p|@fbklvK zdf+qH&Ep-Z^A}YOx>-7JkgXPxbKT8Vj&RKbH=Gef9~8QR z%*rXtmP(gB{9^m!iWN9LQnRGCCyTwA@*^Z+FISn_CTuNbbXh3|@ZZYqZz2_)I7SwB z;nBY*EjwR=VAlAbp*zx6A8J*D+DfnfX^J)(+0>9O9PRHuKc}0lz8xmwy6aW$uY?kcYI6o>LX zXj&K#Hj3vL3(wH_dKDO`n3^-$=1>@KB53S()%tKyAZN)S?7`yae|be)XUD@fFD=%* zkxS@3%WAqi$I|3DFO_N6xyD~4sZDdW4%H2wIcr`R-z#V8rKh8vT2rVyCvYz0c*pCY z=f}{rBP>ZYlt(|BFcuAeOGrDS|CS(lB&ohQW3I2Ir=q86Xg5zK*Ws)5){}K$n4oTB z->jOelK+2^F`>T0U&2@4BlK9kA$sD73Wu#D$KZ^O0Y3FAP)cYw8ixfQyirTM6uA8z zJjrB!chh?+TIZ^7Uo*}O5yhO zwI#@iAwOlpy-B4*1=Bz3Uor+D0nl||i3`YIt<~vGI(J^+a~3{aujI$ntksq7Xhx>( z>(<_sh8cLk{^)T%-nm)82wXd?|nCHO`3n~Dta6V=-1K~8}OR!bGAYOuAsFw>{YfKbVNQg|@F&<~qj{o}^a z>txcSkjMXMZS3aRJ8O&%h{4Z<>NDm~ytuzpBhM-#lP;RX%|__^7Ml&ckK>Q!9AcQ& zibn!H`G({1v>)wYNjNljNJ2(em?PS%CUJ2T-=KakjjT==ap>4RWPqUIA7??%P4;vt zc`2tMYp{qXfn9n74xYd?%@Jk8g!Zd0(9{{-dEO%vd4&CFKVvcwXC~a@jQY=?)BfPV z5!p12u$Z2%s*IFX4j+V^6)k`Ph+$wq{`1;yo`_-!;{jyJMO=7 ziRBz(n9Kwv%O|d9FBijiC7}WW?`7w$uEzR}rNXoet4%;B<1nwoe!Qu8QxWwm29kgP ze%NJR#qB03O*$;*5CaR!xBDF_z()Ipw!RuQAwKVL%~yb;o-Af`irh%*#w$6~g_=>5 zI92iR}$VJhv*U6`F0}6Bv+<;<8BbaoGRSfQSir^pD`Rn2Nf0|sO zWGOAZo8@?Vd-k>7k=t*yz(fW56WoA2-4Qp_XFfJ}#B@6EbM=fd2UvWQB`NFm zmlvf_pgk2!e{aaX+EDOw?a2z_GwTR+b-a5m*U-vaZFA-cZsa`u4HzTQcmwsX*jY#< z{+GK6+Ia~m19EMR$gR^ zXq7&eUmb?d{1-v4K#|TpA5!%HS@byFk@GQRhM815y7``#RhKFpeL;2KY29vJ|ANgM z)QB%F#{3J(Gi}5FMQns?(Q7tgjxP>cNP&Kxh$W=^ks-EoR#VVokHhl`^8W(Gy6Uds zOefV~sdxU(e{^z!hmYjGMgN*MQ+;%?#EIq?$G<(`bLz?;sGSk#!k}pz{G!VJ?!^ef zlzCNtL(vz(fO%YGJL`+Q3zS8KM^ zH_!R5?E0=G2qx{IoaWlEljhVmf8E6BepQhtInEozoaOf(sJ)v_Qw_HAc`h(Cu=b?Y z{B!SPmV3Zqf~z-_>;H3@g!X#n|9byq!N51jz_9ZziB@anFeE7|759dfVM5}V^noaN zWa0AJe$tAFOHOvzovQ1WcycFvK{>oCOFt!kaBk+w{6mYMo>nGR^4N=8ef<1_scDV& zdL=#FPkc@eDBCCal1;xlSu&3d#kwqbjt3XG{pVv@I`_vY!=2}KXEk6EJ#~~Z{`cgk zWNQ6c%`-*1$r|r(EhBa@BgLMvWQN0-g|RF-5Hp0JrVwMn)Ox)DH6m3yE1#xX7gHCW0{s>Tdn3S)Mj%h#R>ZPy&~}EaPlOmOSBd|x_>ab3 z2mUC+wr=jO_EbW;X~doq-WEQ|t=o4jCJyEz`N%hmVyu|2h15DBkn91jwtd_1dIFms zMAfiFN~ir$Wt@bS1N9vX;OPHQ(Xl`dM0x>_86=IJeh;~+-i4=)@r_(~4*=y=0qL-8*V)wT>#LBp*Yxc2JGvb*Y4 z(V;AzFz3B7osztUPUI8kR_nd7#P>n5YKV$Dk%L4u7u(n>!gumWGH}Ul<%FB&F=<_`TlcQZVZs~UmguTP@V^_@EoMW!HWFG1 zZV{Pq!ps#QFmpl5`UPGMZ)+H6^3}pz%jV_(vO*S@31>d{ZVT_WZx4xYrm|S!=2ZZl ztQtXn6!}E2V zEs{hRk88&TGmb5KX%YYQMs)iY_APWzq;3B?rH2(^dgBc2-!HlolDw)Vnx_&LDDr>x z>qa0fapT4wEW>-8%#@K8ZoYfF%rB(baVRrpEYtP~2W7v38+=Ue^K#b-SD0CEW!Ae_g4+{-4UeGpdQMYg=y%C@P{< z5s+d5DS}dB2vJZ#s)7{hO^_0hUIIa-NJnoOW(!l9NdsEAu5Z=pLNzwet}hO!9y zqkC6(w@D-e=VuI3TM81Y_k;m{EghX(DtQ6n{!Nt1qC9Bozwf1627|JPOq&2Y6$L&y zWCX6V94Pf|(JT}7VB8j7Up(EQpxQ4E`i{^7;BLG_2LqOZ8af$w=?1I7;f9Fk(ci{} z?Sx_cCOH7Cj|O2>ksY4zJAGRWdvAie1Mq@RT?qlAaqu#nkS+2zlo$ys%-&$?jjW%` zpaMfak_z%DRyz54qf`c=UnrfV!Z#1yQw~l4MTyrYq%SSC9cpY33c=@w`}OYBn*VMX zrf#=X@GWd6X7u`_86Y10t6eN+8+6RIN&U{RM#XgwzZZVKi@}A6@Atze>tp(zd>^8h zyVhGWQ>IV`O#z$RR_p+o>8hQtbyJ_Ky>|B#v3r_N3BgLAaOa0Lq?nf`K1bYbk@LEZuY>@U>Egw6$>o2y+eU7<(6bi;A37lv%}Xn&QA z3ytXnpE_Zz;!@{q*|*m{DVn_ZCff~vsce5U{^L*4wrw#l@cU5`>YjWf@`hyuhCgzi zt^WNsSS{hOj=wMXiJS|}hRk!gdE|HGRV*!mt}*C6KAD^@jAeD`KfVU(l_;IGSfpRn z(7%Q^4&V~|{^&L4d?)K+9oV}qtKXbGLSA8N^(=i7B(SFrXM}ZTeNt~bMTMobVKqZQ?o4@M@&n8 zU}@C?5Ahq4t>J0Jp3;Ohxi~dUz)YLByY%)ge&1pFio~+2)EaW zw3-ytS)F{f&eny|s$U#5+GH?*w#eb*q!y}H44mQ^8#P6AuHs7t_u(X9B3Uxbkrw8- z?QC&Qk;CT^Xu!18Tvn9%&5qy0mg#JJtoCn*qRlT2IF-)@UePR2+%Cd1h{NvFY{~n| z`q($bcH+Z@8AKIrUdjZh!L|ByXD3LDWih%915cJvy>}6hF?&axgBLuME;o<3nQh9V zf}qhGgi^Lz4}JPO;KBf_d~O|{Rb1a=*SxLvXDSNOV)7xUPL9vRiS!1m%)Mf1pyVNp zb4dE^T5{kf7fyC-omfl5?3j})&Z#nJLQFbU(Mn1y7tJY8)!7Jrm|;dVGngWLoz*Wo ztAiSdTz=e|d%2uF6#J9Xkh@`vbWFV4drr7qcAsLC{zX!hzR$eIS(lnkfn|QEz5{7ldPSCtixY2GZ^i@{Uh2KB3foEMA{fRbDdq`1I}iMr#KKb z?Pgn32pq)V*gj+R1 z!@l-dhs;=n5uDE%D?b)Nuq$qtF*h>GV*lXg0DUS-H{Al(73lY`P$iDr2Hi0$%9gpD zNe*Bw8R;BR5z$`yb;Gzue;`f zb@wd>Ti{dqwwX| zv=ITUv^k$3m(IQy+Mfvn%#y#sa{MVOse35|S03a`#v_AM%@i+pyQQm1z{BglacYQ? z^FByj#E}3L`X^wrZhQ;Lf+c(`Y#$q&i0N3}NI>x~cR6Gvio#he2bP*G4krp%RStES zVGvkv2D{Vv36@Yv;?d_S{~cG3-v)j+t+Vw62OO~5#RB|4;G-1);*PV&dh^Wh?iC&) zYknU0XnkCg6^x5A>*XdFqn!sGD70nPL#|kkou-ZQ8)x!b^@g7ROW$MDd)Fj_q=GU* z0-2eM8bE_FDtW9CxmnPR=xs)VfhQ+=H)>0bw%Cw0xPVG_#SG$`fNubTm@cK*1x0L!jRqcZPh>h|Nri|boc*}yZmPsZnMK*$j|HVw5l(d6sp?@ToUAh z*dfKixWg!m<4$GSS?cq~1S_L{@wiTIbtk>R*ueq@Tb?O#f4&*|{yN>fZ8)To>>c;C z8$x@Df7Y)KJwW1Fc?pmp)pXpV<_$qqNRa&c#B#_T{rq35URG@i`YM zwkug|OQy5M@KxNS@~aL8CZ&E37fH5m_RsRLb1=%9rs?9PEdO#BZrXnHGX3ZANA0Im zpo*5*havIP&Jj&6D6yB@Xn}8d)1O%{G^YfL&7PUDHCGJkgUg?^mc;(` zdv^!n`~;`ynyGxbqOJjUE@WY@PJ*Q_(A@<(d(3pcvh6}i_=(nT{_ znq~D=y%d(_f^)TF(3T8lrZ0xTCH)Ybe^H$;gf&xjeB`KleRx%ln;%FfXrzZ!@1Kj; zLLn^>RsIW2zhx3{1c}Exw4w&TFn3z!6=wVs{iRfA9?F^tZN8z)?zB|@?TW63jG20w zT4#IbXYM1<)<*|c{yp6FS#kPvn$}3MI9#COTwrAY=5LQ?gRU*~YK^_N07V{>Tqt~4 zVEB=@`$qW_@2*^kTu2iXWi3m#tQT1fZ&)J5US8T)3=zP40)P=_j(aM=e{Dtvq-b}s zVJXv&DUefK>QZnYUrmM-KPz>6tvHR_^0CB?SgFkG(y`L9cm0g2!PtO3fgP1U&Wrf( zu|)ys$z2smFr}YOVwCgyIIcl-ZsVUQTL(QZhQ1DIa%k@5Bdh&cR%fr6qJtMHiu)uG z0bX^uYNVTF=wyb6UxPCnCiW6|(ESyL1r=w24{9+<2~!n+Il_b(BbDCmRm>~;*6wqx za$_d5GTSlN{c0mmHjvYdTm04;Z9xA*N3UmCu`)ys+b7#9b6TYml>fvS6%_#Hbmk=4 z%C6MX;pDJ^o5RUiI725h{VsPxaoxsa+&Br%g~I*+OamL zIKTLoTnluxBrbNppiK2=6jf`78*iW(Em`*eHsom7HX&cw$U9z+rXar{m2KyoQ+Iun zjC~hG<0EK~>yI{a8aCr_|7eVjR(;huki?BBK_QcTKm@#^9NyJX^`CvXn6Df%|7ha<8s!VKuczveDV!zSm(%Kq1v zi#fWS)(>`s=gS>xg*7P~23{Y*GBC{BQ8Bw9qlK{pqsSC*^G$K z*>17mGK7Tq+S7T$*qODb0{lD$(lZZVQZ9=!ANRp|dD2*e^!Qg=nMszW-iot#fvRRB z3|Ja_J8|IB%0fixXMV^c1o;YV|B`^9-h9A((-reM4sN41r!vRiuqC_61pTrulAwFC zm@VNWp&6a9Xx|{Ogxxa1b&(zZ;9FIUL*b6x-f%aYIZ86qMcx~hDzU_=+Q4Om-OQYA z%5lnZi0{1y{^k2Z$#g}im14HS;1Y9IsxsD=XKU23@!4=1jqSIU$ zh;y0LoD5RVqfUO|;zfoPk$^_Ia+U^&huUHhCO$}(<^nkVXZ=ppHNAisub8!dS)sjv zz$0J6TLwLb#!R5&yT>Fp)#ceIb4MJqvXYj7+6IdkEjAAfa*?}J9p%R~@@-!`hb$Ro zw1RP5B&XNbeq}NIB62KBAD<1nUFVf9Np#Bg`arx6bh48V7uHE4W;FENrze;+QEe;s zEae+TI~8%F{)HvU>9yEbtka}8?W~MwceC(UzaqHWNmJ>tWNsOh|A0;X(upzT`J_&g zk$ji6QF>;LjMM%-ar;+8p5xWV!HT;rYNILP+j|%kZ-e5}{+m`OVZW1u^9#K`&?k(? z;|Uhi;4@X1`Fl4Nq5rP+Zp?{5(%$U^@HGh3Sx==;DCz`!4d|U8xxWwLvg5C7*W`9D zs1`B#aiyiK812lYF1~GvE9OZKH3m&~GNh+&ItH#EpPI}@vztW%iifH7Y+$Pf6-Xz# zG&M|J4k?fS6sIz6Zc`djWNz{`YzE^-=zJAdYtT9%KmR2SW6TD z>RoFLHaqJly7WffM%yUnzNwiF6>1f*oBUY3$-Lze7QLlyNh-tFZHA)zk55JmvAJt+ zU3ko!N8O@ii2fN#&{MP_8rxgTH&xf%+It6s!SP@M;*0ds!!ee`7$&1JY$lbuQ{{O! zYQNUO>??w1nO<>LUIj2&At^otDP1hd?wK&aO!)c-FG&zGpHiZXLNdDjs%U8C`N~Js ztGkq)sFgd&*s7(t%iEC07>RH>a}i!f)*{p%$aT$(4K5ox6}9^3mO$7Mr)+`s;x$N`Sra=U=w&<7{Y_aB6Y=*{W~e`F`C9 zzu}um6+H?M(z0^Fz$c#Qs<|=esI-hYA7;s7Us<_if7a5gN=0_1JiE>RH=tRJ$@)1! z?QbY>BVN5Ytw~tII1Hq!ZA0Au?!Fw^@VUBeQKeKXN$s7|u>|2xOxvV_P}yALG7{71 zL(%6GTMwT2-J(|)bl({Z-Y*ef7_shkZe$9wUvs(uM^vLFQJN&x=m=Ez!!BrQ^P|G8 z{Q{262n(=_Y zs9aFn)cef5LO9)wI6C$QEgf-AI|0(bH(xmc7sa8lgnq zn-US^ibO6vtekKVUv~>{Twkf3xX=p!$>+z1>~%X|Cdg`!ngnbtSm}M4o!-wd$=;)m zA0(st8X)Qt6facG93l1dSZgZu2=b*2PBe-Zxfy`4_d^Az3hsXHTnBDfVc5_jf?!bdO=S_V|D_-~ZnxB=ji0fkd`f~beccPU1u3>`z);50i zL44*vmiEATg{AC`fEC}4XhG(KK7+lQtGZ(e#4lDl+?VD)dm;q!JaMHJj#pI=;iq=H^`9P02we!42f0a2&57flb}-u-I5HuK6_j zDPL%=Oj1;rEiE~@O*@a;{yy$k!M*&S8eqjQtQF=zU2pi{FmIR8ix>LieU)5fYd5bl zK39G4yc?-|u5G5fjB!jF^2^?H(3#-#b$9XG6Dbo#zC-BMCf^=>MEB`blJqsRQM^J? zg4kX_{B!xPsrFqdK4J9U0R$Eh#dpcB)=cSr7%slEbu`p1WMNaL>(pqR@apvH*}=r` z>e*vqumyQ-IrCoEuJ4IU$?q8v7(eMZ!#r8-obhOH?@r+dq{r8ue9-dI?^FxggWTjC z6!~Raw(=vp_4f7n-=yG(2V8;!|C-iQ+^@*+9EXyrS3n_N|-mxG$8J&1o@1GVK z44;18jp0eC^mSW>w2k7=^3$K%$bW7W_6i*x5=t~IElO}1>FD+1cYP<@${f8aPQd=z zj+0uHdMTWBsxzdt_hiZs!_c8Fc_T&lGjPdXZ6GU9%y``PosdImwW()_ zc41ao8{Q9%eem;jNLckhd?$t1I{^n}UlVpJ?VoLV_`^&;p$8xOqlJr)@tVB(Q~$25 z{(Y`tz30Md=BlVF%a z-D|Ut^KLu6_Vdt-eG#)~J%@we%h>;;@0A+*%%m?!YZ%06e4Bs!B}wpAYL4a#(oh$5 z`z_}9*X4?5kHEzTnu~WAt3C5I!i4?475u`E-M?#V_+AYg6y7XY4O(4y6u231g_P@uy%2vS%nSOT0aAyf z`mGV<=hu7=KnDoa`@Bv|am^`otxx^CBPuB~rNdS${|m$Z(R=97TxtRbw|t65ggAp5 zq9WnTT>x+raPoF%Xq)>y@%rk2zu{T)TjYLili#++yG9rcyj!;Pr_{}e?|%^0jg04w zok7UgH6w7T&%&gv8)hTM0^r>*~fJ<6R5`>U+X z!WRp>sI2tt=X|WxPIBpwz(yz*cKjG|2V_`3)=;lcUR(_=XUW%wCiH})Pv7Gg>Yv3U zq&^oGmpOK7N4#CUs&u5heY!7dNLsspx368(=4EUKS)G1a8+*okIuHi!m$O!>EE5C? zyrWM9wOEB#xxSN5v*2uh&dyGMlb=Y3`zc9XcNl3}-$iS*>jHeWlDKal5eK4%tV{oI=cYZwwcR!G@b4~-uQ{N-xBJbB z*Iy|f@V*>rk&OAm3l^VRm1$D(R_<9v8}jng*DMQvQd%WF<5m;zGr!jlvv>r0)H|UB ze7(Q;g7Dk(D`QF~;;C^v*6X^Lyo=?=85q@BH|gs=SZuXIJ)SJ)+K7xq0fO7=r+2ld zut)lG^P^SJf%0LyW{SlfikF9`h5DZCixU=)gaBCntiPC+ zCP)5&-aC)otC*5!p;A8Wi$$P<81itx-v5lUvX{t( zdrFMw;o)m@(*3&snLxj@@VlY%T=S#jG@Fy|-5lr_&LG;0FYHwje9=`=(l0M`Y1%6_ zH^u|PU$-xX+OufV=`-qk#aHmN>TUTcLizIhiY7!;MSbVmx2FL2Q(E*)pM8T>Md8Ej zXN53jV@id`!?M9!hldSpU&rp#iw$jnzhuo`KGv#pw{S^y;&{f2XV0Dbq=40Cgv0Cl z-HEVEBCPM?$?EU>WX7}9*&s4cONU5xk6fQyM~uw21CmumphNuk4c&A8>ifD_rGLfI z=;!NBj;t8#S}suiK5yxd#%H?+z`G2e3PHDHnBPsgZ7V@_EM&{Z-5cXtfZFEwLf<=o zxApJokjZu6@jY#a=P{PZvcs`;Zk)UKY~#0k{~gfaEvX#Yp}|7{=vx!n?WJ&uzr|Bb znXe!4xy-RuD6M%Y^Z7o>eZV2R!@>S-$N?j zyN#yY#ZT<8-OMdV{GA}yr?QPN0e-sY=^{QBEExMCH}RhU%f8zzx&L0pHwil1-!5W= z95qYi(Y0EL{U^EdgwwqNjoZtegKNIJ=c{CV+`sfuM`~BizQ~(q8fQ?`54?@)e)&aviPy-Ib&h=Dv<<#@3KNj)@ww zTuikSD*K)G4n%pbt?<2ngY0_?p2_@eUK-};cqU@W<@es-AR@B0yD{4hB&xP%YBjGM z&AG8NEzCNzBKGOSj;&E{F&8CuT8!PooX!&92ON}*gqd(Jm8qxdQq2ONJfGbF7+G};vfpiPW zJHF#Be1J69tIqk3Lz|oD>38~l-LFy%PZqM=24&M&T3%Zw?=gqiN&7Z2CC`H>hXZ$F z?0Z8G%iS?%k=YjR_QuO_(@vJbOX0~J1<%3DFI*Pc&U(A^tl-s$@%oL$b3h&cwN?oV zawFfqe-1g9kY|F;FzBjn!crI^_>pkM1*by?-GTR1o^b{uuv- z>#lRV0en{Q{FAeWW%_!xU2Sut5`x0$=RM-83^X1=dG{PniQMdBTXcO@?8xEtST`PN zZT52N+t<+2MbFaCyEH>3en!>ULajaSe$jBxoK|F2s?dCTp5o`DD%fQAW+2;3GQ^=lBcS}(7cGLW1 z?$wZ%rIOCwU)K`9i_6T`Q$3?|x!1=W-%b%_VPD8VLqJFKHECjKPAbKiKBOme`{X135rC|O3NkAr;{5wqk3l=ldjEu-D_UEG?v^Y zFZ)RYN8PvP4P(nW)rd=5pw)%K(Nn!#1XD-ETD@PutZr*|vXISx*|QIa;g;O!EfADg zUZLZCdw!XJ)f44;=XRG#zq`g+^}?Sv#xFB%s4yOrv%EEB^}8>_EQ&;byCLslYrN5^_lZWK5i`i=#m-ouNO;79WvDpi+W)rUC`%GaV zHj+u0^rOf1-<>%i;(EQ^l~G<>aOaXoEGOjJTrhy04sg-RSyI{hCatcd8*q>U>}qx( z#r}e-CXKMRZFAx+-$G=)0wsB zZNy;rFhr`yo8zM zc@-F8W<6@t^NRJxt6AW|B}cI~pQ$Hpb)w}w8+3K9gYo8v@$%-`%FOcTJojAh5#b?w zapsR3X;p9equRGa$S!l-T}SPd^G-(Yb@vFuilj(191L;vbr7|LTY{-fv}|D`etv%> zbP~;l#`MXmO;h6p=yQ;xSLbg$=Dx}}Ttc~HfLnQB)l4HWdV2;fJMEToPK&<`3O z`sE=e;E4i{8<_CuKOz0@8`S?cNU`Q3sMHf6ayt-WJmHE?u{825)AI*H!KCNy>f$GI z;fkKWGSU}|`I&}E<>$9cXMN}DUvT?`^?QD$-L#Cl4w`g*vm;d=E6$^yin)BiU#FgW zmQPCUXFSdjDvaz)iV1v~h*bbUl3GK!umuni0QA0yEb>m+8n4VcG`d4+Pw-Uwv<4Um z!ochrc+*{4a-~AXf@UN6)W-V0YLok6*gf68Y11|t}0!mnWM6S+^_+>v-l`HR@i#2HzVi~^k zUD!+H-jIhBZ>iAoB3;H?T0x&l^cc?8CJO;n2uvNPMacP=TYifuGZLJTG?v#9oi zyJ#g;k`iNTwKPTZV9GsI<*@@;Xi6tG8JAC2J7o%g^Hp~5(demb;Slg`mO$=E#FDwo zesOK?W>FEw4N@8GD40rR^&6mVX(n+aoNksd%mtxC%C?{>CsTa;QqHda()^4WLK+{t z0{%c|wK+fNKvnXYHb2Rc9|>HbAnl8w71-GyvSehG@KVsMv=vl+RbRJs^p4QT?2NHH3*TxG~J`5*p;JNp)M^x3!> zB8!!7rjM9?U&GH^4>=XT6?L8vaqhK4PJsW+1qZd!(ZmPI)%u*qcp)o^gfpz~U#l?o zok;E!GKlQJ+~bEwnP2LRVaXR(ZP#tjJ?=p^D$Zfg80h+dxVoS+Es)oaGlk(iT+pyR zbqpN~z|wFRt!Sf^e?AU8I2=lbTa;;>^1OPGHCupYF8<<7v}JR|daj=@Y} zmrAG2=~`c&SCWBwz33YRV<|+QjI7u~8Wx*gGewrJyFo#`D4)I+DjJh45M{)ShpaeC zizU8jHPh86j*LL>!WbEuVE7lz&{8mlL{QMyw+Ft=_@Y7aP&G$1#e)aNvP(-Wm`@Zr zF-XHqu^=;CP%;e;!gpHR*jiHS*4-MozBjd>9n1ebxOCgE*r#wVymMAOf#2)BWYU7EB+(r8?aV8eeeL? zpPA(Uj6Dsd%Sc6QM`m$ntF7-zb#i zbSW~6@u=mQZpcRj%d8Lc%%;N;hj-Ahl2$;79}}J2BDTW$d|QqW!q%VqDpD!^W(E;n zpdDnxxYg9GW9I<0S|JO&R0K?pD4c=xN2h)qq7Vg*S)dKSG)A%dzOn=zqB0T~mv^K#llGRK=SEP__Bq;-!3NYp? z5)T7Rans^8Rt{qI*5u32s;Kqv|(=52w9-N{x7L^j+FUGg24~V>RLrm*sCGHZX|f&lk+KD7>2|&>JL7 z*O0tRcn%%7<9z35rhN+-Az0ij&--{6R+%+! zhl-zOMy7bTz!(o&xK>GVz_NHGTt-4YtuN1;#t<95APqXf=a-dw=4@Cidpy*Mlc*iM3*EMPD6Ct5+82{ z9g0}IFQ`zdTCL(B?o5q4_wkM-{`AF7wcnUczaM27;Np>br&5sUS52$36ZZ-d!%L|3 zEYo>l`2G_(3Fc&ex?L&lx_x*9w^OkGR*n*4>-p+)6lyaCnhs2maUN9$r+S#MTQ{pz z+9JF7#_A4P7D8()xdNJ)?VXUPVVlUDFYB^1=1Kf++F#AbvE|%I?TankCUgg_>NU7# zrsOT>Ue zzs7&|*uyEBW3|{5e_-&-cGIOpUHBG0@m^6i9oed+inCO7QwK@y<$99-tdaAJ&C-PXCr^dP{o6pMk*5TY+c)}brt-BAO=B8$k4coT z2kFAZ8b8?F8lUnv7iuE^A{*R{RX9bo@@C`l519eWhk@z_?Co>Dcqupx2N|EN?V~h! zg4GN5EiP+?1okQ>(>@LO@5F=O>Zu8Gw4mIv%cJRK&#j9TGk%Dg0?1^BFH=h#jNtfs zHY22ni^2b4j8Xm3S3_$s(xB*DlOH~W_reaKLXu3$rKXl5ZIg>#!EU!tpN}O#Q)V5J(C|f93)zlbX&3fWD(y7% zm*Uu^DHFM1a_cCww4aG7jZn6{`FxlZe7WO;+7I|_VUyPy;b47Po$xh&OB_PC&@Nf+ zP{b^@Bz9^^t6W&Wu)>rOa%%SLMlOWN(M~B8WP3-iQW4zR3Oo$~|Y#&?7^>I6f>D-H$>KGpP=TG9`R0kNCT|5GHPW zBKuk=2uD-ReOQ5zB~#*8sDY|VWY6huyIdDLI`#76VJJ}>^}W3r)XOeoTnlQ(gFKwX ziv&%M!dDo(+40FxkHVOvEM+CSdTebb%!@9FK3s={Um&N>3b=4%J^>D zKfGjyHi)lmoEnR>`SHslU}ZTfFs^EotTKe>1}UdvXb`?8Fe46AC`L??BBZggfb7(k zWNTzBW_~5{^U$gKmoWUu#7-JUJQzqba0|+iC(6w+)O$w?B?5tzwxTam$E`Da&d!LVkMSjIXyU%nbT6j zfFM0xS>0+gW7&f#N0xAFOx1X0jW6^e=CgEE6U7h5kI9r9SgAn2ykaGIp}vzxY(8Uh zSyZ%6Bz!cvQu}goVL;g+?dM73ZjBX~xn1mYmR*EE$i?(JKX}@Tu9r`S$DTsmIxnN7 zgfw?itmLEtqo9Zbo&sR&6ZFEl+ltHR(Mk9fDp(nnGweN_^HJ!Q!g>P3zisF zLzMpp^sxh=&#g%5^%X5fV3u4Trc8?t(b5tm#(#F=3YZafNsngJ4kvs%IV6nVp<3Rs zEi!AzXrdxFY0;kOjhP5>By?r(s@Ca)1yesb84_}QPW(+!#>F5}l>g0KW<4{4j=?ys zC~-+Xuc4U8XO+(e=P+;j?dCF(DGD>cp(yznlydsJdX2`-@D^f?Ud$qG&QMiB2P=l} zbA)7WeS{=Ve^TlnKCb-b#FB(Ue+WDv7V0JO@-860gkhX|Bs6h?Xq=9YiqZE&-UlAh zVC=BtWdH$GB;OZT{(5GCuk%e<2VY=*r7Xb&*i}jz)`BGY9@m9O)mY@orDhER-z5AO zw#0^!`c+5hB?*7lk9m`BxX{|wCU=ED4WrHliml^OQX9ZSP!NP#GkX?kCO+{0w_;`R z|E(p423OD&kckY$qr*{$d(31TS3XDTRqm-eAH3sGr)jff%BKc1;V?pXhD zd}YyQow)QhmrKVaxI_{s4=|@28txqXJ7PvbiM^9VLH?1F|JJ-K)n6i{bTimqF2k=- z0eMy_Tr-jr*^K|uWW|jnZ4E}NVy|5(e&MN;I8S86@3oX)c z?&+_X-PH}vgnuxXqJ?it-=XF@;lz*ASYGa#zYH=Tv=r->%pa3OoK@MHFf$&(1xQx! zr^}?8=CH?RAXmi0;fso&(Tx~0V@8dpfBbobSj+ON1=T>RQC24wx$p&zeoPD-$W8|I zqn58g<=62sAIf&MxMiqD$^A4(DRo{IMsUIjLrJfkgf(EOwu?z&EwZGUb0xQ-9wJdM zM`21xCT(j*4e}n6@jr(rD6SOH?zR|zOU($bN&Xb8M3kz`V8XHWv9D9Hfe}^$>|8Y~ zZYDBV^8haywHZ`14|>>C2pu44B5h2KR=b+8m^-h*VzIJSgIW^vwiK_F^e`)_xHvJX zxFm4}^4A8{_Z^l%0_CPwLT=?F1cc!-Q-dA-rNJu1YJ9_Wn0z#hqNVaxcDx>e$;g}~ zLVAQ`L!~%TyCJa1Xw1Y6>elXY}8KiN&Y)qqj1;9qnLZ5j~z|CNTol1N+#>6gh4Kg zd(|p^`kfdtnwX$E2uO#_`~85JQ|~4S+;y7jzJH@+`6eDtf84fC*5o1L!w{>|E8$ke zV*aBXohkWVF)6ddGUI);a2uYvxk28-6{t)Z_nMlru;#4SHM4#?AC$c6JKGVN=M!aZxhxGo#=ah8b!)X0bl;5t9T zq2(B?6FS*SM_a=ZJ>ATVO43L=YVk+MT_AJoL}@)Zqx4&v94Lk^t}lkAQK0c6NSf{D zOr~WWRbZo;O^%A4ke=r1l+An!6+c`_a2ZC%qW(_aLUH&k%TnlX+Y(|1Ivp*x0%Ghm z-8VYyMhhsW*Z{Bs3i1cgyWI-|_%g(?L^5K;7&*Wi>VHtYZ6>v|49&Nwl_AOJ> z&&ogs#Tb-#H^!9m2MH#~rGHt9OVq9l?6rUzv9=;8WDhSlcVUmjPO?ukqw(b%R#2m% zg6h=cfHg%k=5^CKRTs~^WQRXnLsmCBQEhOR^)=m@Nnjm6^sAiWN1)S=|F?vc&zNj) z@Ua1%;-S=_mBkCFU#&h2lBK}YY`ktxm<+CU)z_+T(@u&8 zOu2|Vr^H3V6amtbDi4ad{nJI2Rba<{rxXzVYlMs^>_;h+FbTO6I+_D<_C zf$omqkzC5&K?bYS49`NNq7N44p(qW@hf3sJ=x-qlfGPJUw=bXD(3D=JcQR#pUw%-Z zX15JNX+`m=!G6?&w`TS)eo5!dW{3Xn?Wj>*cp;U+;`or6Hq}A%v%Fed*4WI%3(a-I zr@+&x#hl1@5&iwgpGm5N#jIyD;SKd6a)aaCwjRz)n6$#h-CGIzD+P&i>CG{L5v5;I z#!sB~Xe&AHR)Swj1&<pi7cB(lG4zf?9hz|B4qV|k;IMby#7 zDyy=&7BzbxttHcCSnUVieC0l&wCJZO&PfVpwSUCI9Zu^`*=G|VTe-VbU3sGX-LUSE z>rzw^o+4M4tx`HSQCG;UmstI$n4oYw^9;_dn5d$bNFXGeEi2xP7Y;#j7xrx^dG1D6 z=RBVO#q~eA9CXScc+bQc`Gq`cv^|5}qp$r8gat1qCjj?2j7tB&a@W`E(&0&2Xl!ZC zkNz_eTnsJ60qa51|IPZ5f|DQdhu2SY>j9Y&22GPWP6qes5F7qj*X>cEu-85wR9Oqu z-Q!~2Opafk!<;B=0WmWwSnO);86O8WN!(2ztPwJrAP5;d?vHlqqdT3^ICC0-a+K}k z{>uDvDQIYx68^%*<5Q!#FnmVbqw$knUlmX5Ocy?i+=t_fuo?zXlix+YLem;#^cx)+ z`Zw;O*t9Rra}y@TvU)h;sq>HNzKxOitxD7}S!r`f&M`RTk{c?ijq9lt$c$dKD{wD~ z-$XSZ-Vl|yt4&#C^z+LOp#|C^AElcPGu3@Kjxb9>o!nuCnJGr-#5Y3ID2c`lB;hZe zcQ_U)Pi<*fZS*2FKy!VyLCv$I+S{lBkNiFxqxmkv2#~71eA488-iniXAn$?=xfBOf zDW~+>S7TpXB-U~Kl3)fP4cF(scwIg@?w>ToNX^y-Y z2|~o|mIaX)J~0DHQZKX_=T(=lr2O&tsmCe`>o275S59mcKMswh!!RwVv%)9z+9vq_ zSeID-2e+>^^a#-4^Ng?EA8MqLptjt#;~VLa6+?sX0sGva1r+l4HSmmVFwC#53s4rNj} zvC_RU$G7b)=L;N;mp{G(2fe(rw*Ne>zw$xrTbRui)~dS8&N-n-AjmU^ocixWzj5J#7{IhhmlMMCAM~X0 z{4*P~tv=4yV@R}xQZnJ-BHvLz*%@tb+hI1}K$wyNoDj2Ex1XOFk-69uGs9o<@iO5^ zH&-WLP^ZUGc^WhbT_I{)=%?mKqI&zO5yBRuz3?!7QB=qg&n)JJZ{+w_Q8ao$KZ&aS z${_yrz05qr277+{1E9ZKf+~at3S&l68yk*@pNV_3ito-Y1tEa~9Qw{g3*B`_y0s;o zi~_7xAJH3C+5w#~*MDhlnG-B-nkxY*{_x$4gW%yDf;-&CYoC;`a`rsk#I=ujt?PqUk!;2LMxR8gAoh^dBwT5DqzgPMcHC2M)5 zv2+6}^B5i4pNYYv#y3D3D|BMPTnMfN4m z8ZQXr^u%T)P*<%e2I^9;e9S9a4_^=zzmonhGzKHNJpCb~vZurYZ8^$jo~82m|2{bV zxt|LZFW|6@L^8naS_(v>O$j$zhyNoSd0%bL#rfG@l$*d%^JKPSLM#e&FTY@GR`?KGt|9QIkqu)SG80=U$zh*f6TG#I_os2JM+&u6Fe8jjbe4) z6GpPDGxvph-9G2Ek;P|gH>cN$9N*PDu&zGLoOxL%pFBHn5=-tARF_AtjIvSrgkxDq z0@X8AM~8qUZPZ7M9s7X}zSeKdz;k=C2{>^VZFnclkF?m*I%%wiZO=1Y#Gw7_3mtRZ z_Lhk0@bt;+2Rc~@U=g|E?gNw_SG7Jg-D;sfER-zConkM1w`q5SKy$(M%rO|YvUD^n z+liZOv4;p;&?vobYx=*4>PVzJ|1S*CjayCTsijMrlZLy2?0r5$+IWP@yBoT4`%1G~ z?6M{;QIHaKx>QKqXnq&#JeJNv905&RP<1pAp`Zm7wkb}Yj9gVe9Q~M$rSGD`d~XA> zlc?YPutI(vCwVp|HNas5l(u34a1;0_pk8ELIeq8 z=`xP&NyjV`>^^dUe4lO1;-5Oz9e0vy zL(sQgZBr^68)hThDxJn9jMZB!AuNj&o`?TcEvJmTNdna|@&){5Y|VF?hp{gmcd5>@ z>t}@NbEnlGjWqo9Is3@}zeZydwO3qZhf4*7kOpIwfK6(4u=K{;q^x*-qlf5=!QEI2fwR&!(Dh8jZH<)k5CnT z;BFk6!ROmVW9hvLw!*k|Hi7GFtb5H;G0;91p1s*OW?0fvEs?gviiCNm)c^Jt0_M8P zfvNfqE5_}5gPJ7T?)p;AVX8)Yi}8yduYXz^a^qt>e&!xh0{Wm4r+Kvnu36gyuEWNI zsJteCyWJCE2{BzMrq2O+Zq*PpW=wMDV>p+o=m{j=Nd(`zF$Q!|4&5|nBnnBR7o$qo zS(1Gd0Kw_lxTH+#bbbuBI9O0#jN_>#NvR=vXLk*+P)Pck?U-bhHG)HH}qal zA(4(AN@1H;R9sy>f&7G$F?ZI?a5E+2>E)SoarQ=62dBl|{$QBOa|&oRMoOM*t1d=) zS{*Ut0zd6srD^1mLNo@@DJGWhKIww^S{4!nwdWI%;s+8F3Vyaj)HQU|Tt7C6wcyT7 zM;?T6HFvcEN(h{BKEtiX&xiPa)>^CDNqDX`BMxT#%!hr->%1a=-g*8w?u?EUXmMlS zA8qBU$qV)!ae49G&?;28<+0;E=@$^@FU;zmlxjkO`L`yGk?CO%SX% zmBvjxDxC0`V@;C0Z=*o~lQUnvYhIOxDSZmJVUfLXK)>n}3X$a#VZmC8Scr?>V7%dL z{>cW<^8>%39%yihZ{j-@QW41i92z!ZhvN^$)9;;sYmVO~df+SzIC0UZd;VFWDzNRl6&jy3C=I0tAeBV%$c9$^m!vlnV;s;DzRI0qs_C0a>Mm)Ru)Fz ztN0y9fi^>ft?^e&JfWD`w8xi@0siRkp?m~KZO5|W#3&eU(fKFV`X$}&CH31n{ShYuPuAT3Ip2| zgHdMow7PQW&?_u?`Vo1sbrvnIi^%B=KV%l2te~3_AnE6eDJ4H!HT;&iH)?CNPe#cl zLdQtYT96$Y8XzWhgVvuZQ}C&k)Uv6kY=kz=znMW@W{PmdmnvHQQ~k1H-Jocpd1t1r zSgEn}bH?4HM0x6#FH=_tQkfdKiV3Ce{yQE5Fd4#XZtN_rL1Q%`<;q$tjry12}&eT9?H;bcjAtM)u!7nPcx)%BKNEGk(Es@~X<-N?SS}P-ecKaI*F8 z4YZ41H;nhd=Zq~5NItJH9r7t*@f@vAjx`u8UC6Mqr2_KrdqeySY&@m?IIEAJA?Y(7 z*|+C8Lo;(rUfT0B0{4n>ZB7I6-5s@yoJLP8e#-WreeKPqGN*$X0O04z?wur3EpY1q zFfCq1g3-&Y>==LAwR{Ym_11=0Dk9QFNlSZ~Ui>lJ(R1=dD-Ev++-}YgP-D(V?BVqp7p{ZIt5YWwD{|AJ04admw6qJ~HnJrp530*Qs zIlHl*_k>!&I(f@7)JmcQ`o8d0nk?r)h3^*wqR|BI&RUfpE3MbY9ZIUf1oOyjI6Jz9 zp#)mCVDK+?Q}8TmE-q@W2uE&06ykjwdlA&$RUXB3Zq!vUJZVx$bU+~AJsyijkPnz~ zKPf=i%t-T0GMKaC&+wtKD*~Mz9O&>!4XDfa3&)H(VxLnu5*9h%rY(6)KeAJOr&@NN zRaj88A+27RN^tN6vDvP`TqM`5A?TPO`#G~G)6U7?+^Lf35@XL>XSKp(zBM2pnjvXW z=kJz~sZ$y!pL{8Q*__|q026}Y;I_H(9_9y2Xg%_eLRd|}7zE&Qzwi1vZj76qA_RWRbKQ7|Nd!IoF2~%uy-u9& zW%THqBoKakZb5Tw+x2!Jago%*0LtBd>H{TDE6=?ujfxZ~OQ$=x6WT1fp_Dc?gYGnT z=?Zcy@P=D0=Z7c?)yId9Sr6V`@VFOj+U#lmJ}o`FLT>(vO!_haBk&kHHtIE+w-<=; zcGku%HeOu%Gpz!s)^~)eD2*Rpb_aQkGkqMrPKq{--Qm^V|73!_5YJ$!`}wxeWu%Sh zpB#c72;fv2$(yqTImS5t@;wuQ?}@;y)XwDJ%r0Nzq9CLK3aN3fMrv3Nh5fDR%hd_j z4_ke?MH-j?)+EAmRY_m{%pN;Qs}rEMaVahKE^y-KCEhWGCnhyahH@AVBK-$COu#qS zL->PgXz5Hj25P6S(ir73TigH!|F-C4LGb(S_4XQNxtpKEKQjNJEMHvjoDtK<_dpHr z^5eQ5g0h%Ne}FF(NTjXwIQ?4&QEscqe${~G*^^n(b9BiwFDEFR?}s%8b5Z4ma*8SV zE9!*GLv4mW-Xv8SPt>N!R)n~}d@e}}6NjtwgfRMNCGpg0UDAYikiko+=;b#5Bn%Ao z7S}pRGfVWwxo|QIm#n}XClr#XVU#dE9s|oSV@O8AOptBtM%ir(i;t&=Y!eEC&>gP>3aAJW1V!UMZqC`e>C|lsjvNE z>aP7I_2?NWN%N$t1ZwD2F(F#K8fy{K8Xj+KRHTPege%@65HlcrA>Jj`wZDl}eCGXb zD<7w!d01k)oC4vlj*Ft?I& zm)d7t%G>LnlQtYpY1WFj?8EHxSLZWQiCY8-_mcd!IThh*U0uWN@2KO(%v^TGcts<2 z$I}Ks6&p{iB@5F4RQy>!6@>X%mw(jz;Wr-`A}W0xj{HCwJ>3_s(%&P4{d%0wFv{Ut z=Q;Sgx%g~}!0&{_z;wk1c8p!|qj@d&v1g5-tPn4BW;FKR3^H`^f)||H^W%jS_6Q*o zapDHyJrZ0u;PV9v8ZbCqh8<2t)W?cy-iT{miviu&%6OLZIFs1_s#N!_WcBaGBPdC# zNZTLtHQJZaik6UPy&W~TwT7I+=g$TI@P&6*Fu<~6xwa%rc|G@Ts-oPU0052wSh@`e zs~)nSjVlUTIH$2Qa@?+cnMSq%2j1tK=sXFNjBI8iJ9DfURh9jq36Bq3Gp~GzjAEzE z3D$i24!|o|yuZ+;q_S1-)7j-(Zy!J0VUge-cUs^Rb`#KGQWJR>upFG6EIr&;Z9XOe z&ytRoT9+zuYWOmuaq3Elc{LO+5UF-;2r%tCNEt}WU$<3faO!jNMl@wx-4qtn&2$v+ z6L7|DU{XTfzU(Wx!TN3(ftY#7YsvTsUS(qEv(8xJB(})CiCZ^6^p2cADeds6u3971 z#5kMZ3}eH=0K$o!1bA*O?{K#MMCLY5X_mQ2NXxuG!_~GE^PXI|XmOa&v#{(-+wHFR z=W6;XB`#tzKaOM7OZ6syriSdpl3wf`w1P<@vJDd_CQY9zT}VB+J-iUzFX8FYsy|PZ z-B(H_{;9j?(@xR-X5$(D- z%EutAVX-3@r_gA*6B?m-!5Jt-8yc}Xg$X9JI$R@q5b;9Hjo~?y5%|qu_YTSo5guIX zsz_{13JNqWrKpC$JD+*P<1K}GI-&e9e)hx(Jp@YoJTiz~1Y<;!vTLAmyKJ>1P|3$9 z!s{g>(n}=c`r7fjYMVfI4gf}j*Dn^5XyYb2dPGB~10o)ZdD+i9=*(^HLDbAA1f(zh zGXZ9$8^)zvO0(Otr8}mjmt4E3ehP+nPUhxr1p$!j;)Zno!a&*ZpB1?$=`a8 zPnT_BeDmb;ggT`m6uB|zTJ{#R_T@g4q&~@!mcHq)BCgsMiygO9SGW%^vlrgFQu3*{ zM3NXp0H2ZyP!reFi{#^AHSA@Dgap}VptPzEI3`U^t4Pj?6W6^%B5d1k5xfLJ4`9s^w((ppYR<{P12u?4#r|18);Ikzb3=SL6^}!vg;?I6xoD`YZHV02d#qh8{ zL5ckBxm}|`$$Gji+8KK;pp)UA(0US=Ua;$X{OlSKl{!{uP*e3CSA_C&#Le}H#eO3t zKmYr+p99DJuU}5gh|cwX=pvyPdgC1jl4=CGyQpNo-7$@fGIZJ(+s`HGyIP$`glpNb z2*bNunZez7jCmMdM_g-Z*XmZ%%jm1z}wo6-qhjT6FhPlED7wE2=xsWQ!s5_x*=?})i`K1nD# zX^;b;)qO98K#?dWKz}nVG~oHs=?uB>dN}-e0=XVwQd&Dw0F^d$#b}t9ZFP7FLid)2 zyR2!py$!B#nuz+PM>@TGNXt#ItXp=T#GyMpG$V++ylkP&;nwcK1-zfs^fu?3KD_?^ z2`oYgMnfY5Lb9fR6JJX-!^D`DkH;7+;sYmmo<79CQ}fs1bb1r+l+@|s;6L4@5Veqy zMg)pfS^|MRW$6Wt@KC=8dy+I3D?_qQ!_gX*C}$5IKel$p;p^7bC2mzQA^%ck?jh-t zjvK*nf-0(!i<(6U#aCfX&m1p_0mK;3B8WcF^`5L&gZvV z4Iizv%|mt#o*XH>C2znI0v69UROq40ZY(Y`S|SR#WOY!bb5-Tkxb)u{X*ywfENUtV zceYJw6MgAlWC)G8juOl;;%#r=Uk~Bmf7>^45t$iy+{k-8Ak}L15lT#Kx*A(dW;r7x zy$mo#c=Olca-&zivOWTJ;*-T@p^b-09d5!1Cb<*>AVd7NhMOK4=_H8hvs@jF7b)b+ zhzLOylLEXGHM(9s)5L5z1`l=Gp80auk9zWpn!z6RA4Ar|0^?ghV%=g)pFItNJAD3L zw2oaovP-~?pM>0Q*D-ZO67PN|dmG=%+mCg6v)OXU_C zeePb`?2xPY1O4^J`HZWNAVvb{HJ=eAR9YG_wfgZl_yT1*pw~E)gz3beXbRAk6-($t zj{)NLx}q0=KJ+Gox9M>?n-KTPK}z3&0=IcYPJ*#Q^Dgfnq|nDZtX_8nbpWC##EhqQ zY2czJartu*!Z1I}v+g<~BKfWK>Pk>02;=hu=K7%Az|1`ldz01_V#o;pKhCt|>uwGJ zFY6)u9}+%-^>~&tnUG5)=ZFPPZVUnWtC?B8gqXfvg&o=i8JKMkpMs{>8`_=v+UL*v zOz>~s6QD2pVVu*-nsbld=zAziv-!oS6a=rffi;IOj(wK4QvK(2j12zG-&1{U-a8AN zTvpy*x^t4LW~$((KQnN6e-Xn4`KMs5%)9wAWIx*5sR!5Ks3K@~05}e8#A~^>Gx4kNA|P*b_sKeD^xV%F>8+2_yWg^_@i{DOahH z6u4NrEBIG>u#b&F3TiWYo~Q+!(-wTpY5{MydjH}%h0@Uf;=3qLJCGyzeJ3bZwpk?m zG3d7v^st5Wcu}2SetR-3g7oQ3#<1_3!d$-R1^#EEbVBee+QdFQf+v4IpzAC&A#I{S znKPYux1;X+Efe2MKUAXwWyd*Yd+j+7-Jgow_%x=VhDsb6=_Ukg2C~mb&+BR1A(z(O zw86MCwFT>G0jlbFAUte!=4?+r`Y#FZAx!NU-x$d(4awGi$z3Is3#%%a`!ZH=S{x@SNAG}OzdEt-}Rn%`;UJ6Yw_bcXpRJb%5USc{I z>0A%an*Y!u)9`S0KkFm?<@aT!6uLO!XEiHu(4M7Sw-Sm^W$i`r^RlnE$@R8xKWcY> zpLa8|7*Vl2+r`0+*G@I?2mox*OP+R3Fb*0;dK!72slUg*O1QObztsQyrqJk~{D_W- z7oGb^550yB5+@o#Ll`e?-O<)DLtA)~%KHx;u5~IXpo=MouEa!_@J-Fx-+%8??~01jT_*uMv7n98Ik`qyuN`!xkKd~zLeh2LG~Xl6gTL;)#zR)Ds? zY&F=13+mxFTo8<{tU}#Guib<5&Ko&A`8c~V2>erqjDFGKHUtxA@Sjd(~K>!976l?3M2u0Kxwqxn~t=L zE5EdaQRoS(DrGx*42sm#|3k!C2DR0FZ67H`TC})RphZgyMT!LX;w}Y>yA+26C=_=u z5D4z>6f4CoDZ$+d4#f%f@O-kD@Flao1`a zlx{Ipa?hMMVePbAnL1HC1Oh8ImZXnP|G9_M3FbchWx85?m#J3X<^2k~166#k(E(by zSkV9Y=VbV41PtN0=X266E6z8&kS z*#nmQzK1E)zUGHSiqzBQ{bs8779_{!JNiIYE!FPx7RYzi+hH5g+n!ABjjDacy%)H_ z>bm$ zZdJjSJDeb>Se{&)D6d}H*`vF?C}aXCY97<+)<|WsI@BC01f_$;|1%%5l0)_YU(jtX zId%ATZh(pP=w$g7*BkfATd&X83s}?o*A#l~g#`Hw#}LlF2zGab`KUu!Ugq`apOuqS z8>9T@{|EI@UV-$BL@yCfFkO>N zhmUwE`Drc=uC?@R1HLItd0}NP)nn>HGU@M=6QXQeUHufUJs<6S*|NPKbg8`-TMV)B(e5yP!|(t72L|{qfgh4f@d|+_N%nha9Ha#@b$u?e2;4c`a&a zSY3$G6NlIP?2N@MBVz#VW&hs5qB-}bcjRZ+-teXzFEuNX*MNBoT;w#gZP*p|V>64i zqw(K;V0av<{;p4(V;;A_VAcmbeB{lTpNJd^$U`V~(cqwRp8rHBDQ@EL_TsZ$_P%wS zH})?RlB~Kn+?BBmV6|L|CdkBZ!`<{GXm}BaGLc|jFsDjqEwFY&H`fa2SVf9=?^nKu zUfOR%F74(sWU)aie3mBHagae1POjhhPuYfqXY73x)Cpv?ZJ!>He4N;GB>B*KU0dJ|Edc9 ztQX7v!@a8rfR68y^1(&q_IgEhIiWx*mQ$vUuhv`AX^uDG-#&R{pVohi!)%7XJ4BWy z&b*!a+eXO7>1P{NpTBou6ew1>T4n#s#k&t%^?P9EF-ia10_U1f_QQV+c4H06MT39T zyH@Z2s+tSWC1mPa`9Wl=EUoZXC?R|bCSMVU_pH0>8Cb}Zfn=ed^Ca1!U5XZg44kcJ zKwAE|G)3i-@ipV-H!tcFf-FFD95v4C67-h;s@-n`UAP&khJ|^1)(vdB5-~F+eno4s zsm}rO60k~V)z1*mzkB5~1!l#{PbpyhbErq%HEq)qojLTJDZu=dl1plttwN*Pzt^fS z&T2Eix*o?{kMWQsO5Vd#pWTtQ872B_HJwaBd%I#ZG$COQ^DqNvgDw(y}G zZ|zXk`v) zXD^S5`*;+y0O3e?d15wT6=0`Ota2)NY%m{z}W6* z+5UqC(jR)y*>Oy%hwz@8yR{~yBKQdc8!|bq^@XYE@)@DrcKO>FoA?g`n*P8DRb98; zrJD$dczJy(Jq;UQVE~}MPlS!i9ZHNx>-=Tw8yZ{%EM$nh5x^y4v7Ph4E<@%_l;~uc z-C-b3JwEK*!+G5-&nR@~A`>u0ht3Z4r8)9vsXzn*NU)A1L&pLAx1BGdY17ChT}cLC z4BXB<_ag=$4BQph;}h#Q@+V1SoT*U=3aRaDtN}8T8_SDE16gxDq7y4*N`?0FdK+oL zW8{zeYp@m8hg=hzTPe90gCqNnO=o{CWAWS9xc^aho92&TkPlu)+pt-CH7ir;tUrH3bf97r8gDHcpIO> zwW-Eyau*4ur`F{&XfQnE^DdyC!I#nt63#7r0< zcLsiYPQ@sM_LW4nRSKi8Z7}76RP_^t9FdhGXy{^%qe{33N^Xx%rqP+}U(HV&#gOvI zWuFASgt}qLctFpZwt{!WtRZR6jzWPt3O5wmRxr+Uu1AF1;pCz>sxt#Q>((1T4tR~( zh=fW{af9M`kwA^%Z^vjM?%6$cF!wt$g6)b?i}q$qS|6#7^`+0}GCJ`nqfl2=ZKe?=4-iG=Lw=th5Zk6R| zRxu35`;ypO&AYHY<^@+mXbc9+bzff!zBJ&OL(~KplQv&D$Wy#*gav3>Bt>r#fOy&eQyiD)1nXvNNU5c|OIx z&86o`h^ZFUBO0k~AW_dL=37=y=8jEH*euj%A5+n7{qbJEFZI16J!*J2%c9L^X2?Q@fRANUlKuS34C-14iFiP4B5hk*l zKLSGHo4TYzsG3BmWR$Z2_Fi%j>P$~8^C{d_~vn3=waCXGMN@6ei)f5ZYiUO*z)&>4;^=R;B6`ddvsZByU{Ve&eoGB zMg30r&!(hG7ZH`^?K3xa5sre41oMpZ-+%ib=$vLTd z$q*~8y&c;UI?eWu8H9bbMZR!vVc`+u!GN2^tC-?69U z_$>t3uG_5j&~%vdJ)J!H4(&V-Mz~>I2$?1+KVA}v9N4a!Y<(};uz)nXj0!dU^v7Lc=e)3=zIg3&s%7J#+E?^M*F4_O0A7SFY*a=FYY9)P>|N9sC8?7K$bxq95ovUu?NT7kqH_W*VJ*Duuf&HN zpu(HLF>K!;Mqlvdt)sIL(&-J)FAjz^UxVv2ur$}fb5Fq$k@3>@iI_M6p%Y%Aqkm;* zx+ir?esWjit1v1sHVT~0)(f$?J+yG8=ewR7{i%^0Fgn+!(jMGv`sY22szzhtk71%_Rng+& zSo&mNc9I1q59|Uj*lgK~md@mq5l5*g3T8yu|Fa9l_Q4<;F~Hyvz*YSW?r{^~gaQ@9 zgFVi4l}ib`L(Fzod^fn0Ry>kZJYM^^cot-~mp-PcRKnC^0ZX>*pd3ArxA!VC@^ViQ zd79)@1e4i>sIe=^wdkJ0Qlt0+M;jtuM8mWPIq$Uisr)BY^R!k97C<{(xgVf(eYBjM1hMi+l1U_G>MC z==Doge_)y>P|haKaO5>JP+Y|MVD7^9W5mIFn1qx#gR`0ZXk`GY2G`4FC*-EqJGYB=1vjHLo<@T2e+}7+ws=PH zurOHYJH!g5>T~gH@q0mP>GByGqM%^47FXWPo@DwwE|aRv^Xn(Z(Y6q6h=X?z zY&R>+{)KdZRttxLz7t#7=b7Fp!c`F*+$X>CFQlsT?eFu7me0OYF=&ubpZRNAKX(y) zB_91k@hb)KF_85;p}6!%H~q_p?4R+EZ`|Y%l%Uhgke~Kp#%DFN@`2I91+gy~DkIXi z$^^DYQEi1n>UHGEJ?+^Zxhjg}@cUZeEKBBTdF;)LY@&pAuV@PB#!_Aal<%wPrTOR8 z*S|FU^}5rMThWtQe|hCa<|EnbeCzrikg#DzXLHZxY2XUJBZds5jf^CaLsOq)yJ!XD z;nsui#2*>X4Ww_wyJgBj zV#pV}#c}(Fa*sP?CJ=+xqE~W!k3Hu~iYs`BgzUr80JED)^BXQ6mivRUL*a04e8|yD zhUcYj%Y*nfBGpE}PcxiLuPhXdh5buO#%!^wRg%m?-I2HL4;YqFN;PGS_2;lBQpx)R zt8sN?f-jFqfl6$c4@_0x7k1)w?+6*{R#Cv)>&Ty$xcUI}`VcT+M3dBUf&U*(@oZH$ zvtLgLg$r5sVynFt;#AAQtBPeIth>fKpa%Ffvkq%Hjion4^-9O72S9hL1}fn2V7S(@ zOKELQ1k0MxwQy*!h$@?coC?#rsTykqj$wV5S!uICy)L)wZ|HeOpUoN*@PELOm8%!8 zP_rpuWDVGJTDSQtkP3|d5<>A38ulFVEu?uQ9a<^*~eDy4Aw5+?HDiCa8> zb9IiAtoxtadrl!wqoxv}clbH+Ty2^fZ^{Ja^^IAZBrX zZ;rNK^H`YcA~+=#Y6?3c+-WPCl1*tHynQduJD~I847pK`CFx{lmLocyg0*FM12z_Q zz*^c=@aF;Y`jxLS6Pd)CGb%SzB7mBF#kOvVKL}46tZq8`h_+tDxkr-`sb8J<+)0z( z1}9ILl946OgxgX~YX+Nges||=62c7Q{C--Ew$y@CTw*Bq?sitXnTt_syZc=X>U+Su z_CByL)-IG9Lx73w{ML^vdvCdYBKr%`>*1O?O?h0MM zM}Gz5LdoFQd^y-pG05YtR3^;Meskw zYhRZtVg1Ux%j*HovC)Tttf@73&Dq^)paEYtSA}d{?L0OGg`07VZaAfgz z8+W!AXnU0`)jd$1D1RIuvPvOTOAe3xjje~_MsUPboeBEg`N(!;v<)4CF4hkWbaYWh&! zy|_BD$|VJ+Hq~;`{oxXw$N<>4f5{oO#tAW);M>TVS#y}kbvnZ!QGS2hqQ#cz_o!Xm zE)}TS4J7=2F)IyI>{&^}nM3?!J{pk_)v(~JXThtw_Wy`~hR@M^y_oC`n7*i`l$K(k z&51wy+QydhC7toL7DwHF*&$$LtBM9w1$yjW`<2kWb#9zLa#_fT3(7$f=@Kfg{lbA~xZlcVv?61<$KE!PbNa^BcN6cVMNF6WO42;TasQ8y%qbUsqPFq+FcUT z9FMx|=5-z>E>?%Xpf{%s#a=bVhRrc^e-(OT3b?{PX6MXqOdq4#Mn}SeE!?z=^Tc|G zB>7>ntO3QN=;!%=#j%nxgn3RsMaIVR-zVFn^ZFS}M%2RbaZ_r8PsGljm@EU|(V-a$ zMbm&iU*H7%9&EcL?d$GA%RT*f&5lx(x24E)b70q`KT7wr-)u#2J@R^^SS8W2{CA^+ zxF4qbpCeK*;P6wb{x(Nz-mNj0@OT=)=`)l?Wtz^|t(DqqWYx2pYQBh`Qm>GDmySof z<_pc*Oi5NR+8A8n=`JXx$bH6uh+8)d;$%Tl+f!3?z!G73HrI$l;K>4(lrqC29FOo` zihP3PHt-21-OR(t4cO&$VC{UwDaIbnYj1+|A+(+QRE*M*r^O>SM6F9obE( z>1iTXXfJCOeXhS9@=YQ*(8s21(eu92>@_WOIJhu(Lkugq=;bP-FZ6Ng37ds^-k0>f zLFP!n9@d>0U|Qw8bP%OZ(um z?%Y3jheQKUCC}iOl{nt6k{EHTAGCw$Q7Qd0K?m<|CC0|>ni8YRHNLZ=6iy&Q$w2bL z-ScE^DQ-WmGJIv=JcEe=aY(K6K=-K&#_w&p$hLQ{y|CoOZ9cN$fdYH=NaNh!l6gfR z$=I+N$Uu07c-Yz_T0iKfK_B9{3XZ1fGUB8ET6Ek+!!vB(TBW;RpR+hBSe*>1^O@7G zh)d5~U1u<6_6a2+&y(N&BvkFQ5C{OjD*pzq^Og5{O+$msfb9ItJPQggT=oQDUlXk-cH~Rr(oE=dpXbP)li_zEUiWR*5>S{t1MGT zcyO=u&5ubxqqS}cKICEE+Xv^J3c=0oYEksrwu5HBQ^XyE`_NHZFQ)R*CnxgFwbRx> z9#oHWjEsy`F^zL!PzdH4xlZb@85&Wj!F2pJJzZKfgS|o$KmOuT68Cl9XWtS(es`tD zj=i?arB|?VH#(f74F%n%KW$E}X-dId`8@7NQA{^@?k^1@d3vaZ9ZIih5AwvO6@qQ) zrscGa3VQK_lUAzur8#LpfU&DS`gV0i?>L53j{llrT(O;{vXO8Z{AnZ0i_(AhU5C>N zYWCiPC{iEw3JHT@$uVSXhI9k~c+Z{WA2}-4-OJYb`gh&iClD;JxChCBHzlPa^&?6b z>_+a-@mO{byB|>>5{4B`0|F3>xr(HYq7wnY)JeMAVX1}-VRnP9aeCyHmRel4zf>%X zp^I1qVYboV7>gNoCl|SctY&BYSGX=V1t`q$&ht6bkCS;)0&#yn?~prca06MN$os9s zrS$3LHCu5=yWBKgJ|cCH*RX#|vcs1Y<#oe2Y2V?5CS$(lSPcjV9wL+Ik+~DgMPJ>>cJ4@Uw^j`! zbbY)lfiG}gR}y>|gqi|)jkON?Nk%5#q)D_mXywtiejc1(Z_4Rat%kBg+``_kOBfBd z2W}?4ay)>+EWHoN`v7m9NlAk@mnfp(=r2y!vl&{Kf0L0gQgK@=ojQkwU?-K-SLm6p zi>|Gq5lpn){CBNG%K^)v{#~K0XKP-4Jz!9%uCgQpol%Ifb3u4{JG$O1SPJp4$0qr8 ze&4(NA~!>pn{eBzjq>ffc03oHLNa!VOKoLRZSJ89jMv&7g6ZqML2UbSCJY)hxsHGR7E4Onrjqn$c1<(fP#wK|m;*3MNxd<^^dYUf zL}$k4=_blIyS&q;dV}U3dDDiIoGW{lz`;4OXw(fz($={TN&T19afApkP5mEv%&Grw;azH2uaz``{_jN>vJvw87G7<3oJ!b)33Yn;U)sCf4YEI_xULFG*2ZCHfyUgI?x&p;! zR;Vmb3%3O1)$D%uh)?RTn8t_Bmp_YKDRBL7jHfb?_m}4Q){P+z($x}jU^B@>$OO-d+_?bAZFXNM9xRB z6=8y|%tMd*-dnEwY`0#7rF0Qu@P=lvyaT+rcslVx&7&)0cz$$j3kW^UxDzoHg_v2J zt=QdYPuQ>)#K-;MIEncr2#H+rT7Zl-ipzN3P1+;cn*xG&XE}D{yO!5n$F;-fbUFezP@*5^Vs1ib%dBXJ~h^X_$}_r%i}j6zUw?4U*c4`Ru9ev{HS~Qjo18C zsoXrfy%I&9b3iY+O)p0`VI_I_?{b5r8cWkubc-0%V6@}*s?{&}H^^BdixGBi5C?N* zMX^6N1LuO})aia3e87{x3jpuR1u|sD>{%w6Wo7l&06=`f-2a;h?l9G6hr;`$d#_c)LBE0w1W#B^$Df9 z;H@q>%B-g&I^GNkf7?Va49L^`v!k3*o3> z%2CJLINuReKgH479atHed4!=gB9TyF;0{8mIHnMPt zrVthA_vvlYA3K+Bns&@Ue<0XsT6FxA`rhG^7~V#E|D3oNXoqe9KF)#Co%8d7{a@G| zEH4elchB9(G&&aM*USPl^lf7Jq;XDyDobx@x}x|JP2L_Zk-l1It|H7zD+zdnxx|xs zp>HukP?F1_Z{5)mQ9&m*10r8TTPGJ{W%AGCx|zQXt_L@&>uVoXfef8O0K%CG&Fieo5gi~pW&$&iJxN2KC|<;~T{wrIT$h4P~KLPY<; z&1H@YVf~8}bm3@4w;)3CJ-(xLIoU4MxWICGz~$d8?Wun&Hr4|<^QC@unH#h}1Q8Mp zukTDO3En;Wf_0)eC;pzTdlOA1XT}6ra4o0a28Ey636HIXCeR!9=?f$M6G?X{oKl)D zGVsy>_FNBGTK(Xotc9WQ!2iIO4=hiFV%DRt`IRyK6xVwBr21KX(9KbN8K+h;@<{LX zLzv+tXjvaQ<6HY#nn7Us(^Jgm`vM3DCwTIjw~WsB%}@TQZp|X_c6&!CJlkOkj=k_B z@vtN^=xkK=fy?w{;qD(1*CDMwHc6;*l<59k!ZuHiO3xC zrlqB;I{cj(mJ}3vlqU-FZ~o@+YNPPVrC#Jb$L0n&-?%T5MO7}A8VH^Q8S{e(`1!O~ z9h4zq^_|P0GF9#7cmc?E48TRBDd{>y_k2JGwErkpV1tk1haGM7E`Ax`HPIkc1-7kD zl00IpE{LZW8e5+9T5e9`E;tAx3DmuGvWV*sR9ytq_UCuB7wcp4shvP?Xh3nA{O=09 zscAbrDVr6b%Y_Rc9`S-vwF7nkC#$=Ajz5udh71DSY7h6TZFhL>XlDu6lXxDEpfQD6&H-OJLoo-Mqr{MaZyy(sV@AvwF>$)v(<`dJGQUdbodCZ|-jw^> zudd{YRr>GO7HvOI*1}=hpBef}&{FZq{}CH@-heh~dOy-w;l2Iy5mK_xr|ngE?GQ4l zVvwISD{pP~ABBb8#`>R=v#NeBAmgHYVs`du*#EhotN0W=DZ=s^HAQM9ahXHaIT$11 zrOBPev#l<@LRh6-v3>pogi&w-u=Nc`%ITf0|(02E%#8@4f z4Gfr~^p>syM$|BWLahgQ5qvVkdW+LiALin%#95K$)lZ*gE;_TK8rCrXRO(hWRGy9jybM+xU&dHGFUvu}nHc1{0a0c`OMl@lkrLr&Sy z33N>d1DYH3kB)x$e%}~dJ^Wx1X7{meKmO(2{p1N%W0ShUg~ftP&FSuz_Su6~lzE)d9yyHnwt)X76c?}L3uuPdiKk1J z&Tnib%ce2Ar)~V^Rw1OoyLOAXpl4$9GvY!iWXf>k2%n)sO$1neROa83bX*a5*n5`} z7;&sggg88ZV_i97&MGV0So24*%CHgmt0*4i41haWNsGf?ICxutnl*}&7pQhfwTgZ2 zxVHWqx%awdBu_-`kF8&S6e$Fq##xSB#T+X>Z=68eE~ z`+Xk3?S^Cbt39UZnp(MgzKFLo$!gpFRJs`89c zieN;whEuS+7c3t}4LCaFp5X@h?PiTcd0i_sdcQk5^1RAz!}MEPVy&un^>O(e+Pk`` zE_Ad|)ZJru5_*(Pni%kcv4DYEJWxg!<3y#zH3F)E+CsJ0=dN=r4rMdoHaAy|9gF%s zX#7e&P_j}Z&F+X}Z6bt#u6A>m)`oKaiH7#{apA8b*vv>&qUj`=U+T4s2ESF~rGZAO z>OR3xHTfiGarv@hEI!~c;Xej#+lZwVt*?ztTgIv}m+JgFW94447@zt3?&tpSZW>$0 z7V07W?cN>4u)pUgzMWNlgUpGKo6{{cH7hVti<+Fun^V2mmwnYys0GCXX?jM1A_ zZ$5YD-2U*0jQ6c@{wOaab3TK^&Bxq$<(0?^XKwVm-6f{(#&kxew8j4&iut=RIT*m& zNuSrxt(p2|@I<#MiL*ZV)MT>!U3@z6!sR}F+UlaYvOD&v_^PR>xns8u(R0_o5WK%y z*+c1W25X%Ylr}e(++~IB-{;@juJPaN<`FicL^sv#r#z^asmEiz{yM%K_YI?f>-5HX z+<&FmLrE?Qs)nPW>WACFu@4(EsI@5l?}Ezvgz%RTqnD@|lWA+LR99zj2GLH_NuTv| zgH@cDDOj*OG7~Gko$c)RQ-p5!ahiWQNEX`sT@i96yUqhB1TzgL)Ln) z;Dg4!FPw5G;s4$oaKJ;4{;Y|Pc3fDLGE~`>vE0jFI!+=y?k}&tXfAN2- zH}rsW(n$K%PlsP#A?M}k7!`8jmuLUUO837ACu3-DMhK!9Hv>5?kPc>I`$QU``yWG>S z!}{7|qt+W9-dMg=LP@*4dDn$&%WdF?A7ZLOzDetN(ZdlT#o@A-O%~=@RjlldK7sBIq=|K|A)mQzy=Njpzt!bNVc)1g-`KK{h_fq>W`amsWqM zrzDbHermX8bzN1NemoFeR?^5r_Z+Qs9sMgI`;jt9GgEQo^Iq84qlt78sTi-!H%_KR zWaLXK6Q-yXg&iiDGUs90-lO@aOEHHU#9?Pwcls*G&&1@!{|ezAfB;__O`7;0fv!4a z1+*>tZlPcbR!F>3;t%*z5&~2qKSZuqd1JsCAXS*7%@B%L(VCNg9PB(b7}a|+nTa!oHU0kOZX4aX5< zx$C?Bt>bpUsgF+Y??fSRx~d>egxtyri=SY5|0S*vPEaAKybq19)?Lj5Woo=PrnRUj{6#N!OOsZ`^ zH6sX)&-pIP9>G(9oxBZV48ll)>qYJ-t0uY0hADnc0~KNxP)Bc^dXr3=C?x?@Tq9~6#U z;pXlD#EYXT4tFm**U7EKio3t(aN)zS)#g*2nL+(S%b{D-MPeP=`Ppn`_T@I{CGxj$rjRfeVkz`0&ab0HYZ%a`U(;f zL3k}tupRt&n0mAh%_CP&Y3X=}-@zaKi}WCZq7bGSrn$zI#t!Bv!ovCUpdpx;ZK|UE zVbHT(ND8-4d5f^`ZO*&Qq;&4=u%`-bAL;ji77~UrUrbf`CKgF>!(-yDu{= zZ3K+05SC9ByePr5jIUVso2-YC#xi0Q{vdI9qDY$kz&00QHq)B*@m^G8 z{J+2_Yr!^^)#i)b&%i6=2Y%A{w=x?=(s4a3(|@^A5os@-|10j}))n;0HWEMEjp^7A zN?bHc#u9x#+a75TE;Ui6hRSP0Sjv5JQmUcM94>mV|3t_tvCZ%Me4R8zBjVQ5IwcqLKiY12-=N%$3pjy!%ZeCmh;;Z=-Dfu zHH)U}E6Ko@--!R+l1a8g(ncBj%tadnpf%$}66kgd4}!c(XTqDz4aXKazB__ueB2E+I)AMb}nG#?p!0Lj{jnaH&WPNI#~fM_~2kt$aj! zPU?GBP>M=ze4twUProi#1?EA`#{~jeO@ffCM|X~cV<`W59ITs@V1@Sew`gnS1n-1R z97M-y=U=T`3{_^W9%6I`LQK%#$qj{>uaap)p6DgSs@W&cMGjsXZJQ2sCR$*qDz#(L zCKiyDOsQ|hIO<5`H5{3#y9abhDJv+6gzJAiBgm%L*zD7BHyJ1{r)`Qr`<=3oYz{`A{|u3l7pec|`PZD`U*blU*r6?UmQyvK z*~M7LIyjiL9`?EEZa$u&U;fJE3%u-lWsmiG^@UY*TIaeEh6S7lZICDmBSZ zw3vM=vM1(MO;qHQ*)oPBus`(>3A#FO!3=L^K75fdV#T3@e!VqXBT96_un`;P+%2%7 z{o#XqWH>XtC)B=05KJ%H;YpNsnSN-IOTQ-nZiIS+Dj_B9FI4hW;yw6M8an+2y(#QD zt#O#Uor0AJR_nm6+$nxl%d@)((F23T^M}lJ2f*)tW^fq1bS5D+I{y3mVFA1TW{0g^ z;I%$i4a3WDDzdwQLH6QJZPSMst=|(m`3BOqXxZ)Oadg4{6kH~@vBG1Fk74bS>fsmfIl)Ht_=9A`LZQ4= zNpSfPSc!*JM0=cMcxebJl=!xxg8X-a+FLjwCPHrJuZy!{QKymO08JkMZ_jdzG?LW$s>pUkw!mL8)#hBku>Pk_jz`2_{UM)+%0~ zhcCnpIZ#x8a(IO2HEE^|g{2(NhVdvUm1?AG#{yqVgdLHNJcPT&y&h~NzR35d+zrXb zFqXQXKmI*28F*Oqz^{Nl#EL7O#w8Ii!(Z*wN{>5eFiuaK4rNu;3ziDmOD7n9x4db4 z{ghRiNqD7F#e_PV5O7pWM+Sr{maN}~CKWS567+K|qrXrp9e%yjd8eO1Ws@R6|ME;D zaJpU6oG=FG+B&zy+?d_$k=e1iGH7S6-CCz9-OWdjvinr(E|a5d;?P2Z7caac8m3^K@F z(*9Z3HDcn>k`1Jv8PtEDgI{mviz2B$IrU*%C^m&H(Ol`rNn9d5R?L|_jLaN>0$f#HA6?F*V5IXjFg2}0m;fFB_xsO8(|uBMZc5On6q9%3Z%#RCNi4Y z`{#cOGi2RxQCRMc?5ZOW?prjUl#eVj?`GFkw~eJ_u6Tsgv5!po8gDAx1aPVf<;Zc; z)a*WMl{61bV(2IhYV21g`ll_OS$xwh<=?xVD*rt0`zdPn?%NC0xwqu(RdnWtX+KTm z#5i}kHcFIR0kJ^-#zIXbQIi@h_i9gN3b6fPbh^yG$$B=m#vNqnamT%S`&p z*U9u*JRC5G)`Pq&h1p;hCNiksUDTVQlC^wSo}<)fL0s+E1&wN^m~zyjo^lS5>dzlQ zYCG#dnRmEHTIXrPo&vu1F|v-aXQ~aa^^&>bzbm_JiS!uBc)`EtYa*u>N<_P@J{Qjb zmI;**?@z;r+a=S$!0US*v~N{wUMerb<5aPt;MCBb;|6$1A5zb4hhWc>%Zre;>Z%c2 zMDQEtw$+$Q)vco(@E!MOm$X$*VAadKt;wOXycR^=Q*jD10!(2pocKsoIiX-0=3V4w z8aDgLSCYD(rB%&^)&|_+y;svV=bI+@aZbbiN>m@Wwr$6m@cFu%T#Ygs%r&lX0s1d5 zu8^5uyO~yn75*0YjH>)DyJ)%I6VEv}_MRGpmaup|-WL4ttuHC1PuRI;)zOsp!MmfA zxQ4A*Vgx1pREu=7bDCP7jnnwe!8VTC<@x?D4hhYxQ zxi6a#qkzUGLMp$@KSo^v#yzdS^Ai1=j&Vi9cgrL#_sYgnX{?~jwYMHEp)Lx{5V(MR zO9ZlFy@RNbC%U&q zpPWn(dWyX#TF}m@eG$CUjUx9HoU=$;mw12X)t5r-P86<%{TgO8X3~J_I+T`v!$D3Izz}5Ws~1PJ=pAMkYWWX-v%c z>)fjN&-mdv5RORJg4 zfc*@n&NpyfZTB0c-Dw9)Uw+wP8}p%nxy4Xkt!JA>tf@m7k_fpji3gw>9$Z%S&1x&_ zMShWoV?t30)vHup&JaL5wgR&oD7D&{uwEt&U;A}n3388B_|Rw&^fe!OQknNS;pd~| zy`h20hV2*IWYdNjj5bv3cBaBs9L;IX4f3T6=3)A>Or-9bGrN;FHS_yZGONsj+ZhRb zXt<|W3mIs6TNDx=%~jHTi6#;axE=5>xC%o8zV!zs>KrcxYiY_PSZY+lj6?JmkAD!er^dkvT1qvZ*+YC7* zw~REln{cUm4wj=Q@cmSjMGDB_*$ixoNW&C`_>^<>|VpsR6hB3y%ls?UD>m(Lu3NEu^peOF_a4?Q!m*`E-a z&e@O1m3qG?KKm9`2n=!b0AxvQ!1HaI`(CLU2Ye$VRc-Tt+UM^Ip)!BEhFE{he*3qN zu6&-PG}tc1L1;AU`Ew&hdk2@*@^yAr6Q)P*?9W`jvc3tjAKwY%ts?s}5w-L61-qMf z-#n3HFX6HQM2tvk#+mZzh(`2(QmR!|Oy8t}UN*p5QtKNBes(ZP$aIn2yu2&E*L2Ip z3f{xCW3^fCWk3A@GEDlM3a@_5WP(EtTyxz_{+hmZGm%O-AsdU`w}!iFfNb)_v1^!mT6&*gL+DBz!Kp$gIx>u2SQC5|@ zG%9-l^4JSc@;FKe&>l6Fag$$h!}^SLzxULHydB^Y)mt=oV~f?hd1|GBx(>AlyHP=# zuLt4rHn8!Protis``1)^T;jdUJ-CBvL;SI0;S1T(i~1#9sj(LhL+10?=yZ)ZY|{?3 zK@K=InxMd;Wp1JA%nmHu$Mi z;rb`Se(e<=pOgVkAMMF%Og2!+tXa`T*pSkM@5V=)&1L|IR}>DSM>WozqS1`-=%2U2dVJ8j0bXU=D;I+ zAhJ_tJ-s}+9)le}lj4VzrK(A93AZ=D{slPF-^_KhGKkr+xLPx8l3LP+mHJUD8N~F0 zWoH;UJOHUc>RbKVyy|I!58LNm17WT+xOAyHSzh8ayRm3A`=8IR<^#}(KPi+QE+3Fw z-&L&O+oS{xm0d;;oFkmBJ@kGT!Y*c?k^ydX5=!1|4?bNneAkm*I@~pEPVZ@tDfoRN z`JzCNv2ar6pQuMLCj$@8C|IQ7wWlA7lx-;tn4pus1;Z&yO)oYBE2kYk~u%;6B zmI6yZ^U#IjR(J_27pevjEM9ZrO2A=brXWd`DW95Q2(Se$V>X+Wh)!;3)!M#E*3UtP%~8Doxls_;%$-xu%f)2H}r0xug>f7~k3|DCm;Zc3&_F6KBQur}5ezu)UK!yEfNA?t|EXTAETP-?7gTYPYBW_~xNNN1T)W z$eC|wTt;gP1jrw}xWXxT&+hb7aqT+SzHhLZ_BDxG)L)GTBA(@Nq4Z8O8~DGi9>&PC zy>Mv&S=*T6g|oJ@Mu$)Ks0nEeJ}*POEb|=qbBXXd=MPj>si|-pc9SlpKV{UnX1^8p z7;W;sCvV>epV~s{Nv{tg?LS1_eN8F$*IZgny7drVm3E77D*k#|cPFPXiK{fp&1M2F zR@Uku$kglrHvb%)@*48ud(Ex+#{|SG7NI=gS z&T|94l>cMuEu*4(->6|gQd$(GOQb{T8oGvVX%&!CX~_|#k?s%}kZz>{`Ty%xCi-34(6=f)-JVZvOamp53wt)3$^kB?!Uxw z{vkm!`_+vAeNTJ*HRT@*8Oh*rRgto89nw?!-(f?*(%kr2Z~7oh!^UfRmU<-?iu4N= zNX{hUTQs)bX#&cuHxDh3B^b>CC8JtE#hkR~oCLfocz}(cX4i)EcJnUF^#s*YHX_87}o?bl$+0X&8&lfMV;k)u0j z-%T2G`F}#_5ERnp_&0+rgnReSO_&r-L_kZVM=Y2)%NJ5`_6SIw~d=)bRk;h?f^6ZLxT^PsDmt2JRi>YW`F8Pcc zITYUe+=&RNXrUp)PJdmEYc-C@KB$(gizZVLCq2U=aH!jpP7D>Jud?ss`r$V|Ui-D6 z89D0|R3a?SNwD$R_|qX*WxfUSv`!yIp^XdVI2^30JwI0_A4CEO&#B_% z0XJ7I!tSAmkD%@5cCPWyXm#KtzTfz3u1fL`2V!(7KLAm1$Y=UgWdCk1HXT>GlriGW zoYQ>gdeJBl+)F02!}9cZ@vjvcgMcAM!5|eEIRV?=V*drLqntUR-ByFH)%S0CZ|DYg zN~!$*eJzO#0-GClyixEHQJ%qEL1l-r>=)k@A@9{;=z)p_Q>SD6;??vo?$^*-i=DhKY07|W3UoXEMUyXnBU)6f zQ_mn@!aGBy(IW2~3|kDjj$ajNdqa8j8>R(_!o_v37X!%?&a9Kz6OxLsmr2bUV<-_M zN>fZ*>=WIEvu$b0dZabop2vGrcicyzTD{89Lxs@)s@)HUwwLiSVr6+OjcU_y@yTv< zIT;H3w(&shD;nwa6k`054%{-XH`Aw6_MCum_S0^oaed)_jMCCP!3kAsiaxk38N^Dw zWG%$*8^MXj^y5&ED`kN*4D6IRdyA8Ej8#6mJET)hyt)*ib|9C9Xg;cb%X1v?O(yjO z3rGXi)F%PYJoB}XM}mA4%Il4|&uz-s(b(-@*rQ1ehbZ27Cc#VvS6gu0Flwrjp;?0L zM6#q!vW<9?Z1ThO7pMAbI+EwVVaXD&tk8KN=hN2TTZ!nfsq^L&T`lMyG0G-f^fzq0 z%bt}*`seFXB)ngbwu5)wI@w+YSnw6cN1q-(3^3oSs1MP$kjd1SZ;U+DBtKaEwAh}r z4GKNnC--E{dUg3$onZc z4an9=@YfGxOz$}(XOUhN*O;q$BqkSgvcgR4$Ib^QDEg($pJA%?^+95|mq0BCdWdFy z;bAN%kDwk`C6o%-1+sp_3_0sca#WVSNm900kDdGbOgrPFVyD|X4~}^D!k!nlAifp0 z9v;c_>!Y#=$WgSA(z1a$*lAxsH!>=8z$fa(<>0B{v=Ci^IE}Z`(rdvz%-`mMD_S=j zhI7GbBxO|VR`;>XDYT^dk%h`f#l2YH3cZms>(}IyK8MndxTw{=G+!Tw=~tx~dkEZN z&t5Y8qvpcDB+KS3ZEGX(2G5GmS23fA@N;PXw%sOaDvfjha-!;V|DOs`j= z0qFd45`B8{PlLIXd{ZIT`1aT`Hz;j?Vjs{0`Kqr^aiU?1|Mh*j-)xCk+Q__IosD<2 zbX1!kW4Cu@|M}?2x;4*~on(lHa&P5I9#8ohx_$E~-}QAejPW50*tAi~fQxg$c4a3% z=*il*0{_{+M4+$f0y^&h)4&>NF$1%^uc3-A6E($i_FG_nbp>XIlL%u<1Xw3y*H6=PvcT( z&>*hz!Sag7!uKri-mT9u`671j`=pZ-61CS?fCy7<=Kb^6THL>m7~$J>$a?Ch zF%v>yk?0p#IADnSO&Y2}{%^-#^4ldxy1is%TWmE$x$3R#Xd&2T@6!Y9tV+;X-`bnp(!_j}wx|Rhg-c;Yu3%}%`iFf|u@H8DX@#dzya|0w zQRY;=i2`?4p}&$1l38is{0$B;#;p=!hQn#J=)va3xcH4ex2D13;yycmp^psd@tvgHjGnebywZi&ygpYA$u;siqVk2U}k94 zy+X8XmODR=w}Bgr0Ie(h4g2|-Ryg+)kO+h^?`DMa*q(D&QZH1TtYM#nf-C3P*L}M| zZ$38u&3(f<>7b)<9$!S_Rmi!u@cPIXyb&9-EvqX2Wk#O2DaPn0@z0_FX4Hl)t7vb@ zOzsoTwJ(FYe{q!bI#1VBv=BGK2iJM{ zw9H5vE>sU*0m$_G!_Y+CVj@^%;z11Rdq`38kokY?(@qpq88;3gQ!-I#okRouDNfyk zz)y^`&n~()Ze{HJ-5(cd_EpTGz7`|;LuxR~CwT^Sc@?JFY{$tsF6)oCJ-b0CZ4$?W zFR`!A0;oOJYZ{sgPybFOg+;pxBT=uAPH5_rm?fo!pp27oyyt&W_5d-kQjDl6M&#&A zK>+HaXQU{vJXYS9T8=Jm`d*ieg{^{W-*FU`LmJD=cOP2qw)LQG=k{R!2Pn!^kQFt& zG@M2o_DX&olK^>>1lc?lNBa&>*UcV)N&Z*^tqtO@-^^!1>1eid+0g$wQ`_VU;476m zw#Dw8uJrtt{sTHU4)k0?PaMzON4vUoJjOnjtJFKszLn7e9*G5g`5*u5S#@Nr9?6>U zgBi)nX3Cldf#*UpsdZkHYLxEurAvo!$@B9zhSG80e34olYr>Urp+%SVN}X}T?=#)N zrc=M2>kgesP0Z6s(hv7>cVKjFKam%4e80-lxCknNe5?YQWhl_N{KIi6S5R7ancVTm zJN3VY+?QvGe`$9ktp#<{f<`r43yQyG>1U}_-@lJ6%dv$}nr4$;vQ#1&5^WMKmQ+kL zo&>I%Ui8GC$eWZn{R9E{wtsGpql%r|IOq^!LemrJQQz`f=Hew`0nvoV@F+bmd2~V% z0lFXlPGLOTfloFF_IVI1eEF`Doj#%+vz#)j{jH#N2Slr=Bn!S$G#OS;tUTR%+4zi8K4ygwed zT3lA2Q@SOoq1o$I?yvx&$-!*wb=E~!k>c~pAOJ3fq#@djR-acUR-Q0;(Rr9`xZMJZj?NAzwlu*tbwv=-i9njqJjE`1VvKDqG`)=J|v z8j5*&DWKnw!C}EI3$zFK%L)TTH0R5-9Vj>CYBk%)P=Vap;U0I_uTJC_Qjf2!p0lFn zVe-Gnf2)LzzZEemA4uyqcl)?f8ddus`(fd(xV^Ec@k+$=u21i*MO^D6uOhwZ-RQ zY`v|-O}(_F`F}#OZxh}~Y5cGMXIk+H8L?6I6*C-2^~vo`&Z%ZiV)bI_%5sQL5ib3( zd6C==Qgi9&b{lm$!wLi}wBuW(Upv_V{_fIl5|q+v+|3EopKI*ifAu^#i|v5DGM)rA zvmRAO{V1eDW0$=>XDm?n4(<4XP=A;s&y2W{|QJl#Td+ z2Iehnr)g4^S}ncT^`v^H%KP`{hp|yiN(Y;QMeD}-rsBV`y1;MsF1yzb`fak$)mWcR zo4NMiTSX~SnPG>V*GnvvgH9UXIzF=l0(jBSk6{#Iunl}{2B(e@B<4B^YYjFjx>%Xi zTV4%Ru1m~bLi*@6QqB~0oj>HOx|6T>De`?L5&jriwMo*)Sk z_Y;{hhdgk@$5rA$$y~$Zfyr${x#^`H`%TFagv;4Voiu{q->AH#*ynM;WC->8$a<7q z&zgPF+N>y}MZUv|0_B_jKR2cagnj$?6k^1P%w_q(OfHMR=6}MDr2Fhn-%~A`k_2r8 zh~z%-{;uoEO109_rS^~UJbFCUAr6wCdvzfOIvPi)D`lOi zc*$;O{E%DvBPi!!+jCvFL5q-4>{~2a@lkTv0(poOcGl+3C;ij6B6}4K%)j$mNs|EvtlI4Vg8@%IQS7B4{ zU^~vb|4l6pJv{vhWL!=Kr#!N;Sbda)eD#XOM`~Wt*M|+`tI!A?LLyR zCQ#;WV8yf$NNzCTHc{2WSljq)>U^CiZil&nAz(?Y1ikP+chq8CULUPUE1WR!fzyAJ z5+?aq6bkZ#5#i4dk*;hH@xReDl=& z0m(AFE%s$~acN*esUCT|5#y9^mmW9hKC!4wmm>z=|dB&IGs z5E88w2i$zsnra>v@w<#3K0^`>gTvd|B|Zy~X9m93dEQ{F%ByLUp-OV?XyWHejK8LtYY2Pi@mU`% zs$v;OQg+3R>%?)R0Z6(GSd|~t?J;b8T`59dpOw?5ib3Qh6&%hyzLC?^KN|yLw_RJh zMm+P5771RcIFwK%RnV5r4ZJq#Tn?0Kb<9FQzu?Uk_u6*yS>uLX|1G27|I0>+h?AO7 z8)%MY-KTOq^27e)3?BEd^Sb?3lh^GR{Jhi?VhxVy`yy5&IB3`8Sc>ipZV9vzbW6S7 zmXX}M{fHx@>fpMxv16UID7ZkGztpx$sc3X*da)|m)LIGKpBsx1s?`TGe*R1t6-Uye zDh|h#ll2OxF>?HSK+&Vi#hUyA9Br*D1@cbigSQpPU1mtgHaVR7=#RHVPRCRnswy{R#Sgew8I zk^QqmjPk+ygHUVjBq;x{{sPGI;sFG0g|4z!d}#vZ%H8uRZ`+g;2We12Ps^tvLIHK! z`(oEo88{T;dBT6pd~?%ifl78y!)geZp_6U=s<*N*TMu75Y0wuu>Gk~W2u^YB!K$hy(c-NCtpc z6l^HgrS;GuztMZ;OnGZUH=4ZW(pVU*58u5!c&#q{rNsnw@c z{c>@TU&UC|L}yCz7OLAQt8P+exUVZWyT+s%&4*P{+tzf+r$?2g%ydWYVjvBaDNSjM zFhg7pFGf$>Pab;QS7LLaLejBa&x*ak`kWvlB8&JgzF!<%P2*sAe05!Jx+x>! zk6Cy5zj&7x`o9OI_xEXp&y;_R@$iTLPzGDL@*IZ&UQH>D63hzMS|=^r?2d=$vweKV}qU=h&L7VBhY-eNbY5ev^|3|4c%4Dzh5)x;kSZmlNNb zC7zEfIKoQxhQ5h1m;WYYo*cD90AnLyTZ_A2u_mrECBz>867E2vg;v4Uzz>rK9=PVd zB8EP?;=%F0+%Js@q+iD^r-&}UBC}7_`mf7#D9`f-+sb}QsaxF~mPsa398gXRfI5NG zg5ea6oh#MGIOIRq0w=^l7gqZu#T}}`RXWUivC<)Nr&d9~knVbn1pDFwkhf_^e)~J1 zBZZn=BJMOsUYjyIqBXZ9b=vWnj%zIUX_oo$-~|Y-d9i6bx%XbkPic%O+npD z&t~K)X8`L%(rzQcz~sBQs{)9$TvLlrlTAsGNbW{*x%A`pt4R*46yV9{FZ@$3=$u39 z=~({Eb;-QGYd<;5v*5u=w&u>xfqxIsb?Uf|+v$jRI}!0ETPffFva4Ltr8Nc+#Ep|M zI%U4tV6MaQiO0OU=15m-deUS^Ub|pf|2Ei8C}_@3<19veP`yXTGciEJILf0(|4dq; z*qpNyzcS5WF70Slv`qD*%9%yv3}{u-{rByKjrV~ zMf?rb=lr)VyvUS-RKfiQqD3|(le0EEDGo7vAwGGtq*78jjXn`5p>!J`GV4wM#bpYv zo7%qs+z^z?*rLUhASD}Cd1)~N7p*IlCF_p2K{NMW7|JpOmb)mLWVB1ad}O>|QNP;J z#5V-d+oBh#xen^9X!PTuVUXqs_A{E}SdJFZthkos)4J6%kt7PCwHO-x%O^dyl%`kE zgSSg$^^acu5%H(c%9knZeC0TJ8oi<`q$x->$lhyYWKGPRq+H)?UI=gS*QO!P3w6$q z+wV1e!Fs|`TmCeLr%Qe>hIZwWFAsv8$dhlc7SrF_PgX63EE#UEDbtIC5qG)gkfqh^ z&4UXy0o7ZrMvGg?wC?^ljk}L~+UH8mY)c@jAGMOSiH~VQbL*%mOW6l|U11%QnVqCc z(@$U%z9!Bx7XoU*EhRbq&n9U<47XWz2?ZQ-v0nTME#hphOX9A#WzeQQfrzJi+R9#zvAk9NfN}G_Sx!MKZqMftHpVyl zeSg@hjQ*j}E1hg!_tJy1zVBAYCE|rvbFa>2@5vjbo>|21_PfOjab6u-zELANJ9<=| zF9RgYsi^9i$RBPdrI~S$VB}8`~$RshZXP)=s_k;Z>`gWgBaMOeipBwqhOA#cEF z`=+ch5}PPX*2Ptlc~Q0aCqyLm&u7AwoR#;otwaHO?tZjD*2 zh^@AH@>bpa#lh29@i_YgnHfiX@@MvA*^H-cs5>0ysrR;7NYB=S}Ra%~Xr9{q(_gWr}?%71RLkqb*2g8U+?Ia9QL2y0+#CkZ*BpNeM>{Qrb z2$85dhkidN_@%~I;it&Y;f<{Eoj1|NRpkW;Uk7Nn^9kxl@01B|4IN++4~h=r6csCV zoHw@Qb1sLRZX?9uW$Bc!V?>-o>NxV8__~T+9ZKTXOlZWS>XW51b;6w~rh#N$hxjd4(6k?J+&xA@Vx|`34u6<9s|MAc3up*m^7nPlt|<#siJcxkv~=!xR9d;l8)&e*~ zFuX(kc^XcC`66;~^dn*5#1Y+PPRJJrX6=BomlJ?a1~tu-|I`TUdswGnbRVxSj$qMA z690j~zTq?vnj%MI!s8*x#wGmXE2u2;;amJGmzlGb_OT?hwSoj2mG|Tsbb!+7FI;<@ ze2Q&VbaXP9&TW<=Yq*ew+3Rc5Or_waAT4HhP7=*nlf@6S`U|>Qb;J=h<(pU{?(t4T zPn!iNgL}Sax#!$)QO(QbaPLK8B%&zmIjTDbQ>J-&6fO#u-MD&^C+)6ihtX}OSnd-z zbLGPYl{HT=5V^IT+Of=f^SfAa{q?lR|P{m*P4{7IUQl{E2l;l zy4Cj^l@D5Q8wAoC{5KL{uRbG0_mA7$+hc2JO`>p zQKycxMXWfaCeQ*Pl;vi${(`)3Z`DM7bfaX`2i!W&z&Ue0I$hhvGxaAOQBI)1z`n>e zaQFz)CwQb|R~IcVJJUT!FHKUgpkeLRHwl(d%WD^ zIkRd?#CYB|i^enCiB_VA9|UXHSgdA?_HdguHH8;;-qhA3=D%yuUL`^+wr}O0dWuEe z1iZMlgkBR}vCpuGgKq;LVG+`e3n6S?fc@Rgb*FCHBI=>CKrI`w03k~r`5kke^kdYE zMlhOTwXw@+63LkktHVpLQRR1xvCg)#`lQ@JJpH7F^3pyD`$L8(?3#B?@tz_B_JGZe zqdW9X;Q_6Y27V_J&_Tv68rB)RBtlqgga8Y5*OOv3pmj*wEHbS0f87ZSp3AC`hAMM{ zs$7^Gercg;9H2Hlr3}Mg8euj#8zRmJZbXb<8!Neme%L(I zQ!(kvR0d}n1>VF+DWrP5u6;PmVSo;f*)_b>Xh{?rC=b4O=hOGL&6Nmd5;YNx*qn^| zpkR39JR_;ax+(YDf8x~>$R;sTgWi2EN-88}^;Y~RIvBAx>ZuUB3eTl1sl(!VbTaAu zwlu*D2D>?n!?%InPclj1Bx)u&;~O>WVtb}Wr~2%{`MvF)W`SL&)xOsqbHFCzZa7bu zRpZGzQ@*OKV;tyIE+~m?O&O&d1^GDw^sGMkE42X|&hxi5@0Y-? z?@c9KC?eXmu5y52?I9bm)%kZx67feqb@bYY43}#Yh5E{_D&Sr zK<-O-b09-nW89)?kB7OnwYaXu5fMf*Dwi2}|AqveB)T$Pos0H6?7SD*PRQqm37Q2? zW&%Xe-=Vtem@fw2J=6dBkl;lApZ?YwBoIdLAL<{?C0gQM(Ky)2gnjbN)#sL9Ek5yN z*5p5>&dxDiQZ~E!+&Crsns3k6>OTz&Uv#A~&yB|mA2?cQILvS_(=M+v`R`B`@{7Zi zXG7sXqTM*m&~RnkTCfb7TH_p>{j}<=$5ktS5)GMp`)r}7gJJLPQ}!r}fSof&KJ7uA zx8H3~7!v9B$|;2%gPi4h{8f?U^O#jpt2&B=1Jfa%B<#U%7rk{BU-))Keh;ey?RA9| zam}!9=<5xeP*7tdy?%XFF$$FTJj?!`U>`&}bNNepouiBHGs}x{ zLevE8vl1$;6St>W94HmPi`8h#eT_MTlUK~_T<5U0Jiw#;b{f{uK8WW0%TK8dq0Em z-F|^V608N0qXiZ!QF0DPp(KQXXqLv$-kdW=^S~M6Gh2^e3+`Alq{>*;7_M1Ad|m0r ztpW|J9h&iMN~uh?0d^Gx=NH*3g+#(L8(2Kw>h$4JUory{R6rcOuD&G#}#SPvuAG6syJPI!>7Hj=Nr)k|`7h7YSfx#>=PH81%Tt)E}x zDU69|NvNouf(Bb=H0^YbpclFl_Pi@@%`KagjlCBLe--2e=|?gTfH23y?L+0g(cIv^5U2!uSTzdZssdv2=^>%no2nNo$pu)Fut?#EBpLekf-x( zi5Ruizu}+aPSrM{2W(_>cKItI?LW0E)opW6Ju6>bb-lvxDt<7|KFw##K}rSp8wTm$ z7o^K0(LYmicNN?zVADp7a$H&Konfgw&CW^Aln{!7ZXMSsiPh1wfNT9yp|$sJOUner zVP~0p-rxWC#yR%eCNx^99Lr3P*z`wJJL!xPl?A9rL1%A!8$;&}*yt(FFefjQ4vdCd z+5zp?qh8rT&W^B;52pb4zUIWfjOprD$JZpHz4iA7?2n;P2M}{H;8u7{p_0VU>?+mz zpRn<~nP&LB%>R!;!WtHs5n~lmRMlgL=(X5x{+ph85 z&m6W?ny3mF^HK+h{F6unIHhcv?3s+j@#SGR)!fRfChv~5+34p1m%zksqZKt(U7DHF z=d7@SGz1zXygs46Nja@avf~K8BKs%q$t$P@&Q-ri>(6|^%4!Hq5{Vu(M|+QI!#NN{ z9fXQX;sX2b0-t`YbknNYQX7@&pCjSk#vQE`1qz!a{8u|q)~U8%`P0R39#($uuE<4M z;Ex8_tp?+0DI|Fq+#S9A{t``>0vZjVT)n1G0fl*})04|Z6^D7F4LcO9Hp$8dl%t+L zy~ieFryOzwc#+J;|L5x_p7C0H;Q*E;;7hI}B9Gzp2n@oy455P<0$6?9l9FeLN&&K)I22w~()oZu7Rq!{ z&X)3sU02q9s4zMLw!c?*^Fqh&n{YI5sFFYQJ_}t!H&u)MgNtQ6*5t-8xbXr%V#jjX zCHKf=#EWfXsa2u- zCjD?zl<}l~*@uaLq-CLzGWT;mtEMUE-m*#=IQPim0Xh;AidUrY z{xkXLl5iL@I|}o;#I0&ut4Jx3bBMS2QL#c2R|C1lJydE-2s&{^0N7r*X1U?G`I<*$ z=dVhh{o|gd9GWxc14E3yJkv#AQW+;Zrs1~)Jh_^GSRRQ*T)`M-s2$WADD?_H!G$1M zch#bycOHq~LJspVS-S*E(qt!~QP2|kv#E^O*P&4u`b~xJlMI^*8p+~v`vxSJ(OoIK z7|w>otHhmDWC%f?@$t=f0Huz7{VW+(d?Ju;s{A&wg5lUbTr}jrVJ3rwDU)FEz{EqM zz6u`&`FL}!flHtKWs@#*m7yumNTqr|GeJ^WYj&xr7qUamgY*L+?G(tEw)HXnAJ9m) zOzNeWmn@p;G&}DsAZW}hJdI-c6eT3casSqwgZDdVp6Y~Ze>I!%IH#xIBy z2XXP1s{CX!sM54nB`Gr*GEFJPD^KNR4L)E8;tY0acAoU_dQ;A#e(=tsJQ{$ef1$PF z9Qs_6-EZL9*XPjI`=>_fYfupY+027ZyGE%>Ut1I{`_zz+S+##I(@1dXb>~;iM$h^4zlqX^qeLtuU;&PQg zMP6Q#*0$k{+m4AWsh_Ko+rJAY42KC?5~l^LekeS+dYV(pr3dz$tWFjV2Tb4Qc+fPE zZgXDxq$C)=K%Az|YZbSLk-w=cMrNzEtFN12S|FQdce7#F+;CGGY<(bJ7tX7(+a8%o zHMQG{!?}=Yt~)H*inRuI=jCrZKE4Z4R2?cJxX|gw;5Lv|D}-*N&JHlT{&{kBEiq}N zI?Zu4uPM_jv{h84TXAjZ8%o~G#1{N^>!)SK<^6j@1T1Fc__KqF@K|?ifZ1txvmB~da015Tbd#{d+zhC77iWP+-EYNMS$tMc8UUS?ek`K=dS*G_%34Y z3vsSGsG!&18&*&6*J&Ws7RvVf_47~O%w)$d-#VHVMRVWu}C z#!dF$Y&#R6ew5fRq}-6^{5-3A*c>9F3AKGg(>S=RTj%*GV#GnP4sELJBMvSv*$V#1 zhoT#mlL397UVwcmH2cAs#j*1uQ<*VftB60@H1MiY;ZyW=nQhX=ddGla4b3X0sajwM<6GkkN@TW{t^A|&**=iQ`i z)$Cr1<@dG%xY&I!eT4VqBuj#Ja4zlh3n*BQXAD8a@-dCcEqJb#QP;i?oZMIY8|$($ zJl=~J>#N!tNgpZD{f%f3*Tlx>ZcM74sP1`9Fa6bg8=#w%MT60}AE*zJUqxI}zZ z8!$1;{kuY}o4aR0E~!Ur%|u2)H^h^i|H>3r9J3(HTEKkHC2Jm=X@;gH6wzJq39l^K z?@e@%L#44-#YWOA6t7&e28W#OeAM(mqK`*)b0~vjC~MNpAMCB@PI5FH^f;y*GqZZ> zMB?Hg`r8iiXHN=MwB`v+a@}a+SKD(To#jiU?o~AfD?Bi?Dq@Y<>Pgq_Wc~OK%B`*+ zRD*dp8M%?1^YO_yey!c%5TaX=07=cI#&?9z+(sAk-$`~4Z)}f2Q~P4f)5)DSUZwpq z8l9})?0F|JjhWSPlBdRv+i-`eMi@iZ_x~uHXOM^wwS~1fT*T*f`(LxAOeYd>9bdjT z7g9G{`22S347UXBO=od>1H7*cIvFtf>ZY0o*)^M}ZJ+eGwq(7xlfUhe+Wy?m0rV4F zPhv%$|CEvXdu2G3`qT+i}4<-mM+w}WD)a`BjsjDvtFVwIW?Fzr3}T(La@)DXeD<8SP&&$MdgL zgxK3p){cjQ2jyV-v!WrYTu&|~S^kJZmAKYv*Rxv<7t!JZ6RR<<{YXip%MXXN3;kx0 zqF>are9O_lY@*fbU8_rf!j;7xG6VAyz~imebM^Oc%9$wChG$vmY4ZMi=e(~w=$1pB2)$v7?{Z9 zOqar739?LWhhD#lcH!iAWV+$ZL@?C6gsR+ARqa%}=d>ufTjc;{1omT)`u7)U4iFH` z)q%GGF$2T~B(TFJ`B@zoBbBa#OqT_YQ+`-rc(DkcGo`DlmadOEd{q?uA#jTC2KT=V zkpIG#;Uc3EZlQ$HF5o4S+%i`v`WVU`39;nPZd!ShL(BCGy7!*N^e5TRwft*lIViYA zmsEWa#eAP0k*QR_#xsUPpz2o(bEnYaotE^Onbs*OZ_mKH>thV{Y;n5Ed$jJYdRi4a zTAskgluNkd9NAdVlYkmw1IK2W+`NM#QzjUmo}Z%iKsb5ei#FF%>#a%q_7I7ApUn;(m5;F zeRkXxZDq_s*)nt0QU~g}LFhN4jemC=3lfv|QHC9&DBHm7-*2I_ zPS|cK6{&*+AO7Z~jQ1xkt}4lrvy; zGblWhxV#=)tg(lRIY4_InGz#B2O06C^ox5_A%8;(D9dvbi7yHJ`q}M|Ujoin^n)$V zN~}OKUnTAX#%9V7&Q=ns4+Kt2TY}5k|DRMXRq!ocuw|yym>}DzqGmpPMpcA z&hhOdza+!38hrj)p*jtBXAa*J6*UkK6$gR;62ekGC|v&+MRP3MfDna&LY`cy>St-n z?g$l(!NuP?n3nLdb69QOF>Ck@8e53#F?}5~j09}eUM>X;EkW2|vHaL>aaRjWgxI@q z1cj|2z-yB<=vyP4X@wk19_p96t=}a>R^}Y*ZqpMZ1KHd$8-?$p)>O@PL=l}<3 zVtCdUIbZPEZ2E4a=)gl-zO@o3$H=U+TjPIa=g``>E7x2##Nm(x?z@0{Sj5vO5S3#nKY;oq*zRT(Mz`w4uYPxtzljeR3ZdY-s@6H1 z%{r!=Gb`ba?veteQ3dw9@%8(+-VUG2B_9Bw&fJ7Uf#VMQ?hvI8qAoZ3V&5DO7z0N( z5RYe_Iz_(mHDvuhetFyP)+%fkj(i4%DTSU}?|ZYTSO?Z!RKcKo z7?$#%6Tl3_yn@a#T$K;FM)$6~r?rP6?kBD6Dko)|G_3Hi^_Bb2Uw@VE9t3hw;=AlZ zjsTH}g@P(so+sUqgV&PrM;S$9C=-y1|0rDDmuaQoX8-@+1hTmAF)8BGn|*=9?qm~) zf6EZG^j!!jQQfin^}qW602*RfSGbr(D7Cq#)SLwES0q^TOma`%YOrc4qOECgzWb^v zvnA|IscJj5k1c9KYHoARep55jyZ3+g(u7Sr^#b@8OagEy^ZQcqSfEErd>$I`xCE|a zTzive+Tl$O9U=nOIA0^=4Rj;Gd{5u#;~hguXNhlxDC)eiymScI0ZPbcK@%=m049>U zWFn`qf7ox@?g^|+Zw=Le-O67zypy>)Su@LPC2Q1IcrQK*s-Fb>C?fAoul|zWE0#rB z-oZ>hZnvsL?pfTZva}ximxOy(ErJ$}4n4a8;M7{V=j~qqg44=TQ={2^|K>w9EHP#~ zM(+K_5jY0*CN8Ddhr`;<6jkJwI}Gyvc)LAR^ShyywRIZ^noxK@Wno{rmVeV`>eT-V zV-)$1$dM0kTY&?3!7cJ_{KxsqCUph)-;KJ81W}NM6LFTV{1BFLKZ8i)bFLX1{gl>Z zUBrf3rn{$7BUp=mw}2&SwH?$_$foqBh*tyTa^mHob}gn zUoNn!W|!>wY3nAX{gp2C$GO0YC#zDzVNiF!kP0p)h^@r*Msi3Uj;_9s3s+M5Gs!$<1^3p7VZ|9{R?VD9A6pbuqdKGvaQ4+ zSKE@1dD7>|5g(_kQQ)3?Enbp=&kbEKPzD{CfSvA>=L@s{T_S6c!=i?TaQ+N{O}-0p zGNl0lS-=0!bt!B9=ULhsn&;@>2i+$~$N z3u3qN+UGR2?O^%+t*AJ$8GC>Ti1ELf?S{^T+aM9xyN=Sj$gWYKSVD8d| z(Fo)6?k+s75K5)`+l4T67unHlv{$O}@_Uma#o98^^$ZoNj@m)cGQB-=Tdo$*Gy5bA z$aYDS`AUPbCoPFbNQ7e;epftIqKGzXO!nKDiVPdW>w`>I@pCd|x6I z<0wZq7iIORY`q#~Muk7yG$<{g@&hjz$VhB>NClp|BKc-Tu1h$9dh;{p$^Z?n)nZ8G zb(mtfoMTe#Yj-ivm1SpZv7=#QrnpF>lJn~;XCm@Y^brNFv8sdz;he<{{v|NT!HA3uW~6iO65 zD%Gb)0CYoQA`VAqQx@CggCnwz0dbjc&|=r9vH=XVyW`0 zMke1gCxdd4>3Ij7%aqfd{7ef?DZO8-&&i*pk(b5Q>61KkEE{bz0{mD+7wY8b-mpA( zvEvsZL_1B7gCcu7qwZ4Y%9tb9x-!mQ{GecG5m;z{RNws{x7xx5(;)u2hnLaJW%#}N z9kCNpXs*@~pFsn>NUSTt8@GRx$V}e55?7(+voSz%rk3t>&O!2|1@= zhO{pENx1h9d5<-|1xg!w=H0peREpVCjOA^`y9i%Wfo_Vuhw`g18?C1U;*z)CAg-W; zZgJR2Ym+M{-PocyQ4~Y-eyEyENapVjd191DC=;HVSAE;v3&3Io+Xe%kt)-_mad<=q z%VNheU7I-3`NR2+zEUrk!+->^uhAGlvf?15E@z%cvjLJ%}qhU(4!$@3lSQ&{Ifl>yW-9n-l?sn7K z??;)Qpp5cObJ?{J{N>`4XARq}cu;i^c+u;9Qa+MEzouJl{deJptKdx~U<5l+F&W?} zS!leI%Yk38_O13Xmr$TWI~(%~Y04Qah{?Qgo|G&(LU6=j>e!GdF)zc-H7bv7ymHkK zJ2)09nF$JH8m1I1&18m!PA=AkZE;`LA~+fc+ZEQq3tYSI<1`{mZN;wmY9{2^r&{Oo z4?atd@s~`%c4|qmCcpef%|dmWmGm4@q7ubwAxtF$9(jF_a4(}rpP)07+^he+o=XJG z3V09#VBfC$OKULY<80Pjb$VNCx!-247Mc>VpT$FrN2U~%Wzrd48Sv(;-9tSyf(ph0=RGl zXlcOBZNuc)WxbKzPUnd)manwyE(^%#i!SFhF;V9Fq`5v?Mk^5t5_66g8Ph(8Qsy%L zUWm_NGL(61Hz?K|{Ns@U;H$9!3UJ;H6Os&D>byet`b!ty{W1nxVGMy9Qe=#u>jjy+ zo}+Z_x~Ptmfxid{abn(m?{(oiri+I&Tp8YH2YtV8*sX6e>Vj_Sru)0;Lu@j~DmFzH2 z@h4{tT0wlO@y0$fn^}SI2)(DI-*M7aPMS8@__^xfeo`*_6wd&HfY&wafp7wk{D}MT>-2bPau!!=S;2QN`go z+>2Cf{3RoyAQ|u@HV%ZTj)63*{O2@}gt0qnrm|$o4S;~guVfgq+WACrlB0?EZAwwb z;O4jjEQKxU!o9{D9FFI1Zp(K4uMj>}9RhoFrf<8X9enZtp=t5)Zt4TQ;7>xt_qtE6 z^0gT=r!>b;MxVtmJ#?#?X~?ggca1)8k_AN0@V`uidyu*UcCqcym4f_F%fVnW{n!%n z4QkI-^B4b~_c3q?k?!gQzL{SH$kp`0BPQ}cytgaa>)s#BW50AMK2px@@UKbtWFu>M ziX6fKS+LEQ?0~qc`oR?9O5C$4zHX|NG0;8OZc5V=z9psU?5JOSP@)zMbQ0?@&t@lO0T6% z&1IBUFevfy{velGi(=-dD5r{}Jj<;2OU&$*PH1_0pNKFW_7zCWD|3S9Rnu7NTs^bk zf5Z2KY?VPq_3HmH_0?fb{%_QzgwoxNZlq*{qq|#L2@#a;uF>5Bqd{64L>eRn9D>q0 zMhHlQz^M26{;v1B-uIu+we8vV?1|ls<-ZFBT$K0(&Y!{R$`{%lh9B~qI`3k6*hLC_qN(I>d= za>w~DAFU+8#mrJMZw83l{W#oYRFY>S>?cU_vkI}p3Zi>VE+EEBO6W&;Sgc#h#k66x z6!wvF8(Rf7^0g?<*9~Ew5r6F2wvd`E@6m%QCrId?Ia!p^?Hu$b!9SscV?zk2y@Ts| zs_ucK{x{{2@4gis474c@o=&&pNdx!t&2(^#->r3UOj>W)t_G<}(?K0dHt1p=NuE`@ zYGpFcc5q0pZ!CGN=TV!SZ^*B`xxJ{5n}Im7*9^PsRKHj^PxxxYw4S!;jK8HQka&AzR2}t2!o5&xPAMd9 zQ>-nkJRxFMfRVT|*vX-UA?#Q0y}{Zqzzju`zRm#yN z%zDfBK{TBf#%Km;xeLg9kCXn=j$G;ogaw2N$(!ug^*Grzi7-pz{BnrmV~~y1gyt!* z&f6zQB#(vKF*4XO%YuG)hXW)Go#ilS`oDj1b5ozTyw~d&nWfn3pEf0DQWOIA zs+CQLPSxPOE~Sz5G#(XWDy=<{k0ix!)Oq&=nYCwIA1o9IS@)PGtC5D>Jz3o@_BBE_ zMd-TB&wyaM8b0+=+8j}Fk-4ckXu9|8GwueT2igrH$nGYk<0G*51{U1PjfA);& z%hPQg^(w=;rk{g?LCEgSy&y%mB`SHG#ouVyZ17&~y&D>w4!PSqr3gNz-eYXQUFIdq z@W8@`#-YC5uq}dgsNz^t>|DX{eK6im8cBXvP` zrse0q&HWf{S&Jq+(f*4nvkJMjo^$u3Rnb29ZOUyo6n8gCuX8cM33r)pLD*OiVzAu} zA)o?&awJ7B*_;Oe2;Isn`RPYY=A@ui>r28|ZET~0 zWt@8lf?5ilxU%#tk*93VBEv6*7qoNo&p^@n-bnKywbm%n0AX0OG06Q z{&{GS?VjBU@+wHMUo%DnpPPxK)vE5YAm0XmY@zKvQ&?8B?atcrfeS`?9s1Q)MVmge zEz@?K`}r3_^UQ-9p~>#6Inif^6w6Zo5t|f;jk_x^fB#vJFqFTQ z^x@5tEJ#BFrS*%Q83=a3JosC%==#R=7Fn~=z`(Uz=PBV`^#F4A*u(LkTf#7GCfHLd z;m1wyz+T8WZf}#*3ni*wecKj$shO1Hy_mm&V;@g?$CP#e6m|^bo!K}3-e``_qARX( zZ%ijA$NlN>suN+DoH1kSH;HaIq^{TT1h|ji5s-B7h@!z8UE?*5-J%Z?f%XLtpDZ$v zpWm6z*>t9_>iMtdAj_dBo$vmwvsc$4vxZN@>;Yf6BWvXx0S~I#DwFTCf}`B;Z7H8x zYNd8UNVOBAaN()-m!=B%_ zvwtZuIsvFC3-i?&>?9ag1**Shy3@ax`VD@p2C!o5j#~3`l=Y@)v+J}fT~(BUSYYV3Lo00>BY%u;NzjmhFbc`C=j1HS;|AoTeScc(c0I z#aSHmSz^5*)okd?kdb=mxM4pXuw2&+T&mPhhkAUVp^^3VZQKBytV@OeRU;1$3)|VN zeEilaS)LP&9Jvg&7;)&lo0HoN2}tUGnb@aOp7Vs~aEfhAh%NZw^=>9awRgW>27s&t zEnYEy7S?tD1Br{#;0DI)^42VUKk8PZqKeZihb^zs_2`z!3{80}HDc|7?bxZxteUwS z#rwZmsc>x{`-3y0GkA9^>LI~7sOzlJ zajJf-znx7DZ@odX@{avg9m(Se`qYOnUK@PP=yKWLiC1K^NT*Cv$~0Isrsum~XH-1u z$+f`~%hGVyT}BpbuY=6GAq-C3$_5jI9W#-7i29K@Vt$wi;ZZTlWMrMml#*?dG5G5% zS&fnyp=VtM3%!0Kge#U4!$f7r);a8^n zsJK6lx@AveRE?N|e!r$$ftA@VV!&rj>v?{njwhjth*A<)gWNwk#4l5LPA@ z12AWEyWSvivETDQx~Ajn7{L=;$(ymuXn&Viu_r$I`1k-d4^@6*a5Xt5M;&4=ccA4& zm~B1Mxat9zV;vLjmcFA*i<^T@!sZYwpTW7$&!?63u>s51V5_AodCetV-`Aglrmy5P zA?;;LmH&h;B>Kw$+qk_Y^n3o`Iue)4VrvW_e9fc*Yv>`*X0GJDvZ-#~v=i|?y}jrm zUSwVjeI8jFO+H(d*wPHpPeFCZQCz|Q4fWH<=nJN{tv+&nIIkU=Btj|ryH}sOvjz*E zxcARfm@0SCZNp@fng9}#UDmXv)aejH?h;@e_@&v0&&c_|9Ha3E_n8lnx1$PYc zGv3`%90uEd+x46}97{ZJldPD1^JMY+fBO7MmJ`69Jxg^C{-3$P8HLXE5Od-=Q7MXh zFGzZCWqEP(MUT(J!RoYl{I~DiV0^pG^H=^oCtNTZ2dnJMmo*)HwVfUoNzjQP)}wr$ zL5HPY>p!7(4K=qClTnKmFAd z6sIgrClbwx&!!5Jm0t<90G-qUgiLF2-gNvGC`7IMD(=4;g(b03&5)~CUzTCd@PZez zfLS>rVW%Dh2SJK*NsC0{rgpQYzSP7{*feQQ2Ro)tyt6wLEBQ_9LweOEVzOIzK;!st z+TmQa2iz)x!2NY!3!QODX zKeFU3Ffi4CvYBuT70(A{XJffYry7m*bs>>&&h<5rlq`wVp0p zu^V(LhnTj4)ZI@ax(Ys)$O_T1As{1vXEgZ2|jW=pTE&Kf$GrzR9` zJ9d!ZFGLq86V&VgCVJ5R^ZQg`$^t%FQ0+VUx2g_wBkr-M1IuM{VG{3QN40;zpQcy= zfS2WHf0}2+rw(t~G(cY5_Iq)U-7+r34cYUEH~VSh>iqI|WcrvZYT|5JgAh~~>nU6H zzJve}%;YW4-J4Xp_&WU9_Vhtb&a~C=LQJ;{FV%XWG=7Z5RI>QVji}sb<}uRWEXyfN z0e|*rO+EvLVNHr+p;kIuGX|)SBemr-FH|=~YDLsEYBB`?TlK83bC@2)noQE+_~@yb zpYfZh$tG3nB#s%Em|JE#?eirPm{)1^Jt+5H4)EDIP6x{8_|i(Uet4DZ#+Ze7m8i>s zn}sJm@17})PJ@!(P6geV>o+GF_Hu0+?BBI^!QD3cL7L z>ClNQ_pw3}{*k6XKr|RCYi?~W8GbXZeA~*LahSUPeQ0{ElK7KkurmV8aMKay+g816~{H@Tz_9Xe}@*!Fp{J zX?3>nDjV;X!fY?a>CX(h0Z?nw?}HfXt|r=+8YaiH;0R(at4V-*a+Bs|;`MzJe#4N# zo-_E5dVP_Zv{xlfw?X8~<~!DsB&aB_ z=h;BpO4a>~X%WRdY6N;pgGHs9;@_GM02 z_0f~v8a~(MFJ3Mrr&hmEtNOdMg5qWq<*CyvCZXEu_NxCdQL49{=*eF7&F|b9zwi_t z{hJL<_}vIrZ|F5f9~K0UE{xQCRlHvraiZZ@s~W3ERpvD9-({V9o^?#ghN+sbl5F9t za=wNRgsWe=JiZ5)P~*52Z~Em^>TW0g3o5!B!i)X8@b+=b27F_7uf5TO>sRzIUsb{F z0<&3OmZ=>7H1rFPwl8rVL8KPAUj?;ei~)xFKEr+EEZwS5!Kq;UY3h;0VJ+vlWw4BA zwR006UeMoVu%^1ULE)m7WrGUJsq!%0dqnun-d-1*Fxj|L%KXN!{@F}E8F&F=n`qN? zu-1EGHBM9OaJ$8%y?y6+%SkmfP{0%)$*` zkKtV`W{}ODmV1Lt*h%k8P+bw?cRkxycaYXjk~Y}l+OUE%@oBImy6wCE0lZ-OFGkG6 zNq1aW%{8fPA~bLW6iNQynPk2)NL%Yx^XuOn2x?`qMojTB&Q z;(%k^+(s%FN`B}~e&+uh`rZFY#7dY>;_IWcO(sLW%oUeZI?{HRY-J5DFd-TPwp`kNYShrNh4*|_#DuNH6aQm% z{leFHAYQnw1X^L)Jl!4sUh5xcNmXlAnVAg-4W2eYW5)qoZB{258N(q=5&BGl?GkVx zb@7&k&9l*3`r5xnIoNnSFLT(f=iU+5(xsZ%KMxr}hkHwLTEqOyT(*Afa;(q^oh?gh z*|e!0t&NNNm^vZ}EjBlt8SaLr1syCufU}TpGM@!rtk7v2bqN3HG20(%Ld4=4fVeES=&nU?AjZ2sn0FJ9D;k&_Kl@7nM9b{2fo;EIY=h}G}&M?)(xTB?gARcPnbw3c7wnR7=Grx$H+D7$`ZP&WoJ|T%TXnF57$ho z?^iV1l~wDfQy`(QUNO(gJ=Kj&D!1;(wf&IvKebXGHa(o%eb}MmYM52y{=q}&=s*R? z<#tE>`STy?Ow5NxG!#U(f8hn%AB27yWm2szj`s{4sU)Lh zGrbW%P*|9MqTXL?4JQt9Zl>4Y!HfB${`Sr@Hj{0}OdE9eJRh6z4Uc2&)#crvoP6pc z(!DV7uJ)G~W$`5M9czF^ApG+`_xL|XC89hD-z1d_J=+6)C!&1 zJ03_jf2~qlx@!~uArO&XTZ8k%&*!FQM#8B2iBExvX8ttTChta?WXhi|?PLhEpg{$cg*5 zqBdx*Jo|*Ry5I)%tJC$#?zTt`Jkcsnqli(4f03A6dls+KA?QhfjKJ*kk-ZtX<_*-X z4U`F+$|;%QftUUM)D!Evu>;EZ$Z+v-M-HX5opm8NKRrOKWfy zCW|_Uz#i-6!E$V3H(%}C~yM?1KF@jwW z#WDmPI5?+kgEQ<-xlrijcz5+f8C)*}*v9ZoC71?1$nm7fP+fc>k^_AL`#xGJ=6Z*2 z&5Kqj2Toedfm`>DaD{u%r&)-O17!JHrxfq3z1Y)P!kc_wVF7hm5&Zhw7yWEWkcDdX z`RL?l;-FO}$GxCWo{l+Fj@hZs!Z7V0Uq6*EG`>Ll$90a$`0Hw^CiNR`nb|DKR*fN% z{hpDy2}@kY@LiFJjPGD36$K7Pzkk4_%97L@)9|ZBJbHi5% zjr3?qs!~GWJ-TAwy)@wWV+;>j zsiC@T-LE0!t@1^Crv?D-SxP+CWBVYN=Ehwy&on78UrDyp0``ingI21d?bc8j#GMb3 z@h0|5%58K%Bcb(M?ijsAby2sx`gI zRUL+zs<72#8^NU&-_jVlg&%BlaYjsphKIhM^-lqm^K+$2X4J@ir#K+jy>ZH?s6c%f zT&p29Ks0=ltJ)xc(l9={PKr%#*c}qG8GPjfV5EiZn5DI?d+?hKrG)c-qZ|5uO|v(;?|<={gQ#XTHih(QKJbbsh$UIl-OhG0U5Ta_2Ey zfi0@<=9xaN{r>|=#hUD)nX{{3uz9S zNP2Wyu=2OoS-$!)R^boRRP{KS$Z&=YGWo9LD$Oh6pt)y-QK6E+*Fm{X+kZro>wRa7 zYztlTR&-Qs15V|&<2*@4)AVOn^&Vj0=^?QNK6eovM5B(M*4jokXlJE22W&jZH1%gl zTiUB{P;oSxTuWv)X*E$;=)In0iV8|*c2$2OK@oEk6kGKVH0Bl2En&J)4)aY<`3cUG zhaf|@Yf^vUotZ}PsYr?x5s^|L?_%71Km|FVf<>A7qS-Xe3P&;-*N1uO7yrs0u>T2P zvKg4he4kId(;aJX#_zz-l@1aBJ<=~{g}ya{yfZSkMUH*G6RADB5xUv^2t4vU*~`%F zuijRE7^(QXrSQHgyV&$&Jpqm$HslwQ@GRfs2_gqPAOI$ou z+we}T)i>5lCUXiRpXJ2m3jL1D>4t`_%~==O=YvLyyyU3;mixA?QDOgILB1fMy1R8o z=CaR%7F8V+A2XG{`yGJ`PV{KqgAJaL*pT zf8ahh;cT_n*eA&3pY1+x&HNDZZt+asm?+wfIcp?GBF;jNomaEYZU{3ZS! z(WD^1AwKwmJ@^PzbDR%hXL8Y#DLe!b4_^Lelw#&hQdo#_nmS%gjuqe?A*lWa5l8;Q zqtnyMY)DLU7jdMkln{pwH~+?ys~Iot<*JY=G!-&WO?EY|)NCW?tKR=FWz9S4Re6u+ z$XYTf1p-3dpNv=@3|r+7-Mk4xaYjROSgawE2tQ7t*r0-MRVQd*p+Xh=L4(XL{|_gE zza_UR)JN0dz9d^+h8$a+`n^A#CA%y^f0gYAN2@d!lE$G=|3fCMS$KWpXC8?~CBh{N z_88rGhi+9SOyk#+l;w!rEWC%ywe%XR-H3Yk>@1#4$sQiEM$Q(d#!|A+Y^D}c9~9b# zrebT<4jV}-_C`gR_DwuC9AH1)Jmv{a(u$6!Z1~8ngCmMoYzuyFbsd^Vej~gFP%|Z* z8>{i`XX`>c)~o$7*+Av2Vruv@Y3f<$%ZW~JYvnOd1E5Xdz1$5X_7DdHeSgY%kKLzKPsq?z=wQM6 z#sf@&tX!lJwY1a*>G=kmiXchEd$!;lK5W|{sw}hj(|mX3lKo72mevpp{iFs0u|(61KCl z>4;;C+Y;i!GMNzoM}SR;iyWaX%yMrlh2z)XAbdD-*gjsm?bHy320w79q}Fauj<;XM zWr1gBOkVAS%Ri6g{H$tqmj8b=z`w4;YVoDxb}9sm46XN}@A53uev-pB(V14R?}cN0 zWV0_9jG`*%hc!3$6i?B;(d4r|=ej(FXqsB1njif9(puh}L*$i5ycP3y?9QC81M@|D zuIYYk&qD`#`iR3RL)0k-*Q+S46qjnj>I9d44-qaVBWhWY>OsJ*z+@ek8eS8?wZ*z+bY%YWSC+{!yjzc|_U4sABHw*`VoDHa zX7^VY%mx9Q9iknh#sSY!JTY5it!|Xo8PDjxv>^uDuqVlsH+#QPE|B+C-~W2XIl`V^ zKJNu|8*><%fBu5ybkSo$XP#Mt}?#=FpxlH-A+RAC1C8N8=YIUXbwdpQLL z^5pG8RnxN?;`g8mj{HA93FAH$gL!gV6y0!+NO2RAAc_ZpJTr0BAUDjxh#*HRg3J)~ zAp^){5)*648WkYd8)&l^*Vs2&6260KKx33h zy$kfLj7$@V^8Y|T&-_BWNs=(Lkr&#&!qu~sO{b(fmNKGj?gC(%&haECHtEAqhi@Y- zhM$jI+4W78wSH9DSgfeOkd{px2KySbcwiV?7AS{DWyGB zbW&k>3xv0`S<9OG-q&KWo`sC_6HcYt*H%D2_@X@T)S87s+N~;-Faw2=uCXUhsiUFF zS?A(Kq{t-JUty+dBWk_c2%chi+1i3*|lwQBE;h+@ypP`u|1V zyMngcF&T*RmcL4`@)jPCNz%z^5q4i+6*3bj3y{73&%}<;WO5&*1O!QbYc;h zQS82nDLgBLY>~YrEep>CDBvVp%dh{O%h=>pHKpB_0OHKJ(6KwEN8WN9?~3vETS_#% znPDIueA)&BSPBG1`GH-K&B_lu1coW-HMWvFs|-HE+xSLYDlho)J&D76*!Ce$de*a) z+zSN}9vVD0p7P?dYPbf!0w zEC{rCXyA|k(vEhx{&VOeJKY9A>7c=l#z5cvn-X;rah?*%pc3dpx)Ad~>XCL*t{2V? z9{plCU>%C)NQrls%{8jyYd?r>H$PKqG#g{V@j)Z4(MEX|Piq|dl8f}qLwXK&0&a}< zzECnWhijz2(`k5=i*!vpt+8wD1fUl;#C?`kxjZd6fm!%Eg)g&uL^+hQNB;pFVIdac zS1>yb9XdFvB!QDhOgi6S5E)X2zW;z-x|zP^`HeF)M;e+RJ5~1~ux+LPtIJ0suDg{G z1H85V6218l1MbU_>kxzL`v;R;9WkT3jwGWitk3&Nr%AK78H6eOzu*TI)61W6e#Xu& zyxYB;S@>vi9uQ253l<|?xd?4I>C9A!W>0)F~TAQ{edfu%ndlyz(zDe@&KOjE# zw_Q`)PxRjF}z@;FwIqfncn@wQ&B8;q5@h#2@Sj;)%B zmuU8EqSXw_4Vv%fF*BQl+G~e_Efu@lQl>!uQo5r2ok_lnu{bfw=Ox-ceuJPq@HTSO z@^`FSDZqB&7yEO#ZM+Klk0(w|aecz&mPEQczI!zG_n%CC`M;4jlOI2+5e%x^3y281 zF-k^&^Jjc@IEacS#$?w2jLWp}6!CtSQSsd_GR>OO8I!R-9+weeE$Wz-Y?T@>9o`vZ zWE&ein`^;r6Kr{Mql@4E%t3@RtybR|#wTKg`>)qWG^*R=`T`HPQr_4TN|VZKKm3U) z{+#qQS3W4ngiM!*V$G@gObuhe?K4Ng6uW6EVX!-oLv|b6X>LY&(Qk?(VnMbab)Ccx;#;p5_wBisW4|@unkk_#RDy$+SeRPDyC$AE(kj8|%7{ccL zRt;ery0BFdRa{t?buE@i)xM8uZob=tJ>(l^)}>ZwqyQLw4@##h+3;E>(anmpH6%>u zQdc#7EQ;^C%qb9eodXwH-i?#qrV>#OzH2A^2l5pizQL3W*~j%TqSr2Hi`{=)Y6I`y z%n=Jp1q&*shNkm{_*UcXGLbsWxf!Jo2U@!pv9$#JrQBTUfdrSLYi~abu1O6NY|uUD zpfW$j%6fq@W)peNyf$~LaJdMp%F8tK^ZZ4$9U0Jxzh^`cNI07~pL(d}^eQNLl4#bS zWTsP%U?RvY_;zvw-nwuN6qEiT3*tve>48(rYWy$u#{yeb#toF|*bBgf+; z+w$_y87KO~>RSI61wCorJ)3#U`rlQ(hi=AbTy8@>i*z>(YYtS4vWDYJ+&-}}U~Zp7 zZk$SL%ZVRj-cw*4g?SCe2s4dbSA0mWa~bXPO)XkS1Nl_(btmA+avz{fB%{?+nq&ey zEPFK_Abiy!|4pk&{v}N@sQUrSU%c7qHn-v5_A^b)*=R@z7jWOhx7i>kAb>bf6_^;)cd_!h=87S*P+l- z(uq3Kv`eanlG#7og}S$(xkI2qV>6F7@3k!gIPZj7M6q&?z#!?u?Qxg;bM5%u@~W2K zBnl!L8SIbINJn&)D)H<4?VD9g5x3`oo3a&OMJKYBy24j04*UG%s_FH`1<$>96c%Zw zuq2FdHM-t){+pmd3Z>%!aeN>oG6anin4S{Ne*On84Y+rh#0$b}z(lEst|hQ8H1~gJ zqhf!_*UQoJ6y)9Z*tZHb0}@wnc3);bwUEonGQ>KovW5#sg+EpG_fo+|@f4Asq0gMa zb~Ol|l=6sqzyn|9Zoq7%@5R_Due}EEbhcqkWh+~v6&3JBkWk356HZJO(SPJ{uig?H z_qUt7%9e^d1T{q;G0iyUZVJLx=KwnBXezkBK`6N4>{6en z_Zb8U5lp#PU6jv=j7eR%M+~jMOv||z;bjxCPi2jr5`tnDFlb$-)n&+~(w<;x51&py zkMW8S<>56H`U+uB79AC)cm0zE*1sbM6An~a`Cm*p-*kU-hxB7<2U0_tbB2I1uv-!k?%eu@-w#dYJvz9aPR)T zOnHAFyC2c_c3NK?)hdE$++EM4uBClYNz&xoruaLNVcEUpFSQwjKO6ZdW*WRRyBN{X zJA(O#47v2BO+jpB>~Y)(wBYbP9RGAR7tJWPVVDQx`Q4f6VH}-)F^zA6%3fp2eV+xP znqK(PWk&8eW}j6t7f!8rq2LZ@rhiPQIw2qBeiC^iK-?Rtt~ShnKB|gpRs5*_h=n+z z`rr!U+3A)>G)7y{9xKiiu|j0nVH_}t(pL&2{_NkEgz>O1seQ(L*(qj#Ff@o;c@_>a z8tXdrj~iNh%}dy{a%fnPy3B}7vWr@V*6t69#dgrsb1JW|PJq5DKpqgfkmFx6cx>Du z&m3H5V%*b@iuD*YV7XI6Y6i-era539ichk8;pGoS7)#KstS%Ui+UJ3g~i zhsE%TORzx$h2cV<+3>8hAYwy!Z=6p(DR#{UZ?Z(?!XnG8;nd>&^$b9y0lmcz3o}d! zXTD0SlhMoLKtl%6>Ye&c(2-#qqP7*iD;8%tzr<%8t8rPsWbP^~_jqPabE0r#q10?# z;=O6#L7=^#J#kmntXU2O zC+5-dA5dk@a84#h=Il>1wikMk`AP$16DD`a8Kf-nOFB`f$r-9#SeKwPw29jE^!2Bf zAZ(pxdnYA%mX7h8H*`$Ahk2ZGnA(YJOnmOiLi91ve#7;2>DXO%LSO~qf=c{A|L!Cw z1u*7+M8Oq5kMCNvU=Wcviz>PL&ghg&|5@X*f2jk(BK$jo7i0g4G6ibx-1iLNR%vKdfs|m1IGRxZRoiqiPix zs<^8uLh@3ujWXI#snd+Mn)X=_?!k)e5+_6|eXw5+nSYWq$Z?~|Y$0b)_Rr9Yhy^l} zD~8C=w9od}`E9?{=_}|)@Qes*;Kl8N$T`39? zjLkJM@*ceVZl5cbq=)q(hgD@L#U4L8n-}%_ggI!<-rWVYu&;6rc*)*5%kXNGC3C^v91~KTjR<~1!)TO61jsd|xl?wUmmUK5hJ^WR ztcGRiPn?!RV>lON2pw;aPlyN=HE_S9MasQRHd0X4(J`QL{?wk0w)D_-t!m)^->&mH z#6eYuy35DseI7<*>cXm9fErW@iRKF)d{%>lCoFSnT6CS*08wH8VV{7NcloCb=Ar-A zF)<%kyGRZo0v+w`4gK24jw;eJl0+cQEU|Y(?_Vds2$rprJfHmQsMb^g-X+S(pnVtwhst?~o$VigkDqwwwT(yf&XcN|;-R5+6%_1&3DbVXPp6b}8jJyO z1l8t)5>a~B5!lL+I|20`8s7j_2@rc^&CbYiUb zxOw*r7u=GZj$W_(MdbM{<8QL{1&?rZ+CdJ{z%E@%z2>zAFCU&8REg<+D)CvCwooAt z*w1PcrqkY_qUZYrv65Qx8kZ@9+^h1EO1zu$J>40?@tePY0@r*a*(aK0g{`OM96eC! z^!l7Xb21Kk(tS%w>9tF z?ZrOKd}y**T(xKy^$~_^nPEE$74OY5P+S+251>OT1PBq`23KoXftVK|Rnao)uej|@ z^3HpbyH8D=yaiPa&pVkrd3HNUx9B6bob!o8WWhLT@LyGyzI+H^wN*gQctr>yS96>C}i>DAm|%;g3vD<)0y#SiY#d$d13VLZ_L>dXxi z>X7$|8cRrS6Gny3^g#S>v%)LMK&WVD&Y(z2T#4!TzPyDekDWvcsqlBvp5k((tWSPm zpTInipuU?83@1*BCNF+JufPvC!RkD$sJrVar$!h_`@)DRh1v-bf|aA!f-^t82ip3s z&zt#5IJ2A^6l%*U2b6ckc6EDMX(TRz0$rb^z{*F(CiX&%(vFI;1L!$7TxF-FJkXvf-%# z!KiO$Fj|?PmG}dn!;EkPBkMQr&A()B&_aK;s z3n{-A_%Rji*;=8Jkr@&31h3SVq-p z1!Xr$XjSe*k-{3=%U_g@Ht!@8G;ho-MkGdNhh>cR*1x;i!L|A7H}9AbK^9Ml!~cgj zIt2e)bk+VMn4hafyhRC~RR95yqgs?K4=r&jSMgF#U67GRmT1XachCBH7YeJ6c=9W% zB=!CG&p6Gm2*TIzdZO*LDw`4*EK)6u<|-5~T?TJxhWF7Ghu=TAQa~C>E5}iEN;_xY zcBfh)Z@y3dqqcrNCAF))ujF zIZ6MEvGY@^e_l!h(QIy)#?Usk!swtfG=fx9_~|qLuy5nN`{m_JG}ux>bV`K7e25?F z7jchF^@)iGM*nmNJ={7>1kg#0oHaY}>f3A$X@^HHQWzZG`D=>7z zUyNEpm>ATaIldo=h}8d~W!NyRAVnT?yF&#W4}}Bcf9-*KR2?3AIhVaj9KZ0Ho(2wv zpFpIH6ije*Wl8Oy>9_BNJ*9Wyd`{suQO5K1Bo1Sb%+f6z^&AVe$>n}BRlV?UMkW!E zz;h9~3EWFRPuAb?C!>Fz1Isc7)wRgX@>bQ1Du(yFz{={UYPrSl^H5X=W@?)#uR)Et zU&U_{&tDxd5Rs?v`l>zUN%NVwhHfA~z$=dqqx(phj;ueZ`}9NvA9Ci(Np8&h+R1i>sFDVMs>My{>Mk!tbu;+9yOu`L?$9r0to7z79FcZ2lA8ol{s zy2}3zYwt#rei_S2xxhxEb4G$aBGaL_ge%G(c{8;`Wf(o#W?v%ha*`jn^)}IVz~0SE z?nueUFQdQ9u46E*oJW%oc>huqmfUTxad1j27{sH23k^K;!;Beua`mmVsP-CFYxpHb zWwjPH8L9!}0pMEbrw8FLa~i(E`%W~KgA}wLKgJCE`BTFG@(8We+7i5Reg4h6#tdCr z_Wxe2-D;B)JsxQ$YL%w^O|-79x>n4+zdD!rHTyz(%vv9Of2fptO6k{F88WrAR#b>D zrOh}!Y^KdTYCL%*7IK|0*yqmSVFY8aN915q47!T0|G^chx~{MCjwPA`B{x6}-m&?= zq$@hke={Fs>B+0LupEz_mkDs88vVJXT)5DK>YT%7CvxoS z&yN_wJ?P3m>W=q~tcx*RT1B9SkOI-ISF8GN#ZQw%By26X5|Xqx1aVLBAIn5!V%%Qd zq?#-gY8J{AD5rN!T%>tZP3=^B`jzxO^v4s2C^ShX+10By1u!7$G_AI0=bujRk1}+{ z&svHAqz`+%QTt%uBVq0AKaQ?lOP!`Aou>U-e-fsR6}-NwJ2E7Sz~&Mwe)bYd^hI)) zc*(hWDO=hZ~9S* zKi+kAofj@*AFfE$f7Pz4a9xf(HLVqfsyx$Iw(O0t5xpWYOJ6!y;mFnYq(5E;%!5`m z57#2U>#qrIw0zrrw@-Gcakwk~>apiyp`NCQ`iipwb@WA>{r;Ec5VcO3l|E1+5Y%Hr zsRfje#;82e1~s@S9BT`tsu3ZG&p;ZF392zo0zE6+%ODT{_0IfWY);pQhP<6Ak&_9L zr{lLbJGt$2ZY7svk#TMX*39z^zWiM+8qdD+JDH3v3gHpyV23^Gaib_omok_iRf7Dy zTo_8^bK?z=Hz9Ndo~oRD`J%MIy%3{i9M_VWbOvP4`69tK-4RZuEx?-Wnmp3Gk`!KB z#Sw6S?ys}oCmP`Y{N{vh^DdywgI5v}FyyX^E6@WC;;qH$x}2gZ_Y^L9Q_Wi13}Fq; z-}uhgBmXLf1@UHc$azVl<#dwxMT;u8bDsfi=L)h z@udab)9u7vyY-}vhfU|e$QkREBDwkofBVIlGHy8L7Qw@~^X4;TH-S=uT|vA!zWLfEN7zW(7W<%JX!7bN5l8ikxc9)+<;j-K3~`{*O^Me*iEK^JE0HllMQ;117nPNkX_Xuf#{Dq zCw0Insa`bFV|GmtUb3vK_7mBjfuK1KI28$SPD#m;-Fg`MrlD-`6`WibcC{kM1w8-v z5+m5?Q^af zwK-p9XugCKF`U9CNn_Vx00$(pmluP_isTs|6r4>;D$ z!r)33s%^Gt#_2PC8y-E(fi3IAtvYhoeJ|K#EgUkRyny4j)jX|`=#cDd0qRlHtGNzI zt5Ep{-|aEbkHA#u)OMGG`uio+3OjA3&3&IjnX~lR28b1dB0mVhWZd7F6MtIbR*lSh zdciP=!5o%#-lQqtn%zv-MXU0>ftoU+?Mtj27`Oo%L=$G&^tW2DTc=n zlAgTa!IT{F6|5~6lT7>_Fkd*5HvLU>$8!|3)*%LZHrNAAxM+LH0D9%@As z|I-RRD`Hh5H0oTB*zh?cx&RdJivTDaNhtW}$)JQ0KmG^IqY7f@d_O?=k ze0KBk>*11d^<6k{(>SzDQM+`pjOXmtEWZ74B`|Oxs``DBM7wybq`cy!@R-n-7sR@l zo&)(ZlhlJkwNzr|@L`iD3GeHHz@@jqmt(Aa@)!{wtjGC2M2|O3ub~MfVIN*#=sqcf zO*8dy?Sd^&4agg9y)+Yl;UY1O8C{TXoQa0bAG)qL^6oDNo8p-t*vIb-pJGy;7f6vl z%=m-Rw1)|eWqnn}dd9sO1(U$S7vX=_1&J`CguTs`%Ki$1GJ^z&xQ1ZN2dmC&76T@4 z)@=$e4Bl7^mc~6%K@Lvg2U%?dm5{6V{l^SWwu`^tLSe_KOvD<-d(t zI=y&ardy{&M)$hk>PDyeYsy{D9WB#y(x1|3-~9L9_Asl{ZF;BJ6KzvYh>%k?T)A2_ zc*8)TJSFinAn+$2#YPe-s{6f0!8nRqV%9SE6;hrrvj9ZoGOEh(D)TdQ?CiEg5`2b7 zA_c;P0Y>L7_#{=TV{fGo3-msxtRGU=>Ba8Fc?7UsW%`t@0*fydo52Y%uIT9I;`VCJ z=xWAeHdmdJQ_|VOr+DkTmvY5k*4La{Zb@;Mi^bYJA2j@kbDR1O-u@45nq&sXiWeoq zgjs$=ef$h$Z$Naw?bR9bhvzEmaXwoz*^&a@qK{Jb9@ItADRz%5rT1083+fvf4*9;z z(g4Z4;#Di&IaE&Tml9?2mAv}5j8KtXHzBAy&ueUKZ>ZVTIEb!8aka8EM^8R=2APp! zS6(lw8&I^2%MaokSE(anTi%6Yk4y1e4G)zl5Oc}}hyz9%k2n+52>2bc}5Xr1^<5>^0G~F!* zdkGSJ7Bd~D?Rrkg-Vi4F#@`DFIKE$|03VV=tGOUm_1rZ)qDSh;2nuk(FN#c!zb~|1 z4YEGYe&m+LoH&av_IxSn1Y_g!qyz&#pqy_LCDxuNZill54MQ!X;(Z-jwqeFUpan{N zkQI98*8!X1?7JE#f5adgO_)chX|D#_+W%=l$^ikHvu&BFTETk1okIVhd~c~v^Ii7o z+=oI$4pQcSgrBD$cq*Vn-N3jo46t#v+F$MQwn=~pyiR5ZwG93AG+zrY%KttzwMCRr zOMPqOo@*H8%*5Kv#5z{VqD)VJKo;FJ$Uxt&l3sWa?f&8b*&{1KIaM+K4kK0_e+Q3Q zD~$8VdPm21ER{AxkJ*S6I=Z4mxl&Kp zVs~qut{7*KAv>!{_dH-3>h=AO24HL(?A;W&T>W60ewHzX$_=fUQ9xAQg4fz(yoDDw z1&qoz0VR4ErYr(GXjMYV2diO)$WdxUMgonQuR04-%XynU$ zxY?^Ky+q24WzG9qfpfcgo8&)qZ<;~ESBn3C6UIw4XgGr@;BRJ%fM`+m4>L!;`a|Jq zgxz0IiwQK%r%VM^b11A8TYH}9V|md7IGt`wo6)tCmI--Mj=o6t=E%$+ORBvFqiIWzWylOE(+>MS_&-GcO2H~DN-^qs=&z3hi5U;*~WCA zJU%@wCk92)?Q3KMVwUdsWr=`SLJ4< zA!M^8#ufrkD($a14}8b93pil>Wf2w3;b>FQkb6? z-6pS-Xsr5i=C&dqywRmSmV@Hr3x-**EUV z+2vhXvdv|7hCV>me$z-Ob1XJ<4pdg+?<__#$bTAk#{@@!L04lAnWS1*IfRzAUR1c& zqN^Up(qyb%cV?<{`ZgI#DuT)*CXez55$_1@=MB?TtEw7qUlXJ3?mCn_`0V|`re?1En1>_OW_Aq zOjCUar?fd&fIn->p+WKb|@L()+}h zwW-Yi%XTyC0r1<_Kt{E)sb#yF;tks+zD4ntUI4{kDuqbZ@Up{@!uzX87{>px zsxT}^!50}<@SHocDg5aao1t#5?cj}O30yI;rQ7%M`AtkSkCE8mRH;GDnfNJ+P=E

I2ITLh5>JAG>@q8vhOcO4f!tVS1NCqc^j6o%PXI#mqpNBikN81|E>=c zBTNf}+$BTX!@{27f`1Cb(-JuIB{EVe{oj<3LL;2Nx9Dv-5Oee;4PM(P%0GdsH;no? zGV6|oW61eXl#q}qixcy*_t%v92jkSo;THyB`2pDyK!rFS`DRRZ7|<4_iJ_9U`LXTd*BSE`#6irUOQu14cx;>p3<(Ep{9 z%Z*q^KVerUc9Ph|8Naa@v_0U#N)9snus;Dnrtdg(1juIc<@FA-4_L}R9Gizo0@mOy*_LjzrBE_TNtdJ{Lrn#a+4@t@k>MvOYzD- zcPVY^{whK+sn^@a41;H1QZBliQI)bdXGAZHd5>~dUw>494s;jO)m^D$VB6ZH`OJbc z_H=GRx#yIv-C-7{|7#WYT1%6;8cUo>SY+XPTjOwE3E2*Mv!*5i&O`S;(k6t*Fw_%3 zG5-L3y-1-(=KNN;_IvWk!3vF86&-M;vyuF|F8ik(LhT2QM*iC4dffV7RFnAmn@^@( z&*YAMGM&|bC3ovu`Fc)O7I+LtV}3UssE%5bZyq_xGvfbrGNAZc7U|9FksmZbr|rTs zzLurF@w08bQaM7rA%GvJvhkwz|_{6mMs{6doYHK@%VKCBk5|)8iGDHhphb^g5GG}PG?<$ zKis<6?LkScF&Y7G-F&-emThmV$QLZ@>vlCZje7Kr(AwLDD);XAN#GWb(7U~sANwa| zPsqAHQ^3{QVm(rbZ1QzO;>P(yH%h|-!@oLR``5&#gVc3y{A;v&f0TShzgeWyM)ST& zhQuh2P;q4HMOcu8P<~+7+@H_7jQxIDLV3HCO*$vIe-f=8H;-3+!EvL%dNbLk@JS%0 zM&OzH2Ll7U5ntt(z-wVe>}SO8yVsotCL#vJm6% z+_W&Ump9D8UF|$F*|Zrn&4`VmDls@Lph(<$*2ji5o#W#E-n?~b&1!dqg4XC-t{79; z0pO~ytVum=Ub5y~Ywjh>*ZL{Di?Zsb_#t4~d`U8!*?GI`>`D)h4LaqWEH~z??0f+U z7JTr{vOns3(G+0E+v#L5=cDv;xWoM5&w`L6UzJ0KrVOqxFUJ=u61ikC0#J2!42t{z zo65QUQMyA0pIY@w6OP0_{r~+A^y#+T*STi}Oc~(&|TXZ-U{_ty|A@qYYFRNdrmA?G3RNxluFn_Zxq#9bre~nSz zsA=14FU4Z3Oa=%-YNcB(-3vbWJ%28ZmK~M(9-*v}n1yWPy1F5+t=HRc(pz zRou0?A%%M+TdWEueTy%)OZ@t%|H?l%y=n|)Mxm+Og*jt* zyW}>3qyr7o*+YywTK;ePOqbiITb_^tmASFU-aoC5-_>@{s>InD8mszIv8xu6#hhvq z#}9?O#N>(%u73xtabC*Z9_{@XLt++x$2z~IeLt#D2UPmoChym9vX<`L_6`}v1f75H zn1nR~He*qZeWALpgto{sQ<%&+CF^qv!FXF8bU2--esG%U9azoM|61Eia`d#O7oldR9<&6`DG<9nNC+wdh!F2|U#^_B>ItdW;yqbta{0neY^r7cJYMn`N1TA3#yvQ{E^^3rnQn&f?>IO!G#H7!-8F z_2Uk;;8gB=_j_;_}K4l({WrE5UU$sdXbe8@Et77D~0s63Y_t`F| z{{ERoy76O#{y{Vw5uO7k%W2ttrB7aYO;C6o93|u4P$wm#!o(+j^7|s~jvD1Y860uI z|Lu(TjGs8a8l`H(6w;HCx*=ae|{I}N!ERpXxO>zQd2QkQI)~QKf%-Aj06a$*=r`v?=KG^e| z{A2rviSQY>ZR|ojYG?ZP#K|!x2pe1DLz1nLb(QvvzC)6nT0EJ6bGZcc$pgu%fLF32 zh4;HyN1IH-!3y?MSJT&00iiNt$)~Or%N>>h9I>@R$$Kko-3IH`(SK&ZY9Ad8gC(rM z8rB235$A?u_3)VkvMa?crr{*uz*;lD#YIaZnzNSNaCzExlNQGAgoNKkacJIy+I*k+ zB~9I18E9{M%ef65iI_=dG0S-R@zfH4H0rjEHgya}`Z2C8Br5bP8Z;UxK6ys=SqGh9 zn4}VKK2@(w@xectb)a?!nAR}HYm(q#1H!jVgy4_PUVw{v}^0A6O^v47-Ve2{L7|E+-8_*h={i7&a-&5R#=IUPh7Yb!>ZGSqE56vM6{4RIcsd{?Po zwU%7OT)x*i*0DRCk=V8HfAUlEU3xYqlHC{!_F>IDmRMf!YGbrfyY4KDOpZDkBT&ypH%U^yw`R46ppQtl@6-mc;?-JquQAe5hrWc|F!2dJAX) z{Fl9Ue*w2W>smHa}fF zx9xg_I~Bgpvxz+996Hr|27O`ogt;(lcA3SsI$yT$ko_ny&sA>?FM+y~KY?b!bEN_bxS z7@>Fo_3&f8Qj{J`_OY~Pu(9tKpQ2zGc1o|=rr+MZ{qHls|5{7lU`njdmHXr$UdN^L zXpyAl^Jok|jX6B}e-FoeCD>Ec?j`qfe$Dqc#EU=W zZ2MdL8HG#$I)Pd8e&rhuootdR``aB&B=q~SS6%32w~_|MW$+!bm+z3I|I-Jt-e3|l zZbY%EUaDyZMtO?9=s5|3mPUW$SMsU!s}yUar}PsokEs_~Abu0IC;ANrLKfb7G5HeI z>=K&%Z2z5g5isHW-rl##iT|l`H)Qc$-)+0tpWRQt6CFg2Cjy}|B%GQdCeL^RH^J(w ziPm+`t;52KW4_}=$B~X~#Jd3D zh`5-c#(^1Jt@}ME9Q;<*Z4xhk0hAh;YZuX1W%yAAPU&{H&9V98n!BfG!{OSem!^(I$%LP~t3#7nR;c9HbnmC!t02!F9&qR7I_7g%yK1oUF6pZ#mH{luEN?$a~1f}>Ce0biF>uLjSw z>0OPi5qCmU?)Fy#Ex$b{p6m;U3x=$i5%4=aanO&Cl0Af~m!+cjR?j0pfxSXer6~ir69i z#)gN2r%FdREHe}g3Y+1IiLOPTHY%`Uh=(h?a>m6G%X7i@1*vG0=b51Zz|W&mp~ z0$26imB#Bq6XMJ#Kfri0Ep`+;qAt@vZ3?ceix)kHO9B7B7wA%)*)LIf3XG>Y_b@dv zLdxY`8($siNB#EyCbjwp`9?F`0mxv3?CQr$TX#8yfZv?7Ixt4V{)IDdF>3+%a4KqD zP48$4x~aVa@o36|{pGrU)?YsNQB|0m?^`n@P$hk#QsmPhMi2s-7? z%XVP{dCJ?;;hXX%pm)|otwhVG5>!&>Su^6BclMe#=Tll2Y2HSAZf1qBdB>g3$A0c! zt!O&|vkHnH8St-nX2yObOhteVx0P+j%bWwA2`1~B)fqK}SkiDP-$uPIv4hM3`@f4v zrIk}Y7q!3Fy_N~v?+vk8)mVE5wI!bs8V%w@vFm;@vs#m+)Lf)CY8_#%dG3CtS*q%o zmb1K+$az9>(PaO?eT>sZBlCrOsfP}JXLw}J+iiL2(HJcA?@!CE6yxE)QMX!0Mou2$;16UdVAf{H=9k#bs5x{HdsUsv5+`=DNIBK0 z_=XAar@Aq-it!%nM#J+S>uTKXqr53@Ct$rwAJK5jAXu|(A#Bc+r)B}CfKIZS)}nZx%EAov7lP{@q>0<{%Q z+`Ok8SY5a{%yW$`!gBbrDM|F_@2}3w)~BNxASy8$qVrqV+fMf?2ut?EtFb?Qa;V+= ziukSrq%UjGm0|nZxv#-{Ae8;jrclhKZ;`T+f1TH;a1GYx_9l3`$z3fBShA_2IVzZ6NZ--(y0HDM7aKoL*lFn?9Ps9v(KZoT}X-R>f?#bFos~t{-|22}3Dok64EJ23#l^kA#OR+jyI~J?o8;qmlFG`;PhKGQKm?P|J2U^QZyRsW z9hMKR{lP8tJ0Y#CKvm8Auxv}s7HS3d9e@n=q;Jb)m8YHy6XN8#H@T-WTzIr@v#SFS zZ_3hZn}jaFeVg2fzI~YRzGlQr{jqo2H4|3=3t+tU-TtUslBnl%y`F&_kYUFVC1UvQ z{R9q(W(^TqSAD{FIqyQ?{Ce4*7rE_z+|l$W$nOZ-gWp;TFt>+ex+WF^z3^Z*SE2(u z(Tjz4>cNzKumZ~ZgG66nDgf*^3lK4rfs zBDfz-K&Kz`*~YTdQ=<8=C#NEhyi7Ai5w_@Sq5Sw*QLc7)Pra=wkyC}19gMUoErLqu z1&hv3zetR)a9e?p^cf;;uQcFQ#9JmUGl;?#ZQD`riog(>a_1{#fFsadJS@M4Jk4zL z!ymw>4*i(f*IyEa-XI`uuN8fad5*C)08#Lu{utM-v16p8^EP8LzKH?d6k0kUnV>$r z)b8c;i35G7>GKIcA~K;RRhlW_ErXtu@AJ_Td!bt5%V3v|;MPJzf2o-jyUrBmAeWBM1 z@r3udM%0h*(_=PctX3Lh>WWwX^wZz{vCYRpPJL&o@o&{&3y4H^SQ2?zf~m?JRijQ> zve~=!IZ;u68TN&eEfeCFzw&;S=_AcC(`sy14So7>{CqM>dLo<4roIY50PF!GB)~I` z8{#WM!e@wEwklsg-}frG=%!6AJzI-(VS0`#(KV=_XiHVIZ1B@OIa&Wkm=gAmN(F!&~;93-c-#R*hV%&Ru@YlCb?&x z(lN0+6=NE!Rv?TVQbNVqSk=;4Y^j)l`Fxsd-jBVOc(L<*)1JY#|6Yd zi^gxl5sF`9_R~YiirDjb!fW!49s_4(U-QFqA9QbB46T?P&>9U|Xae-m%~`Xc`^f+o ze)o&|*XxG9rS`9;YiV1fg2ns~mygd>6NLzR>=Mtvi)Guac|n>VcaO~U$(Kdvo5-|J zu%c~}#aNCQRiv+5RAwFmE7^^ZCz2$`zt6c(jT$Yr#%mx!VBX`&T+3yB_onmsLbw2nV=lc^|s=%v5@$iUWK;)pir zbzcW+M#-0eRWq3B55CXY33XcFrilcCu!?;5v4cR+Cdth!SEM#*E@eZ~tEt^YBPs7P z)yTuA9)Dgn^6Ql6u?ZR)#c{3mNDtwsl^A;$UyyRqZ0cnRe25qTpiw_E(OE$=W?nGb z@p+z>;(hl-6rO`HochFuJXb2fQ=@X9bIYbDnS0tK#aaqK{PJj4!oncA8KKs?}Y!T;Kf+8{%vr8Xw zw0r4HD<;#DGpz1kzh~zn=Fm+i_3th&laXuC{D#b0e2RJ;{k-t*OGFQUrRTxW+1g@( z-MT+*#dg)lw?d%ro}T7}NAgh-cRWJB*Dp2G&Hydgzzz)vSi*R^^sedkE^&PsZ4>|d zjLK(5zaFAPWij?6+%SL4%YMH*UH*<|w=rTir*sg<33lIS-ZW<7*qu7%JVm!2@Jjo#3G}$# zDFaeuW};XN(a8c*GaAF>e#QL5sTKQ4ezmUtLDy>;!HLh_aUI`9;q@IRyYm?B&5l>) zM0W(o?RN8PCZBg#5J=gq0#NS8A0$GCb5$UXy@*Gi|LRhHw|?gtYWX_)&-+d6ODB$L z+FM)>1UAqUVOH)ogEs~kUln-sVIXjn$;_TW_~vTA7pL}?HIx`bavHnq>!1K8wTy*T zDLY_u0gbN;833ejR)jADX>{H1L#d0p-v_3G)F+>X(HMfH?seoe%&P+`Vw=VOplHtRqN#rH&7g z#RkGUZr}Mz>wb8G9X{M{oA{Jijf78Tn}7c8@sQlAC@=W3o21-xM#6OX#G1B z>qvoAI+p_k;_<2g{%;m=9-Np9yW@reuPQW(&_P59kJdK=p{rJcjN@7hvz9T1kR2EK zP{58-)MiKJ=U}9RKPE!DsdtIB)ct#Bwne%S3d-vrU$~|fRhKa%ky$J8nooNO3ZDr& zsi%9_|H(HRvpB$q)t`7bLU=z0gEl@dPxya`UY{;^m}t;RZ7AmyAni64`%omiC zg)il#wY_E+kcD7cXHztQM?MbobPYUpe{^!Mox0>%%(?kVJlpqHH$^FDJTgHkM|>&i zyH3Z2$BXRt950a9GF?shcjk>)cWED$^Q7fR<1>qoQtO8~z^tS?u0SR2{ev736#K47%d&9+5Kcch(xtDP-sk$r;p2Pu_fu zA^A|Go-=JpOUQ#M={k#|;M}hJ-M<)jJ1IVW+r>y1_T8J`Dm{Sxur}H$K1M=%pD2y( zEif4a(_PmalB>->y7T^HA0M5=9VMTs0;~P-X!@40aI&Xko@DP0l4if%IiRtrCI04v zjf{T5G?l-P*s+lh$TyMdTlgyThl}Ylh-h$4fo?NEYsqw5jm^Zzj(&>>q*zvPTpag@su{H6FyjRC>N}I~vp3Cyn>Hu+c1x4sYySa}UuZQnq{Xval&xbyyIy^a<2A z2oF@53^Wdx#s#Dc?_{Rg#%na2kPE{n5A@-KWE!UG&&%7m!Js+6qM2}0_3g#_GuCqT zg&!W)>ag)JIZy2_@;j#A7cpwt$IwCgboJ#?Gb)o#T<@oO5)IV43I>l2ys%SaN3q8( z@}lv;Xf1Be0S1RCS}%?7W0sMHjs>0(noc~g0Rq14!@zI0%&k!_ApZ&ZGj z=~mFGcd~#Vin5A$N8X{Z$~4ANuFp{PrC3oAMrQW!i%HrXM)apRV!_`BU;8)qr)cGn zeqgpCOjn8{P`YC-g}mx5%HY;Lv7?^=Ahi+rFsF*bW5l9gm!!Fxoj9DNaB`fazBVW6 zy4TAJ{wKROvH?DITjOrSsFv1nngG09O>)nV~Y+f9xRv;jpX_btf|(+>?h3B zDG$8Wu&m)Q?=CEip8m_k?aRqg zS_&A0*~dZD`u8)oqUXKP%E)ndh>J8X95}Vs5A>N7z-?#0cgVL-L<^tAR7ud3L09c% zdURGz;qH(xbb4y%GOK+)put@dDuJ`%2`@w3Rs#ZnfKBK-lF*w2wEqW)i^^q&2-Hj{G`t(3*#_v7~JE^|MO; z$ra<-66i@EN9u?tmrs;H0wt(1QHW)|nDOqMf3s5!Y=443^_}bcN{hC~yB#|j;5iAS z$t@$;EQQ!*OYW9M6p6Q^ms`TNQsiY{&?VFD9m!Hkssnt&mWO#%s3N?H;u9{$va*bs zX=p)c%c`SIe+G8IgJ7&_rsvCsa&v41kMhakI=j(Q697BbcsR1Rn3U>Y8n+wFiXk{g zOZ7Q$^pX6;*J%v)G6Q$t20|a838jSv$tvWQ6=q!xoQG2jXDu6tEV2U3=&43X$0Iot zoaL}!Jq}AYl#e8kM3%h?lIK{t9J`=)rw=OhkLZZs>wtS^u#>Gh!}cs-A6>Vk^w$~x z#GiIzr3+iXG|XRC(G|P;%%?Oh6m0Nhyq_vP#y?6lyYdm^=jdU&4+z#U3?985Xiq}< zG~OV)Vg0Qqii|#0z5C{tkd1JkrwH;SXp!zr3bZ47Hl=z`D#;)~jbMw|-iFjkA08y# z(J~%amnieQ-^X!4G8eF9vyVE)6|M64(tg+IpMUFBo+B|c)SMxYC!jGWa8hE|wkEL| z-ES;Dl~-(hGhFTrP3m7AlaxEb>m46(;v~<61`^O5=!NgE>bC{|{xp4D4#{cuM6kC# zkh+blBA<`3ucu=lhqt|@3#vbDm*H>nY?yUQLThyBf`mwtY{jn}1 z#_oFi?fP=Oyj7~@rmeQT&flWoDD8zz%c_Y#*w4$$eSQ6|{OSQOImiC{l>e8dS}{XK zwUJt}bW?K2W5AqDu7lJ3LDU>ZbxIXAPsaisR)tlWC0r_|x65#~XD9dqoi1NGS{s*M zeELIp{IhdTu|vJ4xQ`BSMPk);v!B@zdzj7aeB&=L|1GMpH7;7XwmijNvv0aij8D!s zA^E#+kIWP|UpV`aAzjT4m~R(2CCpf#rhNDewHo39YDF?${JyV@SOLC9sqLJT>?}t+ zG6ht5yKabj!wTlTIL&+{!Rz+-=@%Ie9vy3yh7UNPi@MmrCGYYs`rT~ovsma^#$8F4 zC!xuBbIyt*@(X5qZ@W8l-aYs9IVZlg5pj~mxjQ8Kb-k~)S{;&XHz4uqM@PoMaa9n~ z$nt%*7+?SLMNONG;{o*zToc}+1`GC$2zRyIgFZ~U>2_~m|y>&pSS$Y&tJ%$7e|3I+&THtQg5jESdgGpzj=^G!c zXg>;33)3Qlzo(eq1oY=3D;zuG6lM(TmQNxr|wjJ)J*mGP5xqx4+FEoD&FX&NdH#~T{JpA24Tjk&! z@%2uVwz2DZ;Z}JPh*sPzREO_?b(QkRnMq)uT#?niJ0uc36jQ^p{6XTCs8=Z_gsa+~ z59sS@Y&TzqYX|HM)gxTTAL6l{*>BJM1WxL6i0c1gD-lX`g?zWG_J2FcJqw+FZY(;H7WGROZdl* zxJKeZs~@Y%2~zhG?Vs%3+ITd2F1Gby%-smc2vTj3^g|1E2(`mpgJ#AbGbZy?X-^1f z?o2-y(5Dg!FKVx-o2pNm=Kdq{N*5UQg3(bK%(iq1v z6Ueq3d7iZb;{=}BKEEwWvHLDF+uUTjoXKh5L4EwBM|ukTmQ+w65i9uNk=zoC!X~VL z7q2+fSH=zT>PERe$N9t39wPmOyq+-^qR>nMDO(mkoa++*QQx*{lGq_eLF1Q)elPQ2 zJ(H{}#+p?@^?1XfbH_NyB;#`% z@EE)u9U}=lz6dWg;U7^27dR#a5WJN5X6>uud)Ao$9V_(MTtpvme6eO(MM$=wj@vE% zA~0MEL5!R6{p#f<+(|6f7|eHwW*>vQ0&W+FYkCvz{3Ct&Qj(K=XWlPULG<+7*&dt! z^X-aNj+J8zdUTgtn}cMc}`^CE>rFyq@S*9CQh^F=+<&dbEJif1BHih zRU9hI9yV=cFb5td{PWa(^1BUDdgfy0<^PD$cX3W(1^R-+?;bQwFPIq@Du#1%2HYo3 zons^j*1(LCFfUs-?BqJRbF*o!FFigB?a5fHZCY4@63+LKn=Ypx>x?mbooZ~+EZ8mw z_rV6WvavNjZ;wKN_tIp6x6(Oo!>xssNaYT>yLAg?vwWTOG37goy+2sc-=}J0W(e_+ zb^-=eAk3142JE~L6XqG;*#T1tzRDfPYJ{dNJX)t#!!>uyhzej6Gbap+`HGg!1EH>LsEad_4pc+Cp&Mh{4h?(%Lu-2tTk&2x^g|DL|#<{ z6y9%0hHwlC3jO*1FnI*ddv{-w1g4R`zGgvwtKwsq|C z)(Eg~r2_swLy9Wf1(KiKD9#4)x<#*_50NJ`o8jfM(#&yc*vh-WrVs`vCDjP+j zYWTe37NU%amS@F-*X@n0of&R~DnR4cW4UD$sHs(%h$(f->^X?4KGz2OR zz~1K-h5Y=Ybb2iwfwqR%5`nXd0RAz6^a> zYWwn(bwBdvZ)N1AelN1Ab)bFY<~I{8R;*n`))K_X{Sb4#Kz8t#N1l3yJa&Nc({ppD zWb&#=@&#=!Wh`l9Zt9=^IQ2Z@fTtO@t+{8tJ89b^NH?0L!d8t;9Ow$Sqq7&m%^|IW zA@*{Ixj2VlfAgUE85}e>-m6c7coZh&aHa-Rp2)@`+Qy3s2Qixuv}coe4w#r27VIb2 z5z8pDtizre-db)4>~xwb(><51A({8Xm)J7@x>q97M@51Dp4Bhk);}$u4jzIqB`xo*T$pIE{Lk7I^B_Yn`(lJn*L00jZ`_H#1L_*Hx)d-9 zQ&n9HU(rn=z0CR^u}l3^Z&-znrM-1}>q(V|V|Yt7GbGTgP8Id-Dmidpx?PIOBf4`f zeq+_4>WX|J8aE?tUh9|N;5;=}UG_pmL!*kifLnUUt6&^Z77;qQ^`CwBTAHP7XdgoP z>srTAE88HUG|iC`{*f$hGjov6`lBiDyeB;ooPRdD+lTR;wwPT0-D~(i6i}Zs*1ptQ z@9K{<$coYL%LJGoBU9p|X=~vy7GB<4ra#w3nFIYacO{slDXdA+vvnv#EoG9S8{Rmb zb98lkzfIQuPUwD0Xy?l<3$W50r8s5d*nj3z4I%b6e;$kf95dKk%CknaUz!xvp)&M$ z*FVbgnvn{$@>JHy)`eJ+IpIL`5x(>j^Rv0{n%^&R4XD~s)!9mWOg$P1l+hQ(x#X$j8rQg6V(Qq`;F;mhtN<@o}2o0gj=x6*WL`ulo?s)y~Q7qw-| zW@bi{qo(5efFB}R2DZ}(`}x1RH>q81TAbpf0MjoUS)?70Me;^oXHXx1D3iQsi3RzJ z3r?`8F|#t#A9(n)Ag)|nKMrg3&o-+8@(&ZqI2zQ$u6|Tir&#{^-X75gn186gd2!}S zse=sN7>Id=^$DMInAt-0jn#XGMSLp@e0&rosq@#kG?z!A4UwD~4r2|3&zY5BAC1$GIoZ!GZS1W?t`d(2`!_}S3pj+hAG!K& zb8T}~S$x}EZ*btg47>lfJTW+`UnpG>0*a4YT>~_5S?+f#2=A-L7lfb-P{HZO`j^KAw-q{c*~nVdQysvh>NF z+8_Xh3L|z5d%d?RiUC>?x9YNAw~@8?G=*(FhOU5n9~6Syj$Zo=#Jjh7bemsmhI5;Y z_B{n|lbRL2%7g*RU?eWV1a3v{*IT0V=et>{kF~~>CygL7)IYuh!`9GF|Fk!Lpp#iu zqtT6iScgagl}o){Y<+bFuW z>N!J_@Y&4yJxZhO9i$}(IRO~nber@#QzT+Z;@=5O?VHM{{@fIy(M0mQ;(1`l6bcCc zND@1f)uUe$tQMi^Z-aaU^7&I5WyKACJi`S%snTsH8+hQ)?!wo=d~N*)+GBF7X%j#~ zUxUL0Si3vaK+^kK=bMO6o|_u8Q6$Q*3+;Ne3)YONszZr2#EwAK~Z(}6Rq8~$MsKEq@-+V{CvH{%mEE^A2$M`@?VSImK zd%_Vot@n;<&wh`z2Q$ELm_9f){%k8&wU(%_OjE$AQ;kjz)+%&w)YbgaXAt^Ws9BhI z`BBQxv)wrksnaYUa6A3uM9{=3%3X2jC*=(jFp>0jAXzrTP!Ke7nK~6<3Mb6H=r1AF zONEnDn-LyLDufMx*EDK(6(=DTf5g)$gTyQVvHy%J{5TF13;no@OYYHvL58^i}$oa!sV6V9>CZ?|rXM`1k{~SFhbK7v*e?iU-^DWYi1P_jS4Le;qXg zyd;U1=ofL%WnZ8^jv9K2`rK>_9n_VNBtY+xInkB_)iucF&M_gWKQ|42X47Ysg%Nnyf%g{>zF;BR(c0coFV zOkv8a;psV@>H`JYtZ}Pmrc+n>2`<#D=95Nt?B1i3+F0B5;2e~}66_T(&b@+zI zc>!(m(`$ps%7iKOp$1urRL)I?D4=S)5F7k?s>$H2`9BmU?bfhzPWAX-uKZ~rLJB)v zVjrcCEaqrcvm$N3U|#ovD6SZYlId83YEV+UG#kDfw+UYSoRn#jtoLdwkSquxp9gofW{_g+ zSH)foQD-}nH|xfx)p71#It!egCbPs7a&ZceBvzq~Ac+TE&*iQcA8!GkVSESqi z$;n^Hs0s9Qrp2<&zZ;5WG8Qe|9E3uARzlW!$ZHT(q{xbo;(m*4im^YJZ(=@wr8UL4 zoP5KXD;|9+72lKwvqp%dF80N_Eu+XJP7_RA`Sh2p3iZ0dBI~AWp&SAcRq>VH?F-^z215O5%f!0QQ$$ zwUqFo<36bEmOaLy*H-%nvtNLr00mq)#7-|JtNosUdnJN8-II-!zm!F@LUFF{D__Ne zRF5;fIn{S4)!qIicmGKXPV*t1%2@*a)ABfY;1IE;9C&J(N<%1))p5OmJbTh{B}v@6 zXu~Inhi^WazyoCuhUeoqBfD~TcOa%KFHJgDgi_M$>N$(92j+tqLap}@H?W6opQ>_N zbxS6{gAb3DVt@)63>gOux;}8~SX=hAS38CbxstWqY)#(3S#6F;3p>b4Fw;_q#y(>>fO!2FCbN6 z6B`6Y$Ru0x09ytrYcZE-hOm*gfXZ}N!fnM$7&lF*gbuf)mtp~`cquI4Jf05yB^Jwk z?L8ENzc55GJkmPy=)@n{)cWELADM$*hJH)Z@0XG6z6c7gP83)d;G;Pc>o(Mn%S8w+ z@5sHu9s42M*Y9OHK>aY!nuL@wLH^GK(1PE1DFAl_ zbA==Q(7iL1;aleZJmN?d&jv}njfTho4no13?VTWO-ve}oE4pXFWQxAUjWIYs9l{}F zMYhL4&O_QjK53rkU4d>^GKzGW$ups*Gd62yMp%D?K10V`&QFgxLioXdCc~h=@2@Eg z-}pqV*v^hWTe<6==4uwXFVrs{NBdB!V0ml5NkNxV-G_cv5m$b_>Y#%_k53w+BT38R zr;AAuZMKLgs+`^X<%y=TrspS7Pp=`qrcNv4UBND=8?}-B9E4JQMS|Q_@)^vSva^Gy zO+DFj8&&qju6}=8@UW4wNC+dg%B?K%OxI#-B?8arE;22!T4!2vgj#hHnF*2$3wj?% zB8xRYm9Al)xQTQ^s~NsL@uFkXAfnsri(zfpIG<_$QUrUZz^4ygCC{L{LPZ07HhYdG znsb{z?GxGw0R2eCX#EqY4-Te0uWfHY&dC9Q?DWo-PZ>=il->SLR$LdyyxiE(;HF%; z;NP&qAKHhlErQ5TybBy*>AOyOU8PRsFQ8|syPoDC1G)&me~6C!fEkD{9g+V95lj@|ub;PaoZ z73F?(V##0kL~9EE0%N)$_#23-7lDx4G}Q~e=%Z3XAL?^*e0l@%CrwecfGX(g?f%|A zJILpV3sM03WR5W5JSjJmVSv&8)}I7XIMSMr<=m}Xy}v5KO3oW49`od3oo(zPgq=aI zBxpL+{9z?OSZk798_#BO?aG;TH{Z}FCg4c$e1kA^%Z7avsfbaV7ikWXVrcK!?a64g z=Y~%}wqX&k(8t4!6N2uI#eFl_{diD0O)+{CxBg%^I#W-LKObn)U6_|V3Fnt#hOH$c zO110Jh~{VO0TPI#ub{42(pkNM*o~9Yu2YCVU}oDJT*;lk*Y(DTyu=~>?uVOn=X%Vr z^d9qgKwdjO8yhU%BIu8ZSE8AJtdFn6{nqACkeE5nw>A^qbMslM_qw#?ShpM%{{xeD zr1@~o3tGf@3>%b)+}$hx6mV1h{SCZ*yq}2}v;L7oz{X92Ca^33*gjXp`qj>P=>hhW z4IlTU9J4MrI&v?0GZT_-l4k6F>t!Uzawlf0{~vMR`Ri*@wLWoI$s-9y(2M`n!qIhqvaFs4+LPj~F46O1B-{SepKsDWA)WqAu^7*ImA`MVQ4RUT=|tvWA# zHy921v;-G6^b61&Q&4ObHgq0}l-v=_;a2xS39Y(R9}O`VTjc9_7AbB}f$j&UIMN+n z&T7M8=UqGfqq#eyg7XRS+F;eG8OcYe970!g31woS>)2x8>-bl6T9!73`d0Yl=-1uE zU|5INq2|2t#ns4D4A5xEEcD;LA@e=uc5jv*R=LA`iSg*K;K`-9g7Y-SSMY_%kPXtl z2G(Jgpx+*LkHM*x&`NLU)vqe zpkt#dKBAbGr8Hyf$pt&uVa>yUi4Th^HqIh7^}z`Z+y1^ z41Y_gt9B!NfE8gROxe{XyjroR%ZGNm4XhMUBgUr>Zsfw>FO$Yc)BYOqP7sBFvq3Lh z4MxM`F@8cnCR;`nAv_T#ry)+s8y4U*RW%E_0U*hnj`XVXDM!N8B6!yz3?T4ojN7nvWUP&+B9gG%t(S0*o98@{{a>zCtO za>kfttG0n%0BUNF%)xF#YEQL%4vASlJeO+TAg(2P%q@FZEkP`%T0LI30DxWzIk# zUui303qUfXKBn!b$x_Hm2D4XUnlhZtU`??CAV=%5j4zu`v4dRR^dr=@BOFe>?(W_T z0ev^j628z@f04S4I?V9fwJqN;$wHn?cR8>;124-?p(Iu4rI4~FFAhMRkwZ5U@vfvFnq}@;P?7) z@TR`4R_ab=c&D*`kF`{|%GLM?u-2*Aab)AhLW?x|nOWhl*S)uo8SnmWa^K*JB?Qof z_p<%Sw|l67YwaL-MNUp}%lH{0qk3;9d)kf=w9ad`S@%`X3*YSpLpN8#dPdat%F z-0F}GlgfalpuqfMy3QTWANUIX4m_eG@43&*Eqjgnt#_p#?AFZRC)h0jzIkXR`ZZDh zsPqh@U;1OOxJ1-}n%nHX(2xnGnhdY7CI_D^!?9>@%0QA+GGwc^5x`A;ict}6y@p6kjhvJ| zzhhT8ey88dJoS3bmN-eXlOnP2hy;!xEDdtm>Y) zUuLgiyH^6CIpOd|fK&{1U_*}~crvHtKFxmnip9LcADJC=$Fw zoY@j=pyl-P=Kpr>8@_MQ*g1ZJ-LSABxcbm_xepbWPPqVOj-99UzcJ)F%y<*nPb8M< z(lvNudI5`WsRs34j-J=3jEdtG#oJpzcEl-PzQJCsx@$+q!XP31-n^u!t z-sH<9vgC5PYiG~z{eHZjVKkjI#(G3~0-*NJkJbZ5(oJvF*W4cF{$*3bYYV%?T)gq5 z=b&NIe?krF7(1xGH%=hQtP}8WuW!Qj4>QbskxS2X!by0I-%Zf3 z^AiaWC|(=UX1wKJx`$i>F*vdWclQP`XjhTXrSVyN?H$lV!FBO9-+k91))>?hBI7P{ zdO~wgB0xZG*V9fDN_=t+;yq$259qJmJe~hXwas9W;wyRVUA47*P2Q7Tsb-w=o&>-S zkNctC9f2JC)w}<*p%1t>Ak#mx$TZvrS<7qe5SvYPVkeb+Ggb*W2-9u|LhUXNpL6ZLv134@B;RRXW_i!JG6C5|*^L*qt8@D-3~3 zXlv%a<}i-?tziWk*0Z~u1&Q<8AzA{<_{-qNnrNQMrUCYH$&#UAI;f_9%E#YgNWV`Fm(S#*B$VQ?ghx%q9({3yc%5dV1m-Dup3B3rMQH1%bJnA%+^!8eNsRy{rT z=fjY-2BfOC$cACVFfbPYt-N@Xut@GCz8WwRJUX<@P;>3Gm*H+~Vs;Y;*E`#k#yu!d z!R;A^OatW9m3%5RV`0V%Md#?BR->zI%FiSPGGYCDel z>KT00fwYWLTY-p^ZNR1jjMyEdZ zjB^OQmsI;mq((5YNzox`ik4rMVB1q@%9(TPdL2wFdf|Zlb=NTzU~*8r_KA+y-f>&F z<=VH$)0R}<{oJAlN{lO%JoxP6n!_Qt9dLzT+LB@ zEh2Avn#+b#)sHuR@U*8Z>FyQCS;;>fR)iycddv9uV!p^R0!m4LS)#31sUof1#_RwI zvMfeG719nOJve`tcI3A0s|{5|Dsz~m;2&Ztg-xy_GE(KV@U?!Mf92}QiHC9`X@kOn zA>eV|CEt)Ufuy*SsOI4;)#-+Wb$c=w_@#Ev@u%sr$CsMjSiw7=^bo!^e9^7f5p*H< z3wUVS;F&-rq$(%jCH@4)=1i+QA}DoGB=CQe`hNe+Riq3?hM8I9X$-V8cw;z9xBR26?*HIHYsuwdN80(SEI|tsC3^Q z9qC_T0C3P+pLVsyY)UxgS~L9*fW8BBVoaIX_3bk}Y!m!hFcttEl|DtKP@z>n&EiXm z>h191b>g>{XQC}*J8^1)NDZY7oTRkCS9ud_1&UnpN!Va8bZRZM>YJ1FI4dD|4{)$unSM4p)M?? zpzYf7KkcSpAXy;jnf_m%S`i}GqmUp3d=oX1aQj>(5vhQb`j|L~;6WlZ9iR9UP6WlM z_<9h+_!}v&elG=_fm$N6(#$mQD%d!6JR_C)05OoBEA=>$x2cR+;R##ra8sEF-xyET%b#9q>*Cg96*#)0XO+0jk+_{<0(<8FbjlJs+=nR2DbeIJ`I~K zPQDB-l_1{(|L68Ve#VkTe#cL8%AG7VU*%?>vOP^R1DA@C`M|a=h!4f$l1q?#0~OG~ zC%w<8O%#vGx95vJn*+k1Y)b@%z3{vsL0!vREd;jaEID*rZOR`k6?X$SY1+?Hzh19- zVBfHKgPxh^nT2mzz7jj*^UbqFw1xjhlTIRLj8-R;8=MQ;bSN8&Iz_Vp7x2>Vf|GLE z_q_HPcWDpGWNgXaQ=sCWe=?0;M|@8*Qe>3`?Y#d%DSHDfpVqPMVgM2SYp6@;d0fKR zt7JC}Dr-hP=-Zp1k6{SeesP1x7<5CbnKsTn$<^NL-q<_)wK?Oviy#?*13@BycW zu?>1=B%DvSNG&3cwiAJw!PK#J=GDK%OAADWq^h+u(0ho#SaIGZ7?82w{SoTAY$F7{ zs#A~^ldx{nvc^G;V^g=p8&U0`6cH0!9qfR`m?;-0Ge{16QQIgc*oOGjZFP>_A~P55 zlRF%QIe2U?eS=N5&Lh!tCqJ(_f6%&H9DQu%%ZfdTdiisIj~lHufnT|j_$w1>TS|(J zxjwVD{UJ8=)^5K*O=;gBHm`U|+IPMC6?Y5lCg)^uz7)w?MVV(tRGl(-jZaHx_G;zr z7A6E~*Sr79baBSmysCXL(e!HLc+AWaR$eqP08Hgv!x`36rb~Q7a_d^pw0a??(>&GR zFfie)u-z;N?}>~G@^fsQ8s0Y5^DN#r&GR~6JVN{#^2jPyX`IVp5!`q-- z$_xB;sq%u(heW}ych!5IQt6*;!E*0Y>yPF5WgOo6c(~Ifa179?$_FC@oIq5vQAcAv zZ`AX_L6E(n&9W@v0fJM*7JiH9?RRt01YxjFM812C zX`0w!@dt8(lgylADNnFd@dEO)R|Adv!SXMNvu%^p-aS?KGUNo?lLXZ&Y+|ox4Qw@5 zk!K#SKl5UbRpOhWaJphXRdL01oawVF;#$bAXPKxja>RO$;!$wCxhmrMDmKP`A>uyR zf!84O%_=yAXl+?QzKY2Rln-5A6GI2so(Yx_Z1pG-)mukV! z&hdA@M*MZT`56v8y^fTE-JXoMDhVhcHGEbMn}=_YGIwM;g_gck);t}KD=i)P>Wp`I zn2*nw3oyFEw2_1T9cTnlqc(e8n3mDym#%f1alYb4URL*b2ah?vDd@yHOP5Xr*~{sM zh?n~b$Y5>wg9hA%MZz2YaIS}C7!~U@&e6Gp$X!IBFM^Qj9nI1hzwWJ2rlCWuItI-5 zk?;Rj2a5lI;uooLq8B~a#!;b(E=lCpz@02rJ})umDVFyRh6UD6bZMaS0JiJbPv9yg zL$-Ji%{psSoiw9$hOgY;q;$*zw_(i~^9+Z}U5l^)1YI%ZP|Uc-Yd=xz1x`HnnrubR z&(sPIni{6vfehrNG9^ld$r``q)bN6-%vKQbJS_&(Zl&QOku_ma+@WX+?&rs<=vkt%cda4fYH-O=mIcRt>?J3qPsRZI={+01tW`bK3D(2X? zoAhAc5oc^OLBIIDJqO02whuUwnV8rxqpd~#6l;VqYQ@A9}Y!^l>tjT~|h&JQX@l^Px%=^Tb zi4t*<%iN>KB}R%c+g)l=Gy-4Ly4{59t9O9Z`HHAm_iH(a2@d$ zQX6Jg_g5eE#1A%;>PYLAmv*fCEH$?UW0C>SYZ!WrS4Z>KtlV-3M)DX%2DY)=V{1C% zyUURu&I%}{`yZIChq%IRJ;^xtzUcC8IFW?nVM(mzRY}kP%6w^r(-Vc>h6dvO7H>sC z#bRmtSC#fydM80@+Htj})O zF;TX{nD_Im#ui?UX?8`ZVH4ujJe6WGasIu3KwE;1thbOd1?b@=M2s^x2zi9MqC7~l zW*-Z6caj9B$Nj>fm3=MZl(oFwn%7+=pYeK04dp?%dMul8^}Gk1u7%rN-6fPf0dJWu z_8~&^TQ2H(EyYK(T|Z!}#bAAYOzD&C>btVOE}b-3wR5xOz}NBpa1Ce+o8zz04U|}xafYQMN`XTUkRa#WbrrOl{0WPMwT=W3ye-Y zIZ3%*!)KH|?fi4__UkJ7BDsB2$Mo2TYWnJ>?hDl3p0u8+%S)A;1$+?#cQvb+#=0rj znS<->n1SE7UYV4p2;5^G%quK`MlQtn;DM-<_{R`TvdK*ZMVRf=@fR|AE7^BACI1X| z#CY(}nG)^+oSVs2gx&S1_aHReALzdhDl;jKNI6Z@11m_7tFU4IaQ@4-eC)^jc@ok!yimK1-XKxa+-`^d{Jk_*g1tX!6|xf@cm7Ev7z*PB?ePM z@XyCvm8AG(gPt!W6&=0l)p3@PJ6X;1HH|X7hkYK%m+e-2GZ;Ie?`e@7e7*%`yc8tx5 zBd&f2&q|csQ=5LyT{eR0o)e3uHS7v8VC{_v3~au#@#H%Ysh zI?UZ?{wE-A!%>+@Z*@d_2O4H!9@%)<748t(@9IG(^M${R0&GUk$WsZ;_pm%9R*sxC zFKL^fk$7=UUM}b7@56*#?RwP`l>+5EyN}tVBHSV|9%H_2Pt+T}Za+d=Hwx})@vuI+ z{a6Rr6%Odx^KP$ui5?wfHW9Y(qcf=e!A9K1?S>VR6H7ViwKDdBNRSb+_kS8ntoiiG zgdi*9Y0B?DGa{1ING~DKCmzTyp)durybH4@H2A`@&PM?tz5PWv{vPR7d$Mh+;EAJW zUmrM8&Nnut%XcqYy1N@sB&3l@hLcA;vbg>rUu4 zy|R$lHrzX;LY|crchQa+{L%vDl8m-ZtpvE#aas?9G<-D|w6`BxjcEy+aX@`^76sRa zk}ruS%9_c)dS28dz%pwJ+UCsD7p}{pJ|$MSQyVX-75d( zR!v8Jt2@&Amu?gHCodm=?v#(iS7y`5UP?&Ln>E88@kDLkp9OA}E#CqLB9-Su-enAn zs6WVI${zBvEJA9m2~{O;2A9$7|M9ZlqT0uc*;aP6n!&znr2Wx);}lLfacV-hcY3US zBP1O^m#Uc@q6p4#Iyiqqei?mq$|pIIU0jEE?3MCT%M?l9TVCHarWQP?GsdS|nYUt^ z@pOr7GVD^p_zOAboJ=j9N*-Z}>x!t!bsJoKb*Nd#nr*bMY3_cj-c9_YR@IEMo~$w( zw|Yl(X6y%H&@=wWZ&%jb7BTzg(F>eRG##FbX9$hd=dnd?mvk_0(#eeWa8sKNYi6xu zYv7y^s&sHoS=ZY$I~G+7TPv0Nm-oK=kJy`bv%tZE8bd#BsSQ2+rf)66_3lX2Keuwn zZABqe#fRyC$r2rl)7l%eB7LLDx@zzPA4yEUcO!YTuq8>2ne};&#*PtK!QO&+334lz z>uLU(NnS=x0*+IVD+9Nz5bAgT=Ftr|MrQ8@sMJmDk{5mkLz=4rEVuYrvn-D)MC-Qv zCQcQ5E@ur-G!)xSWY6;*1|*7+oGYo{=Sw z0^gHXk`7j|-Cs5# zO}vnr(!t{zLkQmXs{%tG1DD^%_u6f8*8L3)m(IE87xVn_skY>nc@3Sz6XO1E6En>` zhI1M^z1`|d%8-fF`WnZUp1tGkfxZRQF66I-i3s(f6Uu^(;_X-9$#3H~M{YG8V8%vd zxpK!V-o<#XPH@Y|BuNvvi-*2PU9OMz}3n+2^jnY8HFv%C*|Wcs>IbF*F+ z3@!4GT~YEnKh~(oz24ZEWo+wV(;M6yoE!C*F{XeRD(t6@YHeLaSa7MMpVb~2Tr$tu zt&>OJGh=1V0yhPjvDV$bd?_bLQxT`bHBfx1`0c{IEc*>K^XvE*0jgPOX!ebFJ_(^m z_A7d!0x5$NpA9b?X85$2x4&MS{uNK%w|HV^TUE1vUs@{Xfm%EU{n9*B%&OwZlJ%t@ zSTo-JRCCFeeTkdv`M_iSerrY3X=>~2%!xMT-Wx!{9_3{LCAk%=+HH2>3D)hKLxU38206MHyFb}W zmn$uu1KXd)32Xn+D`^TJI6ZmkAYx}_d8939XL&?zaY^exxg4w6aNuL*W$D#o?e%tD zFaTRXTdK2jA)|sGQ_Q^Me`!VC8*@pAimW#g626oCk;e!r^cin7Fuv_QnjN+^liH`} z*x7vB&Mnyt1kLp#zKYhKc{T6u9WNWi>ov=)gLwbpgG>N_0yk%1Y4OU*4URo8djxzj zN9}l?gtluf_tVM-;vj$b%^RT?c*!EzxQqCnG^Pi_DvfE02(OuAd#A)lR&lMVc<+Q_ zJMvXb+zql1+ifkJunxA&jv8o$L0Q?Sv9&^hvd92VLNPl;X`iYk5(xb5sf?G$)`|o+ z0dQ8WH4i2B_Rj5}2;>L5NSdwk1->)mj>zDYu_xL+sp!qa2O}q}69nf=?tcDpo zOZz?=qFK$o`mcKHoxb)IU!ZVcB$&ht(LoY<8-aIjmEzTz;+#>ey09I*DHfftcQoP;dAAqPVm$+p~0=|Bnc+Q*S8I#h`9b{Lk3 z*H2i#!HySsO8Nn8`Ioe_Zqt<6PysKC?>hM7s1kkwlDw-tRc}s1C&+*IdHFnKGX6d8 zdHl5e2YNf_i5bR|QmATQn>M|f&8UhdNi!>96kZfP;g5jM>ITK+Rb&&cddkX-Ib-NL zM0sq69wU{EQPtT|R2sNL$5LojpV<*tJn?ZD1rJypdI>FMQ!tJHfLSvDn1EA;69b5u zkWHxSb)zE)I_ls5QLsq?7A(#I{r5Y&(?I?9fMqf&OGmt!&w`!C1OUi|>}Xl3Zo0)$ z2#)3j+UTl7dJDsB5j{RlQOhYlio?ZZZiU)b>#`cru)2HJKm{LEY!zWN#bsStxI{) zE`b@m5H;Q9J5{Z0-!4XrZOaj3uPw(}v?Owxl;X=0nD5>UWyRUtBANQLea~tP5pd}_ zzoT1-6^z1w@|Ii&SibOg^TO-xQ4-9z*!Cc{jqI;wZta$6FKe?8wMRsq8G#A)i?|D( zc;c8|m-ANE3ZlTxUVK6Un)tUG)eMBKuzKLqT+ENOuNNrMX_V+|B~8ay+BPZp%E~>1ZfaXbQn1hO+XbZCD$|!Sl|oLr@(mc2yX$R%Z+t27Q3 z%Wr$I>@hyAgs;_rwt-rOs|{E!x4y8O_SNYv#4tph+&g^fx60g$BaDNaJw8u=JF)W3 z%me*R2^dztSTW&KzLbd1M*TJjlUcd+w~gRv$h*{hF08Q-fFm3}P-|5sRvYuJeG;NP zp}jSSzvYwbBNd;cFqM!Yyi1Vc+M>X2BSzv}zoZ5EIL1H~f(E$@wK^bju^ZxnqR9P( zER{+l*YIY;rt{P5jt~=Y)TyO?_Yjc*s`1P@{E!B;9Mme*YJr$?>w0yg%Ac1J^JC;= zV6Yt5n(Jm-k@1&rW@DGYaw_M2?2;6a0!}r^{RcKtH9thx#7i&xO0J3D8BNO38e?PU zr54V6)STN};7NdVpaI}yYk!0wf__p7!i`+#Y;99$k$VvwoE$8V)O@i404sC0nmEzy z!R0T40mvW@Dh2O>VBBb`VArkbZ!@H$zE+SEZh3ksC5H~~8&+&ryGC(v0cVnIxS^`# zi9B&Bg?HNS|7sgMNLvTC4`(Tg(*kcAK@DPWH89>p)P4snUNnpfp?L(>_EH;T;X@-x z2)A5l{qU|KrEl1wi7TU7S&c4Y($BW4!O(U-b*W5=Bd5wCz^ijj&x;(Fsr&1o3jpD{1-h&5q8k?Vs zs9lR#?}oV>wE*oVg7#7M3j{z9<%%a_)9JyKAlr)Dn+vPBBn9!jnewm8->O@mW8?b3 zwKTxN6`xx1aDBehde`8KV{op?s_^iJtiLbHvREeFPNOYD6>CU&_q+_fn7i%ep5U9E zLmNT`Yn7sRU*#(O^0$i^cR|N&U1r5D8g;; zMx9{DBOQ2?BYB2l&Imm=_?J8!n%*|WPMZc1vniUb+J;Ts8l-Wj()V!XC0gj>QiFqx z!c@<9mHq9Ppmsq0HN@YK8D@!X%zu#n2>^rIb#IUOblQ%V1q&**kb*&PGayeb>DZ$Xv zyQIGC6O>la%AeNyF30z;8=);$QHE>p+(QPsns9k_^8KIRz|B^kj$UiG@|l6LxQ^0u z3f9l`OV#E7yHT-smeAz+ke{*P++fV`dep0+vqyV|8K=b9KR*f0C4MhCUShlBmf?go zt^I;h-U^z0o&7l+dmvxLs2PjMl zT{B)C66XeMqO9+RSlcVew3--ATg<9E$Q}+LN-^W=Ro+ZRsTro0prVRGR79v-&1Q zron#JmFe$}Gw|r=g9d!%YRf7@8B;@Q*1@E_gZ_2Cm@Qf2OZ9@Xm(@zO+_1H`#s`qw_Dap)Vkjc#eRABn76%HjO+*=U@x?GNDLG#iS#ehCOnom zRHyS3rtLJsI4eXMqS6GBSN;8tgCS;^1;P1MzP@vF6Esx=JGOH8r=`ln=f{}e#8gR@ z%{F~0c643R{R8ybm@52%aOp?Zdau~%%+?J>t7(bS(Ov-NomQO94)e*VRkNPtlh^u# z{c|zT#ebT}W_VbrS=YYLlg@3x$3J*?I?L#E;CD>jno?EF&9D8BXS}v<49c57_*E4! zs2^3I>CRm2AANI{l6a8qT;lYs&8=22dnr2ZEjvg;ozY(LvKkdE*xXm@E@7ne1V?dK zf&EDhQ41@2-5)1F{CDwWE)pWyGr8$d`7!P}i->;X>Ug$N)Wcpx>L7t7+D zf~=Cq_rbrrqVR4Loz;(W@>XA~i+nZ=^U?}HD+Z)naLG~4E+f=rsW9^p97z3CvfkD( z#aT>aJA}3whuGsQq4-Ob9Qg#C96w)rmga;3*t1XBux|JEH(a8Thqjh&FiI{DZw@6+ zbQYXm_#>8(r7UiGTg_ikPH{e_FeV)-ys!A)CFjEihxopslW|7uiZ<~=U`j8#@ZVDP zt#4Z=eJ<^tVV`+>%>?;CHa33W-Cn$;F#~?FiMI1DHl_6MN27kF@qn9F#p)LxYATEf zKOKy{^*i1QzDpiI)yAH)f5Ij%I0<|k4ro|wu>Os+9gcHT!v6!ddad2&8TKB-6c557Lg4*G%;3DOz~%om^X~>6`N+F5uFi#TjBxFYE{{SX0lP zm5A!SgGsdY&6FO}y-6?2QiX#xc%>3P4Iul2q=BWUrEWfkaqtX}xd(0u%)TB<8Uva0 znB5bQx;WB~49(*ol!`irv^(pZS8bJAtcveREmp@1V~51auds3F@VVHyv#z7(D`bH) z?4;(Q*JC7)2`qU1y+a}$1MS6liifNihyG_9V;avxT0sQiRx8AM+O&#m;gBV7v%_Qm z#=GiNQwv$vubB*LO5n|VY1Q0ZB7&~MIN&>P4q1GLtil|s($b|T=C+EcsYyTX2lBCn zuWSaj>1p)5zrsGNT<`ttPeb$gUP|%(X2WPwNY_3<DDL>0^S)ar_!(cj-K6l03 z=7e`>%NSgQp$IslaX*(myHw-)Hg#*`(#$a^+EenjE@Z|c5Q+(=qy%%96%~~g*#`2K zwdUZjV>X8J+Fs!=lodGy%3uyn3?#^Lzk}59ciyQUE1BtRc}5@dhlg(hDm>hSHBk>< z=AI;nMlx_Q#uc5@bGI(_B+&Dn(ouc8O|!5N=J;u2Zswf!*pd!zPFCLp{UiD~>{vS} z-e526KSQv~=t*d{^uu|c+^o>NtSh#fLw6Gc2hL2qxjymzCIX4IdH%+j6kI!>;<4CM zex+1d)nkAm3^UooPeV3EeEc zO{$OdPvrwt8}|Wcq+1dtRc~(cDDw(YL?rC>Z>7{Lz9^}EdF=wyzE@>GM-etIq8_v! zR?>CDk)*wC(yr;+dw-#-%T@PzovzhGpLlSK!@(V}qKlfQs8`VS_HT2C#ltS%Kun3p zx$W`HP=q^m#PX=2zl45e{Bzq-WIA*GBvIs3_}s2hZ6mm*SpDGg5M<-0*v^MdU+YHO z3Hsd^d|fOE{(2T!onMNFyy z-{DK}C|g-;?A`ZAT6O(BIeyr?FFcain^=2(s_L!Bo`E_aVF!}o0s^lU7VPgOMNVV~ z7?i;+f&9ts>Cv6+HL3b}R-%7u9N_K2{$Nb=7{jo1aR#ZJwfVvkuq*b5OW>B+1?y{$(G_fR;|OT*>y2z9`fVqsjvr#`c#;+ z&ffN2+7--8L)8+O&9i$2j=gp}Id!Wi@xl&88O<~I;Kl;pY&kk^APKYxEseg4BnaC7H;y>G{e?J;4(vxpp?Mk(aeF&XpTy0@)^ zRLPmM6ns&{_$h;Y*I@LVih~6!28>Z&Xe$P&CDrUM z%%5)ai$PYze-C`&wbqvWcYci8Sak;q*4b72`BHf#(1#`s~1G3)Hk9qjKipurhC3HA&Uy>xF+GMth2 zgZ&_&ay8Kd!&YS}mV!z0JVnM8mj>6B<@M%tk$$dr?SNrxQ@@)gdH}Kwhid5U#}Z)S zg8bj6fBPF3`qvYJY{>$cMpa1B{{=S9wsm#qY76tqAQ6bq+)gCyK3XjZtDkRuhdxR_ zX?F7b*m)@2&L-BrSB(5Kwfy?edCB>AV|hCRL#enMKj#gf43;_5BvT~0p*e{&?adx8 zq2l0XaQ~z(K=t8;ho{1^Hei%x@_$=;L#rRjE+cZwRU+sA+l772u&u2wX_%>Fh)#xj zi8l}bsI2X(%-(yKiuL2kvDV+@E+Y26BRlmw{Z5r_GU4J zb$&APgXfhZy2q+HNI@A=!Vc$Xy^1I;th+X$X1XTvG|IDeGt>4QR}iclXr_#Rg7uOh z2VqMVOg2&adnol6!Ln=+xYs(YY1N{-5EHN+Q@}eN{af=);7Kr?hXw)1i;xvAMX-Vd z`=N$QQ0

3ceFi4njJ9gdGV)bB~!(iJjTEjM7;VKh>y@tm1Ibz{3|~DD%`Iv6h@% z`}rl){Eg5usKknV9x14s#JsgEpj_N-5^P1zv1G>?9sNs!y}_BdEoYyfex0yxP4>dP zt1|pah0Iay&$P_DLfGzAkr4xFE3$OVjC}0OmLskDW9uyhENxm1&q(vs#1UTM7|Fx1 ztOz|0Le87+=H8)Ig@quQv@nY9Es9)D zrv{xL`gz90Z3BkHwK*-$gt9TI3cY=pG$ZvIYOU3cqt5U;t3LF_8h(8!u?iyBQMB8| zjv#zpcm0pXLbj#48x3LV+co9yh$A8E?i=ooBse{$GOn76DUE5#3kMu#MY@40w2;k~ zy|$2>M(_;cNK16lUYm&eB9Hr_bG0fft^GL5!X6be^(d7vnc zE3li`(rA61*Oud3b$a^Y@7wIWL!?^*W~`&!L%Yn(Lpw;3@*Q0owJ;eLuEyv%)}IY> z!%Gu=C*FAqH`?9wZrz!w7H-T~l!TZ(W6Cmncf+eDwC;!@CW?%JoqC~W!38~i@E{b& zm?nS{MTP2@&`+0yP~UI*imo_!cVJ+g+W%@rc`>#voA@FbCt_x<11QHav8A1+|F5zu z4~MFM`}MTQ63Lc?5FtyH-4Keh7G=*eqU_n1u{H{7zn}Yaf4=SAshdLWEoCb?wjYSuvVo2C zP}(-|+8spSZL_(Feo_>6i42}tkM&UjH`d(WGcMn=LEgI{w?l0Gpbly4iF@N?@!LHw z&nI(q*$K1E-TvjG#-qxh_*RK*gop=ylsM5Q&oYxB)0|&Ry!aa=9@uf8lIujg_NCE3 z>v|^i$#B$aRk2eE9=@L58|}{oWxuzTfK4U=ae0O z61w*hOwgXC-Y*+3i4|ASuE#BnaT6of0s*Yh<^-7j|ay{>GFU*QtSXs?wEN%b+xZ_dJAYNyMzmY=a3 z;+V124dGbz-y>0eUXM;2*1`N_H~GdBj90&)I>}@98*@&hmeQ!JH7;E$xYlSH=rchG zTOvvd)KNo94*bueIAEl2feDGoYor_=HROl5P1IqtSkQANwHavO7{;wTt>UAw=Jn{! zscfmefVutUn6L?tb)}630geNsZ(PfWr}Sln;hb$IgQ5UDsawVHaXvdIg}q2q*jPv% z%bbFJKYN{z6+GkyW0}GNZxD5K;LK~42_i@23jo{ro)&mu3}|xAO&Ufx4EmO5(|Q7v zMi_tAn*E~>2F&>!r_cwey|BY%%l$tUsnoE%J&g$Cz9bONaH69Z2WJNOFaf6nq7V3E z1WlSoPO}P!qh}Ygo2}Vkz53BrYGc%}TMI!YNqyx6(kV@;Ta_ zJ2KjLbZUCTZuHIe3iw7KwxhO3g;QfnVXsfdr1_axKB`NiLci%!v1iuy77{yIg1x=@ zUdrN%mrvW}L+vTlwGB-2Ard$u6tG6vLKdb&t=Nu?4CkZY)wy6doX5v}#$%7fi`sXP zcX&f?YX{YkMHj;0m8EttCOBIgzm*E@8JOq_prQUXeKCjw8r2v?Zbh721{andXhxX1 z$_+M7+8(yoy0`$dj0$Dr-pjit5h<;pCU`f+#?sDlOYONS#=qK5cIBD&j&wt}72xOc z1%$)%f~UZ6UqiWQHGb*RvO1`|9;Liz7L{(xZ&ZD!JGT7W`tfxy;}jm!?%`C0;Flos z79Q7l%LFObrAMQYC7h&L2L)mq+I;VoiyFh45kWiPn(n?R9DSPM2_o_Gvh$Aq^mGfLHluy%l!c5@H)`BQ-X_1^=1582{m_v zLF@o$*xvL>Fp%Z#w7d|Z?3K4>S#3f!fAkhFLN?}e9MH;j)V7`HjU02M)b;NnyoVk1 zc7rL~6aB3}Ad)x=47eo=sfJ5{&_-(nd`?3LwyGAxfURh1WdbyJ+pOq$5X~YCjw#|o z&Ku89D42LKEpcrrtG96=l&najT9kz8kyx3?1PZ}yELd_*g}V{vTigGdC+$eB2vsIn zrS}5prTHJq@hcr`+)frzwyR|B6deyW%wlzH zk{S=~waxDkH8V7PPa77~iQ{L<_P=WiOBcPKlIQ2b;3d1u6_bU} zQ&e*-SKboR87!PuYN37^@vMotno_Nub*~Ky5%r2k?gVBi$oZ1C?xFebx~yrKbxLEl z6rc;1`*7o;L55w&@$5R$xX6E}4WTcq2!w3_eN$m66?QaRGW?!4q($E+YP1hh?y{-) zJs>N-JOL~H%XzBn=pU$ydvU8qBmEW3sw_|9O>?NcOLM}=a9w&GJG{B&fSDP(-* zIa~;ySe)sL%J6ZJhwdfxIy1rJ5H<$v&9e{}+tnNKw@&W_yjCO>T=9VG63+M{TK}j` zRZZaF2jQ`Uc^%{9lR=$Zd$9-(Qn!8bTYT2Rw*7&kbz2)gYgoR{aUougt9}oShxMJ}r8h2;>ypOWY%L)8a zE&?{9xTIASRCO}zIW1f(Bb7F*C5FCXN7mFG^B0VpF6O4T(flLgrsJkvOgb0Eng#}k z55gJ_xM(93HMs7?22FqAg~q*2F-P#8{a+#!sjys+hTc|ULjpq-0=;Yc#~{n6w*EX+5yU+pe1KxfINKMws*N3G zXPkgX|4&;5XXhrD7c2NbsK&?tbi6z|7O((S*_{EzQ-IRyBu8-G(1xjc7m&O@#plWc z`?SVbNi9D!Ik@PbVNRkt`K_GaeV7a1T_HvFN1T0pFetQ^NP2(scAm%c$*a?TcFkL? zSo<2Lzk!DM#MK`@;%C)+a(w{643*xRhkbF3jy*m*5;CpRBpbWw0CqVI0BD}=yt{f0 z8ACIAP41u*Z!e}bNSduodxf3fO54c9q^0HE z0OkNS-_ktC(3|TK38P`sRa|H6pw_*ShCtAWoi5(3-sOO^u7;z9zpneQt0D|1Tqhd* zJ?}I!kn2#G{jZQE^19XyqICu_RHrf{etAcj{s^x-2Vfr`^fvW2t2QqNn;Pc5z?JFc zef2!pBw?+0eSBo2?`3-5i#l7^F|7=-4J3aoL+QmNiDFC}AB2GOd{c?oSnKk2TBAKh zZK6CA?y21t@@3Q&2xZt=IV%xQy@pI}t4YOYvPDClQr_KKGhTCgy|#u5Z@=t*#pk9f zX&5MU4{?)i4L5I`hm?oR?!W3j?4JHap7s{;6!F}`O^dly<3d>>vIM1GeXOUH5(+oJ zjzij97OV(KE|_B>w^>0!)kt2i(^daB!LFC6zw^JPe{VB_WqYth@N1{n88oTTjNd^& zag09NYRtXEx?Uu(g@+qiCw|;P!t*7~*OPCTr?OGm@eKLoolYO|%z`Ng{Qo1K4Dyx3 zUH&_c#o8C$wvN0&C5o<>R@#g)RVr6yO%&@iXjYjfTJf)ut_D<{G~4f;P5f};#uKH z_jSLyyFO1{lCiu=1eNSNk#!G&Eu80apszp55%*LluRL9{GJUXE0T})EMQT(exmy+mFt6Wd-i`yJ(O;HaYl7ao&Sm=cMu>p4+paR`|7u>&X3 z1+?af^>l&%STi4$!*ooS-Tr!}yYG`<+Ufy^oZHQu&);n~eDKQ*b?79%wLkyG{+{Oa zP+q}EvessNoRJiUV$nZQ#mQln93jie!MFXgl3&y`2p<`v;LsiV?&Xh5@*BqI-jU)} z$I`nM9csB2A2SF&swuvBub)221_`90x3gsCgPO4T#IOo3C4_r!oJMGvI1qOZogmua zECh3dKk=ms3XW(ktq738EZV)-I1Wdt$f4*lwwL?GI~I=Biui7 zEXM`ajznESm+zJ=iQ2zCV0Ps4at9;M9RovrfOe1>vvMN#R!q4m;lVK%?il~26*v(^ z$#0xIIceJMvnB+;cFnmJP>lCI^#N3)xWYigs+uY>qk1ZJlf8Y`%nRn^5Wd{yn z0a{1-yqbS$v=A~Ra-&&{jp%BNK`rEV&>2VYe-sqE_n zphzPtf40NzjB!WD?lrI-^2R|6<`%Vxz@7l|-2G1ZJZ%)YIYIsnpy^vWEg`iawq=Lj zbVxF~Ry)HV`smjJbRMJj5ql28t0?>zBo*>I&G*+TyW$lDP(_eBW(S=BXB`VRsdZLX zG+(L0+ezJ|v1$##K2OZp7F9@YK0OEnxHwN{+dEqL58kToFB#)FOW;*y` zmM9m}CCUMlqxo;T7R=!8;u$(y`t`dNm;!rQPA^pj;ewl%s$cxt7)YYU(oPBSUxi7kBSdGlEqeZj#`pQ#R$$OKY&(fw)k#F zCQw)cD3kYfR$P<;!ci_`F-te(0?OeU&|k+8ef@3jCwhX`I3(C#-Ke>XW0`R-q<#3e zlduxasiAkXApodqURwRAWT|Nw`Ow7F3I}=OOMmAopk~%;(^NxIwZIl3tEfRW11wV! zJk3tR(W#23e~}=*25i)|pCXy1xFWgIbbE~Dr>IBTNYtG0GvnFRz>;-(;<_!(PGh?( zfbpb;85C_Tcim}_{H=TBU3ymThDA!!s6ho$+&^~9Pq#maYvQj)jIn@sN%l2%kkH^u>+4A`{t#xl|ntJX>|)w8=IpQR0)v| zOfIq}8GeI(XQMoN;dRFck<)W`yymDtpnJ>`<=8;tUQavfRyd;&A%sxDDnx`LdLDN} zpfxsml!3&I#30P)?4E+gI^OAv;U7 z)+cN2giug#YR?sIZ)9Y73#R2!m5>Ln(4TG0-Z>vmqN?p|r)%r?MGB?$RJMe%6(oHY zb|yp()0wu2D}!r7zd+|WZwWwfSEC!VBhZi!qzrwBne^L^M!N-ggW;5L;``E`tYIle zFNHZyTbW)}x!#}ZDNBDdf1OQRr01)(JVcE6$1(rY_Xg=K=*?aIgFXFymyQ(fs6yQa z2;FgC@~6S8{PH~ijKF@n3PuU6nXoO{U2wR>z@rNVWtjjcWg`UB#_|8KVK#Lb6^OG^?z0F&1TIZuOhMMz3 z^oYC)HK)epUFQ(cs3_+$vmR<~pAG?)5NR{S1Y2L@ct}kg>+SL~fc0aRz9oi2tpRD! z#7GTLSnS%i3ZMo-mh2X~$L3viBwK_x;B0u)cEQg`>PbzAOA2^{?9*v?x8;l%X1b+n zg7I}?n>P*E{@6!h4)OG;ODl7S=)xT4lcX7UBu%%PM<(ohIU4nD&=L=HfAQHWIiAyg znP{LO)D_CTn5OpPd)r)cBSfRiEmRnt+IYSl?3J?ND7!GQ!cs4Iyr_DG-2kvOyVJB2 ztGj|zZa3vMvWYXP#JnW*GR4%HZL%vSG97y+=3wHf(P;?BDlZFJ1pIHrtl%q~KTfa?=!p zl!nFfGko8?lIouBpbN9|)-X=wHmQZPO4wGK%6<)Qd(+j92?7VMkVU)MF|T>I=C-(g zza3Ia9}kXNk1arOXTAy)BBF2i3oT1F5X92*PAcCse0&lDf%fDffKp&!gvlO+u$Sgscm6lh0k4 zd9-$_F$Q$j$bz_aIlK3Rme{?f!N0c(-FvR6Mudnr+n##BK@MI!-5}Xx+0WD;>-$nT z=put1bhER{zj8?$a{~Xe!+2jiEr#%5dF&d`=l%8<*5JhhaIh+zpXC9w|K?21+tYe~ zwTjlU>Q~(!oq~wmvX)NV%l|GlSNn5j_jVqN=j;g2+xvyESf{a0)N>B!&tmN)%ngCw zrrrJ5!g%30-uLWXfrY5^3B&h;M!JnEB*vw+Ki;NUDCmw?L!6pse;;z1o!dq}GALO8 zI{NAyIaD}m#HX7STb2LI+U-6}7@bj#8xf0qrTfXOVOICM#;Tp-;CnxQqY3NJJobfU zCT@Y6dGCvUBE4#w9{ouR3@-bN^*rxRm)c3Ov|eh7-QSdFK2avMHNPMG)bQprpELfr zTmAWG_1Db%)ov>>L5eMlAmeK`rJuJWmj7PudGgd?ak}=Ko66(m6ImbbXTE9t;XUj7Ulp7DLz=nEKwCRcIn{k7 zXA05YuOVmC1V@z#@3lcM+beQi*fdzFpS6-ayd|DFIxmde%vQ)9%)KD%aea#UOUU>a zZyC?dtsN#wcokF(P=`RezJTP69O^=C)j>(0o&kkS89e_}`)ANut#?o+{H zkE0+w@raYMe19{f(A=(SKcC37rQR;Rv+=!@W%l8DwBM{rd8Gf^@GR=;HIJtv*Pck! d{t@zcJ>cu`Cf6*3>NL@RGNrj<>+oOS{x5yhB+>u? diff --git a/1ano/isd/quartus-projects/DecoderDemo/db/DecoderDemo.vpr.ammdb b/1ano/isd/quartus-projects/DecoderDemo/db/DecoderDemo.vpr.ammdb deleted file mode 100644 index 18a08c41d3f45f9219ca7856982ebb0b0e97ad49..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 294 zcmWe(U|?9w%?KnJ7_x!T1W1Ra78Pga=P4K&=o#u6Dmay9=AcB4IY8hGp}?dXRIY+CDKQ~o0$Y-uLRx}GQbGcgae~Mk zeQvRN|KvRi8)gWk&U9#FhwSK2SbaR#{)o+|r>qAYo1^U&D?K=z7BfDvH~6f4V}E_)zvdt8E=)kn|NjSCnv{^j zk&uvJF(LIsqo9W|<3tDZ)S-1W8eeCzI-A8s?7#bEZuqHAr2@vS;{@i7J`EyXP R$fL)`pS{lbfPC=(KLBk-W9I+> diff --git a/1ano/isd/quartus-projects/DecoderDemo/db/DecoderDemo_partition_pins.json b/1ano/isd/quartus-projects/DecoderDemo/db/DecoderDemo_partition_pins.json deleted file mode 100644 index 15ccf6d..0000000 --- a/1ano/isd/quartus-projects/DecoderDemo/db/DecoderDemo_partition_pins.json +++ /dev/null @@ -1,41 +0,0 @@ -{ - "partitions" : [ - { - "name" : "Top", - "pins" : [ - { - "name" : "Y3", - "strict" : false - }, - { - "name" : "Y2", - "strict" : false - }, - { - "name" : "Y1", - "strict" : false - }, - { - "name" : "Y0", - "strict" : false - }, - { - "name" : "E1", - "strict" : false - }, - { - "name" : "X0", - "strict" : false - }, - { - "name" : "X1", - "strict" : false - }, - { - "name" : "E0L", - "strict" : false - } - ] - } - ] -} \ No newline at end of file diff --git a/1ano/isd/quartus-projects/DecoderDemo/db/prev_cmp_DecoderDemo.qmsg b/1ano/isd/quartus-projects/DecoderDemo/db/prev_cmp_DecoderDemo.qmsg deleted file mode 100644 index 0ff9d72..0000000 --- a/1ano/isd/quartus-projects/DecoderDemo/db/prev_cmp_DecoderDemo.qmsg +++ /dev/null @@ -1,130 +0,0 @@ -{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Design Software" 0 -1 1668462005146 ""} -{ "Info" "IQEXE_START_BANNER_PRODUCT" "Analysis & Synthesis Quartus Prime " "Running Quartus Prime Analysis & Synthesis" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 20.1.1 Build 720 11/11/2020 SJ Lite Edition " "Version 20.1.1 Build 720 11/11/2020 SJ Lite Edition" { } { } 0 0 "%1!s!" 0 0 "Design Software" 0 -1 1668462005146 ""} { "Info" "IQEXE_START_BANNER_TIME" "Mon Nov 14 21:40:05 2022 " "Processing started: Mon Nov 14 21:40:05 2022" { } { } 0 0 "Processing started: %1!s!" 0 0 "Design Software" 0 -1 1668462005146 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1668462005146 ""} -{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_map --read_settings_files=on --write_settings_files=off DecoderDemo -c DecoderDemo " "Command: quartus_map --read_settings_files=on --write_settings_files=off DecoderDemo -c DecoderDemo" { } { } 0 0 "Command: %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1668462005146 ""} -{ "Warning" "WQCU_PARALLEL_USER_SHOULD_SPECIFY_NUM_PROC" "" "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." { } { } 0 18236 "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." 0 0 "Analysis & Synthesis" 0 -1 1668462005241 ""} -{ "Info" "IQCU_PARALLEL_AUTODETECT_MULTIPLE_PROCESSORS" "4 4 " "Parallel compilation is enabled and will use 4 of the 4 processors detected" { } { } 0 20030 "Parallel compilation is enabled and will use %1!i! of the %2!i! processors detected" 0 0 "Analysis & Synthesis" 0 -1 1668462005241 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "Dec2_4.bdf 1 1 " "Found 1 design units, including 1 entities, in source file Dec2_4.bdf" { { "Info" "ISGN_ENTITY_NAME" "1 Dec2_4 " "Found entity 1: Dec2_4" { } { { "Dec2_4.bdf" "" { Schematic "/home/tiagorg/repos/DecoderDemo/Dec2_4.bdf" { } } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1668462009856 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1668462009856 ""} -{ "Info" "ISGN_START_ELABORATION_TOP" "Dec2_4 " "Elaborating entity \"Dec2_4\" for the top level hierarchy" { } { } 0 12127 "Elaborating entity \"%1!s!\" for the top level hierarchy" 0 0 "Analysis & Synthesis" 0 -1 1668462009881 ""} -{ "Info" "ISUTIL_TIMING_DRIVEN_SYNTHESIS_RUNNING" "" "Timing-Driven Synthesis is running" { } { } 0 286030 "Timing-Driven Synthesis is running" 0 0 "Analysis & Synthesis" 0 -1 1668462010158 ""} -{ "Info" "IBPM_HARD_BLOCK_PARTITION_CREATED" "hard_block:auto_generated_inst " "Generating hard_block partition \"hard_block:auto_generated_inst\"" { { "Info" "IBPM_HARD_BLOCK_PARTITION_NODE" "0 0 0 0 0 " "Adding 0 node(s), including 0 DDIO, 0 PLL, 0 transceiver and 0 LCELL" { } { } 0 16011 "Adding %1!d! node(s), including %2!d! DDIO, %3!d! PLL, %4!d! transceiver and %5!d! LCELL" 0 0 "Design Software" 0 -1 1668462010370 ""} } { } 0 16010 "Generating hard_block partition \"%1!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1668462010370 ""} -{ "Info" "ICUT_CUT_TM_SUMMARY" "12 " "Implemented 12 device resources after synthesis - the final resource count might be different" { { "Info" "ICUT_CUT_TM_IPINS" "4 " "Implemented 4 input pins" { } { } 0 21058 "Implemented %1!d! input pins" 0 0 "Design Software" 0 -1 1668462010407 ""} { "Info" "ICUT_CUT_TM_OPINS" "4 " "Implemented 4 output pins" { } { } 0 21059 "Implemented %1!d! output pins" 0 0 "Design Software" 0 -1 1668462010407 ""} { "Info" "ICUT_CUT_TM_LCELLS" "4 " "Implemented 4 logic cells" { } { } 0 21061 "Implemented %1!d! logic cells" 0 0 "Design Software" 0 -1 1668462010407 ""} } { } 0 21057 "Implemented %1!d! device resources after synthesis - the final resource count might be different" 0 0 "Analysis & Synthesis" 0 -1 1668462010407 ""} -{ "Info" "IQEXE_ERROR_COUNT" "Analysis & Synthesis 0 s 1 Quartus Prime " "Quartus Prime Analysis & Synthesis was successful. 0 errors, 1 warning" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "398 " "Peak virtual memory: 398 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Design Software" 0 -1 1668462010410 ""} { "Info" "IQEXE_END_BANNER_TIME" "Mon Nov 14 21:40:10 2022 " "Processing ended: Mon Nov 14 21:40:10 2022" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Design Software" 0 -1 1668462010410 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:05 " "Elapsed time: 00:00:05" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Design Software" 0 -1 1668462010410 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:13 " "Total CPU time (on all processors): 00:00:13" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Design Software" 0 -1 1668462010410 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Analysis & Synthesis" 0 -1 1668462010410 ""} -{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Analysis & Synthesis" 0 -1 1668462010962 ""} -{ "Info" "IQEXE_START_BANNER_PRODUCT" "Fitter Quartus Prime " "Running Quartus Prime Fitter" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 20.1.1 Build 720 11/11/2020 SJ Lite Edition " "Version 20.1.1 Build 720 11/11/2020 SJ Lite Edition" { } { } 0 0 "%1!s!" 0 0 "Design Software" 0 -1 1668462010962 ""} { "Info" "IQEXE_START_BANNER_TIME" "Mon Nov 14 21:40:10 2022 " "Processing started: Mon Nov 14 21:40:10 2022" { } { } 0 0 "Processing started: %1!s!" 0 0 "Design Software" 0 -1 1668462010962 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Fitter" 0 -1 1668462010962 ""} -{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_fit --read_settings_files=off --write_settings_files=off DecoderDemo -c DecoderDemo " "Command: quartus_fit --read_settings_files=off --write_settings_files=off DecoderDemo -c DecoderDemo" { } { } 0 0 "Command: %1!s!" 0 0 "Fitter" 0 -1 1668462010962 ""} -{ "Info" "0" "" "qfit2_default_script.tcl version: #1" { } { } 0 0 "qfit2_default_script.tcl version: #1" 0 0 "Fitter" 0 0 1668462011028 ""} -{ "Info" "0" "" "Project = DecoderDemo" { } { } 0 0 "Project = DecoderDemo" 0 0 "Fitter" 0 0 1668462011029 ""} -{ "Info" "0" "" "Revision = DecoderDemo" { } { } 0 0 "Revision = DecoderDemo" 0 0 "Fitter" 0 0 1668462011029 ""} -{ "Warning" "WQCU_PARALLEL_USER_SHOULD_SPECIFY_NUM_PROC" "" "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." { } { } 0 18236 "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." 0 0 "Fitter" 0 -1 1668462011053 ""} -{ "Info" "IQCU_PARALLEL_AUTODETECT_MULTIPLE_PROCESSORS" "4 4 " "Parallel compilation is enabled and will use 4 of the 4 processors detected" { } { } 0 20030 "Parallel compilation is enabled and will use %1!i! of the %2!i! processors detected" 0 0 "Fitter" 0 -1 1668462011053 ""} -{ "Info" "IMPP_MPP_AUTO_ASSIGNED_DEVICE" "DecoderDemo EP4CE6E22C6 " "Automatically selected device EP4CE6E22C6 for design DecoderDemo" { } { } 0 119004 "Automatically selected device %2!s! for design %1!s!" 0 0 "Fitter" 0 -1 1668462011132 ""} -{ "Info" "ICUT_CUT_DEFAULT_OPERATING_CONDITION" "High junction temperature 85 " "High junction temperature operating condition is not set. Assuming a default value of '85'." { } { } 0 21076 "%1!s! operating condition is not set. Assuming a default value of '%2!s!'." 0 0 "Fitter" 0 -1 1668462011166 ""} -{ "Info" "ICUT_CUT_DEFAULT_OPERATING_CONDITION" "Low junction temperature 0 " "Low junction temperature operating condition is not set. Assuming a default value of '0'." { } { } 0 21076 "%1!s! operating condition is not set. Assuming a default value of '%2!s!'." 0 0 "Fitter" 0 -1 1668462011166 ""} -{ "Info" "IFITCC_FITCC_INFO_AUTO_FIT_COMPILATION_ON" "" "Fitter is performing an Auto Fit compilation, which may decrease Fitter effort to reduce compilation time" { } { } 0 171003 "Fitter is performing an Auto Fit compilation, which may decrease Fitter effort to reduce compilation time" 0 0 "Fitter" 0 -1 1668462011241 ""} -{ "Warning" "WCPT_FEATURE_DISABLED_POST" "LogicLock " "Feature LogicLock is only available with a valid subscription license. You can purchase a software subscription to gain full access to this feature." { } { } 0 292013 "Feature %1!s! is only available with a valid subscription license. You can purchase a software subscription to gain full access to this feature." 0 0 "Fitter" 0 -1 1668462011245 ""} -{ "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED" "" "Device migration not selected. If you intend to use device migration later, you may need to change the pin assignments as they may be incompatible with other devices" { { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "EP4CE10E22C6 " "Device EP4CE10E22C6 is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Design Software" 0 -1 1668462011294 ""} { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "EP4CE15E22C6 " "Device EP4CE15E22C6 is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Design Software" 0 -1 1668462011294 ""} { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "EP4CE22E22C6 " "Device EP4CE22E22C6 is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Design Software" 0 -1 1668462011294 ""} } { } 2 176444 "Device migration not selected. If you intend to use device migration later, you may need to change the pin assignments as they may be incompatible with other devices" 0 0 "Fitter" 0 -1 1668462011294 ""} -{ "Info" "IFIOMGR_RESERVED_PIN_WITH_LOCATION" "5 " "Fitter converted 5 user pins into dedicated programming pins" { { "Info" "IFIOMGR_RESERVED_PIN_WITH_LOCATION_SUB" "~ALTERA_ASDO_DATA1~ 6 " "Pin ~ALTERA_ASDO_DATA1~ is reserved at location 6" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/pin_planner.ppl" "" { PinPlanner "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/pin_planner.ppl" { ~ALTERA_ASDO_DATA1~ } } } { "temporary_test_loc" "" { Generic "/home/tiagorg/repos/DecoderDemo/" { { 0 { 0 ""} 0 27 14177 15141 0 0 "" 0 "" "" } } } } } 0 169125 "Pin %1!s! is reserved at location %2!s!" 0 0 "Design Software" 0 -1 1668462011298 ""} { "Info" "IFIOMGR_RESERVED_PIN_WITH_LOCATION_SUB" "~ALTERA_FLASH_nCE_nCSO~ 8 " "Pin ~ALTERA_FLASH_nCE_nCSO~ is reserved at location 8" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/pin_planner.ppl" "" { PinPlanner "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/pin_planner.ppl" { ~ALTERA_FLASH_nCE_nCSO~ } } } { "temporary_test_loc" "" { Generic "/home/tiagorg/repos/DecoderDemo/" { { 0 { 0 ""} 0 29 14177 15141 0 0 "" 0 "" "" } } } } } 0 169125 "Pin %1!s! is reserved at location %2!s!" 0 0 "Design Software" 0 -1 1668462011298 ""} { "Info" "IFIOMGR_RESERVED_PIN_WITH_LOCATION_SUB" "~ALTERA_DCLK~ 12 " "Pin ~ALTERA_DCLK~ is reserved at location 12" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/pin_planner.ppl" "" { PinPlanner "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/pin_planner.ppl" { ~ALTERA_DCLK~ } } } { "temporary_test_loc" "" { Generic "/home/tiagorg/repos/DecoderDemo/" { { 0 { 0 ""} 0 31 14177 15141 0 0 "" 0 "" "" } } } } } 0 169125 "Pin %1!s! is reserved at location %2!s!" 0 0 "Design Software" 0 -1 1668462011298 ""} { "Info" "IFIOMGR_RESERVED_PIN_WITH_LOCATION_SUB" "~ALTERA_DATA0~ 13 " "Pin ~ALTERA_DATA0~ is reserved at location 13" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/pin_planner.ppl" "" { PinPlanner "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/pin_planner.ppl" { ~ALTERA_DATA0~ } } } { "temporary_test_loc" "" { Generic "/home/tiagorg/repos/DecoderDemo/" { { 0 { 0 ""} 0 33 14177 15141 0 0 "" 0 "" "" } } } } } 0 169125 "Pin %1!s! is reserved at location %2!s!" 0 0 "Design Software" 0 -1 1668462011298 ""} { "Info" "IFIOMGR_RESERVED_PIN_WITH_LOCATION_SUB" "~ALTERA_nCEO~ 101 " "Pin ~ALTERA_nCEO~ is reserved at location 101" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/pin_planner.ppl" "" { PinPlanner "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/pin_planner.ppl" { ~ALTERA_nCEO~ } } } { "temporary_test_loc" "" { Generic "/home/tiagorg/repos/DecoderDemo/" { { 0 { 0 ""} 0 35 14177 15141 0 0 "" 0 "" "" } } } } } 0 169125 "Pin %1!s! is reserved at location %2!s!" 0 0 "Design Software" 0 -1 1668462011298 ""} } { } 0 169124 "Fitter converted %1!d! user pins into dedicated programming pins" 0 0 "Fitter" 0 -1 1668462011298 ""} -{ "Warning" "WCUT_CUT_ATOM_PINS_WITH_INCOMPLETE_IO_ASSIGNMENTS" "" "Some pins have incomplete I/O assignments. Refer to the I/O Assignment Warnings report for details" { } { } 0 15714 "Some pins have incomplete I/O assignments. Refer to the I/O Assignment Warnings report for details" 0 0 "Fitter" 0 -1 1668462011300 ""} -{ "Critical Warning" "WFIOMGR_PINS_MISSING_LOCATION_INFO" "8 8 " "No exact pin location assignment(s) for 8 pins of 8 total pins. For the list of pins please refer to the I/O Assignment Warnings table in the fitter report." { } { } 1 169085 "No exact pin location assignment(s) for %1!d! pins of %2!d! total pins. For the list of pins please refer to the I/O Assignment Warnings table in the fitter report." 0 0 "Fitter" 0 -1 1668462011464 ""} -{ "Critical Warning" "WSTA_SDC_NOT_FOUND" "DecoderDemo.sdc " "Synopsys Design Constraints File file not found: 'DecoderDemo.sdc'. A Synopsys Design Constraints File is required by the Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design." { } { } 1 332012 "Synopsys Design Constraints File file not found: '%1!s!'. A Synopsys Design Constraints File is required by the Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design." 0 0 "Fitter" 0 -1 1668462011528 ""} -{ "Info" "ISTA_NO_CLOCK_FOUND_NO_DERIVING_MSG" "base clocks " "No user constrained base clocks found in the design" { } { } 0 332144 "No user constrained %1!s! found in the design" 0 0 "Fitter" 0 -1 1668462011528 ""} -{ "Info" "ISTA_DERIVE_CLOCKS_FOUND_NO_CLOCKS" "" "The command derive_clocks did not find any clocks to derive. No clocks were created or changed." { } { } 0 332096 "The command derive_clocks did not find any clocks to derive. No clocks were created or changed." 0 0 "Fitter" 0 -1 1668462011528 ""} -{ "Warning" "WSTA_NO_CLOCKS_DEFINED" "" "No clocks defined in design." { } { } 0 332068 "No clocks defined in design." 0 0 "Fitter" 0 -1 1668462011528 ""} -{ "Info" "ISTA_NO_CLOCK_UNCERTAINTY_FOUND_DERIVING" "\"derive_clock_uncertainty\" " "No user constrained clock uncertainty found in the design. Calling \"derive_clock_uncertainty\"" { } { } 0 332143 "No user constrained clock uncertainty found in the design. Calling %1!s!" 0 0 "Fitter" 0 -1 1668462011529 ""} -{ "Info" "ISTA_NO_UNCERTAINTY_FOUND" "" "The derive_clock_uncertainty command did not apply clock uncertainty to any clock-to-clock transfers." { } { } 0 332154 "The derive_clock_uncertainty command did not apply clock uncertainty to any clock-to-clock transfers." 0 0 "Fitter" 0 -1 1668462011529 ""} -{ "Info" "ISTA_TDC_NO_DEFAULT_OPTIMIZATION_GOALS" "" "Timing requirements not specified -- quality metrics such as performance may be sacrificed to reduce compilation time." { } { } 0 332130 "Timing requirements not specified -- quality metrics such as performance may be sacrificed to reduce compilation time." 0 0 "Fitter" 0 -1 1668462011529 ""} -{ "Info" "IFSAC_FSAC_REGISTER_PACKING_START_REGPACKING_INFO" "" "Starting register packing" { } { } 0 176233 "Starting register packing" 0 0 "Fitter" 0 -1 1668462011532 ""} -{ "Extra Info" "IFSAC_FSAC_START_REG_LOCATION_PROCESSING" "" "Performing register packing on registers with non-logic cell location assignments" { } { } 1 176273 "Performing register packing on registers with non-logic cell location assignments" 1 0 "Fitter" 0 -1 1668462011532 ""} -{ "Extra Info" "IFSAC_FSAC_FINISH_REG_LOCATION_PROCESSING" "" "Completed register packing on registers with non-logic cell location assignments" { } { } 1 176274 "Completed register packing on registers with non-logic cell location assignments" 1 0 "Fitter" 0 -1 1668462011532 ""} -{ "Extra Info" "IFSAC_FSAC_REGISTER_PACKING_BEGIN_FAST_REGISTER_INFO" "" "Started Fast Input/Output/OE register processing" { } { } 1 176236 "Started Fast Input/Output/OE register processing" 1 0 "Fitter" 0 -1 1668462011532 ""} -{ "Extra Info" "IFSAC_FSAC_REGISTER_PACKING_FINISH_FAST_REGISTER_INFO" "" "Finished Fast Input/Output/OE register processing" { } { } 1 176237 "Finished Fast Input/Output/OE register processing" 1 0 "Fitter" 0 -1 1668462011532 ""} -{ "Extra Info" "IFSAC_FSAC_START_MAC_SCAN_CHAIN_INFERENCING" "" "Start inferring scan chains for DSP blocks" { } { } 1 176238 "Start inferring scan chains for DSP blocks" 1 0 "Fitter" 0 -1 1668462011532 ""} -{ "Extra Info" "IFSAC_FSAC_FINISH_MAC_SCAN_CHAIN_INFERENCING" "" "Inferring scan chains for DSP blocks is complete" { } { } 1 176239 "Inferring scan chains for DSP blocks is complete" 1 0 "Fitter" 0 -1 1668462011532 ""} -{ "Extra Info" "IFSAC_FSAC_START_IO_MULT_RAM_PACKING" "" "Moving registers into I/O cells, Multiplier Blocks, and RAM blocks to improve timing and density" { } { } 1 176248 "Moving registers into I/O cells, Multiplier Blocks, and RAM blocks to improve timing and density" 1 0 "Fitter" 0 -1 1668462011532 ""} -{ "Extra Info" "IFSAC_FSAC_FINISH_IO_MULT_RAM_PACKING" "" "Finished moving registers into I/O cells, Multiplier Blocks, and RAM blocks" { } { } 1 176249 "Finished moving registers into I/O cells, Multiplier Blocks, and RAM blocks" 1 0 "Fitter" 0 -1 1668462011532 ""} -{ "Info" "IFSAC_FSAC_REGISTER_PACKING_FINISH_REGPACKING_INFO" "" "Finished register packing" { { "Extra Info" "IFSAC_NO_REGISTERS_WERE_PACKED" "" "No registers were packed into other blocks" { } { } 1 176219 "No registers were packed into other blocks" 0 0 "Design Software" 0 -1 1668462011532 ""} } { } 0 176235 "Finished register packing" 0 0 "Fitter" 0 -1 1668462011532 ""} -{ "Info" "IFSAC_FSAC_IO_BANK_PIN_GROUP_STATISTICS" "I/O pins that need to be placed that use the same VCCIO and VREF, before I/O pin placement " "Statistics of I/O pins that need to be placed that use the same VCCIO and VREF, before I/O pin placement" { { "Info" "IFSAC_FSAC_SINGLE_IOC_GROUP_STATISTICS" "8 unused 2.5V 4 4 0 " "Number of I/O pins in group: 8 (unused VREF, 2.5V VCCIO, 4 input, 4 output, 0 bidirectional)" { { "Info" "IFSAC_FSAC_IO_STDS_IN_IOC_GROUP" "2.5 V. " "I/O standards used: 2.5 V." { } { } 0 176212 "I/O standards used: %1!s!" 0 0 "Design Software" 0 -1 1668462011534 ""} } { } 0 176211 "Number of I/O pins in group: %1!d! (%2!s! VREF, %3!s! VCCIO, %4!d! input, %5!d! output, %6!d! bidirectional)" 0 0 "Design Software" 0 -1 1668462011534 ""} } { } 0 176214 "Statistics of %1!s!" 0 0 "Fitter" 0 -1 1668462011534 ""} -{ "Info" "IFSAC_FSAC_IO_STATS_BEFORE_AFTER_PLACEMENT" "before " "I/O bank details before I/O pin placement" { { "Info" "IFSAC_FSAC_IO_BANK_PIN_GROUP_STATISTICS" "I/O banks " "Statistics of I/O banks" { { "Info" "IFSAC_FSAC_SINGLE_IO_BANK_STATISTICS" "1 does not use undetermined 4 7 " "I/O bank number 1 does not use VREF pins and has undetermined VCCIO pins. 4 total pin(s) used -- 7 pins available" { } { } 0 176213 "I/O bank number %1!s! %2!s! VREF pins and has %3!s! VCCIO pins. %4!d! total pin(s) used -- %5!d! pins available" 0 0 "Design Software" 0 -1 1668462011535 ""} { "Info" "IFSAC_FSAC_SINGLE_IO_BANK_STATISTICS" "2 does not use undetermined 0 8 " "I/O bank number 2 does not use VREF pins and has undetermined VCCIO pins. 0 total pin(s) used -- 8 pins available" { } { } 0 176213 "I/O bank number %1!s! %2!s! VREF pins and has %3!s! VCCIO pins. %4!d! total pin(s) used -- %5!d! pins available" 0 0 "Design Software" 0 -1 1668462011535 ""} { "Info" "IFSAC_FSAC_SINGLE_IO_BANK_STATISTICS" "3 does not use undetermined 0 11 " "I/O bank number 3 does not use VREF pins and has undetermined VCCIO pins. 0 total pin(s) used -- 11 pins available" { } { } 0 176213 "I/O bank number %1!s! %2!s! VREF pins and has %3!s! VCCIO pins. %4!d! total pin(s) used -- %5!d! pins available" 0 0 "Design Software" 0 -1 1668462011535 ""} { "Info" "IFSAC_FSAC_SINGLE_IO_BANK_STATISTICS" "4 does not use undetermined 0 14 " "I/O bank number 4 does not use VREF pins and has undetermined VCCIO pins. 0 total pin(s) used -- 14 pins available" { } { } 0 176213 "I/O bank number %1!s! %2!s! VREF pins and has %3!s! VCCIO pins. %4!d! total pin(s) used -- %5!d! pins available" 0 0 "Design Software" 0 -1 1668462011535 ""} { "Info" "IFSAC_FSAC_SINGLE_IO_BANK_STATISTICS" "5 does not use undetermined 0 13 " "I/O bank number 5 does not use VREF pins and has undetermined VCCIO pins. 0 total pin(s) used -- 13 pins available" { } { } 0 176213 "I/O bank number %1!s! %2!s! VREF pins and has %3!s! VCCIO pins. %4!d! total pin(s) used -- %5!d! pins available" 0 0 "Design Software" 0 -1 1668462011535 ""} { "Info" "IFSAC_FSAC_SINGLE_IO_BANK_STATISTICS" "6 does not use undetermined 1 9 " "I/O bank number 6 does not use VREF pins and has undetermined VCCIO pins. 1 total pin(s) used -- 9 pins available" { } { } 0 176213 "I/O bank number %1!s! %2!s! VREF pins and has %3!s! VCCIO pins. %4!d! total pin(s) used -- %5!d! pins available" 0 0 "Design Software" 0 -1 1668462011535 ""} { "Info" "IFSAC_FSAC_SINGLE_IO_BANK_STATISTICS" "7 does not use undetermined 0 13 " "I/O bank number 7 does not use VREF pins and has undetermined VCCIO pins. 0 total pin(s) used -- 13 pins available" { } { } 0 176213 "I/O bank number %1!s! %2!s! VREF pins and has %3!s! VCCIO pins. %4!d! total pin(s) used -- %5!d! pins available" 0 0 "Design Software" 0 -1 1668462011535 ""} { "Info" "IFSAC_FSAC_SINGLE_IO_BANK_STATISTICS" "8 does not use undetermined 0 12 " "I/O bank number 8 does not use VREF pins and has undetermined VCCIO pins. 0 total pin(s) used -- 12 pins available" { } { } 0 176213 "I/O bank number %1!s! %2!s! VREF pins and has %3!s! VCCIO pins. %4!d! total pin(s) used -- %5!d! pins available" 0 0 "Design Software" 0 -1 1668462011535 ""} } { } 0 176214 "Statistics of %1!s!" 0 0 "Design Software" 0 -1 1668462011535 ""} } { } 0 176215 "I/O bank details %1!s! I/O pin placement" 0 0 "Fitter" 0 -1 1668462011535 ""} -{ "Info" "IFITCC_FITTER_PREPARATION_END" "00:00:00 " "Fitter preparation operations ending: elapsed time is 00:00:00" { } { } 0 171121 "Fitter preparation operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1668462011538 ""} -{ "Info" "IVPR20K_VPR_FAMILY_APL_ERROR" "" "Fitter has disabled Advanced Physical Optimization because it is not supported for the current family." { } { } 0 14896 "Fitter has disabled Advanced Physical Optimization because it is not supported for the current family." 0 0 "Fitter" 0 -1 1668462011542 ""} -{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_PREP_START" "" "Fitter placement preparation operations beginning" { } { } 0 170189 "Fitter placement preparation operations beginning" 0 0 "Fitter" 0 -1 1668462011795 ""} -{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_PREP_END" "00:00:00 " "Fitter placement preparation operations ending: elapsed time is 00:00:00" { } { } 0 170190 "Fitter placement preparation operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1668462011811 ""} -{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_START" "" "Fitter placement operations beginning" { } { } 0 170191 "Fitter placement operations beginning" 0 0 "Fitter" 0 -1 1668462011819 ""} -{ "Info" "IFITAPI_FITAPI_INFO_VPR_PLACEMENT_FINISH" "" "Fitter placement was successful" { } { } 0 170137 "Fitter placement was successful" 0 0 "Fitter" 0 -1 1668462011863 ""} -{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_END" "00:00:00 " "Fitter placement operations ending: elapsed time is 00:00:00" { } { } 0 170192 "Fitter placement operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1668462011863 ""} -{ "Info" "IFITAPI_FITAPI_VPR_FITTER_ROUTING_START" "" "Fitter routing operations beginning" { } { } 0 170193 "Fitter routing operations beginning" 0 0 "Fitter" 0 -1 1668462011977 ""} -{ "Info" "IFITAPI_FITAPI_VPR_PERCENT_ROUTING_RESOURCE_USAGE" "0 " "Router estimated average interconnect usage is 0% of the available device resources" { { "Info" "IFITAPI_FITAPI_VPR_PEAK_ROUTING_REGION" "0 X0_Y0 X10_Y11 " "Router estimated peak interconnect usage is 0% of the available device resources in the region that extends from location X0_Y0 to location X10_Y11" { } { { "loc" "" { Generic "/home/tiagorg/repos/DecoderDemo/" { { 1 { 0 "Router estimated peak interconnect usage is 0% of the available device resources in the region that extends from location X0_Y0 to location X10_Y11"} { { 12 { 0 ""} 0 0 11 12 } } } } } } } 0 170196 "Router estimated peak interconnect usage is %1!d!%% of the available device resources in the region that extends from location %2!s! to location %3!s!" 0 0 "Design Software" 0 -1 1668462012210 ""} } { } 0 170195 "Router estimated average interconnect usage is %1!d!%% of the available device resources" 0 0 "Fitter" 0 -1 1668462012210 ""} -{ "Info" "IFITAPI_FITAPI_VPR_AUTO_FIT_ENABLED_AND_USED" "" "The Fitter performed an Auto Fit compilation. Optimizations were skipped to reduce compilation time." { { "Info" "IFITAPI_FITAPI_VPR_AUTO_FIT_ENABLED_AND_USED_FOR_ROUTABILITY" "" "Optimizations that may affect the design's routability were skipped" { } { } 0 170201 "Optimizations that may affect the design's routability were skipped" 0 0 "Design Software" 0 -1 1668462012235 ""} { "Info" "IFITAPI_FITAPI_VPR_AUTO_FIT_ENABLED_AND_USED_FOR_TIMING" "" "Optimizations that may affect the design's timing were skipped" { } { } 0 170200 "Optimizations that may affect the design's timing were skipped" 0 0 "Design Software" 0 -1 1668462012235 ""} } { } 0 170199 "The Fitter performed an Auto Fit compilation. Optimizations were skipped to reduce compilation time." 0 0 "Fitter" 0 -1 1668462012235 ""} -{ "Info" "IFITAPI_FITAPI_VPR_FITTER_ROUTING_END" "00:00:00 " "Fitter routing operations ending: elapsed time is 00:00:00" { } { } 0 170194 "Fitter routing operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1668462012236 ""} -{ "Info" "IVPR20K_VPR_TIMING_ANALYSIS_TIME" "the Fitter 0.01 " "Total time spent on timing analysis during the Fitter is 0.01 seconds." { } { } 0 11888 "Total time spent on timing analysis during %1!s! is %2!s! seconds." 0 0 "Fitter" 0 -1 1668462012307 ""} -{ "Info" "ITAPI_TAPI_STARTED" "" "Started post-fitting delay annotation" { } { } 0 334003 "Started post-fitting delay annotation" 0 0 "Fitter" 0 -1 1668462012310 ""} -{ "Info" "ITAPI_TAPI_COMPLETED" "" "Delay annotation completed successfully" { } { } 0 334004 "Delay annotation completed successfully" 0 0 "Fitter" 0 -1 1668462012397 ""} -{ "Info" "ITAPI_TAPI_STARTED" "" "Started post-fitting delay annotation" { } { } 0 334003 "Started post-fitting delay annotation" 0 0 "Fitter" 0 -1 1668462012398 ""} -{ "Info" "ITAPI_TAPI_COMPLETED" "" "Delay annotation completed successfully" { } { } 0 334004 "Delay annotation completed successfully" 0 0 "Fitter" 0 -1 1668462012591 ""} -{ "Info" "IFITCC_FITTER_POST_OPERATION_END" "00:00:00 " "Fitter post-fit operations ending: elapsed time is 00:00:00" { } { } 0 11218 "Fitter post-fit operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1668462012807 ""} -{ "Info" "IRDB_WROTE_SUPPRESSED_MSGS" "/home/tiagorg/repos/DecoderDemo/output_files/DecoderDemo.fit.smsg " "Generated suppressed messages file /home/tiagorg/repos/DecoderDemo/output_files/DecoderDemo.fit.smsg" { } { } 0 144001 "Generated suppressed messages file %1!s!" 0 0 "Fitter" 0 -1 1668462012957 ""} -{ "Info" "IQEXE_ERROR_COUNT" "Fitter 0 s 6 s Quartus Prime " "Quartus Prime Fitter was successful. 0 errors, 6 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "941 " "Peak virtual memory: 941 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Design Software" 0 -1 1668462013056 ""} { "Info" "IQEXE_END_BANNER_TIME" "Mon Nov 14 21:40:13 2022 " "Processing ended: Mon Nov 14 21:40:13 2022" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Design Software" 0 -1 1668462013056 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:03 " "Elapsed time: 00:00:03" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Design Software" 0 -1 1668462013056 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:02 " "Total CPU time (on all processors): 00:00:02" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Design Software" 0 -1 1668462013056 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Fitter" 0 -1 1668462013056 ""} -{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Fitter" 0 -1 1668462013569 ""} -{ "Info" "IQEXE_START_BANNER_PRODUCT" "Assembler Quartus Prime " "Running Quartus Prime Assembler" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 20.1.1 Build 720 11/11/2020 SJ Lite Edition " "Version 20.1.1 Build 720 11/11/2020 SJ Lite Edition" { } { } 0 0 "%1!s!" 0 0 "Design Software" 0 -1 1668462013569 ""} { "Info" "IQEXE_START_BANNER_TIME" "Mon Nov 14 21:40:13 2022 " "Processing started: Mon Nov 14 21:40:13 2022" { } { } 0 0 "Processing started: %1!s!" 0 0 "Design Software" 0 -1 1668462013569 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Assembler" 0 -1 1668462013569 ""} -{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_asm --read_settings_files=off --write_settings_files=off DecoderDemo -c DecoderDemo " "Command: quartus_asm --read_settings_files=off --write_settings_files=off DecoderDemo -c DecoderDemo" { } { } 0 0 "Command: %1!s!" 0 0 "Assembler" 0 -1 1668462013569 ""} -{ "Warning" "WQCU_PARALLEL_USER_SHOULD_SPECIFY_NUM_PROC" "" "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." { } { } 0 18236 "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." 0 0 "Assembler" 0 -1 1668462013663 ""} -{ "Info" "IASM_ASM_GENERATING_POWER_DATA" "" "Writing out detailed assembly data for power analysis" { } { } 0 115031 "Writing out detailed assembly data for power analysis" 0 0 "Assembler" 0 -1 1668462013824 ""} -{ "Info" "IASM_ASM_GENERATING_PROGRAMMING_FILES" "" "Assembler is generating device programming files" { } { } 0 115030 "Assembler is generating device programming files" 0 0 "Assembler" 0 -1 1668462013832 ""} -{ "Info" "IQEXE_ERROR_COUNT" "Assembler 0 s 1 Quartus Prime " "Quartus Prime Assembler was successful. 0 errors, 1 warning" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "352 " "Peak virtual memory: 352 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Design Software" 0 -1 1668462013883 ""} { "Info" "IQEXE_END_BANNER_TIME" "Mon Nov 14 21:40:13 2022 " "Processing ended: Mon Nov 14 21:40:13 2022" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Design Software" 0 -1 1668462013883 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:00 " "Elapsed time: 00:00:00" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Design Software" 0 -1 1668462013883 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:00 " "Total CPU time (on all processors): 00:00:00" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Design Software" 0 -1 1668462013883 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Assembler" 0 -1 1668462013883 ""} -{ "Info" "IFLOW_DISABLED_MODULE" "Power Analyzer FLOW_ENABLE_POWER_ANALYZER " "Skipped module Power Analyzer due to the assignment FLOW_ENABLE_POWER_ANALYZER" { } { } 0 293026 "Skipped module %1!s! due to the assignment %2!s!" 0 0 "Assembler" 0 -1 1668462014024 ""} -{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Assembler" 0 -1 1668462014420 ""} -{ "Info" "IQEXE_START_BANNER_PRODUCT" "Timing Analyzer Quartus Prime " "Running Quartus Prime Timing Analyzer" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 20.1.1 Build 720 11/11/2020 SJ Lite Edition " "Version 20.1.1 Build 720 11/11/2020 SJ Lite Edition" { } { } 0 0 "%1!s!" 0 0 "Design Software" 0 -1 1668462014420 ""} { "Info" "IQEXE_START_BANNER_TIME" "Mon Nov 14 21:40:14 2022 " "Processing started: Mon Nov 14 21:40:14 2022" { } { } 0 0 "Processing started: %1!s!" 0 0 "Design Software" 0 -1 1668462014420 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Timing Analyzer" 0 -1 1668462014420 ""} -{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_sta DecoderDemo -c DecoderDemo " "Command: quartus_sta DecoderDemo -c DecoderDemo" { } { } 0 0 "Command: %1!s!" 0 0 "Timing Analyzer" 0 -1 1668462014420 ""} -{ "Info" "0" "" "qsta_default_script.tcl version: #1" { } { } 0 0 "qsta_default_script.tcl version: #1" 0 0 "Timing Analyzer" 0 0 1668462014441 ""} -{ "Warning" "WQCU_PARALLEL_USER_SHOULD_SPECIFY_NUM_PROC" "" "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." { } { } 0 18236 "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." 0 0 "Timing Analyzer" 0 -1 1668462014478 ""} -{ "Info" "IQCU_PARALLEL_AUTODETECT_MULTIPLE_PROCESSORS" "4 4 " "Parallel compilation is enabled and will use 4 of the 4 processors detected" { } { } 0 20030 "Parallel compilation is enabled and will use %1!i! of the %2!i! processors detected" 0 0 "Timing Analyzer" 0 -1 1668462014478 ""} -{ "Info" "ICUT_CUT_DEFAULT_OPERATING_CONDITION" "High junction temperature 85 " "High junction temperature operating condition is not set. Assuming a default value of '85'." { } { } 0 21076 "%1!s! operating condition is not set. Assuming a default value of '%2!s!'." 0 0 "Timing Analyzer" 0 -1 1668462014516 ""} -{ "Info" "ICUT_CUT_DEFAULT_OPERATING_CONDITION" "Low junction temperature 0 " "Low junction temperature operating condition is not set. Assuming a default value of '0'." { } { } 0 21076 "%1!s! operating condition is not set. Assuming a default value of '%2!s!'." 0 0 "Timing Analyzer" 0 -1 1668462014516 ""} -{ "Critical Warning" "WSTA_SDC_NOT_FOUND" "DecoderDemo.sdc " "Synopsys Design Constraints File file not found: 'DecoderDemo.sdc'. A Synopsys Design Constraints File is required by the Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design." { } { } 1 332012 "Synopsys Design Constraints File file not found: '%1!s!'. A Synopsys Design Constraints File is required by the Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design." 0 0 "Timing Analyzer" 0 -1 1668462014612 ""} -{ "Info" "ISTA_NO_CLOCK_FOUND_DERIVING" "base clocks \"derive_clocks -period 1.0\" " "No user constrained base clocks found in the design. Calling \"derive_clocks -period 1.0\"" { } { } 0 332142 "No user constrained %1!s! found in the design. Calling %2!s!" 0 0 "Timing Analyzer" 0 -1 1668462014612 ""} -{ "Info" "ISTA_DERIVE_CLOCKS_FOUND_NO_CLOCKS" "" "The command derive_clocks did not find any clocks to derive. No clocks were created or changed." { } { } 0 332096 "The command derive_clocks did not find any clocks to derive. No clocks were created or changed." 0 0 "Timing Analyzer" 0 -1 1668462014612 ""} -{ "Warning" "WSTA_NO_CLOCKS_DEFINED" "" "No clocks defined in design." { } { } 0 332068 "No clocks defined in design." 0 0 "Timing Analyzer" 0 -1 1668462014613 ""} -{ "Info" "ISTA_NO_CLOCK_UNCERTAINTY_FOUND_DERIVING" "\"derive_clock_uncertainty\" " "No user constrained clock uncertainty found in the design. Calling \"derive_clock_uncertainty\"" { } { } 0 332143 "No user constrained clock uncertainty found in the design. Calling %1!s!" 0 0 "Timing Analyzer" 0 -1 1668462014613 ""} -{ "Info" "ISTA_NO_UNCERTAINTY_FOUND" "" "The derive_clock_uncertainty command did not apply clock uncertainty to any clock-to-clock transfers." { } { } 0 332154 "The derive_clock_uncertainty command did not apply clock uncertainty to any clock-to-clock transfers." 0 0 "Timing Analyzer" 0 -1 1668462014613 ""} -{ "Info" "0" "" "Found TIMING_ANALYZER_REPORT_SCRIPT_INCLUDE_DEFAULT_ANALYSIS = ON" { } { } 0 0 "Found TIMING_ANALYZER_REPORT_SCRIPT_INCLUDE_DEFAULT_ANALYSIS = ON" 0 0 "Timing Analyzer" 0 0 1668462014613 ""} -{ "Info" "ISTA_NO_CLOCKS_TO_REPORT" "" "No clocks to report" { } { } 0 332159 "No clocks to report" 0 0 "Timing Analyzer" 0 -1 1668462014615 ""} -{ "Info" "0" "" "Analyzing Slow 1200mV 85C Model" { } { } 0 0 "Analyzing Slow 1200mV 85C Model" 0 0 "Timing Analyzer" 0 0 1668462014615 ""} -{ "Info" "ISTA_NO_PATHS_TO_REPORT" "fmax " "No fmax paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Timing Analyzer" 0 -1 1668462014616 ""} -{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Setup " "No Setup paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Timing Analyzer" 0 -1 1668462014618 ""} -{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Hold " "No Hold paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Timing Analyzer" 0 -1 1668462014619 ""} -{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Recovery " "No Recovery paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Timing Analyzer" 0 -1 1668462014619 ""} -{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Removal " "No Removal paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Timing Analyzer" 0 -1 1668462014619 ""} -{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Minimum Pulse Width " "No Minimum Pulse Width paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Timing Analyzer" 0 -1 1668462014620 ""} -{ "Info" "0" "" "Analyzing Slow 1200mV 0C Model" { } { } 0 0 "Analyzing Slow 1200mV 0C Model" 0 0 "Timing Analyzer" 0 0 1668462014621 ""} -{ "Info" "ITAPI_TAPI_STARTED" "" "Started post-fitting delay annotation" { } { } 0 334003 "Started post-fitting delay annotation" 0 0 "Timing Analyzer" 0 -1 1668462014633 ""} -{ "Info" "ITAPI_TAPI_COMPLETED" "" "Delay annotation completed successfully" { } { } 0 334004 "Delay annotation completed successfully" 0 0 "Timing Analyzer" 0 -1 1668462014834 ""} -{ "Info" "ISTA_NO_CLOCK_FOUND_DERIVING" "base clocks \"derive_clocks -period 1.0\" " "No user constrained base clocks found in the design. Calling \"derive_clocks -period 1.0\"" { } { } 0 332142 "No user constrained %1!s! found in the design. Calling %2!s!" 0 0 "Timing Analyzer" 0 -1 1668462014845 ""} -{ "Info" "ISTA_DERIVE_CLOCKS_FOUND_NO_CLOCKS" "" "The command derive_clocks did not find any clocks to derive. No clocks were created or changed." { } { } 0 332096 "The command derive_clocks did not find any clocks to derive. No clocks were created or changed." 0 0 "Timing Analyzer" 0 -1 1668462014845 ""} -{ "Warning" "WSTA_NO_CLOCKS_DEFINED" "" "No clocks defined in design." { } { } 0 332068 "No clocks defined in design." 0 0 "Timing Analyzer" 0 -1 1668462014845 ""} -{ "Info" "ISTA_NO_UNCERTAINTY_FOUND" "" "The derive_clock_uncertainty command did not apply clock uncertainty to any clock-to-clock transfers." { } { } 0 332154 "The derive_clock_uncertainty command did not apply clock uncertainty to any clock-to-clock transfers." 0 0 "Timing Analyzer" 0 -1 1668462014845 ""} -{ "Info" "ISTA_NO_PATHS_TO_REPORT" "fmax " "No fmax paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Timing Analyzer" 0 -1 1668462014845 ""} -{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Setup " "No Setup paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Timing Analyzer" 0 -1 1668462014846 ""} -{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Hold " "No Hold paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Timing Analyzer" 0 -1 1668462014846 ""} -{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Recovery " "No Recovery paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Timing Analyzer" 0 -1 1668462014847 ""} -{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Removal " "No Removal paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Timing Analyzer" 0 -1 1668462014847 ""} -{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Minimum Pulse Width " "No Minimum Pulse Width paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Timing Analyzer" 0 -1 1668462014847 ""} -{ "Info" "0" "" "Analyzing Fast 1200mV 0C Model" { } { } 0 0 "Analyzing Fast 1200mV 0C Model" 0 0 "Timing Analyzer" 0 0 1668462014848 ""} -{ "Info" "ISTA_NO_CLOCK_FOUND_DERIVING" "base clocks \"derive_clocks -period 1.0\" " "No user constrained base clocks found in the design. Calling \"derive_clocks -period 1.0\"" { } { } 0 332142 "No user constrained %1!s! found in the design. Calling %2!s!" 0 0 "Timing Analyzer" 0 -1 1668462014882 ""} -{ "Info" "ISTA_DERIVE_CLOCKS_FOUND_NO_CLOCKS" "" "The command derive_clocks did not find any clocks to derive. No clocks were created or changed." { } { } 0 332096 "The command derive_clocks did not find any clocks to derive. No clocks were created or changed." 0 0 "Timing Analyzer" 0 -1 1668462014882 ""} -{ "Warning" "WSTA_NO_CLOCKS_DEFINED" "" "No clocks defined in design." { } { } 0 332068 "No clocks defined in design." 0 0 "Timing Analyzer" 0 -1 1668462014882 ""} -{ "Info" "ISTA_NO_UNCERTAINTY_FOUND" "" "The derive_clock_uncertainty command did not apply clock uncertainty to any clock-to-clock transfers." { } { } 0 332154 "The derive_clock_uncertainty command did not apply clock uncertainty to any clock-to-clock transfers." 0 0 "Timing Analyzer" 0 -1 1668462014882 ""} -{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Setup " "No Setup paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Timing Analyzer" 0 -1 1668462014883 ""} -{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Hold " "No Hold paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Timing Analyzer" 0 -1 1668462014883 ""} -{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Recovery " "No Recovery paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Timing Analyzer" 0 -1 1668462014884 ""} -{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Removal " "No Removal paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Timing Analyzer" 0 -1 1668462014884 ""} -{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Minimum Pulse Width " "No Minimum Pulse Width paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Timing Analyzer" 0 -1 1668462014884 ""} -{ "Info" "ISTA_UCP_NOT_CONSTRAINED" "setup " "Design is not fully constrained for setup requirements" { } { } 0 332102 "Design is not fully constrained for %1!s! requirements" 0 0 "Timing Analyzer" 0 -1 1668462015083 ""} -{ "Info" "ISTA_UCP_NOT_CONSTRAINED" "hold " "Design is not fully constrained for hold requirements" { } { } 0 332102 "Design is not fully constrained for %1!s! requirements" 0 0 "Timing Analyzer" 0 -1 1668462015083 ""} -{ "Info" "IQEXE_ERROR_COUNT" "Timing Analyzer 0 s 5 s Quartus Prime " "Quartus Prime Timing Analyzer was successful. 0 errors, 5 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "463 " "Peak virtual memory: 463 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Design Software" 0 -1 1668462015091 ""} { "Info" "IQEXE_END_BANNER_TIME" "Mon Nov 14 21:40:15 2022 " "Processing ended: Mon Nov 14 21:40:15 2022" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Design Software" 0 -1 1668462015091 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:01 " "Elapsed time: 00:00:01" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Design Software" 0 -1 1668462015091 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:01 " "Total CPU time (on all processors): 00:00:01" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Design Software" 0 -1 1668462015091 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Timing Analyzer" 0 -1 1668462015091 ""} -{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Timing Analyzer" 0 -1 1668462015560 ""} -{ "Info" "IQEXE_START_BANNER_PRODUCT" "EDA Netlist Writer Quartus Prime " "Running Quartus Prime EDA Netlist Writer" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 20.1.1 Build 720 11/11/2020 SJ Lite Edition " "Version 20.1.1 Build 720 11/11/2020 SJ Lite Edition" { } { } 0 0 "%1!s!" 0 0 "Design Software" 0 -1 1668462015560 ""} { "Info" "IQEXE_START_BANNER_TIME" "Mon Nov 14 21:40:15 2022 " "Processing started: Mon Nov 14 21:40:15 2022" { } { } 0 0 "Processing started: %1!s!" 0 0 "Design Software" 0 -1 1668462015560 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "EDA Netlist Writer" 0 -1 1668462015560 ""} -{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_eda --read_settings_files=off --write_settings_files=off DecoderDemo -c DecoderDemo " "Command: quartus_eda --read_settings_files=off --write_settings_files=off DecoderDemo -c DecoderDemo" { } { } 0 0 "Command: %1!s!" 0 0 "EDA Netlist Writer" 0 -1 1668462015560 ""} -{ "Warning" "WQCU_PARALLEL_USER_SHOULD_SPECIFY_NUM_PROC" "" "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." { } { } 0 18236 "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." 0 0 "EDA Netlist Writer" 0 -1 1668462015678 ""} -{ "Info" "IWSC_DONE_HDL_GENERATION" "DecoderDemo.vho /home/tiagorg/repos/DecoderDemo/simulation/modelsim/ simulation " "Generated file DecoderDemo.vho in folder \"/home/tiagorg/repos/DecoderDemo/simulation/modelsim/\" for EDA simulation tool" { } { } 0 204019 "Generated file %1!s! in folder \"%2!s!\" for EDA %3!s! tool" 0 0 "EDA Netlist Writer" 0 -1 1668462015705 ""} -{ "Info" "IQEXE_ERROR_COUNT" "EDA Netlist Writer 0 s 1 Quartus Prime " "Quartus Prime EDA Netlist Writer was successful. 0 errors, 1 warning" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "600 " "Peak virtual memory: 600 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Design Software" 0 -1 1668462015714 ""} { "Info" "IQEXE_END_BANNER_TIME" "Mon Nov 14 21:40:15 2022 " "Processing ended: Mon Nov 14 21:40:15 2022" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Design Software" 0 -1 1668462015714 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:00 " "Elapsed time: 00:00:00" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Design Software" 0 -1 1668462015714 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:00 " "Total CPU time (on all processors): 00:00:00" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Design Software" 0 -1 1668462015714 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "EDA Netlist Writer" 0 -1 1668462015714 ""} -{ "Info" "IFLOW_ERROR_COUNT" "Full Compilation 0 s 14 s " "Quartus Prime Full Compilation was successful. 0 errors, 14 warnings" { } { } 0 293000 "Quartus Prime %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "EDA Netlist Writer" 0 -1 1668462015772 ""} diff --git a/1ano/isd/quartus-projects/DecoderDemo/incremental_db/README b/1ano/isd/quartus-projects/DecoderDemo/incremental_db/README deleted file mode 100644 index 9f62dcd..0000000 --- a/1ano/isd/quartus-projects/DecoderDemo/incremental_db/README +++ /dev/null @@ -1,11 +0,0 @@ -This folder contains data for incremental compilation. - -The compiled_partitions sub-folder contains previous compilation results for each partition. -As long as this folder is preserved, incremental compilation results from earlier compiles -can be re-used. To perform a clean compilation from source files for all partitions, both -the db and incremental_db folder should be removed. - -The imported_partitions sub-folder contains the last imported QXP for each imported partition. -As long as this folder is preserved, imported partitions will be automatically re-imported -when the db or incremental_db/compiled_partitions folders are removed. - diff --git a/1ano/isd/quartus-projects/DecoderDemo/incremental_db/compiled_partitions/DecoderDemo.db_info b/1ano/isd/quartus-projects/DecoderDemo/incremental_db/compiled_partitions/DecoderDemo.db_info deleted file mode 100644 index b453ba0..0000000 --- a/1ano/isd/quartus-projects/DecoderDemo/incremental_db/compiled_partitions/DecoderDemo.db_info +++ /dev/null @@ -1,3 +0,0 @@ -Quartus_Version = Version 20.1.1 Build 720 11/11/2020 SJ Lite Edition -Version_Index = 520278016 -Creation_Time = Fri Nov 4 12:15:36 2022 diff --git a/1ano/isd/quartus-projects/DecoderDemo/incremental_db/compiled_partitions/DecoderDemo.root_partition.cmp.ammdb b/1ano/isd/quartus-projects/DecoderDemo/incremental_db/compiled_partitions/DecoderDemo.root_partition.cmp.ammdb deleted file mode 100644 index e41bd8c0cd1f45d29bd37c64382a7d4a64d99518..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 263 zcmWe(U|?9w%?KnJ7_x!T1W1Ra78Pga=P4K&=o#u6Dmay9=Ah?BNr?#w0t`uZ3TX)%NeKx|#t9;V zH52l77%4E%;J#)ku7@srED>_dHWcIPuR5BSj}hjl{@sG zqwIYAj=u`eL1zE|4>UC?A%!C$A;Dro>W4-_4`arS3;=FJcDX6DT(Nl8f&4@mD?DXG|9 zvD`&i0@ zrRGm8s1I~%9Pi~{1r?5Aqpp~$Es`0-^U{P|B9Mwn90NY1873zuv#sb^@0b-OzQR?~ zDs5y?{X)O6z_phC~cgJ@r{`Jg-k@iNb9X2dC#;4<|WilCA1yHaBJ=N&t#$r zJKR*x$(YC)OJVDq2TKz+SK1ehcSQLnf=zZvK}||<4f7qSp~h13WR)eMa;XJh(%B0Y zrIJfi=$%OT8yo)fQE&ANn+Af>ohNCmt~k1S4I5fuAf*3*zE7XZo_0#G3isWc^R27- zkX?Iq0}_W_?7dmk?`{9z#mVzSm8w(BuoXoDgPj-Q5-a`8StD=HtX6@-;e}Ud9PUN5 zT7GqB^~I{feN9)DTaHy5hax&~FJc1Z37w>$-k){&*$+SG;{P^5@mLj1NM}5E!@jJZ zT{+#3q`jXYSq^UnW!W3jIsSd+5QCl&L|lR+Iyl!DX2i{wH8oMp4 zp5~%B`KYqT>hV5t^;g-Mie^P|94p6!`|Fn$rzJ&=gD8OL)W-J^q{4kjLV8^wDJ`k5(PONnlDelAfj_~K z=L&F-Fs&Q={s3;KJzS4nA$zBBU;P!*nV}A7;_}C&l}OEWddEflQw%L(*e@czjp324 zq*szlbn#cgXrtUdZjO7BVA#}8NNc-_m_Ov3ft(s`n2p!CJ9dg>MRTZ4rM?bnCn%22 z!^*tgH@wbt;A5=O;%{SMbP|S8MdR7n0PM#3%peD`YC40fcX_px!(W1<@WRTMMP3s@ zc>3w9?3AkM(m0XyDZg{rS|$jtG($*eSD1gr45^q%@VejHVKsq(%~Xa$H_z(pHY~ZQ zh~nnUBw28b`-bq1#?s;R67MJq7XrnWZ;N(Efo3-egIzWlSKqAp*sGg?0MF9`P)iL4C z6{3-8M?P&@|yxj&4Pg|+Xp9@*QS0J%#0IID$e&E3E za9qsk&0eye!T#^1!cUZVk{>sR z-hyNFn+08lo)?QK7Hjw#-1H1*No*}wQb<}kUo}1RDIc1Z%y7wm@%QSInE>PO`c> z-|0&;&++>c3vPs`gvH-;d$y_>1bpluT|lnWchI^l%i2VHbPE^_SYiGKU+_n;2cw%sAY8{rH)s4>l^U z2MNc2yMnu|S{u?q$SVtx#aaklJ)Bj56g%OXSU8kL34gk$?vOKGsIhDpDv&)QV_|gt zfuhcbmaxK{IxnS^qoU4J%!e%_Fty_ePVGJ>>s}_&m0@dCl*0`dZ#<8Ua0YKH{RN#e zr%``=!)^uGPib(kGmZ`qy(og8w4N|eBm@Mm>**))rkI3IDYWl%rAb3V_V%JP@bCa? z_2zz!s;!sjWlB-g_5hfB>{NnrgGx_ zK->|DyfN$79$UT-gtBwc-4NNpP7cj+Op&n%70Jz(1gUhny$L<}<&Mvw_MqC5hL=58 zSmrL}?0D>nW)rMtgc`IHRu=@k3kgbYjs#vUY>OfOL8^Yhk=K_SFkDU;b2RnoVu# zm;cl)QE%tfGl~-Z!Vjvjc6cAPb1ZFT4@EI?5^WO4(bNjaag+g-J~-ok5tPnHdnl9t wT-saYc0Tl)Xg)F^LibaB9?lX)0xI`^xdY-2A$^^G>+n3QyQaDOjz}c`1Am!m!~g&Q diff --git a/1ano/isd/quartus-projects/DecoderDemo/incremental_db/compiled_partitions/DecoderDemo.root_partition.cmp.dfp b/1ano/isd/quartus-projects/DecoderDemo/incremental_db/compiled_partitions/DecoderDemo.root_partition.cmp.dfp deleted file mode 100644 index b1c67d625638bb473b681fa4acb00be38889ece3..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 33 mcmZ3(&&U7*6?3%pJax1>&--+q_tkmeb=JGlkg@C*Cl3Idx(b*8 diff --git a/1ano/isd/quartus-projects/DecoderDemo/incremental_db/compiled_partitions/DecoderDemo.root_partition.cmp.hdb b/1ano/isd/quartus-projects/DecoderDemo/incremental_db/compiled_partitions/DecoderDemo.root_partition.cmp.hdb deleted file mode 100644 index eebbbcff3a25b5af4205f46212fb56f64155752e..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 10548 zcmV-4Da+Or000233jqKC0001M0BZm=00011WpZ4R5H!?6F zF)=SOF)uPOGB6-hN+3*WbY&n#WNCD1Z*C(s0RR91006Bc00000002u20000000000 z001;800000004La>|F_%Tt&6+V0_O76`uM)Kxr^2Dj8-blMNN7Gt-$g^z@9g1mb&- zW+s_HAejl12_%4#CG7hmDj+JdiMXL6AfWJESXEFFR3zx<_nymhL2+Zr`~N$)y3f6R ztEz8RCkCAQrl#svo&TIur%qMfTX*S~WipxFr)4rbBlU^98Sq)uG3xlo>(#MJC2!{C zv5u#ydMdRwacQKgDrr<1N;CtsB- z+&*Y8qdK<9QFJN=H1kTt&XJ{K%SOgKM^=r!3WseD5s=Iq5T_wth1iMsFH`B19j5$X zY8*_>1DQpz0pql{;-3n=$PA>6hk)J`6+hB2@R)g9O4@kX4h4*dA#!rhWOl_l;7fws zpi+NiA|E%{}pDOz%12~!nh*#%+YOOX=IV;NZ;)k1Nr z>cx_vbZ$sYP!O(A8B=;0Dvt~eqv)vqw9M7Pe^r)b&}!Xej%sX=SZjLL)9jO6Nw+iN zjfmMW@>s42;C73T4`_9ckC|)4lPqX=^W}$ zRQ#Wb`9xekk4^m922(vp4eKe7n*n3zR2GS6-`J~51m?rUn3r5OGs{%29 zg&sB@|7bm`6E}M&Smjg`!jv;8W0jH>q-NW82=Z6sKN`C^i&R}8##7y_sLB<+I*zC0 zEn~c19fK@>;m0Bdk}&Lu*ohc4=^vav5Xx_YMQK#(#8m0J6Y?X=hRVZZJn2|AvS!8M zqvbX0R}GJ?L`~*l3`qJR`+_jlEUtO%H5GUwaXk*$OR9`1kCl&B%2~kfV0d&g2?Q*e zMG-1Un4;ov%QqJl3DYDpmgQUOp*o~Ks^)rlEorTbsxFC3tP(l0SB$Qiu*u|#Ksu{f zML4Toj@srb2As5)%-S%vc4GBft)3C$)-=Q|X^5NaB&M_HTQ;m1twUwf9@3nGwobmZ z8@xQ3@y6H)do75E)=rF-4<8vF86TP$SypCu%VEL%35YUq@!Rm8!Cc?KV1K!zFP|I8 zcb2Wup3PP{ zvK!a~%^Om;2S&6TP_`!kRNAv2W_k9iDP~dc64ff7Hg%EJNQ}-FN`|gpmFwtK`IbtR z{6?or-$wI|^HXx-{t~BC$8kE_vBK%nI9{huoX&RKC_Xkhs$SPVUAe+3JyoiskC&&V zS9m2?9XC3S>y;6Ki{k6UtIx0coYH3s{iYj3_@azNzDjx3)@_0Kn3j_CQ2F6zN zXcSP@kGtYH4t=p4^UhLybB&%}(?7#wYe$z2jju1SSvoWdg32Bn^R%I|$Q&Hdurm-< zr^Hj+`OA&KGAcTCtkNv%WZVbGjEY{Df}|3@4L4=W8F+Q6^xxLf?V%g5J?9~nc2sT5 zqFhCmDI2MJVpP7KRLM}ZiZ@eLrczbM6|2^+9GX}$7I@oz z=q*kK3{8mJS}(oW^;rN9q$f^CjQ1jnD&cPQ6Yu&l=%(C-;Dx_{59ty&^CwoUS}}S! ztM3$DPna_GfuTwVpe!3krP|g?XFzUJ^p&5)lVysp8dz+%47b5n+{0tYB6cGFGoKvN zWlQjrwDw~N`Ll+W0Q+f7LhhrX_EbwzsKuL7#CXd^NmWb z(%F~Q|+JX|0)09dh-D1p2gE9PrckB9BJtrT82P{UBoV zCh$f!b3NkT$UKU83-Y{FTrvy)Dw|RN3v$Lqh$jLmo}nT!nJ_5hGtctL=cgn^7Nv{~ zET4RKN>cElCH3G^KoJ}$iq#PHIZ*T>eKh1T`OK7}V66(wvwTVL;F6-a9t}_w%m);K zluamRTbfb&HN;-T)riwkrk<1HfF;c#h$=9z9({_4iYudt0^{oRQADj|AHE-kr~8|R zmo1MTU5Vb<12nv3|39e7aq>H)^gTYgsQhKH9goi~5Z+&Lq&+uD}TZ<*8FHZpJN@KDgbq-7XhcaHkzzvXwe>4t_rkI5sP?ClNyGU_pNza0hR`cnqWyAZ5 zmkOJf4zFukw`wICq^57s=mNchF<6-b%d7t4FyQ+f68Y}H!$_fS&(IJ&p2inMc)-ZZ z<;c4?I1c*zw;&=lCBmHppJd7y_hA}G)iM1uBDEx*d6qHYuqqUJ<*Pa$elo-m$3TAM z%gAvP6d=!VA|hwMo`nnXKQTx+^D>--0}uGge(+QL;Ai^5&jOtG=qce}VlY|mJRm$Q zcM;%b;dE2wP1*gc`dxzT?uae(=gr1^GHdSq`EzHt&1!0yGiP4goLSAyi1X&ntpcLC zc$+reRb73q1F2c5I9l?)9tR#4*$oX&3vaK=e+S?kv;okn4 zUT8kd?k;;@pc9O@1Bz9sX*kX(R2^?2xeI?M(Dc-NDYu}QS3Kisjr{g11$P8Fn=c$J z7OSPv9GjxX1E*b8dk8AH&~>a|x^irEBwJXVtzQQ!6$W0#B$!ZDGp%WYP=gl+R1fQ1 z`0ArXs(cNHyQ>X3%&q_^sy0wOk>21A{ggZOMnKshw+Zw|cEL5)5>0-}5B_H_-T&f+ z{;L%Yg2aCQJ1STgoQf-B$U&|HK7$ILj+c7+x^u;BM^ATep_m&exOLrb=orgT(J8XK zJ2Y#DnjB^ZDp~K==EL$t{Cir2f z#0eTnz_NJ&a0^_Ad?LElrV2HX*>+^UM6;BY@NE z>X$7R^&|aSKI#B(uiE9ifO8WoS1e|Ga|4U|t5tXfl8p)j=z=b;tnI1-=|iYweRRx9 zv~Hex7%)q>>!9CD2^A*)exkmjK|yaOwe$x-+6IbF;YUJjN3O5_GasSUqhW&`ef+U> zjc%_>{t=L#tCBGOkHco9xfB-4{KQ|GYRfW@J18UIKlOt@0l43^`J|r$zXY7yBb@iU zah}nEX<&(HUnC1!?F{g%Mlt#|7;ymAzkmzZdJf<;vq^ikeSN^CfmV^3emm4;x&b>} zH=_)?Ll?V44|azhVuzYcRR)~((RD_2MeQOlyyHj*;q6uCM>)W&=*(Kcx$(iFmR(pF z5LJ<^sr|=*2Unlw?C6>ZNF12(#{%y+->eHYNOhtpv|I0j7kzjf@c47xM?KyF-d+pDAL52D_0-3_9V> zK;tjn29A)>=AgWQ&$h!OnzvW6a{#4XW@^v)BgiT+GZ#qw8QZMG&P$6G9lc+uvHmPn zC-t3Hq{&0x1+>Mrb%NgwDC@KvR65}P0OHTd#s{Ru3L7r~*0HS}c4&>2g;zb~2Y(!J zve!F@N%%}WbHMu9+Kw`z_YKjroY2i)=yw5a=`fS(qki!B`N2>2gJ0tZ{{i6m%e1sM z&E89RVkB!a-Xp#Yeh3B>;O4ZC0?p?ROT4a4absDu?=i6O@SZ2F`s?PmpVpVpl(4djYt&wItg4r+<@dZ`1v+NjJ%!0Bx% zCZP5z{M7X5DmZgmUFai$0AYsj+RMjU-i7Ib&Xg-T?VG>b55B(xT=d#%*xWJ_bhFn~`}L_J+nRbMuwkfVMQ*B>X49;tbDtK5W82?jT-t*dG8-*>+*NryPU} z_&t7S#`pTc@AHG-?+1SXaI@jnK%vXZFqTd*pI4PGpMz9%TdMsGA^t=^_(_19o6TC? zNIlt4_$h$z2=;^h`E0H~+n4VeEav*M1*a!eHi8)+aSxgG_Vsl2<+{5IrLJsm!Rg83 zQ^AopbovK!rOsSmC$fnFWKj!=@qC&q4;sKtb}5*>nnNeo-(Ogq&-Um03T{_~9|R}Pa)lDUbzBObAT2E{bPe{U zdxo^V+6Ny3$KVN(jsXYN#8mg;hBfjsFO{lI%Us?tm9A(Qr;jwMaaT4>rH?j@)5jXd z>EnK!go9rNPHC4t;nvpxj=wZlBf?ue<2yZ#oDUhP%fBE_(9b^tuc5eO~DM{ZxOzOZbE7(W2AthPUknLnKUU z*@FuMi?Rpja|dO6aZh6AV6QM(Bnu6+)7;M?Ai<;MDZYawtLZgMMWfQ;&3rIaN}D0?3D?83Bd05`vA~sJx{dj zQZL~j1R8&tg$ROaBwN$;_G1l~igAB<{A}-r*jyu^4}Pp4 zd>!EKmRs*9{CL3m8hYivmY9MfS!$@8Fi)`Sz%g|xzN1POS@8wGqfo%R-u%V7!^^Xl z<8!?@3IZYg=BD{4{s`uiHeDq?Iv)VVKWZ(*N{FKh{XK(y9eG(3&&QFg0ONysrThTj z*Dv>!^xsw?uQ+}bc$W2yvQ`)3s4<{|8D;r$9faj^*wKKYPcpOSx3$hn0~`m?7UIIz z0F%CD6F~9KYG1y$n8S~$cl4AN77KVTsnlgsATE3@*zjvcgT43-a%V2bI5pu|C)7Hi zY$4vt^}z7M*o*LFYI#Air{kbscV1i(0gE5n)k#6w)a z_qoa102o=N8(Z&pLY?S@I>`xjvJ>hQC)DXqs561GI0v18=N3FA-XuPvP*Dm?C5Z5klg^iDmO_Wy; zjZdslzl}&qM41;SQY7hB-y)?$bD`pw)`Mx4YhoEsw3qSxlWA&=FcWsFtXd^-ObijE zps1`|`uiz5=xVgUavB|hiqi=$eC*N|eEq+zdA9b0+*Fj!%rX-O+uA2(ZsI{0D(hcr z8#%0jQVFF1)AZ}0j#FhVOx>KydZ6KM6y?a|%Mk$jPQ=zgtrk7b+A+=qj6Ixj%QzI)YWol$)wM>nyteB~hl~pq}va)if zMpjl&bBCUVbqdZNc~jM8^;CX@lv{#i+1lLH(xg2_<4`3PqgWJhgfH75^89_0L$kudyQO8nw$}Yx=Vr4d+*s;fknhVjx9yW{ZEkIivs1?r&lPI& zCEqm5h~kIzC_A*KG3{0SI%HX&V&_k6e)5ObEqd1ougcCG=Lg>aI5z}F)~y~JpC~UI z7OW##lMe3(rAHGs0!|%{SXv%iJF$B0L`1MSsL?_EWXIW{;|2R9hw}0jD@WD{Xe3+1 zNBly-g`lLP^IgNPVh?`m0@x9kkaS z`guU9aoxWeBARj&u(Z4Rv+_Enzu<-bBGB%B*@-4o^LLf-TPI?Q5sgDur+LXfq;$|8 zK+S6Fki8*t&po3`R*!VZ_t@2?O0)+f^D^}L!TarSo#KP;(8cc1CGODox zq2f~QP(bmQY00kEE~UEODTmm_Sm30;$b1AV9P6-@Dhr-N?$BXCxtCDt87O1%?-X1k z*@)JnW`!qy)LnI69ngOIWmu>5(RQJx(Z9wIz83H%=*{1+$RE;??;YT;<}4_bf;XOV z=2h(JDs*J?#o#+h;Y)tn(=c5dkFhJMgC1*#n#{A#55C?HejMODtzI)RzIN%v+VK(L ztdXp)^{3k9>!7E(Lr-^yo?(ZYy6a59xozFsH+g5N&=oP0IM6lwEV}|a=-GCtN%wO? zcw4Xb!db$(l*@2B4m?i9--#`YSA#`&0Uu`JT`J@KQe}cb39;U|Y;v$sVYmlrutS*Z z4!$|lJy^td)|Yg+ebw+@a3gd5oGR@p)Cjb>&kKFO7y1D&^n*Zqc*0Np;7|C$H#xxD zfeFKtNYl1m?Bx8y0j@+N{TpIRk87{O|BkGO?$abWVMp54)(epmL!X{UIza0*W#5w9jXPr-SMxN}9JOxO{k+U&`76)ry0#UOo zC=zXSDk}J-2tFg-IoN@3yyg4q`^hfF80l&5;!k&np5YEX(;a#ipuBX$qcFKrNBSFM zXM5t$@x-6&i9gR1f4(RF0^qT~p22Ir>5YG(r|^q_4<=CjaH;VBNERfC1MOlD>?I!9 z4+85paevqud0B{Tn-?*SH~>MSl`apFv$QvEbjT~5ksk?>>9xHwM9xip9{W*e1xVKu$Xh+Iw>fKkJCKeiRd+ZGz0+OjSM5;K$@2G{b^g9xFennIhsWHZ zkGn&E>JELv9l9xmI^OH}4dCX**wnj!wCinx|H%#qMPe5}ov63GQ=&uu&0XkA(HO_E z`!9gnCMuJuUKWaW?BFWXY@t8N*MYByjy-GU8+NBQhI@Natmb~@$GD&_TDyfnA*Sbv{ z_CTeN>GlMk{G zTC8aCOkh)Vu0S?fk>nd0f1T+GG;Vsew}clg2YOr<-BBVi7l!9({V;JlfK zuTmHAwon;Q@j89qpaedAS%&Ycm0RY|pA}J39GDFGs9#(D;0J#ea9X#RUz{&$_sUKB z)BRcBw6ADzBx^$NfJ%>^oEr+)p9GqO&qF0=+5UwcgAt9zfeAk!l^)9Pmku5u1TDC~ zNA29&-s**(<%RAD(XF$Ep(9z7)w{i9m%Px+LbTgE2O}Zc?bjAo0*%pXzoQj*lm+1! zPw{HHc)==<5yGEB>mFM+va)~0s+qZ!6C>k8*}XaIy)Dvf;(&@$4|e3_KW6Yz0B~b@ z3S9!+%;S5Dn_nyPl!r+&!*a&oB9h0UNR=bA%@PjcCgo zta{k(5ol`c>^C+*id~Owg@Ox(uNMwmdDPijqZbA|(oqDiZR~7U#TvnMi}SEIKR6xO z;(G9e#O!0izJtAQ?V8$o7%6yqW!AJ2>l{z~%u?!fOS?T@5EN9>Y>%zur~h15-=8#V)0W z!hZI7p4IBS;5PS5ua&|+j|Hk5n{U@6xIJOyVZUm$pY^@j+S=d&45J?Q)@~h&I#&;) zS1q7G6f&9;IszP=537(J*? z3Qqrl=~TK!m~Ig%jnGu`2*#W&2<-9}^u=O!3c}CWFAJaBym`OL?_~9!GraA{H1a_Ow#i+w!^w@OY#FJnWkKP|)%WpHKri zI3qy8!*Cw6kq;ow*bP34sosAv@d(;9WwFZ0elhj!R9m8x^wzOQaE0@N7*Q`AO}9?Z zZ5=67Gj8KYrTrTD#bouTt!FuVSSjrLV_Ro5*rnKe&OVRXcWU?pQB!A*)hir(zf4gy zejb~$>6A^wd5H5-5adRLeJ-5xpeYZ6+XRjhI#6Vz%Dz@M!h?b>9O|IeqY<9m2p)}S z?Y6?>Qg*n}>f4H@FD5-)y>JwrrS4h$k&p6n(5Su7-Dn|)t{ev}xG9bF=W(WwKV#cJ zZA8E92GNlzCtxa9G5dY>M(AO`3uu>Ozpk`DMPQ!``LQ{3XFqSHu(zeiso%k+WY6iP zD98QQ-$G9gh6N-BkQyGcAfS{5!Tm~EFa$nkm$FN_Ks8+{MxGI-$q#c#J?v5f3MDC! z5SL=7sIf6g7$k1^gFelnrYjMv6LBxAX9%2%bQc zs@JJl4aBZT4WypY7HJoVT*7glzwZz~k`Q>UXz!l^<{7c;hzA-1iU za7vHp37Xv=jTp#wDS8U!$^Xemn|Kf?q*7TBd~sP81WaoRJSU}OrZrL=3hatWrhyq` zf!>75Vp~r28a+G<0*%wz7mlVi7e&cV=h@jW9D4+NOIy6PjWBYZC#^^3v z*y5UYTdiKx+V{pGflC=RwO;}TcLF4j;B_cja0kUET8vZ-zox2*6f9(DSifvBDXJ~& ztgl4yyH@7b2CX(~dX|-k{p$_(Z5R8*W}lSaYSnbh_O0rdzbJbK#-&mW(oY+qhut2* zEefT}q!Ow1uzQfbE&B5yWwA%NpDYdZ60S+IAdvD8D)@f@00960cmb4`Yitx%6o7B( zL!K>AC@oNEfnr-%ErmYVuG`Me+}$16c{np$x*=vHRLf#gDJ_9iO=|p84G9_zi5LtC zNo{Q;g0+~C_y{%rG5E{JKLQCq@JHh#RUiR8=j`5nbhk~MkX?XR zA6|VpvKD4UR>DAGHkVOa5o?DR6E|+3hlv0LGqgF972-}YtB2Ry_MNzfm|4WwiY%;z z)=z%$#mwlEgqX_Yy235Bn=47Kq5D;MY-KQT zR|bVpDMNj)9=vo6CKA<_ghE_*xgOQrU_=V}GPZfW_=eUzC;A8Def=->*2MdV{AHwY zZr}SDZjx1=lqK0A9kl6=r0U#SO&PeP^wC>_TbIe%T(ab_yPwC_up_V#cVF8v4I#V= z1HC{~B->U)y2f>9E&BuB?VY<^Q3x|0wn%5&h*~lSwVG+@K$f#3fP6gV{|BHf^HS;T zs+t*DM_T_4|9KRp;Sg@5IsCPrxSpGn)wqt_1{i3aZde+Wk?lx27=UaAdlA;IZ>=xG zvp}{21_d>S6_rdJtU&BQwyI6u;)r4`0SrOfFGVcG$2SQs^pyDmspy(_X=@G!-P_NfJICMPT-?xc?v+s=$3kg+#lGXEKi>b7keG99jlVjzA z-m(bo1`H)uO(_W!J-BT<46@+Zq4;rMhAp0q-~KJ^E8qt1el{jlErY+&FgdK6TS@9v z|J-$WNsf#9hb&N?m>W?8mSn|TQ&O!Oiqh~q^|uhlV2UbHIOdoe)m5jKjl#lrUr(G9 z`b2w5NZdxp8##03XB2{rINqQdflzak>k8LpmD}5Mf}X0~L`-o<;|_-PIC}Gew;1LU z7{aP#RwGrHQ@fo2Via;~HlqM5o`{|=M+|vCp7WEe z5j>V3%p2(A@jwui8QohTTiV zI^7i9#8;(}g<<*E(U!+Bku#!DvMTPWRPSA$#6-T#`?&0e-s>TiC;xtohe9$%)o011 zuK6?t^IYTfN64bNqJA{Vbd6wk;XlK=fH?Z-VyfCU!`~RKe6%a8&$3ehT=c|$6Ir=2 zxglg3Q8O*wmcv|=nph7k|8QWu0VcA1Qxb>HEL+^+=^dCZyQsg_RqQ-fo8*P{*izGM zLVEN6wBFuLw~OKn*A5EnMNKKZTb219s;QrTzcVe&6<>)|pc9%~D9SZ=?qe!avqVs{ zLoQ^jL|2*w*ve{Pp`)m;8XF;M#dAh51Q&>Ta9d99wgQ|#cM}FLn6d3t@&yA!MQ9>^)EN`@Z_dE&aDVm?F01`R34UoXJ<{Z03i z1>FtM_4f43w-ljLBx!mx>6J+@9)$q$NS?Q2n!-ll*QK}Lc!1Vo1jKcNZcT7Kj^aJX z<~f$4R5H!?6F zF)=SOF)uPOGB6-hN+3*WbY&n#WNCD1Z*Gbe00000003+S00000003M90000000000 z005f?00000004La9Px9+Q5+#( zBoZkSkxbzrVpC9DkpfWw1tlc~1qB6Aph!pvx^$$Vpa3Za1tpL;aS|`z_h#PC+uJ*2 zgl$oEZkPUGH34S$=b6`D-k|=oadrq=ecNNx-!y-wEuqwN__w3G7$D zO4AC+i%XZ6C;xziF6uX0UQxTw6j;L&)xIy&bRY;;F{|9f50EPZ0Ta(cpEy?w&V38K zxKx`~(nKINff%A#-8=RTV3D2dVpynohW8Pb1X_C?K~Z!eh<+R>vvp?YVNkTRjrr!> zc~-IBk(7P_PFqStPo97rTV$s_aLGAV)Q>?-jHu}dG4U^+l)TVyjoUY_ge+gTE}c7GKDRfY1QCwo8nJQd)PB zdc;VXaz+W;4_#_Sfi|)%Y!Zz&p|ShXLwQAE|}t*v)?1l zOJ7go!LCm?BmiFb6PP(u_;0mSD_?(P-jH_gC+2~JFtrP?1}!wlks}askv|v(!mVJt zK=>#S9)MK82>RjO0EAdazV-BZ956@D(p^~jeX}h+?+q0#X|Vhk=;wPcyu`zpG#1Xk z^~&5mAo)+tu_;y_^1AY|(8{m9%#&HWDVJvw*dUw>grme_6bPU8lQ`=IWKRUpPlhzr;%?xIga`F)%lSJN@~i@?KxUkN5F?ru6F=TaVJ*V4qmhg>O3 zKFTTeyoLhY&4D=jc){v%*I&ok?^pAd-==nD|)yMDlol^=QG%h z7((ap=y5AjZh%kE%@NC!=cBT$BChqcD%z^Y+4l?*NXcgGGjr_(u9_;e21dCsQlXt~9O7M<8>xtP@N{FPGu@b!d5xmEucz~_} z>u)tf*oEt<_ihLtdGGvw8x-&OX@#B7+hnZr0CZo67Um?XAAle1FZP+m9(T+TGi9+; z@)1%m9+9#Ha5OFhM4z84V7~))x`5pc40D$!(7x{GNTGdZ=`R2P0RR7Z0pev~WZ+<6 zU|7DY&<02`Fafa`5C=KC#e4dNxCXg7I=jY)2RQ}=xCSvX>;TG7G+cR#5vT-&d7w(6 z3YbyM0-2)>Re&%n$Uij1HQw3ZFE}K~5hxt*=NiJo5CBw{|KpX7D3AsjED6oKV$%j=rvJ3@kt%41mny1>(}Y;>`5C)D(rxyb^Y>4ImX+KxvQ) zRv=E!NG#$&aep{aR0W7p!ve!a!6B{zoD3R3g|dE6&BA~*$Ve%uMy$%YQ0(yrDh2t3 zfIZG0{+`aR++fe2nql!z6Q~7b6Ub(eRt%e*9D_ZbVZva|JYdBt=dy(q32An54G!`2 la}4qH_lt+v%*!AE)HF4#BppbBZI%JjSZ#)A00000|Nk|*4#ofg diff --git a/1ano/isd/quartus-projects/DecoderDemo/incremental_db/compiled_partitions/DecoderDemo.root_partition.map.cdb b/1ano/isd/quartus-projects/DecoderDemo/incremental_db/compiled_partitions/DecoderDemo.root_partition.map.cdb deleted file mode 100644 index 2c97874eeb7bb0e3f3a24528836762e13f082a33..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 2498 zcmeHI|5p+Q5J$?9wq{e)GEPoB;p;W37!f z0suKy3%2HinT17IJPsV_4+($-fcFrvxf$S)K!0#RfS=U^{jFtEEI1xpoC)5Wfi1RT z(T2AawV?l)0LE2s^P70r?rmLaGdIb_xhFe=(!;i$t@e%u@2LfDwYR_eD%vH_(d$Dy z$r`BR*O1c2;PCgDQE@}74jgFlwzb``0ld1psAQ&$SXok^XOq~_Uk71@^_OSKFD_O> zx!v7_06CR5g?d&?g2_9CT8#Ud29-+mV_e-ly!pOlP8q>r|6w{-v7+O@>>0$nN`#0k zTp{iW)(jRludAuJscTn>QlOlY)Xw4gX6b7_pAXV#`iQfS_<|0((GNx55EMD%ci(Nu zJLY}D0gxu@lKcC!`kQe0rm<|&H4-M3Vds-FaEjcMp30?nU6|~=*7L6SZU^sl@PE67 zVS0q;eC(*0Li4;g-JDecbDxn2OH8*7!T0|WnfxtfSk+dc&cCR z+HSkJnmeYZsGLrNQg3;WGg`Oqw_Jk1%2wKWz?$IKe+P+pvPR}aTmVtyJ_mceTx>}< zE|^u{acY)B+L|1Up5ad;X)9REJjgS`wSD&QKHO9aThELl^KM{el1v&iOf`aUjSCaP zK{PwnOOAY!dze*7NX7*n?61riw{;hKVtgpIuxVIV;nBys5hhwz`Y8O`L$mtXPQmx} z-Q(pWvrP5HtRjfUEiL3BSv;cUnI#?L{o=!YNk%m{{O4Y- z?n_zSXO^M7g`>!_h0LWiTxo`>mp3u%Dg8>fmAUVm5u)VK=wv&aWB%w|kCI3l-YwfA z--wSlJ0%)>S!Ax(e!L__tkD%ijLc;*%s`e#q^0yut4}WQVB|B7Cg*vB(%ePvz`yMD zMKtUTh`!$Cn?wKXQebnvSZAMeUZ(6*{8TX7=unU$V&9(Auod5z^L`}>wD!5|+Z7W} z^N_`ZoYZ>p{(L;*EYhVT3q8n@?VaC)wvM$o(IP8aCts<*(T1Z~U!}!TYE#j~%W;lc zU*qHFG=?UkQ9F7R`v!KECS)K(_^reV+_+Z|XGdL(*B5uId<9~p<7&evwIF!a^C+!N z)f$O(PCB=*mQq#r>RjFB3?k^>Roq4Kw1w$E^BGa>wy1Y7zNxlEimeCgfGl zi)+L=qC}z!phsT{leH6tPf(cY&O@-*9-rplk1We4+Ry{oE*l>TBT3}$>Uu+94Hh__ zc%`xdTDa!?0TRY6*n8~rgO|f0qH|Z6z#X>m7e_*?1mCE0s&-NTzJfX*xEwr>%2T_x z1qYoZ4>+|U?9k_j6I(@)7HZ8uz8JDRYbvRGQ$;&nfa=`l8oxb0)}!IzjGDmtMWrv9NvJ^N+;a07)%QkkRUTEbnT@to6@-+vyo*100 z9wq$#Ky~TFIR4Af12eSJ>u}4Ec zcn_E+e`flq64fr)UATX&`-gnm`VqUza>|TJ(b{`KNZt{g$X+pTc0Bazal_>yU}lkn z#cx+ya@F^o&DnNK!h$)8*VB7S}h*`4?p2B4z*p diff --git a/1ano/isd/quartus-projects/DecoderDemo/incremental_db/compiled_partitions/DecoderDemo.root_partition.map.dpi b/1ano/isd/quartus-projects/DecoderDemo/incremental_db/compiled_partitions/DecoderDemo.root_partition.map.dpi deleted file mode 100644 index 558b6f3ae1f9fb13ef6f6b8ad962a0342fedc4ba..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 662 zcmV;H0%`rM4*>uG0001ZoaI+hZ`v>re($e{c;0ZrC{W3DMVnM=#Rj5Km8Zx_46$nB z%yGIQ?Z?k`Xc{*GWse;QDZcMJ-}$pI)&*Yu-ZJZjh>Y^&vg35^jzyA?M>N@7c9x6V zucOWtI)f<|l1k;1p)*VU-hsl_*oN@{vv)v)KPmP1@zG=Pw0d^e={nYRPFZ9Pd$#2` zK>d5R8a~`x6Do=2MLIkL)c|H_nyh(AxwAVG7%=jdRH%&_k2WVlJc~r&z}54+K^7Ln6vZW@05?hc(3o-OvOxUx#x^*z^0{ zAX-CUGR?E)E+uI0O~#A6M-KvRHhT)fu%s`fp2uWbAiQeIGPU!8E3iNM+Gt8 z5+EtwaIsMtQ=S2}1|AXNk}U_-^_Vl8qca)^EOzJ@7tav9Sx45s@ScvZH;hU6oaI|I z8V=6~1P8%799;~C19IN)uP>Z|-6x|kz^V*O*8}+krZPjz2XFqxx|x2T-Az1Kf{$+?j44r~L-qI&v}AS`&HVChzU)YmlTO0|+LpI=CW#8S+ZPZ7d$aD> z@`PX`5#AH2G)w&S#MCoU;0-(^hYvvieo;r0=~|yVCz} w<+rQ-PbR;>o4N$m-mplJq-jnwr#h#%ey`du*4o43%Vz&!S1}a*7v+Ct?wr|D{Qv*} diff --git a/1ano/isd/quartus-projects/DecoderDemo/incremental_db/compiled_partitions/DecoderDemo.root_partition.map.hbdb.cdb b/1ano/isd/quartus-projects/DecoderDemo/incremental_db/compiled_partitions/DecoderDemo.root_partition.map.hbdb.cdb deleted file mode 100644 index c21113e9383656d794c5e12b0730a4c6bcf6a53c..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 1600 zcmWe(U|?9w%?KnJ7_x!T1W1Ra78Pga=P4K&=o#u6Dmay9=AA(hIS1`W0;@j85DB*T7&+(6|2M>>K zC>;zxB2(;=k|Cxd;7oJ*Uy_*|NHafx6}Qf&;MKT{lSk{_4AkSe{%2b{gTh} zJ8M5rpMJSMx9;_)UxnX3%I|;wqwn%_|Gw+x;o@n%8%yTwRm)we0M!yDg$?5?t4FU;CPO zDl2Sr)$X*rd55^dHY~c%`nt+I)pT{_-q_7~=83G@5f@)KmF}IrY1Y*>_tr&jpA9s8 z&5P25yYBf$p3Mr&zrNU2QM7+M(wr zn{$Sq#)Sjc65QI^y~mCmJ96mW_6MRpt-WhEcZU}~oOCj!eBb`Lmlc>^GZd8Xf9|ru zePh^$uBA;HVJoyZ2+ywW)!1R!u>SEugNC)O2U)hph&8jEJJ-HTE@Atjjb`feat#ut z#8P<+-8^;I?dZLD{CSDqO8=sCg+4p;pU-bM%;x>d`*_uZ&0Y!jZ{3`s=iuC=-Do-W z-^-_3?Z>iadd^&s!?C=;rOSq~G~`@}oZgbgHK~@zRi*E2Z1J^N9BRjZyW)3P)%1kc zOaB7pI1RYDKHswcad6_HHA(iG#-hST{@l!?S(Ua=Ta)A}8A9QT*&QiBX zbqV2oenpQvYqp=4Eu-M8$x}qawqL*UHShhdx7#mec|QJl!?L%1-~HU}e@;JBi_&vq j&zEzb8{aue$noE#ms~vMr*@bvRQfMF=|8Yk|NkEVLx?i4 diff --git a/1ano/isd/quartus-projects/DecoderDemo/incremental_db/compiled_partitions/DecoderDemo.root_partition.map.hbdb.hb_info b/1ano/isd/quartus-projects/DecoderDemo/incremental_db/compiled_partitions/DecoderDemo.root_partition.map.hbdb.hb_info deleted file mode 100644 index 8210c55998f9226aeba57897397a9e541102c45e..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 46 zcmZ3(&&U7*6?443e9xch_SZRizE|HvN9&}{$usA>&--XT^E&H&P>qM-_Bvrj0EsUW AW&i*H diff --git a/1ano/isd/quartus-projects/DecoderDemo/incremental_db/compiled_partitions/DecoderDemo.root_partition.map.hbdb.hdb b/1ano/isd/quartus-projects/DecoderDemo/incremental_db/compiled_partitions/DecoderDemo.root_partition.map.hbdb.hdb deleted file mode 100644 index 83795eb622b33b02ba78bdcf36e90fb009707e35..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 9929 zcmV;)CN|j=000233jqKC0001M0BZm=00011WpZ4R5H!?6F zF)=SOF)uPOGB6-hN+3*WbY&n#WNCD1Z*C_r0RR91002rM00000000^c0000000000 z007A*00000004La>|F_%Tt&6+Ao>&$!3_n327@AyVYY0Dz+|Q~Y3b>iH%lPA_h@D& z69^A+>`lhDpR-ONxQ>RW<-KFmBH>Ogl-KL~c??x(#yIAmP)G?a)N9)zGN_F1M>&GUZ zw(_aqLEa`B)-#&wiH(bjUg@Y(6FV^#)N^yOlD92p~I^s#cH8Tj*h}5hj&wn80(yalKd)l>S>tOi&Om zR~cJ+3@R@T4WsC&{*=@;!GBd2XVCGwu^iRd7O~v)tf$$>xRQ?UcOzmtj67CxYM77K z@t8EKUeTLUkOrn%v%^-klz9sx&3QYb3M^;GuiNm-n(u^#EYkyziF+9>P&9fy^NNP~ ziK5wh0%fgwK2sW3i45E;y-swzx5QW?i7SwU*@-#*A+ga6bpjZ|Gs zL{rn~Q{{?Y9Y<60)?>6@9fK@-k-#Dbk}&Lm*n$`|>0g}Q8_NFyQW}*yF;%+mi2T69 z-a_9H7q^82%NHLtSXjP#Y2VNi)THWRbqu-UyrP=Lxs|=90vD_saKK(tWlVXje6d*0 z0(J+(V`E7mU_k>lQ$dF*Do&A^+MFU`8b`*mOkE{ZhgC*ZTM4fP^%YT7ByoXL;?@wh zcyRfMOD1O?(mBN{!iGvY8k?&aaB^7CaMIA|$g)wRo+ZTf)ezTJLtI-SF`YeAchcg) z3REWTVYOAzR>+rjgO|rMUK<%SLr z6Qy$ZD~d-89Zg zC;MTtA2x++qb=-|TM z;njuZ{k^n*@n#0|>|JG%$C0ODry{COiKedemm7g)RCMZCrD@dZabFxWDtc22l47Vq z53}VAyzEo@Z))kb(Cw#)vk-MVsy3!kt|H5njZ{4`Dql&eWGGt2o2e>OsjA~~Tz!;R z98O`0XV?yr-nV@5($OWoBa4RuZ`(VZ3P@3dxT*Ehmafk)VRL%o)`-zwL{Ty9fqvp` zd9q`Efj1 zrueFX#dhmqC)kR+Y#7prEr^`tUaKknth>X1g69-}&`I(4pUs*jd~Z82;E zy|)XGD1T$mKa}w*BG0*^dZ}ZzUaDi2s`6+GR>klJw1>NJ82Dg1srGC&W$eOv8}L;2 zcBRxog%@zoj(ZIm$RjDe6rXLNA8!l(9K4ZDad*pJ$UK2~3-Y{FToCM)pm&nu|3J<- z5AkFmwaqFdCJBQwKJzRe+o+;bq*2Pq!1A$8Dmn#sho~JQ0Yz}2D3(FgS3uE$^ofwi z*ya>P!CDoVXZeC)hlrxM0S!0fZnaaTzo?`WXR4u^8oXs*DAgDuBw!~Ur$DCGR7 z=0MV=5ogVuIeo@JZ(rY{{#mnU%$zYWy|Ho8?7A7XjRUj#`+9>eA}vGT+;cC7szDps z{zH3L3@jQNUK+mOlE+&vH7iy^826N_!51Jc|EN40ub71#P*M#CnpttEtDXh-JH87n z7WVC@y{%Kz-?yq})zT$skeY%)V+!n9Mp_?C;619Z=*rw=jieY32nH}4zbGtYK5$Pe z5)HJ_U!f$+NyrFK4BUxs$Ek~A=wj^p7m#v#r3)&?$QH*S1yNDPD_O2|#qr6AoNU_X z&c*-Opu;s}Kzj=Q3*e{9;A>>?GiC6z0H;0L^Y|AVY?fOOgurqa0&W*hPhgLeDh>BR z5`>HKe>cRs*|Vl&=r_!qJ$vT##)g`@88c=z&S1BYI9#t|{M~X`x1O!PbI(!Nb4((?sYR5qdwMV~n>gidC>R zoVx}68~!1n>8Y7~)0|vJ$&02o`JLdv8F6k;E*HhAV>U&Nhtz?p6z3MG;Edb6x_`;g z;6OS%KV7*F_l%FL!@(!UgyVw7Ohgsp)HnigmXEC_I!e!%89`IvNVfu@7#FVb{k%W) z3;xg>0rmHqpSlH?Sxam3b29h~BHjNcLjT=~20?5;{{t1Q3(kC%G4w%h0=|R_p8e+A zJKLIa>E`yfj%==}JL|Xh?ShVR3>A|iyZJ-YZm7*+rlOMdeofvJP`0ULE4}IgrZ&+B zibNkILeBx((N{Lr3n4t$eyHABJ#T{_iAqlFO+DT1I!4di@V%(ytUBhmI!e#mIP{@X z(Ek1qURP6cbycbKg);a6;Pg5#*~iFQJ}86#2ykB1H05&Xj;8K;UAoEiDGPK#7Z(>* zjqqVqvc7c8>S)tE^9W#$ZZ|<6^@lzdtFP9epf}@M`ePtn1I489;~}=Wsk8DkA5Ezz z!UlW#_>8SCR#-aR&HpQjC5FMjSw13-~Jld(I}EzQkE@T&`pEybZq+ zc-efjD%8ODL~*qce?0K`^SzIHf((A541SUfemdYhRqM<&<+5Fvto3f!^EMY)C)42! z8T?Ec{45##Y#IC<8T?!se7y{Qo(z7z41R$OexVG0ksEGWVJ`-h!^v;O`Knv6ZLHoT zga6E_yQ$UBIFUBBpB15Blr@^`BRKdj5N~nAO-9?%4YgVA-GFm#Zp*Y}d)oBrT+f?? z*8+_{?;ALcGUcbc;U>*z07|<|GoJCAkTZeApS8^<>?{Fx|4?J|oM4jrAt%!2AqN2M zaBY*|4+F|N;|(ek@IV0Z=VjxA1lT#idbYLM4YgVMVHx}>z{%e195&(8uxEz#(~TWv zL+=-&8@$l9BJ=@3J37p!`k)N{Q5pPH8T?uq{KtUfFI87xGks5_vB74%$E6H@0tOV| z=d@1%&Al>tUf23PSbh>L1m5$kQ-9O^_Di>56ZBVZsIAlf7jRmwdqD?pyTx`o3T-TY z<7~DK{o4>-Kix<6??mX|11;$9=S0GLaGE6$K0k!l`aNnYct}5ZyoY)L=O#XG!6;<& z&7GOHOuoA*R}elc?(PmNJT6=O$o$0LC4wbcF#!cWM zvyRU8*3PE3wrsvN-I4X$S$rBe@_|lQcT>Klsj~&y*Z?x)Ty}Z_Jl25+H&%9`ExAlO z+t$>YiB$xJHeJp@rNGn90-UdPbhORs=}LFyn&x|HaW>ds#CT7z=LqrV3i0cO`16GL z^M&{eg!l`APi)X$lq9o@lVtYsB$-_TW_%f@qp7PaJ3o`|%5-M^t_VK?PMqbk`R+_- zKG;E;pPOy%>Ga-1`blsMc8D~0%T)VRVvW2sDU~iuOr^^c<8(z*jk_{2l|G#qr_UtD z>9dJ(x(b{G%igtsm(CzoG+bzkmyEVzC-j*b@ZzbE%+mqDo z4lqmh;_*&!#GmINzY9>ojD5EZ{%w)&-;)WyR|daNr2G9M^aC>09~23HNQAxz-nM7_ zq?SH3+dVIRXr}3qbO)YEOzY_YU2K2`#&eKgK|qX0>#va5;4cBodcXTrFN@Hx#H+5G zz^3}EGB`hBfPMxHj&+vVkuK*x!C12U_5`mxzRa0%-iD<|62}((|^a ze-dE!9`Ou1PS4wTd@2DRmnOjDGVq|DGrd}Ic>)?-0UiQZxH4>j-{k*kneflV!z*35 zJ_|Vhyl;13CB$D1{PvLFF~6a%wm#k7na;G;)%k58y9T_tqosB%AQu~KW8zvli|gbp zu9vg8LC)fHau%PLv-pCX#f@OW+uOmLPc7N5=JxrSPOse(Uj!#ES6=%Yza#;FSpxnF z!1(hX*}2(FOS&uDiU)_F zj19KM`+G9ud_QcTpIbc?FTB$8uph?5bsN~4{v#Ru5y1I{!EBzJNxIX1CU_KF{Kw^E zBJ_`C26$Ws|A|QVCuG8(1l)U24`C;>U@BfFgC8e@56j@o0r&UU5t;B&8GMBdzETEX z1-QTER?CE+061SmFFx1OCrLeTn=nsw>%cK}3BI+;J6!sQHIGFBpL+8L;*KgbEW(#{ zl~h9b%}x6+>JiMHHm!MXomW_uQfoadfjFwr)!x(DoY8Bd`6zNJVBDCO&vf&B{X%=* z{B0HTisA=>XIc9oYfT}F8UiYqQ5G#SL0BGz9S0ctB-JpxvA&@i;4px$5EZr@nCe?L z0u-ODc4j(qP0bm+@sOXJ%VJ+rzSX8cRQM>^@M}gr9W8h$>&s<@R})rxp;iIq3ei@s z2Id_A&BM;r!kk=t^C5+frq1rH?OTGB5#@8dhwKx8@o>Qt0pkcR4rl5U4N?6*>L=?Y zz{sk)vGp-8)X83`Q@l{8dZE^Mq1Jh!&IHQg9CQMnTkw?l8SMkxRc@t+pb~#R8!UN$ za`}>lg`-FNSQ{7U7KAcJz3m*Z;GKlvcUmnx*n&O6wM&0nh-(*bg$)l4jTDyk4v#EW zKSfAMMA;W7QpD+1*&<~^bD`q*_k(GbYhnRA+6&nKWSd$`m}zz@u3C9;j1477jiR`6 znV+Yapv%w#$7ys4RC|Go3m?0*4j<}otetNBAU73dGtes()i&~2 z19c^o0!*u42Th!cYhl&RDXxb`BsC4pu63DfJ=FEo&CWHEE5CYUqw*C|KmT;Ch$iX9 zRk3>acCL)NOj=BZ;_6tv%;E}Z>>88M;wo7+vba)KjV!K~RU?ZlX4S~zs#!I%xN=sF zEUupR4m}I&6r8=}O;w-OQ~426-V!9s`r4Yh8sjM%hbpNUwM79(__7Tm&)>&5q;rc$ z2GW^@#TAew(O_do+wn)V>+1Gztlz(WW;&h6gQd1Pna*@=Ag9Y1w}S9-d6GSyYWLpvyAr`7F;%BeW+Iwadsz*~@+e1`Ay zUE!J#?)g36<8ew(?W*v6tz{FCTwdc*3wZX zD1WBRVP+HbtEiyH75`?4)|8uorQPkHl{ZQLnh1R}(Efhef+kb*1M>K-6K#so8i%Y& z^SpaVnV{`}+SN88J3{0hdz30Ueq=)ayIWnVM0+qYuZK<){?BL^{2Vzo1mxrL)ZC3&u~L+-E}75ylvglIrd~J+gf5K?ZDLRv)l@p zpl7?GHr>w&;f)=}3uhh9rCbl|aNsyc)ZxtQ;X}A(u^kH9viL9ypHf+$mnstkN@(ki z&rJ?ZlY5~+aEGv|E%@e4TTc$(SzplX_f^CDz>Un!bE?fC_lwXEh|mv;&<_DE@PuE; z;7`lo&&c4<%HY42!CwU2-{bgu?1Fxqf z0GIbzrK0x++A+%6thoCGm!UAYy2{`ya2fM$H!4TGipUnjT_`M9zF3Wnj%HpDcZ<;9 z7NPGEq5oTi{*DO!T@m_wBJ}q~=zB%z`$Xvbfp!cOo2xz`68@kF{g4R#16jj=C=&j# z2>pl%{iq227|;%XQ4=dDOF}IwaP4P(KTTnyQ(`?TDij-qE)s}6$}QG5I*taM^?p}o zi=Ai?#4cgSxYag6k3|JLX*%AnMG_lK*d?LZdh_o}n4n8hVYieCIp{>%dT7XrHpyLv z3SVy?c1pGByxfU4={$l8&rvk$Ep&yy(3SqsRsPV`{?Oz7p(g<9>rW>F>oQ>n1 ziXU^sK@mGgo$RgiDc;Cay^(8x^c*>BLujt2>?II2yMiLtMyH{IJ4Nsr>6V^meB&+C zS@lnLo$fDwoj>#pf9RS1(6a#Lr5m=wH07JCe=v5o5Pyykf36U}UWh+Wh(8~A++R=O zHQ(xue}Pc=g}?_BD1NvUI>ZK$#16EJ1lWrO*iQiKH*tT;8+mDnY@8KqA{2rk)=HO! z$Ohws8x!(!Z{!srvU+W=43RUdK9BvhH}W$;;xARTfj%3Gtea77r(6|^Y^)Y|btuw& zal)4HB90!ahikl%*Lowb^G06pjr_Vd@*CdBZ+atd0n+yb@>T)%HgAn@2h#JT>JD$A zclryx%MG=iEI;b4^J8wopokr-Px?cj@`wJyANsUE^qCOq`K;sjfZG>iTkrnGt+x&S zS2r9Kv0eOptls*Q5)<-8f1$5OV?4+18vu1pR5nw+F%<3D&2I|D+wO4L#BU?Szd2Oj zvOn4;ep{jVw}j$7t@n1osb2MG4etmATiR{%({}eGPqoSkaj zP@B#NyWu9K=K)IArKT=g3Kzs{|3BFWb@ z{`QMSS31Ym0*yc0r`b)$s`H1|`$HT2p^g5~>Hg3efcp8x8gJxUZ{%s-$ftqy9e029 zmii|k@n`d2+u(WL4L7;}R%on4vI)9_8){Sf2i3tjap>Vz6xbcoCj2%L`dcFO?La$B zZd3gZ8T`(wbO&)sm;q-H=$-?d5A*O<>MY(CDqt6{*Y^$b;KP??_`X`9Zuabk5+$_* zn<1Z&wdKz;_{)IPy1C5!OwM?(+@?R>pY=8SX$>yP+R)phQqYq#L*eF=K%4McsN^i$ zHMhB^L}Ts1hM$c}f%5xT2agVdIy~Q_c7AQI7oi(O=;jbzKV36)N!DidHj(VS2)!^w z`@M585TgBlZD9$}7_IInT2V)t6OQpJUQO3tu*zVB@Tbt)h87Mi=~}#WTGNt|f#Kft zUYzwlSki0S0Trbl?8vcy%;2K{;KuSQbO~@{|5`=pc_MUHg#L&KeTWF16QSEGLZg4C za7k@m-M<7MKuBljr!(v`?g1GOu_WWw%uSLg(`|ls;h?`0pKs)+1)1lIl{Qip!V3G=%HtzcOcxv2)LOuAGuZ9HpI^39 zxK&T0Zvzur+p@b;Q=b0Y4U|M%-s9B6ZI3`xYiGYV0V!@hHWdmk6jCqjbMmOLwN@_- zcvMFbxVE*keHBZB=|<<_Zhmk&u+jD435ngug8L5czIAKr=3%Aa>6Km6B-S~e_}QgY z=$3AKY!(z$(r%B5@zd9q)iZ3A=1vt1PV|D&u;nT&s?cqLSbI%{V$g}%;FgtiC zrbCiA+Y0QVGj$gP1KAC+_KRCe8HM}obG_5*yx_L?ONW!fJ&y&dTbu9Jqu!~9`>N4> z*7rWAlwbpfwJq+}P7FnbtB2Kb-6JZA-cQ0FY=+&F`<)bSJ@~-SE@fiuvAa_bcU$5q zxXFUI-WYz(+hSJ#2zVqx!RbFRok}+f(~Tk}2~Bk#!I;wv0=v8)eKDV%g78cB%iLEs zYzP)j6g-R@o_4p4?`&O)n}Q#IuuBP^ftNAW3)WJ9Hb`|gk4t>)LKavl_Ha_T+wzVC zcs!l}54WZg3R<4wYBi9L7&}fq0_U+C`5;nSyFsFu>isQ=N6@B8ixo%qmejYCZ7H3k zC&nJZ70zZcqBb8*C#L5nM#|)joBXJBUn6fxR)5}kmb1H)!hL^iVn%~oio56B^O*Zi z%}Gv+xiy`nNPc8b+H}&U;WZscXb|*E^VgPtxaY#xmOUn0TkY)NUMrKZX>bdN&Kfvu zIBZL9m?h!KN$^ObwVMi$OK51T)i)JQwJknrJh;*g>Nr#(5QQ#yU;=&UCBMd z>i+t#qD-H9$#wrUiGJAyDwGWMfT_M_yPvBkp@;h^pj(Rjy3)Oiz&#i8V{`V-e$`3g zZcC0+zlTfdJ!h7p9QXS#hMpV@3rGwgWjyqPfKo3Ao>%Gxz2IYaDYumKRnwJXQemn`s%uqaYX!x?=G=cXWy}#exF<3o^=v zWe_Vxg{r%G2q}Uch`Q<(Dpm$@>rnx~id$3nvX;bCV)wG<*0jQ{JVKi$vB@{^6QLdxb7AGS`ldqRK6N^d zB%CVLbTM@k8Dg7?2`BX^JwbEZBZ-0RmSUz*p8TKO+QfrEA(iR{!55eHf`DmRfpAia zXIdu3qrk10&NMKCUSKw%ve?E`y-W{bL7;JU_Sk$HxA|yVc2N}Xbi&TweC!e2TiWQY zO~S|(p0Mg|DZ8!=PK$L4kB)LbB~IeBxJQdZBPC~4khlhd6=0bjd!fxc?YOSpKdcl!S9&RIN$U|p3Em}}EJc8!ykTME) zLC}>#9&RZCh1&d5xP7bomRoETRTzLzuiRUpP+Fi+F2%O4S_-|eUALW`IlDWsb8%+2bi>0)sFuZ~Qd$D3 zn$-AG4G9_zi5LtCNo{E)g0+~CcnLMW7<}^bMj+t<9~v*I0tw*%|GQ@|-EAj1IlFVd z^WV<@|8s(@fGuT=eRg@k2NUBk*24%Z0oO1c)zDp4ceoXlWM0U|VWsZKS9c3w#!;3e zbxGs42}lvU2(LcA{&-|9%!sUnfx>JqqqJhy4lgEd-Ms)40SIPjb0jOoof1|Luea|% zc>^)Cn6VXESShWa`smA<(ZYn7s^q%DEw!5~N#lLHJx%^4X&4|hY5}+z;H4>ec5%2B z;AJUTS{&XB@bVN4CNE}2o2T8sA_ar*i@_}*c_mv7&};3py)Y5H2Ih>Y+pcUxRPuDe{1YHlzhg?t&?yk2}mYo3$+gYmxp zS9)vX{X_n8QaHE&Lku^`sz}O`?2r!HbVpKkZmp&aEG&ESj^Ng1ayFMNIqV+bu{G={ zEX3V6c1}YGufsqu&=kqG)sU`n-C4{2gm?Squ2vSojE61K**2n<%t5VY8aj{_>?k0g zPWk@@D9gN5I=iZ7M%Izm|H6MALuojK8)*)IttYPM_GHbfB)0)(v`#lH4a&%NBpnPu zwvxRJYd5#nmt*mv%t{y()EHJ=2D*Vx1Xk%m6vbx-x{Bi0{Kb*0xQfXoYRV29mO~0% zB~VSr(Q{^O1Th?3Ab&ul<5TkP*ilR3i{-ZgO4Wx~y`0M^4aFwVQ}3?r7Y} zupUQmKk}BqTna;2mCS0S>T+tg6F`hYZtbR0*Xxxv(}jFyGp)<=CcX)aSrps>XZ*`Ts zPUHEa3Sm9A)HIur-b|N;l8ZME31dWwD7;&h`5r2fpZ~Zw4T4CTX!@zRB?RrIpw-@c zi1DJ{hoEMMTu4BPZYT+`h1J4BM{!>b_BGUs$A(}C?gR7S)|^yq1vq~yCJbIM0o$m8 z3kHUY(Lzi@{3@Yd6>8j3gDSV$$+q{~CZ}L2BXZEARfs}c1)Am2>iP8?pu%0T8x0WJV diff --git a/1ano/isd/quartus-projects/DecoderDemo/incremental_db/compiled_partitions/DecoderDemo.root_partition.map.hbdb.sig b/1ano/isd/quartus-projects/DecoderDemo/incremental_db/compiled_partitions/DecoderDemo.root_partition.map.hbdb.sig deleted file mode 100644 index 6c0af65..0000000 --- a/1ano/isd/quartus-projects/DecoderDemo/incremental_db/compiled_partitions/DecoderDemo.root_partition.map.hbdb.sig +++ /dev/null @@ -1 +0,0 @@ -c5eb7f6cdd530884c3b884e0a3668ea4 \ No newline at end of file diff --git a/1ano/isd/quartus-projects/DecoderDemo/incremental_db/compiled_partitions/DecoderDemo.root_partition.map.hdb b/1ano/isd/quartus-projects/DecoderDemo/incremental_db/compiled_partitions/DecoderDemo.root_partition.map.hdb deleted file mode 100644 index a5ffc6186545793bea2f91472c7e3a736f827119..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 9831 zcmV-tCYae2000233jqKC0001M0BZm=00011WpZ4R5H!?6F zF)=SOF)uPOGB6-hN+3*WbY&n#WNCD1Z*D~Z0RR91008(P00000008d`0000000000 z003tu00000004La>|F_%Tt&6+Ao6g1Ao`R=Y%nMa2{V()28h$s-80kD)7^CUOcLIE zHZ#ct1IbLBOhN+4zAs@Fl}!WzMMVWfK$gf;WK{%2P!Z72?|m-c_Y_w)^Zvj3R(IW7 zeRI1`HyR}MbxqZ+I{!JRPF0<%yUw<;SnNu~cSXcq0`>8bW0o^&dYwk`pYGv;bSyW^ z$XiF2lRu+L$9faPJj)nK%QP&Sv?fn2E6CS8CeJ+syv=&%NfRt{J=Q#!>b&L=EYo%k z(uI|i59@T)e9ctboMl12W|_%@dDiXirHyc`XLu80YHabulCjCu*ouj_;ILZ|0g3I1 z_&P)m@f6}VThc9d*fI{b%!4g{AT|ISycu!YJMc$`f|n^HWn>8E%`#nQrV14JvlWgR z{|m9|=XZ+jtV%TD8D?%whI9&% zX&t>aj*gg^Jp8!O8qzB1(=;tPu!N}&X4zR@;p>qS&0{mN+OLJ?R@aLqs`TGHVuDoo zw9Yh2|Bi;Toc&3-8L0lW*cIxpSzgVPnhUN*F(nsv(iafpUgWWk)4hDKj>n|Y^_o5` z1*wvI;`Up0Q0`5LG|(-GI^@ViD5kHuNyaR z(1n4N^_0)BLH)J=Xg;jjU{|Y>W@#vkZQGzw_8+ZJRJ+LMv?kj1kKnTnbiw8gQ>h=F7jxIJPD zQK|D!PQL^BFJLOuL>w5@NeBA3^2ACW-U*VuymB^Ub5UQa2fKkToMV9PVb~RMY{^Jv zbYf(3NoC2{s%3|c<6!mpl99=El~s#J#!1C7g-Ndh(OLs8CiG%D(5UogNR*${hrc1Rp3oN??Ga|rH+V`~U< z9F8onEE}I1J9Ki{)H=|p`N&a7{mfCA!!em(hG=>v=Hr`)rpF8BH-&4a&eVhS=CRgG zmo>xBq|vx$(nhkQaKvbPn6$bqn3^=q>w1%qE(@m3&;&6&4$9QWtRqiGU1p|X<)qmZ zUz3NdZW+rs6FMDbn{sHxAeo7e%Ktgp#N&)kn8U$h+LQH9~s_>XfN#R zR*X(8XZ0~~m5nx)XP}PkxXf$Uk8CX*3EWQJ5ov;^Bz8Swq$*R(RxBGol$_RtsB%8) z$ZiKjtxDJ`!F?}V&Xh6k#l4a06+@>es)b3&)+Zp86Ku}ra!fm1gg6tikuBmV*HPO+ zw>QjYx?ZQ6uRhlNnCdd7`dFtdYb3+0XP)7$h#ZxxmaSO5d}M0b#5haGjS;{WZZ1}T zt>|$vY|atJ39Wk=*;+UZ{llvi3}KW0a@29}Wsa0~*M zy(qQKbl`Q2;-Tr7l9sbHj%bEa*pqi38RCd3#Mkl~%|5!uvq6}BQC$lkh5fj4Fi7ln z9dMMn9x;wcnL0J)>%KJ08qt~cIv+*H`c|WsrS;rYs%gVgtxH&aqjJh?RL(N;GxcGa zKGqo0Xj+}>GEK9obW^mfGo#5T3>Pfl6h3BzgE1aCo)|c$QizgqxdpeyZd=C9migoA z2k3jQM|=aK>F4Ng^)d50B3lc?@Lk(^N5s3z4x_&Tyr>r=b%=XW>%(L742H+L4%u2L zLch0qN8}YIuU+MLL&jeqlXrUb*;!QTsi%@0u)N34KpySVOY^x6Jn18zK%9oiGw(f- zc>?hkF8%c$Eyn&@G` zdJxI#TtvmjM-j$GnNG907pBl`PD5U?=>R{)kcJCmqizy;4;`P%nb!VGb9T$wO8CyCrxx#zAHHT+hXRbK{!eTGI zyivO^h1|p+D$fC`nRy&g;=+L^wwgnyJBt_5s{a6sC8PTo&+5)xJi2z~+7-*uAiWrX zCM<00RnYp?XGS>I9!BI`OS)+CzEO zuos}5EWL?rB9~03ba^l}+iTYCjS5+NXNZwA#mIetY+$HuQLF>A^a5;@8TJ(m-494| zOy?54*|f>i%=_dTE~JPGda%BsY}TYV^FAyekM+VrRB$axu3Nl(Vtg!~8ICt6-)Q{7 z;L*TjS_D&{cw>rv6cucE)%%+WGw(yg_v*Ss90m7iU@Uf>Beaf;eaR7O^wgIDl}&}8 zI0`lNea;bTSm`ffegEo)G;H)YH>AX@2gh_=Dg9+1KdO! zEI%wp_5$hBFNV;H2hHXe7^S`}&CqB;I0R5S=EP7r?*kYIVb~F1$+J2p4S+8jh8~r- zcd-ZUoasB0F~x>ST_T5$0ZO*cG7potblefzQ@6pxfbyC^BAbmD66JwXy$YKpHpB}) zQyG)shhTtTVBUoyH9Mq_IBIP`AC*HNYpAcmTlHR}N$?{erIX-s51ULBo7F007D(Y5S&~D`a_Ep8IxL4SkV6;Bp%p;U zwSo1*==f0odzf74;d1C|KzY%CQz_n;DVv&_`LJ2E26(O-9r5v1CcT*t!yW;w+<&a~ zBuh4J>sr7b2`qk1Uqdu3ag-c-v>bYj9C`|%+&&f4iEO3>M{6|3mksOUQ{}QxlS5CJ zL(h;y&y+*Yl0(myL)Xip=g6Vw%Ax1Uq36q?7s#O(0xDY#zAhJfgBh+3nYG`w$5|K8l!PvPh+G62dk>@yLzn}9ycO_|0hSHKCxGPRQ#qa+HhII-XxEc~3rEJYVx4~> z7y3&%^nU@RrOJy6yc}g1!Iur|-(QQH`x_70HQOi1I0%#ZTQTx?KnnZyIkC_oY=4A8 zhdpSA`>m3Sqtu?d;YR@FgI>JdU&-W>#q?l0S59OrE!?*pbyUY#KNmYf>kWyW1gL`p zF>G+M8`4nsl%|kNXC(C+xKcc=jer=}H00<%h~_d%BX( zRZ5A$bYBsFD%D1SF18ZA7%_)P#=d6Q4YB&DOJyiGRD!0(g_UGBpFFU#AYVK%QG_j0 zB)rOvFK0!em@cJ@!)eWVVRE=wNe(1(xwP`0pO0napxDVpaYNj`n&6L&L`UCj78JDUWY_mh&9`eRCD6H3{8-S_wJHMOmz3tgo-9qCd9_n`6%swNpKd0yu} zckcW6xL28`hOlZB^2KsR<*GAK8NVj!es@Wng*B^(^yLHYYP!eR zLqnb*;E<{F#BCO}I#oSj)mzo3`U-I8N><1wl4`?HN#x*n;n_2}ep43n4dtQGFR$im z2QvKwmFkjH#wwv);W*@xjqM5>G6&^!#Cl_3)jZs5)gINwhhDtD%6$UdF?SnXXA5H= z_pwi87bF&yDp-6f2Q4gAyzT%=Y^5V>Jk7}W|LdA3^VxinoIVatya(2amjt>yW?K&m zH`Ek`Y8k5y%FLjeq4j~L%)83jyj;-hN_t$=3wiq`*Ix;+p91?G04S!_cu}rCn@Ki3 zRsiJ(3d7}P^Zl8szbU5sv8<{_t%g)SJuy^BCCb(H#ZhRykx=en0_|JlY`(zgtaeJF zm>~%b5bUaD{ZS zl+PuU!H=q=@oy%^$nny%7^iKQD|Dd!DsPjqI!JNryeoa#O;S*~yoSLs#f z9Jzd|x~iP&%-io3ymK0Ce&8xA-twr1F;s}14(=h7jnxdCIlYLWjBUUROGs3h*t#hw zVaBulu290O+ZBb3B``OdP?#{zA~PH(haL|o+1Zm)3!s%62|YnB^h7|n2V3k1;)zlm zE^R2AD8@6+kL{iWM%?LnjNpQB-Z(gjB{q&_NVg!EhPCKqaN`a_F91QDJ`Tg5(jxv; z;Q4k)3HoQ#@ywui{-NcXX_yYDfroGuodGB}*@eO0p;Ek*O$@8OvME*P!=#@H7MMIv zXSkZ@SuJ4CZUMW#1?)L3V9#vFldfW%ou(|bVa8r-V;=Dkt(r4Pzu#4NF$|Y@4 z<V z8ld>lwfMSBu2j6Slwn?`gb{$pZ~$H(aj|-In2J(n!iv-Tbf~OIdnTYbiEvU z4xq9DajsnGd4TeYm_CCwEokP$I_P}hdF`;XBR)8Au!%79Vdx8hW}S6+LxaPB7Xm1= z)hB@z4#tbbLO%s0ez86T-LzmD*3?e}$Q~k=A&Aq*VffFqh`+c+{3XD%tL8duerb!6 zF9TlK)t7rpyI(Z_tX$|7jiJpfCZ7WoKhb5`D_g)`1?+Z^TNs|z+0hlx7vt%{PJG5o z56WN~Hb_4YK71^;vjX;}Av1zcF?JnXKQ0>3H+ehonUL=*Lf7O*$AfW4^&>^EA#-rNHA zo4~fRYTnW!{?-=px3!4>7VyHg?{+|Cd#gL-LhqDA?~+3|$f4f`ROEB+29V};KiT{) zkngAOur9Mk0w|w;&ETnZJOLGVZ}OwyAe�iIG2&H~4Wm^v7a-pO6cE5>U~^oOr$1Wv-M% zKQ4z(%Aue=o&fn2sv~upfW41lM6ixP=3p$cF&~d%>tP)=XP}SvU%l( zAMe(j9iEF*P#VVzXF@8^;8rbPQu)~GQC%EN!<0S?aPHUmKc$<&x2n0J7hhu{yRMFz zoim-UMDZIByw_Dl$Fs|(#^UKEwRam(V}&pq@NqW2mC@O`Z+F+eU325{98QM@d(*{u zNB7?Gu8yuQiqRMUg{B#_b4$KtzD0@1GcYxF@5jf8DRR&Vo z%3waFHmU~9m-VS>)Xea91%gjd&h(&y&p#dsx8^XK4SkOSQaGBAZXT*!p&>O1^HFMl z^_@?nrj`veaLR+?wZ_nDBkvU>?-L{M2U0lv9}o+DP>g&?jQqa5p+67{{h=87h#2{( z82K2GO^yXn`og_9;s+x54Jy8;JIIe3@S`x!r||cIi_9I~FGfBfMm{J;J_KZw#x_m> z0E)$aE{8rXhd$#7?E$?Po<-`?R^vMEuNuqA>x5UWXffO3*4mtGBru7A3EY?%EUI#iBr;*J+P-zUOF8QEX>MFLb zaqLh>u|{h@2B>T-EptN}Ryxd4U8ALk1Io^sjmNr;VqX>{4R1Fr_r!KJ`E~W4I@c9| zI#|hQ>$n@zkU8OoG-R#>(rE(S2D^ zG<2dTqk<2I;JaC=p=3FZ&(t{oQ<_uc;!l-BPm@DWmqX70lzTlq0h7R|qf_tX_TTY@)y<$4LeE{X2Kt;QvU85X&6g!xo;?Lkd!;9` z+ed92_<%k2imN=4O}+wQ*yr%~(7PO=hLIk1)7LQ4V~#?Nramc$J|&0# zTn>F&4t>UhHhUq|=$qdGDqDpADA)H-j!;A2KR47j^fIb3mY#PMYK*1V!$QKjx(%RG z$F!{{R?w|)@WeKK^~x~R8(pzR_q@qdS@1aYn_a~kD!;`OE3niKfa2Hew~P#-Z})^Y z+g8I&?*LRfDt2@fYmDQaT*Vr#-5FT?nzfT5_1%DR^XBk>Wqa!I-CT99Lu0!))H&2y z7|8cEL>dHYr(4^S8g|;-4Qa5P0i@8&bjYDSa_E4#sUMLGy-p7Ok{tSbIrQD=Q(?F6 zDu+%76gms0>mG8U?~_B{FNb~rP`q*!TaZbW2P(~-@EX(ZgL27x0V;Lld$)j{0j#WZ zXNr;gG(NS@so_-Kg*$8p`k*l+smQfZyu_iW-!duiHqN|LD(fm&JrV&9@71P z8V`zP=ETS)9@713yD<;x{$0D}K;o1+`f{!s2tDU@m^f5IWZr+T=xaL#=s0(vg??l0!zZFB_)td`E>1ru#MzRfF1S zYp>Tm4(}fuZ*Qj2Sbmv&aAL{W^3t*uGZM?E#wJJNdvcI|z|hc_^;rO_>(B>o)XzZ| z4Mp1D5_+H|bfzWrM_NK3*b+M15_+&HG&*M*ycpJ)*jUCTF6sVmR z2^|Xv-!ues%1>bxDDkEqZ0M$$s&Cks5ZZXt5JBT;yiGIZKkD>RSoW|Q7v21i1er#w z&R4^Idhp-r_zEns(M&DXEy!*`Dcqv?#9EMOJ$8)bVM*ctj@`6aOOJLGa02?oT8$eG zQnW*CG>_<>i{{Y|3QG^QMw;_BS_(a#&&X;k>c%5lN;Cz%vQLj_??7klgG5VtMJU?Q z4X=n||0Jf-?m}($%`s+8bxU>2<5~lTYrk(eM2`=PspVlTJp={T_z>`jhFD(Pf~*f3 zh&v>o#R|cKXw@wq>a5-DTWjxNb@qFMV%6;nlZVw7L4m+ITEijQ9?^=iT|TQX4i;EE zcqPXN5$Lg7kcTBj6>xXN-7>?kxRY&nH#8P&N)a?p!1NW760JuxMdLv?ioV#l+AXmg zaq8mOFMLx@^{Z+&^0$zeY4!7i1-l0eEY;s0N%43jg~haS!BQ|%{v7F5dRY3tfXB8l zGCab66>m1~!z?um8cX@dQ*5@QaC0%x=AuXR@+#2u6=5pSLjZa83|wow@sCmc&q0^7 zTd=^|eG0n4f>@2S#+bEZJ0_@@#dJ%-=DB)ow*6v_!p&CGf0AO>tc=z}ZEnmRO0joFsy>rxgEL4nmci-*7mMKiUe2nwtrWeqxusijzSfyJX81<_Zn z+aV>Khn@{D1|16j2cQ~-nt~rC@D0mwrplI}er-`$Bgmoo+Q@%$cS;UYbiZtmzG<~9 zEzci)amSjbmg<5_uF(@Hx?cp2%~HJ`1(w_5{|fU>!d=_YbK#omQ8*dAUTB$6y*f^E zJ*2{!Zf+D-TV6e?TblkWwZ&@OW?Nvni`RHJsM|lUxHD*nk)zir%LQBOoM2d5SJ0wY zLQ9IJhsD%_w8LT+Q>#EN8g9LBwZ&p;6CG*cVS&av7TnQLrYP8ii5?vKy?rf zEGbrjrC9VO0zOFZ8_5>anp4t>-5)$Bw0KxU>WrWi>*+yjziv%at8woSGPP6>FVJn- zR^J2GMt&XVI%{=7IFDDJ;{O2v0RR7Z0en_#Y!p=#zAbG9f$}Jn7ATfSv8}6?LLY3` zwzD&LcL#PJ&dio>_%RZyWihFgmO!c|H72GS5;PbhH5d|-+S*72E1Hn_h&BE(_{+yX z0tr9x2l0_AkN}=@cJJ(Nce|6^+}(S=dmi6C=iJL#5nId{`}mW=08FeI##$H=CFmNa zqZ+!a>JGO;lFWqDthS&>% zTR`(Nwh}-ux8Lc5iNLF1&S2ekWh1(rHNooL1NW-&*jB*6T^T3@m9r99d}aT|BQTMw zwiGDLb(iZg%?(ARa3Euw-;XOK^Bo%)O7suB*jJkv817s_2Iuyi#3YcS^#yAB3=ryG_AWn?>&4h|r;l4u4oeTZESBa^*#L`w5#2QQ%%V-ba7 zM-0m$Ev^!*B941cPK*lYM8P?6lLMfd^uTud5QKoC;Xc2AX!vMipnp99&b&7{A~aM3 zfX;UwVVEBq*tZ}Zo1Ul;=uHLCZqQKT8!68KMIUb43WGd2av*Uukl~9j<9BBb`wDP_ zw?CZ_rk22ebWDz@<|fiQGcfl(EE3zI1HjR$PTY;EK})jYt|_V36U5TUJM}jZjAV+` zS2!k)8`D*%mW_enyRWClg+0-8k{UO&7eF38b@>;V$YLeT=ub$E0@d8)y25o?<@S~w z(!GLAa*8_|w=yiUvFrE!r7)Ml5MHHH6;a!A3bHF@HMbzWeDwQe&2%B(oS2=bFzd+B zYYnZZKovzI8o3-bQfZc@qZrSp%7Sx&4G_TEctmlWA;H`wn^ml%Bu3UpOO5MBzQE%$uo1e*WXu6=7X;a*wy9 zqMbxq?X7#r7d;~osM%o`5>TRNM-sS;)q zFPMO>RKZ1q!zE}Fy~L{q?W$1Yjv7+A)keO(+crG|BJxcH;rRw^J6vlgzYv5UD#Ub> zLJ6Q6J`gf%O7S&Cs{Do4raps*z6S5 zx^NHmWnDFN83w3~X0P4GEfk9AS*f;*4nCLD{zUc8Zvc&*6iZpz$OEBIT%X?io+mB=n0g#hA_Ja5OfARC2W7vFyUK6;A` zh$jKPY~TqS!?%bn=Xjp~HHE1lHlDc diff --git a/1ano/isd/quartus-projects/DecoderDemo/incremental_db/compiled_partitions/DecoderDemo.root_partition.map.kpt b/1ano/isd/quartus-projects/DecoderDemo/incremental_db/compiled_partitions/DecoderDemo.root_partition.map.kpt deleted file mode 100644 index 526b9b1f220af9b231c8edfb2b768acd46e064d0..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 209 zcmV;?051Qm4*>uG0001ZoSl)&3IZ_jT>hcq8o9c2yTbYG-A;{Ogn<$ z$J?>s0~JKbBHY}263BROeIr`XTw%qg*cpK|MP*XOZPT_42Ez%-Nuw)Pqy>Xx&&l`h zp{}9;OOgs<6J;p#G}vL%%Y%SXnL|-zp~^h8>p3i>N0*Dh{)qFnx=Yz-+CKVt3)KJ1RH_uvOtodn+P LGj(zWhk=iKcrjmz diff --git a/1ano/isd/quartus-projects/DecoderDemo/incremental_db/compiled_partitions/DecoderDemo.rrp.hdb b/1ano/isd/quartus-projects/DecoderDemo/incremental_db/compiled_partitions/DecoderDemo.rrp.hdb deleted file mode 100644 index 571cc5275b4969feb7033d09d9401be4cad9e8d4..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 11807 zcmV+)F5uA=000233jqKC0001M0BZm=00011WpZ4R5H!?6F zF)=SOF)uPOGB6-hN+3*WbY&n#WNCD1Z*DkB0RR91005LI00000002u20000000000 z0018@00000004La?0pTGTt%5~hs|z(q35O#V@ECNrI+VWwxCKM40; znoKgmKr$026G#9@{*izYki``dH6pU;vWkd+fXLlNM06L_EV2s(e6IH{?nM{ZC?Mp% zZ_W9-zwUER)#+26FoCIOYN}4v_rBj(U)8BP|NVwcCiCuTnataf`ox1R_$=xePB>({mQ?tvF>&^YF2T7{ z;eAgglVOzY>NF{jOKw-zi_O#_c}b)6N*&Ycdiq!DYpD}i>7VLU>RqYyqog7qXH#5# zoPH@6+)-#RqinnMh@7N=X5NU{KD1(F<_aBDN#$J(W)R zVQL&q?FUoyKxQd?z&Py){A1`w>OkFG2Zt{GWzI!h^|aj)#$xw8^?EhNIYVaO3r z`RU9c&>~8+j+`$d<+8I8k!hKih%-khO*?#OcPl$u!N}uU3NuK_@_vT=C+Qk zuCzkf%675Dl+F)?2{Pe2$=K4Zs2mI&BXTrAptYfO}WtnUXmNFt+T~=K#^|hqruZ}jnO_p_0*tl}avM#D#%3Srr!IZ)5 z{u9~kZ4;^ae>eV9=03!15V-5&SqjAbApys$ZAbYaioHIkWPdrsqZ!7sB6 zHtuKgH{d@SyEBWFM;1{rZswG7!N_)XN^Tvi>t!2a)fZt{#6S^-w;;A7nkK!<>BE8k zW8qOcl{PUITi=HK(8}e-!4aMStQ=au`qbg#`b}#GN7kSwb3b-kjzjhZVbUxff3nvk z@Sy%eY_ON4j43e^$T3qkR7wo%Dgq1GWekr^B*utkvx5zjXqZTGm&`SGxWov}H6@Wc zF_~0j60T**V>nBFD@yxb0HTw%^_t6#^A>DBEd8Y z$tmSS`8Fykc6askx#Zcf@z##MTu*DUwYR;i*xuUL+BEKhaq^3RjjtZuu*!wygelm? z9j(1fi^FX>#NIBaTFz%N&_t?vv0w1*Z5_uu74BaR7#~T{!hJOg{%myW(cQovXdJJ( zJ#eDifx0~gP-@RHSZLU*wwOhInkYvOwWeuw)e{rv3(*l*FXeG^QogHF(O>UW?5#I% zHJ|9I9xv5$vRy6b+7?_~T8)phr&`XnT`wM&9;uIOUtGE1#7;@$7o7^9N93ua{1_{;`$ZKhaph9ZpS>);*rJJpkrquN~ct(uH%>c zfMpap*_JemIvtO~HlxVLr4T8FdofJeat5AViv5!T9r zG$2m4UV5$D(}NMnkvJW(x))JY3b&%4c(;)uZps}17yb+n zM!qA2@v_alY)f8Jkx82hZxmj1NLnouS57(BX?c;Y zE*Dvr>#!C3ALkH;Er{)iujl!6s{K>_KQ;b$-#oy%XVtWcLvKBgCCLL?3fE&E<4G<< zn*KX2!iFrkbgZ^X>f~58uD-e)w54z@?B)p}1AVcp=O5}AMq7EzRc)7S%X%r>l1h1X z3aV1L2JPVkXAFG4qF(J8h0XL6ADR+>yHbdj!o{%bzXe<5sFz*Ba~AA_ik;iRjcn#7 z#P=Zc7~&ns^Hg!-xhsxNO8j4lGcH9u7fAKY6@@9nAkJr=8zQj|s=f}1ZlsR|923u2i3DpUFwgR3=0PWsxCspq3FeK2(Xt)IY)d0b z??dcHT!%OvW%68=xa35XNnl={fT~bcZHx%bCNj<*5o)GT@ct*>-f0+IxvFw&3wnd* zl8Et8M4rC?KbXvY=GRC$4*B4y$RWFv5AX53^m(MbJn%cDyqxp`jmz(aUEW_a9Ph1DnO`E9igym-p ztr{6!8@zX0z{|G{XPgOTd}2y=WP`N)ql4%;AqyB#QVRpSNf?@Hr{E*rd^&68;L++O z;)WH28yhyRU4sV6bPk!gz>&d7?}G#*QTmI!1>fI7QVJg^jYhd$Lr0hq!56}~!N}9+ z(z|xZo522l4nmZsMhtH=E}1e`k7GJV>X`08q?N=o&oTyVmX#te<27y^KOJC*V;~=S z8M*J60^}LaMdWPQwRkc9M+OaNUWfCr;Q>G24}O6k{8B&oX29u>t^)o=2Ak)$0O8@e zs{pqv=P;Ggls&d=-_^*z8?kBOg1MMaX3t-^aQ@smvm2V`&08>M-t5Lk#03lHmjRWz z>NaivUU@b83PkNjRiiccP1x}8$iZlEt?-tz{`UgTopw=cM{A)i$77AiU~9oq;7H&8 zSzhP{FZ9tsM>uak6iWy-97h;Z$NQe?8~#3^IZ|_l)0xR1&M-x)ertPz-f_vGNtlTA1c2*o=iERQSj&8 zaQ3Xg$0NMA!)ZSmHV5{f6~XZPL=0O3hBG1<{@`Swhpz%dl>Qfi#$U!9wj(v6dIF&O zDDX=_@{qmI)zjJ9k!|bh?9O+z_T}TdlkSkl+!E24gJEle-RUG4bZZ3s-O1nsG4O11 zc+`NJ2{`^7qgloiC3QFq1?-86*ITrJ9*nm~H2zqpdNTB&?KC0EeIIl(&}e!us`-mh znV|3D+FuOd9bG5rR_i>q$H7lQB^QI%{=P1a(fJU*rChrlmE@in%jNRQT!=wc52Dhe z{VM`^Q$xjRo>E{2S6us7`oV_)=jcnWTOA0T=GXG!6!4a^U;Y_zUI=UL=*V`r_ATu# zSK-x6HYx~^iyc0Xppx~KQ&$vvRm86MD4+?tek=BzDELoOz?J)d8fm+Ncsto$KnhlcXMfvuLWX8nJDNp*rp8}lJ>8I4E{S5ebzmO`Hbqzvw)|Ll-F+0P62PB2@~O* z6mVtycnY|vMtU*eJk-Nm-W~Z~Oz5hvB5NB8m-yN6aX<>(1Po z0m?X!S}0n|_%|nqkBj4! z@o!58->f~Sw7(s2`lK`0p6~B0TUD9kp>&kz8iB@NZ5M+S^_*nJS9F|$&vnBqEN>}e z=K;z+ZC31MzzSp;n3)eG{;X||!!D>5t2+9az~cD1Ax`W2oXF5J-coMx`+;^0?>NOD z0F-sH7rWws#{!7IR6hP-tytybMZl(PYnvMy@^ab9nMeHKPXO*-IO6uBS;67++}Mt? znSOMDo}CKa=!Jei(2fqXnLg|X|EM4Qd_VY?{op?X9DkXn=7zb4sgYQbwK;E_FTuZn zApM|y3}`+FS>U;D4L7z``+g1zkJ0mVV*7807PeG}XOb!J!Bb^X@Lwc{msW12{{H|@ z@AWO~=H)t7Q<1gx|Gy`0ev|>v2I%Iw6$;gcP4}<7(EkCnN54PsrMw^B@K8Pwz#G%u zzAC2B-cs(A<$&`EMLr5r%op$(xXxUmueGC?;GVRtKL=BorPl2gDd6QsWiAAq*2N!M zg=}vr!!N2GT?S_^PK3S%BL;%z*ID%AHSfm}6bDmlA=UNv5BS0P8PQs`t6p0NuR8XG zxC6v5rH9_&hK71u_1Ak~G3(QfmAim;G})&7-+;xDp7prchJPZ3deve733!cdSDt%5 zg>nUd(C-NO2Y&E}{NO+IgFg(o-SM)caFWnrq&CIZ5KB!#Xhj~~R@1c&!6H4^4}Kot zG^U1qO({R$Px%FazXf#ty}4{_Z?-45q`#xJC!0_8)XRk+QgS$9v@lAhMi&U|4> zwmYBd3FnKz$eTUAeXWJ|)}D6N@QN(N%*w%m!Mwi3adBD>@&w@$aPR@oUbLknm(6#! zF3CwpxJn>sR@t^d> zU*UU@nl3fEbzUkB5+S{8S$YpzTJ^6HJgr5czXSsX< z-(W77CshlJ^Go`Btk0?fR5?Snpdbi;gG%#cNn2kE)6}8MXX@6-YrRa8h9UiI-AuZ! zZcLx6SL3d)n@OLq8`Br+#`Hx$rk3(3*b1gvmpwJCzYIA3QXV1Q=!O0Y&|Gr!g?t~b z1@v|Gww2penWKq304W`WsOp!#aU{)!)*-+IAc+UtYUaIK|QcuToy(^J4z zx9yh#URIvj;s^gE;OPg=6@JRE1e{k7%dqY!WwsYx86)?47WB3#p zc;Pzs87v$4YJk)G@2`Qbb>yj5UE`(v(?H`dvlu~Zz)&*4Z!R@;u*>{mAMdy z8vLJ0%b`?UTL+HMf`fL>Pu>b;!F6@8;B(;c7z*+nZ@S6<^M1;|5Dm93T*`f41RQ^< zFL!VC#D59+{h+^lV0KeubGEA|o9k?9O7|ey4dCJvEsYaFwXz}`+9y=)m;EVj^r!fW zKgCV{6o2baakD?gSN$oz1`1x@Hg83>=X=|_268>Ao|gDKFmbs`^_=4^KH$Ii0e>A} z{H5N>Z}r4~(-VK2C;nTW_}e}4-v&O_YOQ9bJL@pS9$ zJ$}mX^@D%c4}PB?{Cj|>p1jG+=TCWw#7&{=+iFwb$@ zz8wF73{=r#e*I_tA8^#sMQ$ZTr^8QlZXGg*q=4>ikrw3sRvj zNrk!;D97O7AmFhD4~e&{kA2tpC_NNajOWWh$?KEr*Q_jlc*7uTqXLHop^Vj|?Q&4? zN`m=8TMGwUFe6yI^xHyQyLc&VbYx_#xNiCA*lPLBMrtC;zBo}L%C2OKR2-TM6~Fjy zrd6(qMLf}7#Pd(KskMSk`Kh#O6~Gu7Dv(N|v~tDYPl-V--+!IKhRFUD||S zA(+!RH}=EeG?dNEG7|*X+NX7H=SC1p>tD@2O0foNBg6ovwXcJ5Or^E3=H`^v!&oFO zHEvg3=2{Q6o!aeO6S?xs8ym@2M1B0}S`p*4msZ8vhqrTO)H>-g38mGscAcdaGPY~t zl$KV>nvtcIvSwsywX7LgS}|)zmR8N0k)@ThW@Ksgw0G!ctWy~5=Jz*bETmaI1&frI z1WDQ4*wEAvdx*wel~RmqQNR|yaD&L>_X&n<$Lg`6Y;I*~1*AxIu+hreo$b zAJaTPn=RnRQs<&vPquN+QQ79k=H_ZT*{&G5%FNRsP|GqRd`M4sLqm;eDdRUH%laCw z{Hcqd`~i4}-67>=-I=re;NyVvg22$mbt9u=#g&7Kx*}_{;bV~WXu^en(}oYPD2{9x zTeo4XLb2Ki*`R(pwC2k1dDL>PA77od2T@52&wd`!59m9szG9_((VdCeD6hr15HwEVlu7{-2p$!$J zUlRYKK*dy}uBPao8n+T1#ieLA%pKzX2#MPW<~N zswKAqOTXK{XBubpH@(oe0iAwqwxh|k{QU*|?uwdbRO671v%KKmt>U0vfZENDLv{zq z88a%4EN{gjKjb!-Cea^^%gZaM zZ_DO7%(s$)7yV)n#p2p{hTF(E=$US)%{?3a;G6v5X93Pb>-A%!8&-^M7#&iBwIUnW z`itE9H9z?ylQrOyQzCF{~-+^ziFKdhc${`u=La&qQI4~+Qlw>kLKxA3`+)9Rf1BS&B z3=bt@xIbW66v6PrL<|oE497+=Je-K(p@89-2!816lEhadnKcIKA_k?f?^*~&=pOw z517-&9Rb6>5e#ok#PDLkuulZTn}TLEH(HH&C7{?lg5qt-Jh2zd2wH#$ z!ptNDM+O9s3xS}K9tMJNZ&sVo5HM^L1~t(f2?obRLj}#CxaRlaLH$|mFy`zohcD6P z;xGG=V-$kizZiA+E(*ep&=RZ_B=b7l=Y{^B7y5oL^#Afg|AQC$AHC2Ic%i@Vg?`Wr z{R1!bLqI3kSs-PGYxPk5p_lT9z0g1MLjM?OkB0w~m-0uv(2shdf8vGyDbNYsb|mIz zNLPeL%*{CebiOC|BqnF#tH)b&vbjADzRFYmsc!Xj4%M^ehex#F!=PZR>}QG31Pn7G z7*;zO$p1?)M4?Y}H^Bx!9dLHwT)Y8{Br@3WYXbGn_TRyXvwp2t+lQTK_8xV!_YR5h z{fLu+jeiylQRsC*CmH>5_)({J+D|m?KPbZf^-c!b{~Q>i&|^R+*!kn&8@!aC;ivpe zKlnyJ_$EL2S$^=d0Z%gS;yUge;5jbh=PcW(`Ka4?4k{|=ustwh*nZ4SK?|M-MHKwp zME1v_&+|f`?}fg=ui+O4@Q(h_OE7Sk2{yl71UR3T!Kbv_``hrHyIfD~&zISFF7`9y z5~zA(g1te^2hUmKw3EQoNLDYAL) zvjKXx^(I)H<=1(kKj&rn^#OXm_4qr^^3QvrzW_A;GO3*Q#Xxn_yfhuQHBdb#4fsoe z>U87u1~2rNz0fy$p}&$C9d)YstwiX!Q?=W@(BJk#-vM-z1Kv2>@ASmqS?xv=y)XHn^420^@^lcqD_=G9|-4fj3?h1~n#+ zaxy?5vo9D*Xwn8@7AmR1F(%{Sjcz#E31^R$JP>GS(&DCI1L%S%_)=7|K7Kga(8r zNdZ^GcP8MZxA$M1^21Un7sHVro&v6HKOzNOY5yz0@lIUkgnWD7(qgI$2}h=|prvfW zdjWUNfuX)v4mc_ezN|ho3-}s4U!fbEXb6OlQCrFsM@KSL&)=bgiZVf_5e(Ggm_K{HO-}&P~C7rTrzivVSi$-eExq z4mt^Mal^?@?G+6WJklS#DZ~O&FzRq83fu!%kd)NnE-&Du1xg`Vw&ZVS-Ob9H-k-sbgAFWm(%^vVDo|E`P8 z@}U5o?w3N=0FAvh{!Sq)WvFXsE(-SX8a}C@UJT4(58==Fb&jkYTGP9F?X1={V?(3M zv+v=o_g7kv&WkN{hZ&_oQzlAkR0Hx#P1O zZ{cPBT;=&w=~B)?Bza;a&uc5pV`kd9Uy#SQLU+DZ<*5&oWQOI8&mody0I3W_oC=uv z=w_tPqx`){`KIav!IXC%wvB+9xwyeV%cX!;?0N}m^wokr*TLbIFs;_jr^9^>Ganiw zv-p&p6;9^x@YS+=I*J3z*V4B+Im~d--C#Utbz#E3wQ?Ls!?arCQ)@wkvn~ATaJ$Fc zrq`oyjSH=9In-$>&t2>esz+Pi;k3i;4`Zpdv)@$*Ep9s|iv$-6-%&W|nJoF zwMm$vZSCx|hSh^~mvgwAZw?1`xg9(pv5zrx-ND_rZcE)9RtX+n*)6TdI>!S)yOxB* z((R8uLV`xx{jqz->Fdkuld83PudK%cY_7;y2ftbPv|6`6+(&Hg`GY5U_OWhehZKfs zNIj0Wj6XD~yTI(oZiuyC+*(2s?xW8wPOtNX+ukqTP6_usW=yv>-))DvJz?c=pEbIV z`u@_{TJvy+)ed)ScaKEE)x$b)-Fs9$dcPk2U^DC^d4*HLZ3l1o*|qE*e;n$x!`+r> z3I1|0FPkwe!gVzJ`K{rwjv%+hTd2yD!;DkSae$N5ELs{8vefKAR*4YA94A{LW_(yB z-1B-!?Jg-Wv*pR~qcJ(?ql%6SwZ*(lW^LRqv9unfp|;e6^i|?`Ew$xUa$yR!q5bxC z*bOJE55R@|5$)5hm}ZTqFvFPTb(hZ{_2?J(`VuH?#n_iD@aJPjTD;6y`Bw?Lt}(wAm3l4hps9lt?GoE-9p@z^#s z3VAa+)1&M#7b0#~5?M-E)w0qq5$dQ=LC9kvi9NnRIr-p7k8wtjo=fYGLlj3gQp-cC zZ{2f{@%p$j-CRW2tT>LRmd#3H0-_`g#5#gPOMw}M8Zx0)X)%LtTxt2XY3(1%Q_cZ2 zL~|I!hM`NGd<&>D= zlrUr6s>K|jStZ;Y+v;G4TZ@kbJa>H1_qD48$L)$PY3y!PSp&14u`RL z%425G>{&gx=Zvw}m!;n=Z`6$PWd#LQ#lWqpf3PSmhs`93nz=EQ+7J!NQY`1fJOt+J`EKZNi7k2VrDsbd~fMpAx#&<$XP*Z z_8V#`ItTh>=3EwL5oRmyk zwA#8AxDC^4L(NaJsl5om#PfC+Of}Iw9UTIp1%24w|1@4m~ z197)jk07Jo3aN)$H%AHwh2}zjV9@SlbG8<0zAiY$$>DZ#jvShFR&_zBZ%y+xBqZT3 zFkR`%;nrd#tOF*Y#4fkA)9Lk4Yfq|%m?34g)O`vV{mzIcy@zTeeM%{YU(wV^3DfJS zOz8DjkweiA}y{>s0clLm*BnK&xfzgTUdX0krs2?6cM9N*V@-n8B$ZnR zAVusVeER6xL%5uhiN7k@ zD=>FsYhxMi1#*=zD5z1axHOR)X-8m|9z<8eNDp)s#jo{?LsxJXlS|Z;71B+c40HUx zYTAyz)05+f;SjZHM-2|ZCXxf+=@G!l=z!ZjGI}gFG`N|7b018N2?^DJlGTf1i>b7Q zeFw7>Gm{m9uFXR`KHVR!p_BxQ9$dB^23c^lKX%NMW{WHBx3`vk4czd(&nJbdiEP-Ehe4VkZH*r2dl^^y5$ zY7W9Yl$UWf(Lw8_bez9=50{X6qRA!tG#c2Q)h|W4FYXx4J>*Mi>DXf-Ph1xiEEg!A zfG%bE>jpTtzo~w*q`N-4-kyH#wqK|eNt#+rdS%j!TOmN)l9#Qh>SyEd>+-3$AEC7v z0da+(TN7N5BY4lTc$Ve4Z)%tdVo@p;GH+^utf1l@gLWx?ZEp_J-rVoLIsy|Jsbfec N1QY&6_#XfO|Nm-0biDuo diff --git a/1ano/isd/quartus-projects/DecoderDemo/output_files/DecoderDemo.asm.rpt b/1ano/isd/quartus-projects/DecoderDemo/output_files/DecoderDemo.asm.rpt deleted file mode 100644 index 8d16f93..0000000 --- a/1ano/isd/quartus-projects/DecoderDemo/output_files/DecoderDemo.asm.rpt +++ /dev/null @@ -1,92 +0,0 @@ -Assembler report for DecoderDemo -Mon Nov 14 21:56:49 2022 -Quartus Prime Version 20.1.1 Build 720 11/11/2020 SJ Lite Edition - - ---------------------- -; Table of Contents ; ---------------------- - 1. Legal Notice - 2. Assembler Summary - 3. Assembler Settings - 4. Assembler Generated Files - 5. Assembler Device Options: DecoderDemo.sof - 6. Assembler Messages - - - ----------------- -; Legal Notice ; ----------------- -Copyright (C) 2020 Intel Corporation. All rights reserved. -Your use of Intel Corporation's design tools, logic functions -and other software and tools, and any partner logic -functions, and any output files from any of the foregoing -(including device programming or simulation files), and any -associated documentation or information are expressly subject -to the terms and conditions of the Intel Program License -Subscription Agreement, the Intel Quartus Prime License Agreement, -the Intel FPGA IP License Agreement, or other applicable license -agreement, including, without limitation, that your use is for -the sole purpose of programming logic devices manufactured by -Intel and sold by Intel or its authorized distributors. Please -refer to the applicable agreement for further details, at -https://fpgasoftware.intel.com/eula. - - - -+---------------------------------------------------------------+ -; Assembler Summary ; -+-----------------------+---------------------------------------+ -; Assembler Status ; Successful - Mon Nov 14 21:56:49 2022 ; -; Revision Name ; DecoderDemo ; -; Top-level Entity Name ; Dec2_4 ; -; Family ; Cyclone IV E ; -; Device ; EP4CE6E22C6 ; -+-----------------------+---------------------------------------+ - - -+----------------------------------+ -; Assembler Settings ; -+--------+---------+---------------+ -; Option ; Setting ; Default Value ; -+--------+---------+---------------+ - - -+--------------------------------------------------------------+ -; Assembler Generated Files ; -+--------------------------------------------------------------+ -; File Name ; -+--------------------------------------------------------------+ -; /home/tiagorg/repos/DecoderDemo/output_files/DecoderDemo.sof ; -+--------------------------------------------------------------+ - - -+-------------------------------------------+ -; Assembler Device Options: DecoderDemo.sof ; -+----------------+--------------------------+ -; Option ; Setting ; -+----------------+--------------------------+ -; JTAG usercode ; 0x00093A30 ; -; Checksum ; 0x00093A30 ; -+----------------+--------------------------+ - - -+--------------------+ -; Assembler Messages ; -+--------------------+ -Info: ******************************************************************* -Info: Running Quartus Prime Assembler - Info: Version 20.1.1 Build 720 11/11/2020 SJ Lite Edition - Info: Processing started: Mon Nov 14 21:56:49 2022 -Info: Command: quartus_asm --read_settings_files=off --write_settings_files=off DecoderDemo -c DecoderDemo -Warning (18236): Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance. -Info (115031): Writing out detailed assembly data for power analysis -Info (115030): Assembler is generating device programming files -Info: Quartus Prime Assembler was successful. 0 errors, 1 warning - Info: Peak virtual memory: 352 megabytes - Info: Processing ended: Mon Nov 14 21:56:49 2022 - Info: Elapsed time: 00:00:00 - Info: Total CPU time (on all processors): 00:00:00 - - diff --git a/1ano/isd/quartus-projects/DecoderDemo/output_files/DecoderDemo.done b/1ano/isd/quartus-projects/DecoderDemo/output_files/DecoderDemo.done deleted file mode 100644 index bc6e379..0000000 --- a/1ano/isd/quartus-projects/DecoderDemo/output_files/DecoderDemo.done +++ /dev/null @@ -1 +0,0 @@ -Mon Nov 14 21:56:51 2022 diff --git a/1ano/isd/quartus-projects/DecoderDemo/output_files/DecoderDemo.eda.rpt b/1ano/isd/quartus-projects/DecoderDemo/output_files/DecoderDemo.eda.rpt deleted file mode 100644 index fd06dc2..0000000 --- a/1ano/isd/quartus-projects/DecoderDemo/output_files/DecoderDemo.eda.rpt +++ /dev/null @@ -1,94 +0,0 @@ -EDA Netlist Writer report for DecoderDemo -Mon Nov 14 21:56:51 2022 -Quartus Prime Version 20.1.1 Build 720 11/11/2020 SJ Lite Edition - - ---------------------- -; Table of Contents ; ---------------------- - 1. Legal Notice - 2. EDA Netlist Writer Summary - 3. Simulation Settings - 4. Simulation Generated Files - 5. EDA Netlist Writer Messages - - - ----------------- -; Legal Notice ; ----------------- -Copyright (C) 2020 Intel Corporation. All rights reserved. -Your use of Intel Corporation's design tools, logic functions -and other software and tools, and any partner logic -functions, and any output files from any of the foregoing -(including device programming or simulation files), and any -associated documentation or information are expressly subject -to the terms and conditions of the Intel Program License -Subscription Agreement, the Intel Quartus Prime License Agreement, -the Intel FPGA IP License Agreement, or other applicable license -agreement, including, without limitation, that your use is for -the sole purpose of programming logic devices manufactured by -Intel and sold by Intel or its authorized distributors. Please -refer to the applicable agreement for further details, at -https://fpgasoftware.intel.com/eula. - - - -+-------------------------------------------------------------------+ -; EDA Netlist Writer Summary ; -+---------------------------+---------------------------------------+ -; EDA Netlist Writer Status ; Successful - Mon Nov 14 21:56:51 2022 ; -; Revision Name ; DecoderDemo ; -; Top-level Entity Name ; Dec2_4 ; -; Family ; Cyclone IV E ; -; Simulation Files Creation ; Successful ; -+---------------------------+---------------------------------------+ - - -+----------------------------------------------------------------------------------------------------------------------------+ -; Simulation Settings ; -+---------------------------------------------------------------------------------------------------+------------------------+ -; Option ; Setting ; -+---------------------------------------------------------------------------------------------------+------------------------+ -; Tool Name ; ModelSim-Altera (VHDL) ; -; Generate functional simulation netlist ; On ; -; Truncate long hierarchy paths ; Off ; -; Map illegal HDL characters ; Off ; -; Flatten buses into individual nodes ; Off ; -; Maintain hierarchy ; Off ; -; Bring out device-wide set/reset signals as ports ; Off ; -; Enable glitch filtering ; Off ; -; Do not write top level VHDL entity ; Off ; -; Disable detection of setup and hold time violations in the input registers of bi-directional pins ; Off ; -; Architecture name in VHDL output netlist ; structure ; -; Generate third-party EDA tool command script for RTL functional simulation ; Off ; -; Generate third-party EDA tool command script for gate-level simulation ; Off ; -+---------------------------------------------------------------------------------------------------+------------------------+ - - -+---------------------------------------------------------------------+ -; Simulation Generated Files ; -+---------------------------------------------------------------------+ -; Generated Files ; -+---------------------------------------------------------------------+ -; /home/tiagorg/repos/DecoderDemo/simulation/modelsim/DecoderDemo.vho ; -+---------------------------------------------------------------------+ - - -+-----------------------------+ -; EDA Netlist Writer Messages ; -+-----------------------------+ -Info: ******************************************************************* -Info: Running Quartus Prime EDA Netlist Writer - Info: Version 20.1.1 Build 720 11/11/2020 SJ Lite Edition - Info: Processing started: Mon Nov 14 21:56:51 2022 -Info: Command: quartus_eda --read_settings_files=off --write_settings_files=off DecoderDemo -c DecoderDemo -Warning (18236): Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance. -Info (204019): Generated file DecoderDemo.vho in folder "/home/tiagorg/repos/DecoderDemo/simulation/modelsim/" for EDA simulation tool -Info: Quartus Prime EDA Netlist Writer was successful. 0 errors, 1 warning - Info: Peak virtual memory: 600 megabytes - Info: Processing ended: Mon Nov 14 21:56:51 2022 - Info: Elapsed time: 00:00:00 - Info: Total CPU time (on all processors): 00:00:00 - - diff --git a/1ano/isd/quartus-projects/DecoderDemo/output_files/DecoderDemo.fit.rpt b/1ano/isd/quartus-projects/DecoderDemo/output_files/DecoderDemo.fit.rpt deleted file mode 100644 index cceb611..0000000 --- a/1ano/isd/quartus-projects/DecoderDemo/output_files/DecoderDemo.fit.rpt +++ /dev/null @@ -1,873 +0,0 @@ -Fitter report for DecoderDemo -Mon Nov 14 21:56:48 2022 -Quartus Prime Version 20.1.1 Build 720 11/11/2020 SJ Lite Edition - - ---------------------- -; Table of Contents ; ---------------------- - 1. Legal Notice - 2. Fitter Summary - 3. Fitter Settings - 4. Parallel Compilation - 5. Incremental Compilation Preservation Summary - 6. Incremental Compilation Partition Settings - 7. Incremental Compilation Placement Preservation - 8. Pin-Out File - 9. Fitter Resource Usage Summary - 10. Fitter Partition Statistics - 11. Input Pins - 12. Output Pins - 13. Dual Purpose and Dedicated Pins - 14. I/O Bank Usage - 15. All Package Pins - 16. I/O Assignment Warnings - 17. Fitter Resource Utilization by Entity - 18. Delay Chain Summary - 19. Pad To Core Delay Chain Fanout - 20. Routing Usage Summary - 21. LAB Logic Elements - 22. LAB Signals Sourced - 23. LAB Signals Sourced Out - 24. LAB Distinct Inputs - 25. I/O Rules Summary - 26. I/O Rules Details - 27. I/O Rules Matrix - 28. Fitter Device Options - 29. Operating Settings and Conditions - 30. Fitter Messages - 31. Fitter Suppressed Messages - - - ----------------- -; Legal Notice ; ----------------- -Copyright (C) 2020 Intel Corporation. All rights reserved. -Your use of Intel Corporation's design tools, logic functions -and other software and tools, and any partner logic -functions, and any output files from any of the foregoing -(including device programming or simulation files), and any -associated documentation or information are expressly subject -to the terms and conditions of the Intel Program License -Subscription Agreement, the Intel Quartus Prime License Agreement, -the Intel FPGA IP License Agreement, or other applicable license -agreement, including, without limitation, that your use is for -the sole purpose of programming logic devices manufactured by -Intel and sold by Intel or its authorized distributors. Please -refer to the applicable agreement for further details, at -https://fpgasoftware.intel.com/eula. - - - -+----------------------------------------------------------------------------------+ -; Fitter Summary ; -+------------------------------------+---------------------------------------------+ -; Fitter Status ; Successful - Mon Nov 14 21:56:48 2022 ; -; Quartus Prime Version ; 20.1.1 Build 720 11/11/2020 SJ Lite Edition ; -; Revision Name ; DecoderDemo ; -; Top-level Entity Name ; Dec2_4 ; -; Family ; Cyclone IV E ; -; Device ; EP4CE6E22C6 ; -; Timing Models ; Final ; -; Total logic elements ; 4 / 6,272 ( < 1 % ) ; -; Total combinational functions ; 4 / 6,272 ( < 1 % ) ; -; Dedicated logic registers ; 0 / 6,272 ( 0 % ) ; -; Total registers ; 0 ; -; Total pins ; 8 / 92 ( 9 % ) ; -; Total virtual pins ; 0 ; -; Total memory bits ; 0 / 276,480 ( 0 % ) ; -; Embedded Multiplier 9-bit elements ; 0 / 30 ( 0 % ) ; -; Total PLLs ; 0 / 2 ( 0 % ) ; -+------------------------------------+---------------------------------------------+ - - -+----------------------------------------------------------------------------------------------------------------------------------------------------+ -; Fitter Settings ; -+--------------------------------------------------------------------+---------------------------------------+---------------------------------------+ -; Option ; Setting ; Default Value ; -+--------------------------------------------------------------------+---------------------------------------+---------------------------------------+ -; Device ; auto ; ; -; Fit Attempts to Skip ; 0 ; 0.0 ; -; Use smart compilation ; Off ; Off ; -; Enable parallel Assembler and Timing Analyzer during compilation ; On ; On ; -; Enable compact report table ; Off ; Off ; -; Auto Merge PLLs ; On ; On ; -; Router Timing Optimization Level ; Normal ; Normal ; -; Perform Clocking Topology Analysis During Routing ; Off ; Off ; -; Placement Effort Multiplier ; 1.0 ; 1.0 ; -; Router Effort Multiplier ; 1.0 ; 1.0 ; -; Optimize Hold Timing ; All Paths ; All Paths ; -; Optimize Multi-Corner Timing ; On ; On ; -; Power Optimization During Fitting ; Normal compilation ; Normal compilation ; -; SSN Optimization ; Off ; Off ; -; Optimize Timing ; Normal compilation ; Normal compilation ; -; Optimize Timing for ECOs ; Off ; Off ; -; Regenerate Full Fit Report During ECO Compiles ; Off ; Off ; -; Optimize IOC Register Placement for Timing ; Normal ; Normal ; -; Limit to One Fitting Attempt ; Off ; Off ; -; Final Placement Optimizations ; Automatically ; Automatically ; -; Fitter Aggressive Routability Optimizations ; Automatically ; Automatically ; -; Fitter Initial Placement Seed ; 1 ; 1 ; -; Periphery to Core Placement and Routing Optimization ; Off ; Off ; -; PCI I/O ; Off ; Off ; -; Weak Pull-Up Resistor ; Off ; Off ; -; Enable Bus-Hold Circuitry ; Off ; Off ; -; Auto Packed Registers ; Auto ; Auto ; -; Auto Delay Chains ; On ; On ; -; Auto Delay Chains for High Fanout Input Pins ; Off ; Off ; -; Allow Single-ended Buffer for Differential-XSTL Input ; Off ; Off ; -; Treat Bidirectional Pin as Output Pin ; Off ; Off ; -; Perform Physical Synthesis for Combinational Logic for Fitting ; Off ; Off ; -; Perform Physical Synthesis for Combinational Logic for Performance ; Off ; Off ; -; Perform Register Duplication for Performance ; Off ; Off ; -; Perform Logic to Memory Mapping for Fitting ; Off ; Off ; -; Perform Register Retiming for Performance ; Off ; Off ; -; Perform Asynchronous Signal Pipelining ; Off ; Off ; -; Fitter Effort ; Auto Fit ; Auto Fit ; -; Physical Synthesis Effort Level ; Normal ; Normal ; -; Logic Cell Insertion - Logic Duplication ; Auto ; Auto ; -; Auto Register Duplication ; Auto ; Auto ; -; Auto Global Clock ; On ; On ; -; Auto Global Register Control Signals ; On ; On ; -; Reserve all unused pins ; As input tri-stated with weak pull-up ; As input tri-stated with weak pull-up ; -; Synchronizer Identification ; Auto ; Auto ; -; Enable Beneficial Skew Optimization ; On ; On ; -; Optimize Design for Metastability ; On ; On ; -; Force Fitter to Avoid Periphery Placement Warnings ; Off ; Off ; -; Enable input tri-state on active configuration pins in user mode ; Off ; Off ; -+--------------------------------------------------------------------+---------------------------------------+---------------------------------------+ - - -+------------------------------------------+ -; Parallel Compilation ; -+----------------------------+-------------+ -; Processors ; Number ; -+----------------------------+-------------+ -; Number detected on machine ; 8 ; -; Maximum allowed ; 4 ; -; ; ; -; Average used ; 1.00 ; -; Maximum used ; 4 ; -; ; ; -; Usage by Processor ; % Time Used ; -; Processor 1 ; 100.0% ; -; Processors 2-4 ; 0.1% ; -+----------------------------+-------------+ - - -+-------------------------------------------------------------------------------------------------+ -; Incremental Compilation Preservation Summary ; -+---------------------+-------------------+----------------------------+--------------------------+ -; Type ; Total [A + B] ; From Design Partitions [A] ; From Rapid Recompile [B] ; -+---------------------+-------------------+----------------------------+--------------------------+ -; Placement (by node) ; ; ; ; -; -- Requested ; 0.00 % ( 0 / 31 ) ; 0.00 % ( 0 / 31 ) ; 0.00 % ( 0 / 31 ) ; -; -- Achieved ; 0.00 % ( 0 / 31 ) ; 0.00 % ( 0 / 31 ) ; 0.00 % ( 0 / 31 ) ; -; ; ; ; ; -; Routing (by net) ; ; ; ; -; -- Requested ; 0.00 % ( 0 / 0 ) ; 0.00 % ( 0 / 0 ) ; 0.00 % ( 0 / 0 ) ; -; -- Achieved ; 0.00 % ( 0 / 0 ) ; 0.00 % ( 0 / 0 ) ; 0.00 % ( 0 / 0 ) ; -+---------------------+-------------------+----------------------------+--------------------------+ - - -+----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Incremental Compilation Partition Settings ; -+--------------------------------+----------------+-------------------+-------------------------+------------------------+------------------------------+--------------------------------+ -; Partition Name ; Partition Type ; Netlist Type Used ; Preservation Level Used ; Netlist Type Requested ; Preservation Level Requested ; Contents ; -+--------------------------------+----------------+-------------------+-------------------------+------------------------+------------------------------+--------------------------------+ -; Top ; User-created ; Source File ; N/A ; Source File ; N/A ; ; -; hard_block:auto_generated_inst ; Auto-generated ; Source File ; N/A ; Source File ; N/A ; hard_block:auto_generated_inst ; -+--------------------------------+----------------+-------------------+-------------------------+------------------------+------------------------------+--------------------------------+ - - -+------------------------------------------------------------------------------------------------------------------------------------+ -; Incremental Compilation Placement Preservation ; -+--------------------------------+-----------------------+-------------------------+-------------------+---------------------+-------+ -; Partition Name ; Preservation Achieved ; Preservation Level Used ; Netlist Type Used ; Preservation Method ; Notes ; -+--------------------------------+-----------------------+-------------------------+-------------------+---------------------+-------+ -; Top ; 0.00 % ( 0 / 21 ) ; N/A ; Source File ; N/A ; ; -; hard_block:auto_generated_inst ; 0.00 % ( 0 / 10 ) ; N/A ; Source File ; N/A ; ; -+--------------------------------+-----------------------+-------------------------+-------------------+---------------------+-------+ - - -+--------------+ -; Pin-Out File ; -+--------------+ -The pin-out file can be found in /home/tiagorg/repos/DecoderDemo/output_files/DecoderDemo.pin. - - -+-------------------------------------------------------------------+ -; Fitter Resource Usage Summary ; -+---------------------------------------------+---------------------+ -; Resource ; Usage ; -+---------------------------------------------+---------------------+ -; Total logic elements ; 4 / 6,272 ( < 1 % ) ; -; -- Combinational with no register ; 4 ; -; -- Register only ; 0 ; -; -- Combinational with a register ; 0 ; -; ; ; -; Logic element usage by number of LUT inputs ; ; -; -- 4 input functions ; 4 ; -; -- 3 input functions ; 0 ; -; -- <=2 input functions ; 0 ; -; -- Register only ; 0 ; -; ; ; -; Logic elements by mode ; ; -; -- normal mode ; 4 ; -; -- arithmetic mode ; 0 ; -; ; ; -; Total registers* ; 0 / 6,684 ( 0 % ) ; -; -- Dedicated logic registers ; 0 / 6,272 ( 0 % ) ; -; -- I/O registers ; 0 / 412 ( 0 % ) ; -; ; ; -; Total LABs: partially or completely used ; 1 / 392 ( < 1 % ) ; -; Virtual pins ; 0 ; -; I/O pins ; 8 / 92 ( 9 % ) ; -; -- Clock pins ; 1 / 3 ( 33 % ) ; -; -- Dedicated input pins ; 0 / 9 ( 0 % ) ; -; ; ; -; M9Ks ; 0 / 30 ( 0 % ) ; -; Total block memory bits ; 0 / 276,480 ( 0 % ) ; -; Total block memory implementation bits ; 0 / 276,480 ( 0 % ) ; -; Embedded Multiplier 9-bit elements ; 0 / 30 ( 0 % ) ; -; PLLs ; 0 / 2 ( 0 % ) ; -; Global signals ; 0 ; -; -- Global clocks ; 0 / 10 ( 0 % ) ; -; JTAGs ; 0 / 1 ( 0 % ) ; -; CRC blocks ; 0 / 1 ( 0 % ) ; -; ASMI blocks ; 0 / 1 ( 0 % ) ; -; Oscillator blocks ; 0 / 1 ( 0 % ) ; -; Impedance control blocks ; 0 / 4 ( 0 % ) ; -; Average interconnect usage (total/H/V) ; 0.0% / 0.1% / 0.0% ; -; Peak interconnect usage (total/H/V) ; 0.3% / 0.3% / 0.2% ; -; Maximum fan-out ; 4 ; -; Highest non-global fan-out ; 4 ; -; Total fan-out ; 33 ; -; Average fan-out ; 1.10 ; -+---------------------------------------------+---------------------+ -* Register count does not include registers inside RAM blocks or DSP blocks. - - - -+---------------------------------------------------------------------------------------------------+ -; Fitter Partition Statistics ; -+---------------------------------------------+--------------------+--------------------------------+ -; Statistic ; Top ; hard_block:auto_generated_inst ; -+---------------------------------------------+--------------------+--------------------------------+ -; Difficulty Clustering Region ; Low ; Low ; -; ; ; ; -; Total logic elements ; 4 / 6272 ( < 1 % ) ; 0 / 6272 ( 0 % ) ; -; -- Combinational with no register ; 4 ; 0 ; -; -- Register only ; 0 ; 0 ; -; -- Combinational with a register ; 0 ; 0 ; -; ; ; ; -; Logic element usage by number of LUT inputs ; ; ; -; -- 4 input functions ; 4 ; 0 ; -; -- 3 input functions ; 0 ; 0 ; -; -- <=2 input functions ; 0 ; 0 ; -; -- Register only ; 0 ; 0 ; -; ; ; ; -; Logic elements by mode ; ; ; -; -- normal mode ; 4 ; 0 ; -; -- arithmetic mode ; 0 ; 0 ; -; ; ; ; -; Total registers ; 0 ; 0 ; -; -- Dedicated logic registers ; 0 / 6272 ( 0 % ) ; 0 / 6272 ( 0 % ) ; -; -- I/O registers ; 0 ; 0 ; -; ; ; ; -; Total LABs: partially or completely used ; 1 / 392 ( < 1 % ) ; 0 / 392 ( 0 % ) ; -; ; ; ; -; Virtual pins ; 0 ; 0 ; -; I/O pins ; 8 ; 0 ; -; Embedded Multiplier 9-bit elements ; 0 / 30 ( 0 % ) ; 0 / 30 ( 0 % ) ; -; Total memory bits ; 0 ; 0 ; -; Total RAM block bits ; 0 ; 0 ; -; ; ; ; -; Connections ; ; ; -; -- Input Connections ; 0 ; 0 ; -; -- Registered Input Connections ; 0 ; 0 ; -; -- Output Connections ; 0 ; 0 ; -; -- Registered Output Connections ; 0 ; 0 ; -; ; ; ; -; Internal Connections ; ; ; -; -- Total Connections ; 28 ; 5 ; -; -- Registered Connections ; 0 ; 0 ; -; ; ; ; -; External Connections ; ; ; -; -- Top ; 0 ; 0 ; -; -- hard_block:auto_generated_inst ; 0 ; 0 ; -; ; ; ; -; Partition Interface ; ; ; -; -- Input Ports ; 4 ; 0 ; -; -- Output Ports ; 4 ; 0 ; -; -- Bidir Ports ; 0 ; 0 ; -; ; ; ; -; Registered Ports ; ; ; -; -- Registered Input Ports ; 0 ; 0 ; -; -- Registered Output Ports ; 0 ; 0 ; -; ; ; ; -; Port Connectivity ; ; ; -; -- Input Ports driven by GND ; 0 ; 0 ; -; -- Output Ports driven by GND ; 0 ; 0 ; -; -- Input Ports driven by VCC ; 0 ; 0 ; -; -- Output Ports driven by VCC ; 0 ; 0 ; -; -- Input Ports with no Source ; 0 ; 0 ; -; -- Output Ports with no Source ; 0 ; 0 ; -; -- Input Ports with no Fanout ; 0 ; 0 ; -; -- Output Ports with no Fanout ; 0 ; 0 ; -+---------------------------------------------+--------------------+--------------------------------+ - - -+---------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Input Pins ; -+------+-------+----------+--------------+--------------+--------------+-----------------------+--------------------+--------+----------------+---------------+-----------------+----------+--------------+--------------+---------------------------+----------------------+-----------+ -; Name ; Pin # ; I/O Bank ; X coordinate ; Y coordinate ; Z coordinate ; Combinational Fan-Out ; Registered Fan-Out ; Global ; Input Register ; Power Up High ; PCI I/O Enabled ; Bus Hold ; Weak Pull Up ; I/O Standard ; Termination Control Block ; Location assigned by ; Slew Rate ; -+------+-------+----------+--------------+--------------+--------------+-----------------------+--------------------+--------+----------------+---------------+-----------------+----------+--------------+--------------+---------------------------+----------------------+-----------+ -; E0L ; 30 ; 2 ; 0 ; 8 ; 14 ; 4 ; 0 ; no ; no ; no ; yes ; no ; Off ; 2.5 V ; -- ; Fitter ; no ; -; E1 ; 24 ; 2 ; 0 ; 11 ; 14 ; 4 ; 0 ; no ; no ; no ; yes ; no ; Off ; 2.5 V ; -- ; Fitter ; no ; -; X0 ; 25 ; 2 ; 0 ; 11 ; 21 ; 4 ; 0 ; no ; no ; no ; yes ; no ; Off ; 2.5 V ; -- ; Fitter ; no ; -; X1 ; 31 ; 2 ; 0 ; 7 ; 0 ; 4 ; 0 ; no ; no ; no ; yes ; no ; Off ; 2.5 V ; -- ; Fitter ; no ; -+------+-------+----------+--------------+--------------+--------------+-----------------------+--------------------+--------+----------------+---------------+-----------------+----------+--------------+--------------+---------------------------+----------------------+-----------+ - - -+-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Output Pins ; -+------+-------+----------+--------------+--------------+--------------+-----------------+------------------------+---------------+-----------+-----------------+------------+---------------+----------+--------------+--------------+------------------+-----------------------------------+---------------------------+----------------------------+-----------------------------+----------------------+----------------------+---------------------+ -; Name ; Pin # ; I/O Bank ; X coordinate ; Y coordinate ; Z coordinate ; Output Register ; Output Enable Register ; Power Up High ; Slew Rate ; PCI I/O Enabled ; Open Drain ; TRI Primitive ; Bus Hold ; Weak Pull Up ; I/O Standard ; Current Strength ; Termination ; Termination Control Block ; Output Buffer Pre-emphasis ; Voltage Output Differential ; Location assigned by ; Output Enable Source ; Output Enable Group ; -+------+-------+----------+--------------+--------------+--------------+-----------------+------------------------+---------------+-----------+-----------------+------------+---------------+----------+--------------+--------------+------------------+-----------------------------------+---------------------------+----------------------------+-----------------------------+----------------------+----------------------+---------------------+ -; Y0 ; 34 ; 2 ; 0 ; 5 ; 14 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 2.5 V ; Default ; Series 50 Ohm without Calibration ; -- ; no ; no ; Fitter ; - ; - ; -; Y1 ; 28 ; 2 ; 0 ; 9 ; 7 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 2.5 V ; Default ; Series 50 Ohm without Calibration ; -- ; no ; no ; Fitter ; - ; - ; -; Y2 ; 32 ; 2 ; 0 ; 6 ; 14 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 2.5 V ; Default ; Series 50 Ohm without Calibration ; -- ; no ; no ; Fitter ; - ; - ; -; Y3 ; 33 ; 2 ; 0 ; 6 ; 21 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 2.5 V ; Default ; Series 50 Ohm without Calibration ; -- ; no ; no ; Fitter ; - ; - ; -+------+-------+----------+--------------+--------------+--------------+-----------------+------------------------+---------------+-----------+-----------------+------------+---------------+----------+--------------+--------------+------------------+-----------------------------------+---------------------------+----------------------------+-----------------------------+----------------------+----------------------+---------------------+ - - -+-------------------------------------------------------------------------------------------------------------------------+ -; Dual Purpose and Dedicated Pins ; -+----------+-----------------------------+--------------------------+-------------------------+---------------------------+ -; Location ; Pin Name ; Reserved As ; User Signal Name ; Pin Type ; -+----------+-----------------------------+--------------------------+-------------------------+---------------------------+ -; 6 ; DIFFIO_L1n, DATA1, ASDO ; As input tri-stated ; ~ALTERA_ASDO_DATA1~ ; Dual Purpose Pin ; -; 8 ; DIFFIO_L2p, FLASH_nCE, nCSO ; As input tri-stated ; ~ALTERA_FLASH_nCE_nCSO~ ; Dual Purpose Pin ; -; 9 ; nSTATUS ; - ; - ; Dedicated Programming Pin ; -; 12 ; DCLK ; As output driving ground ; ~ALTERA_DCLK~ ; Dual Purpose Pin ; -; 13 ; DATA0 ; As input tri-stated ; ~ALTERA_DATA0~ ; Dual Purpose Pin ; -; 14 ; nCONFIG ; - ; - ; Dedicated Programming Pin ; -; 21 ; nCE ; - ; - ; Dedicated Programming Pin ; -; 92 ; CONF_DONE ; - ; - ; Dedicated Programming Pin ; -; 94 ; MSEL0 ; - ; - ; Dedicated Programming Pin ; -; 96 ; MSEL1 ; - ; - ; Dedicated Programming Pin ; -; 97 ; MSEL2 ; - ; - ; Dedicated Programming Pin ; -; 97 ; MSEL3 ; - ; - ; Dedicated Programming Pin ; -; 101 ; DIFFIO_R3n, nCEO ; Use as programming pin ; ~ALTERA_nCEO~ ; Dual Purpose Pin ; -+----------+-----------------------------+--------------------------+-------------------------+---------------------------+ - - -+-----------------------------------------------------------+ -; I/O Bank Usage ; -+----------+-----------------+---------------+--------------+ -; I/O Bank ; Usage ; VCCIO Voltage ; VREF Voltage ; -+----------+-----------------+---------------+--------------+ -; 1 ; 4 / 11 ( 36 % ) ; 2.5V ; -- ; -; 2 ; 8 / 8 ( 100 % ) ; 2.5V ; -- ; -; 3 ; 0 / 11 ( 0 % ) ; 2.5V ; -- ; -; 4 ; 0 / 14 ( 0 % ) ; 2.5V ; -- ; -; 5 ; 0 / 13 ( 0 % ) ; 2.5V ; -- ; -; 6 ; 1 / 10 ( 10 % ) ; 2.5V ; -- ; -; 7 ; 0 / 13 ( 0 % ) ; 2.5V ; -- ; -; 8 ; 0 / 12 ( 0 % ) ; 2.5V ; -- ; -+----------+-----------------+---------------+--------------+ - - -+-----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; All Package Pins ; -+----------+------------+----------+-----------------------------------------------------------+--------+--------------+---------+------------+-----------------+----------+--------------+ -; Location ; Pad Number ; I/O Bank ; Pin Name/Usage ; Dir. ; I/O Standard ; Voltage ; I/O Type ; User Assignment ; Bus Hold ; Weak Pull Up ; -+----------+------------+----------+-----------------------------------------------------------+--------+--------------+---------+------------+-----------------+----------+--------------+ -; 1 ; 0 ; 1 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; 2 ; 1 ; 1 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; 3 ; 2 ; 1 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; 4 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; -; 5 ; ; ; VCCINT ; power ; ; 1.2V ; -- ; ; -- ; -- ; -; 6 ; 5 ; 1 ; ~ALTERA_ASDO_DATA1~ / RESERVED_INPUT_WITH_WEAK_PULLUP ; input ; 2.5 V ; ; Row I/O ; N ; no ; On ; -; 7 ; 6 ; 1 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; -- ; -- ; -; 8 ; 7 ; 1 ; ~ALTERA_FLASH_nCE_nCSO~ / RESERVED_INPUT_WITH_WEAK_PULLUP ; input ; 2.5 V ; ; Row I/O ; N ; no ; On ; -; 9 ; 9 ; 1 ; ^nSTATUS ; ; ; ; -- ; ; -- ; -- ; -; 10 ; 13 ; 1 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; 11 ; 14 ; 1 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; 12 ; 15 ; 1 ; ~ALTERA_DCLK~ ; output ; 2.5 V ; ; Row I/O ; N ; no ; On ; -; 13 ; 16 ; 1 ; ~ALTERA_DATA0~ / RESERVED_INPUT_WITH_WEAK_PULLUP ; input ; 2.5 V ; ; Row I/O ; N ; no ; On ; -; 14 ; 17 ; 1 ; ^nCONFIG ; ; ; ; -- ; ; -- ; -- ; -; 15 ; 18 ; 1 ; #TDI ; input ; ; ; -- ; ; -- ; -- ; -; 16 ; 19 ; 1 ; #TCK ; input ; ; ; -- ; ; -- ; -- ; -; 17 ; ; 1 ; VCCIO1 ; power ; ; 2.5V ; -- ; ; -- ; -- ; -; 18 ; 20 ; 1 ; #TMS ; input ; ; ; -- ; ; -- ; -- ; -; 19 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; -; 20 ; 21 ; 1 ; #TDO ; output ; ; ; -- ; ; -- ; -- ; -; 21 ; 22 ; 1 ; ^nCE ; ; ; ; -- ; ; -- ; -- ; -; 22 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; -; 23 ; 24 ; 1 ; GND+ ; ; ; ; Row I/O ; ; -- ; -- ; -; 24 ; 25 ; 2 ; E1 ; input ; 2.5 V ; ; Row I/O ; N ; no ; Off ; -; 25 ; 26 ; 2 ; X0 ; input ; 2.5 V ; ; Row I/O ; N ; no ; Off ; -; 26 ; ; 2 ; VCCIO2 ; power ; ; 2.5V ; -- ; ; -- ; -- ; -; 27 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; -; 28 ; 31 ; 2 ; Y1 ; output ; 2.5 V ; ; Row I/O ; N ; no ; Off ; -; 29 ; ; ; VCCINT ; power ; ; 1.2V ; -- ; ; -- ; -- ; -; 30 ; 34 ; 2 ; E0L ; input ; 2.5 V ; ; Row I/O ; N ; no ; Off ; -; 31 ; 36 ; 2 ; X1 ; input ; 2.5 V ; ; Row I/O ; N ; no ; Off ; -; 32 ; 39 ; 2 ; Y2 ; output ; 2.5 V ; ; Row I/O ; N ; no ; Off ; -; 33 ; 40 ; 2 ; Y3 ; output ; 2.5 V ; ; Row I/O ; N ; no ; Off ; -; 34 ; 41 ; 2 ; Y0 ; output ; 2.5 V ; ; Row I/O ; N ; no ; Off ; -; 35 ; ; -- ; VCCA1 ; power ; ; 2.5V ; -- ; ; -- ; -- ; -; 36 ; ; ; GNDA1 ; gnd ; ; ; -- ; ; -- ; -- ; -; 37 ; ; ; VCCD_PLL1 ; power ; ; 1.2V ; -- ; ; -- ; -- ; -; 38 ; 45 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; 39 ; 46 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; 40 ; ; 3 ; VCCIO3 ; power ; ; 2.5V ; -- ; ; -- ; -- ; -; 41 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; -; 42 ; 52 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; 43 ; 53 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; 44 ; 54 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; 45 ; ; ; VCCINT ; power ; ; 1.2V ; -- ; ; -- ; -- ; -; 46 ; 58 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; -- ; -- ; -; 47 ; ; 3 ; VCCIO3 ; power ; ; 2.5V ; -- ; ; -- ; -- ; -; 48 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; -; 49 ; 68 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; 50 ; 69 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; 51 ; 70 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; 52 ; 72 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; 53 ; 73 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; 54 ; 74 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; 55 ; 75 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; 56 ; ; 4 ; VCCIO4 ; power ; ; 2.5V ; -- ; ; -- ; -- ; -; 57 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; -; 58 ; 80 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; 59 ; 83 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; 60 ; 84 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; 61 ; ; ; VCCINT ; power ; ; 1.2V ; -- ; ; -- ; -- ; -; 62 ; ; 4 ; VCCIO4 ; power ; ; 2.5V ; -- ; ; -- ; -- ; -; 63 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; -; 64 ; 89 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; 65 ; 90 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; -- ; -- ; -; 66 ; 93 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; 67 ; 94 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; 68 ; 96 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; 69 ; 97 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; 70 ; 98 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; 71 ; 99 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; 72 ; 100 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; 73 ; 102 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; 74 ; 103 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; 75 ; 104 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; 76 ; 106 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; 77 ; 107 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; 78 ; ; ; VCCINT ; power ; ; 1.2V ; -- ; ; -- ; -- ; -; 79 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; -; 80 ; 113 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; -- ; -- ; -; 81 ; ; 5 ; VCCIO5 ; power ; ; 2.5V ; -- ; ; -- ; -- ; -; 82 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; -; 83 ; 117 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; 84 ; 118 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; 85 ; 119 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; 86 ; 120 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; 87 ; 121 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; 88 ; 125 ; 5 ; GND+ ; ; ; ; Row I/O ; ; -- ; -- ; -; 89 ; 126 ; 5 ; GND+ ; ; ; ; Row I/O ; ; -- ; -- ; -; 90 ; 127 ; 6 ; GND+ ; ; ; ; Row I/O ; ; -- ; -- ; -; 91 ; 128 ; 6 ; GND+ ; ; ; ; Row I/O ; ; -- ; -- ; -; 92 ; 129 ; 6 ; ^CONF_DONE ; ; ; ; -- ; ; -- ; -- ; -; 93 ; ; 6 ; VCCIO6 ; power ; ; 2.5V ; -- ; ; -- ; -- ; -; 94 ; 130 ; 6 ; ^MSEL0 ; ; ; ; -- ; ; -- ; -- ; -; 95 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; -; 96 ; 131 ; 6 ; ^MSEL1 ; ; ; ; -- ; ; -- ; -- ; -; 97 ; 132 ; 6 ; ^MSEL2 ; ; ; ; -- ; ; -- ; -- ; -; 97 ; 133 ; 6 ; ^MSEL3 ; ; ; ; -- ; ; -- ; -- ; -; 98 ; 136 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; 99 ; 137 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; 100 ; 138 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; 101 ; 139 ; 6 ; ~ALTERA_nCEO~ / RESERVED_OUTPUT_OPEN_DRAIN ; output ; 2.5 V ; ; Row I/O ; N ; no ; Off ; -; 102 ; ; ; VCCINT ; power ; ; 1.2V ; -- ; ; -- ; -- ; -; 103 ; 140 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; 104 ; 141 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; 105 ; 142 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; -- ; -- ; -; 106 ; 146 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; 107 ; ; -- ; VCCA2 ; power ; ; 2.5V ; -- ; ; -- ; -- ; -; 108 ; ; ; GNDA2 ; gnd ; ; ; -- ; ; -- ; -- ; -; 109 ; ; ; VCCD_PLL2 ; power ; ; 1.2V ; -- ; ; -- ; -- ; -; 110 ; 152 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; 111 ; 154 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; 112 ; 155 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; 113 ; 156 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; 114 ; 157 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; 115 ; 158 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; 116 ; ; ; VCCINT ; power ; ; 1.2V ; -- ; ; -- ; -- ; -; 117 ; ; 7 ; VCCIO7 ; power ; ; 2.5V ; -- ; ; -- ; -- ; -; 118 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; -; 119 ; 163 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; -- ; -- ; -; 120 ; 164 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; 121 ; 165 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; 122 ; ; 7 ; VCCIO7 ; power ; ; 2.5V ; -- ; ; -- ; -- ; -; 123 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; -; 124 ; 173 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; 125 ; 174 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; 126 ; 175 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; 127 ; 176 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; 128 ; 177 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; 129 ; 178 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; 130 ; ; 8 ; VCCIO8 ; power ; ; 2.5V ; -- ; ; -- ; -- ; -; 131 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; -; 132 ; 181 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; 133 ; 182 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; 134 ; ; ; VCCINT ; power ; ; 1.2V ; -- ; ; -- ; -- ; -; 135 ; 185 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; 136 ; 187 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; -- ; -- ; -; 137 ; 190 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; 138 ; 191 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; 139 ; ; 8 ; VCCIO8 ; power ; ; 2.5V ; -- ; ; -- ; -- ; -; 140 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; -; 141 ; 195 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; 142 ; 201 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; 143 ; 202 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; 144 ; 203 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; EPAD ; ; ; GND ; ; ; ; -- ; ; -- ; -- ; -+----------+------------+----------+-----------------------------------------------------------+--------+--------------+---------+------------+-----------------+----------+--------------+ -Note: Pin directions (input, output or bidir) are based on device operating in user mode. - - -+------------------------------------------+ -; I/O Assignment Warnings ; -+----------+-------------------------------+ -; Pin Name ; Reason ; -+----------+-------------------------------+ -; Y3 ; Incomplete set of assignments ; -; Y2 ; Incomplete set of assignments ; -; Y1 ; Incomplete set of assignments ; -; Y0 ; Incomplete set of assignments ; -; E1 ; Incomplete set of assignments ; -; X0 ; Incomplete set of assignments ; -; X1 ; Incomplete set of assignments ; -; E0L ; Incomplete set of assignments ; -; Y3 ; Missing location assignment ; -; Y2 ; Missing location assignment ; -; Y1 ; Missing location assignment ; -; Y0 ; Missing location assignment ; -; E1 ; Missing location assignment ; -; X0 ; Missing location assignment ; -; X1 ; Missing location assignment ; -; E0L ; Missing location assignment ; -+----------+-------------------------------+ - - -+-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Fitter Resource Utilization by Entity ; -+----------------------------+-------------+---------------------------+---------------+-------------+------+--------------+---------+-----------+------+--------------+--------------+-------------------+------------------+---------------------+-------------+--------------+ -; Compilation Hierarchy Node ; Logic Cells ; Dedicated Logic Registers ; I/O Registers ; Memory Bits ; M9Ks ; DSP Elements ; DSP 9x9 ; DSP 18x18 ; Pins ; Virtual Pins ; LUT-Only LCs ; Register-Only LCs ; LUT/Register LCs ; Full Hierarchy Name ; Entity Name ; Library Name ; -+----------------------------+-------------+---------------------------+---------------+-------------+------+--------------+---------+-----------+------+--------------+--------------+-------------------+------------------+---------------------+-------------+--------------+ -; |Dec2_4 ; 4 (4) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 8 ; 0 ; 4 (4) ; 0 (0) ; 0 (0) ; |Dec2_4 ; Dec2_4 ; work ; -+----------------------------+-------------+---------------------------+---------------+-------------+------+--------------+---------+-----------+------+--------------+--------------+-------------------+------------------+---------------------+-------------+--------------+ -Note: For table entries with two numbers listed, the numbers in parentheses indicate the number of resources of the given type used by the specific entity alone. The numbers listed outside of parentheses indicate the total resources of the given type used by the specific entity and all of its sub-entities in the hierarchy. - - -+--------------------------------------------------------------------------------------+ -; Delay Chain Summary ; -+------+----------+---------------+---------------+-----------------------+-----+------+ -; Name ; Pin Type ; Pad to Core 0 ; Pad to Core 1 ; Pad to Input Register ; TCO ; TCOE ; -+------+----------+---------------+---------------+-----------------------+-----+------+ -; Y3 ; Output ; -- ; -- ; -- ; -- ; -- ; -; Y2 ; Output ; -- ; -- ; -- ; -- ; -- ; -; Y1 ; Output ; -- ; -- ; -- ; -- ; -- ; -; Y0 ; Output ; -- ; -- ; -- ; -- ; -- ; -; E1 ; Input ; (0) 0 ps ; -- ; -- ; -- ; -- ; -; X0 ; Input ; (0) 0 ps ; -- ; -- ; -- ; -- ; -; X1 ; Input ; (6) 1314 ps ; -- ; -- ; -- ; -- ; -; E0L ; Input ; (6) 1314 ps ; -- ; -- ; -- ; -- ; -+------+----------+---------------+---------------+-----------------------+-----+------+ - - -+---------------------------------------------------+ -; Pad To Core Delay Chain Fanout ; -+---------------------+-------------------+---------+ -; Source Pin / Fanout ; Pad To Core Index ; Setting ; -+---------------------+-------------------+---------+ -; E1 ; ; ; -; X0 ; ; ; -; X1 ; ; ; -; - inst ; 0 ; 6 ; -; - inst1 ; 0 ; 6 ; -; - inst3 ; 0 ; 6 ; -; - inst2 ; 0 ; 6 ; -; E0L ; ; ; -; - inst ; 0 ; 6 ; -; - inst1 ; 0 ; 6 ; -; - inst3 ; 0 ; 6 ; -; - inst2 ; 0 ; 6 ; -+---------------------+-------------------+---------+ - - -+----------------------------------------------+ -; Routing Usage Summary ; -+-----------------------+----------------------+ -; Routing Resource Type ; Usage ; -+-----------------------+----------------------+ -; Block interconnects ; 8 / 32,401 ( < 1 % ) ; -; C16 interconnects ; 0 / 1,326 ( 0 % ) ; -; C4 interconnects ; 7 / 21,816 ( < 1 % ) ; -; Direct links ; 0 / 32,401 ( 0 % ) ; -; Global clocks ; 0 / 10 ( 0 % ) ; -; Local interconnects ; 0 / 10,320 ( 0 % ) ; -; R24 interconnects ; 4 / 1,289 ( < 1 % ) ; -; R4 interconnects ; 8 / 28,186 ( < 1 % ) ; -+-----------------------+----------------------+ - - -+--------------------------------------------------------------------------+ -; LAB Logic Elements ; -+--------------------------------------------+-----------------------------+ -; Number of Logic Elements (Average = 4.00) ; Number of LABs (Total = 1) ; -+--------------------------------------------+-----------------------------+ -; 1 ; 0 ; -; 2 ; 0 ; -; 3 ; 0 ; -; 4 ; 1 ; -; 5 ; 0 ; -; 6 ; 0 ; -; 7 ; 0 ; -; 8 ; 0 ; -; 9 ; 0 ; -; 10 ; 0 ; -; 11 ; 0 ; -; 12 ; 0 ; -; 13 ; 0 ; -; 14 ; 0 ; -; 15 ; 0 ; -; 16 ; 0 ; -+--------------------------------------------+-----------------------------+ - - -+---------------------------------------------------------------------------+ -; LAB Signals Sourced ; -+---------------------------------------------+-----------------------------+ -; Number of Signals Sourced (Average = 4.00) ; Number of LABs (Total = 1) ; -+---------------------------------------------+-----------------------------+ -; 0 ; 0 ; -; 1 ; 0 ; -; 2 ; 0 ; -; 3 ; 0 ; -; 4 ; 1 ; -+---------------------------------------------+-----------------------------+ - - -+-------------------------------------------------------------------------------+ -; LAB Signals Sourced Out ; -+-------------------------------------------------+-----------------------------+ -; Number of Signals Sourced Out (Average = 4.00) ; Number of LABs (Total = 1) ; -+-------------------------------------------------+-----------------------------+ -; 0 ; 0 ; -; 1 ; 0 ; -; 2 ; 0 ; -; 3 ; 0 ; -; 4 ; 1 ; -+-------------------------------------------------+-----------------------------+ - - -+---------------------------------------------------------------------------+ -; LAB Distinct Inputs ; -+---------------------------------------------+-----------------------------+ -; Number of Distinct Inputs (Average = 4.00) ; Number of LABs (Total = 1) ; -+---------------------------------------------+-----------------------------+ -; 0 ; 0 ; -; 1 ; 0 ; -; 2 ; 0 ; -; 3 ; 0 ; -; 4 ; 1 ; -+---------------------------------------------+-----------------------------+ - - -+------------------------------------------+ -; I/O Rules Summary ; -+----------------------------------+-------+ -; I/O Rules Statistic ; Total ; -+----------------------------------+-------+ -; Total I/O Rules ; 30 ; -; Number of I/O Rules Passed ; 9 ; -; Number of I/O Rules Failed ; 0 ; -; Number of I/O Rules Unchecked ; 0 ; -; Number of I/O Rules Inapplicable ; 21 ; -+----------------------------------+-------+ - - -+-----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; I/O Rules Details ; -+--------------+-----------+-----------------------------------+------------------------------------------------------------------------------------------------------+----------+--------------------------------------------------------------------------+---------------------+-------------------+ -; Status ; ID ; Category ; Rule Description ; Severity ; Information ; Area ; Extra Information ; -+--------------+-----------+-----------------------------------+------------------------------------------------------------------------------------------------------+----------+--------------------------------------------------------------------------+---------------------+-------------------+ -; Inapplicable ; IO_000002 ; Capacity Checks ; Number of clocks in an I/O bank should not exceed the number of clocks available. ; Critical ; No Global Signal assignments found. ; I/O ; ; -; Inapplicable ; IO_000001 ; Capacity Checks ; Number of pins in an I/O bank should not exceed the number of locations available. ; Critical ; No Location assignments found. ; I/O ; ; -; Inapplicable ; IO_000003 ; Capacity Checks ; Number of pins in a Vrefgroup should not exceed the number of locations available. ; Critical ; No Location assignments found. ; I/O ; ; -; Inapplicable ; IO_000004 ; Voltage Compatibility Checks ; The I/O bank should support the requested VCCIO. ; Critical ; No IOBANK_VCCIO assignments found. ; I/O ; ; -; Inapplicable ; IO_000005 ; Voltage Compatibility Checks ; The I/O bank should not have competing VREF values. ; Critical ; No VREF I/O Standard assignments found. ; I/O ; ; -; Pass ; IO_000006 ; Voltage Compatibility Checks ; The I/O bank should not have competing VCCIO values. ; Critical ; 0 such failures found. ; I/O ; ; -; Inapplicable ; IO_000007 ; Valid Location Checks ; Checks for unavailable locations. ; Critical ; No Location assignments found. ; I/O ; ; -; Inapplicable ; IO_000008 ; Valid Location Checks ; Checks for reserved locations. ; Critical ; No reserved LogicLock region found. ; I/O ; ; -; Inapplicable ; IO_000047 ; I/O Properties Checks for One I/O ; On Chip Termination and Slew Rate should not be used at the same time. ; Critical ; No Slew Rate assignments found. ; I/O ; ; -; Inapplicable ; IO_000046 ; I/O Properties Checks for One I/O ; The location should support the requested Slew Rate value. ; Critical ; No Slew Rate assignments found. ; I/O ; ; -; Inapplicable ; IO_000045 ; I/O Properties Checks for One I/O ; The I/O standard should support the requested Slew Rate value. ; Critical ; No Slew Rate assignments found. ; I/O ; ; -; Inapplicable ; IO_000027 ; I/O Properties Checks for One I/O ; Weak Pull Up and Bus Hold should not be used at the same time. ; Critical ; No Enable Bus-Hold Circuitry or Weak Pull-Up Resistor assignments found. ; I/O ; ; -; Inapplicable ; IO_000026 ; I/O Properties Checks for One I/O ; On Chip Termination and Current Strength should not be used at the same time. ; Critical ; No Current Strength assignments found. ; I/O ; ; -; Pass ; IO_000024 ; I/O Properties Checks for One I/O ; The I/O direction should support the On Chip Termination value. ; Critical ; 0 such failures found. ; I/O ; ; -; Inapplicable ; IO_000023 ; I/O Properties Checks for One I/O ; The I/O standard should support the Open Drain value. ; Critical ; No open drain assignments found. ; I/O ; ; -; Inapplicable ; IO_000022 ; I/O Properties Checks for One I/O ; The I/O standard should support the requested Bus Hold value. ; Critical ; No Enable Bus-Hold Circuitry assignments found. ; I/O ; ; -; Inapplicable ; IO_000021 ; I/O Properties Checks for One I/O ; The I/O standard should support the requested Weak Pull Up value. ; Critical ; No Weak Pull-Up Resistor assignments found. ; I/O ; ; -; Pass ; IO_000020 ; I/O Properties Checks for One I/O ; The I/O standard should support the requested PCI Clamp Diode. ; Critical ; 0 such failures found. ; I/O ; ; -; Pass ; IO_000019 ; I/O Properties Checks for One I/O ; The I/O standard should support the requested On Chip Termination value. ; Critical ; 0 such failures found. ; I/O ; ; -; Inapplicable ; IO_000018 ; I/O Properties Checks for One I/O ; The I/O standard should support the requested Current Strength. ; Critical ; No Current Strength assignments found. ; I/O ; ; -; Pass ; IO_000015 ; I/O Properties Checks for One I/O ; The location should support the requested PCI Clamp Diode. ; Critical ; 0 such failures found. ; I/O ; ; -; Inapplicable ; IO_000014 ; I/O Properties Checks for One I/O ; The location should support the requested Weak Pull Up value. ; Critical ; No Weak Pull-Up Resistor assignments found. ; I/O ; ; -; Inapplicable ; IO_000013 ; I/O Properties Checks for One I/O ; The location should support the requested Bus Hold value. ; Critical ; No Enable Bus-Hold Circuitry assignments found. ; I/O ; ; -; Pass ; IO_000012 ; I/O Properties Checks for One I/O ; The location should support the requested On Chip Termination value. ; Critical ; 0 such failures found. ; I/O ; ; -; Inapplicable ; IO_000011 ; I/O Properties Checks for One I/O ; The location should support the requested Current Strength. ; Critical ; No Current Strength assignments found. ; I/O ; ; -; Pass ; IO_000010 ; I/O Properties Checks for One I/O ; The location should support the requested I/O direction. ; Critical ; 0 such failures found. ; I/O ; ; -; Pass ; IO_000009 ; I/O Properties Checks for One I/O ; The location should support the requested I/O standard. ; Critical ; 0 such failures found. ; I/O ; ; -; Pass ; IO_000033 ; Electromigration Checks ; Current density for consecutive I/Os should not exceed 240mA for row I/Os and 240mA for column I/Os. ; Critical ; 0 such failures found. ; I/O ; ; -; Inapplicable ; IO_000034 ; SI Related Distance Checks ; Single-ended outputs should be 5 LAB row(s) away from a differential I/O. ; High ; No Differential I/O Standard assignments found. ; I/O ; ; -; Inapplicable ; IO_000042 ; SI Related SSO Limit Checks ; No more than 20 outputs are allowed in a VREF group when VREF is being read from. ; High ; No VREF I/O Standard assignments found. ; I/O ; ; -; ---- ; ---- ; Disclaimer ; OCT rules are checked but not reported. ; None ; ---- ; On Chip Termination ; ; -+--------------+-----------+-----------------------------------+------------------------------------------------------------------------------------------------------+----------+--------------------------------------------------------------------------+---------------------+-------------------+ - - -+--------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; I/O Rules Matrix ; -+--------------------+--------------+--------------+--------------+--------------+--------------+-----------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+-----------+-----------+-----------+--------------+--------------+ -; Pin/Rules ; IO_000002 ; IO_000001 ; IO_000003 ; IO_000004 ; IO_000005 ; IO_000006 ; IO_000007 ; IO_000008 ; IO_000047 ; IO_000046 ; IO_000045 ; IO_000027 ; IO_000026 ; IO_000024 ; IO_000023 ; IO_000022 ; IO_000021 ; IO_000020 ; IO_000019 ; IO_000018 ; IO_000015 ; IO_000014 ; IO_000013 ; IO_000012 ; IO_000011 ; IO_000010 ; IO_000009 ; IO_000033 ; IO_000034 ; IO_000042 ; -+--------------------+--------------+--------------+--------------+--------------+--------------+-----------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+-----------+-----------+-----------+--------------+--------------+ -; Total Pass ; 0 ; 0 ; 0 ; 0 ; 0 ; 8 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 4 ; 0 ; 0 ; 0 ; 4 ; 4 ; 0 ; 4 ; 0 ; 0 ; 4 ; 0 ; 8 ; 8 ; 8 ; 0 ; 0 ; -; Total Unchecked ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; -; Total Inapplicable ; 8 ; 8 ; 8 ; 8 ; 8 ; 0 ; 8 ; 8 ; 8 ; 8 ; 8 ; 8 ; 8 ; 4 ; 8 ; 8 ; 8 ; 4 ; 4 ; 8 ; 4 ; 8 ; 8 ; 4 ; 8 ; 0 ; 0 ; 0 ; 8 ; 8 ; -; Total Fail ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; -; Y3 ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; -; Y2 ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; -; Y1 ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; -; Y0 ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; -; E1 ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; -; X0 ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; -; X1 ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; -; E0L ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; -+--------------------+--------------+--------------+--------------+--------------+--------------+-----------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+-----------+-----------+-----------+--------------+--------------+ - - -+---------------------------------------------------------------------------------------------+ -; Fitter Device Options ; -+------------------------------------------------------------------+--------------------------+ -; Option ; Setting ; -+------------------------------------------------------------------+--------------------------+ -; Enable user-supplied start-up clock (CLKUSR) ; Off ; -; Enable device-wide reset (DEV_CLRn) ; Off ; -; Enable device-wide output enable (DEV_OE) ; Off ; -; Enable INIT_DONE output ; Off ; -; Configuration scheme ; Active Serial ; -; Error detection CRC ; Off ; -; Enable open drain on CRC_ERROR pin ; Off ; -; Enable input tri-state on active configuration pins in user mode ; Off ; -; Configuration Voltage Level ; Auto ; -; Force Configuration Voltage Level ; Off ; -; nCEO ; As output driving ground ; -; Data[0] ; As input tri-stated ; -; Data[1]/ASDO ; As input tri-stated ; -; Data[7..2] ; Unreserved ; -; FLASH_nCE/nCSO ; As input tri-stated ; -; Other Active Parallel pins ; Unreserved ; -; DCLK ; As output driving ground ; -+------------------------------------------------------------------+--------------------------+ - - -+------------------------------------+ -; Operating Settings and Conditions ; -+---------------------------+--------+ -; Setting ; Value ; -+---------------------------+--------+ -; Nominal Core Voltage ; 1.20 V ; -; Low Junction Temperature ; 0 °C ; -; High Junction Temperature ; 85 °C ; -+---------------------------+--------+ - - -+-----------------+ -; Fitter Messages ; -+-----------------+ -Warning (18236): Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance. -Info (20030): Parallel compilation is enabled and will use 4 of the 4 processors detected -Info (119004): Automatically selected device EP4CE6E22C6 for design DecoderDemo -Info (21076): High junction temperature operating condition is not set. Assuming a default value of '85'. -Info (21076): Low junction temperature operating condition is not set. Assuming a default value of '0'. -Info (171003): Fitter is performing an Auto Fit compilation, which may decrease Fitter effort to reduce compilation time -Warning (292013): Feature LogicLock is only available with a valid subscription license. You can purchase a software subscription to gain full access to this feature. -Info (176444): Device migration not selected. If you intend to use device migration later, you may need to change the pin assignments as they may be incompatible with other devices - Info (176445): Device EP4CE10E22C6 is compatible - Info (176445): Device EP4CE15E22C6 is compatible - Info (176445): Device EP4CE22E22C6 is compatible -Info (169124): Fitter converted 5 user pins into dedicated programming pins - Info (169125): Pin ~ALTERA_ASDO_DATA1~ is reserved at location 6 - Info (169125): Pin ~ALTERA_FLASH_nCE_nCSO~ is reserved at location 8 - Info (169125): Pin ~ALTERA_DCLK~ is reserved at location 12 - Info (169125): Pin ~ALTERA_DATA0~ is reserved at location 13 - Info (169125): Pin ~ALTERA_nCEO~ is reserved at location 101 -Warning (15714): Some pins have incomplete I/O assignments. Refer to the I/O Assignment Warnings report for details -Critical Warning (169085): No exact pin location assignment(s) for 8 pins of 8 total pins. For the list of pins please refer to the I/O Assignment Warnings table in the fitter report. -Critical Warning (332012): Synopsys Design Constraints File file not found: 'DecoderDemo.sdc'. A Synopsys Design Constraints File is required by the Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design. -Info (332144): No user constrained base clocks found in the design -Info (332096): The command derive_clocks did not find any clocks to derive. No clocks were created or changed. -Warning (332068): No clocks defined in design. -Info (332143): No user constrained clock uncertainty found in the design. Calling "derive_clock_uncertainty" -Info (332154): The derive_clock_uncertainty command did not apply clock uncertainty to any clock-to-clock transfers. -Info (332130): Timing requirements not specified -- quality metrics such as performance may be sacrificed to reduce compilation time. -Info (176233): Starting register packing -Info (176235): Finished register packing - Extra Info (176219): No registers were packed into other blocks -Info (176214): Statistics of I/O pins that need to be placed that use the same VCCIO and VREF, before I/O pin placement - Info (176211): Number of I/O pins in group: 8 (unused VREF, 2.5V VCCIO, 4 input, 4 output, 0 bidirectional) - Info (176212): I/O standards used: 2.5 V. -Info (176215): I/O bank details before I/O pin placement - Info (176214): Statistics of I/O banks - Info (176213): I/O bank number 1 does not use VREF pins and has undetermined VCCIO pins. 4 total pin(s) used -- 7 pins available - Info (176213): I/O bank number 2 does not use VREF pins and has undetermined VCCIO pins. 0 total pin(s) used -- 8 pins available - Info (176213): I/O bank number 3 does not use VREF pins and has undetermined VCCIO pins. 0 total pin(s) used -- 11 pins available - Info (176213): I/O bank number 4 does not use VREF pins and has undetermined VCCIO pins. 0 total pin(s) used -- 14 pins available - Info (176213): I/O bank number 5 does not use VREF pins and has undetermined VCCIO pins. 0 total pin(s) used -- 13 pins available - Info (176213): I/O bank number 6 does not use VREF pins and has undetermined VCCIO pins. 1 total pin(s) used -- 9 pins available - Info (176213): I/O bank number 7 does not use VREF pins and has undetermined VCCIO pins. 0 total pin(s) used -- 13 pins available - Info (176213): I/O bank number 8 does not use VREF pins and has undetermined VCCIO pins. 0 total pin(s) used -- 12 pins available -Info (171121): Fitter preparation operations ending: elapsed time is 00:00:00 -Info (14896): Fitter has disabled Advanced Physical Optimization because it is not supported for the current family. -Info (170189): Fitter placement preparation operations beginning -Info (170190): Fitter placement preparation operations ending: elapsed time is 00:00:00 -Info (170191): Fitter placement operations beginning -Info (170137): Fitter placement was successful -Info (170192): Fitter placement operations ending: elapsed time is 00:00:00 -Info (170193): Fitter routing operations beginning -Info (170195): Router estimated average interconnect usage is 0% of the available device resources - Info (170196): Router estimated peak interconnect usage is 0% of the available device resources in the region that extends from location X0_Y0 to location X10_Y11 -Info (170199): The Fitter performed an Auto Fit compilation. Optimizations were skipped to reduce compilation time. - Info (170201): Optimizations that may affect the design's routability were skipped - Info (170200): Optimizations that may affect the design's timing were skipped -Info (170194): Fitter routing operations ending: elapsed time is 00:00:00 -Info (11888): Total time spent on timing analysis during the Fitter is 0.01 seconds. -Info (334003): Started post-fitting delay annotation -Info (334004): Delay annotation completed successfully -Info (334003): Started post-fitting delay annotation -Info (334004): Delay annotation completed successfully -Info (11218): Fitter post-fit operations ending: elapsed time is 00:00:00 -Info (144001): Generated suppressed messages file /home/tiagorg/repos/DecoderDemo/output_files/DecoderDemo.fit.smsg -Info: Quartus Prime Fitter was successful. 0 errors, 6 warnings - Info: Peak virtual memory: 942 megabytes - Info: Processing ended: Mon Nov 14 21:56:49 2022 - Info: Elapsed time: 00:00:03 - Info: Total CPU time (on all processors): 00:00:02 - - -+----------------------------+ -; Fitter Suppressed Messages ; -+----------------------------+ -The suppressed messages can be found in /home/tiagorg/repos/DecoderDemo/output_files/DecoderDemo.fit.smsg. - - diff --git a/1ano/isd/quartus-projects/DecoderDemo/output_files/DecoderDemo.fit.smsg b/1ano/isd/quartus-projects/DecoderDemo/output_files/DecoderDemo.fit.smsg deleted file mode 100644 index 7121cbb..0000000 --- a/1ano/isd/quartus-projects/DecoderDemo/output_files/DecoderDemo.fit.smsg +++ /dev/null @@ -1,8 +0,0 @@ -Extra Info (176273): Performing register packing on registers with non-logic cell location assignments -Extra Info (176274): Completed register packing on registers with non-logic cell location assignments -Extra Info (176236): Started Fast Input/Output/OE register processing -Extra Info (176237): Finished Fast Input/Output/OE register processing -Extra Info (176238): Start inferring scan chains for DSP blocks -Extra Info (176239): Inferring scan chains for DSP blocks is complete -Extra Info (176248): Moving registers into I/O cells, Multiplier Blocks, and RAM blocks to improve timing and density -Extra Info (176249): Finished moving registers into I/O cells, Multiplier Blocks, and RAM blocks diff --git a/1ano/isd/quartus-projects/DecoderDemo/output_files/DecoderDemo.fit.summary b/1ano/isd/quartus-projects/DecoderDemo/output_files/DecoderDemo.fit.summary deleted file mode 100644 index 730c2b4..0000000 --- a/1ano/isd/quartus-projects/DecoderDemo/output_files/DecoderDemo.fit.summary +++ /dev/null @@ -1,16 +0,0 @@ -Fitter Status : Successful - Mon Nov 14 21:56:48 2022 -Quartus Prime Version : 20.1.1 Build 720 11/11/2020 SJ Lite Edition -Revision Name : DecoderDemo -Top-level Entity Name : Dec2_4 -Family : Cyclone IV E -Device : EP4CE6E22C6 -Timing Models : Final -Total logic elements : 4 / 6,272 ( < 1 % ) - Total combinational functions : 4 / 6,272 ( < 1 % ) - Dedicated logic registers : 0 / 6,272 ( 0 % ) -Total registers : 0 -Total pins : 8 / 92 ( 9 % ) -Total virtual pins : 0 -Total memory bits : 0 / 276,480 ( 0 % ) -Embedded Multiplier 9-bit elements : 0 / 30 ( 0 % ) -Total PLLs : 0 / 2 ( 0 % ) diff --git a/1ano/isd/quartus-projects/DecoderDemo/output_files/DecoderDemo.flow.rpt b/1ano/isd/quartus-projects/DecoderDemo/output_files/DecoderDemo.flow.rpt deleted file mode 100644 index c7349fa..0000000 --- a/1ano/isd/quartus-projects/DecoderDemo/output_files/DecoderDemo.flow.rpt +++ /dev/null @@ -1,132 +0,0 @@ -Flow report for DecoderDemo -Mon Nov 14 21:56:51 2022 -Quartus Prime Version 20.1.1 Build 720 11/11/2020 SJ Lite Edition - - ---------------------- -; Table of Contents ; ---------------------- - 1. Legal Notice - 2. Flow Summary - 3. Flow Settings - 4. Flow Non-Default Global Settings - 5. Flow Elapsed Time - 6. Flow OS Summary - 7. Flow Log - 8. Flow Messages - 9. Flow Suppressed Messages - - - ----------------- -; Legal Notice ; ----------------- -Copyright (C) 2020 Intel Corporation. All rights reserved. -Your use of Intel Corporation's design tools, logic functions -and other software and tools, and any partner logic -functions, and any output files from any of the foregoing -(including device programming or simulation files), and any -associated documentation or information are expressly subject -to the terms and conditions of the Intel Program License -Subscription Agreement, the Intel Quartus Prime License Agreement, -the Intel FPGA IP License Agreement, or other applicable license -agreement, including, without limitation, that your use is for -the sole purpose of programming logic devices manufactured by -Intel and sold by Intel or its authorized distributors. Please -refer to the applicable agreement for further details, at -https://fpgasoftware.intel.com/eula. - - - -+----------------------------------------------------------------------------------+ -; Flow Summary ; -+------------------------------------+---------------------------------------------+ -; Flow Status ; Successful - Mon Nov 14 21:56:51 2022 ; -; Quartus Prime Version ; 20.1.1 Build 720 11/11/2020 SJ Lite Edition ; -; Revision Name ; DecoderDemo ; -; Top-level Entity Name ; Dec2_4 ; -; Family ; Cyclone IV E ; -; Total logic elements ; 4 / 6,272 ( < 1 % ) ; -; Total combinational functions ; 4 / 6,272 ( < 1 % ) ; -; Dedicated logic registers ; 0 / 6,272 ( 0 % ) ; -; Total registers ; 0 ; -; Total pins ; 8 / 92 ( 9 % ) ; -; Total virtual pins ; 0 ; -; Total memory bits ; 0 / 276,480 ( 0 % ) ; -; Embedded Multiplier 9-bit elements ; 0 / 30 ( 0 % ) ; -; Total PLLs ; 0 / 2 ( 0 % ) ; -; Device ; EP4CE6E22C6 ; -; Timing Models ; Final ; -+------------------------------------+---------------------------------------------+ - - -+-----------------------------------------+ -; Flow Settings ; -+-------------------+---------------------+ -; Option ; Setting ; -+-------------------+---------------------+ -; Start date & time ; 11/14/2022 21:56:41 ; -; Main task ; Compilation ; -; Revision Name ; DecoderDemo ; -+-------------------+---------------------+ - - -+------------------------------------------------------------------------------------------------------------------------------------------------+ -; Flow Non-Default Global Settings ; -+-------------------------------------+----------------------------------------+---------------+-------------+-----------------------------------+ -; Assignment Name ; Value ; Default Value ; Entity Name ; Section Id ; -+-------------------------------------+----------------------------------------+---------------+-------------+-----------------------------------+ -; COMPILER_SIGNATURE_ID ; 198516037997543.166846300130887 ; -- ; -- ; -- ; -; EDA_GENERATE_FUNCTIONAL_NETLIST ; Off ; -- ; -- ; eda_board_design_timing ; -; EDA_GENERATE_FUNCTIONAL_NETLIST ; Off ; -- ; -- ; eda_board_design_boundary_scan ; -; EDA_GENERATE_FUNCTIONAL_NETLIST ; Off ; -- ; -- ; eda_board_design_signal_integrity ; -; EDA_GENERATE_FUNCTIONAL_NETLIST ; Off ; -- ; -- ; eda_board_design_symbol ; -; EDA_OUTPUT_DATA_FORMAT ; Vhdl ; -- ; -- ; eda_simulation ; -; EDA_SIMULATION_TOOL ; ModelSim-Altera (VHDL) ; ; -- ; -- ; -; EDA_TIME_SCALE ; 1 ps ; -- ; -- ; eda_simulation ; -; PARTITION_COLOR ; -- (Not supported for targeted family) ; -- ; Dec2_4 ; Top ; -; PARTITION_FITTER_PRESERVATION_LEVEL ; -- (Not supported for targeted family) ; -- ; Dec2_4 ; Top ; -; PARTITION_NETLIST_TYPE ; -- (Not supported for targeted family) ; -- ; Dec2_4 ; Top ; -; PROJECT_OUTPUT_DIRECTORY ; output_files ; -- ; -- ; -- ; -; TOP_LEVEL_ENTITY ; Dec2_4 ; DecoderDemo ; -- ; -- ; -+-------------------------------------+----------------------------------------+---------------+-------------+-----------------------------------+ - - -+--------------------------------------------------------------------------------------------------------------------------+ -; Flow Elapsed Time ; -+----------------------+--------------+-------------------------+---------------------+------------------------------------+ -; Module Name ; Elapsed Time ; Average Processors Used ; Peak Virtual Memory ; Total CPU Time (on all processors) ; -+----------------------+--------------+-------------------------+---------------------+------------------------------------+ -; Analysis & Synthesis ; 00:00:05 ; 1.0 ; 395 MB ; 00:00:13 ; -; Fitter ; 00:00:02 ; 1.0 ; 942 MB ; 00:00:02 ; -; Assembler ; 00:00:00 ; 1.0 ; 352 MB ; 00:00:00 ; -; Timing Analyzer ; 00:00:00 ; 1.0 ; 465 MB ; 00:00:01 ; -; EDA Netlist Writer ; 00:00:00 ; 1.0 ; 600 MB ; 00:00:00 ; -; Total ; 00:00:07 ; -- ; -- ; 00:00:16 ; -+----------------------+--------------+-------------------------+---------------------+------------------------------------+ - - -+----------------------------------------------------------------------------------------+ -; Flow OS Summary ; -+----------------------+------------------+----------------+------------+----------------+ -; Module Name ; Machine Hostname ; OS Name ; OS Version ; Processor type ; -+----------------------+------------------+----------------+------------+----------------+ -; Analysis & Synthesis ; rendlaptop ; Ubuntu 22.04.1 ; 22 ; x86_64 ; -; Fitter ; rendlaptop ; Ubuntu 22.04.1 ; 22 ; x86_64 ; -; Assembler ; rendlaptop ; Ubuntu 22.04.1 ; 22 ; x86_64 ; -; Timing Analyzer ; rendlaptop ; Ubuntu 22.04.1 ; 22 ; x86_64 ; -; EDA Netlist Writer ; rendlaptop ; Ubuntu 22.04.1 ; 22 ; x86_64 ; -+----------------------+------------------+----------------+------------+----------------+ - - ------------- -; Flow Log ; ------------- -quartus_map --read_settings_files=on --write_settings_files=off DecoderDemo -c DecoderDemo -quartus_fit --read_settings_files=off --write_settings_files=off DecoderDemo -c DecoderDemo -quartus_asm --read_settings_files=off --write_settings_files=off DecoderDemo -c DecoderDemo -quartus_sta DecoderDemo -c DecoderDemo -quartus_eda --read_settings_files=off --write_settings_files=off DecoderDemo -c DecoderDemo - - - diff --git a/1ano/isd/quartus-projects/DecoderDemo/output_files/DecoderDemo.jdi b/1ano/isd/quartus-projects/DecoderDemo/output_files/DecoderDemo.jdi deleted file mode 100644 index 6d0170e..0000000 --- a/1ano/isd/quartus-projects/DecoderDemo/output_files/DecoderDemo.jdi +++ /dev/null @@ -1,8 +0,0 @@ - - - - - - - - diff --git a/1ano/isd/quartus-projects/DecoderDemo/output_files/DecoderDemo.map.rpt b/1ano/isd/quartus-projects/DecoderDemo/output_files/DecoderDemo.map.rpt deleted file mode 100644 index d2b34fc..0000000 --- a/1ano/isd/quartus-projects/DecoderDemo/output_files/DecoderDemo.map.rpt +++ /dev/null @@ -1,280 +0,0 @@ -Analysis & Synthesis report for DecoderDemo -Mon Nov 14 21:56:46 2022 -Quartus Prime Version 20.1.1 Build 720 11/11/2020 SJ Lite Edition - - ---------------------- -; Table of Contents ; ---------------------- - 1. Legal Notice - 2. Analysis & Synthesis Summary - 3. Analysis & Synthesis Settings - 4. Parallel Compilation - 5. Analysis & Synthesis Source Files Read - 6. Analysis & Synthesis Resource Usage Summary - 7. Analysis & Synthesis Resource Utilization by Entity - 8. General Register Statistics - 9. Post-Synthesis Netlist Statistics for Top Partition - 10. Elapsed Time Per Partition - 11. Analysis & Synthesis Messages - - - ----------------- -; Legal Notice ; ----------------- -Copyright (C) 2020 Intel Corporation. All rights reserved. -Your use of Intel Corporation's design tools, logic functions -and other software and tools, and any partner logic -functions, and any output files from any of the foregoing -(including device programming or simulation files), and any -associated documentation or information are expressly subject -to the terms and conditions of the Intel Program License -Subscription Agreement, the Intel Quartus Prime License Agreement, -the Intel FPGA IP License Agreement, or other applicable license -agreement, including, without limitation, that your use is for -the sole purpose of programming logic devices manufactured by -Intel and sold by Intel or its authorized distributors. Please -refer to the applicable agreement for further details, at -https://fpgasoftware.intel.com/eula. - - - -+----------------------------------------------------------------------------------+ -; Analysis & Synthesis Summary ; -+------------------------------------+---------------------------------------------+ -; Analysis & Synthesis Status ; Successful - Mon Nov 14 21:56:46 2022 ; -; Quartus Prime Version ; 20.1.1 Build 720 11/11/2020 SJ Lite Edition ; -; Revision Name ; DecoderDemo ; -; Top-level Entity Name ; Dec2_4 ; -; Family ; Cyclone IV E ; -; Total logic elements ; 4 ; -; Total combinational functions ; 4 ; -; Dedicated logic registers ; 0 ; -; Total registers ; 0 ; -; Total pins ; 8 ; -; Total virtual pins ; 0 ; -; Total memory bits ; 0 ; -; Embedded Multiplier 9-bit elements ; 0 ; -; Total PLLs ; 0 ; -+------------------------------------+---------------------------------------------+ - - -+------------------------------------------------------------------------------------------------------------+ -; Analysis & Synthesis Settings ; -+------------------------------------------------------------------+--------------------+--------------------+ -; Option ; Setting ; Default Value ; -+------------------------------------------------------------------+--------------------+--------------------+ -; Top-level entity name ; Dec2_4 ; DecoderDemo ; -; Family name ; Cyclone IV E ; Cyclone V ; -; Use smart compilation ; Off ; Off ; -; Enable parallel Assembler and Timing Analyzer during compilation ; On ; On ; -; Enable compact report table ; Off ; Off ; -; Restructure Multiplexers ; Auto ; Auto ; -; Create Debugging Nodes for IP Cores ; Off ; Off ; -; Preserve fewer node names ; On ; On ; -; Intel FPGA IP Evaluation Mode ; Enable ; Enable ; -; Verilog Version ; Verilog_2001 ; Verilog_2001 ; -; VHDL Version ; VHDL_1993 ; VHDL_1993 ; -; State Machine Processing ; Auto ; Auto ; -; Safe State Machine ; Off ; Off ; -; Extract Verilog State Machines ; On ; On ; -; Extract VHDL State Machines ; On ; On ; -; Ignore Verilog initial constructs ; Off ; Off ; -; Iteration limit for constant Verilog loops ; 5000 ; 5000 ; -; Iteration limit for non-constant Verilog loops ; 250 ; 250 ; -; Add Pass-Through Logic to Inferred RAMs ; On ; On ; -; Infer RAMs from Raw Logic ; On ; On ; -; Parallel Synthesis ; On ; On ; -; DSP Block Balancing ; Auto ; Auto ; -; NOT Gate Push-Back ; On ; On ; -; Power-Up Don't Care ; On ; On ; -; Remove Redundant Logic Cells ; Off ; Off ; -; Remove Duplicate Registers ; On ; On ; -; Ignore CARRY Buffers ; Off ; Off ; -; Ignore CASCADE Buffers ; Off ; Off ; -; Ignore GLOBAL Buffers ; Off ; Off ; -; Ignore ROW GLOBAL Buffers ; Off ; Off ; -; Ignore LCELL Buffers ; Off ; Off ; -; Ignore SOFT Buffers ; On ; On ; -; Limit AHDL Integers to 32 Bits ; Off ; Off ; -; Optimization Technique ; Balanced ; Balanced ; -; Carry Chain Length ; 70 ; 70 ; -; Auto Carry Chains ; On ; On ; -; Auto Open-Drain Pins ; On ; On ; -; Perform WYSIWYG Primitive Resynthesis ; Off ; Off ; -; Auto ROM Replacement ; On ; On ; -; Auto RAM Replacement ; On ; On ; -; Auto DSP Block Replacement ; On ; On ; -; Auto Shift Register Replacement ; Auto ; Auto ; -; Allow Shift Register Merging across Hierarchies ; Auto ; Auto ; -; Auto Clock Enable Replacement ; On ; On ; -; Strict RAM Replacement ; Off ; Off ; -; Allow Synchronous Control Signals ; On ; On ; -; Force Use of Synchronous Clear Signals ; Off ; Off ; -; Auto RAM Block Balancing ; On ; On ; -; Auto RAM to Logic Cell Conversion ; Off ; Off ; -; Auto Resource Sharing ; Off ; Off ; -; Allow Any RAM Size For Recognition ; Off ; Off ; -; Allow Any ROM Size For Recognition ; Off ; Off ; -; Allow Any Shift Register Size For Recognition ; Off ; Off ; -; Use LogicLock Constraints during Resource Balancing ; On ; On ; -; Ignore translate_off and synthesis_off directives ; Off ; Off ; -; Timing-Driven Synthesis ; On ; On ; -; Report Parameter Settings ; On ; On ; -; Report Source Assignments ; On ; On ; -; Report Connectivity Checks ; On ; On ; -; Ignore Maximum Fan-Out Assignments ; Off ; Off ; -; Synchronization Register Chain Length ; 2 ; 2 ; -; Power Optimization During Synthesis ; Normal compilation ; Normal compilation ; -; HDL message level ; Level2 ; Level2 ; -; Suppress Register Optimization Related Messages ; Off ; Off ; -; Number of Removed Registers Reported in Synthesis Report ; 5000 ; 5000 ; -; Number of Swept Nodes Reported in Synthesis Report ; 5000 ; 5000 ; -; Number of Inverted Registers Reported in Synthesis Report ; 100 ; 100 ; -; Clock MUX Protection ; On ; On ; -; Auto Gated Clock Conversion ; Off ; Off ; -; Block Design Naming ; Auto ; Auto ; -; SDC constraint protection ; Off ; Off ; -; Synthesis Effort ; Auto ; Auto ; -; Shift Register Replacement - Allow Asynchronous Clear Signal ; On ; On ; -; Pre-Mapping Resynthesis Optimization ; Off ; Off ; -; Analysis & Synthesis Message Level ; Medium ; Medium ; -; Disable Register Merging Across Hierarchies ; Auto ; Auto ; -; Resource Aware Inference For Block RAM ; On ; On ; -+------------------------------------------------------------------+--------------------+--------------------+ - - -+------------------------------------------+ -; Parallel Compilation ; -+----------------------------+-------------+ -; Processors ; Number ; -+----------------------------+-------------+ -; Number detected on machine ; 8 ; -; Maximum allowed ; 4 ; -; ; ; -; Average used ; 1.00 ; -; Maximum used ; 1 ; -; ; ; -; Usage by Processor ; % Time Used ; -; Processor 1 ; 100.0% ; -+----------------------------+-------------+ - - -+------------------------------------------------------------------------------------------------------------------------------------------------+ -; Analysis & Synthesis Source Files Read ; -+----------------------------------+-----------------+------------------------------------+--------------------------------------------+---------+ -; File Name with User-Entered Path ; Used in Netlist ; File Type ; File Name with Absolute Path ; Library ; -+----------------------------------+-----------------+------------------------------------+--------------------------------------------+---------+ -; Dec2_4.bdf ; yes ; User Block Diagram/Schematic File ; /home/tiagorg/repos/DecoderDemo/Dec2_4.bdf ; ; -+----------------------------------+-----------------+------------------------------------+--------------------------------------------+---------+ - - -+--------------------------------------------------------+ -; Analysis & Synthesis Resource Usage Summary ; -+---------------------------------------------+----------+ -; Resource ; Usage ; -+---------------------------------------------+----------+ -; Estimated Total logic elements ; 4 ; -; ; ; -; Total combinational functions ; 4 ; -; Logic element usage by number of LUT inputs ; ; -; -- 4 input functions ; 4 ; -; -- 3 input functions ; 0 ; -; -- <=2 input functions ; 0 ; -; ; ; -; Logic elements by mode ; ; -; -- normal mode ; 4 ; -; -- arithmetic mode ; 0 ; -; ; ; -; Total registers ; 0 ; -; -- Dedicated logic registers ; 0 ; -; -- I/O registers ; 0 ; -; ; ; -; I/O pins ; 8 ; -; ; ; -; Embedded Multiplier 9-bit elements ; 0 ; -; ; ; -; Maximum fan-out node ; E1~input ; -; Maximum fan-out ; 4 ; -; Total fan-out ; 28 ; -; Average fan-out ; 1.40 ; -+---------------------------------------------+----------+ - - -+----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Analysis & Synthesis Resource Utilization by Entity ; -+----------------------------+---------------------+---------------------------+-------------+--------------+---------+-----------+------+--------------+---------------------+-------------+--------------+ -; Compilation Hierarchy Node ; Combinational ALUTs ; Dedicated Logic Registers ; Memory Bits ; DSP Elements ; DSP 9x9 ; DSP 18x18 ; Pins ; Virtual Pins ; Full Hierarchy Name ; Entity Name ; Library Name ; -+----------------------------+---------------------+---------------------------+-------------+--------------+---------+-----------+------+--------------+---------------------+-------------+--------------+ -; |Dec2_4 ; 4 (4) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 8 ; 0 ; |Dec2_4 ; Dec2_4 ; work ; -+----------------------------+---------------------+---------------------------+-------------+--------------+---------+-----------+------+--------------+---------------------+-------------+--------------+ -Note: For table entries with two numbers listed, the numbers in parentheses indicate the number of resources of the given type used by the specific entity alone. The numbers listed outside of parentheses indicate the total resources of the given type used by the specific entity and all of its sub-entities in the hierarchy. - - -+------------------------------------------------------+ -; General Register Statistics ; -+----------------------------------------------+-------+ -; Statistic ; Value ; -+----------------------------------------------+-------+ -; Total registers ; 0 ; -; Number of registers using Synchronous Clear ; 0 ; -; Number of registers using Synchronous Load ; 0 ; -; Number of registers using Asynchronous Clear ; 0 ; -; Number of registers using Asynchronous Load ; 0 ; -; Number of registers using Clock Enable ; 0 ; -; Number of registers using Preset ; 0 ; -+----------------------------------------------+-------+ - - -+-----------------------------------------------------+ -; Post-Synthesis Netlist Statistics for Top Partition ; -+-----------------------+-----------------------------+ -; Type ; Count ; -+-----------------------+-----------------------------+ -; boundary_port ; 8 ; -; cycloneiii_lcell_comb ; 4 ; -; normal ; 4 ; -; 4 data inputs ; 4 ; -; ; ; -; Max LUT depth ; 1.00 ; -; Average LUT depth ; 1.00 ; -+-----------------------+-----------------------------+ - - -+-------------------------------+ -; Elapsed Time Per Partition ; -+----------------+--------------+ -; Partition Name ; Elapsed Time ; -+----------------+--------------+ -; Top ; 00:00:00 ; -+----------------+--------------+ - - -+-------------------------------+ -; Analysis & Synthesis Messages ; -+-------------------------------+ -Info: ******************************************************************* -Info: Running Quartus Prime Analysis & Synthesis - Info: Version 20.1.1 Build 720 11/11/2020 SJ Lite Edition - Info: Processing started: Mon Nov 14 21:56:41 2022 -Info: Command: quartus_map --read_settings_files=on --write_settings_files=off DecoderDemo -c DecoderDemo -Warning (18236): Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance. -Info (20030): Parallel compilation is enabled and will use 4 of the 4 processors detected -Info (12021): Found 1 design units, including 1 entities, in source file Dec2_4.bdf - Info (12023): Found entity 1: Dec2_4 -Info (12127): Elaborating entity "Dec2_4" for the top level hierarchy -Info (286030): Timing-Driven Synthesis is running -Info (16010): Generating hard_block partition "hard_block:auto_generated_inst" - Info (16011): Adding 0 node(s), including 0 DDIO, 0 PLL, 0 transceiver and 0 LCELL -Info (21057): Implemented 12 device resources after synthesis - the final resource count might be different - Info (21058): Implemented 4 input pins - Info (21059): Implemented 4 output pins - Info (21061): Implemented 4 logic cells -Info: Quartus Prime Analysis & Synthesis was successful. 0 errors, 1 warning - Info: Peak virtual memory: 402 megabytes - Info: Processing ended: Mon Nov 14 21:56:46 2022 - Info: Elapsed time: 00:00:05 - Info: Total CPU time (on all processors): 00:00:13 - - diff --git a/1ano/isd/quartus-projects/DecoderDemo/output_files/DecoderDemo.map.summary b/1ano/isd/quartus-projects/DecoderDemo/output_files/DecoderDemo.map.summary deleted file mode 100644 index 19dd9b6..0000000 --- a/1ano/isd/quartus-projects/DecoderDemo/output_files/DecoderDemo.map.summary +++ /dev/null @@ -1,14 +0,0 @@ -Analysis & Synthesis Status : Successful - Mon Nov 14 21:56:46 2022 -Quartus Prime Version : 20.1.1 Build 720 11/11/2020 SJ Lite Edition -Revision Name : DecoderDemo -Top-level Entity Name : Dec2_4 -Family : Cyclone IV E -Total logic elements : 4 - Total combinational functions : 4 - Dedicated logic registers : 0 -Total registers : 0 -Total pins : 8 -Total virtual pins : 0 -Total memory bits : 0 -Embedded Multiplier 9-bit elements : 0 -Total PLLs : 0 diff --git a/1ano/isd/quartus-projects/DecoderDemo/output_files/DecoderDemo.pin b/1ano/isd/quartus-projects/DecoderDemo/output_files/DecoderDemo.pin deleted file mode 100644 index b9de1a5..0000000 --- a/1ano/isd/quartus-projects/DecoderDemo/output_files/DecoderDemo.pin +++ /dev/null @@ -1,216 +0,0 @@ - -- Copyright (C) 2020 Intel Corporation. All rights reserved. - -- Your use of Intel Corporation's design tools, logic functions - -- and other software and tools, and any partner logic - -- functions, and any output files from any of the foregoing - -- (including device programming or simulation files), and any - -- associated documentation or information are expressly subject - -- to the terms and conditions of the Intel Program License - -- Subscription Agreement, the Intel Quartus Prime License Agreement, - -- the Intel FPGA IP License Agreement, or other applicable license - -- agreement, including, without limitation, that your use is for - -- the sole purpose of programming logic devices manufactured by - -- Intel and sold by Intel or its authorized distributors. Please - -- refer to the applicable agreement for further details, at - -- https://fpgasoftware.intel.com/eula. - -- - -- This is a Quartus Prime output file. It is for reporting purposes only, and is - -- not intended for use as a Quartus Prime input file. This file cannot be used - -- to make Quartus Prime pin assignments - for instructions on how to make pin - -- assignments, please see Quartus Prime help. - --------------------------------------------------------------------------------- - - - - --------------------------------------------------------------------------------- - -- NC : No Connect. This pin has no internal connection to the device. - -- DNU : Do Not Use. This pin MUST NOT be connected. - -- VCCINT : Dedicated power pin, which MUST be connected to VCC (1.2V). - -- VCCIO : Dedicated power pin, which MUST be connected to VCC - -- of its bank. - -- Bank 1: 2.5V - -- Bank 2: 2.5V - -- Bank 3: 2.5V - -- Bank 4: 2.5V - -- Bank 5: 2.5V - -- Bank 6: 2.5V - -- Bank 7: 2.5V - -- Bank 8: 2.5V - -- GND : Dedicated ground pin. Dedicated GND pins MUST be connected to GND. - -- It can also be used to report unused dedicated pins. The connection - -- on the board for unused dedicated pins depends on whether this will - -- be used in a future design. One example is device migration. When - -- using device migration, refer to the device pin-tables. If it is a - -- GND pin in the pin table or if it will not be used in a future design - -- for another purpose the it MUST be connected to GND. If it is an unused - -- dedicated pin, then it can be connected to a valid signal on the board - -- (low, high, or toggling) if that signal is required for a different - -- revision of the design. - -- GND+ : Unused input pin. It can also be used to report unused dual-purpose pins. - -- This pin should be connected to GND. It may also be connected to a - -- valid signal on the board (low, high, or toggling) if that signal - -- is required for a different revision of the design. - -- GND* : Unused I/O pin. Connect each pin marked GND* directly to GND - -- or leave it unconnected. - -- RESERVED : Unused I/O pin, which MUST be left unconnected. - -- RESERVED_INPUT : Pin is tri-stated and should be connected to the board. - -- RESERVED_INPUT_WITH_WEAK_PULLUP : Pin is tri-stated with internal weak pull-up resistor. - -- RESERVED_INPUT_WITH_BUS_HOLD : Pin is tri-stated with bus-hold circuitry. - -- RESERVED_OUTPUT_DRIVEN_HIGH : Pin is output driven high. - --------------------------------------------------------------------------------- - - - - --------------------------------------------------------------------------------- - -- Pin directions (input, output or bidir) are based on device operating in user mode. - --------------------------------------------------------------------------------- - -Quartus Prime Version 20.1.1 Build 720 11/11/2020 SJ Lite Edition -CHIP "DecoderDemo" ASSIGNED TO AN: EP4CE6E22C6 - -Pin Name/Usage : Location : Dir. : I/O Standard : Voltage : I/O Bank : User Assignment -------------------------------------------------------------------------------------------------------------- -RESERVED_INPUT_WITH_WEAK_PULLUP : 1 : : : : 1 : -RESERVED_INPUT_WITH_WEAK_PULLUP : 2 : : : : 1 : -RESERVED_INPUT_WITH_WEAK_PULLUP : 3 : : : : 1 : -GND : 4 : gnd : : : : -VCCINT : 5 : power : : 1.2V : : -~ALTERA_ASDO_DATA1~ / RESERVED_INPUT_WITH_WEAK_PULLUP : 6 : input : 2.5 V : : 1 : N -RESERVED_INPUT_WITH_WEAK_PULLUP : 7 : : : : 1 : -~ALTERA_FLASH_nCE_nCSO~ / RESERVED_INPUT_WITH_WEAK_PULLUP : 8 : input : 2.5 V : : 1 : N -nSTATUS : 9 : : : : 1 : -RESERVED_INPUT_WITH_WEAK_PULLUP : 10 : : : : 1 : -RESERVED_INPUT_WITH_WEAK_PULLUP : 11 : : : : 1 : -~ALTERA_DCLK~ : 12 : output : 2.5 V : : 1 : N -~ALTERA_DATA0~ / RESERVED_INPUT_WITH_WEAK_PULLUP : 13 : input : 2.5 V : : 1 : N -nCONFIG : 14 : : : : 1 : -TDI : 15 : input : : : 1 : -TCK : 16 : input : : : 1 : -VCCIO1 : 17 : power : : 2.5V : 1 : -TMS : 18 : input : : : 1 : -GND : 19 : gnd : : : : -TDO : 20 : output : : : 1 : -nCE : 21 : : : : 1 : -GND : 22 : gnd : : : : -GND+ : 23 : : : : 1 : -E1 : 24 : input : 2.5 V : : 2 : N -X0 : 25 : input : 2.5 V : : 2 : N -VCCIO2 : 26 : power : : 2.5V : 2 : -GND : 27 : gnd : : : : -Y1 : 28 : output : 2.5 V : : 2 : N -VCCINT : 29 : power : : 1.2V : : -E0L : 30 : input : 2.5 V : : 2 : N -X1 : 31 : input : 2.5 V : : 2 : N -Y2 : 32 : output : 2.5 V : : 2 : N -Y3 : 33 : output : 2.5 V : : 2 : N -Y0 : 34 : output : 2.5 V : : 2 : N -VCCA1 : 35 : power : : 2.5V : : -GNDA1 : 36 : gnd : : : : -VCCD_PLL1 : 37 : power : : 1.2V : : -RESERVED_INPUT_WITH_WEAK_PULLUP : 38 : : : : 3 : -RESERVED_INPUT_WITH_WEAK_PULLUP : 39 : : : : 3 : -VCCIO3 : 40 : power : : 2.5V : 3 : -GND : 41 : gnd : : : : -RESERVED_INPUT_WITH_WEAK_PULLUP : 42 : : : : 3 : -RESERVED_INPUT_WITH_WEAK_PULLUP : 43 : : : : 3 : -RESERVED_INPUT_WITH_WEAK_PULLUP : 44 : : : : 3 : -VCCINT : 45 : power : : 1.2V : : -RESERVED_INPUT_WITH_WEAK_PULLUP : 46 : : : : 3 : -VCCIO3 : 47 : power : : 2.5V : 3 : -GND : 48 : gnd : : : : -RESERVED_INPUT_WITH_WEAK_PULLUP : 49 : : : : 3 : -RESERVED_INPUT_WITH_WEAK_PULLUP : 50 : : : : 3 : -RESERVED_INPUT_WITH_WEAK_PULLUP : 51 : : : : 3 : -RESERVED_INPUT_WITH_WEAK_PULLUP : 52 : : : : 3 : -RESERVED_INPUT_WITH_WEAK_PULLUP : 53 : : : : 3 : -RESERVED_INPUT_WITH_WEAK_PULLUP : 54 : : : : 4 : -RESERVED_INPUT_WITH_WEAK_PULLUP : 55 : : : : 4 : -VCCIO4 : 56 : power : : 2.5V : 4 : -GND : 57 : gnd : : : : -RESERVED_INPUT_WITH_WEAK_PULLUP : 58 : : : : 4 : -RESERVED_INPUT_WITH_WEAK_PULLUP : 59 : : : : 4 : -RESERVED_INPUT_WITH_WEAK_PULLUP : 60 : : : : 4 : -VCCINT : 61 : power : : 1.2V : : -VCCIO4 : 62 : power : : 2.5V : 4 : -GND : 63 : gnd : : : : -RESERVED_INPUT_WITH_WEAK_PULLUP : 64 : : : : 4 : -RESERVED_INPUT_WITH_WEAK_PULLUP : 65 : : : : 4 : -RESERVED_INPUT_WITH_WEAK_PULLUP : 66 : : : : 4 : -RESERVED_INPUT_WITH_WEAK_PULLUP : 67 : : : : 4 : -RESERVED_INPUT_WITH_WEAK_PULLUP : 68 : : : : 4 : -RESERVED_INPUT_WITH_WEAK_PULLUP : 69 : : : : 4 : -RESERVED_INPUT_WITH_WEAK_PULLUP : 70 : : : : 4 : -RESERVED_INPUT_WITH_WEAK_PULLUP : 71 : : : : 4 : -RESERVED_INPUT_WITH_WEAK_PULLUP : 72 : : : : 4 : -RESERVED_INPUT_WITH_WEAK_PULLUP : 73 : : : : 5 : -RESERVED_INPUT_WITH_WEAK_PULLUP : 74 : : : : 5 : -RESERVED_INPUT_WITH_WEAK_PULLUP : 75 : : : : 5 : -RESERVED_INPUT_WITH_WEAK_PULLUP : 76 : : : : 5 : -RESERVED_INPUT_WITH_WEAK_PULLUP : 77 : : : : 5 : -VCCINT : 78 : power : : 1.2V : : -GND : 79 : gnd : : : : -RESERVED_INPUT_WITH_WEAK_PULLUP : 80 : : : : 5 : -VCCIO5 : 81 : power : : 2.5V : 5 : -GND : 82 : gnd : : : : -RESERVED_INPUT_WITH_WEAK_PULLUP : 83 : : : : 5 : -RESERVED_INPUT_WITH_WEAK_PULLUP : 84 : : : : 5 : -RESERVED_INPUT_WITH_WEAK_PULLUP : 85 : : : : 5 : -RESERVED_INPUT_WITH_WEAK_PULLUP : 86 : : : : 5 : -RESERVED_INPUT_WITH_WEAK_PULLUP : 87 : : : : 5 : -GND+ : 88 : : : : 5 : -GND+ : 89 : : : : 5 : -GND+ : 90 : : : : 6 : -GND+ : 91 : : : : 6 : -CONF_DONE : 92 : : : : 6 : -VCCIO6 : 93 : power : : 2.5V : 6 : -MSEL0 : 94 : : : : 6 : -GND : 95 : gnd : : : : -MSEL1 : 96 : : : : 6 : -MSEL2 : 97 : : : : 6 : -RESERVED_INPUT_WITH_WEAK_PULLUP : 98 : : : : 6 : -RESERVED_INPUT_WITH_WEAK_PULLUP : 99 : : : : 6 : -RESERVED_INPUT_WITH_WEAK_PULLUP : 100 : : : : 6 : -~ALTERA_nCEO~ / RESERVED_OUTPUT_OPEN_DRAIN : 101 : output : 2.5 V : : 6 : N -VCCINT : 102 : power : : 1.2V : : -RESERVED_INPUT_WITH_WEAK_PULLUP : 103 : : : : 6 : -RESERVED_INPUT_WITH_WEAK_PULLUP : 104 : : : : 6 : -RESERVED_INPUT_WITH_WEAK_PULLUP : 105 : : : : 6 : -RESERVED_INPUT_WITH_WEAK_PULLUP : 106 : : : : 6 : -VCCA2 : 107 : power : : 2.5V : : -GNDA2 : 108 : gnd : : : : -VCCD_PLL2 : 109 : power : : 1.2V : : -RESERVED_INPUT_WITH_WEAK_PULLUP : 110 : : : : 7 : -RESERVED_INPUT_WITH_WEAK_PULLUP : 111 : : : : 7 : -RESERVED_INPUT_WITH_WEAK_PULLUP : 112 : : : : 7 : -RESERVED_INPUT_WITH_WEAK_PULLUP : 113 : : : : 7 : -RESERVED_INPUT_WITH_WEAK_PULLUP : 114 : : : : 7 : -RESERVED_INPUT_WITH_WEAK_PULLUP : 115 : : : : 7 : -VCCINT : 116 : power : : 1.2V : : -VCCIO7 : 117 : power : : 2.5V : 7 : -GND : 118 : gnd : : : : -RESERVED_INPUT_WITH_WEAK_PULLUP : 119 : : : : 7 : -RESERVED_INPUT_WITH_WEAK_PULLUP : 120 : : : : 7 : -RESERVED_INPUT_WITH_WEAK_PULLUP : 121 : : : : 7 : -VCCIO7 : 122 : power : : 2.5V : 7 : -GND : 123 : gnd : : : : -RESERVED_INPUT_WITH_WEAK_PULLUP : 124 : : : : 7 : -RESERVED_INPUT_WITH_WEAK_PULLUP : 125 : : : : 7 : -RESERVED_INPUT_WITH_WEAK_PULLUP : 126 : : : : 7 : -RESERVED_INPUT_WITH_WEAK_PULLUP : 127 : : : : 7 : -RESERVED_INPUT_WITH_WEAK_PULLUP : 128 : : : : 8 : -RESERVED_INPUT_WITH_WEAK_PULLUP : 129 : : : : 8 : -VCCIO8 : 130 : power : : 2.5V : 8 : -GND : 131 : gnd : : : : -RESERVED_INPUT_WITH_WEAK_PULLUP : 132 : : : : 8 : -RESERVED_INPUT_WITH_WEAK_PULLUP : 133 : : : : 8 : -VCCINT : 134 : power : : 1.2V : : -RESERVED_INPUT_WITH_WEAK_PULLUP : 135 : : : : 8 : -RESERVED_INPUT_WITH_WEAK_PULLUP : 136 : : : : 8 : -RESERVED_INPUT_WITH_WEAK_PULLUP : 137 : : : : 8 : -RESERVED_INPUT_WITH_WEAK_PULLUP : 138 : : : : 8 : -VCCIO8 : 139 : power : : 2.5V : 8 : -GND : 140 : gnd : : : : -RESERVED_INPUT_WITH_WEAK_PULLUP : 141 : : : : 8 : -RESERVED_INPUT_WITH_WEAK_PULLUP : 142 : : : : 8 : -RESERVED_INPUT_WITH_WEAK_PULLUP : 143 : : : : 8 : -RESERVED_INPUT_WITH_WEAK_PULLUP : 144 : : : : 8 : -GND : EPAD : : : : : diff --git a/1ano/isd/quartus-projects/DecoderDemo/output_files/DecoderDemo.sld b/1ano/isd/quartus-projects/DecoderDemo/output_files/DecoderDemo.sld deleted file mode 100644 index f7d3ed7..0000000 --- a/1ano/isd/quartus-projects/DecoderDemo/output_files/DecoderDemo.sld +++ /dev/null @@ -1 +0,0 @@ - diff --git a/1ano/isd/quartus-projects/DecoderDemo/output_files/DecoderDemo.sof b/1ano/isd/quartus-projects/DecoderDemo/output_files/DecoderDemo.sof deleted file mode 100644 index f0a1ec8e243019bdf2968b9783a55640749da5a8..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 358707 zcmeHw&+jZpl2?6gzc=28wV1(cJ)uP;)*d{7X5LskyR$F@p`D!t0Rp3C5onO+&5qyf zdgU2^WqS}32hv>Nz`DkG}8Uw!*`{{C+dpkLtE&G2`4`wxElgAYFX>4)y^58nOpGxweM ze|-Dyd(S>_|LEBVAHMtkPuyEizWVjAe%<}%Pv3p-9rx>RJ#k5^h z_~OBzeCg+3jP$?uZ`gk6=YNxV!{C38EoT1g@G5qh9RCM@pZwKd7=C5=7dMy#?fKZg z^X$WSfBz@n|AQZV_y=G8y?1}YGk^TQ|K9KapD+K1|N9UB2_*mMKYsATVfgdd9sWDN z-v8-Gw?F;p`#<7XKm6KnJ^SJN?>zh9x1Rm@{jdJvJMRwP`tZGXzJL3{`+xZChaY|a z-JksE{lEUmxo;gNu+Jk{azB3O8{dED-QR!q;YZ*9=9Az1_8UL?`m^8o)n~u<>(74h z^|yZStsi~k8^8LE-+1F|qxRP(>feId2+Uv@yLX=bvv+^^?Avcl^7n?j{lQ0n@a;FI z4u1IlkKS-U{qWfb@cG+sJo)FpeV|}y0{*S9%_Es+;x#Q3tUAvG2lHo!|N6lLja@q_{9tL)hDfNuD7yxF5euwwz~6Q4|bILIG2 z?mv9H;T`@=tBxQ@5Jl%ruy{=T8X5dKUK30Qz<@;K~Mk| zlfwpXc6r);=2Ed1c_Rikdpr1GA}vmkZ`QxZVs? zuAD`$q1w8A>6i{amD(3%3XbhXw5{A~Sj$0^-S8h{r>cDgL5CeZg1pEAJ1}uUWR>TF z4wkuU891j5tY}{dqjmezF&)@?@rMLRb!;!9ZL8YX-~n-}_JxRyECbh*fydVqszrLg z3|RZh=IspISJuxBOm6k=3zu0NCLFeW@hAmN_!nyOMJO{5*Pf3D2dtVz7BJ=I97BMm zVIXYsp@E~)XAh44&aR%J8Dc(ujiBOzsbYFa=%GOZw1e$m#+{SWKG_hY;cH9CetjAqS!C`95VF zTMlMRak<_B&p*~iSyH-_P8IzzFusjB{ATeoV8OUW| zmz%UX%K$RqH<|_-;~Ka5%2^Y)gOJ!I0^?YX*I|07&ni1%HiUqEki#a}Ck*aNU``~t z)v$_(8r*1wNmDG3!7NBj8d(M`1DBtHCzl_>xkNy4Bvf{fL9Cn@sKnjDK|t&hfzb-n z!&>w&pc7_82-pWXY*YydWa(3$ZQZ_fOk#^M0*}EgNK6`CRR(Ylwx%AKt$n;yJgnK) z)B|&&kA9(ijd(Lmg0)clN7Pt3!6}i0fY>Dh<5-PLc;Gv1f+}H<_b)2~agf7Cm4JXq zb?xh6a7@IRGGt7GSitfa#Dfq*z~oB0U8$c_?}3@Kqs`%~oYvF>v$c<7lN8e_IZ4gx`yFbJ3)gIQ%K%m$HR@E8sbn=A+z zDtYG0t%jKp7~Pmy#gsyxc`_zJGeqDqm<5T+mGt&X{hWFa%$yyY+fD|0TpIMi+)l>V zMS{ZAnp$BJ9LrbElFLwa5E8pYU>vJ4#5E#jItT<+!XWQoRs`Z8hm9%$0g>cZ!zvzX zXfP+e`+`ebB&$4IJgOFxW#9xEAlS&ahZ^e+LSmN)jQaKkIEPJ8B@FWZWknzka@eR6 z5D=-ZeMQGaoGC-bB#7xjPJwLSGH?wUczO*%T8wKlKv2$C&Kg(;A+bvYhP5xG$=VmP zV6=@4;HH@n%B*-`Ql+mjclPNxK?PV$4x5|d>G5+8OsJ$|RxG^(dMH_haT3}=Kz`f3 ze)QKtEWzS&j5)dkDV220#J`As*X(E@`!MPFys2s*%-wM3!Px|h1>zI6w>)TpnSWmQ zu30v=j*zI<)C04rkB24J6mtO>K{kKh7E$==Z3m&`1P@qK#&M-#RwWhFLp8syqFJ!F zNT^XpmzII-((tuWjDq>|ogpHlzJ0Nlf*ID3BEkS5k4?0n1PM-w2m*O)6UOm*c!-yX zAdtsQ{L71!(2ak+8NeSYF|rI~Gk|ikMMBLKuI&8Cs@RNzVwZ>vYhRp8LO%1o$=Vlk zV`Le)h79aZ8YGJFc1f|uOcE!Wg-=FTlL6`wX%EZ}ZeAp0k53QQTIfQg#9!MiU%hBa>Q*S?@n z*ob{3FdoP6Lm^%wfLnwBs1Z&EuZ(pqC z`6XZ`{)uLx@3B!OARrQfleacuEV%n(E#jAenIujy>!EIB8MvAZcq|Je%Yc#rxpFE> zk>#-`c8SQa_Qh!;^xx>s%83~W`osZ%ml0knDMK3IW$-T#Ya_>he`3g zX_@%B4Ee!SR=?%f`1I=z$FKqB>^EoUzYkmXx-5Znpf&ZtZ0cjPk8SViRaoW({p7qY z0=^O}L$3U=dR)|TrLDwF{EO&G2t^AQD&Q{E@9TCue~#9*8qS=2zB4l}DngDMU@mB1 zs$+0Rr)0$@SgaS_Z~%d570HpfVx*4WiIg4IHH7T(P7BgdmAz(qYNIGV|vfnj3b~x3sq8oMX z>!|}!Rr?Bp6%ph`0N}7OvJ6-TECZGSWFV>iN#(4{kJ=^f(Y|0*#!UQ+=t&3wa4HBS ziiDn&w>D)s;GC{~!J3g};94^9@LB@3IPaT*r1mETy9!ZmHLM;R3;_$GRU~63{zdd8 zgkV7i2@>l2S+*~yx_r4z97&_g%7BNv$;yk1vlPfDyfz6!`89fEJUm`OLR_N)ro5bE z2z&H=Q9)>Bdhh1w?<_P{CqRHPUhy`-bW<$CAZHfy$46CX&%=&vb;HkP$PcEn<__5% zpMDL`*s5?NQ^ouoA^|I+-|}R99u^X7sYluAfw?x$MBgQ&lMV>h;(D7c$)Yb$SYmd9 zJE#GR3CZ`^A#BE#h8>M`%vjP?vk1w^GH?bNh$~>W%&MG|&v#ZQK#YqjEL}_#Nyc>0 z4OoQ1Y?)yaB!levh3zaG1cfn{VQ|=o8y-8=i&@5$4+6o$!7O%-ECaI)pd}ft&j4!1 z7OA>IU0(2V<*bTD?GgkCYhNLe*1kd}?AlDvJOBRF>Gw3EQgngUvZVCL-D+;%e1KFucl3NYyIq0H`dhDF8eU0W|a$`gri{~oBnO!(KgpE~EBGUhyKdT=W@8jc2TZt(z z=UD&t76@X9uVK6HV%ywXGjt)89TwI$Dh!EZE9CetjAqSz*r3iz7h5f)d zwj9is$Uz{e5(WX&V=yZNgxMg1eUQT@3j#)w)S}~|KA!D&t*W>(CP6P?c?@PjV$#Sm zkjua>H)(T=GvF3$T!4b#f8lTeo-T8dM)7f*ubee-4nks=2#jMjUWe(SKCA46*$@Ku zK@OW>pD?&9fjN=nR>LYDYSzAx1tZJAHZtH(7siv*nPN+*dz&RfWTCQq3}So0Kqc-D z4gzAA2#i*k9@e6N0i7@#Lcl)AVWUbwAWNU}Z0q)=V-j185qJz{L1NPAsxp9cur>9- zZ0+Nv;*A%yjh*j-xzI(wP`*aI0Va)h#%-wmBWkRiBfqe5;EP=%Fpkx@05`H7wn3FJ z$orQSIW(@pK~InXt3Y+_>tS%LfVOALm;`}<fq4%%OvN(ryfffCIM4=@izLCheB}hEL=FO>#1aMp3;Tg_tj3rvk%K@`B@6xP5r~5vHmU>!M5=3F(J>Kc%8)S$VtSBMAltVLTtfz)UPF);=J=t?F(tL_Ju4MZ6gEtxQ-CYtaxBjrLQn|_USl51z1cDo15Y3@pBGLsH9_7 zEWHDIC|QJY652sPe%rl%^w&Ww!Qyd@Il2QWm2}L+zleU<>}VkSFzNWbscIk0-EjOB z*3U7KVDUKq!t5;%T43g%*S%{NuB;*?sx|e%Z0h4-i8aMs07j6_pSMMn7h`3}Ji7|* zaONLZ+B!@R_58XDA+W$G1<6g3eI0X&hcm1Ig7e`V^%&HUhfw}}pEBy(7i)Qb37Cn0 zqFLyBY*Yydh(zGztxXum=iwn(uQvnS=8V>7z^{imLxdLwIzzA4 zyR^S!`c^TgZO3b3rR4t3|w&rb|;M;)p@&=w4CJLpcpeJ#zUxO z`_eHJ|3tI!$z!8RKp;z=tq?eQYZFHO-B$rqJ_s=cIAnB98K4fq_Q34m=0!r{8of0& z!K8Iwp$xXB2AKQ5w1I%wSOvH-lNeC>l zns5%ZrXHA0eSF@Z;Du#Qh$=a6i($SJE5k52NGDi4j*B|3G)SqWVs#cj}PW~Q59I);@i=gekqLT)uI-L!q>tSfV>V~QTLkXyOc90Z8p2Zv2n z`w}sMa3Xrp!A=j%4sIIc`DDO%aHR)k2RAPg(sl5<8Rm-i1y!|6+@pQTm`-11H zW987$lag%2Sf&gIpwqRl(Hu-}jF4NS%gTUOmWiLskRMEC z^&KkU`1EUd#&!i}S+$u&kFwJPvsu6Za!t{egU!|z0b^VhC9o$4LFCv&IKkp^WDvM3 zF#%y@8Mvqn#1)V%jk;FDf#$DxfyG4FENow_k;eqq0?LCN94q6@vO&-}6bOfXv@nmI zm6(7qvJ9-q0NR|9W#9@kVC^e=(5-!C(_)jB0n5PJ4A@`YSewD9zoLB+gQzz-5LkHk z1(iG|uoh4rr0P!TO*YfIeaV;$VlvUI%0Si0x-cU>Fc-S8S@G&GRTpHS2j&9v5OoXX zYs8yju4rHIQ|%H2i4A{v=s!k))fFM%5&>}%TTX;PGVNb}a*$3|RZhBt>d9*1odIvdJsV z0M^~1I2&W8HUdx6drO-u%?XTZ_Z*?B@t8AYRjaya3fj|%%*PorfAE- z@+AYyrARxLn5`=hwZmO0VSnt=aiw8Kqa8Ezn3|UHECXkm0fJZeY+tPDpdQu^VGyve z9~j5aVK7@F2Z5kU7>p|vJN4usIs-v1sUo%LctDI5WEyM{H;-9VGXcwho`IdtHm+r0 zs~I2!7OJqvp#DyYg&j1+E)f_lFkxr`Imib4gh2=r`$fvPn!`1-hq|?|ViHZjGGG~) zXW+?v%4Y7D0fJhn>>h*q_Ql=7K||~kfzbjJh8B>6Y_Lxlgdnl6(x=RA-M(Z@)fHo2 zaRzV>wx%AKt$n;yyzzpzvGYAJ7rN*d%GZcD!z5S>m3>65Z(pqG_$7CV&^{KlFA)=l z7LbE%uum9-AhBPhy7u+30cO#aW&*d(kd8n-FpubUdsW{f)j>t69+(l_b}R#zlL6ns z)n=Fk=Ry@8QLnyzAq#cwD<+OLbn?v3W9oV>xo@`wJ7D#|?BJ$Bo>2yRTpIMiJfoC7 zS69#-T2m`bf@Ak*e47^kk~I$zSSHSiHAD6 z{AJyJ!D}PSfMviku$2rD0tuDfV{r9%Ur0h-`-+K!N|ExIMKu$!3|waho?d6z7Il3F z2%^@$?j|hXYVO{B#l+oJ&yMq$Sy7v`44ioeuoehD&WZ;nRr(5Z<3;l96B1@RsNW1v z2ag?y7D>h|swM(6cjBr|#Bzh(>qmbb1QIMB$Cw*n0)Gi*pJ5$;AGV0H8-yQ{-624x z_zSbQJXnc20-k7daKEXw9+*uX#BzO(M6f+884j~WdNEdp%(JV|4h!zM((aF0)HDIh zz}aOWtN?;%$ug^P)k7$DsRV1vsBd4a<@q(o#N-0Q*jBgnzWq{k9k!9c-Gmx~Lq`1~(!$T-m_5^Fnu=d5-AbwfmbSsbHS7K#)kX5rdpC>hHc}Oc*k<49qh?^QqM{Jup{0 zwGjYZqqn9Wm`i;`8Ej3>FjurMj>#jgSlJV-DPyB|UytMWp-4lC2m-T~Skh|858vFi zLmY-4m`nY0OBE{Qdtg?WH4)3e*=3+vtC>XB3^QptNpYQ66L&p0TWepO1wvd&He!$y zM*ZCvu=1ENbQ&TY>c-Rqv$2Z?cV-!I9sKEm*}=_=ggpHF-KM@b!(7q6Sk)t_SlJV- zDWm@GOT>hs1>_+sI#vWCNEF-GW!-%hgEj%nfMvika7h_RT24~1YohQF61zlX)VD8& z%VWaO0`icJ_LCrMPzXXvHX_!9vEc5DwTNGHOiUVC1}p=!40tSCBg=r2fuyo01zS;y zERVfd*%PcO!`c^TgZO3b3rR4#{0umRkSzF6Jc_aXj3r`4m=zCZdO*B1aQkY239NdW zxkJ!}8)f=lW_dfP9ole=nJ~E$6A%k1KB_u<9<~g^&t>F)NETR3xBMEPe%&EZh?Ysj zEUPw?z_D&kJusX4*z9B5dwP{E8NLeal~@^u!9gRz;&EKOaiu{@B@t8AGNxtVEHe;S zK(aLIS`7yj+O0=$2^Npzq9SltVgh0Tsg9L#X4#0bux|VZYz{yWt)eVum8J<<29ykN zOER(yTw?~3mJ{pp%2soz=&|GzGP@FU;lZ)?wJ>2OZW*u)oFoJGS2voac}4p|cT&5= zJ=&LyxiDhYF&*m>v$JVdh? zLBCMGM!W}Rja`$m44hjAnzfoqV9hYCeND2u-$ib-t;QcjAwbx7*i^MI5fca}q6Z!9 z^uX-kra_)h27CusdSG^N^Z7uoOpfc|brVct$5ym2sH!(P_qh9#G1*ux5eur0m4T|- z*HZ_es`eEGW9JM0fl*7YR?y_mA_F*?w@6!!Ym3Kf<1yh>bck_QurXPrP=!4t6D%Iz zqkRD;j|t8K%7d(HHU3~f_8^Ceb(japtA0a1jVuFqGw|^4MCUPThS5c3AZa|B& zwM$t0N=}8@1p@M8WEr@`40t%5FCL|EKH;K45Xu*iBje%m5fzAQBx7n?cX+%&W67F@ zc1JcdeDCJy?<_QyC+L6?ZxyhwlZdISC0x&=TYim?s?MH=O+>Q;elFvi%-ErR&7Oyy zGRAMhAzCI8v#i=oqDT2{fl19G%mBH}+=-?})3bF&us*H|>}d3anX`4}pmDf^MDjhx zgw0A!Kp0sDE-C|Y1){miz{2(gl{_Y}D#^249;E6zA7v&9fyX1MeKiQ>-yKiO)|d%_@e@FgovQZb4uW`3KRld8kQag8N_uUj zeonmyX3mbyZ6^agE)9BMZYSfbBJr!V^U4h{-H4pj{&5QTt5y9Ps%n=Y7Fc-qrDHk( z2LA|yS@9pc8H=cnK~txy_SNL>YZifnXPF@R2U@_{99?+jsk(Z(yn{xf%Q!VRNVLJ2 zHXaf$y%p^X$x*umL1L^8GO!hVf?5M3hu8-FOnEo)hO(#LFoK<0~U4j5HuHw*gR$@Bn2Q0z>=t&5e1gVbg zMYOHlYFNub6K;6yoUVP1=3sJTL>r6eD#DqqJcqEcDoRA+pY!(z)x+X_d|YWOG3DhP zi^tvq;VmE9UO?G?_TcF6to%R^$pPc|)dU7AshA$BdT7Hy5E7nY{e0w=Hcy6O6vUr< zo;?o><-i!?Gq#vjNyW@Rue(F~!eh9@KGmv?5|c^-_u%)yyoVdIikDe^IfphG*W2v8 zEt=o4#B5!8s2#8g`&hikm4<;vJ7&t54ys|*5(cx>PENtsw`Y z?D;-r99s@%OXMJs#q{9J$^cH`#6O&^o)}KQCacKckDu0F9oG+fao)refv9phOM=VwVVvR+t{vqJIIM zFdITRG_Jv6Qjh?vKys^LMGxh16(6l)sz5R(K{H@^3}!)M(#SGk8MyomJh}W3&LskZ zBl*f%bdkG*gOJ!I0^?YX*I|07&ni1%HiR$?6V76#A5T zGA2PYMBp))1sU2hm_}ET0h}+bsR1U=Q=?1wnPpBL6tBFm>z>!WhcxA5$uB;HdzobiX^uhR`pPa8xQYV1w$km zlb{o@JO;BMF}ad%SL)}~dtm15XmjJYtD3+)cs(%h;fASL2G(c5t%C5YwDZahFx^-& z3HbTS2~LR|1jH^87{_W{!UNx76I2O_56takd|f0cOs%OECc&|M-vKLt&0s z1}-ZD1b0L2_ZYz)ds3<*=?i2IH#0jz(W$ z=IjI~XaI}JVRJJ)9Xxg#V9LvxVBugEyV;WA!70-2^`pNI(g_xiW6aSVNU5Y_&Qk9H zcGv7^AUvwN12;TOIzDeYvbD`_5PnE@#A40(^y@7TT3{yrMf4;D&EZ-eQqh`vU^ew} za;`VUtpp>;<Txpn9NyYR~jjJ|qwyHX*E}SP5HOlDHGLSt- zeQgw@VE%k(h{&jKU#z8I=F3150t0|NHqm}g0s z{OipCw-rf#;Wj)0O$% zNrT+>Kedr7XEDj#ojinMmrAgvjQaMaV^$P@IKM_XsmluKjItt(1twTw*dtjy($|F}6SuD{5bFmYq&>;i!BYO@lVtt_V zxaKqWvx0imn$~0b{XY$sFZ^p-cVFyMvxAQ4PDu|HV@}~+ENhcN@zKDFx@fxCC8THFd7Xc$ zTvs~bl9rPc?3$3rtchJBGV0qG8&xo)e-B@JRcTIqjw&E*qDTaZXbpoH%Y?Du?u)gE zUjn9l5T<6KZ)6#`mJE0-3nR;bk^#ALDoT;%u@_5hf;D9{Z(oYpFN3$u_O;1NN4J4% z`tg*zv%xvPVRDEqS@7X%uNd^tSRz)0S@B?|2gFMQx35N+1i*mhG02(C&J$*#TBh%5 zHdsBzOu%Y@iFy#RB6<=UEP?V5lj3>PGVya6@`I_Ye#@`%>DL|3hX$Cl-<+NQK5W_R zvIG*5o2M!=!OFbM5{=~ln=txY?YDA5^|U2 zZqC~?=-=Fuj2dL1xvPkf{EDy5MJwQ*6DW8f9R`N=(d;HZ6 zx6-EzRhKJgF(8yywM)d>OTdC?k#x-1H&nBuk+Ov7%OmE_N8OW2O*{q zmW-|{163#1!i@C5T{1EVgz?k?qWa{JF=GS|UVcF~ z3u9{te^RzJRbsyUvTJQQ;`zAOZl@aa<(s!>R&g{hp5{ULi;u|yxK9RZTg?*e2AKPH z5-aDdxK_E7RQ3dm)ngALVD0T;uRtnTeED$SXe!};eb`uzMvvw zCJ{vRBm`JmN%D2f{egR6-rpP3ynqb&4nFq4?BM1_Lb?uKH^Y=GXVGh@CM7n(VrDG) z1T2UaNyp4r_Pb_BBdTLXH!qftLCX?z%pp!7?m*-{~~%40?Ir%GDuZi@|_Z^M>ia>PSw5;rjcdfdNQE> z@sI1tf<>xiAgTSaE~EHYk=i9Gp;o3 zXryDtlBSwPNL!;D?+!`bT4bA!C$50mGOG$(KHpiL05LAAuyip|BpK5|_h#@f$sz&0 zED{Ksi8B;z81PceV*fp{Y%rbzMWVAj5s2Q6hx1d5@Qt+sm zlMA?6ajl9)?Gglt4()65Z3fVj;t!J`)v>*Zww+~zAZ_hS%bD@6Bm-`XadJ?nx=cLj zU)}IqJXkTNr1tY6T<}33v9Q`DAh57~K}E)N%pGrgWD!V!$`X6I%=S&5Yu&zdO!*)X z{5#dMf-R%7$pFs5*3<*DwU3vI*W<&-CYb9Ey6bQ}8enqGs!t9XQ$7d;3ys- z9oWG?!XVqeJTqhvs1>QIeGMKs)on$_ln(;If)#jV!RXpD@c7ySws@CjK(3rsNNSfL zKs<-`1+%JS5YS0(HLT~Li!SQ1bGr65nuE!W5p67!(pdLDyE0J9$GN4gYEopx&{&P z{mC$V4rcbj)G6cmo3ofzNyUs0yM7J~(X48qf=LxJOGSEMF7-i`_bRt96X#%DZ?p5Z zXnV&JvvuX6c4)zb{bko1JJygf9dqNVB@AYzf!PRYdvwU@_5#~-M1=KYgNUSF$sDB z%VRJL5|c)jfm{Z5xk;N_oB_93;{p`?{tD^>JYD7@jpE}rUpZ^w9E8L!5g5m6ybjYt zeOB2CvmpfRgB&)&K4EZI0&^nCt%g-R)U16W3r1I%0l&qVIjHf2q7%gSVetX$4kWnKF*m7_%jE5XfSBaAuXA zFdIU^KFDE{1pz}P&)mB9HM1(>>X-z*5CKHzL1JmVd{iNLV-g)~|F zLKcj+kpX;MM+jwBJTR%!SC}h*1;9)P^_$`8;IRYIBI%eFOYdMIlq|wH3GE;tzwKT> z`s*N;VDUJ{9NmGGN;+oZUqruab~KQEm~?#JRJ9N0ZaDr5>*ttAuy`DQVfK~>Eim)X z>)tgBS5^@c)tY)>HuVwb%yS}~p#xmtNjqH_Mf?#)5}XEU^jJ zlws|QvqAi__Jt%ESq82+1G_7Zy>;kG%SrAHik7%-c?h*^Upi*upJ*07d2Cb(2xQ5# z6#^%3ZNjL(`zm0{2O)+4hm5W%1Johd9+(~6yhun~qqn9Wm`i;`8Ej4KF<(W^Jbrvm z7$gN76D9Hxh$S|u!c)d6@4h~POKaK})Crp;8!^ZU<8k~x6yhZ!2;?!7#N|aw=w<$H zf56Lv3nY4AE-*iex}^#g@;xvs%$kU0;OsJhdeoYlVak=W#$^woSlJV-DdUvw3m&a& zUz}S4267xdk3mit^><&uBGwczlf;Q;p}&HtL;LE1xgtbUy?_k34nFq4?BM1_LiTvX zxEZEgIg7bwRS%(9*%PcOBQ^nutE6M*EBjruFyOHf9RpJ(0yl4M!nmxvFN9=d8L$jk z1~!v{r1mETyCy^rA+bwDMt%EYI0ZBDFQO+Qz#%4&jVb{FkqDf;wFzUv-4|;SzXZ%A z0?{n=jVuG#k^zrpVPqLlG9Xt@MJcj8_QWm`8P>iyO@v(Kdy{++VhW*bWEnV@3^+KF zJ#UL1{){DJMTjSOz+$Ec#7hIWudrM&p^}bC&<$7~gPhsyJYg29W%{0GgVkfq1gr*_ z@vC<^G*|+~M^%%DN%6dCnfSR3`N33Hzvb8X^y?1CumR>b875*y^dtn9SWP$wT2l|q zram_N*mk*BIREn{!&ia55-Yj2dL1xb)S+1N_Ce%I>YVSb6sy;bn%-A<%k-e?W zwXdp!VM3OHQ)B?kaC7wX;7=9PN&o7GJ5^NA#m6kU`>ySm&F+J0d zm@VHaF*Ca10918tqhrbkAzlH%lF?OVpz6e0n2{cs3tiZ%ICTiD4d%Lo-s57W0VaRf z#tD}zXVuYAyF{$L<57TU70H;fZ^$CyE>DxF@B&9XZ*9VO>VQ;za>$tSL5L}YrImzl z0b5fK%!NMsh4MAxJuqwRnv7-O+%nLh)yzU_hACIhna$jU+9hJ`C8$EQie$`uLrFpi z7G#hhA-=e|;+2cW9<6$C3H$cq5L$TDCV zunbrRVg{1hpH$8$qV1?%;vVe_wq(r2zlffM5S*1kf`t0s`tA$HWXvRje2}WQ#m=3zZKf)kq7W2nPRg;HF@w{o7__+-E!Bke?!G-bZ*YJ$(O3crpAFv|& zEl-Y5+aiP(gk#;BdSEv7(KSU|4mMj?1dMT2l)#=G1d(G8;RK7vkwM@#z>Fnrwyqp3 z;Jl~(GU=aqhWrj(R46^4J zwzF&y6vkMF!C@b6ct(Dh~D$@PWYCF1I;*Ts4#P`98$b#kE# zENbTD0&Z4ZtBk5$f&gLdDl4P!G(2UHjfBJ&Cy?6XM+O4KSO`MPS&8YO zAFv1mpeG?<5~Mn|7m>D(8FH&(CIlAVeL+RWOd^2jJV;Egq}NvJ=hS;(=Iq$qb~4c8 z(x3+C5JW7F*{^$Hz{ZLgN$Im%RkV65p;>vMvg7B6PZ7-l~ zKYMWWcUBjn7cRVypY14UdzOmnA)|-3N0~gTddoBMVbae7TYY~r45MK7!Bl7l#_>02 zRXi|N%+$YtzC-%L&(anRR0vRo%u)p-T}40So(qacnu5Es=vj zP$dikrpKVJeL09`LBJ@IT68?r#|jc0E8;;w#w4%w&pc7_82-pWX zY*YydWa(3$ZQZ_fOk#^M0*}EgNK6`CRR(%g(;k>tbx@RG#|v78e;-y8%ykFd-^cHQ zP8&@A5S(Br)cz4QR!(qAD`OILLIfUzS&*1qNpG*z&#Cvo%-ONI?PQ?Gr9ltO?PPpiBq&U+sTC%{ zv3%t$xeQeYA+bvY#<3bhTq9zpgFsLv4D$YEMIa7x*r*Z^5J_$|tm2`D26I_=U+~(< zGGH073~VI>gh2A`p(aEJA+bvYMt%DNoWmxl5(atyvLX-%Ic!u32#8eIzM^9y&Xgfz z62$Z%r$DxE8MuZFJiUe>Eygt&ASmZ6XAP`_kk};x!`c_pWbF%CFxo~2@Npd>lv(k> zq)K05?(EZXf(o#h95y$@)8pqHm{3W_tXO&n^iZ-0<0Q0$fc&<5{phcQSc1jl7;|(7 zQYz_~iGLCOuG!H*_F>ZTc~jLsn7iT5gR==13&dZTz2!j*%$X+b`{#;%s;NQb(kLN`E?aSV1ZEzl17$+=bVAC0tkMD zbJSx{Lmoo;^L@&wZ(pqC`6XZ`{)uLx@3B!OARrQfleacu9G{1Wc!>xCc})2r#1Km8 z#=qVSaGNt)p8>xf;w%y9EWK*ulw3J$@I8cLiA}Jk3~OJU4dR!zFC@XpGH`|&pcDDD zJCU7hFq5>L4J_u8@&|g8+p?&qhToIzFUO)z12OoQ2c5w3| zA$vSx+zeB$oW)$Ts)tZ4u?g0c5t{(SRnjr@4dt#`81UGLj)AEXft$BBVO-YT7eX?! z3|Iy%1DnY}Qu~vFT@#{*kk}<6qrQDHoPwG77txat;1H9?MwNhoNCZya+Jv#-?u)gE zUjk+lfoK-`MwWqV$$-bQFtQ9N8IUWdq7+#kdt#S}3~OJUCPJ?Ay-7X@F@;bzvJ9L{ z1{@s8p0`C0f5sBABE%CsU@_AJ;-!JxS6D8XP)Wxm=msp0LC$P;o-hm5GJQ|8!Rj$) z0#*ae_|>}{8Z3e0qpHa>ta#qEO#EDi{9r1p-|}mGVs(dO*Z}jJ3=^>;dJ+OltR|cT zt*HlQQy-gsY3)+|J7~Ih*S+NNg>qR#lK&n@>j42<4m_k@GvJB)h zz%9whGH{IJoV6l3vy#y?4Uz~*4`Q*gqMUX)w%YbFz@-tw6b)(raOj=G- zIg2LHo#ZOa%zLyi9W(JSq9-8)uT{r_Q2zZ9Ps?{o%#3b0094(5>6l3b5j_b3mW-|{ z163#1!i@C5TJJJusKLiF(wUnqkV7v&!Y9#3op*9vch+3!+sdW9BRSU9+PR z8KlaGs`mBN0js(q$e2k4`5>#j)`jpbU~B4uxzIvh2(AYcV+`RA?9Pc zWTYdIQC2kNgY#hC}x0FudjmetUDo z2Ll0*aV@}P<(m=nHTDmf!-EmivttZ>_zY+t*F*$o-AKPQ{NiDcPesRI!|>$)eevRs zJsJKKzy1op#)+SQ@u!FX@x|X5{_PiE93^HGP?pd1L9{hs$0qZaYgZsEfY{)`_&F%#dXPf|Tb^<;lHxVP5fJ&SIhHeFk zo~dLfM#o@w=9q{PVqqJ3^25u&_`5h5fj;5I#_NKYF;1azUF`UzdUg0O|L(z>OEgYWEV~=5Cn&xOsUb*x=ZFQVaVhRk%ol@M>D@v4-~723UmDjB hpW=7?g5F^Y#R^h49+OA!d1_o6Sny*V8vff~`~NMK>*N3c diff --git a/1ano/isd/quartus-projects/DecoderDemo/output_files/DecoderDemo.sta.rpt b/1ano/isd/quartus-projects/DecoderDemo/output_files/DecoderDemo.sta.rpt deleted file mode 100644 index 6448284..0000000 --- a/1ano/isd/quartus-projects/DecoderDemo/output_files/DecoderDemo.sta.rpt +++ /dev/null @@ -1,455 +0,0 @@ -Timing Analyzer report for DecoderDemo -Mon Nov 14 21:56:50 2022 -Quartus Prime Version 20.1.1 Build 720 11/11/2020 SJ Lite Edition - - ---------------------- -; Table of Contents ; ---------------------- - 1. Legal Notice - 2. Timing Analyzer Summary - 3. Parallel Compilation - 4. Clocks - 5. Slow 1200mV 85C Model Fmax Summary - 6. Timing Closure Recommendations - 7. Slow 1200mV 85C Model Setup Summary - 8. Slow 1200mV 85C Model Hold Summary - 9. Slow 1200mV 85C Model Recovery Summary - 10. Slow 1200mV 85C Model Removal Summary - 11. Slow 1200mV 85C Model Minimum Pulse Width Summary - 12. Slow 1200mV 85C Model Metastability Summary - 13. Slow 1200mV 0C Model Fmax Summary - 14. Slow 1200mV 0C Model Setup Summary - 15. Slow 1200mV 0C Model Hold Summary - 16. Slow 1200mV 0C Model Recovery Summary - 17. Slow 1200mV 0C Model Removal Summary - 18. Slow 1200mV 0C Model Minimum Pulse Width Summary - 19. Slow 1200mV 0C Model Metastability Summary - 20. Fast 1200mV 0C Model Setup Summary - 21. Fast 1200mV 0C Model Hold Summary - 22. Fast 1200mV 0C Model Recovery Summary - 23. Fast 1200mV 0C Model Removal Summary - 24. Fast 1200mV 0C Model Minimum Pulse Width Summary - 25. Fast 1200mV 0C Model Metastability Summary - 26. Multicorner Timing Analysis Summary - 27. Board Trace Model Assignments - 28. Input Transition Times - 29. Signal Integrity Metrics (Slow 1200mv 0c Model) - 30. Signal Integrity Metrics (Slow 1200mv 85c Model) - 31. Signal Integrity Metrics (Fast 1200mv 0c Model) - 32. Clock Transfers - 33. Report TCCS - 34. Report RSKM - 35. Unconstrained Paths Summary - 36. Unconstrained Input Ports - 37. Unconstrained Output Ports - 38. Unconstrained Input Ports - 39. Unconstrained Output Ports - 40. Timing Analyzer Messages - - - ----------------- -; Legal Notice ; ----------------- -Copyright (C) 2020 Intel Corporation. All rights reserved. -Your use of Intel Corporation's design tools, logic functions -and other software and tools, and any partner logic -functions, and any output files from any of the foregoing -(including device programming or simulation files), and any -associated documentation or information are expressly subject -to the terms and conditions of the Intel Program License -Subscription Agreement, the Intel Quartus Prime License Agreement, -the Intel FPGA IP License Agreement, or other applicable license -agreement, including, without limitation, that your use is for -the sole purpose of programming logic devices manufactured by -Intel and sold by Intel or its authorized distributors. Please -refer to the applicable agreement for further details, at -https://fpgasoftware.intel.com/eula. - - - -+-----------------------------------------------------------------------------+ -; Timing Analyzer Summary ; -+-----------------------+-----------------------------------------------------+ -; Quartus Prime Version ; Version 20.1.1 Build 720 11/11/2020 SJ Lite Edition ; -; Timing Analyzer ; Legacy Timing Analyzer ; -; Revision Name ; DecoderDemo ; -; Device Family ; Cyclone IV E ; -; Device Name ; EP4CE6E22C6 ; -; Timing Models ; Final ; -; Delay Model ; Combined ; -; Rise/Fall Delays ; Enabled ; -+-----------------------+-----------------------------------------------------+ - - -+------------------------------------------+ -; Parallel Compilation ; -+----------------------------+-------------+ -; Processors ; Number ; -+----------------------------+-------------+ -; Number detected on machine ; 8 ; -; Maximum allowed ; 4 ; -; ; ; -; Average used ; 1.00 ; -; Maximum used ; 4 ; -; ; ; -; Usage by Processor ; % Time Used ; -; Processor 1 ; 100.0% ; -; Processors 2-4 ; 0.1% ; -+----------------------------+-------------+ - - ----------- -; Clocks ; ----------- -No clocks to report. - - --------------------------------------- -; Slow 1200mV 85C Model Fmax Summary ; --------------------------------------- -No paths to report. - - ----------------------------------- -; Timing Closure Recommendations ; ----------------------------------- -HTML report is unavailable in plain text report export. - - ---------------------------------------- -; Slow 1200mV 85C Model Setup Summary ; ---------------------------------------- -No paths to report. - - --------------------------------------- -; Slow 1200mV 85C Model Hold Summary ; --------------------------------------- -No paths to report. - - ------------------------------------------- -; Slow 1200mV 85C Model Recovery Summary ; ------------------------------------------- -No paths to report. - - ------------------------------------------ -; Slow 1200mV 85C Model Removal Summary ; ------------------------------------------ -No paths to report. - - ------------------------------------------------------ -; Slow 1200mV 85C Model Minimum Pulse Width Summary ; ------------------------------------------------------ -No paths to report. - - ------------------------------------------------ -; Slow 1200mV 85C Model Metastability Summary ; ------------------------------------------------ -No synchronizer chains to report. - - -------------------------------------- -; Slow 1200mV 0C Model Fmax Summary ; -------------------------------------- -No paths to report. - - --------------------------------------- -; Slow 1200mV 0C Model Setup Summary ; --------------------------------------- -No paths to report. - - -------------------------------------- -; Slow 1200mV 0C Model Hold Summary ; -------------------------------------- -No paths to report. - - ------------------------------------------ -; Slow 1200mV 0C Model Recovery Summary ; ------------------------------------------ -No paths to report. - - ----------------------------------------- -; Slow 1200mV 0C Model Removal Summary ; ----------------------------------------- -No paths to report. - - ----------------------------------------------------- -; Slow 1200mV 0C Model Minimum Pulse Width Summary ; ----------------------------------------------------- -No paths to report. - - ----------------------------------------------- -; Slow 1200mV 0C Model Metastability Summary ; ----------------------------------------------- -No synchronizer chains to report. - - --------------------------------------- -; Fast 1200mV 0C Model Setup Summary ; --------------------------------------- -No paths to report. - - -------------------------------------- -; Fast 1200mV 0C Model Hold Summary ; -------------------------------------- -No paths to report. - - ------------------------------------------ -; Fast 1200mV 0C Model Recovery Summary ; ------------------------------------------ -No paths to report. - - ----------------------------------------- -; Fast 1200mV 0C Model Removal Summary ; ----------------------------------------- -No paths to report. - - ----------------------------------------------------- -; Fast 1200mV 0C Model Minimum Pulse Width Summary ; ----------------------------------------------------- -No paths to report. - - ----------------------------------------------- -; Fast 1200mV 0C Model Metastability Summary ; ----------------------------------------------- -No synchronizer chains to report. - - -+----------------------------------------------------------------------------+ -; Multicorner Timing Analysis Summary ; -+------------------+-------+------+----------+---------+---------------------+ -; Clock ; Setup ; Hold ; Recovery ; Removal ; Minimum Pulse Width ; -+------------------+-------+------+----------+---------+---------------------+ -; Worst-case Slack ; N/A ; N/A ; N/A ; N/A ; N/A ; -; Design-wide TNS ; 0.0 ; 0.0 ; 0.0 ; 0.0 ; 0.0 ; -+------------------+-------+------+----------+---------+---------------------+ - - -+------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Board Trace Model Assignments ; -+---------------+--------------+-------------------+-------------------------+-------------------------+---------------+---------------------+----------------+------------------+--------+------------------+------------------------+------------------------+--------------+---------------+-----------------+-------+---------------------+--------------------+---------------+-----------------+-------------+ -; Pin ; I/O Standard ; Near Tline Length ; Near Tline L per Length ; Near Tline C per Length ; Near Series R ; Near Differential R ; Near Pull-up R ; Near Pull-down R ; Near C ; Far Tline Length ; Far Tline L per Length ; Far Tline C per Length ; Far Series R ; Far Pull-up R ; Far Pull-down R ; Far C ; Termination Voltage ; Far Differential R ; EBD File Name ; EBD Signal Name ; EBD Far-end ; -+---------------+--------------+-------------------+-------------------------+-------------------------+---------------+---------------------+----------------+------------------+--------+------------------+------------------------+------------------------+--------------+---------------+-----------------+-------+---------------------+--------------------+---------------+-----------------+-------------+ -; Y3 ; 2.5 V ; 0 in ; 0 H/in ; 0 F/in ; short ; - ; open ; open ; open ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; 0 V ; - ; n/a ; n/a ; n/a ; -; Y2 ; 2.5 V ; 0 in ; 0 H/in ; 0 F/in ; short ; - ; open ; open ; open ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; 0 V ; - ; n/a ; n/a ; n/a ; -; Y1 ; 2.5 V ; 0 in ; 0 H/in ; 0 F/in ; short ; - ; open ; open ; open ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; 0 V ; - ; n/a ; n/a ; n/a ; -; Y0 ; 2.5 V ; 0 in ; 0 H/in ; 0 F/in ; short ; - ; open ; open ; open ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; 0 V ; - ; n/a ; n/a ; n/a ; -; ~ALTERA_DCLK~ ; 2.5 V ; 0 in ; 0 H/in ; 0 F/in ; short ; - ; open ; open ; open ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; 0 V ; - ; n/a ; n/a ; n/a ; -; ~ALTERA_nCEO~ ; 2.5 V ; 0 in ; 0 H/in ; 0 F/in ; short ; - ; open ; open ; open ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; 0 V ; - ; n/a ; n/a ; n/a ; -+---------------+--------------+-------------------+-------------------------+-------------------------+---------------+---------------------+----------------+------------------+--------+------------------+------------------------+------------------------+--------------+---------------+-----------------+-------+---------------------+--------------------+---------------+-----------------+-------------+ - - -+----------------------------------------------------------------------------+ -; Input Transition Times ; -+-------------------------+--------------+-----------------+-----------------+ -; Pin ; I/O Standard ; 10-90 Rise Time ; 90-10 Fall Time ; -+-------------------------+--------------+-----------------+-----------------+ -; E1 ; 2.5 V ; 2000 ps ; 2000 ps ; -; X0 ; 2.5 V ; 2000 ps ; 2000 ps ; -; X1 ; 2.5 V ; 2000 ps ; 2000 ps ; -; E0L ; 2.5 V ; 2000 ps ; 2000 ps ; -; ~ALTERA_ASDO_DATA1~ ; 2.5 V ; 2000 ps ; 2000 ps ; -; ~ALTERA_FLASH_nCE_nCSO~ ; 2.5 V ; 2000 ps ; 2000 ps ; -; ~ALTERA_DATA0~ ; 2.5 V ; 2000 ps ; 2000 ps ; -+-------------------------+--------------+-----------------+-----------------+ - - -+--------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Signal Integrity Metrics (Slow 1200mv 0c Model) ; -+---------------+--------------+---------------------+---------------------+------------------------------+------------------------------+---------------------+---------------------+--------------------------------------+--------------------------------------+-----------------------------+-----------------------------+----------------------------+----------------------------+-----------------------------+-----------------------------+--------------------+--------------------+-------------------------------------+-------------------------------------+----------------------------+----------------------------+---------------------------+---------------------------+ -; Pin ; I/O Standard ; Board Delay on Rise ; Board Delay on Fall ; Steady State Voh at FPGA Pin ; Steady State Vol at FPGA Pin ; Voh Max at FPGA Pin ; Vol Min at FPGA Pin ; Ringback Voltage on Rise at FPGA Pin ; Ringback Voltage on Fall at FPGA Pin ; 10-90 Rise Time at FPGA Pin ; 90-10 Fall Time at FPGA Pin ; Monotonic Rise at FPGA Pin ; Monotonic Fall at FPGA Pin ; Steady State Voh at Far-end ; Steady State Vol at Far-end ; Voh Max at Far-end ; Vol Min at Far-end ; Ringback Voltage on Rise at Far-end ; Ringback Voltage on Fall at Far-end ; 10-90 Rise Time at Far-end ; 90-10 Fall Time at Far-end ; Monotonic Rise at Far-end ; Monotonic Fall at Far-end ; -+---------------+--------------+---------------------+---------------------+------------------------------+------------------------------+---------------------+---------------------+--------------------------------------+--------------------------------------+-----------------------------+-----------------------------+----------------------------+----------------------------+-----------------------------+-----------------------------+--------------------+--------------------+-------------------------------------+-------------------------------------+----------------------------+----------------------------+---------------------------+---------------------------+ -; Y3 ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 4.44e-09 V ; 2.39 V ; -0.0265 V ; 0.2 V ; 0.033 V ; 2.94e-10 s ; 3.12e-10 s ; Yes ; Yes ; 2.32 V ; 4.44e-09 V ; 2.39 V ; -0.0265 V ; 0.2 V ; 0.033 V ; 2.94e-10 s ; 3.12e-10 s ; Yes ; Yes ; -; Y2 ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 4.44e-09 V ; 2.39 V ; -0.0265 V ; 0.2 V ; 0.033 V ; 2.94e-10 s ; 3.12e-10 s ; Yes ; Yes ; 2.32 V ; 4.44e-09 V ; 2.39 V ; -0.0265 V ; 0.2 V ; 0.033 V ; 2.94e-10 s ; 3.12e-10 s ; Yes ; Yes ; -; Y1 ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 4.44e-09 V ; 2.38 V ; -0.0145 V ; 0.169 V ; 0.026 V ; 4.83e-10 s ; 4.71e-10 s ; Yes ; Yes ; 2.32 V ; 4.44e-09 V ; 2.38 V ; -0.0145 V ; 0.169 V ; 0.026 V ; 4.83e-10 s ; 4.71e-10 s ; Yes ; Yes ; -; Y0 ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 4.44e-09 V ; 2.39 V ; -0.0265 V ; 0.2 V ; 0.033 V ; 2.94e-10 s ; 3.12e-10 s ; Yes ; Yes ; 2.32 V ; 4.44e-09 V ; 2.39 V ; -0.0265 V ; 0.2 V ; 0.033 V ; 2.94e-10 s ; 3.12e-10 s ; Yes ; Yes ; -; ~ALTERA_DCLK~ ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 3.45e-09 V ; 2.38 V ; -0.0609 V ; 0.148 V ; 0.095 V ; 2.82e-10 s ; 2.59e-10 s ; Yes ; Yes ; 2.32 V ; 3.45e-09 V ; 2.38 V ; -0.0609 V ; 0.148 V ; 0.095 V ; 2.82e-10 s ; 2.59e-10 s ; Yes ; Yes ; -; ~ALTERA_nCEO~ ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 5.61e-09 V ; 2.38 V ; -0.00274 V ; 0.141 V ; 0.006 V ; 4.7e-10 s ; 6.02e-10 s ; Yes ; Yes ; 2.32 V ; 5.61e-09 V ; 2.38 V ; -0.00274 V ; 0.141 V ; 0.006 V ; 4.7e-10 s ; 6.02e-10 s ; Yes ; Yes ; -+---------------+--------------+---------------------+---------------------+------------------------------+------------------------------+---------------------+---------------------+--------------------------------------+--------------------------------------+-----------------------------+-----------------------------+----------------------------+----------------------------+-----------------------------+-----------------------------+--------------------+--------------------+-------------------------------------+-------------------------------------+----------------------------+----------------------------+---------------------------+---------------------------+ - - -+--------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Signal Integrity Metrics (Slow 1200mv 85c Model) ; -+---------------+--------------+---------------------+---------------------+------------------------------+------------------------------+---------------------+---------------------+--------------------------------------+--------------------------------------+-----------------------------+-----------------------------+----------------------------+----------------------------+-----------------------------+-----------------------------+--------------------+--------------------+-------------------------------------+-------------------------------------+----------------------------+----------------------------+---------------------------+---------------------------+ -; Pin ; I/O Standard ; Board Delay on Rise ; Board Delay on Fall ; Steady State Voh at FPGA Pin ; Steady State Vol at FPGA Pin ; Voh Max at FPGA Pin ; Vol Min at FPGA Pin ; Ringback Voltage on Rise at FPGA Pin ; Ringback Voltage on Fall at FPGA Pin ; 10-90 Rise Time at FPGA Pin ; 90-10 Fall Time at FPGA Pin ; Monotonic Rise at FPGA Pin ; Monotonic Fall at FPGA Pin ; Steady State Voh at Far-end ; Steady State Vol at Far-end ; Voh Max at Far-end ; Vol Min at Far-end ; Ringback Voltage on Rise at Far-end ; Ringback Voltage on Fall at Far-end ; 10-90 Rise Time at Far-end ; 90-10 Fall Time at Far-end ; Monotonic Rise at Far-end ; Monotonic Fall at Far-end ; -+---------------+--------------+---------------------+---------------------+------------------------------+------------------------------+---------------------+---------------------+--------------------------------------+--------------------------------------+-----------------------------+-----------------------------+----------------------------+----------------------------+-----------------------------+-----------------------------+--------------------+--------------------+-------------------------------------+-------------------------------------+----------------------------+----------------------------+---------------------------+---------------------------+ -; Y3 ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 7.16e-07 V ; 2.36 V ; -0.00476 V ; 0.096 V ; 0.013 V ; 4.39e-10 s ; 4.15e-10 s ; Yes ; Yes ; 2.32 V ; 7.16e-07 V ; 2.36 V ; -0.00476 V ; 0.096 V ; 0.013 V ; 4.39e-10 s ; 4.15e-10 s ; Yes ; Yes ; -; Y2 ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 7.16e-07 V ; 2.36 V ; -0.00476 V ; 0.096 V ; 0.013 V ; 4.39e-10 s ; 4.15e-10 s ; Yes ; Yes ; 2.32 V ; 7.16e-07 V ; 2.36 V ; -0.00476 V ; 0.096 V ; 0.013 V ; 4.39e-10 s ; 4.15e-10 s ; Yes ; Yes ; -; Y1 ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 7.16e-07 V ; 2.35 V ; -0.00832 V ; 0.101 V ; 0.024 V ; 6.39e-10 s ; 6e-10 s ; Yes ; Yes ; 2.32 V ; 7.16e-07 V ; 2.35 V ; -0.00832 V ; 0.101 V ; 0.024 V ; 6.39e-10 s ; 6e-10 s ; Yes ; Yes ; -; Y0 ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 7.16e-07 V ; 2.36 V ; -0.00476 V ; 0.096 V ; 0.013 V ; 4.39e-10 s ; 4.15e-10 s ; Yes ; Yes ; 2.32 V ; 7.16e-07 V ; 2.36 V ; -0.00476 V ; 0.096 V ; 0.013 V ; 4.39e-10 s ; 4.15e-10 s ; Yes ; Yes ; -; ~ALTERA_DCLK~ ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 5.74e-07 V ; 2.36 V ; -0.0201 V ; 0.072 V ; 0.033 V ; 4.04e-10 s ; 3.29e-10 s ; Yes ; Yes ; 2.32 V ; 5.74e-07 V ; 2.36 V ; -0.0201 V ; 0.072 V ; 0.033 V ; 4.04e-10 s ; 3.29e-10 s ; Yes ; Yes ; -; ~ALTERA_nCEO~ ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 9.45e-07 V ; 2.35 V ; -0.00643 V ; 0.081 V ; 0.031 V ; 5.31e-10 s ; 7.59e-10 s ; Yes ; Yes ; 2.32 V ; 9.45e-07 V ; 2.35 V ; -0.00643 V ; 0.081 V ; 0.031 V ; 5.31e-10 s ; 7.59e-10 s ; Yes ; Yes ; -+---------------+--------------+---------------------+---------------------+------------------------------+------------------------------+---------------------+---------------------+--------------------------------------+--------------------------------------+-----------------------------+-----------------------------+----------------------------+----------------------------+-----------------------------+-----------------------------+--------------------+--------------------+-------------------------------------+-------------------------------------+----------------------------+----------------------------+---------------------------+---------------------------+ - - -+--------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Signal Integrity Metrics (Fast 1200mv 0c Model) ; -+---------------+--------------+---------------------+---------------------+------------------------------+------------------------------+---------------------+---------------------+--------------------------------------+--------------------------------------+-----------------------------+-----------------------------+----------------------------+----------------------------+-----------------------------+-----------------------------+--------------------+--------------------+-------------------------------------+-------------------------------------+----------------------------+----------------------------+---------------------------+---------------------------+ -; Pin ; I/O Standard ; Board Delay on Rise ; Board Delay on Fall ; Steady State Voh at FPGA Pin ; Steady State Vol at FPGA Pin ; Voh Max at FPGA Pin ; Vol Min at FPGA Pin ; Ringback Voltage on Rise at FPGA Pin ; Ringback Voltage on Fall at FPGA Pin ; 10-90 Rise Time at FPGA Pin ; 90-10 Fall Time at FPGA Pin ; Monotonic Rise at FPGA Pin ; Monotonic Fall at FPGA Pin ; Steady State Voh at Far-end ; Steady State Vol at Far-end ; Voh Max at Far-end ; Vol Min at Far-end ; Ringback Voltage on Rise at Far-end ; Ringback Voltage on Fall at Far-end ; 10-90 Rise Time at Far-end ; 90-10 Fall Time at Far-end ; Monotonic Rise at Far-end ; Monotonic Fall at Far-end ; -+---------------+--------------+---------------------+---------------------+------------------------------+------------------------------+---------------------+---------------------+--------------------------------------+--------------------------------------+-----------------------------+-----------------------------+----------------------------+----------------------------+-----------------------------+-----------------------------+--------------------+--------------------+-------------------------------------+-------------------------------------+----------------------------+----------------------------+---------------------------+---------------------------+ -; Y3 ; 2.5 V ; 0 s ; 0 s ; 2.62 V ; 2.74e-08 V ; 2.73 V ; -0.0384 V ; 0.169 V ; 0.089 V ; 2.7e-10 s ; 2.62e-10 s ; Yes ; Yes ; 2.62 V ; 2.74e-08 V ; 2.73 V ; -0.0384 V ; 0.169 V ; 0.089 V ; 2.7e-10 s ; 2.62e-10 s ; Yes ; Yes ; -; Y2 ; 2.5 V ; 0 s ; 0 s ; 2.62 V ; 2.74e-08 V ; 2.73 V ; -0.0384 V ; 0.169 V ; 0.089 V ; 2.7e-10 s ; 2.62e-10 s ; Yes ; Yes ; 2.62 V ; 2.74e-08 V ; 2.73 V ; -0.0384 V ; 0.169 V ; 0.089 V ; 2.7e-10 s ; 2.62e-10 s ; Yes ; Yes ; -; Y1 ; 2.5 V ; 0 s ; 0 s ; 2.62 V ; 2.74e-08 V ; 2.71 V ; -0.0317 V ; 0.148 V ; 0.064 V ; 4.51e-10 s ; 4.15e-10 s ; No ; Yes ; 2.62 V ; 2.74e-08 V ; 2.71 V ; -0.0317 V ; 0.148 V ; 0.064 V ; 4.51e-10 s ; 4.15e-10 s ; No ; Yes ; -; Y0 ; 2.5 V ; 0 s ; 0 s ; 2.62 V ; 2.74e-08 V ; 2.73 V ; -0.0384 V ; 0.169 V ; 0.089 V ; 2.7e-10 s ; 2.62e-10 s ; Yes ; Yes ; 2.62 V ; 2.74e-08 V ; 2.73 V ; -0.0384 V ; 0.169 V ; 0.089 V ; 2.7e-10 s ; 2.62e-10 s ; Yes ; Yes ; -; ~ALTERA_DCLK~ ; 2.5 V ; 0 s ; 0 s ; 2.62 V ; 2.22e-08 V ; 2.74 V ; -0.06 V ; 0.158 V ; 0.08 V ; 2.68e-10 s ; 2.19e-10 s ; Yes ; Yes ; 2.62 V ; 2.22e-08 V ; 2.74 V ; -0.06 V ; 0.158 V ; 0.08 V ; 2.68e-10 s ; 2.19e-10 s ; Yes ; Yes ; -; ~ALTERA_nCEO~ ; 2.5 V ; 0 s ; 0 s ; 2.62 V ; 3.54e-08 V ; 2.7 V ; -0.00943 V ; 0.276 V ; 0.035 V ; 3.19e-10 s ; 4.99e-10 s ; No ; Yes ; 2.62 V ; 3.54e-08 V ; 2.7 V ; -0.00943 V ; 0.276 V ; 0.035 V ; 3.19e-10 s ; 4.99e-10 s ; No ; Yes ; -+---------------+--------------+---------------------+---------------------+------------------------------+------------------------------+---------------------+---------------------+--------------------------------------+--------------------------------------+-----------------------------+-----------------------------+----------------------------+----------------------------+-----------------------------+-----------------------------+--------------------+--------------------+-------------------------------------+-------------------------------------+----------------------------+----------------------------+---------------------------+---------------------------+ - - -------------------- -; Clock Transfers ; -------------------- -Nothing to report. - - ---------------- -; Report TCCS ; ---------------- -No dedicated SERDES Transmitter circuitry present in device or used in design - - ---------------- -; Report RSKM ; ---------------- -No non-DPA dedicated SERDES Receiver circuitry present in device or used in design - - -+------------------------------------------------+ -; Unconstrained Paths Summary ; -+---------------------------------+-------+------+ -; Property ; Setup ; Hold ; -+---------------------------------+-------+------+ -; Illegal Clocks ; 0 ; 0 ; -; Unconstrained Clocks ; 0 ; 0 ; -; Unconstrained Input Ports ; 4 ; 4 ; -; Unconstrained Input Port Paths ; 16 ; 16 ; -; Unconstrained Output Ports ; 4 ; 4 ; -; Unconstrained Output Port Paths ; 16 ; 16 ; -+---------------------------------+-------+------+ - - -+---------------------------------------------------------------------------------------------------+ -; Unconstrained Input Ports ; -+------------+--------------------------------------------------------------------------------------+ -; Input Port ; Comment ; -+------------+--------------------------------------------------------------------------------------+ -; E0L ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ; -; E1 ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ; -; X0 ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ; -; X1 ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ; -+------------+--------------------------------------------------------------------------------------+ - - -+-----------------------------------------------------------------------------------------------------+ -; Unconstrained Output Ports ; -+-------------+---------------------------------------------------------------------------------------+ -; Output Port ; Comment ; -+-------------+---------------------------------------------------------------------------------------+ -; Y0 ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; -; Y1 ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; -; Y2 ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; -; Y3 ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; -+-------------+---------------------------------------------------------------------------------------+ - - -+---------------------------------------------------------------------------------------------------+ -; Unconstrained Input Ports ; -+------------+--------------------------------------------------------------------------------------+ -; Input Port ; Comment ; -+------------+--------------------------------------------------------------------------------------+ -; E0L ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ; -; E1 ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ; -; X0 ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ; -; X1 ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ; -+------------+--------------------------------------------------------------------------------------+ - - -+-----------------------------------------------------------------------------------------------------+ -; Unconstrained Output Ports ; -+-------------+---------------------------------------------------------------------------------------+ -; Output Port ; Comment ; -+-------------+---------------------------------------------------------------------------------------+ -; Y0 ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; -; Y1 ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; -; Y2 ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; -; Y3 ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; -+-------------+---------------------------------------------------------------------------------------+ - - -+--------------------------+ -; Timing Analyzer Messages ; -+--------------------------+ -Info: ******************************************************************* -Info: Running Quartus Prime Timing Analyzer - Info: Version 20.1.1 Build 720 11/11/2020 SJ Lite Edition - Info: Processing started: Mon Nov 14 21:56:50 2022 -Info: Command: quartus_sta DecoderDemo -c DecoderDemo -Info: qsta_default_script.tcl version: #1 -Warning (18236): Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance. -Info (20030): Parallel compilation is enabled and will use 4 of the 4 processors detected -Info (21076): High junction temperature operating condition is not set. Assuming a default value of '85'. -Info (21076): Low junction temperature operating condition is not set. Assuming a default value of '0'. -Critical Warning (332012): Synopsys Design Constraints File file not found: 'DecoderDemo.sdc'. A Synopsys Design Constraints File is required by the Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design. -Info (332142): No user constrained base clocks found in the design. Calling "derive_clocks -period 1.0" -Info (332096): The command derive_clocks did not find any clocks to derive. No clocks were created or changed. -Warning (332068): No clocks defined in design. -Info (332143): No user constrained clock uncertainty found in the design. Calling "derive_clock_uncertainty" -Info (332154): The derive_clock_uncertainty command did not apply clock uncertainty to any clock-to-clock transfers. -Info: Found TIMING_ANALYZER_REPORT_SCRIPT_INCLUDE_DEFAULT_ANALYSIS = ON -Info (332159): No clocks to report -Info: Analyzing Slow 1200mV 85C Model -Info (332140): No fmax paths to report -Info (332140): No Setup paths to report -Info (332140): No Hold paths to report -Info (332140): No Recovery paths to report -Info (332140): No Removal paths to report -Info (332140): No Minimum Pulse Width paths to report -Info: Analyzing Slow 1200mV 0C Model -Info (334003): Started post-fitting delay annotation -Info (334004): Delay annotation completed successfully -Info (332142): No user constrained base clocks found in the design. Calling "derive_clocks -period 1.0" -Info (332096): The command derive_clocks did not find any clocks to derive. No clocks were created or changed. -Warning (332068): No clocks defined in design. -Info (332154): The derive_clock_uncertainty command did not apply clock uncertainty to any clock-to-clock transfers. -Info (332140): No fmax paths to report -Info (332140): No Setup paths to report -Info (332140): No Hold paths to report -Info (332140): No Recovery paths to report -Info (332140): No Removal paths to report -Info (332140): No Minimum Pulse Width paths to report -Info: Analyzing Fast 1200mV 0C Model -Info (332142): No user constrained base clocks found in the design. Calling "derive_clocks -period 1.0" -Info (332096): The command derive_clocks did not find any clocks to derive. No clocks were created or changed. -Warning (332068): No clocks defined in design. -Info (332154): The derive_clock_uncertainty command did not apply clock uncertainty to any clock-to-clock transfers. -Info (332140): No Setup paths to report -Info (332140): No Hold paths to report -Info (332140): No Recovery paths to report -Info (332140): No Removal paths to report -Info (332140): No Minimum Pulse Width paths to report -Info (332102): Design is not fully constrained for setup requirements -Info (332102): Design is not fully constrained for hold requirements -Info: Quartus Prime Timing Analyzer was successful. 0 errors, 5 warnings - Info: Peak virtual memory: 465 megabytes - Info: Processing ended: Mon Nov 14 21:56:50 2022 - Info: Elapsed time: 00:00:00 - Info: Total CPU time (on all processors): 00:00:01 - - diff --git a/1ano/isd/quartus-projects/DecoderDemo/output_files/DecoderDemo.sta.summary b/1ano/isd/quartus-projects/DecoderDemo/output_files/DecoderDemo.sta.summary deleted file mode 100644 index aa5b327..0000000 --- a/1ano/isd/quartus-projects/DecoderDemo/output_files/DecoderDemo.sta.summary +++ /dev/null @@ -1,5 +0,0 @@ ------------------------------------------------------------- -Timing Analyzer Summary ------------------------------------------------------------- - ------------------------------------------------------------- diff --git a/1ano/isd/quartus-projects/DecoderDemo/simulation/modelsim/DecoderDemo.sft b/1ano/isd/quartus-projects/DecoderDemo/simulation/modelsim/DecoderDemo.sft deleted file mode 100644 index 0c5034b..0000000 --- a/1ano/isd/quartus-projects/DecoderDemo/simulation/modelsim/DecoderDemo.sft +++ /dev/null @@ -1 +0,0 @@ -set tool_name "ModelSim-Altera (VHDL)" diff --git a/1ano/isd/quartus-projects/DecoderDemo/simulation/modelsim/DecoderDemo.vho b/1ano/isd/quartus-projects/DecoderDemo/simulation/modelsim/DecoderDemo.vho deleted file mode 100644 index 1f49a6a..0000000 --- a/1ano/isd/quartus-projects/DecoderDemo/simulation/modelsim/DecoderDemo.vho +++ /dev/null @@ -1,328 +0,0 @@ --- Copyright (C) 2020 Intel Corporation. All rights reserved. --- Your use of Intel Corporation's design tools, logic functions --- and other software and tools, and any partner logic --- functions, and any output files from any of the foregoing --- (including device programming or simulation files), and any --- associated documentation or information are expressly subject --- to the terms and conditions of the Intel Program License --- Subscription Agreement, the Intel Quartus Prime License Agreement, --- the Intel FPGA IP License Agreement, or other applicable license --- agreement, including, without limitation, that your use is for --- the sole purpose of programming logic devices manufactured by --- Intel and sold by Intel or its authorized distributors. Please --- refer to the applicable agreement for further details, at --- https://fpgasoftware.intel.com/eula. - --- VENDOR "Altera" --- PROGRAM "Quartus Prime" --- VERSION "Version 20.1.1 Build 720 11/11/2020 SJ Lite Edition" - --- DATE "11/14/2022 21:56:51" - --- --- Device: Altera EP4CE6E22C6 Package TQFP144 --- - --- --- This VHDL file should be used for ModelSim-Altera (VHDL) only --- - -LIBRARY CYCLONEIVE; -LIBRARY IEEE; -USE CYCLONEIVE.CYCLONEIVE_COMPONENTS.ALL; -USE IEEE.STD_LOGIC_1164.ALL; - -ENTITY hard_block IS - PORT ( - devoe : IN std_logic; - devclrn : IN std_logic; - devpor : IN std_logic - ); -END hard_block; - --- Design Ports Information --- ~ALTERA_ASDO_DATA1~ => Location: PIN_6, I/O Standard: 2.5 V, Current Strength: Default --- ~ALTERA_FLASH_nCE_nCSO~ => Location: PIN_8, I/O Standard: 2.5 V, Current Strength: Default --- ~ALTERA_DCLK~ => Location: PIN_12, I/O Standard: 2.5 V, Current Strength: Default --- ~ALTERA_DATA0~ => Location: PIN_13, I/O Standard: 2.5 V, Current Strength: Default --- ~ALTERA_nCEO~ => Location: PIN_101, I/O Standard: 2.5 V, Current Strength: 8mA - - -ARCHITECTURE structure OF hard_block IS -SIGNAL gnd : std_logic := '0'; -SIGNAL vcc : std_logic := '1'; -SIGNAL unknown : std_logic := 'X'; -SIGNAL ww_devoe : std_logic; -SIGNAL ww_devclrn : std_logic; -SIGNAL ww_devpor : std_logic; -SIGNAL \~ALTERA_ASDO_DATA1~~padout\ : std_logic; -SIGNAL \~ALTERA_FLASH_nCE_nCSO~~padout\ : std_logic; -SIGNAL \~ALTERA_DATA0~~padout\ : std_logic; -SIGNAL \~ALTERA_ASDO_DATA1~~ibuf_o\ : std_logic; -SIGNAL \~ALTERA_FLASH_nCE_nCSO~~ibuf_o\ : std_logic; -SIGNAL \~ALTERA_DATA0~~ibuf_o\ : std_logic; - -BEGIN - -ww_devoe <= devoe; -ww_devclrn <= devclrn; -ww_devpor <= devpor; -END structure; - - -LIBRARY CYCLONEIVE; -LIBRARY IEEE; -USE CYCLONEIVE.CYCLONEIVE_COMPONENTS.ALL; -USE IEEE.STD_LOGIC_1164.ALL; - -ENTITY Dec2_4 IS - PORT ( - Y3 : OUT std_logic; - E0L : IN std_logic; - E1 : IN std_logic; - X1 : IN std_logic; - X0 : IN std_logic; - Y2 : OUT std_logic; - Y1 : OUT std_logic; - Y0 : OUT std_logic - ); -END Dec2_4; - --- Design Ports Information --- Y3 => Location: PIN_33, I/O Standard: 2.5 V, Current Strength: Default --- Y2 => Location: PIN_32, I/O Standard: 2.5 V, Current Strength: Default --- Y1 => Location: PIN_28, I/O Standard: 2.5 V, Current Strength: Default --- Y0 => Location: PIN_34, I/O Standard: 2.5 V, Current Strength: Default --- E1 => Location: PIN_24, I/O Standard: 2.5 V, Current Strength: Default --- X0 => Location: PIN_25, I/O Standard: 2.5 V, Current Strength: Default --- X1 => Location: PIN_31, I/O Standard: 2.5 V, Current Strength: Default --- E0L => Location: PIN_30, I/O Standard: 2.5 V, Current Strength: Default - - -ARCHITECTURE structure OF Dec2_4 IS -SIGNAL gnd : std_logic := '0'; -SIGNAL vcc : std_logic := '1'; -SIGNAL unknown : std_logic := 'X'; -SIGNAL devoe : std_logic := '1'; -SIGNAL devclrn : std_logic := '1'; -SIGNAL devpor : std_logic := '1'; -SIGNAL ww_devoe : std_logic; -SIGNAL ww_devclrn : std_logic; -SIGNAL ww_devpor : std_logic; -SIGNAL ww_Y3 : std_logic; -SIGNAL ww_E0L : std_logic; -SIGNAL ww_E1 : std_logic; -SIGNAL ww_X1 : std_logic; -SIGNAL ww_X0 : std_logic; -SIGNAL ww_Y2 : std_logic; -SIGNAL ww_Y1 : std_logic; -SIGNAL ww_Y0 : std_logic; -SIGNAL \Y3~output_o\ : std_logic; -SIGNAL \Y2~output_o\ : std_logic; -SIGNAL \Y1~output_o\ : std_logic; -SIGNAL \Y0~output_o\ : std_logic; -SIGNAL \E1~input_o\ : std_logic; -SIGNAL \X1~input_o\ : std_logic; -SIGNAL \X0~input_o\ : std_logic; -SIGNAL \E0L~input_o\ : std_logic; -SIGNAL \inst~combout\ : std_logic; -SIGNAL \inst1~combout\ : std_logic; -SIGNAL \inst3~combout\ : std_logic; -SIGNAL \inst2~combout\ : std_logic; - -COMPONENT hard_block - PORT ( - devoe : IN std_logic; - devclrn : IN std_logic; - devpor : IN std_logic); -END COMPONENT; - -BEGIN - -Y3 <= ww_Y3; -ww_E0L <= E0L; -ww_E1 <= E1; -ww_X1 <= X1; -ww_X0 <= X0; -Y2 <= ww_Y2; -Y1 <= ww_Y1; -Y0 <= ww_Y0; -ww_devoe <= devoe; -ww_devclrn <= devclrn; -ww_devpor <= devpor; -auto_generated_inst : hard_block -PORT MAP ( - devoe => ww_devoe, - devclrn => ww_devclrn, - devpor => ww_devpor); - --- Location: IOOBUF_X0_Y6_N23 -\Y3~output\ : cycloneive_io_obuf --- pragma translate_off -GENERIC MAP ( - bus_hold => "false", - open_drain_output => "false") --- pragma translate_on -PORT MAP ( - i => \inst~combout\, - devoe => ww_devoe, - o => \Y3~output_o\); - --- Location: IOOBUF_X0_Y6_N16 -\Y2~output\ : cycloneive_io_obuf --- pragma translate_off -GENERIC MAP ( - bus_hold => "false", - open_drain_output => "false") --- pragma translate_on -PORT MAP ( - i => \inst1~combout\, - devoe => ww_devoe, - o => \Y2~output_o\); - --- Location: IOOBUF_X0_Y9_N9 -\Y1~output\ : cycloneive_io_obuf --- pragma translate_off -GENERIC MAP ( - bus_hold => "false", - open_drain_output => "false") --- pragma translate_on -PORT MAP ( - i => \inst3~combout\, - devoe => ww_devoe, - o => \Y1~output_o\); - --- Location: IOOBUF_X0_Y5_N16 -\Y0~output\ : cycloneive_io_obuf --- pragma translate_off -GENERIC MAP ( - bus_hold => "false", - open_drain_output => "false") --- pragma translate_on -PORT MAP ( - i => \inst2~combout\, - devoe => ww_devoe, - o => \Y0~output_o\); - --- Location: IOIBUF_X0_Y11_N15 -\E1~input\ : cycloneive_io_ibuf --- pragma translate_off -GENERIC MAP ( - bus_hold => "false", - simulate_z_as => "z") --- pragma translate_on -PORT MAP ( - i => ww_E1, - o => \E1~input_o\); - --- Location: IOIBUF_X0_Y7_N1 -\X1~input\ : cycloneive_io_ibuf --- pragma translate_off -GENERIC MAP ( - bus_hold => "false", - simulate_z_as => "z") --- pragma translate_on -PORT MAP ( - i => ww_X1, - o => \X1~input_o\); - --- Location: IOIBUF_X0_Y11_N22 -\X0~input\ : cycloneive_io_ibuf --- pragma translate_off -GENERIC MAP ( - bus_hold => "false", - simulate_z_as => "z") --- pragma translate_on -PORT MAP ( - i => ww_X0, - o => \X0~input_o\); - --- Location: IOIBUF_X0_Y8_N15 -\E0L~input\ : cycloneive_io_ibuf --- pragma translate_off -GENERIC MAP ( - bus_hold => "false", - simulate_z_as => "z") --- pragma translate_on -PORT MAP ( - i => ww_E0L, - o => \E0L~input_o\); - --- Location: LCCOMB_X6_Y9_N8 -inst : cycloneive_lcell_comb --- Equation(s): --- \inst~combout\ = (\E1~input_o\ & (!\X1~input_o\ & (!\X0~input_o\ & !\E0L~input_o\))) - --- pragma translate_off -GENERIC MAP ( - lut_mask => "0000000000000010", - sum_lutc_input => "datac") --- pragma translate_on -PORT MAP ( - dataa => \E1~input_o\, - datab => \X1~input_o\, - datac => \X0~input_o\, - datad => \E0L~input_o\, - combout => \inst~combout\); - --- Location: LCCOMB_X6_Y9_N2 -inst1 : cycloneive_lcell_comb --- Equation(s): --- \inst1~combout\ = (\E1~input_o\ & (!\X1~input_o\ & (\X0~input_o\ & !\E0L~input_o\))) - --- pragma translate_off -GENERIC MAP ( - lut_mask => "0000000000100000", - sum_lutc_input => "datac") --- pragma translate_on -PORT MAP ( - dataa => \E1~input_o\, - datab => \X1~input_o\, - datac => \X0~input_o\, - datad => \E0L~input_o\, - combout => \inst1~combout\); - --- Location: LCCOMB_X6_Y9_N28 -inst3 : cycloneive_lcell_comb --- Equation(s): --- \inst3~combout\ = (\E1~input_o\ & (\X1~input_o\ & (\X0~input_o\ & !\E0L~input_o\))) - --- pragma translate_off -GENERIC MAP ( - lut_mask => "0000000010000000", - sum_lutc_input => "datac") --- pragma translate_on -PORT MAP ( - dataa => \E1~input_o\, - datab => \X1~input_o\, - datac => \X0~input_o\, - datad => \E0L~input_o\, - combout => \inst3~combout\); - --- Location: LCCOMB_X6_Y9_N30 -inst2 : cycloneive_lcell_comb --- Equation(s): --- \inst2~combout\ = (\E1~input_o\ & (\X1~input_o\ & (!\X0~input_o\ & !\E0L~input_o\))) - --- pragma translate_off -GENERIC MAP ( - lut_mask => "0000000000001000", - sum_lutc_input => "datac") --- pragma translate_on -PORT MAP ( - dataa => \E1~input_o\, - datab => \X1~input_o\, - datac => \X0~input_o\, - datad => \E0L~input_o\, - combout => \inst2~combout\); - -ww_Y3 <= \Y3~output_o\; - -ww_Y2 <= \Y2~output_o\; - -ww_Y1 <= \Y1~output_o\; - -ww_Y0 <= \Y0~output_o\; -END structure; - - diff --git a/1ano/isd/quartus-projects/DecoderDemo/simulation/modelsim/DecoderDemo_modelsim.xrf b/1ano/isd/quartus-projects/DecoderDemo/simulation/modelsim/DecoderDemo_modelsim.xrf deleted file mode 100644 index ec369e7..0000000 --- a/1ano/isd/quartus-projects/DecoderDemo/simulation/modelsim/DecoderDemo_modelsim.xrf +++ /dev/null @@ -1,16 +0,0 @@ -vendor_name = ModelSim -source_file = 1, /home/tiagorg/repos/DecoderDemo/Dec2_4.bdf -source_file = 1, /home/tiagorg/repos/DecoderDemo/WaveformDecoderNode.vwf -source_file = 1, /home/tiagorg/repos/DecoderDemo/Waveform.vwf -source_file = 1, /home/tiagorg/repos/DecoderDemo/Waveform1.vwf -source_file = 1, /home/tiagorg/repos/DecoderDemo/db/DecoderDemo.cbx.xml -design_name = hard_block -design_name = Dec2_4 -instance = comp, \Y3~output\, Y3~output, Dec2_4, 1 -instance = comp, \Y2~output\, Y2~output, Dec2_4, 1 -instance = comp, \Y1~output\, Y1~output, Dec2_4, 1 -instance = comp, \Y0~output\, Y0~output, Dec2_4, 1 -instance = comp, \E1~input\, E1~input, Dec2_4, 1 -instance = comp, \X1~input\, X1~input, Dec2_4, 1 -instance = comp, \X0~input\, X0~input, Dec2_4, 1 -instance = comp, \E0L~input\, E0L~input, Dec2_4, 1 diff --git a/1ano/isd/quartus-projects/DecoderDemo/simulation/modelsim/WaveformDecoderNode.vwf.do b/1ano/isd/quartus-projects/DecoderDemo/simulation/modelsim/WaveformDecoderNode.vwf.do deleted file mode 100644 index c1b0f63..0000000 --- a/1ano/isd/quartus-projects/DecoderDemo/simulation/modelsim/WaveformDecoderNode.vwf.do +++ /dev/null @@ -1,4 +0,0 @@ -vcom -work work WaveformDecoderNode.vwf.vht -vsim -novopt -c -t 1ps -L cycloneive -L altera -L altera_mf -L 220model -L sgate -L altera_lnsim work.Dec2_4_vhd_vec_tst -voptargs="+acc" -add wave /* -run -all diff --git a/1ano/isd/quartus-projects/DecoderDemo/simulation/modelsim/WaveformDecoderNode.vwf.vht b/1ano/isd/quartus-projects/DecoderDemo/simulation/modelsim/WaveformDecoderNode.vwf.vht deleted file mode 100644 index 0a22283..0000000 --- a/1ano/isd/quartus-projects/DecoderDemo/simulation/modelsim/WaveformDecoderNode.vwf.vht +++ /dev/null @@ -1,118 +0,0 @@ --- Copyright (C) 2020 Intel Corporation. All rights reserved. --- Your use of Intel Corporation's design tools, logic functions --- and other software and tools, and any partner logic --- functions, and any output files from any of the foregoing --- (including device programming or simulation files), and any --- associated documentation or information are expressly subject --- to the terms and conditions of the Intel Program License --- Subscription Agreement, the Intel Quartus Prime License Agreement, --- the Intel FPGA IP License Agreement, or other applicable license --- agreement, including, without limitation, that your use is for --- the sole purpose of programming logic devices manufactured by --- Intel and sold by Intel or its authorized distributors. Please --- refer to the applicable agreement for further details, at --- https://fpgasoftware.intel.com/eula. - --- ***************************************************************************** --- This file contains a Vhdl test bench with test vectors .The test vectors --- are exported from a vector file in the Quartus Waveform Editor and apply to --- the top level entity of the current Quartus project .The user can use this --- testbench to simulate his design using a third-party simulation tool . --- ***************************************************************************** --- Generated on "11/04/2022 12:48:42" - --- Vhdl Test Bench(with test vectors) for design : Dec2_4 --- --- Simulation tool : 3rd Party --- - -LIBRARY ieee; -USE ieee.std_logic_1164.all; - -ENTITY Dec2_4_vhd_vec_tst IS -END Dec2_4_vhd_vec_tst; -ARCHITECTURE Dec2_4_arch OF Dec2_4_vhd_vec_tst IS --- constants --- signals -SIGNAL E0L : STD_LOGIC; -SIGNAL E1 : STD_LOGIC; -SIGNAL X0 : STD_LOGIC; -SIGNAL X1 : STD_LOGIC; -SIGNAL Y0 : STD_LOGIC; -SIGNAL Y1 : STD_LOGIC; -SIGNAL Y2 : STD_LOGIC; -SIGNAL Y3 : STD_LOGIC; -COMPONENT Dec2_4 - PORT ( - E0L : IN STD_LOGIC; - E1 : IN STD_LOGIC; - X0 : IN STD_LOGIC; - X1 : IN STD_LOGIC; - Y0 : OUT STD_LOGIC; - Y1 : OUT STD_LOGIC; - Y2 : OUT STD_LOGIC; - Y3 : OUT STD_LOGIC - ); -END COMPONENT; -BEGIN - i1 : Dec2_4 - PORT MAP ( --- list connections between master ports and signals - E0L => E0L, - E1 => E1, - X0 => X0, - X1 => X1, - Y0 => Y0, - Y1 => Y1, - Y2 => Y2, - Y3 => Y3 - ); - --- E0L -t_prcs_E0L: PROCESS -BEGIN -LOOP - E0L <= '0'; - WAIT FOR 100000 ps; - E0L <= '1'; - WAIT FOR 100000 ps; - IF (NOW >= 1000000 ps) THEN WAIT; END IF; -END LOOP; -END PROCESS t_prcs_E0L; - --- E1 -t_prcs_E1: PROCESS -BEGIN -LOOP - E1 <= '0'; - WAIT FOR 50000 ps; - E1 <= '1'; - WAIT FOR 50000 ps; - IF (NOW >= 1000000 ps) THEN WAIT; END IF; -END LOOP; -END PROCESS t_prcs_E1; - --- X1 -t_prcs_X1: PROCESS -BEGIN -LOOP - X1 <= '0'; - WAIT FOR 25000 ps; - X1 <= '1'; - WAIT FOR 25000 ps; - IF (NOW >= 1000000 ps) THEN WAIT; END IF; -END LOOP; -END PROCESS t_prcs_X1; - --- X0 -t_prcs_X0: PROCESS -BEGIN -LOOP - X0 <= '0'; - WAIT FOR 12500 ps; - X0 <= '1'; - WAIT FOR 12500 ps; - IF (NOW >= 1000000 ps) THEN WAIT; END IF; -END LOOP; -END PROCESS t_prcs_X0; -END Dec2_4_arch; diff --git a/1ano/isd/quartus-projects/DecoderDemo/simulation/qsim/DecoderDemo.do b/1ano/isd/quartus-projects/DecoderDemo/simulation/qsim/DecoderDemo.do deleted file mode 100644 index 58c4d91..0000000 --- a/1ano/isd/quartus-projects/DecoderDemo/simulation/qsim/DecoderDemo.do +++ /dev/null @@ -1,17 +0,0 @@ -onerror {exit -code 1} -vlib work -vcom -work work DecoderDemo.vho -vcom -work work Waveform1.vwf.vht -vsim -c -t 1ps -L cycloneive -L altera -L altera_mf -L 220model -L sgate -L altera_lnsim work.Dec2_4_vhd_vec_tst -vcd file -direction DecoderDemo.msim.vcd -vcd add -internal Dec2_4_vhd_vec_tst/* -vcd add -internal Dec2_4_vhd_vec_tst/i1/* -proc simTimestamp {} { - echo "Simulation time: $::now ps" - if { [string equal running [runStatus]] } { - after 2500 simTimestamp - } -} -after 2500 simTimestamp -run -all -quit -f diff --git a/1ano/isd/quartus-projects/DecoderDemo/simulation/qsim/DecoderDemo.msim.vcd b/1ano/isd/quartus-projects/DecoderDemo/simulation/qsim/DecoderDemo.msim.vcd deleted file mode 100644 index 4d95cfb..0000000 --- a/1ano/isd/quartus-projects/DecoderDemo/simulation/qsim/DecoderDemo.msim.vcd +++ /dev/null @@ -1,775 +0,0 @@ -$comment - File created using the following command: - vcd file DecoderDemo.msim.vcd -direction -$end -$date - Mon Nov 14 21:42:31 2022 -$end -$version - ModelSim Version 2020.1 -$end -$timescale - 1ps -$end - -$scope module dec2_4_vhd_vec_tst $end -$var wire 1 ! E0L $end -$var wire 1 " E1 $end -$var wire 1 # X0 $end -$var wire 1 $ X1 $end -$var wire 1 % Y0 $end -$var wire 1 & Y1 $end -$var wire 1 ' Y2 $end -$var wire 1 ( Y3 $end - -$scope module i1 $end -$var wire 1 ) gnd $end -$var wire 1 * vcc $end -$var wire 1 + unknown $end -$var wire 1 , devoe $end -$var wire 1 - devclrn $end -$var wire 1 . devpor $end -$var wire 1 / ww_devoe $end -$var wire 1 0 ww_devclrn $end -$var wire 1 1 ww_devpor $end -$var wire 1 2 ww_Y3 $end -$var wire 1 3 ww_E0L $end -$var wire 1 4 ww_E1 $end -$var wire 1 5 ww_X1 $end -$var wire 1 6 ww_X0 $end -$var wire 1 7 ww_Y2 $end -$var wire 1 8 ww_Y1 $end -$var wire 1 9 ww_Y0 $end -$var wire 1 : \Y3~output_o\ $end -$var wire 1 ; \Y2~output_o\ $end -$var wire 1 < \Y1~output_o\ $end -$var wire 1 = \Y0~output_o\ $end -$var wire 1 > \E1~input_o\ $end -$var wire 1 ? \X1~input_o\ $end -$var wire 1 @ \X0~input_o\ $end -$var wire 1 A \E0L~input_o\ $end -$var wire 1 B \inst~combout\ $end -$var wire 1 C \inst1~combout\ $end -$var wire 1 D \inst3~combout\ $end -$var wire 1 E \inst2~combout\ $end -$upscope $end -$upscope $end -$enddefinitions $end -#0 -$dumpvars -0! -0" -0# -0$ -0% -0& -0' -0( -0) -1* -x+ -1, -1- -1. -1/ -10 -11 -02 -03 -04 -05 -06 -07 -08 -09 -0: -0; -0< -0= -0> -0? -0@ -0A -0B -0C -0D -0E -$end -#12500 -1! -13 -1A -#25000 -0! -1" -03 -14 -1> -0A -1B -1: -12 -1( -#37500 -1! -13 -1A -0B -0: -02 -0( -#50000 -0! -0" -1# -03 -04 -16 -1@ -0> -0A -#62500 -1! -13 -1A -#75000 -0! -1" -03 -14 -1> -0A -1C -1; -17 -1' -#87500 -1! -13 -1A -0C -0; -07 -0' -#100000 -0! -0" -0# -1$ -03 -04 -06 -15 -1? -0@ -0> -0A -#112500 -1! -13 -1A -#125000 -0! -1" -03 -14 -1> -0A -1E -1= -19 -1% -#137500 -1! -13 -1A -0E -0= -09 -0% -#150000 -0! -0" -1# -03 -04 -16 -1@ -0> -0A -#162500 -1! -13 -1A -#175000 -0! -1" -03 -14 -1> -0A -1D -1< -18 -1& -#187500 -1! -13 -1A -0D -0< -08 -0& -#200000 -0! -0" -0# -0$ -03 -04 -06 -05 -0? -0@ -0> -0A -#212500 -1! -13 -1A -#225000 -0! -1" -03 -14 -1> -0A -1B -1: -12 -1( -#237500 -1! -13 -1A -0B -0: -02 -0( -#250000 -0! -0" -1# -03 -04 -16 -1@ -0> -0A -#262500 -1! -13 -1A -#275000 -0! -1" -03 -14 -1> -0A -1C -1; -17 -1' -#287500 -1! -13 -1A -0C -0; -07 -0' -#300000 -0! -0" -0# -1$ -03 -04 -06 -15 -1? -0@ -0> -0A -#312500 -1! -13 -1A -#325000 -0! -1" -03 -14 -1> -0A -1E -1= -19 -1% -#337500 -1! -13 -1A -0E -0= -09 -0% -#350000 -0! -0" -1# -03 -04 -16 -1@ -0> -0A -#362500 -1! -13 -1A -#375000 -0! -1" -03 -14 -1> -0A -1D -1< -18 -1& -#387500 -1! -13 -1A -0D -0< -08 -0& -#400000 -0! -0" -0# -0$ -03 -04 -06 -05 -0? -0@ -0> -0A -#412500 -1! -13 -1A -#425000 -0! -1" -03 -14 -1> -0A -1B -1: -12 -1( -#437500 -1! -13 -1A -0B -0: -02 -0( -#450000 -0! -0" -1# -03 -04 -16 -1@ -0> -0A -#462500 -1! -13 -1A -#475000 -0! -1" -03 -14 -1> -0A -1C -1; -17 -1' -#487500 -1! -13 -1A -0C -0; -07 -0' -#500000 -0! -0" -0# -1$ -03 -04 -06 -15 -1? -0@ -0> -0A -#512500 -1! -13 -1A -#525000 -0! -1" -03 -14 -1> -0A -1E -1= -19 -1% -#537500 -1! -13 -1A -0E -0= -09 -0% -#550000 -0! -0" -1# -03 -04 -16 -1@ -0> -0A -#562500 -1! -13 -1A -#575000 -0! -1" -03 -14 -1> -0A -1D -1< -18 -1& -#587500 -1! -13 -1A -0D -0< -08 -0& -#600000 -0! -0" -0# -0$ -03 -04 -06 -05 -0? -0@ -0> -0A -#612500 -1! -13 -1A -#625000 -0! -1" -03 -14 -1> -0A -1B -1: -12 -1( -#637500 -1! -13 -1A -0B -0: -02 -0( -#650000 -0! -0" -1# -03 -04 -16 -1@ -0> -0A -#662500 -1! -13 -1A -#675000 -0! -1" -03 -14 -1> -0A -1C -1; -17 -1' -#687500 -1! -13 -1A -0C -0; -07 -0' -#700000 -0! -0" -0# -1$ -03 -04 -06 -15 -1? -0@ -0> -0A -#712500 -1! -13 -1A -#725000 -0! -1" -03 -14 -1> -0A -1E -1= -19 -1% -#737500 -1! -13 -1A -0E -0= -09 -0% -#750000 -0! -0" -1# -03 -04 -16 -1@ -0> -0A -#762500 -1! -13 -1A -#775000 -0! -1" -03 -14 -1> -0A -1D -1< -18 -1& -#787500 -1! -13 -1A -0D -0< -08 -0& -#800000 -0! -0" -0# -0$ -03 -04 -06 -05 -0? -0@ -0> -0A -#812500 -1! -13 -1A -#825000 -0! -1" -03 -14 -1> -0A -1B -1: -12 -1( -#837500 -1! -13 -1A -0B -0: -02 -0( -#850000 -0! -0" -1# -03 -04 -16 -1@ -0> -0A -#862500 -1! -13 -1A -#875000 -0! -1" -03 -14 -1> -0A -1C -1; -17 -1' -#887500 -1! -13 -1A -0C -0; -07 -0' -#900000 -0! -0" -0# -1$ -03 -04 -06 -15 -1? -0@ -0> -0A -#912500 -1! -13 -1A -#925000 -0! -1" -03 -14 -1> -0A -1E -1= -19 -1% -#937500 -1! -13 -1A -0E -0= -09 -0% -#950000 -0! -0" -1# -03 -04 -16 -1@ -0> -0A -#962500 -1! -13 -1A -#975000 -0! -1" -03 -14 -1> -0A -1D -1< -18 -1& -#987500 -1! -13 -1A -0D -0< -08 -0& -#1000000 diff --git a/1ano/isd/quartus-projects/DecoderDemo/simulation/qsim/DecoderDemo.sft b/1ano/isd/quartus-projects/DecoderDemo/simulation/qsim/DecoderDemo.sft deleted file mode 100644 index 0c5034b..0000000 --- a/1ano/isd/quartus-projects/DecoderDemo/simulation/qsim/DecoderDemo.sft +++ /dev/null @@ -1 +0,0 @@ -set tool_name "ModelSim-Altera (VHDL)" diff --git a/1ano/isd/quartus-projects/DecoderDemo/simulation/qsim/DecoderDemo.vho b/1ano/isd/quartus-projects/DecoderDemo/simulation/qsim/DecoderDemo.vho deleted file mode 100644 index 3d1e9a9..0000000 --- a/1ano/isd/quartus-projects/DecoderDemo/simulation/qsim/DecoderDemo.vho +++ /dev/null @@ -1,328 +0,0 @@ --- Copyright (C) 2020 Intel Corporation. All rights reserved. --- Your use of Intel Corporation's design tools, logic functions --- and other software and tools, and any partner logic --- functions, and any output files from any of the foregoing --- (including device programming or simulation files), and any --- associated documentation or information are expressly subject --- to the terms and conditions of the Intel Program License --- Subscription Agreement, the Intel Quartus Prime License Agreement, --- the Intel FPGA IP License Agreement, or other applicable license --- agreement, including, without limitation, that your use is for --- the sole purpose of programming logic devices manufactured by --- Intel and sold by Intel or its authorized distributors. Please --- refer to the applicable agreement for further details, at --- https://fpgasoftware.intel.com/eula. - --- VENDOR "Altera" --- PROGRAM "Quartus Prime" --- VERSION "Version 20.1.1 Build 720 11/11/2020 SJ Lite Edition" - --- DATE "11/14/2022 21:42:31" - --- --- Device: Altera EP4CE6E22C6 Package TQFP144 --- - --- --- This VHDL file should be used for ModelSim-Altera (VHDL) only --- - -LIBRARY CYCLONEIVE; -LIBRARY IEEE; -USE CYCLONEIVE.CYCLONEIVE_COMPONENTS.ALL; -USE IEEE.STD_LOGIC_1164.ALL; - -ENTITY hard_block IS - PORT ( - devoe : IN std_logic; - devclrn : IN std_logic; - devpor : IN std_logic - ); -END hard_block; - --- Design Ports Information --- ~ALTERA_ASDO_DATA1~ => Location: PIN_6, I/O Standard: 2.5 V, Current Strength: Default --- ~ALTERA_FLASH_nCE_nCSO~ => Location: PIN_8, I/O Standard: 2.5 V, Current Strength: Default --- ~ALTERA_DCLK~ => Location: PIN_12, I/O Standard: 2.5 V, Current Strength: Default --- ~ALTERA_DATA0~ => Location: PIN_13, I/O Standard: 2.5 V, Current Strength: Default --- ~ALTERA_nCEO~ => Location: PIN_101, I/O Standard: 2.5 V, Current Strength: 8mA - - -ARCHITECTURE structure OF hard_block IS -SIGNAL gnd : std_logic := '0'; -SIGNAL vcc : std_logic := '1'; -SIGNAL unknown : std_logic := 'X'; -SIGNAL ww_devoe : std_logic; -SIGNAL ww_devclrn : std_logic; -SIGNAL ww_devpor : std_logic; -SIGNAL \~ALTERA_ASDO_DATA1~~padout\ : std_logic; -SIGNAL \~ALTERA_FLASH_nCE_nCSO~~padout\ : std_logic; -SIGNAL \~ALTERA_DATA0~~padout\ : std_logic; -SIGNAL \~ALTERA_ASDO_DATA1~~ibuf_o\ : std_logic; -SIGNAL \~ALTERA_FLASH_nCE_nCSO~~ibuf_o\ : std_logic; -SIGNAL \~ALTERA_DATA0~~ibuf_o\ : std_logic; - -BEGIN - -ww_devoe <= devoe; -ww_devclrn <= devclrn; -ww_devpor <= devpor; -END structure; - - -LIBRARY CYCLONEIVE; -LIBRARY IEEE; -USE CYCLONEIVE.CYCLONEIVE_COMPONENTS.ALL; -USE IEEE.STD_LOGIC_1164.ALL; - -ENTITY Dec2_4 IS - PORT ( - Y3 : OUT std_logic; - E0L : IN std_logic; - E1 : IN std_logic; - X1 : IN std_logic; - X0 : IN std_logic; - Y2 : OUT std_logic; - Y1 : OUT std_logic; - Y0 : OUT std_logic - ); -END Dec2_4; - --- Design Ports Information --- Y3 => Location: PIN_33, I/O Standard: 2.5 V, Current Strength: Default --- Y2 => Location: PIN_32, I/O Standard: 2.5 V, Current Strength: Default --- Y1 => Location: PIN_28, I/O Standard: 2.5 V, Current Strength: Default --- Y0 => Location: PIN_34, I/O Standard: 2.5 V, Current Strength: Default --- E1 => Location: PIN_24, I/O Standard: 2.5 V, Current Strength: Default --- X0 => Location: PIN_25, I/O Standard: 2.5 V, Current Strength: Default --- X1 => Location: PIN_31, I/O Standard: 2.5 V, Current Strength: Default --- E0L => Location: PIN_30, I/O Standard: 2.5 V, Current Strength: Default - - -ARCHITECTURE structure OF Dec2_4 IS -SIGNAL gnd : std_logic := '0'; -SIGNAL vcc : std_logic := '1'; -SIGNAL unknown : std_logic := 'X'; -SIGNAL devoe : std_logic := '1'; -SIGNAL devclrn : std_logic := '1'; -SIGNAL devpor : std_logic := '1'; -SIGNAL ww_devoe : std_logic; -SIGNAL ww_devclrn : std_logic; -SIGNAL ww_devpor : std_logic; -SIGNAL ww_Y3 : std_logic; -SIGNAL ww_E0L : std_logic; -SIGNAL ww_E1 : std_logic; -SIGNAL ww_X1 : std_logic; -SIGNAL ww_X0 : std_logic; -SIGNAL ww_Y2 : std_logic; -SIGNAL ww_Y1 : std_logic; -SIGNAL ww_Y0 : std_logic; -SIGNAL \Y3~output_o\ : std_logic; -SIGNAL \Y2~output_o\ : std_logic; -SIGNAL \Y1~output_o\ : std_logic; -SIGNAL \Y0~output_o\ : std_logic; -SIGNAL \E1~input_o\ : std_logic; -SIGNAL \X1~input_o\ : std_logic; -SIGNAL \X0~input_o\ : std_logic; -SIGNAL \E0L~input_o\ : std_logic; -SIGNAL \inst~combout\ : std_logic; -SIGNAL \inst1~combout\ : std_logic; -SIGNAL \inst3~combout\ : std_logic; -SIGNAL \inst2~combout\ : std_logic; - -COMPONENT hard_block - PORT ( - devoe : IN std_logic; - devclrn : IN std_logic; - devpor : IN std_logic); -END COMPONENT; - -BEGIN - -Y3 <= ww_Y3; -ww_E0L <= E0L; -ww_E1 <= E1; -ww_X1 <= X1; -ww_X0 <= X0; -Y2 <= ww_Y2; -Y1 <= ww_Y1; -Y0 <= ww_Y0; -ww_devoe <= devoe; -ww_devclrn <= devclrn; -ww_devpor <= devpor; -auto_generated_inst : hard_block -PORT MAP ( - devoe => ww_devoe, - devclrn => ww_devclrn, - devpor => ww_devpor); - --- Location: IOOBUF_X0_Y6_N23 -\Y3~output\ : cycloneive_io_obuf --- pragma translate_off -GENERIC MAP ( - bus_hold => "false", - open_drain_output => "false") --- pragma translate_on -PORT MAP ( - i => \inst~combout\, - devoe => ww_devoe, - o => \Y3~output_o\); - --- Location: IOOBUF_X0_Y6_N16 -\Y2~output\ : cycloneive_io_obuf --- pragma translate_off -GENERIC MAP ( - bus_hold => "false", - open_drain_output => "false") --- pragma translate_on -PORT MAP ( - i => \inst1~combout\, - devoe => ww_devoe, - o => \Y2~output_o\); - --- Location: IOOBUF_X0_Y9_N9 -\Y1~output\ : cycloneive_io_obuf --- pragma translate_off -GENERIC MAP ( - bus_hold => "false", - open_drain_output => "false") --- pragma translate_on -PORT MAP ( - i => \inst3~combout\, - devoe => ww_devoe, - o => \Y1~output_o\); - --- Location: IOOBUF_X0_Y5_N16 -\Y0~output\ : cycloneive_io_obuf --- pragma translate_off -GENERIC MAP ( - bus_hold => "false", - open_drain_output => "false") --- pragma translate_on -PORT MAP ( - i => \inst2~combout\, - devoe => ww_devoe, - o => \Y0~output_o\); - --- Location: IOIBUF_X0_Y11_N15 -\E1~input\ : cycloneive_io_ibuf --- pragma translate_off -GENERIC MAP ( - bus_hold => "false", - simulate_z_as => "z") --- pragma translate_on -PORT MAP ( - i => ww_E1, - o => \E1~input_o\); - --- Location: IOIBUF_X0_Y7_N1 -\X1~input\ : cycloneive_io_ibuf --- pragma translate_off -GENERIC MAP ( - bus_hold => "false", - simulate_z_as => "z") --- pragma translate_on -PORT MAP ( - i => ww_X1, - o => \X1~input_o\); - --- Location: IOIBUF_X0_Y11_N22 -\X0~input\ : cycloneive_io_ibuf --- pragma translate_off -GENERIC MAP ( - bus_hold => "false", - simulate_z_as => "z") --- pragma translate_on -PORT MAP ( - i => ww_X0, - o => \X0~input_o\); - --- Location: IOIBUF_X0_Y8_N15 -\E0L~input\ : cycloneive_io_ibuf --- pragma translate_off -GENERIC MAP ( - bus_hold => "false", - simulate_z_as => "z") --- pragma translate_on -PORT MAP ( - i => ww_E0L, - o => \E0L~input_o\); - --- Location: LCCOMB_X6_Y9_N8 -inst : cycloneive_lcell_comb --- Equation(s): --- \inst~combout\ = (\E1~input_o\ & (!\X1~input_o\ & (!\X0~input_o\ & !\E0L~input_o\))) - --- pragma translate_off -GENERIC MAP ( - lut_mask => "0000000000000010", - sum_lutc_input => "datac") --- pragma translate_on -PORT MAP ( - dataa => \E1~input_o\, - datab => \X1~input_o\, - datac => \X0~input_o\, - datad => \E0L~input_o\, - combout => \inst~combout\); - --- Location: LCCOMB_X6_Y9_N2 -inst1 : cycloneive_lcell_comb --- Equation(s): --- \inst1~combout\ = (\E1~input_o\ & (!\X1~input_o\ & (\X0~input_o\ & !\E0L~input_o\))) - --- pragma translate_off -GENERIC MAP ( - lut_mask => "0000000000100000", - sum_lutc_input => "datac") --- pragma translate_on -PORT MAP ( - dataa => \E1~input_o\, - datab => \X1~input_o\, - datac => \X0~input_o\, - datad => \E0L~input_o\, - combout => \inst1~combout\); - --- Location: LCCOMB_X6_Y9_N28 -inst3 : cycloneive_lcell_comb --- Equation(s): --- \inst3~combout\ = (\E1~input_o\ & (\X1~input_o\ & (\X0~input_o\ & !\E0L~input_o\))) - --- pragma translate_off -GENERIC MAP ( - lut_mask => "0000000010000000", - sum_lutc_input => "datac") --- pragma translate_on -PORT MAP ( - dataa => \E1~input_o\, - datab => \X1~input_o\, - datac => \X0~input_o\, - datad => \E0L~input_o\, - combout => \inst3~combout\); - --- Location: LCCOMB_X6_Y9_N30 -inst2 : cycloneive_lcell_comb --- Equation(s): --- \inst2~combout\ = (\E1~input_o\ & (\X1~input_o\ & (!\X0~input_o\ & !\E0L~input_o\))) - --- pragma translate_off -GENERIC MAP ( - lut_mask => "0000000000001000", - sum_lutc_input => "datac") --- pragma translate_on -PORT MAP ( - dataa => \E1~input_o\, - datab => \X1~input_o\, - datac => \X0~input_o\, - datad => \E0L~input_o\, - combout => \inst2~combout\); - -ww_Y3 <= \Y3~output_o\; - -ww_Y2 <= \Y2~output_o\; - -ww_Y1 <= \Y1~output_o\; - -ww_Y0 <= \Y0~output_o\; -END structure; - - diff --git a/1ano/isd/quartus-projects/DecoderDemo/simulation/qsim/DecoderDemo.vo b/1ano/isd/quartus-projects/DecoderDemo/simulation/qsim/DecoderDemo.vo deleted file mode 100644 index cce1ee5..0000000 --- a/1ano/isd/quartus-projects/DecoderDemo/simulation/qsim/DecoderDemo.vo +++ /dev/null @@ -1,294 +0,0 @@ -// Copyright (C) 2020 Intel Corporation. All rights reserved. -// Your use of Intel Corporation's design tools, logic functions -// and other software and tools, and any partner logic -// functions, and any output files from any of the foregoing -// (including device programming or simulation files), and any -// associated documentation or information are expressly subject -// to the terms and conditions of the Intel Program License -// Subscription Agreement, the Intel Quartus Prime License Agreement, -// the Intel FPGA IP License Agreement, or other applicable license -// agreement, including, without limitation, that your use is for -// the sole purpose of programming logic devices manufactured by -// Intel and sold by Intel or its authorized distributors. Please -// refer to the applicable agreement for further details, at -// https://fpgasoftware.intel.com/eula. - -// VENDOR "Altera" -// PROGRAM "Quartus Prime" -// VERSION "Version 20.1.1 Build 720 11/11/2020 SJ Lite Edition" - -// DATE "11/14/2022 21:42:11" - -// -// Device: Altera EP4CE6E22C6 Package TQFP144 -// - -// -// This Verilog file should be used for ModelSim-Altera (Verilog) only -// - -`timescale 1 ps/ 1 ps - -module Dec2_4 ( - Y3, - E0L, - E1, - X1, - X0, - Y2, - Y1, - Y0); -output Y3; -input E0L; -input E1; -input X1; -input X0; -output Y2; -output Y1; -output Y0; - -// Design Ports Information -// Y3 => Location: PIN_33, I/O Standard: 2.5 V, Current Strength: Default -// Y2 => Location: PIN_32, I/O Standard: 2.5 V, Current Strength: Default -// Y1 => Location: PIN_28, I/O Standard: 2.5 V, Current Strength: Default -// Y0 => Location: PIN_34, I/O Standard: 2.5 V, Current Strength: Default -// E1 => Location: PIN_24, I/O Standard: 2.5 V, Current Strength: Default -// X0 => Location: PIN_25, I/O Standard: 2.5 V, Current Strength: Default -// X1 => Location: PIN_31, I/O Standard: 2.5 V, Current Strength: Default -// E0L => Location: PIN_30, I/O Standard: 2.5 V, Current Strength: Default - - -wire gnd; -wire vcc; -wire unknown; - -assign gnd = 1'b0; -assign vcc = 1'b1; -assign unknown = 1'bx; - -tri1 devclrn; -tri1 devpor; -tri1 devoe; -wire \Y3~output_o ; -wire \Y2~output_o ; -wire \Y1~output_o ; -wire \Y0~output_o ; -wire \E1~input_o ; -wire \X1~input_o ; -wire \X0~input_o ; -wire \E0L~input_o ; -wire \inst~combout ; -wire \inst1~combout ; -wire \inst3~combout ; -wire \inst2~combout ; - - -hard_block auto_generated_inst( - .devpor(devpor), - .devclrn(devclrn), - .devoe(devoe)); - -// Location: IOOBUF_X0_Y6_N23 -cycloneive_io_obuf \Y3~output ( - .i(\inst~combout ), - .oe(vcc), - .seriesterminationcontrol(16'b0000000000000000), - .devoe(devoe), - .o(\Y3~output_o ), - .obar()); -// synopsys translate_off -defparam \Y3~output .bus_hold = "false"; -defparam \Y3~output .open_drain_output = "false"; -// synopsys translate_on - -// Location: IOOBUF_X0_Y6_N16 -cycloneive_io_obuf \Y2~output ( - .i(\inst1~combout ), - .oe(vcc), - .seriesterminationcontrol(16'b0000000000000000), - .devoe(devoe), - .o(\Y2~output_o ), - .obar()); -// synopsys translate_off -defparam \Y2~output .bus_hold = "false"; -defparam \Y2~output .open_drain_output = "false"; -// synopsys translate_on - -// Location: IOOBUF_X0_Y9_N9 -cycloneive_io_obuf \Y1~output ( - .i(\inst3~combout ), - .oe(vcc), - .seriesterminationcontrol(16'b0000000000000000), - .devoe(devoe), - .o(\Y1~output_o ), - .obar()); -// synopsys translate_off -defparam \Y1~output .bus_hold = "false"; -defparam \Y1~output .open_drain_output = "false"; -// synopsys translate_on - -// Location: IOOBUF_X0_Y5_N16 -cycloneive_io_obuf \Y0~output ( - .i(\inst2~combout ), - .oe(vcc), - .seriesterminationcontrol(16'b0000000000000000), - .devoe(devoe), - .o(\Y0~output_o ), - .obar()); -// synopsys translate_off -defparam \Y0~output .bus_hold = "false"; -defparam \Y0~output .open_drain_output = "false"; -// synopsys translate_on - -// Location: IOIBUF_X0_Y11_N15 -cycloneive_io_ibuf \E1~input ( - .i(E1), - .ibar(gnd), - .o(\E1~input_o )); -// synopsys translate_off -defparam \E1~input .bus_hold = "false"; -defparam \E1~input .simulate_z_as = "z"; -// synopsys translate_on - -// Location: IOIBUF_X0_Y7_N1 -cycloneive_io_ibuf \X1~input ( - .i(X1), - .ibar(gnd), - .o(\X1~input_o )); -// synopsys translate_off -defparam \X1~input .bus_hold = "false"; -defparam \X1~input .simulate_z_as = "z"; -// synopsys translate_on - -// Location: IOIBUF_X0_Y11_N22 -cycloneive_io_ibuf \X0~input ( - .i(X0), - .ibar(gnd), - .o(\X0~input_o )); -// synopsys translate_off -defparam \X0~input .bus_hold = "false"; -defparam \X0~input .simulate_z_as = "z"; -// synopsys translate_on - -// Location: IOIBUF_X0_Y8_N15 -cycloneive_io_ibuf \E0L~input ( - .i(E0L), - .ibar(gnd), - .o(\E0L~input_o )); -// synopsys translate_off -defparam \E0L~input .bus_hold = "false"; -defparam \E0L~input .simulate_z_as = "z"; -// synopsys translate_on - -// Location: LCCOMB_X6_Y9_N8 -cycloneive_lcell_comb inst( -// Equation(s): -// \inst~combout = (\E1~input_o & (!\X1~input_o & (!\X0~input_o & !\E0L~input_o ))) - - .dataa(\E1~input_o ), - .datab(\X1~input_o ), - .datac(\X0~input_o ), - .datad(\E0L~input_o ), - .cin(gnd), - .combout(\inst~combout ), - .cout()); -// synopsys translate_off -defparam inst.lut_mask = 16'h0002; -defparam inst.sum_lutc_input = "datac"; -// synopsys translate_on - -// Location: LCCOMB_X6_Y9_N2 -cycloneive_lcell_comb inst1( -// Equation(s): -// \inst1~combout = (\E1~input_o & (!\X1~input_o & (\X0~input_o & !\E0L~input_o ))) - - .dataa(\E1~input_o ), - .datab(\X1~input_o ), - .datac(\X0~input_o ), - .datad(\E0L~input_o ), - .cin(gnd), - .combout(\inst1~combout ), - .cout()); -// synopsys translate_off -defparam inst1.lut_mask = 16'h0020; -defparam inst1.sum_lutc_input = "datac"; -// synopsys translate_on - -// Location: LCCOMB_X6_Y9_N28 -cycloneive_lcell_comb inst3( -// Equation(s): -// \inst3~combout = (\E1~input_o & (\X1~input_o & (\X0~input_o & !\E0L~input_o ))) - - .dataa(\E1~input_o ), - .datab(\X1~input_o ), - .datac(\X0~input_o ), - .datad(\E0L~input_o ), - .cin(gnd), - .combout(\inst3~combout ), - .cout()); -// synopsys translate_off -defparam inst3.lut_mask = 16'h0080; -defparam inst3.sum_lutc_input = "datac"; -// synopsys translate_on - -// Location: LCCOMB_X6_Y9_N30 -cycloneive_lcell_comb inst2( -// Equation(s): -// \inst2~combout = (\E1~input_o & (\X1~input_o & (!\X0~input_o & !\E0L~input_o ))) - - .dataa(\E1~input_o ), - .datab(\X1~input_o ), - .datac(\X0~input_o ), - .datad(\E0L~input_o ), - .cin(gnd), - .combout(\inst2~combout ), - .cout()); -// synopsys translate_off -defparam inst2.lut_mask = 16'h0008; -defparam inst2.sum_lutc_input = "datac"; -// synopsys translate_on - -assign Y3 = \Y3~output_o ; - -assign Y2 = \Y2~output_o ; - -assign Y1 = \Y1~output_o ; - -assign Y0 = \Y0~output_o ; - -endmodule - -module hard_block ( - - devpor, - devclrn, - devoe); - -// Design Ports Information -// ~ALTERA_ASDO_DATA1~ => Location: PIN_6, I/O Standard: 2.5 V, Current Strength: Default -// ~ALTERA_FLASH_nCE_nCSO~ => Location: PIN_8, I/O Standard: 2.5 V, Current Strength: Default -// ~ALTERA_DCLK~ => Location: PIN_12, I/O Standard: 2.5 V, Current Strength: Default -// ~ALTERA_DATA0~ => Location: PIN_13, I/O Standard: 2.5 V, Current Strength: Default -// ~ALTERA_nCEO~ => Location: PIN_101, I/O Standard: 2.5 V, Current Strength: 8mA - -input devpor; -input devclrn; -input devoe; - -wire gnd; -wire vcc; -wire unknown; - -assign gnd = 1'b0; -assign vcc = 1'b1; -assign unknown = 1'bx; - -wire \~ALTERA_ASDO_DATA1~~padout ; -wire \~ALTERA_FLASH_nCE_nCSO~~padout ; -wire \~ALTERA_DATA0~~padout ; -wire \~ALTERA_ASDO_DATA1~~ibuf_o ; -wire \~ALTERA_FLASH_nCE_nCSO~~ibuf_o ; -wire \~ALTERA_DATA0~~ibuf_o ; - - -endmodule diff --git a/1ano/isd/quartus-projects/DecoderDemo/simulation/qsim/DecoderDemo_20221104180429.sim.vwf b/1ano/isd/quartus-projects/DecoderDemo/simulation/qsim/DecoderDemo_20221104180429.sim.vwf deleted file mode 100644 index 6e61402..0000000 --- a/1ano/isd/quartus-projects/DecoderDemo/simulation/qsim/DecoderDemo_20221104180429.sim.vwf +++ /dev/null @@ -1,483 +0,0 @@ -/* -WARNING: Do NOT edit the input and output ports in this file in a text -editor if you plan to continue editing the block that represents it in -the Block Editor! File corruption is VERY likely to occur. -*/ - -/* -Copyright (C) 2020 Intel Corporation. All rights reserved. -Your use of Intel Corporation's design tools, logic functions -and other software and tools, and any partner logic -functions, and any output files from any of the foregoing -(including device programming or simulation files), and any -associated documentation or information are expressly subject -to the terms and conditions of the Intel Program License -Subscription Agreement, the Intel Quartus Prime License Agreement, -the Intel FPGA IP License Agreement, or other applicable license -agreement, including, without limitation, that your use is for -the sole purpose of programming logic devices manufactured by -Intel and sold by Intel or its authorized distributors. Please -refer to the applicable agreement for further details, at -https://fpgasoftware.intel.com/eula. -*/ - -HEADER -{ - VERSION = 1; - TIME_UNIT = ns; - DATA_OFFSET = 0.0; - DATA_DURATION = 1000.0; - SIMULATION_TIME = 0.0; - GRID_PHASE = 0.0; - GRID_PERIOD = 10.0; - GRID_DUTY_CYCLE = 50; -} - -SIGNAL("E0L") -{ - VALUE_TYPE = NINE_LEVEL_BIT; - SIGNAL_TYPE = SINGLE_BIT; - WIDTH = 1; - LSB_INDEX = -1; - DIRECTION = INPUT; - PARENT = ""; -} - -SIGNAL("E1") -{ - VALUE_TYPE = NINE_LEVEL_BIT; - SIGNAL_TYPE = SINGLE_BIT; - WIDTH = 1; - LSB_INDEX = -1; - DIRECTION = INPUT; - PARENT = ""; -} - -SIGNAL("X0") -{ - VALUE_TYPE = NINE_LEVEL_BIT; - SIGNAL_TYPE = SINGLE_BIT; - WIDTH = 1; - LSB_INDEX = -1; - DIRECTION = INPUT; - PARENT = ""; -} - -SIGNAL("Y0") -{ - VALUE_TYPE = NINE_LEVEL_BIT; - SIGNAL_TYPE = SINGLE_BIT; - WIDTH = 1; - LSB_INDEX = -1; - DIRECTION = OUTPUT; - PARENT = ""; -} - -SIGNAL("Y1") -{ - VALUE_TYPE = NINE_LEVEL_BIT; - SIGNAL_TYPE = SINGLE_BIT; - WIDTH = 1; - LSB_INDEX = -1; - DIRECTION = OUTPUT; - PARENT = ""; -} - -SIGNAL("Y2") -{ - VALUE_TYPE = NINE_LEVEL_BIT; - SIGNAL_TYPE = SINGLE_BIT; - WIDTH = 1; - LSB_INDEX = -1; - DIRECTION = OUTPUT; - PARENT = ""; -} - -SIGNAL("Y3") -{ - VALUE_TYPE = NINE_LEVEL_BIT; - SIGNAL_TYPE = SINGLE_BIT; - WIDTH = 1; - LSB_INDEX = -1; - DIRECTION = OUTPUT; - PARENT = ""; -} - -SIGNAL("X1") -{ - VALUE_TYPE = NINE_LEVEL_BIT; - SIGNAL_TYPE = SINGLE_BIT; - WIDTH = 1; - LSB_INDEX = -1; - DIRECTION = INPUT; - PARENT = ""; -} - -TRANSITION_LIST("E0L") -{ - NODE - { - REPEAT = 1; - NODE - { - REPEAT = 1; - LEVEL 0 FOR 100.0; - LEVEL 1 FOR 100.0; - LEVEL 0 FOR 100.0; - LEVEL 1 FOR 100.0; - LEVEL 0 FOR 100.0; - LEVEL 1 FOR 100.0; - LEVEL 0 FOR 100.0; - LEVEL 1 FOR 100.0; - LEVEL 0 FOR 100.0; - LEVEL 1 FOR 100.0; - } - } -} - -TRANSITION_LIST("E1") -{ - NODE - { - REPEAT = 1; - NODE - { - REPEAT = 1; - LEVEL 0 FOR 50.0; - LEVEL 1 FOR 50.0; - LEVEL 0 FOR 50.0; - LEVEL 1 FOR 50.0; - LEVEL 0 FOR 50.0; - LEVEL 1 FOR 50.0; - LEVEL 0 FOR 50.0; - LEVEL 1 FOR 50.0; - LEVEL 0 FOR 50.0; - LEVEL 1 FOR 50.0; - LEVEL 0 FOR 50.0; - LEVEL 1 FOR 50.0; - LEVEL 0 FOR 50.0; - LEVEL 1 FOR 50.0; - LEVEL 0 FOR 50.0; - LEVEL 1 FOR 50.0; - LEVEL 0 FOR 50.0; - LEVEL 1 FOR 50.0; - LEVEL 0 FOR 50.0; - LEVEL 1 FOR 50.0; - } - } -} - -TRANSITION_LIST("X0") -{ - NODE - { - REPEAT = 1; - NODE - { - REPEAT = 1; - LEVEL 0 FOR 12.5; - LEVEL 1 FOR 12.5; - LEVEL 0 FOR 12.5; - LEVEL 1 FOR 12.5; - LEVEL 0 FOR 12.5; - LEVEL 1 FOR 12.5; - LEVEL 0 FOR 12.5; - LEVEL 1 FOR 12.5; - LEVEL 0 FOR 12.5; - LEVEL 1 FOR 12.5; - LEVEL 0 FOR 12.5; - LEVEL 1 FOR 12.5; - LEVEL 0 FOR 12.5; - LEVEL 1 FOR 12.5; - LEVEL 0 FOR 12.5; - LEVEL 1 FOR 12.5; - LEVEL 0 FOR 12.5; - LEVEL 1 FOR 12.5; - LEVEL 0 FOR 12.5; - LEVEL 1 FOR 12.5; - LEVEL 0 FOR 12.5; - LEVEL 1 FOR 12.5; - LEVEL 0 FOR 12.5; - LEVEL 1 FOR 12.5; - LEVEL 0 FOR 12.5; - LEVEL 1 FOR 12.5; - LEVEL 0 FOR 12.5; - LEVEL 1 FOR 12.5; - LEVEL 0 FOR 12.5; - LEVEL 1 FOR 12.5; - LEVEL 0 FOR 12.5; - LEVEL 1 FOR 12.5; - LEVEL 0 FOR 12.5; - LEVEL 1 FOR 12.5; - LEVEL 0 FOR 12.5; - LEVEL 1 FOR 12.5; - LEVEL 0 FOR 12.5; - LEVEL 1 FOR 12.5; - LEVEL 0 FOR 12.5; - LEVEL 1 FOR 12.5; - LEVEL 0 FOR 12.5; - LEVEL 1 FOR 12.5; - LEVEL 0 FOR 12.5; - LEVEL 1 FOR 12.5; - LEVEL 0 FOR 12.5; - LEVEL 1 FOR 12.5; - LEVEL 0 FOR 12.5; - LEVEL 1 FOR 12.5; - LEVEL 0 FOR 12.5; - LEVEL 1 FOR 12.5; - LEVEL 0 FOR 12.5; - LEVEL 1 FOR 12.5; - LEVEL 0 FOR 12.5; - LEVEL 1 FOR 12.5; - LEVEL 0 FOR 12.5; - LEVEL 1 FOR 12.5; - LEVEL 0 FOR 12.5; - LEVEL 1 FOR 12.5; - LEVEL 0 FOR 12.5; - LEVEL 1 FOR 12.5; - LEVEL 0 FOR 12.5; - LEVEL 1 FOR 12.5; - LEVEL 0 FOR 12.5; - LEVEL 1 FOR 12.5; - LEVEL 0 FOR 12.5; - LEVEL 1 FOR 12.5; - LEVEL 0 FOR 12.5; - LEVEL 1 FOR 12.5; - LEVEL 0 FOR 12.5; - LEVEL 1 FOR 12.5; - LEVEL 0 FOR 12.5; - LEVEL 1 FOR 12.5; - LEVEL 0 FOR 12.5; - LEVEL 1 FOR 12.5; - LEVEL 0 FOR 12.5; - LEVEL 1 FOR 12.5; - LEVEL 0 FOR 12.5; - LEVEL 1 FOR 12.5; - LEVEL 0 FOR 12.5; - LEVEL 1 FOR 12.5; - } - } -} - -TRANSITION_LIST("Y0") -{ - NODE - { - REPEAT = 1; - NODE - { - REPEAT = 1; - LEVEL 0 FOR 87.5; - LEVEL 1 FOR 12.5; - LEVEL 0 FOR 187.5; - LEVEL 1 FOR 12.5; - LEVEL 0 FOR 187.5; - LEVEL 1 FOR 12.5; - LEVEL 0 FOR 187.5; - LEVEL 1 FOR 12.5; - LEVEL 0 FOR 187.5; - LEVEL 1 FOR 12.5; - LEVEL 0 FOR 100.0; - } - } -} - -TRANSITION_LIST("Y1") -{ - NODE - { - REPEAT = 1; - NODE - { - REPEAT = 1; - LEVEL 0 FOR 75.0; - LEVEL 1 FOR 12.5; - LEVEL 0 FOR 187.5; - LEVEL 1 FOR 12.5; - LEVEL 0 FOR 187.5; - LEVEL 1 FOR 12.5; - LEVEL 0 FOR 187.5; - LEVEL 1 FOR 12.5; - LEVEL 0 FOR 187.5; - LEVEL 1 FOR 12.5; - LEVEL 0 FOR 112.5; - } - } -} - -TRANSITION_LIST("Y2") -{ - NODE - { - REPEAT = 1; - NODE - { - REPEAT = 1; - LEVEL 0 FOR 62.5; - LEVEL 1 FOR 12.5; - LEVEL 0 FOR 187.5; - LEVEL 1 FOR 12.5; - LEVEL 0 FOR 187.5; - LEVEL 1 FOR 12.5; - LEVEL 0 FOR 187.5; - LEVEL 1 FOR 12.5; - LEVEL 0 FOR 187.5; - LEVEL 1 FOR 12.5; - LEVEL 0 FOR 125.0; - } - } -} - -TRANSITION_LIST("Y3") -{ - NODE - { - REPEAT = 1; - NODE - { - REPEAT = 1; - LEVEL 0 FOR 50.0; - LEVEL 1 FOR 12.5; - LEVEL 0 FOR 187.5; - LEVEL 1 FOR 12.5; - LEVEL 0 FOR 187.5; - LEVEL 1 FOR 12.5; - LEVEL 0 FOR 187.5; - LEVEL 1 FOR 12.5; - LEVEL 0 FOR 187.5; - LEVEL 1 FOR 12.5; - LEVEL 0 FOR 137.5; - } - } -} - -TRANSITION_LIST("X1") -{ - NODE - { - REPEAT = 1; - NODE - { - REPEAT = 1; - LEVEL 0 FOR 25.0; - LEVEL 1 FOR 25.0; - LEVEL 0 FOR 25.0; - LEVEL 1 FOR 25.0; - LEVEL 0 FOR 25.0; - LEVEL 1 FOR 25.0; - LEVEL 0 FOR 25.0; - LEVEL 1 FOR 25.0; - LEVEL 0 FOR 25.0; - LEVEL 1 FOR 25.0; - LEVEL 0 FOR 25.0; - LEVEL 1 FOR 25.0; - LEVEL 0 FOR 25.0; - LEVEL 1 FOR 25.0; - LEVEL 0 FOR 25.0; - LEVEL 1 FOR 25.0; - LEVEL 0 FOR 25.0; - LEVEL 1 FOR 25.0; - LEVEL 0 FOR 25.0; - LEVEL 1 FOR 25.0; - LEVEL 0 FOR 25.0; - LEVEL 1 FOR 25.0; - LEVEL 0 FOR 25.0; - LEVEL 1 FOR 25.0; - LEVEL 0 FOR 25.0; - LEVEL 1 FOR 25.0; - LEVEL 0 FOR 25.0; - LEVEL 1 FOR 25.0; - LEVEL 0 FOR 25.0; - LEVEL 1 FOR 25.0; - LEVEL 0 FOR 25.0; - LEVEL 1 FOR 25.0; - LEVEL 0 FOR 25.0; - LEVEL 1 FOR 25.0; - LEVEL 0 FOR 25.0; - LEVEL 1 FOR 25.0; - LEVEL 0 FOR 25.0; - LEVEL 1 FOR 25.0; - LEVEL 0 FOR 25.0; - LEVEL 1 FOR 25.0; - } - } -} - -DISPLAY_LINE -{ - CHANNEL = "E0L"; - EXPAND_STATUS = COLLAPSED; - RADIX = Binary; - TREE_INDEX = 0; - TREE_LEVEL = 0; -} - -DISPLAY_LINE -{ - CHANNEL = "E1"; - EXPAND_STATUS = COLLAPSED; - RADIX = Binary; - TREE_INDEX = 1; - TREE_LEVEL = 0; -} - -DISPLAY_LINE -{ - CHANNEL = "X1"; - EXPAND_STATUS = COLLAPSED; - RADIX = Binary; - TREE_INDEX = 2; - TREE_LEVEL = 0; -} - -DISPLAY_LINE -{ - CHANNEL = "X0"; - EXPAND_STATUS = COLLAPSED; - RADIX = Binary; - TREE_INDEX = 3; - TREE_LEVEL = 0; -} - -DISPLAY_LINE -{ - CHANNEL = "Y0"; - EXPAND_STATUS = COLLAPSED; - RADIX = Binary; - TREE_INDEX = 4; - TREE_LEVEL = 0; -} - -DISPLAY_LINE -{ - CHANNEL = "Y1"; - EXPAND_STATUS = COLLAPSED; - RADIX = Binary; - TREE_INDEX = 5; - TREE_LEVEL = 0; -} - -DISPLAY_LINE -{ - CHANNEL = "Y2"; - EXPAND_STATUS = COLLAPSED; - RADIX = Binary; - TREE_INDEX = 6; - TREE_LEVEL = 0; -} - -DISPLAY_LINE -{ - CHANNEL = "Y3"; - EXPAND_STATUS = COLLAPSED; - RADIX = Binary; - TREE_INDEX = 7; - TREE_LEVEL = 0; -} - -TIME_BAR -{ - TIME = 0; - MASTER = TRUE; -} -; diff --git a/1ano/isd/quartus-projects/DecoderDemo/simulation/qsim/DecoderDemo_20221114162829.sim.vwf b/1ano/isd/quartus-projects/DecoderDemo/simulation/qsim/DecoderDemo_20221114162829.sim.vwf deleted file mode 100644 index e0a6706..0000000 --- a/1ano/isd/quartus-projects/DecoderDemo/simulation/qsim/DecoderDemo_20221114162829.sim.vwf +++ /dev/null @@ -1,787 +0,0 @@ -/* -WARNING: Do NOT edit the input and output ports in this file in a text -editor if you plan to continue editing the block that represents it in -the Block Editor! File corruption is VERY likely to occur. -*/ - -/* -Copyright (C) 2020 Intel Corporation. All rights reserved. -Your use of Intel Corporation's design tools, logic functions -and other software and tools, and any partner logic -functions, and any output files from any of the foregoing -(including device programming or simulation files), and any -associated documentation or information are expressly subject -to the terms and conditions of the Intel Program License -Subscription Agreement, the Intel Quartus Prime License Agreement, -the Intel FPGA IP License Agreement, or other applicable license -agreement, including, without limitation, that your use is for -the sole purpose of programming logic devices manufactured by -Intel and sold by Intel or its authorized distributors. Please -refer to the applicable agreement for further details, at -https://fpgasoftware.intel.com/eula. -*/ - -HEADER -{ - VERSION = 1; - TIME_UNIT = ns; - DATA_OFFSET = 0.0; - DATA_DURATION = 1000.0; - SIMULATION_TIME = 0.0; - GRID_PHASE = 0.0; - GRID_PERIOD = 10.0; - GRID_DUTY_CYCLE = 50; -} - -SIGNAL("E0L") -{ - VALUE_TYPE = NINE_LEVEL_BIT; - SIGNAL_TYPE = SINGLE_BIT; - WIDTH = 1; - LSB_INDEX = -1; - DIRECTION = INPUT; - PARENT = ""; -} - -SIGNAL("E1") -{ - VALUE_TYPE = NINE_LEVEL_BIT; - SIGNAL_TYPE = SINGLE_BIT; - WIDTH = 1; - LSB_INDEX = -1; - DIRECTION = INPUT; - PARENT = ""; -} - -SIGNAL("X0") -{ - VALUE_TYPE = NINE_LEVEL_BIT; - SIGNAL_TYPE = SINGLE_BIT; - WIDTH = 1; - LSB_INDEX = -1; - DIRECTION = INPUT; - PARENT = ""; -} - -SIGNAL("X1") -{ - VALUE_TYPE = NINE_LEVEL_BIT; - SIGNAL_TYPE = SINGLE_BIT; - WIDTH = 1; - LSB_INDEX = -1; - DIRECTION = INPUT; - PARENT = ""; -} - -SIGNAL("Y0") -{ - VALUE_TYPE = NINE_LEVEL_BIT; - SIGNAL_TYPE = SINGLE_BIT; - WIDTH = 1; - LSB_INDEX = -1; - DIRECTION = OUTPUT; - PARENT = ""; -} - -SIGNAL("Y1") -{ - VALUE_TYPE = NINE_LEVEL_BIT; - SIGNAL_TYPE = SINGLE_BIT; - WIDTH = 1; - LSB_INDEX = -1; - DIRECTION = OUTPUT; - PARENT = ""; -} - -SIGNAL("Y2") -{ - VALUE_TYPE = NINE_LEVEL_BIT; - SIGNAL_TYPE = SINGLE_BIT; - WIDTH = 1; - LSB_INDEX = -1; - DIRECTION = OUTPUT; - PARENT = ""; -} - -SIGNAL("Y3") -{ - VALUE_TYPE = NINE_LEVEL_BIT; - SIGNAL_TYPE = SINGLE_BIT; - WIDTH = 1; - LSB_INDEX = -1; - DIRECTION = OUTPUT; - PARENT = ""; -} - -TRANSITION_LIST("E0L") -{ - NODE - { - REPEAT = 1; - NODE - { - REPEAT = 1; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 65.0; - LEVEL 1 FOR 10.0; - LEVEL 0 FOR 10.0; - LEVEL 1 FOR 20.0; - LEVEL 0 FOR 5.0; - LEVEL 1 FOR 10.0; - LEVEL 0 FOR 5.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 5.0; - LEVEL 1 FOR 10.0; - LEVEL 0 FOR 15.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 10.0; - LEVEL 1 FOR 30.0; - LEVEL 0 FOR 5.0; - LEVEL 1 FOR 10.0; - LEVEL 0 FOR 5.0; - LEVEL 1 FOR 10.0; - LEVEL 0 FOR 5.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 10.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 5.0; - LEVEL 1 FOR 10.0; - LEVEL 0 FOR 10.0; - LEVEL 1 FOR 15.0; - LEVEL 0 FOR 20.0; - LEVEL 1 FOR 10.0; - LEVEL 0 FOR 5.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 15.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 5.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 5.0; - LEVEL 1 FOR 10.0; - LEVEL 0 FOR 5.0; - LEVEL 1 FOR 15.0; - LEVEL 0 FOR 5.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 20.0; - LEVEL 1 FOR 10.0; - LEVEL 0 FOR 10.0; - LEVEL 1 FOR 15.0; - LEVEL 0 FOR 30.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 10.0; - LEVEL 1 FOR 10.0; - LEVEL 0 FOR 5.0; - LEVEL 1 FOR 10.0; - LEVEL 0 FOR 5.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 35.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 10.0; - LEVEL 1 FOR 20.0; - LEVEL 0 FOR 10.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 5.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 30.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 5.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 5.0; - LEVEL 1 FOR 10.0; - LEVEL 0 FOR 10.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 5.0; - LEVEL 1 FOR 10.0; - LEVEL 0 FOR 5.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 20.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 10.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 10.0; - LEVEL 1 FOR 10.0; - LEVEL 0 FOR 10.0; - LEVEL 1 FOR 10.0; - LEVEL 0 FOR 10.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 5.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 5.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 15.0; - LEVEL 1 FOR 10.0; - LEVEL 0 FOR 10.0; - LEVEL 1 FOR 10.0; - LEVEL 0 FOR 5.0; - LEVEL 1 FOR 10.0; - LEVEL 0 FOR 5.0; - LEVEL 1 FOR 10.0; - LEVEL 0 FOR 45.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 5.0; - LEVEL 1 FOR 5.0; - } - } -} - -TRANSITION_LIST("E1") -{ - NODE - { - REPEAT = 1; - NODE - { - REPEAT = 1; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 10.0; - LEVEL 1 FOR 10.0; - LEVEL 0 FOR 10.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 5.0; - LEVEL 1 FOR 15.0; - LEVEL 0 FOR 10.0; - LEVEL 1 FOR 20.0; - LEVEL 0 FOR 5.0; - LEVEL 1 FOR 10.0; - LEVEL 0 FOR 10.0; - LEVEL 1 FOR 10.0; - LEVEL 0 FOR 15.0; - LEVEL 1 FOR 10.0; - LEVEL 0 FOR 10.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 5.0; - LEVEL 1 FOR 20.0; - LEVEL 0 FOR 10.0; - LEVEL 1 FOR 15.0; - LEVEL 0 FOR 5.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 10.0; - LEVEL 1 FOR 15.0; - LEVEL 0 FOR 15.0; - LEVEL 1 FOR 30.0; - LEVEL 0 FOR 5.0; - LEVEL 1 FOR 10.0; - LEVEL 0 FOR 10.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 5.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 5.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 5.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 5.0; - LEVEL 1 FOR 10.0; - LEVEL 0 FOR 5.0; - LEVEL 1 FOR 10.0; - LEVEL 0 FOR 15.0; - LEVEL 1 FOR 10.0; - LEVEL 0 FOR 5.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 5.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 10.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 30.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 25.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 5.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 15.0; - LEVEL 1 FOR 10.0; - LEVEL 0 FOR 10.0; - LEVEL 1 FOR 50.0; - LEVEL 0 FOR 15.0; - LEVEL 1 FOR 10.0; - LEVEL 0 FOR 20.0; - LEVEL 1 FOR 10.0; - LEVEL 0 FOR 15.0; - LEVEL 1 FOR 10.0; - LEVEL 0 FOR 15.0; - LEVEL 1 FOR 10.0; - LEVEL 0 FOR 5.0; - LEVEL 1 FOR 15.0; - LEVEL 0 FOR 5.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 5.0; - LEVEL 1 FOR 20.0; - LEVEL 0 FOR 25.0; - LEVEL 1 FOR 10.0; - LEVEL 0 FOR 5.0; - LEVEL 1 FOR 10.0; - LEVEL 0 FOR 10.0; - LEVEL 1 FOR 25.0; - LEVEL 0 FOR 15.0; - LEVEL 1 FOR 10.0; - LEVEL 0 FOR 25.0; - LEVEL 1 FOR 10.0; - LEVEL 0 FOR 5.0; - LEVEL 1 FOR 15.0; - LEVEL 0 FOR 5.0; - LEVEL 1 FOR 15.0; - LEVEL 0 FOR 5.0; - LEVEL 1 FOR 20.0; - LEVEL 0 FOR 15.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 5.0; - } - } -} - -TRANSITION_LIST("X0") -{ - NODE - { - REPEAT = 1; - NODE - { - REPEAT = 1; - LEVEL 0 FOR 5.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 5.0; - LEVEL 1 FOR 10.0; - LEVEL 0 FOR 5.0; - LEVEL 1 FOR 10.0; - LEVEL 0 FOR 5.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 5.0; - LEVEL 1 FOR 15.0; - LEVEL 0 FOR 5.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 15.0; - LEVEL 1 FOR 20.0; - LEVEL 0 FOR 10.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 35.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 40.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 15.0; - LEVEL 1 FOR 15.0; - LEVEL 0 FOR 5.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 10.0; - LEVEL 1 FOR 15.0; - LEVEL 0 FOR 10.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 10.0; - LEVEL 1 FOR 10.0; - LEVEL 0 FOR 5.0; - LEVEL 1 FOR 15.0; - LEVEL 0 FOR 5.0; - LEVEL 1 FOR 15.0; - LEVEL 0 FOR 10.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 20.0; - LEVEL 1 FOR 15.0; - LEVEL 0 FOR 5.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 5.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 35.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 20.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 5.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 5.0; - LEVEL 1 FOR 10.0; - LEVEL 0 FOR 10.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 5.0; - LEVEL 1 FOR 10.0; - LEVEL 0 FOR 20.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 15.0; - LEVEL 1 FOR 15.0; - LEVEL 0 FOR 10.0; - LEVEL 1 FOR 20.0; - LEVEL 0 FOR 5.0; - LEVEL 1 FOR 15.0; - LEVEL 0 FOR 5.0; - LEVEL 1 FOR 10.0; - LEVEL 0 FOR 5.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 5.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 10.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 10.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 10.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 5.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 15.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 5.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 5.0; - LEVEL 1 FOR 10.0; - LEVEL 0 FOR 5.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 5.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 5.0; - LEVEL 1 FOR 40.0; - LEVEL 0 FOR 5.0; - LEVEL 1 FOR 35.0; - LEVEL 0 FOR 10.0; - LEVEL 1 FOR 30.0; - LEVEL 0 FOR 5.0; - LEVEL 1 FOR 10.0; - LEVEL 0 FOR 10.0; - LEVEL 1 FOR 10.0; - LEVEL 0 FOR 5.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 10.0; - LEVEL 1 FOR 10.0; - LEVEL 0 FOR 5.0; - } - } -} - -TRANSITION_LIST("X1") -{ - NODE - { - REPEAT = 1; - NODE - { - REPEAT = 1; - LEVEL 0 FOR 10.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 5.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 10.0; - LEVEL 1 FOR 15.0; - LEVEL 0 FOR 25.0; - LEVEL 1 FOR 10.0; - LEVEL 0 FOR 10.0; - LEVEL 1 FOR 10.0; - LEVEL 0 FOR 5.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 15.0; - LEVEL 1 FOR 10.0; - LEVEL 0 FOR 20.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 20.0; - LEVEL 1 FOR 20.0; - LEVEL 0 FOR 5.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 20.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 5.0; - LEVEL 1 FOR 10.0; - LEVEL 0 FOR 20.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 10.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 5.0; - LEVEL 1 FOR 20.0; - LEVEL 0 FOR 5.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 5.0; - LEVEL 1 FOR 10.0; - LEVEL 0 FOR 5.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 20.0; - LEVEL 1 FOR 15.0; - LEVEL 0 FOR 5.0; - LEVEL 1 FOR 10.0; - LEVEL 0 FOR 20.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 10.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 10.0; - LEVEL 1 FOR 10.0; - LEVEL 0 FOR 25.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 5.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 10.0; - LEVEL 1 FOR 25.0; - LEVEL 0 FOR 5.0; - LEVEL 1 FOR 15.0; - LEVEL 0 FOR 5.0; - LEVEL 1 FOR 15.0; - LEVEL 0 FOR 10.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 20.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 5.0; - LEVEL 1 FOR 10.0; - LEVEL 0 FOR 15.0; - LEVEL 1 FOR 20.0; - LEVEL 0 FOR 10.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 25.0; - LEVEL 1 FOR 10.0; - LEVEL 0 FOR 5.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 5.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 10.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 15.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 5.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 5.0; - LEVEL 1 FOR 25.0; - LEVEL 0 FOR 15.0; - LEVEL 1 FOR 15.0; - LEVEL 0 FOR 5.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 10.0; - LEVEL 1 FOR 10.0; - LEVEL 0 FOR 10.0; - LEVEL 1 FOR 25.0; - LEVEL 0 FOR 5.0; - LEVEL 1 FOR 20.0; - LEVEL 0 FOR 10.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 5.0; - LEVEL 1 FOR 20.0; - LEVEL 0 FOR 5.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 5.0; - LEVEL 1 FOR 10.0; - } - } -} - -TRANSITION_LIST("Y0") -{ - NODE - { - REPEAT = 1; - NODE - { - REPEAT = 1; - LEVEL 0 FOR 80.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 75.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 210.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 45.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 85.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 35.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 10.0; - LEVEL 1 FOR 10.0; - LEVEL 0 FOR 70.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 60.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 30.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 40.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 145.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 15.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 30.0; - } - } -} - -TRANSITION_LIST("Y1") -{ - NODE - { - REPEAT = 1; - NODE - { - REPEAT = 1; - LEVEL 0 FOR 20.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 10.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 5.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 160.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 90.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 40.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 210.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 55.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 25.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 65.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 60.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 15.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 15.0; - LEVEL 1 FOR 10.0; - LEVEL 0 FOR 25.0; - LEVEL 1 FOR 10.0; - LEVEL 0 FOR 50.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 25.0; - LEVEL 1 FOR 10.0; - LEVEL 0 FOR 5.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 15.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 5.0; - } - } -} - -TRANSITION_LIST("Y2") -{ - NODE - { - REPEAT = 1; - NODE - { - REPEAT = 1; - LEVEL 0 FOR 15.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 35.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 180.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 20.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 50.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 40.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 215.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 5.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 155.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 85.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 90.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 55.0; - } - } -} - -TRANSITION_LIST("Y3") -{ - NODE - { - REPEAT = 1; - NODE - { - REPEAT = 1; - LEVEL 0 FOR 50.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 30.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 80.0; - LEVEL 1 FOR 10.0; - LEVEL 0 FOR 100.0; - LEVEL 1 FOR 10.0; - LEVEL 0 FOR 185.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 80.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 15.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 15.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 70.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 80.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 235.0; - } - } -} - -DISPLAY_LINE -{ - CHANNEL = "E0L"; - EXPAND_STATUS = COLLAPSED; - RADIX = Binary; - TREE_INDEX = 0; - TREE_LEVEL = 0; -} - -DISPLAY_LINE -{ - CHANNEL = "E1"; - EXPAND_STATUS = COLLAPSED; - RADIX = Binary; - TREE_INDEX = 1; - TREE_LEVEL = 0; -} - -DISPLAY_LINE -{ - CHANNEL = "X0"; - EXPAND_STATUS = COLLAPSED; - RADIX = Binary; - TREE_INDEX = 2; - TREE_LEVEL = 0; -} - -DISPLAY_LINE -{ - CHANNEL = "X1"; - EXPAND_STATUS = COLLAPSED; - RADIX = Binary; - TREE_INDEX = 3; - TREE_LEVEL = 0; -} - -DISPLAY_LINE -{ - CHANNEL = "Y0"; - EXPAND_STATUS = COLLAPSED; - RADIX = Binary; - TREE_INDEX = 4; - TREE_LEVEL = 0; -} - -DISPLAY_LINE -{ - CHANNEL = "Y1"; - EXPAND_STATUS = COLLAPSED; - RADIX = Binary; - TREE_INDEX = 5; - TREE_LEVEL = 0; -} - -DISPLAY_LINE -{ - CHANNEL = "Y2"; - EXPAND_STATUS = COLLAPSED; - RADIX = Binary; - TREE_INDEX = 6; - TREE_LEVEL = 0; -} - -DISPLAY_LINE -{ - CHANNEL = "Y3"; - EXPAND_STATUS = COLLAPSED; - RADIX = Binary; - TREE_INDEX = 7; - TREE_LEVEL = 0; -} - -TIME_BAR -{ - TIME = 0; - MASTER = TRUE; -} -; diff --git a/1ano/isd/quartus-projects/DecoderDemo/simulation/qsim/DecoderDemo_20221114214232.sim.vwf b/1ano/isd/quartus-projects/DecoderDemo/simulation/qsim/DecoderDemo_20221114214232.sim.vwf deleted file mode 100644 index 05e9dd7..0000000 --- a/1ano/isd/quartus-projects/DecoderDemo/simulation/qsim/DecoderDemo_20221114214232.sim.vwf +++ /dev/null @@ -1,483 +0,0 @@ -/* -WARNING: Do NOT edit the input and output ports in this file in a text -editor if you plan to continue editing the block that represents it in -the Block Editor! File corruption is VERY likely to occur. -*/ - -/* -Copyright (C) 2020 Intel Corporation. All rights reserved. -Your use of Intel Corporation's design tools, logic functions -and other software and tools, and any partner logic -functions, and any output files from any of the foregoing -(including device programming or simulation files), and any -associated documentation or information are expressly subject -to the terms and conditions of the Intel Program License -Subscription Agreement, the Intel Quartus Prime License Agreement, -the Intel FPGA IP License Agreement, or other applicable license -agreement, including, without limitation, that your use is for -the sole purpose of programming logic devices manufactured by -Intel and sold by Intel or its authorized distributors. Please -refer to the applicable agreement for further details, at -https://fpgasoftware.intel.com/eula. -*/ - -HEADER -{ - VERSION = 1; - TIME_UNIT = ns; - DATA_OFFSET = 0.0; - DATA_DURATION = 1000.0; - SIMULATION_TIME = 0.0; - GRID_PHASE = 0.0; - GRID_PERIOD = 10.0; - GRID_DUTY_CYCLE = 50; -} - -SIGNAL("E0L") -{ - VALUE_TYPE = NINE_LEVEL_BIT; - SIGNAL_TYPE = SINGLE_BIT; - WIDTH = 1; - LSB_INDEX = -1; - DIRECTION = INPUT; - PARENT = ""; -} - -SIGNAL("E1") -{ - VALUE_TYPE = NINE_LEVEL_BIT; - SIGNAL_TYPE = SINGLE_BIT; - WIDTH = 1; - LSB_INDEX = -1; - DIRECTION = INPUT; - PARENT = ""; -} - -SIGNAL("X0") -{ - VALUE_TYPE = NINE_LEVEL_BIT; - SIGNAL_TYPE = SINGLE_BIT; - WIDTH = 1; - LSB_INDEX = -1; - DIRECTION = INPUT; - PARENT = ""; -} - -SIGNAL("X1") -{ - VALUE_TYPE = NINE_LEVEL_BIT; - SIGNAL_TYPE = SINGLE_BIT; - WIDTH = 1; - LSB_INDEX = -1; - DIRECTION = INPUT; - PARENT = ""; -} - -SIGNAL("Y0") -{ - VALUE_TYPE = NINE_LEVEL_BIT; - SIGNAL_TYPE = SINGLE_BIT; - WIDTH = 1; - LSB_INDEX = -1; - DIRECTION = OUTPUT; - PARENT = ""; -} - -SIGNAL("Y1") -{ - VALUE_TYPE = NINE_LEVEL_BIT; - SIGNAL_TYPE = SINGLE_BIT; - WIDTH = 1; - LSB_INDEX = -1; - DIRECTION = OUTPUT; - PARENT = ""; -} - -SIGNAL("Y2") -{ - VALUE_TYPE = NINE_LEVEL_BIT; - SIGNAL_TYPE = SINGLE_BIT; - WIDTH = 1; - LSB_INDEX = -1; - DIRECTION = OUTPUT; - PARENT = ""; -} - -SIGNAL("Y3") -{ - VALUE_TYPE = NINE_LEVEL_BIT; - SIGNAL_TYPE = SINGLE_BIT; - WIDTH = 1; - LSB_INDEX = -1; - DIRECTION = OUTPUT; - PARENT = ""; -} - -TRANSITION_LIST("E0L") -{ - NODE - { - REPEAT = 1; - NODE - { - REPEAT = 1; - LEVEL 0 FOR 12.5; - LEVEL 1 FOR 12.5; - LEVEL 0 FOR 12.5; - LEVEL 1 FOR 12.5; - LEVEL 0 FOR 12.5; - LEVEL 1 FOR 12.5; - LEVEL 0 FOR 12.5; - LEVEL 1 FOR 12.5; - LEVEL 0 FOR 12.5; - LEVEL 1 FOR 12.5; - LEVEL 0 FOR 12.5; - LEVEL 1 FOR 12.5; - LEVEL 0 FOR 12.5; - LEVEL 1 FOR 12.5; - LEVEL 0 FOR 12.5; - LEVEL 1 FOR 12.5; - LEVEL 0 FOR 12.5; - LEVEL 1 FOR 12.5; - LEVEL 0 FOR 12.5; - LEVEL 1 FOR 12.5; - LEVEL 0 FOR 12.5; - LEVEL 1 FOR 12.5; - LEVEL 0 FOR 12.5; - LEVEL 1 FOR 12.5; - LEVEL 0 FOR 12.5; - LEVEL 1 FOR 12.5; - LEVEL 0 FOR 12.5; - LEVEL 1 FOR 12.5; - LEVEL 0 FOR 12.5; - LEVEL 1 FOR 12.5; - LEVEL 0 FOR 12.5; - LEVEL 1 FOR 12.5; - LEVEL 0 FOR 12.5; - LEVEL 1 FOR 12.5; - LEVEL 0 FOR 12.5; - LEVEL 1 FOR 12.5; - LEVEL 0 FOR 12.5; - LEVEL 1 FOR 12.5; - LEVEL 0 FOR 12.5; - LEVEL 1 FOR 12.5; - LEVEL 0 FOR 12.5; - LEVEL 1 FOR 12.5; - LEVEL 0 FOR 12.5; - LEVEL 1 FOR 12.5; - LEVEL 0 FOR 12.5; - LEVEL 1 FOR 12.5; - LEVEL 0 FOR 12.5; - LEVEL 1 FOR 12.5; - LEVEL 0 FOR 12.5; - LEVEL 1 FOR 12.5; - LEVEL 0 FOR 12.5; - LEVEL 1 FOR 12.5; - LEVEL 0 FOR 12.5; - LEVEL 1 FOR 12.5; - LEVEL 0 FOR 12.5; - LEVEL 1 FOR 12.5; - LEVEL 0 FOR 12.5; - LEVEL 1 FOR 12.5; - LEVEL 0 FOR 12.5; - LEVEL 1 FOR 12.5; - LEVEL 0 FOR 12.5; - LEVEL 1 FOR 12.5; - LEVEL 0 FOR 12.5; - LEVEL 1 FOR 12.5; - LEVEL 0 FOR 12.5; - LEVEL 1 FOR 12.5; - LEVEL 0 FOR 12.5; - LEVEL 1 FOR 12.5; - LEVEL 0 FOR 12.5; - LEVEL 1 FOR 12.5; - LEVEL 0 FOR 12.5; - LEVEL 1 FOR 12.5; - LEVEL 0 FOR 12.5; - LEVEL 1 FOR 12.5; - LEVEL 0 FOR 12.5; - LEVEL 1 FOR 12.5; - LEVEL 0 FOR 12.5; - LEVEL 1 FOR 12.5; - LEVEL 0 FOR 12.5; - LEVEL 1 FOR 12.5; - } - } -} - -TRANSITION_LIST("E1") -{ - NODE - { - REPEAT = 1; - NODE - { - REPEAT = 1; - LEVEL 0 FOR 25.0; - LEVEL 1 FOR 25.0; - LEVEL 0 FOR 25.0; - LEVEL 1 FOR 25.0; - LEVEL 0 FOR 25.0; - LEVEL 1 FOR 25.0; - LEVEL 0 FOR 25.0; - LEVEL 1 FOR 25.0; - LEVEL 0 FOR 25.0; - LEVEL 1 FOR 25.0; - LEVEL 0 FOR 25.0; - LEVEL 1 FOR 25.0; - LEVEL 0 FOR 25.0; - LEVEL 1 FOR 25.0; - LEVEL 0 FOR 25.0; - LEVEL 1 FOR 25.0; - LEVEL 0 FOR 25.0; - LEVEL 1 FOR 25.0; - LEVEL 0 FOR 25.0; - LEVEL 1 FOR 25.0; - LEVEL 0 FOR 25.0; - LEVEL 1 FOR 25.0; - LEVEL 0 FOR 25.0; - LEVEL 1 FOR 25.0; - LEVEL 0 FOR 25.0; - LEVEL 1 FOR 25.0; - LEVEL 0 FOR 25.0; - LEVEL 1 FOR 25.0; - LEVEL 0 FOR 25.0; - LEVEL 1 FOR 25.0; - LEVEL 0 FOR 25.0; - LEVEL 1 FOR 25.0; - LEVEL 0 FOR 25.0; - LEVEL 1 FOR 25.0; - LEVEL 0 FOR 25.0; - LEVEL 1 FOR 25.0; - LEVEL 0 FOR 25.0; - LEVEL 1 FOR 25.0; - LEVEL 0 FOR 25.0; - LEVEL 1 FOR 25.0; - } - } -} - -TRANSITION_LIST("X0") -{ - NODE - { - REPEAT = 1; - NODE - { - REPEAT = 1; - LEVEL 0 FOR 50.0; - LEVEL 1 FOR 50.0; - LEVEL 0 FOR 50.0; - LEVEL 1 FOR 50.0; - LEVEL 0 FOR 50.0; - LEVEL 1 FOR 50.0; - LEVEL 0 FOR 50.0; - LEVEL 1 FOR 50.0; - LEVEL 0 FOR 50.0; - LEVEL 1 FOR 50.0; - LEVEL 0 FOR 50.0; - LEVEL 1 FOR 50.0; - LEVEL 0 FOR 50.0; - LEVEL 1 FOR 50.0; - LEVEL 0 FOR 50.0; - LEVEL 1 FOR 50.0; - LEVEL 0 FOR 50.0; - LEVEL 1 FOR 50.0; - LEVEL 0 FOR 50.0; - LEVEL 1 FOR 50.0; - } - } -} - -TRANSITION_LIST("X1") -{ - NODE - { - REPEAT = 1; - NODE - { - REPEAT = 1; - LEVEL 0 FOR 100.0; - LEVEL 1 FOR 100.0; - LEVEL 0 FOR 100.0; - LEVEL 1 FOR 100.0; - LEVEL 0 FOR 100.0; - LEVEL 1 FOR 100.0; - LEVEL 0 FOR 100.0; - LEVEL 1 FOR 100.0; - LEVEL 0 FOR 100.0; - LEVEL 1 FOR 100.0; - } - } -} - -TRANSITION_LIST("Y0") -{ - NODE - { - REPEAT = 1; - NODE - { - REPEAT = 1; - LEVEL 0 FOR 125.0; - LEVEL 1 FOR 12.5; - LEVEL 0 FOR 187.5; - LEVEL 1 FOR 12.5; - LEVEL 0 FOR 187.5; - LEVEL 1 FOR 12.5; - LEVEL 0 FOR 187.5; - LEVEL 1 FOR 12.5; - LEVEL 0 FOR 187.5; - LEVEL 1 FOR 12.5; - LEVEL 0 FOR 62.5; - } - } -} - -TRANSITION_LIST("Y1") -{ - NODE - { - REPEAT = 1; - NODE - { - REPEAT = 1; - LEVEL 0 FOR 175.0; - LEVEL 1 FOR 12.5; - LEVEL 0 FOR 187.5; - LEVEL 1 FOR 12.5; - LEVEL 0 FOR 187.5; - LEVEL 1 FOR 12.5; - LEVEL 0 FOR 187.5; - LEVEL 1 FOR 12.5; - LEVEL 0 FOR 187.5; - LEVEL 1 FOR 12.5; - LEVEL 0 FOR 12.5; - } - } -} - -TRANSITION_LIST("Y2") -{ - NODE - { - REPEAT = 1; - NODE - { - REPEAT = 1; - LEVEL 0 FOR 75.0; - LEVEL 1 FOR 12.5; - LEVEL 0 FOR 187.5; - LEVEL 1 FOR 12.5; - LEVEL 0 FOR 187.5; - LEVEL 1 FOR 12.5; - LEVEL 0 FOR 187.5; - LEVEL 1 FOR 12.5; - LEVEL 0 FOR 187.5; - LEVEL 1 FOR 12.5; - LEVEL 0 FOR 112.5; - } - } -} - -TRANSITION_LIST("Y3") -{ - NODE - { - REPEAT = 1; - NODE - { - REPEAT = 1; - LEVEL 0 FOR 25.0; - LEVEL 1 FOR 12.5; - LEVEL 0 FOR 187.5; - LEVEL 1 FOR 12.5; - LEVEL 0 FOR 187.5; - LEVEL 1 FOR 12.5; - LEVEL 0 FOR 187.5; - LEVEL 1 FOR 12.5; - LEVEL 0 FOR 187.5; - LEVEL 1 FOR 12.5; - LEVEL 0 FOR 162.5; - } - } -} - -DISPLAY_LINE -{ - CHANNEL = "E0L"; - EXPAND_STATUS = COLLAPSED; - RADIX = Binary; - TREE_INDEX = 0; - TREE_LEVEL = 0; -} - -DISPLAY_LINE -{ - CHANNEL = "E1"; - EXPAND_STATUS = COLLAPSED; - RADIX = Binary; - TREE_INDEX = 1; - TREE_LEVEL = 0; -} - -DISPLAY_LINE -{ - CHANNEL = "X0"; - EXPAND_STATUS = COLLAPSED; - RADIX = Binary; - TREE_INDEX = 2; - TREE_LEVEL = 0; -} - -DISPLAY_LINE -{ - CHANNEL = "X1"; - EXPAND_STATUS = COLLAPSED; - RADIX = Binary; - TREE_INDEX = 3; - TREE_LEVEL = 0; -} - -DISPLAY_LINE -{ - CHANNEL = "Y0"; - EXPAND_STATUS = COLLAPSED; - RADIX = Binary; - TREE_INDEX = 4; - TREE_LEVEL = 0; -} - -DISPLAY_LINE -{ - CHANNEL = "Y1"; - EXPAND_STATUS = COLLAPSED; - RADIX = Binary; - TREE_INDEX = 5; - TREE_LEVEL = 0; -} - -DISPLAY_LINE -{ - CHANNEL = "Y2"; - EXPAND_STATUS = COLLAPSED; - RADIX = Binary; - TREE_INDEX = 6; - TREE_LEVEL = 0; -} - -DISPLAY_LINE -{ - CHANNEL = "Y3"; - EXPAND_STATUS = COLLAPSED; - RADIX = Binary; - TREE_INDEX = 7; - TREE_LEVEL = 0; -} - -TIME_BAR -{ - TIME = 0; - MASTER = TRUE; -} -; diff --git a/1ano/isd/quartus-projects/DecoderDemo/simulation/qsim/DecoderDemo_6_1200mv_0c_slow.vho b/1ano/isd/quartus-projects/DecoderDemo/simulation/qsim/DecoderDemo_6_1200mv_0c_slow.vho deleted file mode 100644 index 2f842ec..0000000 --- a/1ano/isd/quartus-projects/DecoderDemo/simulation/qsim/DecoderDemo_6_1200mv_0c_slow.vho +++ /dev/null @@ -1,328 +0,0 @@ --- Copyright (C) 2020 Intel Corporation. All rights reserved. --- Your use of Intel Corporation's design tools, logic functions --- and other software and tools, and any partner logic --- functions, and any output files from any of the foregoing --- (including device programming or simulation files), and any --- associated documentation or information are expressly subject --- to the terms and conditions of the Intel Program License --- Subscription Agreement, the Intel Quartus Prime License Agreement, --- the Intel FPGA IP License Agreement, or other applicable license --- agreement, including, without limitation, that your use is for --- the sole purpose of programming logic devices manufactured by --- Intel and sold by Intel or its authorized distributors. Please --- refer to the applicable agreement for further details, at --- https://fpgasoftware.intel.com/eula. - --- VENDOR "Altera" --- PROGRAM "Quartus Prime" --- VERSION "Version 20.1.1 Build 720 11/11/2020 SJ Lite Edition" - --- DATE "11/04/2022 15:08:53" - --- --- Device: Altera EP4CE6E22C6 Package TQFP144 --- - --- --- This VHDL file should be used for ModelSim-Altera (VHDL) only --- - -LIBRARY CYCLONEIVE; -LIBRARY IEEE; -USE CYCLONEIVE.CYCLONEIVE_COMPONENTS.ALL; -USE IEEE.STD_LOGIC_1164.ALL; - -ENTITY hard_block IS - PORT ( - devoe : IN std_logic; - devclrn : IN std_logic; - devpor : IN std_logic - ); -END hard_block; - --- Design Ports Information --- ~ALTERA_ASDO_DATA1~ => Location: PIN_6, I/O Standard: 2.5 V, Current Strength: Default --- ~ALTERA_FLASH_nCE_nCSO~ => Location: PIN_8, I/O Standard: 2.5 V, Current Strength: Default --- ~ALTERA_DCLK~ => Location: PIN_12, I/O Standard: 2.5 V, Current Strength: Default --- ~ALTERA_DATA0~ => Location: PIN_13, I/O Standard: 2.5 V, Current Strength: Default --- ~ALTERA_nCEO~ => Location: PIN_101, I/O Standard: 2.5 V, Current Strength: 8mA - - -ARCHITECTURE structure OF hard_block IS -SIGNAL gnd : std_logic := '0'; -SIGNAL vcc : std_logic := '1'; -SIGNAL unknown : std_logic := 'X'; -SIGNAL ww_devoe : std_logic; -SIGNAL ww_devclrn : std_logic; -SIGNAL ww_devpor : std_logic; -SIGNAL \~ALTERA_ASDO_DATA1~~padout\ : std_logic; -SIGNAL \~ALTERA_FLASH_nCE_nCSO~~padout\ : std_logic; -SIGNAL \~ALTERA_DATA0~~padout\ : std_logic; -SIGNAL \~ALTERA_ASDO_DATA1~~ibuf_o\ : std_logic; -SIGNAL \~ALTERA_FLASH_nCE_nCSO~~ibuf_o\ : std_logic; -SIGNAL \~ALTERA_DATA0~~ibuf_o\ : std_logic; - -BEGIN - -ww_devoe <= devoe; -ww_devclrn <= devclrn; -ww_devpor <= devpor; -END structure; - - -LIBRARY CYCLONEIVE; -LIBRARY IEEE; -USE CYCLONEIVE.CYCLONEIVE_COMPONENTS.ALL; -USE IEEE.STD_LOGIC_1164.ALL; - -ENTITY Dec2_4 IS - PORT ( - Y3 : OUT std_logic; - E0L : IN std_logic; - E1 : IN std_logic; - X1 : IN std_logic; - X0 : IN std_logic; - Y2 : OUT std_logic; - Y1 : OUT std_logic; - Y0 : OUT std_logic - ); -END Dec2_4; - --- Design Ports Information --- Y3 => Location: PIN_33, I/O Standard: 2.5 V, Current Strength: Default --- Y2 => Location: PIN_32, I/O Standard: 2.5 V, Current Strength: Default --- Y1 => Location: PIN_28, I/O Standard: 2.5 V, Current Strength: Default --- Y0 => Location: PIN_34, I/O Standard: 2.5 V, Current Strength: Default --- E1 => Location: PIN_24, I/O Standard: 2.5 V, Current Strength: Default --- X0 => Location: PIN_25, I/O Standard: 2.5 V, Current Strength: Default --- X1 => Location: PIN_31, I/O Standard: 2.5 V, Current Strength: Default --- E0L => Location: PIN_30, I/O Standard: 2.5 V, Current Strength: Default - - -ARCHITECTURE structure OF Dec2_4 IS -SIGNAL gnd : std_logic := '0'; -SIGNAL vcc : std_logic := '1'; -SIGNAL unknown : std_logic := 'X'; -SIGNAL devoe : std_logic := '1'; -SIGNAL devclrn : std_logic := '1'; -SIGNAL devpor : std_logic := '1'; -SIGNAL ww_devoe : std_logic; -SIGNAL ww_devclrn : std_logic; -SIGNAL ww_devpor : std_logic; -SIGNAL ww_Y3 : std_logic; -SIGNAL ww_E0L : std_logic; -SIGNAL ww_E1 : std_logic; -SIGNAL ww_X1 : std_logic; -SIGNAL ww_X0 : std_logic; -SIGNAL ww_Y2 : std_logic; -SIGNAL ww_Y1 : std_logic; -SIGNAL ww_Y0 : std_logic; -SIGNAL \Y3~output_o\ : std_logic; -SIGNAL \Y2~output_o\ : std_logic; -SIGNAL \Y1~output_o\ : std_logic; -SIGNAL \Y0~output_o\ : std_logic; -SIGNAL \E1~input_o\ : std_logic; -SIGNAL \X1~input_o\ : std_logic; -SIGNAL \X0~input_o\ : std_logic; -SIGNAL \E0L~input_o\ : std_logic; -SIGNAL \inst~combout\ : std_logic; -SIGNAL \inst1~combout\ : std_logic; -SIGNAL \inst2~combout\ : std_logic; -SIGNAL \inst3~combout\ : std_logic; - -COMPONENT hard_block - PORT ( - devoe : IN std_logic; - devclrn : IN std_logic; - devpor : IN std_logic); -END COMPONENT; - -BEGIN - -Y3 <= ww_Y3; -ww_E0L <= E0L; -ww_E1 <= E1; -ww_X1 <= X1; -ww_X0 <= X0; -Y2 <= ww_Y2; -Y1 <= ww_Y1; -Y0 <= ww_Y0; -ww_devoe <= devoe; -ww_devclrn <= devclrn; -ww_devpor <= devpor; -auto_generated_inst : hard_block -PORT MAP ( - devoe => ww_devoe, - devclrn => ww_devclrn, - devpor => ww_devpor); - --- Location: IOOBUF_X0_Y6_N23 -\Y3~output\ : cycloneive_io_obuf --- pragma translate_off -GENERIC MAP ( - bus_hold => "false", - open_drain_output => "false") --- pragma translate_on -PORT MAP ( - i => \inst~combout\, - devoe => ww_devoe, - o => \Y3~output_o\); - --- Location: IOOBUF_X0_Y6_N16 -\Y2~output\ : cycloneive_io_obuf --- pragma translate_off -GENERIC MAP ( - bus_hold => "false", - open_drain_output => "false") --- pragma translate_on -PORT MAP ( - i => \inst1~combout\, - devoe => ww_devoe, - o => \Y2~output_o\); - --- Location: IOOBUF_X0_Y9_N9 -\Y1~output\ : cycloneive_io_obuf --- pragma translate_off -GENERIC MAP ( - bus_hold => "false", - open_drain_output => "false") --- pragma translate_on -PORT MAP ( - i => \inst2~combout\, - devoe => ww_devoe, - o => \Y1~output_o\); - --- Location: IOOBUF_X0_Y5_N16 -\Y0~output\ : cycloneive_io_obuf --- pragma translate_off -GENERIC MAP ( - bus_hold => "false", - open_drain_output => "false") --- pragma translate_on -PORT MAP ( - i => \inst3~combout\, - devoe => ww_devoe, - o => \Y0~output_o\); - --- Location: IOIBUF_X0_Y11_N15 -\E1~input\ : cycloneive_io_ibuf --- pragma translate_off -GENERIC MAP ( - bus_hold => "false", - simulate_z_as => "z") --- pragma translate_on -PORT MAP ( - i => ww_E1, - o => \E1~input_o\); - --- Location: IOIBUF_X0_Y7_N1 -\X1~input\ : cycloneive_io_ibuf --- pragma translate_off -GENERIC MAP ( - bus_hold => "false", - simulate_z_as => "z") --- pragma translate_on -PORT MAP ( - i => ww_X1, - o => \X1~input_o\); - --- Location: IOIBUF_X0_Y11_N22 -\X0~input\ : cycloneive_io_ibuf --- pragma translate_off -GENERIC MAP ( - bus_hold => "false", - simulate_z_as => "z") --- pragma translate_on -PORT MAP ( - i => ww_X0, - o => \X0~input_o\); - --- Location: IOIBUF_X0_Y8_N15 -\E0L~input\ : cycloneive_io_ibuf --- pragma translate_off -GENERIC MAP ( - bus_hold => "false", - simulate_z_as => "z") --- pragma translate_on -PORT MAP ( - i => ww_E0L, - o => \E0L~input_o\); - --- Location: LCCOMB_X6_Y9_N8 -inst : cycloneive_lcell_comb --- Equation(s): --- \inst~combout\ = (\E1~input_o\ & (!\X1~input_o\ & (!\X0~input_o\ & !\E0L~input_o\))) - --- pragma translate_off -GENERIC MAP ( - lut_mask => "0000000000000010", - sum_lutc_input => "datac") --- pragma translate_on -PORT MAP ( - dataa => \E1~input_o\, - datab => \X1~input_o\, - datac => \X0~input_o\, - datad => \E0L~input_o\, - combout => \inst~combout\); - --- Location: LCCOMB_X6_Y9_N2 -inst1 : cycloneive_lcell_comb --- Equation(s): --- \inst1~combout\ = (\E1~input_o\ & (!\X1~input_o\ & (\X0~input_o\ & !\E0L~input_o\))) - --- pragma translate_off -GENERIC MAP ( - lut_mask => "0000000000100000", - sum_lutc_input => "datac") --- pragma translate_on -PORT MAP ( - dataa => \E1~input_o\, - datab => \X1~input_o\, - datac => \X0~input_o\, - datad => \E0L~input_o\, - combout => \inst1~combout\); - --- Location: LCCOMB_X6_Y9_N28 -inst2 : cycloneive_lcell_comb --- Equation(s): --- \inst2~combout\ = (\E1~input_o\ & (\X1~input_o\ & (!\X0~input_o\ & !\E0L~input_o\))) - --- pragma translate_off -GENERIC MAP ( - lut_mask => "0000000000001000", - sum_lutc_input => "datac") --- pragma translate_on -PORT MAP ( - dataa => \E1~input_o\, - datab => \X1~input_o\, - datac => \X0~input_o\, - datad => \E0L~input_o\, - combout => \inst2~combout\); - --- Location: LCCOMB_X6_Y9_N30 -inst3 : cycloneive_lcell_comb --- Equation(s): --- \inst3~combout\ = (\E1~input_o\ & (\X1~input_o\ & (\X0~input_o\ & !\E0L~input_o\))) - --- pragma translate_off -GENERIC MAP ( - lut_mask => "0000000010000000", - sum_lutc_input => "datac") --- pragma translate_on -PORT MAP ( - dataa => \E1~input_o\, - datab => \X1~input_o\, - datac => \X0~input_o\, - datad => \E0L~input_o\, - combout => \inst3~combout\); - -ww_Y3 <= \Y3~output_o\; - -ww_Y2 <= \Y2~output_o\; - -ww_Y1 <= \Y1~output_o\; - -ww_Y0 <= \Y0~output_o\; -END structure; - - diff --git a/1ano/isd/quartus-projects/DecoderDemo/simulation/qsim/DecoderDemo_6_1200mv_0c_vhd_slow.sdo b/1ano/isd/quartus-projects/DecoderDemo/simulation/qsim/DecoderDemo_6_1200mv_0c_vhd_slow.sdo deleted file mode 100644 index 9a86ad6..0000000 --- a/1ano/isd/quartus-projects/DecoderDemo/simulation/qsim/DecoderDemo_6_1200mv_0c_vhd_slow.sdo +++ /dev/null @@ -1,180 +0,0 @@ -// Copyright (C) 2020 Intel Corporation. All rights reserved. -// Your use of Intel Corporation's design tools, logic functions -// and other software and tools, and any partner logic -// functions, and any output files from any of the foregoing -// (including device programming or simulation files), and any -// associated documentation or information are expressly subject -// to the terms and conditions of the Intel Program License -// Subscription Agreement, the Intel Quartus Prime License Agreement, -// the Intel FPGA IP License Agreement, or other applicable license -// agreement, including, without limitation, that your use is for -// the sole purpose of programming logic devices manufactured by -// Intel and sold by Intel or its authorized distributors. Please -// refer to the applicable agreement for further details, at -// https://fpgasoftware.intel.com/eula. - - -// -// Device: Altera EP4CE6E22C6 Package TQFP144 -// - -// -// This file contains Slow Corner delays for the design using part EP4CE6E22C6, -// with speed grade 6, core voltage 1.2VmV, and temperature 0 Celsius -// - -// -// This SDF file should be used for ModelSim-Altera (VHDL) only -// - -(DELAYFILE - (SDFVERSION "2.1") - (DESIGN "Dec2_4") - (DATE "11/04/2022 15:08:53") - (VENDOR "Altera") - (PROGRAM "Quartus Prime") - (VERSION "Version 20.1.1 Build 720 11/11/2020 SJ Lite Edition") - (DIVIDER .) - (TIMESCALE 1 ps) - - (CELL - (CELLTYPE "cycloneive_io_obuf") - (INSTANCE \\Y3\~output\\) - (DELAY - (ABSOLUTE - (PORT i (941:941:941) (908:908:908)) - (IOPATH i o (2225:2225:2225) (2220:2220:2220)) - ) - ) - ) - (CELL - (CELLTYPE "cycloneive_io_obuf") - (INSTANCE \\Y2\~output\\) - (DELAY - (ABSOLUTE - (PORT i (927:927:927) (894:894:894)) - (IOPATH i o (2225:2225:2225) (2220:2220:2220)) - ) - ) - ) - (CELL - (CELLTYPE "cycloneive_io_obuf") - (INSTANCE \\Y1\~output\\) - (DELAY - (ABSOLUTE - (PORT i (622:622:622) (573:573:573)) - (IOPATH i o (2330:2330:2330) (2303:2303:2303)) - ) - ) - ) - (CELL - (CELLTYPE "cycloneive_io_obuf") - (INSTANCE \\Y0\~output\\) - (DELAY - (ABSOLUTE - (PORT i (925:925:925) (885:885:885)) - (IOPATH i o (2225:2225:2225) (2220:2220:2220)) - ) - ) - ) - (CELL - (CELLTYPE "cycloneive_io_ibuf") - (INSTANCE \\E1\~input\\) - (DELAY - (ABSOLUTE - (IOPATH i o (581:581:581) (723:723:723)) - ) - ) - ) - (CELL - (CELLTYPE "cycloneive_io_ibuf") - (INSTANCE \\X1\~input\\) - (DELAY - (ABSOLUTE - (IOPATH i o (581:581:581) (723:723:723)) - ) - ) - ) - (CELL - (CELLTYPE "cycloneive_io_ibuf") - (INSTANCE \\X0\~input\\) - (DELAY - (ABSOLUTE - (IOPATH i o (581:581:581) (723:723:723)) - ) - ) - ) - (CELL - (CELLTYPE "cycloneive_io_ibuf") - (INSTANCE \\E0L\~input\\) - (DELAY - (ABSOLUTE - (IOPATH i o (581:581:581) (723:723:723)) - ) - ) - ) - (CELL - (CELLTYPE "cycloneive_lcell_comb") - (INSTANCE inst) - (DELAY - (ABSOLUTE - (PORT dataa (791:791:791) (815:815:815)) - (PORT datab (2629:2629:2629) (2810:2810:2810)) - (PORT datac (720:720:720) (756:756:756)) - (PORT datad (2649:2649:2649) (2850:2850:2850)) - (IOPATH dataa combout (318:318:318) (307:307:307)) - (IOPATH datab combout (336:336:336) (337:337:337)) - (IOPATH datac combout (218:218:218) (215:215:215)) - (IOPATH datad combout (119:119:119) (106:106:106)) - ) - ) - ) - (CELL - (CELLTYPE "cycloneive_lcell_comb") - (INSTANCE inst1) - (DELAY - (ABSOLUTE - (PORT dataa (788:788:788) (814:814:814)) - (PORT datab (2629:2629:2629) (2814:2814:2814)) - (PORT datac (723:723:723) (757:757:757)) - (PORT datad (2649:2649:2649) (2853:2853:2853)) - (IOPATH dataa combout (307:307:307) (280:280:280)) - (IOPATH datab combout (325:325:325) (332:332:332)) - (IOPATH datac combout (220:220:220) (215:215:215)) - (IOPATH datad combout (119:119:119) (106:106:106)) - ) - ) - ) - (CELL - (CELLTYPE "cycloneive_lcell_comb") - (INSTANCE inst2) - (DELAY - (ABSOLUTE - (PORT dataa (797:797:797) (819:819:819)) - (PORT datab (2628:2628:2628) (2810:2810:2810)) - (PORT datac (719:719:719) (754:754:754)) - (PORT datad (2647:2647:2647) (2849:2849:2849)) - (IOPATH dataa combout (300:300:300) (323:323:323)) - (IOPATH datab combout (306:306:306) (324:324:324)) - (IOPATH datac combout (218:218:218) (215:215:215)) - (IOPATH datad combout (119:119:119) (106:106:106)) - ) - ) - ) - (CELL - (CELLTYPE "cycloneive_lcell_comb") - (INSTANCE inst3) - (DELAY - (ABSOLUTE - (PORT dataa (798:798:798) (819:819:819)) - (PORT datab (2628:2628:2628) (2810:2810:2810)) - (PORT datac (719:719:719) (754:754:754)) - (PORT datad (2648:2648:2648) (2849:2849:2849)) - (IOPATH dataa combout (290:290:290) (306:306:306)) - (IOPATH datab combout (295:295:295) (300:300:300)) - (IOPATH datac combout (220:220:220) (215:215:215)) - (IOPATH datad combout (119:119:119) (106:106:106)) - ) - ) - ) -) diff --git a/1ano/isd/quartus-projects/DecoderDemo/simulation/qsim/DecoderDemo_6_1200mv_85c_slow.vho b/1ano/isd/quartus-projects/DecoderDemo/simulation/qsim/DecoderDemo_6_1200mv_85c_slow.vho deleted file mode 100644 index 2f842ec..0000000 --- a/1ano/isd/quartus-projects/DecoderDemo/simulation/qsim/DecoderDemo_6_1200mv_85c_slow.vho +++ /dev/null @@ -1,328 +0,0 @@ --- Copyright (C) 2020 Intel Corporation. All rights reserved. --- Your use of Intel Corporation's design tools, logic functions --- and other software and tools, and any partner logic --- functions, and any output files from any of the foregoing --- (including device programming or simulation files), and any --- associated documentation or information are expressly subject --- to the terms and conditions of the Intel Program License --- Subscription Agreement, the Intel Quartus Prime License Agreement, --- the Intel FPGA IP License Agreement, or other applicable license --- agreement, including, without limitation, that your use is for --- the sole purpose of programming logic devices manufactured by --- Intel and sold by Intel or its authorized distributors. Please --- refer to the applicable agreement for further details, at --- https://fpgasoftware.intel.com/eula. - --- VENDOR "Altera" --- PROGRAM "Quartus Prime" --- VERSION "Version 20.1.1 Build 720 11/11/2020 SJ Lite Edition" - --- DATE "11/04/2022 15:08:53" - --- --- Device: Altera EP4CE6E22C6 Package TQFP144 --- - --- --- This VHDL file should be used for ModelSim-Altera (VHDL) only --- - -LIBRARY CYCLONEIVE; -LIBRARY IEEE; -USE CYCLONEIVE.CYCLONEIVE_COMPONENTS.ALL; -USE IEEE.STD_LOGIC_1164.ALL; - -ENTITY hard_block IS - PORT ( - devoe : IN std_logic; - devclrn : IN std_logic; - devpor : IN std_logic - ); -END hard_block; - --- Design Ports Information --- ~ALTERA_ASDO_DATA1~ => Location: PIN_6, I/O Standard: 2.5 V, Current Strength: Default --- ~ALTERA_FLASH_nCE_nCSO~ => Location: PIN_8, I/O Standard: 2.5 V, Current Strength: Default --- ~ALTERA_DCLK~ => Location: PIN_12, I/O Standard: 2.5 V, Current Strength: Default --- ~ALTERA_DATA0~ => Location: PIN_13, I/O Standard: 2.5 V, Current Strength: Default --- ~ALTERA_nCEO~ => Location: PIN_101, I/O Standard: 2.5 V, Current Strength: 8mA - - -ARCHITECTURE structure OF hard_block IS -SIGNAL gnd : std_logic := '0'; -SIGNAL vcc : std_logic := '1'; -SIGNAL unknown : std_logic := 'X'; -SIGNAL ww_devoe : std_logic; -SIGNAL ww_devclrn : std_logic; -SIGNAL ww_devpor : std_logic; -SIGNAL \~ALTERA_ASDO_DATA1~~padout\ : std_logic; -SIGNAL \~ALTERA_FLASH_nCE_nCSO~~padout\ : std_logic; -SIGNAL \~ALTERA_DATA0~~padout\ : std_logic; -SIGNAL \~ALTERA_ASDO_DATA1~~ibuf_o\ : std_logic; -SIGNAL \~ALTERA_FLASH_nCE_nCSO~~ibuf_o\ : std_logic; -SIGNAL \~ALTERA_DATA0~~ibuf_o\ : std_logic; - -BEGIN - -ww_devoe <= devoe; -ww_devclrn <= devclrn; -ww_devpor <= devpor; -END structure; - - -LIBRARY CYCLONEIVE; -LIBRARY IEEE; -USE CYCLONEIVE.CYCLONEIVE_COMPONENTS.ALL; -USE IEEE.STD_LOGIC_1164.ALL; - -ENTITY Dec2_4 IS - PORT ( - Y3 : OUT std_logic; - E0L : IN std_logic; - E1 : IN std_logic; - X1 : IN std_logic; - X0 : IN std_logic; - Y2 : OUT std_logic; - Y1 : OUT std_logic; - Y0 : OUT std_logic - ); -END Dec2_4; - --- Design Ports Information --- Y3 => Location: PIN_33, I/O Standard: 2.5 V, Current Strength: Default --- Y2 => Location: PIN_32, I/O Standard: 2.5 V, Current Strength: Default --- Y1 => Location: PIN_28, I/O Standard: 2.5 V, Current Strength: Default --- Y0 => Location: PIN_34, I/O Standard: 2.5 V, Current Strength: Default --- E1 => Location: PIN_24, I/O Standard: 2.5 V, Current Strength: Default --- X0 => Location: PIN_25, I/O Standard: 2.5 V, Current Strength: Default --- X1 => Location: PIN_31, I/O Standard: 2.5 V, Current Strength: Default --- E0L => Location: PIN_30, I/O Standard: 2.5 V, Current Strength: Default - - -ARCHITECTURE structure OF Dec2_4 IS -SIGNAL gnd : std_logic := '0'; -SIGNAL vcc : std_logic := '1'; -SIGNAL unknown : std_logic := 'X'; -SIGNAL devoe : std_logic := '1'; -SIGNAL devclrn : std_logic := '1'; -SIGNAL devpor : std_logic := '1'; -SIGNAL ww_devoe : std_logic; -SIGNAL ww_devclrn : std_logic; -SIGNAL ww_devpor : std_logic; -SIGNAL ww_Y3 : std_logic; -SIGNAL ww_E0L : std_logic; -SIGNAL ww_E1 : std_logic; -SIGNAL ww_X1 : std_logic; -SIGNAL ww_X0 : std_logic; -SIGNAL ww_Y2 : std_logic; -SIGNAL ww_Y1 : std_logic; -SIGNAL ww_Y0 : std_logic; -SIGNAL \Y3~output_o\ : std_logic; -SIGNAL \Y2~output_o\ : std_logic; -SIGNAL \Y1~output_o\ : std_logic; -SIGNAL \Y0~output_o\ : std_logic; -SIGNAL \E1~input_o\ : std_logic; -SIGNAL \X1~input_o\ : std_logic; -SIGNAL \X0~input_o\ : std_logic; -SIGNAL \E0L~input_o\ : std_logic; -SIGNAL \inst~combout\ : std_logic; -SIGNAL \inst1~combout\ : std_logic; -SIGNAL \inst2~combout\ : std_logic; -SIGNAL \inst3~combout\ : std_logic; - -COMPONENT hard_block - PORT ( - devoe : IN std_logic; - devclrn : IN std_logic; - devpor : IN std_logic); -END COMPONENT; - -BEGIN - -Y3 <= ww_Y3; -ww_E0L <= E0L; -ww_E1 <= E1; -ww_X1 <= X1; -ww_X0 <= X0; -Y2 <= ww_Y2; -Y1 <= ww_Y1; -Y0 <= ww_Y0; -ww_devoe <= devoe; -ww_devclrn <= devclrn; -ww_devpor <= devpor; -auto_generated_inst : hard_block -PORT MAP ( - devoe => ww_devoe, - devclrn => ww_devclrn, - devpor => ww_devpor); - --- Location: IOOBUF_X0_Y6_N23 -\Y3~output\ : cycloneive_io_obuf --- pragma translate_off -GENERIC MAP ( - bus_hold => "false", - open_drain_output => "false") --- pragma translate_on -PORT MAP ( - i => \inst~combout\, - devoe => ww_devoe, - o => \Y3~output_o\); - --- Location: IOOBUF_X0_Y6_N16 -\Y2~output\ : cycloneive_io_obuf --- pragma translate_off -GENERIC MAP ( - bus_hold => "false", - open_drain_output => "false") --- pragma translate_on -PORT MAP ( - i => \inst1~combout\, - devoe => ww_devoe, - o => \Y2~output_o\); - --- Location: IOOBUF_X0_Y9_N9 -\Y1~output\ : cycloneive_io_obuf --- pragma translate_off -GENERIC MAP ( - bus_hold => "false", - open_drain_output => "false") --- pragma translate_on -PORT MAP ( - i => \inst2~combout\, - devoe => ww_devoe, - o => \Y1~output_o\); - --- Location: IOOBUF_X0_Y5_N16 -\Y0~output\ : cycloneive_io_obuf --- pragma translate_off -GENERIC MAP ( - bus_hold => "false", - open_drain_output => "false") --- pragma translate_on -PORT MAP ( - i => \inst3~combout\, - devoe => ww_devoe, - o => \Y0~output_o\); - --- Location: IOIBUF_X0_Y11_N15 -\E1~input\ : cycloneive_io_ibuf --- pragma translate_off -GENERIC MAP ( - bus_hold => "false", - simulate_z_as => "z") --- pragma translate_on -PORT MAP ( - i => ww_E1, - o => \E1~input_o\); - --- Location: IOIBUF_X0_Y7_N1 -\X1~input\ : cycloneive_io_ibuf --- pragma translate_off -GENERIC MAP ( - bus_hold => "false", - simulate_z_as => "z") --- pragma translate_on -PORT MAP ( - i => ww_X1, - o => \X1~input_o\); - --- Location: IOIBUF_X0_Y11_N22 -\X0~input\ : cycloneive_io_ibuf --- pragma translate_off -GENERIC MAP ( - bus_hold => "false", - simulate_z_as => "z") --- pragma translate_on -PORT MAP ( - i => ww_X0, - o => \X0~input_o\); - --- Location: IOIBUF_X0_Y8_N15 -\E0L~input\ : cycloneive_io_ibuf --- pragma translate_off -GENERIC MAP ( - bus_hold => "false", - simulate_z_as => "z") --- pragma translate_on -PORT MAP ( - i => ww_E0L, - o => \E0L~input_o\); - --- Location: LCCOMB_X6_Y9_N8 -inst : cycloneive_lcell_comb --- Equation(s): --- \inst~combout\ = (\E1~input_o\ & (!\X1~input_o\ & (!\X0~input_o\ & !\E0L~input_o\))) - --- pragma translate_off -GENERIC MAP ( - lut_mask => "0000000000000010", - sum_lutc_input => "datac") --- pragma translate_on -PORT MAP ( - dataa => \E1~input_o\, - datab => \X1~input_o\, - datac => \X0~input_o\, - datad => \E0L~input_o\, - combout => \inst~combout\); - --- Location: LCCOMB_X6_Y9_N2 -inst1 : cycloneive_lcell_comb --- Equation(s): --- \inst1~combout\ = (\E1~input_o\ & (!\X1~input_o\ & (\X0~input_o\ & !\E0L~input_o\))) - --- pragma translate_off -GENERIC MAP ( - lut_mask => "0000000000100000", - sum_lutc_input => "datac") --- pragma translate_on -PORT MAP ( - dataa => \E1~input_o\, - datab => \X1~input_o\, - datac => \X0~input_o\, - datad => \E0L~input_o\, - combout => \inst1~combout\); - --- Location: LCCOMB_X6_Y9_N28 -inst2 : cycloneive_lcell_comb --- Equation(s): --- \inst2~combout\ = (\E1~input_o\ & (\X1~input_o\ & (!\X0~input_o\ & !\E0L~input_o\))) - --- pragma translate_off -GENERIC MAP ( - lut_mask => "0000000000001000", - sum_lutc_input => "datac") --- pragma translate_on -PORT MAP ( - dataa => \E1~input_o\, - datab => \X1~input_o\, - datac => \X0~input_o\, - datad => \E0L~input_o\, - combout => \inst2~combout\); - --- Location: LCCOMB_X6_Y9_N30 -inst3 : cycloneive_lcell_comb --- Equation(s): --- \inst3~combout\ = (\E1~input_o\ & (\X1~input_o\ & (\X0~input_o\ & !\E0L~input_o\))) - --- pragma translate_off -GENERIC MAP ( - lut_mask => "0000000010000000", - sum_lutc_input => "datac") --- pragma translate_on -PORT MAP ( - dataa => \E1~input_o\, - datab => \X1~input_o\, - datac => \X0~input_o\, - datad => \E0L~input_o\, - combout => \inst3~combout\); - -ww_Y3 <= \Y3~output_o\; - -ww_Y2 <= \Y2~output_o\; - -ww_Y1 <= \Y1~output_o\; - -ww_Y0 <= \Y0~output_o\; -END structure; - - diff --git a/1ano/isd/quartus-projects/DecoderDemo/simulation/qsim/DecoderDemo_6_1200mv_85c_vhd_slow.sdo b/1ano/isd/quartus-projects/DecoderDemo/simulation/qsim/DecoderDemo_6_1200mv_85c_vhd_slow.sdo deleted file mode 100644 index 6aae15c..0000000 --- a/1ano/isd/quartus-projects/DecoderDemo/simulation/qsim/DecoderDemo_6_1200mv_85c_vhd_slow.sdo +++ /dev/null @@ -1,180 +0,0 @@ -// Copyright (C) 2020 Intel Corporation. All rights reserved. -// Your use of Intel Corporation's design tools, logic functions -// and other software and tools, and any partner logic -// functions, and any output files from any of the foregoing -// (including device programming or simulation files), and any -// associated documentation or information are expressly subject -// to the terms and conditions of the Intel Program License -// Subscription Agreement, the Intel Quartus Prime License Agreement, -// the Intel FPGA IP License Agreement, or other applicable license -// agreement, including, without limitation, that your use is for -// the sole purpose of programming logic devices manufactured by -// Intel and sold by Intel or its authorized distributors. Please -// refer to the applicable agreement for further details, at -// https://fpgasoftware.intel.com/eula. - - -// -// Device: Altera EP4CE6E22C6 Package TQFP144 -// - -// -// This file contains Slow Corner delays for the design using part EP4CE6E22C6, -// with speed grade 6, core voltage 1.2VmV, and temperature 85 Celsius -// - -// -// This SDF file should be used for ModelSim-Altera (VHDL) only -// - -(DELAYFILE - (SDFVERSION "2.1") - (DESIGN "Dec2_4") - (DATE "11/04/2022 15:08:53") - (VENDOR "Altera") - (PROGRAM "Quartus Prime") - (VERSION "Version 20.1.1 Build 720 11/11/2020 SJ Lite Edition") - (DIVIDER .) - (TIMESCALE 1 ps) - - (CELL - (CELLTYPE "cycloneive_io_obuf") - (INSTANCE \\Y3\~output\\) - (DELAY - (ABSOLUTE - (PORT i (1004:1004:1004) (1006:1006:1006)) - (IOPATH i o (2533:2533:2533) (2516:2516:2516)) - ) - ) - ) - (CELL - (CELLTYPE "cycloneive_io_obuf") - (INSTANCE \\Y2\~output\\) - (DELAY - (ABSOLUTE - (PORT i (991:991:991) (1012:1012:1012)) - (IOPATH i o (2533:2533:2533) (2516:2516:2516)) - ) - ) - ) - (CELL - (CELLTYPE "cycloneive_io_obuf") - (INSTANCE \\Y1\~output\\) - (DELAY - (ABSOLUTE - (PORT i (661:661:661) (653:653:653)) - (IOPATH i o (2627:2627:2627) (2603:2603:2603)) - ) - ) - ) - (CELL - (CELLTYPE "cycloneive_io_obuf") - (INSTANCE \\Y0\~output\\) - (DELAY - (ABSOLUTE - (PORT i (991:991:991) (984:984:984)) - (IOPATH i o (2533:2533:2533) (2516:2516:2516)) - ) - ) - ) - (CELL - (CELLTYPE "cycloneive_io_ibuf") - (INSTANCE \\E1\~input\\) - (DELAY - (ABSOLUTE - (IOPATH i o (596:596:596) (761:761:761)) - ) - ) - ) - (CELL - (CELLTYPE "cycloneive_io_ibuf") - (INSTANCE \\X1\~input\\) - (DELAY - (ABSOLUTE - (IOPATH i o (596:596:596) (761:761:761)) - ) - ) - ) - (CELL - (CELLTYPE "cycloneive_io_ibuf") - (INSTANCE \\X0\~input\\) - (DELAY - (ABSOLUTE - (IOPATH i o (596:596:596) (761:761:761)) - ) - ) - ) - (CELL - (CELLTYPE "cycloneive_io_ibuf") - (INSTANCE \\E0L\~input\\) - (DELAY - (ABSOLUTE - (IOPATH i o (596:596:596) (761:761:761)) - ) - ) - ) - (CELL - (CELLTYPE "cycloneive_lcell_comb") - (INSTANCE inst) - (DELAY - (ABSOLUTE - (PORT dataa (882:882:882) (893:893:893)) - (PORT datab (3049:3049:3049) (3301:3301:3301)) - (PORT datac (803:803:803) (816:816:816)) - (PORT datad (3063:3063:3063) (3333:3333:3333)) - (IOPATH dataa combout (354:354:354) (349:349:349)) - (IOPATH datab combout (381:381:381) (380:380:380)) - (IOPATH datac combout (241:241:241) (241:241:241)) - (IOPATH datad combout (130:130:130) (120:120:120)) - ) - ) - ) - (CELL - (CELLTYPE "cycloneive_lcell_comb") - (INSTANCE inst1) - (DELAY - (ABSOLUTE - (PORT dataa (879:879:879) (890:890:890)) - (PORT datab (3049:3049:3049) (3305:3305:3305)) - (PORT datac (806:806:806) (817:817:817)) - (PORT datad (3063:3063:3063) (3336:3336:3336)) - (IOPATH dataa combout (341:341:341) (319:319:319)) - (IOPATH datab combout (365:365:365) (373:373:373)) - (IOPATH datac combout (243:243:243) (241:241:241)) - (IOPATH datad combout (130:130:130) (120:120:120)) - ) - ) - ) - (CELL - (CELLTYPE "cycloneive_lcell_comb") - (INSTANCE inst2) - (DELAY - (ABSOLUTE - (PORT dataa (889:889:889) (899:899:899)) - (PORT datab (3049:3049:3049) (3301:3301:3301)) - (PORT datac (801:801:801) (812:812:812)) - (PORT datad (3061:3061:3061) (3332:3332:3332)) - (IOPATH dataa combout (339:339:339) (367:367:367)) - (IOPATH datab combout (344:344:344) (369:369:369)) - (IOPATH datac combout (241:241:241) (241:241:241)) - (IOPATH datad combout (130:130:130) (120:120:120)) - ) - ) - ) - (CELL - (CELLTYPE "cycloneive_lcell_comb") - (INSTANCE inst3) - (DELAY - (ABSOLUTE - (PORT dataa (890:890:890) (900:900:900)) - (PORT datab (3049:3049:3049) (3301:3301:3301)) - (PORT datac (801:801:801) (811:811:811)) - (PORT datad (3061:3061:3061) (3332:3332:3332)) - (IOPATH dataa combout (327:327:327) (347:347:347)) - (IOPATH datab combout (331:331:331) (342:342:342)) - (IOPATH datac combout (243:243:243) (241:241:241)) - (IOPATH datad combout (130:130:130) (120:120:120)) - ) - ) - ) -) diff --git a/1ano/isd/quartus-projects/DecoderDemo/simulation/qsim/DecoderDemo_min_1200mv_0c_fast.vho b/1ano/isd/quartus-projects/DecoderDemo/simulation/qsim/DecoderDemo_min_1200mv_0c_fast.vho deleted file mode 100644 index 2f842ec..0000000 --- a/1ano/isd/quartus-projects/DecoderDemo/simulation/qsim/DecoderDemo_min_1200mv_0c_fast.vho +++ /dev/null @@ -1,328 +0,0 @@ --- Copyright (C) 2020 Intel Corporation. All rights reserved. --- Your use of Intel Corporation's design tools, logic functions --- and other software and tools, and any partner logic --- functions, and any output files from any of the foregoing --- (including device programming or simulation files), and any --- associated documentation or information are expressly subject --- to the terms and conditions of the Intel Program License --- Subscription Agreement, the Intel Quartus Prime License Agreement, --- the Intel FPGA IP License Agreement, or other applicable license --- agreement, including, without limitation, that your use is for --- the sole purpose of programming logic devices manufactured by --- Intel and sold by Intel or its authorized distributors. Please --- refer to the applicable agreement for further details, at --- https://fpgasoftware.intel.com/eula. - --- VENDOR "Altera" --- PROGRAM "Quartus Prime" --- VERSION "Version 20.1.1 Build 720 11/11/2020 SJ Lite Edition" - --- DATE "11/04/2022 15:08:53" - --- --- Device: Altera EP4CE6E22C6 Package TQFP144 --- - --- --- This VHDL file should be used for ModelSim-Altera (VHDL) only --- - -LIBRARY CYCLONEIVE; -LIBRARY IEEE; -USE CYCLONEIVE.CYCLONEIVE_COMPONENTS.ALL; -USE IEEE.STD_LOGIC_1164.ALL; - -ENTITY hard_block IS - PORT ( - devoe : IN std_logic; - devclrn : IN std_logic; - devpor : IN std_logic - ); -END hard_block; - --- Design Ports Information --- ~ALTERA_ASDO_DATA1~ => Location: PIN_6, I/O Standard: 2.5 V, Current Strength: Default --- ~ALTERA_FLASH_nCE_nCSO~ => Location: PIN_8, I/O Standard: 2.5 V, Current Strength: Default --- ~ALTERA_DCLK~ => Location: PIN_12, I/O Standard: 2.5 V, Current Strength: Default --- ~ALTERA_DATA0~ => Location: PIN_13, I/O Standard: 2.5 V, Current Strength: Default --- ~ALTERA_nCEO~ => Location: PIN_101, I/O Standard: 2.5 V, Current Strength: 8mA - - -ARCHITECTURE structure OF hard_block IS -SIGNAL gnd : std_logic := '0'; -SIGNAL vcc : std_logic := '1'; -SIGNAL unknown : std_logic := 'X'; -SIGNAL ww_devoe : std_logic; -SIGNAL ww_devclrn : std_logic; -SIGNAL ww_devpor : std_logic; -SIGNAL \~ALTERA_ASDO_DATA1~~padout\ : std_logic; -SIGNAL \~ALTERA_FLASH_nCE_nCSO~~padout\ : std_logic; -SIGNAL \~ALTERA_DATA0~~padout\ : std_logic; -SIGNAL \~ALTERA_ASDO_DATA1~~ibuf_o\ : std_logic; -SIGNAL \~ALTERA_FLASH_nCE_nCSO~~ibuf_o\ : std_logic; -SIGNAL \~ALTERA_DATA0~~ibuf_o\ : std_logic; - -BEGIN - -ww_devoe <= devoe; -ww_devclrn <= devclrn; -ww_devpor <= devpor; -END structure; - - -LIBRARY CYCLONEIVE; -LIBRARY IEEE; -USE CYCLONEIVE.CYCLONEIVE_COMPONENTS.ALL; -USE IEEE.STD_LOGIC_1164.ALL; - -ENTITY Dec2_4 IS - PORT ( - Y3 : OUT std_logic; - E0L : IN std_logic; - E1 : IN std_logic; - X1 : IN std_logic; - X0 : IN std_logic; - Y2 : OUT std_logic; - Y1 : OUT std_logic; - Y0 : OUT std_logic - ); -END Dec2_4; - --- Design Ports Information --- Y3 => Location: PIN_33, I/O Standard: 2.5 V, Current Strength: Default --- Y2 => Location: PIN_32, I/O Standard: 2.5 V, Current Strength: Default --- Y1 => Location: PIN_28, I/O Standard: 2.5 V, Current Strength: Default --- Y0 => Location: PIN_34, I/O Standard: 2.5 V, Current Strength: Default --- E1 => Location: PIN_24, I/O Standard: 2.5 V, Current Strength: Default --- X0 => Location: PIN_25, I/O Standard: 2.5 V, Current Strength: Default --- X1 => Location: PIN_31, I/O Standard: 2.5 V, Current Strength: Default --- E0L => Location: PIN_30, I/O Standard: 2.5 V, Current Strength: Default - - -ARCHITECTURE structure OF Dec2_4 IS -SIGNAL gnd : std_logic := '0'; -SIGNAL vcc : std_logic := '1'; -SIGNAL unknown : std_logic := 'X'; -SIGNAL devoe : std_logic := '1'; -SIGNAL devclrn : std_logic := '1'; -SIGNAL devpor : std_logic := '1'; -SIGNAL ww_devoe : std_logic; -SIGNAL ww_devclrn : std_logic; -SIGNAL ww_devpor : std_logic; -SIGNAL ww_Y3 : std_logic; -SIGNAL ww_E0L : std_logic; -SIGNAL ww_E1 : std_logic; -SIGNAL ww_X1 : std_logic; -SIGNAL ww_X0 : std_logic; -SIGNAL ww_Y2 : std_logic; -SIGNAL ww_Y1 : std_logic; -SIGNAL ww_Y0 : std_logic; -SIGNAL \Y3~output_o\ : std_logic; -SIGNAL \Y2~output_o\ : std_logic; -SIGNAL \Y1~output_o\ : std_logic; -SIGNAL \Y0~output_o\ : std_logic; -SIGNAL \E1~input_o\ : std_logic; -SIGNAL \X1~input_o\ : std_logic; -SIGNAL \X0~input_o\ : std_logic; -SIGNAL \E0L~input_o\ : std_logic; -SIGNAL \inst~combout\ : std_logic; -SIGNAL \inst1~combout\ : std_logic; -SIGNAL \inst2~combout\ : std_logic; -SIGNAL \inst3~combout\ : std_logic; - -COMPONENT hard_block - PORT ( - devoe : IN std_logic; - devclrn : IN std_logic; - devpor : IN std_logic); -END COMPONENT; - -BEGIN - -Y3 <= ww_Y3; -ww_E0L <= E0L; -ww_E1 <= E1; -ww_X1 <= X1; -ww_X0 <= X0; -Y2 <= ww_Y2; -Y1 <= ww_Y1; -Y0 <= ww_Y0; -ww_devoe <= devoe; -ww_devclrn <= devclrn; -ww_devpor <= devpor; -auto_generated_inst : hard_block -PORT MAP ( - devoe => ww_devoe, - devclrn => ww_devclrn, - devpor => ww_devpor); - --- Location: IOOBUF_X0_Y6_N23 -\Y3~output\ : cycloneive_io_obuf --- pragma translate_off -GENERIC MAP ( - bus_hold => "false", - open_drain_output => "false") --- pragma translate_on -PORT MAP ( - i => \inst~combout\, - devoe => ww_devoe, - o => \Y3~output_o\); - --- Location: IOOBUF_X0_Y6_N16 -\Y2~output\ : cycloneive_io_obuf --- pragma translate_off -GENERIC MAP ( - bus_hold => "false", - open_drain_output => "false") --- pragma translate_on -PORT MAP ( - i => \inst1~combout\, - devoe => ww_devoe, - o => \Y2~output_o\); - --- Location: IOOBUF_X0_Y9_N9 -\Y1~output\ : cycloneive_io_obuf --- pragma translate_off -GENERIC MAP ( - bus_hold => "false", - open_drain_output => "false") --- pragma translate_on -PORT MAP ( - i => \inst2~combout\, - devoe => ww_devoe, - o => \Y1~output_o\); - --- Location: IOOBUF_X0_Y5_N16 -\Y0~output\ : cycloneive_io_obuf --- pragma translate_off -GENERIC MAP ( - bus_hold => "false", - open_drain_output => "false") --- pragma translate_on -PORT MAP ( - i => \inst3~combout\, - devoe => ww_devoe, - o => \Y0~output_o\); - --- Location: IOIBUF_X0_Y11_N15 -\E1~input\ : cycloneive_io_ibuf --- pragma translate_off -GENERIC MAP ( - bus_hold => "false", - simulate_z_as => "z") --- pragma translate_on -PORT MAP ( - i => ww_E1, - o => \E1~input_o\); - --- Location: IOIBUF_X0_Y7_N1 -\X1~input\ : cycloneive_io_ibuf --- pragma translate_off -GENERIC MAP ( - bus_hold => "false", - simulate_z_as => "z") --- pragma translate_on -PORT MAP ( - i => ww_X1, - o => \X1~input_o\); - --- Location: IOIBUF_X0_Y11_N22 -\X0~input\ : cycloneive_io_ibuf --- pragma translate_off -GENERIC MAP ( - bus_hold => "false", - simulate_z_as => "z") --- pragma translate_on -PORT MAP ( - i => ww_X0, - o => \X0~input_o\); - --- Location: IOIBUF_X0_Y8_N15 -\E0L~input\ : cycloneive_io_ibuf --- pragma translate_off -GENERIC MAP ( - bus_hold => "false", - simulate_z_as => "z") --- pragma translate_on -PORT MAP ( - i => ww_E0L, - o => \E0L~input_o\); - --- Location: LCCOMB_X6_Y9_N8 -inst : cycloneive_lcell_comb --- Equation(s): --- \inst~combout\ = (\E1~input_o\ & (!\X1~input_o\ & (!\X0~input_o\ & !\E0L~input_o\))) - --- pragma translate_off -GENERIC MAP ( - lut_mask => "0000000000000010", - sum_lutc_input => "datac") --- pragma translate_on -PORT MAP ( - dataa => \E1~input_o\, - datab => \X1~input_o\, - datac => \X0~input_o\, - datad => \E0L~input_o\, - combout => \inst~combout\); - --- Location: LCCOMB_X6_Y9_N2 -inst1 : cycloneive_lcell_comb --- Equation(s): --- \inst1~combout\ = (\E1~input_o\ & (!\X1~input_o\ & (\X0~input_o\ & !\E0L~input_o\))) - --- pragma translate_off -GENERIC MAP ( - lut_mask => "0000000000100000", - sum_lutc_input => "datac") --- pragma translate_on -PORT MAP ( - dataa => \E1~input_o\, - datab => \X1~input_o\, - datac => \X0~input_o\, - datad => \E0L~input_o\, - combout => \inst1~combout\); - --- Location: LCCOMB_X6_Y9_N28 -inst2 : cycloneive_lcell_comb --- Equation(s): --- \inst2~combout\ = (\E1~input_o\ & (\X1~input_o\ & (!\X0~input_o\ & !\E0L~input_o\))) - --- pragma translate_off -GENERIC MAP ( - lut_mask => "0000000000001000", - sum_lutc_input => "datac") --- pragma translate_on -PORT MAP ( - dataa => \E1~input_o\, - datab => \X1~input_o\, - datac => \X0~input_o\, - datad => \E0L~input_o\, - combout => \inst2~combout\); - --- Location: LCCOMB_X6_Y9_N30 -inst3 : cycloneive_lcell_comb --- Equation(s): --- \inst3~combout\ = (\E1~input_o\ & (\X1~input_o\ & (\X0~input_o\ & !\E0L~input_o\))) - --- pragma translate_off -GENERIC MAP ( - lut_mask => "0000000010000000", - sum_lutc_input => "datac") --- pragma translate_on -PORT MAP ( - dataa => \E1~input_o\, - datab => \X1~input_o\, - datac => \X0~input_o\, - datad => \E0L~input_o\, - combout => \inst3~combout\); - -ww_Y3 <= \Y3~output_o\; - -ww_Y2 <= \Y2~output_o\; - -ww_Y1 <= \Y1~output_o\; - -ww_Y0 <= \Y0~output_o\; -END structure; - - diff --git a/1ano/isd/quartus-projects/DecoderDemo/simulation/qsim/DecoderDemo_min_1200mv_0c_vhd_fast.sdo b/1ano/isd/quartus-projects/DecoderDemo/simulation/qsim/DecoderDemo_min_1200mv_0c_vhd_fast.sdo deleted file mode 100644 index 063aa5b..0000000 --- a/1ano/isd/quartus-projects/DecoderDemo/simulation/qsim/DecoderDemo_min_1200mv_0c_vhd_fast.sdo +++ /dev/null @@ -1,180 +0,0 @@ -// Copyright (C) 2020 Intel Corporation. All rights reserved. -// Your use of Intel Corporation's design tools, logic functions -// and other software and tools, and any partner logic -// functions, and any output files from any of the foregoing -// (including device programming or simulation files), and any -// associated documentation or information are expressly subject -// to the terms and conditions of the Intel Program License -// Subscription Agreement, the Intel Quartus Prime License Agreement, -// the Intel FPGA IP License Agreement, or other applicable license -// agreement, including, without limitation, that your use is for -// the sole purpose of programming logic devices manufactured by -// Intel and sold by Intel or its authorized distributors. Please -// refer to the applicable agreement for further details, at -// https://fpgasoftware.intel.com/eula. - - -// -// Device: Altera EP4CE6E22C6 Package TQFP144 -// - -// -// This file contains Fast Corner delays for the design using part EP4CE6E22C6, -// with speed grade M, core voltage 1.2VmV, and temperature 0 Celsius -// - -// -// This SDF file should be used for ModelSim-Altera (VHDL) only -// - -(DELAYFILE - (SDFVERSION "2.1") - (DESIGN "Dec2_4") - (DATE "11/04/2022 15:08:53") - (VENDOR "Altera") - (PROGRAM "Quartus Prime") - (VERSION "Version 20.1.1 Build 720 11/11/2020 SJ Lite Edition") - (DIVIDER .) - (TIMESCALE 1 ps) - - (CELL - (CELLTYPE "cycloneive_io_obuf") - (INSTANCE \\Y3\~output\\) - (DELAY - (ABSOLUTE - (PORT i (540:540:540) (609:609:609)) - (IOPATH i o (1565:1565:1565) (1570:1570:1570)) - ) - ) - ) - (CELL - (CELLTYPE "cycloneive_io_obuf") - (INSTANCE \\Y2\~output\\) - (DELAY - (ABSOLUTE - (PORT i (537:537:537) (605:605:605)) - (IOPATH i o (1565:1565:1565) (1570:1570:1570)) - ) - ) - ) - (CELL - (CELLTYPE "cycloneive_io_obuf") - (INSTANCE \\Y1\~output\\) - (DELAY - (ABSOLUTE - (PORT i (342:342:342) (377:377:377)) - (IOPATH i o (1619:1619:1619) (1644:1644:1644)) - ) - ) - ) - (CELL - (CELLTYPE "cycloneive_io_obuf") - (INSTANCE \\Y0\~output\\) - (DELAY - (ABSOLUTE - (PORT i (526:526:526) (591:591:591)) - (IOPATH i o (1565:1565:1565) (1570:1570:1570)) - ) - ) - ) - (CELL - (CELLTYPE "cycloneive_io_ibuf") - (INSTANCE \\E1\~input\\) - (DELAY - (ABSOLUTE - (IOPATH i o (318:318:318) (698:698:698)) - ) - ) - ) - (CELL - (CELLTYPE "cycloneive_io_ibuf") - (INSTANCE \\X1\~input\\) - (DELAY - (ABSOLUTE - (IOPATH i o (318:318:318) (698:698:698)) - ) - ) - ) - (CELL - (CELLTYPE "cycloneive_io_ibuf") - (INSTANCE \\X0\~input\\) - (DELAY - (ABSOLUTE - (IOPATH i o (318:318:318) (698:698:698)) - ) - ) - ) - (CELL - (CELLTYPE "cycloneive_io_ibuf") - (INSTANCE \\E0L\~input\\) - (DELAY - (ABSOLUTE - (IOPATH i o (318:318:318) (698:698:698)) - ) - ) - ) - (CELL - (CELLTYPE "cycloneive_lcell_comb") - (INSTANCE inst) - (DELAY - (ABSOLUTE - (PORT dataa (542:542:542) (481:481:481)) - (PORT datab (1756:1756:1756) (1967:1967:1967)) - (PORT datac (500:500:500) (454:454:454)) - (PORT datad (1759:1759:1759) (1985:1985:1985)) - (IOPATH dataa combout (170:170:170) (163:163:163)) - (IOPATH datab combout (160:160:160) (156:156:156)) - (IOPATH datac combout (120:120:120) (124:124:124)) - (IOPATH datad combout (68:68:68) (63:63:63)) - ) - ) - ) - (CELL - (CELLTYPE "cycloneive_lcell_comb") - (INSTANCE inst1) - (DELAY - (ABSOLUTE - (PORT dataa (540:540:540) (479:479:479)) - (PORT datab (1757:1757:1757) (1968:1968:1968)) - (PORT datac (501:501:501) (455:455:455)) - (PORT datad (1759:1759:1759) (1986:1986:1986)) - (IOPATH dataa combout (170:170:170) (163:163:163)) - (IOPATH datab combout (160:160:160) (156:156:156)) - (IOPATH datac combout (119:119:119) (124:124:124)) - (IOPATH datad combout (68:68:68) (63:63:63)) - ) - ) - ) - (CELL - (CELLTYPE "cycloneive_lcell_comb") - (INSTANCE inst2) - (DELAY - (ABSOLUTE - (PORT dataa (549:549:549) (486:486:486)) - (PORT datab (1755:1755:1755) (1966:1966:1966)) - (PORT datac (496:496:496) (450:450:450)) - (PORT datad (1756:1756:1756) (1982:1982:1982)) - (IOPATH dataa combout (170:170:170) (163:163:163)) - (IOPATH datab combout (168:168:168) (167:167:167)) - (IOPATH datac combout (120:120:120) (124:124:124)) - (IOPATH datad combout (68:68:68) (63:63:63)) - ) - ) - ) - (CELL - (CELLTYPE "cycloneive_lcell_comb") - (INSTANCE inst3) - (DELAY - (ABSOLUTE - (PORT dataa (550:550:550) (487:487:487)) - (PORT datab (1755:1755:1755) (1966:1966:1966)) - (PORT datac (496:496:496) (450:450:450)) - (PORT datad (1756:1756:1756) (1982:1982:1982)) - (IOPATH dataa combout (170:170:170) (163:163:163)) - (IOPATH datab combout (168:168:168) (167:167:167)) - (IOPATH datac combout (119:119:119) (124:124:124)) - (IOPATH datad combout (68:68:68) (63:63:63)) - ) - ) - ) -) diff --git a/1ano/isd/quartus-projects/DecoderDemo/simulation/qsim/DecoderDemo_modelsim.xrf b/1ano/isd/quartus-projects/DecoderDemo/simulation/qsim/DecoderDemo_modelsim.xrf deleted file mode 100644 index 05d6f72..0000000 --- a/1ano/isd/quartus-projects/DecoderDemo/simulation/qsim/DecoderDemo_modelsim.xrf +++ /dev/null @@ -1,15 +0,0 @@ -vendor_name = ModelSim -source_file = 1, /home/tiagorg/repos/DecoderDemo/Dec2_4.bdf -source_file = 1, /home/tiagorg/repos/DecoderDemo/WaveformDecoderNode.vwf -source_file = 1, /home/tiagorg/repos/DecoderDemo/Waveform.vwf -source_file = 1, /home/tiagorg/repos/DecoderDemo/db/DecoderDemo.cbx.xml -design_name = hard_block -design_name = Dec2_4 -instance = comp, \Y3~output\, Y3~output, Dec2_4, 1 -instance = comp, \Y2~output\, Y2~output, Dec2_4, 1 -instance = comp, \Y1~output\, Y1~output, Dec2_4, 1 -instance = comp, \Y0~output\, Y0~output, Dec2_4, 1 -instance = comp, \E1~input\, E1~input, Dec2_4, 1 -instance = comp, \X1~input\, X1~input, Dec2_4, 1 -instance = comp, \X0~input\, X0~input, Dec2_4, 1 -instance = comp, \E0L~input\, E0L~input, Dec2_4, 1 diff --git a/1ano/isd/quartus-projects/DecoderDemo/simulation/qsim/DecoderDemo_vhd.sdo b/1ano/isd/quartus-projects/DecoderDemo/simulation/qsim/DecoderDemo_vhd.sdo deleted file mode 100644 index 6aae15c..0000000 --- a/1ano/isd/quartus-projects/DecoderDemo/simulation/qsim/DecoderDemo_vhd.sdo +++ /dev/null @@ -1,180 +0,0 @@ -// Copyright (C) 2020 Intel Corporation. All rights reserved. -// Your use of Intel Corporation's design tools, logic functions -// and other software and tools, and any partner logic -// functions, and any output files from any of the foregoing -// (including device programming or simulation files), and any -// associated documentation or information are expressly subject -// to the terms and conditions of the Intel Program License -// Subscription Agreement, the Intel Quartus Prime License Agreement, -// the Intel FPGA IP License Agreement, or other applicable license -// agreement, including, without limitation, that your use is for -// the sole purpose of programming logic devices manufactured by -// Intel and sold by Intel or its authorized distributors. Please -// refer to the applicable agreement for further details, at -// https://fpgasoftware.intel.com/eula. - - -// -// Device: Altera EP4CE6E22C6 Package TQFP144 -// - -// -// This file contains Slow Corner delays for the design using part EP4CE6E22C6, -// with speed grade 6, core voltage 1.2VmV, and temperature 85 Celsius -// - -// -// This SDF file should be used for ModelSim-Altera (VHDL) only -// - -(DELAYFILE - (SDFVERSION "2.1") - (DESIGN "Dec2_4") - (DATE "11/04/2022 15:08:53") - (VENDOR "Altera") - (PROGRAM "Quartus Prime") - (VERSION "Version 20.1.1 Build 720 11/11/2020 SJ Lite Edition") - (DIVIDER .) - (TIMESCALE 1 ps) - - (CELL - (CELLTYPE "cycloneive_io_obuf") - (INSTANCE \\Y3\~output\\) - (DELAY - (ABSOLUTE - (PORT i (1004:1004:1004) (1006:1006:1006)) - (IOPATH i o (2533:2533:2533) (2516:2516:2516)) - ) - ) - ) - (CELL - (CELLTYPE "cycloneive_io_obuf") - (INSTANCE \\Y2\~output\\) - (DELAY - (ABSOLUTE - (PORT i (991:991:991) (1012:1012:1012)) - (IOPATH i o (2533:2533:2533) (2516:2516:2516)) - ) - ) - ) - (CELL - (CELLTYPE "cycloneive_io_obuf") - (INSTANCE \\Y1\~output\\) - (DELAY - (ABSOLUTE - (PORT i (661:661:661) (653:653:653)) - (IOPATH i o (2627:2627:2627) (2603:2603:2603)) - ) - ) - ) - (CELL - (CELLTYPE "cycloneive_io_obuf") - (INSTANCE \\Y0\~output\\) - (DELAY - (ABSOLUTE - (PORT i (991:991:991) (984:984:984)) - (IOPATH i o (2533:2533:2533) (2516:2516:2516)) - ) - ) - ) - (CELL - (CELLTYPE "cycloneive_io_ibuf") - (INSTANCE \\E1\~input\\) - (DELAY - (ABSOLUTE - (IOPATH i o (596:596:596) (761:761:761)) - ) - ) - ) - (CELL - (CELLTYPE "cycloneive_io_ibuf") - (INSTANCE \\X1\~input\\) - (DELAY - (ABSOLUTE - (IOPATH i o (596:596:596) (761:761:761)) - ) - ) - ) - (CELL - (CELLTYPE "cycloneive_io_ibuf") - (INSTANCE \\X0\~input\\) - (DELAY - (ABSOLUTE - (IOPATH i o (596:596:596) (761:761:761)) - ) - ) - ) - (CELL - (CELLTYPE "cycloneive_io_ibuf") - (INSTANCE \\E0L\~input\\) - (DELAY - (ABSOLUTE - (IOPATH i o (596:596:596) (761:761:761)) - ) - ) - ) - (CELL - (CELLTYPE "cycloneive_lcell_comb") - (INSTANCE inst) - (DELAY - (ABSOLUTE - (PORT dataa (882:882:882) (893:893:893)) - (PORT datab (3049:3049:3049) (3301:3301:3301)) - (PORT datac (803:803:803) (816:816:816)) - (PORT datad (3063:3063:3063) (3333:3333:3333)) - (IOPATH dataa combout (354:354:354) (349:349:349)) - (IOPATH datab combout (381:381:381) (380:380:380)) - (IOPATH datac combout (241:241:241) (241:241:241)) - (IOPATH datad combout (130:130:130) (120:120:120)) - ) - ) - ) - (CELL - (CELLTYPE "cycloneive_lcell_comb") - (INSTANCE inst1) - (DELAY - (ABSOLUTE - (PORT dataa (879:879:879) (890:890:890)) - (PORT datab (3049:3049:3049) (3305:3305:3305)) - (PORT datac (806:806:806) (817:817:817)) - (PORT datad (3063:3063:3063) (3336:3336:3336)) - (IOPATH dataa combout (341:341:341) (319:319:319)) - (IOPATH datab combout (365:365:365) (373:373:373)) - (IOPATH datac combout (243:243:243) (241:241:241)) - (IOPATH datad combout (130:130:130) (120:120:120)) - ) - ) - ) - (CELL - (CELLTYPE "cycloneive_lcell_comb") - (INSTANCE inst2) - (DELAY - (ABSOLUTE - (PORT dataa (889:889:889) (899:899:899)) - (PORT datab (3049:3049:3049) (3301:3301:3301)) - (PORT datac (801:801:801) (812:812:812)) - (PORT datad (3061:3061:3061) (3332:3332:3332)) - (IOPATH dataa combout (339:339:339) (367:367:367)) - (IOPATH datab combout (344:344:344) (369:369:369)) - (IOPATH datac combout (241:241:241) (241:241:241)) - (IOPATH datad combout (130:130:130) (120:120:120)) - ) - ) - ) - (CELL - (CELLTYPE "cycloneive_lcell_comb") - (INSTANCE inst3) - (DELAY - (ABSOLUTE - (PORT dataa (890:890:890) (900:900:900)) - (PORT datab (3049:3049:3049) (3301:3301:3301)) - (PORT datac (801:801:801) (811:811:811)) - (PORT datad (3061:3061:3061) (3332:3332:3332)) - (IOPATH dataa combout (327:327:327) (347:347:347)) - (IOPATH datab combout (331:331:331) (342:342:342)) - (IOPATH datac combout (243:243:243) (241:241:241)) - (IOPATH datad combout (130:130:130) (120:120:120)) - ) - ) - ) -) diff --git a/1ano/isd/quartus-projects/DecoderDemo/simulation/qsim/Waveform.vwf.vht b/1ano/isd/quartus-projects/DecoderDemo/simulation/qsim/Waveform.vwf.vht deleted file mode 100644 index a6d9e5a..0000000 --- a/1ano/isd/quartus-projects/DecoderDemo/simulation/qsim/Waveform.vwf.vht +++ /dev/null @@ -1,870 +0,0 @@ --- Copyright (C) 2020 Intel Corporation. All rights reserved. --- Your use of Intel Corporation's design tools, logic functions --- and other software and tools, and any partner logic --- functions, and any output files from any of the foregoing --- (including device programming or simulation files), and any --- associated documentation or information are expressly subject --- to the terms and conditions of the Intel Program License --- Subscription Agreement, the Intel Quartus Prime License Agreement, --- the Intel FPGA IP License Agreement, or other applicable license --- agreement, including, without limitation, that your use is for --- the sole purpose of programming logic devices manufactured by --- Intel and sold by Intel or its authorized distributors. Please --- refer to the applicable agreement for further details, at --- https://fpgasoftware.intel.com/eula. - --- ***************************************************************************** --- This file contains a Vhdl test bench with test vectors .The test vectors --- are exported from a vector file in the Quartus Waveform Editor and apply to --- the top level entity of the current Quartus project .The user can use this --- testbench to simulate his design using a third-party simulation tool . --- ***************************************************************************** --- Generated on "11/14/2022 16:28:27" - --- Vhdl Test Bench(with test vectors) for design : Dec2_4 --- --- Simulation tool : 3rd Party --- - -LIBRARY ieee; -USE ieee.std_logic_1164.all; - -ENTITY Dec2_4_vhd_vec_tst IS -END Dec2_4_vhd_vec_tst; -ARCHITECTURE Dec2_4_arch OF Dec2_4_vhd_vec_tst IS --- constants --- signals -SIGNAL E0L : STD_LOGIC; -SIGNAL E1 : STD_LOGIC; -SIGNAL X0 : STD_LOGIC; -SIGNAL X1 : STD_LOGIC; -SIGNAL Y0 : STD_LOGIC; -SIGNAL Y1 : STD_LOGIC; -SIGNAL Y2 : STD_LOGIC; -SIGNAL Y3 : STD_LOGIC; -COMPONENT Dec2_4 - PORT ( - E0L : IN STD_LOGIC; - E1 : IN STD_LOGIC; - X0 : IN STD_LOGIC; - X1 : IN STD_LOGIC; - Y0 : OUT STD_LOGIC; - Y1 : OUT STD_LOGIC; - Y2 : OUT STD_LOGIC; - Y3 : OUT STD_LOGIC - ); -END COMPONENT; -BEGIN - i1 : Dec2_4 - PORT MAP ( --- list connections between master ports and signals - E0L => E0L, - E1 => E1, - X0 => X0, - X1 => X1, - Y0 => Y0, - Y1 => Y1, - Y2 => Y2, - Y3 => Y3 - ); - --- E0L -t_prcs_E0L: PROCESS -BEGIN - E0L <= '1'; - WAIT FOR 5000 ps; - E0L <= '0'; - WAIT FOR 65000 ps; - E0L <= '1'; - WAIT FOR 10000 ps; - E0L <= '0'; - WAIT FOR 10000 ps; - E0L <= '1'; - WAIT FOR 20000 ps; - E0L <= '0'; - WAIT FOR 5000 ps; - E0L <= '1'; - WAIT FOR 10000 ps; - E0L <= '0'; - WAIT FOR 5000 ps; - E0L <= '1'; - WAIT FOR 5000 ps; - E0L <= '0'; - WAIT FOR 5000 ps; - E0L <= '1'; - WAIT FOR 10000 ps; - E0L <= '0'; - WAIT FOR 15000 ps; - E0L <= '1'; - WAIT FOR 5000 ps; - E0L <= '0'; - WAIT FOR 10000 ps; - E0L <= '1'; - WAIT FOR 30000 ps; - E0L <= '0'; - WAIT FOR 5000 ps; - E0L <= '1'; - WAIT FOR 10000 ps; - E0L <= '0'; - WAIT FOR 5000 ps; - E0L <= '1'; - WAIT FOR 10000 ps; - E0L <= '0'; - WAIT FOR 5000 ps; - E0L <= '1'; - WAIT FOR 5000 ps; - E0L <= '0'; - WAIT FOR 10000 ps; - E0L <= '1'; - WAIT FOR 5000 ps; - E0L <= '0'; - WAIT FOR 5000 ps; - E0L <= '1'; - WAIT FOR 10000 ps; - E0L <= '0'; - WAIT FOR 10000 ps; - E0L <= '1'; - WAIT FOR 15000 ps; - E0L <= '0'; - WAIT FOR 20000 ps; - E0L <= '1'; - WAIT FOR 10000 ps; - E0L <= '0'; - WAIT FOR 5000 ps; - E0L <= '1'; - WAIT FOR 5000 ps; - E0L <= '0'; - WAIT FOR 15000 ps; - E0L <= '1'; - WAIT FOR 5000 ps; - E0L <= '0'; - WAIT FOR 5000 ps; - E0L <= '1'; - WAIT FOR 5000 ps; - E0L <= '0'; - WAIT FOR 5000 ps; - E0L <= '1'; - WAIT FOR 10000 ps; - E0L <= '0'; - WAIT FOR 5000 ps; - E0L <= '1'; - WAIT FOR 15000 ps; - E0L <= '0'; - WAIT FOR 5000 ps; - E0L <= '1'; - WAIT FOR 5000 ps; - E0L <= '0'; - WAIT FOR 20000 ps; - E0L <= '1'; - WAIT FOR 10000 ps; - E0L <= '0'; - WAIT FOR 10000 ps; - E0L <= '1'; - WAIT FOR 15000 ps; - E0L <= '0'; - WAIT FOR 30000 ps; - E0L <= '1'; - WAIT FOR 5000 ps; - E0L <= '0'; - WAIT FOR 10000 ps; - E0L <= '1'; - WAIT FOR 10000 ps; - E0L <= '0'; - WAIT FOR 5000 ps; - E0L <= '1'; - WAIT FOR 10000 ps; - E0L <= '0'; - WAIT FOR 5000 ps; - E0L <= '1'; - WAIT FOR 5000 ps; - E0L <= '0'; - WAIT FOR 35000 ps; - E0L <= '1'; - WAIT FOR 5000 ps; - E0L <= '0'; - WAIT FOR 10000 ps; - E0L <= '1'; - WAIT FOR 20000 ps; - E0L <= '0'; - WAIT FOR 10000 ps; - E0L <= '1'; - WAIT FOR 5000 ps; - E0L <= '0'; - WAIT FOR 5000 ps; - E0L <= '1'; - WAIT FOR 5000 ps; - E0L <= '0'; - WAIT FOR 30000 ps; - E0L <= '1'; - WAIT FOR 5000 ps; - E0L <= '0'; - WAIT FOR 5000 ps; - E0L <= '1'; - WAIT FOR 5000 ps; - E0L <= '0'; - WAIT FOR 5000 ps; - E0L <= '1'; - WAIT FOR 10000 ps; - E0L <= '0'; - WAIT FOR 10000 ps; - E0L <= '1'; - WAIT FOR 5000 ps; - E0L <= '0'; - WAIT FOR 5000 ps; - E0L <= '1'; - WAIT FOR 10000 ps; - E0L <= '0'; - WAIT FOR 5000 ps; - E0L <= '1'; - WAIT FOR 5000 ps; - E0L <= '0'; - WAIT FOR 20000 ps; - E0L <= '1'; - WAIT FOR 5000 ps; - E0L <= '0'; - WAIT FOR 10000 ps; - E0L <= '1'; - WAIT FOR 5000 ps; - E0L <= '0'; - WAIT FOR 10000 ps; - E0L <= '1'; - WAIT FOR 10000 ps; - E0L <= '0'; - WAIT FOR 10000 ps; - E0L <= '1'; - WAIT FOR 10000 ps; - E0L <= '0'; - WAIT FOR 10000 ps; - E0L <= '1'; - WAIT FOR 5000 ps; - E0L <= '0'; - WAIT FOR 5000 ps; - E0L <= '1'; - WAIT FOR 5000 ps; - E0L <= '0'; - WAIT FOR 5000 ps; - E0L <= '1'; - WAIT FOR 5000 ps; - E0L <= '0'; - WAIT FOR 15000 ps; - E0L <= '1'; - WAIT FOR 10000 ps; - E0L <= '0'; - WAIT FOR 10000 ps; - E0L <= '1'; - WAIT FOR 10000 ps; - E0L <= '0'; - WAIT FOR 5000 ps; - E0L <= '1'; - WAIT FOR 10000 ps; - E0L <= '0'; - WAIT FOR 5000 ps; - E0L <= '1'; - WAIT FOR 10000 ps; - E0L <= '0'; - WAIT FOR 45000 ps; - E0L <= '1'; - WAIT FOR 5000 ps; - E0L <= '0'; - WAIT FOR 5000 ps; - E0L <= '1'; -WAIT; -END PROCESS t_prcs_E0L; - --- E1 -t_prcs_E1: PROCESS -BEGIN - E1 <= '1'; - WAIT FOR 5000 ps; - E1 <= '0'; - WAIT FOR 10000 ps; - E1 <= '1'; - WAIT FOR 10000 ps; - E1 <= '0'; - WAIT FOR 10000 ps; - E1 <= '1'; - WAIT FOR 5000 ps; - E1 <= '0'; - WAIT FOR 5000 ps; - E1 <= '1'; - WAIT FOR 15000 ps; - E1 <= '0'; - WAIT FOR 10000 ps; - E1 <= '1'; - WAIT FOR 20000 ps; - E1 <= '0'; - WAIT FOR 5000 ps; - E1 <= '1'; - WAIT FOR 10000 ps; - E1 <= '0'; - WAIT FOR 10000 ps; - E1 <= '1'; - WAIT FOR 10000 ps; - E1 <= '0'; - WAIT FOR 15000 ps; - E1 <= '1'; - WAIT FOR 10000 ps; - E1 <= '0'; - WAIT FOR 10000 ps; - E1 <= '1'; - WAIT FOR 5000 ps; - E1 <= '0'; - WAIT FOR 5000 ps; - E1 <= '1'; - WAIT FOR 20000 ps; - E1 <= '0'; - WAIT FOR 10000 ps; - E1 <= '1'; - WAIT FOR 15000 ps; - E1 <= '0'; - WAIT FOR 5000 ps; - E1 <= '1'; - WAIT FOR 5000 ps; - E1 <= '0'; - WAIT FOR 10000 ps; - E1 <= '1'; - WAIT FOR 15000 ps; - E1 <= '0'; - WAIT FOR 15000 ps; - E1 <= '1'; - WAIT FOR 30000 ps; - E1 <= '0'; - WAIT FOR 5000 ps; - E1 <= '1'; - WAIT FOR 10000 ps; - E1 <= '0'; - WAIT FOR 10000 ps; - E1 <= '1'; - WAIT FOR 5000 ps; - E1 <= '0'; - WAIT FOR 5000 ps; - E1 <= '1'; - WAIT FOR 5000 ps; - E1 <= '0'; - WAIT FOR 5000 ps; - E1 <= '1'; - WAIT FOR 5000 ps; - E1 <= '0'; - WAIT FOR 5000 ps; - E1 <= '1'; - WAIT FOR 5000 ps; - E1 <= '0'; - WAIT FOR 5000 ps; - E1 <= '1'; - WAIT FOR 10000 ps; - E1 <= '0'; - WAIT FOR 5000 ps; - E1 <= '1'; - WAIT FOR 10000 ps; - E1 <= '0'; - WAIT FOR 15000 ps; - E1 <= '1'; - WAIT FOR 10000 ps; - E1 <= '0'; - WAIT FOR 5000 ps; - E1 <= '1'; - WAIT FOR 5000 ps; - E1 <= '0'; - WAIT FOR 5000 ps; - E1 <= '1'; - WAIT FOR 5000 ps; - E1 <= '0'; - WAIT FOR 10000 ps; - E1 <= '1'; - WAIT FOR 5000 ps; - E1 <= '0'; - WAIT FOR 30000 ps; - E1 <= '1'; - WAIT FOR 5000 ps; - E1 <= '0'; - WAIT FOR 25000 ps; - E1 <= '1'; - WAIT FOR 5000 ps; - E1 <= '0'; - WAIT FOR 5000 ps; - E1 <= '1'; - WAIT FOR 5000 ps; - E1 <= '0'; - WAIT FOR 15000 ps; - E1 <= '1'; - WAIT FOR 10000 ps; - E1 <= '0'; - WAIT FOR 10000 ps; - E1 <= '1'; - WAIT FOR 50000 ps; - E1 <= '0'; - WAIT FOR 15000 ps; - E1 <= '1'; - WAIT FOR 10000 ps; - E1 <= '0'; - WAIT FOR 20000 ps; - E1 <= '1'; - WAIT FOR 10000 ps; - E1 <= '0'; - WAIT FOR 15000 ps; - E1 <= '1'; - WAIT FOR 10000 ps; - E1 <= '0'; - WAIT FOR 15000 ps; - E1 <= '1'; - WAIT FOR 10000 ps; - E1 <= '0'; - WAIT FOR 5000 ps; - E1 <= '1'; - WAIT FOR 15000 ps; - E1 <= '0'; - WAIT FOR 5000 ps; - E1 <= '1'; - WAIT FOR 5000 ps; - E1 <= '0'; - WAIT FOR 5000 ps; - E1 <= '1'; - WAIT FOR 20000 ps; - E1 <= '0'; - WAIT FOR 25000 ps; - E1 <= '1'; - WAIT FOR 10000 ps; - E1 <= '0'; - WAIT FOR 5000 ps; - E1 <= '1'; - WAIT FOR 10000 ps; - E1 <= '0'; - WAIT FOR 10000 ps; - E1 <= '1'; - WAIT FOR 25000 ps; - E1 <= '0'; - WAIT FOR 15000 ps; - E1 <= '1'; - WAIT FOR 10000 ps; - E1 <= '0'; - WAIT FOR 25000 ps; - E1 <= '1'; - WAIT FOR 10000 ps; - E1 <= '0'; - WAIT FOR 5000 ps; - E1 <= '1'; - WAIT FOR 15000 ps; - E1 <= '0'; - WAIT FOR 5000 ps; - E1 <= '1'; - WAIT FOR 15000 ps; - E1 <= '0'; - WAIT FOR 5000 ps; - E1 <= '1'; - WAIT FOR 20000 ps; - E1 <= '0'; - WAIT FOR 15000 ps; - E1 <= '1'; - WAIT FOR 5000 ps; - E1 <= '0'; -WAIT; -END PROCESS t_prcs_E1; - --- X0 -t_prcs_X0: PROCESS -BEGIN - X0 <= '0'; - WAIT FOR 5000 ps; - X0 <= '1'; - WAIT FOR 5000 ps; - X0 <= '0'; - WAIT FOR 5000 ps; - X0 <= '1'; - WAIT FOR 10000 ps; - X0 <= '0'; - WAIT FOR 5000 ps; - X0 <= '1'; - WAIT FOR 10000 ps; - X0 <= '0'; - WAIT FOR 5000 ps; - X0 <= '1'; - WAIT FOR 5000 ps; - X0 <= '0'; - WAIT FOR 5000 ps; - X0 <= '1'; - WAIT FOR 15000 ps; - X0 <= '0'; - WAIT FOR 5000 ps; - X0 <= '1'; - WAIT FOR 5000 ps; - X0 <= '0'; - WAIT FOR 15000 ps; - X0 <= '1'; - WAIT FOR 20000 ps; - X0 <= '0'; - WAIT FOR 10000 ps; - X0 <= '1'; - WAIT FOR 5000 ps; - X0 <= '0'; - WAIT FOR 35000 ps; - X0 <= '1'; - WAIT FOR 5000 ps; - X0 <= '0'; - WAIT FOR 40000 ps; - X0 <= '1'; - WAIT FOR 5000 ps; - X0 <= '0'; - WAIT FOR 15000 ps; - X0 <= '1'; - WAIT FOR 15000 ps; - X0 <= '0'; - WAIT FOR 5000 ps; - X0 <= '1'; - WAIT FOR 5000 ps; - X0 <= '0'; - WAIT FOR 10000 ps; - X0 <= '1'; - WAIT FOR 15000 ps; - X0 <= '0'; - WAIT FOR 10000 ps; - X0 <= '1'; - WAIT FOR 5000 ps; - X0 <= '0'; - WAIT FOR 10000 ps; - X0 <= '1'; - WAIT FOR 10000 ps; - X0 <= '0'; - WAIT FOR 5000 ps; - X0 <= '1'; - WAIT FOR 15000 ps; - X0 <= '0'; - WAIT FOR 5000 ps; - X0 <= '1'; - WAIT FOR 15000 ps; - X0 <= '0'; - WAIT FOR 10000 ps; - X0 <= '1'; - WAIT FOR 5000 ps; - X0 <= '0'; - WAIT FOR 20000 ps; - X0 <= '1'; - WAIT FOR 15000 ps; - X0 <= '0'; - WAIT FOR 5000 ps; - X0 <= '1'; - WAIT FOR 5000 ps; - X0 <= '0'; - WAIT FOR 5000 ps; - X0 <= '1'; - WAIT FOR 5000 ps; - X0 <= '0'; - WAIT FOR 35000 ps; - X0 <= '1'; - WAIT FOR 5000 ps; - X0 <= '0'; - WAIT FOR 20000 ps; - X0 <= '1'; - WAIT FOR 5000 ps; - X0 <= '0'; - WAIT FOR 5000 ps; - X0 <= '1'; - WAIT FOR 5000 ps; - X0 <= '0'; - WAIT FOR 5000 ps; - X0 <= '1'; - WAIT FOR 10000 ps; - X0 <= '0'; - WAIT FOR 10000 ps; - X0 <= '1'; - WAIT FOR 5000 ps; - X0 <= '0'; - WAIT FOR 5000 ps; - X0 <= '1'; - WAIT FOR 10000 ps; - X0 <= '0'; - WAIT FOR 20000 ps; - X0 <= '1'; - WAIT FOR 5000 ps; - X0 <= '0'; - WAIT FOR 15000 ps; - X0 <= '1'; - WAIT FOR 15000 ps; - X0 <= '0'; - WAIT FOR 10000 ps; - X0 <= '1'; - WAIT FOR 20000 ps; - X0 <= '0'; - WAIT FOR 5000 ps; - X0 <= '1'; - WAIT FOR 15000 ps; - X0 <= '0'; - WAIT FOR 5000 ps; - X0 <= '1'; - WAIT FOR 10000 ps; - X0 <= '0'; - WAIT FOR 5000 ps; - X0 <= '1'; - WAIT FOR 5000 ps; - X0 <= '0'; - WAIT FOR 5000 ps; - X0 <= '1'; - WAIT FOR 5000 ps; - X0 <= '0'; - WAIT FOR 10000 ps; - X0 <= '1'; - WAIT FOR 5000 ps; - X0 <= '0'; - WAIT FOR 10000 ps; - X0 <= '1'; - WAIT FOR 5000 ps; - X0 <= '0'; - WAIT FOR 10000 ps; - X0 <= '1'; - WAIT FOR 5000 ps; - X0 <= '0'; - WAIT FOR 5000 ps; - X0 <= '1'; - WAIT FOR 5000 ps; - X0 <= '0'; - WAIT FOR 15000 ps; - X0 <= '1'; - WAIT FOR 5000 ps; - X0 <= '0'; - WAIT FOR 5000 ps; - X0 <= '1'; - WAIT FOR 5000 ps; - X0 <= '0'; - WAIT FOR 5000 ps; - X0 <= '1'; - WAIT FOR 10000 ps; - X0 <= '0'; - WAIT FOR 5000 ps; - X0 <= '1'; - WAIT FOR 5000 ps; - X0 <= '0'; - WAIT FOR 5000 ps; - X0 <= '1'; - WAIT FOR 5000 ps; - X0 <= '0'; - WAIT FOR 5000 ps; - X0 <= '1'; - WAIT FOR 40000 ps; - X0 <= '0'; - WAIT FOR 5000 ps; - X0 <= '1'; - WAIT FOR 35000 ps; - X0 <= '0'; - WAIT FOR 10000 ps; - X0 <= '1'; - WAIT FOR 30000 ps; - X0 <= '0'; - WAIT FOR 5000 ps; - X0 <= '1'; - WAIT FOR 10000 ps; - X0 <= '0'; - WAIT FOR 10000 ps; - X0 <= '1'; - WAIT FOR 10000 ps; - X0 <= '0'; - WAIT FOR 5000 ps; - X0 <= '1'; - WAIT FOR 5000 ps; - X0 <= '0'; - WAIT FOR 10000 ps; - X0 <= '1'; - WAIT FOR 10000 ps; - X0 <= '0'; -WAIT; -END PROCESS t_prcs_X0; - --- X1 -t_prcs_X1: PROCESS -BEGIN - X1 <= '0'; - WAIT FOR 10000 ps; - X1 <= '1'; - WAIT FOR 5000 ps; - X1 <= '0'; - WAIT FOR 5000 ps; - X1 <= '1'; - WAIT FOR 5000 ps; - X1 <= '0'; - WAIT FOR 10000 ps; - X1 <= '1'; - WAIT FOR 15000 ps; - X1 <= '0'; - WAIT FOR 25000 ps; - X1 <= '1'; - WAIT FOR 10000 ps; - X1 <= '0'; - WAIT FOR 10000 ps; - X1 <= '1'; - WAIT FOR 10000 ps; - X1 <= '0'; - WAIT FOR 5000 ps; - X1 <= '1'; - WAIT FOR 5000 ps; - X1 <= '0'; - WAIT FOR 15000 ps; - X1 <= '1'; - WAIT FOR 10000 ps; - X1 <= '0'; - WAIT FOR 20000 ps; - X1 <= '1'; - WAIT FOR 5000 ps; - X1 <= '0'; - WAIT FOR 20000 ps; - X1 <= '1'; - WAIT FOR 20000 ps; - X1 <= '0'; - WAIT FOR 5000 ps; - X1 <= '1'; - WAIT FOR 5000 ps; - X1 <= '0'; - WAIT FOR 20000 ps; - X1 <= '1'; - WAIT FOR 5000 ps; - X1 <= '0'; - WAIT FOR 5000 ps; - X1 <= '1'; - WAIT FOR 10000 ps; - X1 <= '0'; - WAIT FOR 20000 ps; - X1 <= '1'; - WAIT FOR 5000 ps; - X1 <= '0'; - WAIT FOR 10000 ps; - X1 <= '1'; - WAIT FOR 5000 ps; - X1 <= '0'; - WAIT FOR 5000 ps; - X1 <= '1'; - WAIT FOR 20000 ps; - X1 <= '0'; - WAIT FOR 5000 ps; - X1 <= '1'; - WAIT FOR 5000 ps; - X1 <= '0'; - WAIT FOR 5000 ps; - X1 <= '1'; - WAIT FOR 10000 ps; - X1 <= '0'; - WAIT FOR 5000 ps; - X1 <= '1'; - WAIT FOR 5000 ps; - X1 <= '0'; - WAIT FOR 20000 ps; - X1 <= '1'; - WAIT FOR 15000 ps; - X1 <= '0'; - WAIT FOR 5000 ps; - X1 <= '1'; - WAIT FOR 10000 ps; - X1 <= '0'; - WAIT FOR 20000 ps; - X1 <= '1'; - WAIT FOR 5000 ps; - X1 <= '0'; - WAIT FOR 10000 ps; - X1 <= '1'; - WAIT FOR 5000 ps; - X1 <= '0'; - WAIT FOR 10000 ps; - X1 <= '1'; - WAIT FOR 10000 ps; - X1 <= '0'; - WAIT FOR 25000 ps; - X1 <= '1'; - WAIT FOR 5000 ps; - X1 <= '0'; - WAIT FOR 5000 ps; - X1 <= '1'; - WAIT FOR 5000 ps; - X1 <= '0'; - WAIT FOR 10000 ps; - X1 <= '1'; - WAIT FOR 25000 ps; - X1 <= '0'; - WAIT FOR 5000 ps; - X1 <= '1'; - WAIT FOR 15000 ps; - X1 <= '0'; - WAIT FOR 5000 ps; - X1 <= '1'; - WAIT FOR 15000 ps; - X1 <= '0'; - WAIT FOR 10000 ps; - X1 <= '1'; - WAIT FOR 5000 ps; - X1 <= '0'; - WAIT FOR 20000 ps; - X1 <= '1'; - WAIT FOR 5000 ps; - X1 <= '0'; - WAIT FOR 5000 ps; - X1 <= '1'; - WAIT FOR 10000 ps; - X1 <= '0'; - WAIT FOR 15000 ps; - X1 <= '1'; - WAIT FOR 20000 ps; - X1 <= '0'; - WAIT FOR 10000 ps; - X1 <= '1'; - WAIT FOR 5000 ps; - X1 <= '0'; - WAIT FOR 25000 ps; - X1 <= '1'; - WAIT FOR 10000 ps; - X1 <= '0'; - WAIT FOR 5000 ps; - X1 <= '1'; - WAIT FOR 5000 ps; - X1 <= '0'; - WAIT FOR 5000 ps; - X1 <= '1'; - WAIT FOR 5000 ps; - X1 <= '0'; - WAIT FOR 10000 ps; - X1 <= '1'; - WAIT FOR 5000 ps; - X1 <= '0'; - WAIT FOR 15000 ps; - X1 <= '1'; - WAIT FOR 5000 ps; - X1 <= '0'; - WAIT FOR 5000 ps; - X1 <= '1'; - WAIT FOR 5000 ps; - X1 <= '0'; - WAIT FOR 5000 ps; - X1 <= '1'; - WAIT FOR 25000 ps; - X1 <= '0'; - WAIT FOR 15000 ps; - X1 <= '1'; - WAIT FOR 15000 ps; - X1 <= '0'; - WAIT FOR 5000 ps; - X1 <= '1'; - WAIT FOR 5000 ps; - X1 <= '0'; - WAIT FOR 10000 ps; - X1 <= '1'; - WAIT FOR 10000 ps; - X1 <= '0'; - WAIT FOR 10000 ps; - X1 <= '1'; - WAIT FOR 25000 ps; - X1 <= '0'; - WAIT FOR 5000 ps; - X1 <= '1'; - WAIT FOR 20000 ps; - X1 <= '0'; - WAIT FOR 10000 ps; - X1 <= '1'; - WAIT FOR 5000 ps; - X1 <= '0'; - WAIT FOR 5000 ps; - X1 <= '1'; - WAIT FOR 20000 ps; - X1 <= '0'; - WAIT FOR 5000 ps; - X1 <= '1'; - WAIT FOR 5000 ps; - X1 <= '0'; - WAIT FOR 5000 ps; - X1 <= '1'; -WAIT; -END PROCESS t_prcs_X1; -END Dec2_4_arch; diff --git a/1ano/isd/quartus-projects/DecoderDemo/simulation/qsim/Waveform.vwf.vt b/1ano/isd/quartus-projects/DecoderDemo/simulation/qsim/Waveform.vwf.vt deleted file mode 100644 index eed1d2f..0000000 --- a/1ano/isd/quartus-projects/DecoderDemo/simulation/qsim/Waveform.vwf.vt +++ /dev/null @@ -1,470 +0,0 @@ -// Copyright (C) 2020 Intel Corporation. All rights reserved. -// Your use of Intel Corporation's design tools, logic functions -// and other software and tools, and any partner logic -// functions, and any output files from any of the foregoing -// (including device programming or simulation files), and any -// associated documentation or information are expressly subject -// to the terms and conditions of the Intel Program License -// Subscription Agreement, the Intel Quartus Prime License Agreement, -// the Intel FPGA IP License Agreement, or other applicable license -// agreement, including, without limitation, that your use is for -// the sole purpose of programming logic devices manufactured by -// Intel and sold by Intel or its authorized distributors. Please -// refer to the applicable agreement for further details, at -// https://fpgasoftware.intel.com/eula. - -// ***************************************************************************** -// This file contains a Verilog test bench with test vectors .The test vectors -// are exported from a vector file in the Quartus Waveform Editor and apply to -// the top level entity of the current Quartus project .The user can use this -// testbench to simulate his design using a third-party simulation tool . -// ***************************************************************************** -// Generated on "11/14/2022 16:20:00" - -// Verilog Test Bench (with test vectors) for design : Dec2_4 -// -// Simulation tool : 3rd Party -// - -`timescale 1 ps/ 1 ps -module Dec2_4_vlg_vec_tst(); -// constants -// general purpose registers -reg E0L; -reg E1; -reg X0; -reg X1; -// wires -wire Y0; -wire Y1; -wire Y2; -wire Y3; - -// assign statements (if any) -Dec2_4 i1 ( -// port map - connection between master ports and signals/registers - .E0L(E0L), - .E1(E1), - .X0(X0), - .X1(X1), - .Y0(Y0), - .Y1(Y1), - .Y2(Y2), - .Y3(Y3) -); -initial -begin -#1000000 $finish; -end - -// E0L -initial -begin - E0L = 1'b1; - E0L = #5000 1'b0; - E0L = #65000 1'b1; - E0L = #10000 1'b0; - E0L = #10000 1'b1; - E0L = #20000 1'b0; - E0L = #5000 1'b1; - E0L = #10000 1'b0; - E0L = #5000 1'b1; - E0L = #5000 1'b0; - E0L = #5000 1'b1; - E0L = #10000 1'b0; - E0L = #15000 1'b1; - E0L = #5000 1'b0; - E0L = #10000 1'b1; - E0L = #30000 1'b0; - E0L = #5000 1'b1; - E0L = #10000 1'b0; - E0L = #5000 1'b1; - E0L = #10000 1'b0; - E0L = #5000 1'b1; - E0L = #5000 1'b0; - E0L = #10000 1'b1; - E0L = #5000 1'b0; - E0L = #5000 1'b1; - E0L = #10000 1'b0; - E0L = #10000 1'b1; - E0L = #15000 1'b0; - E0L = #20000 1'b1; - E0L = #10000 1'b0; - E0L = #5000 1'b1; - E0L = #5000 1'b0; - E0L = #15000 1'b1; - E0L = #5000 1'b0; - E0L = #5000 1'b1; - E0L = #5000 1'b0; - E0L = #5000 1'b1; - E0L = #10000 1'b0; - E0L = #5000 1'b1; - E0L = #15000 1'b0; - E0L = #5000 1'b1; - E0L = #5000 1'b0; - E0L = #20000 1'b1; - E0L = #10000 1'b0; - E0L = #10000 1'b1; - E0L = #15000 1'b0; - E0L = #30000 1'b1; - E0L = #5000 1'b0; - E0L = #10000 1'b1; - E0L = #10000 1'b0; - E0L = #5000 1'b1; - E0L = #10000 1'b0; - E0L = #5000 1'b1; - E0L = #5000 1'b0; - E0L = #35000 1'b1; - E0L = #5000 1'b0; - E0L = #10000 1'b1; - E0L = #20000 1'b0; - E0L = #10000 1'b1; - E0L = #5000 1'b0; - E0L = #5000 1'b1; - E0L = #5000 1'b0; - E0L = #30000 1'b1; - E0L = #5000 1'b0; - E0L = #5000 1'b1; - E0L = #5000 1'b0; - E0L = #5000 1'b1; - E0L = #10000 1'b0; - E0L = #10000 1'b1; - E0L = #5000 1'b0; - E0L = #5000 1'b1; - E0L = #10000 1'b0; - E0L = #5000 1'b1; - E0L = #5000 1'b0; - E0L = #20000 1'b1; - E0L = #5000 1'b0; - E0L = #10000 1'b1; - E0L = #5000 1'b0; - E0L = #10000 1'b1; - E0L = #10000 1'b0; - E0L = #10000 1'b1; - E0L = #10000 1'b0; - E0L = #10000 1'b1; - E0L = #5000 1'b0; - E0L = #5000 1'b1; - E0L = #5000 1'b0; - E0L = #5000 1'b1; - E0L = #5000 1'b0; - E0L = #15000 1'b1; - E0L = #10000 1'b0; - E0L = #10000 1'b1; - E0L = #10000 1'b0; - E0L = #5000 1'b1; - E0L = #10000 1'b0; - E0L = #5000 1'b1; - E0L = #10000 1'b0; - E0L = #45000 1'b1; - E0L = #5000 1'b0; - E0L = #5000 1'b1; -end - -// E1 -initial -begin - E1 = 1'b1; - E1 = #5000 1'b0; - E1 = #10000 1'b1; - E1 = #10000 1'b0; - E1 = #10000 1'b1; - E1 = #5000 1'b0; - E1 = #5000 1'b1; - E1 = #15000 1'b0; - E1 = #10000 1'b1; - E1 = #20000 1'b0; - E1 = #5000 1'b1; - E1 = #10000 1'b0; - E1 = #10000 1'b1; - E1 = #10000 1'b0; - E1 = #15000 1'b1; - E1 = #10000 1'b0; - E1 = #10000 1'b1; - E1 = #5000 1'b0; - E1 = #5000 1'b1; - E1 = #20000 1'b0; - E1 = #10000 1'b1; - E1 = #15000 1'b0; - E1 = #5000 1'b1; - E1 = #5000 1'b0; - E1 = #10000 1'b1; - E1 = #15000 1'b0; - E1 = #15000 1'b1; - E1 = #30000 1'b0; - E1 = #5000 1'b1; - E1 = #10000 1'b0; - E1 = #10000 1'b1; - E1 = #5000 1'b0; - E1 = #5000 1'b1; - E1 = #5000 1'b0; - E1 = #5000 1'b1; - E1 = #5000 1'b0; - E1 = #5000 1'b1; - E1 = #5000 1'b0; - E1 = #5000 1'b1; - E1 = #10000 1'b0; - E1 = #5000 1'b1; - E1 = #10000 1'b0; - E1 = #15000 1'b1; - E1 = #10000 1'b0; - E1 = #5000 1'b1; - E1 = #5000 1'b0; - E1 = #5000 1'b1; - E1 = #5000 1'b0; - E1 = #10000 1'b1; - E1 = #5000 1'b0; - E1 = #30000 1'b1; - E1 = #5000 1'b0; - E1 = #25000 1'b1; - E1 = #5000 1'b0; - E1 = #5000 1'b1; - E1 = #5000 1'b0; - E1 = #15000 1'b1; - E1 = #10000 1'b0; - E1 = #10000 1'b1; - E1 = #50000 1'b0; - E1 = #15000 1'b1; - E1 = #10000 1'b0; - E1 = #20000 1'b1; - E1 = #10000 1'b0; - E1 = #15000 1'b1; - E1 = #10000 1'b0; - E1 = #15000 1'b1; - E1 = #10000 1'b0; - E1 = #5000 1'b1; - E1 = #15000 1'b0; - E1 = #5000 1'b1; - E1 = #5000 1'b0; - E1 = #5000 1'b1; - E1 = #20000 1'b0; - E1 = #25000 1'b1; - E1 = #10000 1'b0; - E1 = #5000 1'b1; - E1 = #10000 1'b0; - E1 = #10000 1'b1; - E1 = #25000 1'b0; - E1 = #15000 1'b1; - E1 = #10000 1'b0; - E1 = #25000 1'b1; - E1 = #10000 1'b0; - E1 = #5000 1'b1; - E1 = #15000 1'b0; - E1 = #5000 1'b1; - E1 = #15000 1'b0; - E1 = #5000 1'b1; - E1 = #20000 1'b0; - E1 = #15000 1'b1; - E1 = #5000 1'b0; -end - -// X0 -initial -begin - X0 = 1'b0; - X0 = #5000 1'b1; - X0 = #5000 1'b0; - X0 = #5000 1'b1; - X0 = #10000 1'b0; - X0 = #5000 1'b1; - X0 = #10000 1'b0; - X0 = #5000 1'b1; - X0 = #5000 1'b0; - X0 = #5000 1'b1; - X0 = #15000 1'b0; - X0 = #5000 1'b1; - X0 = #5000 1'b0; - X0 = #15000 1'b1; - X0 = #20000 1'b0; - X0 = #10000 1'b1; - X0 = #5000 1'b0; - X0 = #35000 1'b1; - X0 = #5000 1'b0; - X0 = #40000 1'b1; - X0 = #5000 1'b0; - X0 = #15000 1'b1; - X0 = #15000 1'b0; - X0 = #5000 1'b1; - X0 = #5000 1'b0; - X0 = #10000 1'b1; - X0 = #15000 1'b0; - X0 = #10000 1'b1; - X0 = #5000 1'b0; - X0 = #10000 1'b1; - X0 = #10000 1'b0; - X0 = #5000 1'b1; - X0 = #15000 1'b0; - X0 = #5000 1'b1; - X0 = #15000 1'b0; - X0 = #10000 1'b1; - X0 = #5000 1'b0; - X0 = #20000 1'b1; - X0 = #15000 1'b0; - X0 = #5000 1'b1; - X0 = #5000 1'b0; - X0 = #5000 1'b1; - X0 = #5000 1'b0; - X0 = #35000 1'b1; - X0 = #5000 1'b0; - X0 = #20000 1'b1; - X0 = #5000 1'b0; - X0 = #5000 1'b1; - X0 = #5000 1'b0; - X0 = #5000 1'b1; - X0 = #10000 1'b0; - X0 = #10000 1'b1; - X0 = #5000 1'b0; - X0 = #5000 1'b1; - X0 = #10000 1'b0; - X0 = #20000 1'b1; - X0 = #5000 1'b0; - X0 = #15000 1'b1; - X0 = #15000 1'b0; - X0 = #10000 1'b1; - X0 = #20000 1'b0; - X0 = #5000 1'b1; - X0 = #15000 1'b0; - X0 = #5000 1'b1; - X0 = #10000 1'b0; - X0 = #5000 1'b1; - X0 = #5000 1'b0; - X0 = #5000 1'b1; - X0 = #5000 1'b0; - X0 = #10000 1'b1; - X0 = #5000 1'b0; - X0 = #10000 1'b1; - X0 = #5000 1'b0; - X0 = #10000 1'b1; - X0 = #5000 1'b0; - X0 = #5000 1'b1; - X0 = #5000 1'b0; - X0 = #15000 1'b1; - X0 = #5000 1'b0; - X0 = #5000 1'b1; - X0 = #5000 1'b0; - X0 = #5000 1'b1; - X0 = #10000 1'b0; - X0 = #5000 1'b1; - X0 = #5000 1'b0; - X0 = #5000 1'b1; - X0 = #5000 1'b0; - X0 = #5000 1'b1; - X0 = #40000 1'b0; - X0 = #5000 1'b1; - X0 = #35000 1'b0; - X0 = #10000 1'b1; - X0 = #30000 1'b0; - X0 = #5000 1'b1; - X0 = #10000 1'b0; - X0 = #10000 1'b1; - X0 = #10000 1'b0; - X0 = #5000 1'b1; - X0 = #5000 1'b0; - X0 = #10000 1'b1; - X0 = #10000 1'b0; -end - -// X1 -initial -begin - X1 = 1'b0; - X1 = #10000 1'b1; - X1 = #5000 1'b0; - X1 = #5000 1'b1; - X1 = #5000 1'b0; - X1 = #10000 1'b1; - X1 = #15000 1'b0; - X1 = #25000 1'b1; - X1 = #10000 1'b0; - X1 = #10000 1'b1; - X1 = #10000 1'b0; - X1 = #5000 1'b1; - X1 = #5000 1'b0; - X1 = #15000 1'b1; - X1 = #10000 1'b0; - X1 = #20000 1'b1; - X1 = #5000 1'b0; - X1 = #20000 1'b1; - X1 = #20000 1'b0; - X1 = #5000 1'b1; - X1 = #5000 1'b0; - X1 = #20000 1'b1; - X1 = #5000 1'b0; - X1 = #5000 1'b1; - X1 = #10000 1'b0; - X1 = #20000 1'b1; - X1 = #5000 1'b0; - X1 = #10000 1'b1; - X1 = #5000 1'b0; - X1 = #5000 1'b1; - X1 = #20000 1'b0; - X1 = #5000 1'b1; - X1 = #5000 1'b0; - X1 = #5000 1'b1; - X1 = #10000 1'b0; - X1 = #5000 1'b1; - X1 = #5000 1'b0; - X1 = #20000 1'b1; - X1 = #15000 1'b0; - X1 = #5000 1'b1; - X1 = #10000 1'b0; - X1 = #20000 1'b1; - X1 = #5000 1'b0; - X1 = #10000 1'b1; - X1 = #5000 1'b0; - X1 = #10000 1'b1; - X1 = #10000 1'b0; - X1 = #25000 1'b1; - X1 = #5000 1'b0; - X1 = #5000 1'b1; - X1 = #5000 1'b0; - X1 = #10000 1'b1; - X1 = #25000 1'b0; - X1 = #5000 1'b1; - X1 = #15000 1'b0; - X1 = #5000 1'b1; - X1 = #15000 1'b0; - X1 = #10000 1'b1; - X1 = #5000 1'b0; - X1 = #20000 1'b1; - X1 = #5000 1'b0; - X1 = #5000 1'b1; - X1 = #10000 1'b0; - X1 = #15000 1'b1; - X1 = #20000 1'b0; - X1 = #10000 1'b1; - X1 = #5000 1'b0; - X1 = #25000 1'b1; - X1 = #10000 1'b0; - X1 = #5000 1'b1; - X1 = #5000 1'b0; - X1 = #5000 1'b1; - X1 = #5000 1'b0; - X1 = #10000 1'b1; - X1 = #5000 1'b0; - X1 = #15000 1'b1; - X1 = #5000 1'b0; - X1 = #5000 1'b1; - X1 = #5000 1'b0; - X1 = #5000 1'b1; - X1 = #25000 1'b0; - X1 = #15000 1'b1; - X1 = #15000 1'b0; - X1 = #5000 1'b1; - X1 = #5000 1'b0; - X1 = #10000 1'b1; - X1 = #10000 1'b0; - X1 = #10000 1'b1; - X1 = #25000 1'b0; - X1 = #5000 1'b1; - X1 = #20000 1'b0; - X1 = #10000 1'b1; - X1 = #5000 1'b0; - X1 = #5000 1'b1; - X1 = #20000 1'b0; - X1 = #5000 1'b1; - X1 = #5000 1'b0; - X1 = #5000 1'b1; -end -endmodule - diff --git a/1ano/isd/quartus-projects/DecoderDemo/simulation/qsim/Waveform1.vwf.vht b/1ano/isd/quartus-projects/DecoderDemo/simulation/qsim/Waveform1.vwf.vht deleted file mode 100644 index 0d2b019..0000000 --- a/1ano/isd/quartus-projects/DecoderDemo/simulation/qsim/Waveform1.vwf.vht +++ /dev/null @@ -1,118 +0,0 @@ --- Copyright (C) 2020 Intel Corporation. All rights reserved. --- Your use of Intel Corporation's design tools, logic functions --- and other software and tools, and any partner logic --- functions, and any output files from any of the foregoing --- (including device programming or simulation files), and any --- associated documentation or information are expressly subject --- to the terms and conditions of the Intel Program License --- Subscription Agreement, the Intel Quartus Prime License Agreement, --- the Intel FPGA IP License Agreement, or other applicable license --- agreement, including, without limitation, that your use is for --- the sole purpose of programming logic devices manufactured by --- Intel and sold by Intel or its authorized distributors. Please --- refer to the applicable agreement for further details, at --- https://fpgasoftware.intel.com/eula. - --- ***************************************************************************** --- This file contains a Vhdl test bench with test vectors .The test vectors --- are exported from a vector file in the Quartus Waveform Editor and apply to --- the top level entity of the current Quartus project .The user can use this --- testbench to simulate his design using a third-party simulation tool . --- ***************************************************************************** --- Generated on "11/14/2022 21:42:30" - --- Vhdl Test Bench(with test vectors) for design : Dec2_4 --- --- Simulation tool : 3rd Party --- - -LIBRARY ieee; -USE ieee.std_logic_1164.all; - -ENTITY Dec2_4_vhd_vec_tst IS -END Dec2_4_vhd_vec_tst; -ARCHITECTURE Dec2_4_arch OF Dec2_4_vhd_vec_tst IS --- constants --- signals -SIGNAL E0L : STD_LOGIC; -SIGNAL E1 : STD_LOGIC; -SIGNAL X0 : STD_LOGIC; -SIGNAL X1 : STD_LOGIC; -SIGNAL Y0 : STD_LOGIC; -SIGNAL Y1 : STD_LOGIC; -SIGNAL Y2 : STD_LOGIC; -SIGNAL Y3 : STD_LOGIC; -COMPONENT Dec2_4 - PORT ( - E0L : IN STD_LOGIC; - E1 : IN STD_LOGIC; - X0 : IN STD_LOGIC; - X1 : IN STD_LOGIC; - Y0 : OUT STD_LOGIC; - Y1 : OUT STD_LOGIC; - Y2 : OUT STD_LOGIC; - Y3 : OUT STD_LOGIC - ); -END COMPONENT; -BEGIN - i1 : Dec2_4 - PORT MAP ( --- list connections between master ports and signals - E0L => E0L, - E1 => E1, - X0 => X0, - X1 => X1, - Y0 => Y0, - Y1 => Y1, - Y2 => Y2, - Y3 => Y3 - ); - --- E0L -t_prcs_E0L: PROCESS -BEGIN -LOOP - E0L <= '0'; - WAIT FOR 12500 ps; - E0L <= '1'; - WAIT FOR 12500 ps; - IF (NOW >= 1000000 ps) THEN WAIT; END IF; -END LOOP; -END PROCESS t_prcs_E0L; - --- E1 -t_prcs_E1: PROCESS -BEGIN -LOOP - E1 <= '0'; - WAIT FOR 25000 ps; - E1 <= '1'; - WAIT FOR 25000 ps; - IF (NOW >= 1000000 ps) THEN WAIT; END IF; -END LOOP; -END PROCESS t_prcs_E1; - --- X0 -t_prcs_X0: PROCESS -BEGIN -LOOP - X0 <= '0'; - WAIT FOR 50000 ps; - X0 <= '1'; - WAIT FOR 50000 ps; - IF (NOW >= 1000000 ps) THEN WAIT; END IF; -END LOOP; -END PROCESS t_prcs_X0; - --- X1 -t_prcs_X1: PROCESS -BEGIN -LOOP - X1 <= '0'; - WAIT FOR 100000 ps; - X1 <= '1'; - WAIT FOR 100000 ps; - IF (NOW >= 1000000 ps) THEN WAIT; END IF; -END LOOP; -END PROCESS t_prcs_X1; -END Dec2_4_arch; diff --git a/1ano/isd/quartus-projects/DecoderDemo/simulation/qsim/Waveform1.vwf.vt b/1ano/isd/quartus-projects/DecoderDemo/simulation/qsim/Waveform1.vwf.vt deleted file mode 100644 index b12b1c3..0000000 --- a/1ano/isd/quartus-projects/DecoderDemo/simulation/qsim/Waveform1.vwf.vt +++ /dev/null @@ -1,92 +0,0 @@ -// Copyright (C) 2020 Intel Corporation. All rights reserved. -// Your use of Intel Corporation's design tools, logic functions -// and other software and tools, and any partner logic -// functions, and any output files from any of the foregoing -// (including device programming or simulation files), and any -// associated documentation or information are expressly subject -// to the terms and conditions of the Intel Program License -// Subscription Agreement, the Intel Quartus Prime License Agreement, -// the Intel FPGA IP License Agreement, or other applicable license -// agreement, including, without limitation, that your use is for -// the sole purpose of programming logic devices manufactured by -// Intel and sold by Intel or its authorized distributors. Please -// refer to the applicable agreement for further details, at -// https://fpgasoftware.intel.com/eula. - -// ***************************************************************************** -// This file contains a Verilog test bench with test vectors .The test vectors -// are exported from a vector file in the Quartus Waveform Editor and apply to -// the top level entity of the current Quartus project .The user can use this -// testbench to simulate his design using a third-party simulation tool . -// ***************************************************************************** -// Generated on "11/14/2022 21:42:10" - -// Verilog Test Bench (with test vectors) for design : Dec2_4 -// -// Simulation tool : 3rd Party -// - -`timescale 1 ps/ 1 ps -module Dec2_4_vlg_vec_tst(); -// constants -// general purpose registers -reg E0L; -reg E1; -reg X0; -reg X1; -// wires -wire Y0; -wire Y1; -wire Y2; -wire Y3; - -// assign statements (if any) -Dec2_4 i1 ( -// port map - connection between master ports and signals/registers - .E0L(E0L), - .E1(E1), - .X0(X0), - .X1(X1), - .Y0(Y0), - .Y1(Y1), - .Y2(Y2), - .Y3(Y3) -); -initial -begin -#1000000 $finish; -end - -// E0L -always -begin - E0L = 1'b0; - E0L = #12500 1'b1; - #12500; -end - -// E1 -always -begin - E1 = 1'b0; - E1 = #25000 1'b1; - #25000; -end - -// X0 -always -begin - X0 = 1'b0; - X0 = #50000 1'b1; - #50000; -end - -// X1 -always -begin - X1 = 1'b0; - X1 = #100000 1'b1; - #100000; -end -endmodule - diff --git a/1ano/isd/quartus-projects/DecoderDemo/simulation/qsim/WaveformDecoderNode.vwf.vht b/1ano/isd/quartus-projects/DecoderDemo/simulation/qsim/WaveformDecoderNode.vwf.vht deleted file mode 100644 index eaa536e..0000000 --- a/1ano/isd/quartus-projects/DecoderDemo/simulation/qsim/WaveformDecoderNode.vwf.vht +++ /dev/null @@ -1,118 +0,0 @@ --- Copyright (C) 2020 Intel Corporation. All rights reserved. --- Your use of Intel Corporation's design tools, logic functions --- and other software and tools, and any partner logic --- functions, and any output files from any of the foregoing --- (including device programming or simulation files), and any --- associated documentation or information are expressly subject --- to the terms and conditions of the Intel Program License --- Subscription Agreement, the Intel Quartus Prime License Agreement, --- the Intel FPGA IP License Agreement, or other applicable license --- agreement, including, without limitation, that your use is for --- the sole purpose of programming logic devices manufactured by --- Intel and sold by Intel or its authorized distributors. Please --- refer to the applicable agreement for further details, at --- https://fpgasoftware.intel.com/eula. - --- ***************************************************************************** --- This file contains a Vhdl test bench with test vectors .The test vectors --- are exported from a vector file in the Quartus Waveform Editor and apply to --- the top level entity of the current Quartus project .The user can use this --- testbench to simulate his design using a third-party simulation tool . --- ***************************************************************************** --- Generated on "11/04/2022 18:04:28" - --- Vhdl Test Bench(with test vectors) for design : Dec2_4 --- --- Simulation tool : 3rd Party --- - -LIBRARY ieee; -USE ieee.std_logic_1164.all; - -ENTITY Dec2_4_vhd_vec_tst IS -END Dec2_4_vhd_vec_tst; -ARCHITECTURE Dec2_4_arch OF Dec2_4_vhd_vec_tst IS --- constants --- signals -SIGNAL E0L : STD_LOGIC; -SIGNAL E1 : STD_LOGIC; -SIGNAL X0 : STD_LOGIC; -SIGNAL X1 : STD_LOGIC; -SIGNAL Y0 : STD_LOGIC; -SIGNAL Y1 : STD_LOGIC; -SIGNAL Y2 : STD_LOGIC; -SIGNAL Y3 : STD_LOGIC; -COMPONENT Dec2_4 - PORT ( - E0L : IN STD_LOGIC; - E1 : IN STD_LOGIC; - X0 : IN STD_LOGIC; - X1 : IN STD_LOGIC; - Y0 : OUT STD_LOGIC; - Y1 : OUT STD_LOGIC; - Y2 : OUT STD_LOGIC; - Y3 : OUT STD_LOGIC - ); -END COMPONENT; -BEGIN - i1 : Dec2_4 - PORT MAP ( --- list connections between master ports and signals - E0L => E0L, - E1 => E1, - X0 => X0, - X1 => X1, - Y0 => Y0, - Y1 => Y1, - Y2 => Y2, - Y3 => Y3 - ); - --- E0L -t_prcs_E0L: PROCESS -BEGIN -LOOP - E0L <= '0'; - WAIT FOR 100000 ps; - E0L <= '1'; - WAIT FOR 100000 ps; - IF (NOW >= 1000000 ps) THEN WAIT; END IF; -END LOOP; -END PROCESS t_prcs_E0L; - --- E1 -t_prcs_E1: PROCESS -BEGIN -LOOP - E1 <= '0'; - WAIT FOR 50000 ps; - E1 <= '1'; - WAIT FOR 50000 ps; - IF (NOW >= 1000000 ps) THEN WAIT; END IF; -END LOOP; -END PROCESS t_prcs_E1; - --- X1 -t_prcs_X1: PROCESS -BEGIN -LOOP - X1 <= '0'; - WAIT FOR 25000 ps; - X1 <= '1'; - WAIT FOR 25000 ps; - IF (NOW >= 1000000 ps) THEN WAIT; END IF; -END LOOP; -END PROCESS t_prcs_X1; - --- X0 -t_prcs_X0: PROCESS -BEGIN -LOOP - X0 <= '0'; - WAIT FOR 12500 ps; - X0 <= '1'; - WAIT FOR 12500 ps; - IF (NOW >= 1000000 ps) THEN WAIT; END IF; -END LOOP; -END PROCESS t_prcs_X0; -END Dec2_4_arch; diff --git a/1ano/isd/quartus-projects/DecoderDemo/simulation/qsim/WaveformDecoderNode.vwf.vt b/1ano/isd/quartus-projects/DecoderDemo/simulation/qsim/WaveformDecoderNode.vwf.vt deleted file mode 100644 index 2977ed4..0000000 --- a/1ano/isd/quartus-projects/DecoderDemo/simulation/qsim/WaveformDecoderNode.vwf.vt +++ /dev/null @@ -1,92 +0,0 @@ -// Copyright (C) 2020 Intel Corporation. All rights reserved. -// Your use of Intel Corporation's design tools, logic functions -// and other software and tools, and any partner logic -// functions, and any output files from any of the foregoing -// (including device programming or simulation files), and any -// associated documentation or information are expressly subject -// to the terms and conditions of the Intel Program License -// Subscription Agreement, the Intel Quartus Prime License Agreement, -// the Intel FPGA IP License Agreement, or other applicable license -// agreement, including, without limitation, that your use is for -// the sole purpose of programming logic devices manufactured by -// Intel and sold by Intel or its authorized distributors. Please -// refer to the applicable agreement for further details, at -// https://fpgasoftware.intel.com/eula. - -// ***************************************************************************** -// This file contains a Verilog test bench with test vectors .The test vectors -// are exported from a vector file in the Quartus Waveform Editor and apply to -// the top level entity of the current Quartus project .The user can use this -// testbench to simulate his design using a third-party simulation tool . -// ***************************************************************************** -// Generated on "11/04/2022 15:15:39" - -// Verilog Test Bench (with test vectors) for design : Dec2_4 -// -// Simulation tool : 3rd Party -// - -`timescale 1 ps/ 1 ps -module Dec2_4_vlg_vec_tst(); -// constants -// general purpose registers -reg E0L; -reg E1; -reg X0; -reg X1; -// wires -wire Y0; -wire Y1; -wire Y2; -wire Y3; - -// assign statements (if any) -Dec2_4 i1 ( -// port map - connection between master ports and signals/registers - .E0L(E0L), - .E1(E1), - .X0(X0), - .X1(X1), - .Y0(Y0), - .Y1(Y1), - .Y2(Y2), - .Y3(Y3) -); -initial -begin -#1000000 $finish; -end - -// E0L -always -begin - E0L = 1'b0; - E0L = #100000 1'b1; - #100000; -end - -// E1 -always -begin - E1 = 1'b0; - E1 = #50000 1'b1; - #50000; -end - -// X1 -always -begin - X1 = 1'b0; - X1 = #25000 1'b1; - #25000; -end - -// X0 -always -begin - X0 = 1'b0; - X0 = #12500 1'b1; - #12500; -end -endmodule - diff --git a/1ano/isd/quartus-projects/DecoderDemo/simulation/qsim/transcript b/1ano/isd/quartus-projects/DecoderDemo/simulation/qsim/transcript deleted file mode 100644 index 226731f..0000000 --- a/1ano/isd/quartus-projects/DecoderDemo/simulation/qsim/transcript +++ /dev/null @@ -1,47 +0,0 @@ -# do DecoderDemo.do -# ** Warning: (vlib-34) Library already exists at "work". -# Model Technology ModelSim - Intel FPGA Edition vcom 2020.1 Compiler 2020.02 Feb 28 2020 -# Start time: 21:42:31 on Nov 14,2022 -# vcom -work work DecoderDemo.vho -# -- Loading package STANDARD -# -- Loading package TEXTIO -# -- Loading package std_logic_1164 -# -- Loading package VITAL_Timing -# -- Loading package VITAL_Primitives -# -- Loading package cycloneive_atom_pack -# -- Loading package cycloneive_components -# -- Compiling entity hard_block -# -- Compiling architecture structure of hard_block -# -- Compiling entity Dec2_4 -# -- Compiling architecture structure of Dec2_4 -# End time: 21:42:31 on Nov 14,2022, Elapsed time: 0:00:00 -# Errors: 0, Warnings: 0 -# Model Technology ModelSim - Intel FPGA Edition vcom 2020.1 Compiler 2020.02 Feb 28 2020 -# Start time: 21:42:31 on Nov 14,2022 -# vcom -work work Waveform1.vwf.vht -# -- Loading package STANDARD -# -- Loading package TEXTIO -# -- Loading package std_logic_1164 -# -- Compiling entity Dec2_4_vhd_vec_tst -# -- Compiling architecture Dec2_4_arch of Dec2_4_vhd_vec_tst -# End time: 21:42:31 on Nov 14,2022, Elapsed time: 0:00:00 -# Errors: 0, Warnings: 0 -# vsim -c -t 1ps -L cycloneive -L altera -L altera_mf -L 220model -L sgate -L altera_lnsim work.Dec2_4_vhd_vec_tst -# Start time: 21:42:31 on Nov 14,2022 -# Loading std.standard -# Loading std.textio(body) -# Loading ieee.std_logic_1164(body) -# Loading work.dec2_4_vhd_vec_tst(dec2_4_arch) -# Loading ieee.vital_timing(body) -# Loading ieee.vital_primitives(body) -# Loading cycloneive.cycloneive_atom_pack(body) -# Loading cycloneive.cycloneive_components -# Loading work.dec2_4(structure) -# Loading work.hard_block(structure) -# Loading ieee.std_logic_arith(body) -# Loading cycloneive.cycloneive_io_obuf(arch) -# Loading cycloneive.cycloneive_io_ibuf(arch) -# Loading cycloneive.cycloneive_lcell_comb(vital_lcell_comb) -# after#33 -# End time: 21:42:31 on Nov 14,2022, Elapsed time: 0:00:00 -# Errors: 0, Warnings: 0 diff --git a/1ano/isd/quartus-projects/DecoderDemo/simulation/qsim/vwf_sim_transcript b/1ano/isd/quartus-projects/DecoderDemo/simulation/qsim/vwf_sim_transcript deleted file mode 100644 index 3a3fbbc..0000000 --- a/1ano/isd/quartus-projects/DecoderDemo/simulation/qsim/vwf_sim_transcript +++ /dev/null @@ -1,76 +0,0 @@ -Determining the location of the ModelSim executable... - -Using: /home/tiagorg/intelFPGA_lite/20.1/modelsim_ase/linuxaloem/ - -To specify a ModelSim executable directory, select: Tools -> Options -> EDA Tool Options -Note: if both ModelSim-Altera and ModelSim executables are available, ModelSim-Altera will be used. - -**** Generating the ModelSim Testbench **** - -quartus_eda --gen_testbench --tool=modelsim_oem --format=vhdl --write_settings_files=off DecoderDemo -c DecoderDemo --vector_source="/home/tiagorg/repos/DecoderDemo/Waveform1.vwf" --testbench_file="/home/tiagorg/repos/DecoderDemo/simulation/qsim/Waveform1.vwf.vht" - -Info: *******************************************************************Info: Running Quartus Prime EDA Netlist Writer Info: Version 20.1.1 Build 720 11/11/2020 SJ Lite Edition Info: Copyright (C) 2020 Intel Corporation. All rights reserved. Info: Your use of Intel Corporation's design tools, logic functions Info: and other software and tools, and any partner logic Info: functions, and any output files from any of the foregoing Info: (including device programming or simulation files), and any Info: associated documentation or information are expressly subject Info: to the terms and conditions of the Intel Program License Info: Subscription Agreement, the Intel Quartus Prime License Agreement, Info: the Intel FPGA IP License Agreement, or other applicable license Info: agreement, including, without limitation, that your use is for Info: the sole purpose of programming logic devices manufactured by Info: Intel and sold by Intel or its authorized distributors. Please Info: refer to the applicable agreement for further details, at Info: https://fpgasoftware.intel.com/eula. Info: Processing started: Mon Nov 14 21:42:30 2022Info: Command: quartus_eda --gen_testbench --tool=modelsim_oem --format=vhdl --write_settings_files=off DecoderDemo -c DecoderDemo --vector_source=/home/tiagorg/repos/DecoderDemo/Waveform1.vwf --testbench_file=/home/tiagorg/repos/DecoderDemo/simulation/qsim/Waveform1.vwf.vhtWarning (18236): Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance. -Completed successfully. - -**** Generating the functional simulation netlist **** - -quartus_eda --write_settings_files=off --simulation --functional=on --flatten_buses=off --tool=modelsim_oem --format=vhdl --output_directory="/home/tiagorg/repos/DecoderDemo/simulation/qsim/" DecoderDemo -c DecoderDemo - -Info: *******************************************************************Info: Running Quartus Prime EDA Netlist Writer Info: Version 20.1.1 Build 720 11/11/2020 SJ Lite Edition Info: Copyright (C) 2020 Intel Corporation. All rights reserved. Info: Your use of Intel Corporation's design tools, logic functions Info: and other software and tools, and any partner logic Info: functions, and any output files from any of the foregoing Info: (including device programming or simulation files), and any Info: associated documentation or information are expressly subject Info: to the terms and conditions of the Intel Program License Info: Subscription Agreement, the Intel Quartus Prime License Agreement, Info: the Intel FPGA IP License Agreement, or other applicable license Info: agreement, including, without limitation, that your use is for Info: the sole purpose of programming logic devices manufactured by Info: Intel and sold by Intel or its authorized distributors. Please Info: refer to the applicable agreement for further details, at Info: https://fpgasoftware.intel.com/eula. Info: Processing started: Mon Nov 14 21:42:30 2022Info: Command: quartus_eda --write_settings_files=off --simulation=on --functional=on --flatten_buses=off --tool=modelsim_oem --format=vhdl --output_directory=/home/tiagorg/repos/DecoderDemo/simulation/qsim/ DecoderDemo -c DecoderDemoWarning (18236): Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance.Info (204019): Generated file DecoderDemo.vho in folder "/home/tiagorg/repos/DecoderDemo/simulation/qsim//" for EDA simulation toolInfo: Quartus Prime EDA Netlist Writer was successful. 0 errors, 1 warning Info: Peak virtual memory: 603 megabytes Info: Processing ended: Mon Nov 14 21:42:31 2022 Info: Elapsed time: 00:00:01 Info: Total CPU time (on all processors): 00:00:00 -Completed successfully. - -**** Generating the ModelSim .do script **** - -/home/tiagorg/repos/DecoderDemo/simulation/qsim/DecoderDemo.do generated. - -Completed successfully. - -**** Running the ModelSim simulation **** - -/home/tiagorg/intelFPGA_lite/20.1/modelsim_ase/linuxaloem//vsim -c -do DecoderDemo.do - -Reading pref.tcl -# 2020.1 -# do DecoderDemo.do -# ** Warning: (vlib-34) Library already exists at "work". -# Model Technology ModelSim - Intel FPGA Edition vcom 2020.1 Compiler 2020.02 Feb 28 2020 -# Start time: 21:42:31 on Nov 14,2022# vcom -work work DecoderDemo.vho -# -- Loading package STANDARD -# -- Loading package TEXTIO -# -- Loading package std_logic_1164 -# -- Loading package VITAL_Timing -# -- Loading package VITAL_Primitives -# -- Loading package cycloneive_atom_pack# -- Loading package cycloneive_components -# -- Compiling entity hard_block -# -- Compiling architecture structure of hard_block -# -- Compiling entity Dec2_4 -# -- Compiling architecture structure of Dec2_4 -# End time: 21:42:31 on Nov 14,2022, Elapsed time: 0:00:00 -# Errors: 0, Warnings: 0 -# Model Technology ModelSim - Intel FPGA Edition vcom 2020.1 Compiler 2020.02 Feb 28 2020 -# Start time: 21:42:31 on Nov 14,2022# vcom -work work Waveform1.vwf.vht -# -- Loading package STANDARD -# -- Loading package TEXTIO -# -- Loading package std_logic_1164# -- Compiling entity Dec2_4_vhd_vec_tst# -- Compiling architecture Dec2_4_arch of Dec2_4_vhd_vec_tst -# End time: 21:42:31 on Nov 14,2022, Elapsed time: 0:00:00 -# Errors: 0, Warnings: 0 -# vsim -c -t 1ps -L cycloneive -L altera -L altera_mf -L 220model -L sgate -L altera_lnsim work.Dec2_4_vhd_vec_tst # Start time: 21:42:31 on Nov 14,2022# Loading std.standard# Loading std.textio(body)# Loading ieee.std_logic_1164(body)# Loading work.dec2_4_vhd_vec_tst(dec2_4_arch)# Loading ieee.vital_timing(body)# Loading ieee.vital_primitives(body)# Loading cycloneive.cycloneive_atom_pack(body)# Loading cycloneive.cycloneive_components# Loading work.dec2_4(structure)# Loading work.hard_block(structure)# Loading ieee.std_logic_arith(body)# Loading cycloneive.cycloneive_io_obuf(arch)# Loading cycloneive.cycloneive_io_ibuf(arch)# Loading cycloneive.cycloneive_lcell_comb(vital_lcell_comb) -# after#33 -# End time: 21:42:31 on Nov 14,2022, Elapsed time: 0:00:00# Errors: 0, Warnings: 0 -Completed successfully. - -**** Converting ModelSim VCD to vector waveform **** - -Reading /home/tiagorg/repos/DecoderDemo/Waveform1.vwf... - -Reading /home/tiagorg/repos/DecoderDemo/simulation/qsim/DecoderDemo.msim.vcd... - -Processing channel transitions... - -Writing the resulting VWF to /home/tiagorg/repos/DecoderDemo/simulation/qsim/DecoderDemo_20221114214232.sim.vwf - -Finished VCD to VWF conversion. - -Completed successfully. - -All completed. \ No newline at end of file diff --git a/1ano/isd/quartus-projects/DecoderDemo/simulation/qsim/work/_info b/1ano/isd/quartus-projects/DecoderDemo/simulation/qsim/work/_info deleted file mode 100644 index 73217c1..0000000 --- a/1ano/isd/quartus-projects/DecoderDemo/simulation/qsim/work/_info +++ /dev/null @@ -1,199 +0,0 @@ -m255 -K4 -z2 -!s11f MIXED_VERSIONS -!s11e vcom 2020.1 2020.02, Feb 28 2020 -13 -!s112 1.1 -!i10d 8192 -!i10e 25 -!i10f 100 -cModel Technology -d/home/rubeng/Documents/UAlinux/ISD/Quartus Prime/simulation/qsim -Edec2_4 -Z0 w1668462151 -Z1 DPx4 ieee 16 vital_primitives 0 22 G>kiXP8Q9dRClKfK1Zn7j1 -Z2 DPx10 cycloneive 20 cycloneive_atom_pack 0 22 WOh:M[al;oVzG5c`D0 -Z3 DPx4 ieee 12 vital_timing 0 22 J>EBealN09f8GzldA[z2>3 -Z4 DPx3 std 6 textio 0 22 zE1`LPoLg^DX3Oz^4Fj1K3 -Z5 DPx4 ieee 14 std_logic_1164 0 22 cVAk:aDinOX8^VGI1ekP<3 -Z6 DPx10 cycloneive 21 cycloneive_components 0 22 zGMDhP>8e@2k@f0eV]aK;=O=B=]Jjk[J2 -!s100 ]b[TgE:4=5WElgH>6194V2 -R10 -32 -R11 -!i10b 1 -R12 -R13 -R14 -!i113 1 -R15 -R16 -vDec2_4 -Z17 !s110 1668462131 -!i10b 1 -!s100 6Bme@05RTLTDEPkROGDf12 -Z18 !s11b Dg1SIo80bB@j0V0VzS_@n1 -I@`C[jj7c4zWd83Mkbk3F?3 -Z19 VDg1SIo80bB@j0V0VzS_@n1 -R7 -w1668462131 -8DecoderDemo.vo -FDecoderDemo.vo -!i122 6 -L0 32 228 -Z20 OV;L;2020.1;71 -r1 -!s85 0 -31 -Z21 !s108 1668462131.000000 -!s107 DecoderDemo.vo| -!s90 -work|work|DecoderDemo.vo| -!i113 1 -R15 -Z22 tCvgOpt 0 -n@dec2_4 -Edec2_4_vhd_vec_tst -Z23 w1668462150 -R4 -R5 -!i122 9 -R7 -Z24 8Waveform1.vwf.vht -Z25 FWaveform1.vwf.vht -l0 -L32 1 -V]RWc]K44[[FXan`?6Mgb51 -!s100 ]VeFd2Xj9^IV`eVA>UBS00 -R10 -32 -R11 -!i10b 1 -R12 -Z26 !s90 -work|work|Waveform1.vwf.vht| -!s107 Waveform1.vwf.vht| -!i113 1 -R15 -R16 -Adec2_4_arch -R4 -R5 -Z27 DEx4 work 18 dec2_4_vhd_vec_tst 0 22 ]RWc]K44[[FXan`?6Mgb51 -!i122 9 -l57 -L34 85 -Vgd0hXcMCOK6D0V?6Lf1CV3 -!s100 1cPYZ^B?^YH[mBM9]Z>;9^3aXOW2 -R18 -IS19P;WH<=2;ST`Df?6d[j3 -R19 -R7 -w1668462130 -8Waveform1.vwf.vt -FWaveform1.vwf.vt -!i122 7 -L0 30 62 -R20 -r1 -!s85 0 -31 -R21 -!s107 Waveform1.vwf.vt| -!s90 -work|work|Waveform1.vwf.vt| -!i113 1 -R15 -R22 -n@dec2_4_vlg_vec_tst -Ehard_block -R0 -R1 -R2 -R3 -R4 -R5 -R6 -!i122 8 -R7 -R8 -R9 -l0 -L35 1 -VB]0;STalBkCB1_B4BXQDW2 -!s100 >mXi5[`cD`bFC`UBKA5o7W??azG@W@@eFOTF0 -!s100 [5;Wd8QGQ>@2NGoJ1I]Y43 -R10 -32 -R11 -!i10b 1 -R12 -R13 -R14 -!i113 1 -R15 -R16 diff --git a/1ano/isd/quartus-projects/DecoderDemo/simulation/qsim/work/_lib.qdb b/1ano/isd/quartus-projects/DecoderDemo/simulation/qsim/work/_lib.qdb deleted file mode 100644 index ebf78cc7e7b09536a514d2ee82809b84d37bccbe..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 49152 zcmeI*OKjV890zbaao=pLh$`#i`0vnmS=qFbcB4&O(e#nNUujbbkWgedUK=fLOa5-x z!3D-;fRHAEgb=R-n#5yVKtgC2gfxlAf!)}FjSJ;~xbcvN#DTy6jvKd2+8z)R(zjCe z^W*!o|NoDzUL2=Ws~IClv!n={dvHvMcAZIfHI5NP}~U{!~Iu^$$!Y)a}jc z32l8dn^DK6Q;Ctp+$q)W@~&srS2WX5Q;Ek@r&P}(%|2rkyR0nB6pxY9mS}NxVR|gN zkZ?}qo}l%VTG;HIz&UnnYqX*=kYG=wvnv^6lRllxT1Hx1w^sRjdVARE_U*;WsC2NF z=yprRIk76x&>%kF=3p5Xo1&%pb`r5I>WTBl#lqY-wA{K8EzXLsw-?t7(_sy#R43co z8u3*IdK${khMgfDwa@HlH$%03LmXniRm2j=@8l=)HTj6VNi1^N-h&?qKmY;|fB*y_ z009U<00Izz00bZa0SG_<0uX=z1Rwwb2tWV=5P$##yaJIL-Pk?OVxnLz=MB2Nn#-iU;Y_1nIx7lm zMt;#)v3BfgJnWM~KEY1&k5CA8q7Kd`5g(U{!8Dp_MeI~t;TS{*ji)o9p*KG4y zQ_EjGozW~wWE~x>qu=f5-?Kxf{`Z`Ywz%EV#Lf{f(ZOER&9Y&gUdvzb{lFXiZGCP- z-(C&WY<}NqINr+|!uA<@m$iH*t>;!3&wCCm{8q^IxVfGZIch$5#mO~yvs}2?R; zkvQ@TPt`TY+*ItIlum0Ob5c!RcFG=GS0UvYnEx~9giW1psB?FSnkz?~5PK3SwM?;K zW5h4{rku+~t^E3;wVpRluTfKsbg}4&=#LDtSlFJxU~!_wtEp3;z=L)CehjmFM3j6B z&ONfYYCfW6J2sy_?nK&C>{!v0>{u!H4q48)cSvE2mS0@9ub_C|Ie+`S+cUpU4>jB4 zg`PRLXKwEvb~9E!RTx{+?Md#_qi^5xZL@ZdZ8LjsPti!TgtwiOw9U8;GkZ1YRD9HF zXr5*bjS+T+X{W(+k@6QR=AHRAPr9ke-6=N1`r*Q{CfwA-Jt>_YzU8Ev#_g0nr}4rN zJ@>14qYxT%Lu0!`?1s4RgiegIP}m;(Xz|Y3F@EtfPx?=cxXF={Noqb^T}TeQ$>CB- zHe26T;p_=FnJAfLe^h>Sl93@hY0r45nDkyfG3f+r$vc8f&I;spa)p@W97&VM$t5yQ z21zeDOOoV8@+|p_{6>Cd-wkkwd{1t&{}S*exlTT1|0m!Yxyst{0|5v?00Izz00bZa z0SG_<0uX=z1Rwwb2tWV=5P$##AOHafKmY;|_%_yu@O>%+2vKJj_d9A1{dnFI`={bapbHDsf?fuccDF%+K?ZO!6{2%gf9R zFVoY!Oil4JImye!1TW*`yo`nQvnl*gvGx{wArT zsnn4?-#`%GH$%<7V^X+DEWT##Y40t!i<&)0rC@O$p7+taOYhKWEFcB9$Ll#sSIO=@T* Y`JL1pDZ7)6Z@BzUohHiO2bwkX4|9Iz{r~^~ diff --git a/1ano/isd/quartus-projects/DecoderDemo/simulation/qsim/work/_lib1_0.qdb b/1ano/isd/quartus-projects/DecoderDemo/simulation/qsim/work/_lib1_0.qdb deleted file mode 100644 index 1bf60e54739eba5f576d3dc131006f4df7918432..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 32768 zcmeI4Yitx%6vywG>F&;U+VT)65$B$b1WJK`rEIsf!1lE*rQJf?ZKNtfq1#gWqO?FM z&ylBA4TMC#lE|6Op8x-U z=kA?5znT3sHxun_jJI{Svw4Cy5l`}b6r(=bzS7&5%e!JGpCx~^kN4@ zOGjh8x4WyYr6<0qVO3A3&g2r4T9h^D)Pr_Qozp__rH>f{?y$kxtBFxBCC2@8SB>{i zJuttfJX%;&AE=1bPY%R;n7w*IX+=y$j z2Rm^K9>+iNH~bZU!XNPleuLNW3%rCE@jSkPpWqq#Id4pW2`~XBzyz286JP>NfC(@G zCcp%k025#WOn?b60Vco%m;e)C0!)AjFaajO1Rga4X0a)RS)@v#Dg~;Ps4`QPd{y#P znW0LJDq&S3s^qE?qC%TTm@yS=RjF2GzAE!nsZu4XN~J0ls?1fTT$MShl&MlmCDr*^ zOmfC1VZiyp`P#YUoOMn*C!E91E_v4JcAA`er_u>Ko8@Qnq&$qb@uri7SMUO!k$Y&( zz%e|GyKyV67U;mG7{gL$EauANvR8J<#j-|5$UW$DTpoYaZUH`DCcp%k025#WOn?b6 z0Vco%m;e)C0!)AjFaajO1egF5U;<2l2`~XBzyuy50oxts0n0GwK@MD5Zo7s~<;EE} zR${Nyq1X_xd%*6~p(qKU|A@U-heGox?D)&>)uF)n3bs9IuknE2FvCgjz~(-Cz1~v- z)v$NK?$%+ZxD9<%?Ug#@kJtn8x9lz*@`m1m*eQF34l|Nx!`xoGQ-@sh6qKGz>F@v; z^o%%dDCB-%wNtVnWQ2TebVzbJa=Tbc9fD~?PD92nO6#aYFm*b)F6C0P$u%oyPb0Tb zx#TQzwaWRQB3G`QHI-agIo}kyJ=q;ilK``BJlwf#yXksHF=&O~-_&hzu z9ilHo)bGoMi{Dyqn!XNEtH^Rw6V_K~xhV(R%o999aa57};#P8Dfa;oWn#Yw9!?&clhnZ5MQ!13Tg9N46{U6)8)$ z;LyorIUpv?i(D^P(X;zzxfrwQ`F({fk+Woutj9c@jDOI30B*@C_#J*J z$J1K?KE;pmB)vD_Z9If~a0k6LV6~KT6t?0}v{1-9@)vRs`m|!}L;Pp+p_u>^U;<2l z2`~XBzyz286JP>NfC(@GCcp%k025#WOn?b60Vco%m;e)C0{?pgz9d@kFkkG`I#2A? zS}C?^Ef+6%X+IRN(^Ax@rEsm5f?g6ogxBa)vR=!~ZY}vMwd8eanXy7kZYK>25HdRw zYP3_cA3|+SYVi>D!&)Tr$W%%K$-zTk3NGs@w(QSI7sR@YQ+JaYsG6?Ys9NqC`Leb9X>BoVfq4$3om5o z8I^9-5?-w(vVrb}iIB6LWGpQ!SV%esg3pnRfZ($vsgV5)i5I5np{vDyO4?S5JrQXC5%_RoG>2IpD;<{rGzoXiwR?hoiv``h>9J0+^E<-c!&zIRX5EQ STeQv*uI^eUHtXq^ZTbi0-FXlI diff --git a/1ano/isd/quartus-projects/DecoderDemo/simulation/qsim/work/_lib1_0.qpg b/1ano/isd/quartus-projects/DecoderDemo/simulation/qsim/work/_lib1_0.qpg deleted file mode 100644 index c7531056913c7d44e77ef48e5f698576a8e1ca64..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 548864 zcmeFae{>($bszWz2vT2Lunn8AOxd&rN|Z#|Gz9(-1xd6FNu))`R0La$1zD5<5P(F8 zAOMpfMJcpGIc&;p)s|6|Z&-!duv@jEw@kw%%r28KF`X(~rcuvfPt{ZQSZ$b8-m+b_ zt8L{y>{+GU&*#3+of*zx@SOp^R;3<(|G>q4bKiZRci(;QzIpG>%<7i=dn%Qynk)D< zfqn+GS^PZF7SZ{jAHekmpq~Q03iNu=s^|wm{|NjCLH`8wYEY2Mb>dq^KLq-xNdGYC zb&&W7Xje<6(gykk(2t6KOmrdWRgk|1bOGomL>GaAty~M*1iA#Y4fJ|Y>S@>YPlEoF zlan`o3Up%U?`>HO`rV0}?)x<8AFlbeAAJV&p81u^$3d4-VWoNAQxiM3wNw_gR6v_R zw+`+YCf~nhY=U%XTV-M%uj9w-_deEj7txe5x6g>WJr= z`ya6Kw)WoL&FdpQE3G~~k9UobU)%f0W2Dr*3ptX`uNm8qouXV$M6;Ps8Y6B|jN_~yDk(p3*W^G(v#UwYDTePva^<@Ne( zPQ7-IP7N~OSpSsa-99@01o;Xm>&36THtW4IcTjgfkF}HmU&(y?rv-jlSQ1C<0B%X&K+h|$GHx`1lFy^>Tv}MY5e-cF;gQ`e zzdBOcJ%Mqp8ro-m6`daODW=p%c~Z+Sp7r?uE>)}am%Q?rUKH0&F)rG%I<{k+cBqE- zjPW==6nh!2o5ZgLZ6vOnV_cKOGqIyGiT+j%eo`OT&B8U-U|g%wU~HEs#%(;W+it6c z z@tf{h@htggCkM?BCU#A2CSL)iA8`I%#LjoB9>*G7kGt~f@uiK1|Dg^2*01hcFZ$4f zGuEf5U*DzryxrjXtj(*>x_dU!?)P*(YxvO|76;J`HhvY2H;gm%CzjL5{`iGF+q zx@8yZ^LYP6@F&nR{`3XaV{e1&ad%!l>iJVneTw?i-Kx(k4X)1@^XilGC%}K4?SW<} zuj$0h*?_b0FfNBMl?Vd>I{S(u>Q|Wgo{SKz@oZ4ajGc>h}_a&GQ z?%KimpysETC))h9s`+VE^V2A9T@3Tlt40#I*)KR>tX!#CHIueJ;SK>j6_Tl+BDh5Sd9uLT{L zyvr}eM2$TAHSF5X2?vmc+i;YJ?D#dmU=xu_b8Qhg$kkdwAy;F*`IUt=*25{}BRr~K zO?r|393-1-S6O`*zQpU_sWdflz6^bx#?ge$d~AN=qkU*E zHJY<-GZxpTtr=x5Y(27ifX185mM(wo7<`xD~X81!`-4?O3=6HjkQ zU(Q;f{K!7KzphCNC_et!ogvCXs5N6c~6EoHE&e&rf;d8{CZ#^>p!1g!EE?7H?jSfVSYt_ zWBrtF^BnrW&r{lP{nDkj+OW}uFOerM=I1zMJaBz9q~8+K+nN@EXF2WoOF6p^xi0X@ z@+g-qufzDbJgx_`yv0GT(|G7v7}9SE>2Y~WC1=+mHvm3a-m-8#svq$r>qopSkB&#& z#zW7?L;5WtJuYvxe%%20Y7MYw6Wa zT#xi@G(Ohz3GmdjA;@(a4?X9>C-wA(>ye&5<6}K+7wXv*I}2f0q;p~vHm>v=w<=f#wsPfO21=`kL9JWjZtLn%E+ zjF0hf-~|Wcjjgo&QlQOt|HkXir|D)ST)Omu%=H0FZ`1u?TQvXl{KOZ=H>PCuuTJg%uY+M` z+W+Uc&;9?O2Ic<$KLSne|9>Am_y2zYn%w^<&;5T=?*IR5Q11UfhKULH|6l6}`~QCq z%Kd**?*Ee(+5cyIa{r&>6Zij_FS-B!@t^uKR>_Z_qhN6XSZzn1@8a8pH|V^O z?mtWK`*WOSJ1l+RLGB~9tl!wreT$a$_ieb9w6pWpLDI3Q5j#&Zc>(JArNalV{3zF>|QvcAzcJh-rAVoSpwG(StJdY603t6D8 zfyK_+!!pDutM)p&#OVRIu?-9uC2>s&*W#AO`u(mLm-+q5l77ESxOkt_ z$o{xHg$wOisz2@)uDuP$^;8NM+OZU_r-bWRgK_N}w3pZwu$!4aWIwgfq1J zTPbn4d>4a=emM82X{?byY)XYV$Um#>=@*8merBre(o1m$9GO)++0xjxwKzV`8bd8to-eHGx#X&zORnnq$f}+XuKtGf zo)G<>Xm)-Q{I%$M`*&53Jb5fD*}4`f>-mZ1`BA;Ou01t6Y0tCtk5-1+pNr~Ql&5E6 z#M%eu#xMW*!8ERGo3EZ8*G}6XfjxHyKiIK7_`#q(j|{tqacO*NhxyG|Wrxk{sJ~44 zpG?YW`eTw?=^(p%g2`}$6Xea00eq4`YI@isT zb{rMY`_N>1zj(jy`90nFEt2E?HS>G>VH%0w{OYIhcR^)gb%p9bHfiq%s7^gS$^Fo3 z1(fy2aAM;Jh7(K2aAI)=!-_RKFgi(+(ihQVhdy;m`)A#A+;`Q$c7tAqjyRmd{SJvZr$?*Wy#c#ench7NP9S)?C z7A@~L=2+ga%JXsIy)sU?zxp__M>reZ@9OEhN&UEJe{lbH%GOQ#x%*Rx>XYxc>2;fO ze*1luThx!Zj$J0srv0`R=N`-W?eEXAyyYtIz3#U?!dcJH%EpU&`Wo%G?oSu$`fYk0 zubkih(~^FBW{!UJT1mhC$8#+2?e~e_R$D`VuIFd(b-#6gIxf5Cj<@M`U9Fe-{G#YM zdajQDQeSfZcB$#|`+K+-e#P&@ar8QhFVq{a4{Wjf9Nbsr-IFGpP3T`>FK(sLx}#ZovDU58*)z#>wH)$L+lZ zBRj%<>C~vb_pI8#eZtmvMk*7-v$-Gr=OxD{x1--L_XuB6e}7Hoaes*Z=lZ(Av!0u! zZ|CTCyU!jQ4EJ&U&-9yr?yU5if6_i>{JxrMk0In{`R~^JbyVeT5l!Fc`nciq*S{h= zjON@Q<=H#kFI#i&_g1df{T^uY{b%cuoiaT+zxDBN zkK#xEecY(6e-*xuOYdjRa(w=`!dtZe`aIYB?@~O-T4tX6Z`41^mgoI(UCHwPOy%YE z$6@Jvuk{xnC%wN+3g^4+zwRHcDnEC=(3je`oTWehr;`4N=EZNmtwS@OoEOf#@q^Od zf4M&K@8ut}^~ZNgmiHf2UYBK8_~C2s6F)rv-^mZ%KlZ8oJU_(o1KanjfF{>} z^Zdf+Hyo!pK79}gKA%X=CyVB9Zkl&le#UL;dABJ0MY25aC#)yO>&M!{csGUvBwQ!o zKDd+bL#|dpXJfB$G5Ssvxso=dP{!uFg{y2l--u8(%2eNG9-@PxewyxKn%&aVt@4d+)}KF=SZ=Cbmy zSNUG=M#mSw?{oblD&Nzc`u>>9xjnW^ev2sW;O%1ZUG^wi-y2llO?cro{c8M)`}mw< zb!2FpoeRRY?#?3nOhl1vN50pM?N}7YjV0x8l6j}K(hJkDTFc z+@f-Q-DG&s-s@f+#Dk-(Pjs%UGEr*Z+Uxb%9Q|7wr2lg{`iB~%e|e7n;Rfmdd`kZi z4h+!lcx>11TXCMYlwb0NaO^*M?Fn`K{zBdT-?X3Hnxog-akhH9a`bxp%~tP<9KGId zv(>vYr8jP`U12_g2AfSkT;+QG+!Z<^{a|p=zT;53y;kSx+cG;@nw`EidHRND zr|>vfLK5BxK}j3+>IMF_9vWouyYP8``4JBdiQ7kzS^FJVchOPhhm)b zewC)txLcXBbxP;aT$k}M_hmfHWqf+P7=j;K{Zszv>uFAjgY_d#&WpP>ADCucZOq1} zkujqf2Kj!0>Xym`9{r2sUcGtyipoxYcct(-H^=uW1Qy3jd)8}D#v!*m>$fm9k8SjP zop@MYQN50zI5`jdq5LJ!KhyPTPt~XByxi9veEsi3?ZHodzUcF2r|4ez1$z@`hvbKl z%KESy^kCvwVuBgAy zR<2&ZO828bQ2Q0_M>nSGGuwXjLzP>!A6=CE`?VicKOV-7yneJy*Wc}aePNFM=(d#K&9;AdzIy${bx%Kytc^6B>Ta|y^+ zsQq1zlvuhXXEv$WHu-LT^gFWY_FPm~ zWd%M(co}|6Uy+lJ`ljSp*>hEQR94w@Rk3|m@x8=&@6D=}m+KGdt8&tBQ~GSbucY^W zR`uS`s^0rq)q6jydhcgd@BOUmy`NRR_p_?^epdC~&#K=0S=D<#t9tKe)z{_p-p{Ju z`-$&7h3`uGJjcKD^e2nL{PJIka(v`CUgUdEE%UP9dwSIhXs$WsdIz3ltMM=CF8rPD zdrx?+qFwjzJ!yR^$_ID1>tQ`Ae*ZVs|0UiRj^isvf8irRe>ZrXqs!!XJx=rTBRhW0 zHUHie_gSGY_j^;0e_xQx{oWMszmoPa7UgguHoSNI`*ywT_ofaZ7xAGPsb@I|e(`sJ zt_^&R^G@1jF(}KUo&7slPLufDPSOi|K8GCfcam5izVE#Q6#8<%H+34{t_Pr%HWgU3_i9m@pT1#x!;?*5Xz0dGX)mE*nXXXkG`X{7JM0e^he64?MM3) zUr)H+X*}MSzfQdJMc;AQ5YjXD`#jQVzYa7Q?YA+=6>eYY&ER8yBfj3CFZ%A(Ch^MG zgpbl$`}T$O3_iT36hD0LO!zhjxeUI%{vo}<_kGjj{e$b-#5WN1Mc<(s7O#9w1H!j8 zq-XHaKZsA?r>Knuxx)CQH-nG+`NTIF^hMvLni8*kO$UT;Pe{+;yA|ogcS!cz8{`V( zlim!zD)bQFzMwDqPSt+#%Gb2S_=PVW7tRCW`f{~bljsXPUrU^)h4c9!m%&Ltr<{=l zm0qMn0n)vlIj<+qgPY(tv64Enx79pK@jtbA2AXgZV^k(pIzC!!F8uWD<4}U%_JZD4tauEDt z|G6RXwR6Jr8u&8)BfY@$9Q8*13)3dUb1vwMzF+m0@Vp+q&I`-W5V-}@E8yO*(W?4KG6;tJU2p~_BkXxEkUlZeWW*o2iulr zpK8$8X*}@oeFgA~_SPIcHwn)f;b|+0M|v}O+J&cGc#H?0^TNaRo9XPcOn5E|58oqS z#-F7(g9pp#W}gn>F&=o@T7rF+=HR(mc$Nh@`)+0#Jkp!NbB*vYKtW&h{jwh6>B_C&3yM@Pi*at7eGymaw$8_z*eG~fg0paN>iAQ=fcsTA8 z&pP2T9(axj59hJd;kiY4P72S)l6a&ygNOHT#M3K0#skk;;n|dfhvO*i^Oo@RmBb^x z89cmSBc9E|V?6Ni1vc#O137pY=ZJ^rUKuZjOX88<3?9xqiD#?u7!N!>!ZVhGr&V|c zglDoO9_h{CSu8wL!ecz}>=B+lIe0j)wDDPZ_LjsWy%{{87M^{=V?6L27oPn&c;GgM z=dAD?D2YdUGkENKcWAHYg~xc{xgb0TbMRD!hc6tW|GZcdkMw5nTnD}MpF_f9Jn(dc za@r5);Nkrz+p9--j+DeBy%{_m&`LZn3y<-@(RhOJn)z!Lw9&UK1YUfu~z|&gJ0Y zyp4GJgy;1jSGc{TH-qO!;W;ln#siQ1^##3Wvliyx3tzfP@}lEJ1zb&xA1(L}uFF4- z1g&b-n6s{aM$(|1Fn`f*=+bVH$58Y3wFJwDQfp15brlQZ{o18tol!d%eFUJw2+=0|o2fC;5?r@{^MPc0u_AlK;hm@-IvN zHziNIav#SjSp1r6pGE@hdQ$R#Tu}a1$zPB>{fK@-|71DmE&ZdBUEh)XBJ^|jr=)zF zrN{D1gIs&BAjKSlaCq~srw+7#@xyqGA4gO5 zI;MK93v!wDG{<*F`8Eh&dK}HeC%wRXIlfNe+bDd-1K)X-mZFKFDZz3KTe0MY;PapFLOT;wNuZ*{F* z*VFOlR>;#|7O6dqM|*_%R~&Ejp28^JbSa-`6mK|BV!UyAk2hS`1^y`RTRy}a&SMyF z!u)F9=<pZ&n8VQM@re5pP!M`f5$3d1$Y6LXK!z*#TxeXl04?HYp@y7TW;?3S5mm6=63*SEBOOK0r_@p-z zZ`KIke&I9zO1z2gbJ&{G#aEaMzvdS-Zr9GJU7|SSe2|O$#N*BDx}J_Vw?m%((yR6` z9__(6ki5Uq`$eO8)2)1>QM}>01>=p&d%U@t>JstB@*&>vevR=atar>CeLLWM*W=9{ zz%f(2vFme)H+Kg8QM@re5pV9&^|hdkH_m&!vGhc|vFlgjP3d@3fg@sm)}D8Nv&gQ; z@uoxadGV$@C0{Sz^rhtU;>{tAx9NBj(zEgAh~)ixUc4Cy^|5{VWIU@EZ(=-`$D1z% ze{DLZ@x%BT;?0R5mm6>P2;WK7r)a#9-b}pV`F4(Pr-aY=EAggqyg_r|hx=l+OVN1q zvgFh8=8I~N18NWB(H>#lF229fdx4{P)1!Q%QM|bZ>5Mln@9_q=WBA4QH$C9KgLuRJ zQN|nQV|+UmaK7vD<{p$jQ@pY3bBH(h2K`aIF+LG*?$h;kpo}-pd%UsqM7*)|S zcyq@6E9d^^yj_pu%>~Kl#TzWjBYws5_2NxON3P(INp-tasN8sC7(BbZb-@ZN`7mgHQtvd_b&!g z@_Qtow|{XcC4WSEeLd-@^0&ZYF#lc-x5O_#r|RqcrP+rv4NYEZ!^Byo;MD3q~z<313fAEym4T4@WTf*i&;FSl z2lQU`Xg=^&fcaS7^8x3T`9Oo_14qoB z@qFN@?3*_ZoKDG~k$m1ba4scZZydOolFu6lHr5#jDs5qY%jc)cj04h}83(=w@8dYI zN&Ue1_wYEN{#08eI}{xUmP;Ph#4kSI`H<@0qxu_<`m=u~#{s?HH5vytDW7OG4s;-$ zdwu#Lt`NNVwT2TI^uIfl)@`pvl3!X-{_~Ra``p#aKODx_{Q0f&@p<1eaZP$N zaqXwjj~Lg+G_D(uaeW|B4?oXK%5iAA^{dBA%8!+l-%(QD?d$7qPV?~ZDXD*-UngTz+2iXeRvP`(@sDvi&C2e#WEyZZNqxF6%w=QC$9p@`*-qc`4EvmtEfDa#$CO z^aHj#pSnB^7Z0!1qa!Z>&f-vaz{%3 zN?g7?E_bEub0sd%9Cxn7<(cjCeu>L3hVeBwE_YkMsM`Ce%G@tYZze83iqVwg`eBXh z#$SocKeo86_*UyxJ9<2JYT*rW*Qv+lVacPJ@QdT}W^io318P6x(SD4VjFWz!uJ2Mr zarrUj6OH0B-vhz8?D8I$!@77Jmn|RSGLB_b4mHnvlKEKPo`5ro%Ll~g#pR=RJ&w!A zC7&0U&!pwGE}gfIeJ&+mFD_q9$zO@fm&fJmHJ8tGuEgb;*9y_)0Hceb!D|s{%esNsx z2gmk1qV_W$?Pp^6!??K_aTAZd;x})!1^-;S)XvxG;n&=BHU6GM9FF2Q4#aU?-Or^B z;5zk-M)7+Y(iy)I#_)^d^#C|N2gOwWD93XB{Z7W>kC*4~Df03LagF7PM&*4L=`0V& z9Po?FGdYy^Ii$AXzMXO`FM5wrs89PM6nN=UTg}hGbKjKjEqV(N1n^v(zqiQc{QX5~ zIe%Z#7UZAl`6|0Uhv%z?g8u0FD&rH+R}JgB{Cz}eIe-6<%lUhV(sKUZA%CBczbDAw|Kragv7Onz?mzzC5%-@F zT&4erM*g!L>GU7=hot|Q9Q^0=NTvT!j{2kblE{C03;K`C)$^anQOZpIW7p^4KTibx zk^dN<@Sm-^?s(mQEPV$5art`w<8t-<$K~qzkINPHAAj$Mzt6+{hwYs7AAgU4`_Cw@ z(tkuF|LH_J{fFaa(tk`2{&Oo*=|7aC{^&ge@}KZLYW?xYkEPGxKQ3R-e_XDf|F~Q||8cpZ{!>9ib3e61)cuF;ob(@mF4+BN zJFe1yL?i#{LOT5i;Re4rewiHnX9ZH}Ka`{X=(%C}Pk6qpzW=yfJ^vxFnf%AD&%u8t zg8s;Vj8FK_q^>(&_a952!GB!7p8vR9J^yjJdj8{bMg1pwE;r>rZ0Dr^_;Y^lKaAJ( zAJNEvRwAALqxoO-9H7a;e^%jo75+mx>i6dW{k;FhI`PY&`*S&e{x2P;{dqr^%WDUJ z&d=ridB3zif4)ZD-=Cjy`-SH@^826L&*kdb&*kdb&*jS6&!127=Z@TdtY^}G{#=RMZzrzO zexi~6)*zksWBVoTXL7LL?MS8lC`bMN+=<&SoP)1#KbNa#KbNa#KbI?OKYy;npVx5v zv7Sl$`FVV|-xRLWexi~6_}nM$r~9s`|C$`^cL%Q1ew3qrKd#_U0`u20VdiHa< zdiHax?99}V%|&-?m0UO%7f=Wcx+GMpQ0s~rycqW8`Eeb;g6bGcJF za%WR=ujk0Um6CfWN6yd7dbxgXHa+h8Ia!zU^Rj6*#k1M-zmHk3A>KAK~&VHeD7*W44IrNJ!;5z#S<*47!8T$D` zKR4K@ele+Ws$RcvxqAJ=lZGU*DuU%@rQoAAAXGG7yROV zFYOyNwIX$S)4V3GS8|=t_YWaEu0xLFPqMu3a6Kxo z$N0Fsp8?PE)&{vwDDV*J(WT zd?%#e64K-HHc8H|L#{hjUSGH#`OARuad|%rp5<*0a-GIQ&;F2pOGuB)3+-O*wCj)? zNR_uW=!wdkG(IlxuLQm3*Yp?Tq37pR-dK>2{FCbsl(XxQJCG`GPq-eHw=d+6{PVAZ zXZ`jDxlZG$C#2sJ(&PHEUM$b9LvD%j@%M|pAM!fnP%Z$WUmP?(uIK**p5;Ctye(rDLsEpdJajC@z7HNkffd?;d-R!sPQqLzYd;wUJi1d#zRk6O3$&B zo)alOtPk}ZmmcGxXCS5LWJ=Fz<6}I313dMd3UZysL(jpKo>#*4s9vw8^!!cfIU_yB zLl4)(llD0q>3I(SHP_A=ALIF3;ECt8AlGR;^t_eQ^Ln@rJVDPJDLwQ9>Ny|eI*o^( z4$LExcrJwNk)F4VkMaCGc>3KnVE=rH_(6cY4hi)AFIn*nB zHzvmO3)0gPaZ-@2nTcMfNk}q33)`&!Uu`j+CBXl%B=XV?6Zu zcTv%7_%*+3+ zS)0Pp1=<)AG@UZc~N?dhn|iFm)qxX zO3%wFJx8SHi1Zi_J^uYi$8$8L=Xgrb-;thU(qlaI_;(v!&xvq78plo3KzZjE5foPNM61HKpgZl%AKR=dAP?4?X^UL)UXIrRRJ~ z&)<`t*QLjJ=<)oM*vNxlN9WZ3zT`!t``rPg^M3c^pct>Bbs&?&{q7)Ax$iQ-%eYVQ zb82lUh~v*iY}j-B*>Fwp6aP+>;#l-tKVae)pQk^ke4CVS4El)oJfs*0DDUqDm@c2= zIIMh%Q+4zGSCz|pu|96E^f|j&l@+U^nO=* zH%PDX&^w@Z^6!$VKh?GOnDRL;>8+b@ukyK_RL|(WJ9+-}yz-UxLvJU4Uuqu;Ncy4d zSA%)*!~9KtIMgTj)0Fa4FZ=I50JXRlHA zllzm#)w+I>_9yABn=kE8lfwN7@Xa*NygW;P@^*568ZPNiZ1A9KA9Z+=?SFLpD0KDeh$yie--toL$aTbPsdX2{tuy@_>QXGjW6I&>FXVvwKv_DC2-F#_(Qa$VX(`&QzCvPYB zr@bZpiS3^Br}R0!-GY?0%%1QklY>8PLn^;}O*!iKb9xu$4?aHSy}uD+ z_%*-Kf&v)tyxm)kkK6qOr07r8AlGR;+Wma0-P=-jp?5+*^akqlfH#%TaY=98cK7;u zJLo#?UihY^m)JE!By5%G-`)2q_Z8aV)jHkm>k++J5t#Wl%sw>@7E?f z7Hx;csdiYJYKQ*~+KG?rQ)sVFO4Dq?aWhL8Tb*dfKrrO~o%3(Wns~wC- zJ5)ar?(4iAmd&yqq&Ko>UOV`?NN|GqWfTz zLpyv6*Vzt~qkcbs*i*6{Hm2HPQ>q<)58BxdTxVr}FdpsDo@$4dlwI=dnI4y*Yy`~2ltos{eL}wVY?>%C4KJgduk8S$X|9N zo&NF}W>5Hw$-!TqLMr`*a@6nV-VT)Xm-KsH!h2m}e>n~9^p`{O7vteC$J7pG{pFPM zmGzfbm9MP7ysmt6^%vE@uD^IYxWAj;+zbsb1x%!LhU)Nu}9o%2i_wV)m#hMd;*uI<5zO9xJpOZo};MZ*HwzzI#@tsQx zYkxDO*KP^*tr=Ts`K3U!?`iaOr&auO>Cy+3FTT%Y`L>=S8hGB{^4DCuh`-@}H}L$+ z^fY|{NV(q2bmIM6CeU14HA}o)u9*&Rn4h-QIFBQ4pRZbRz!lPC{GOhsX}kwbpt<&i zS>kQY!5i-L+iJ_9pLqSg-|>X>@_2t>E-dhAiQ6l zCEleuc*A{TTWzE8`hBG14e90a{(|s6I!nBLh9}$KHVfx!t4~`k@G-uS9`jz0G)?>O zizd)q8<{0uuCq?p-^MJxt=1#FfsgTp^q5cMbUuyu7wtlGZDN*q_ZY6MefL^A{6TmF zAL9$@G4J@&G>tb5c+It^XNmW04&K*<_qb@_V|*b!=F>QxPvZ@Np}F?6v&8$l;mO+f zyrs9*PKgFS#uw6K9%A^_j~9m|_cvyV_X6@y=f7`SdRy&`Xy9XfAwA{|#teApeURlW z?37$n8v;JZ^<^Rbr9~;eH^uvYn9KWqhV#B2?R=Z&d(Qj%ob$d;brpM>KmR=k$=heJl`fvE)Zgs)DzxJ1)t@G#ooWaMuTJ!T4{H2T{hl#y-l*wEIi{}CJnxZJD7~_v>>rjth^St$48^PBv57o4Mi$yy`K`tvduRO2c`W40M zw@iAMi*}{T0c+*fE3dC$dEL^tRkm~5pug`L-!{(rO*}PTsN5sS#d2R3Jt_)P zs9cU;EO&Th_XNuwsqCI;E@0nd(tlj^geXX%a=pGNcZlVV?I>2?lhS`m^mM8`uvUJa zowaYx`r?Q??7X9bDO7!bdPREAh`uTcQmDQ<2Q%-fr}~G@&buc@NMY`grUK=kRXMzW zV0)iSl?!&pdh1+QqWqyTmJhRI3#)$pUzh&#qHlL zrs!LuAce|zKZpGvVZB#X`X4Fc=bY!#-WNsRNtFk7M!UPeq1^Q>7vX$;5qnoKp<%gP z|01o5dU;Os{5(-#D|bbue|?eqwyGSiW3k-!*_YeL`l8&vBIRn<#rV; zm+MX}kLyaL%V%G%`PIsTe#QF{mdE=I((XBy3p*F`XWmz^Jl;2uuA5`Iuydhud4It2 zIR7W@Em`h|>F^joHb1iJS^Cw)t|=S8cTM%%II_9YUuYcJq;h#5N*tSKjbr7Ua15wi z-d_^O)>-3NF(({jDwp?-#4$B%99?t5u}9_devml!mBbP53*jH@gFQyaCwI|L;2xVv z;T~w}`f+Z*$~_?Z{A_Xb%?-yvmHVRTp;_Yy?J`??99FqUL|-n6BkH%fk!3qP3m~Lr z`|VMcdrb6rNgN;X@ndv+r}@RRLpE+~-!c*WWOHR#k+^(9<(?EhRT4*A8pn!fZT>p} zAi*x1;s5ph^t8%-MfA*Eajcvjj#pLgS<%<#ieuI6aGX=QuZx~9iKAWZGPrBX+M)l6 z5xWl?*)cf9_81xNA0-{%Ic4qhIou#lxBkO*CXQcRHzsvHkFr>oqdu2wbwA0(yWk)7 z%I7+@>z#?_mGATQo&Ei{vEH|>=wCsK`OS(V^SNazzgv{gRS}1m*Cjr0{_6KjQTbi{ zYgm2-w8%KVPWm^9@_Dsn`Hmy6{Mi2KIA6^E+!vV1?%vLhgM706=W71}l|L!U=eCmd z-zq+@{&TfIpYLLM`$YGr%J+Kbl^@$bJ-;btf9}Kl_q6{Zm48%}&p9RSe?)v<{pV_b zJ`ct6PKxq*sAT!Y?Vq046|?_omFIq*M;%9_{r{}WKQH=5s{ZH1|G4bW=Z9$Tw?z5; zP#OFCdQE0Nt9eSj@&BUA%d@+u=h@%=Z*|N4J(bE;(8ecXu4=v?v|0Q-(H7D9pgbSB z0Q5_sSApIFS_Qox^aG&Z0R157FzD5wAeHOHw}Os={}AZ2pdSYPX-Iqo^l8M~Hqd_v z`ccu3f&NoG0I?91?RX6+6@Ef=5h&QowV;>|RF;4)2E87X`Py~;lc48+?z>~30{!(} z|HrY#pqB>!YWJr>|LEF1w|oZlD_BtZIA|9Yavf`8$2N;cpo~YuBSS06_pk2XO}ceB z(2=2jn?GRMFva;Lb5s7YuRUz@zQ?|@dNt)Aee_GVUNSg7@I~@#9(ZC4>1``2TbOS> zGgg}CFAsFy=*UP|KLn+|om0d8lpmXT!saPM{VOb9uUTDLkt}!U>-QM$I|tW=`OJfT z-OTsRuiyV=(iJ1ydP&!=s`N5nugbka<)R$;G488I_E^0}CoZqoGwW9j@cPEy37hXf z@y&HsuT>8|^G&9&{?e0%>np1QF4yn=o~NJfhx#w@d0N@}nhVCghIyZ5uQc=6FMiG6`+w1qu*1{(p95|Bk@){4 z{%!I9LHvIa|9^_-J|^;CEj?HNKjPmK{l7(}_v-&B{!P*UOH}1t{qMzJ5dC+eD);Ii ziho1&-->ddlQ?j*TI1V&{lFy?!Pf)!PIVi9FO6^}_y$AX@uW?E}J`P$w z@w_j>Ir16HVfjVz+~jz|epog5PmCiE&rQO!w!wIA79Qo2&SWB-0r`tJ+7&q-&qU z&Yj?m6otpfFUSr>?Rj@e`7f4~?)`)p|u$>lIb4S73f>>lIb4S5&oL zQQaNZE2u?ELVCtNUhl0zuC_GD z6}FG`X7IqB4G-`CiN|>0*#{o=ZYPlG?1SdTFT7`*_Mtt?*hhLZcvw%`XLZnrH9GLX za}<<#xcx-&ER1@iDzTb*J(WPTny#3_lEQgo+{GWUc8}adu*@;}ZS$OAThaeUEw zPwjxNOHb{G;Mq=$r}Uq#pd3Gqhrfk$N%Kav{$GpwAJwxTit;>IvRubEiR&CsO$_q$ z70h0_O^#ZQ4eYpR`_7NRtF6N`&Zsbe%XOq)=JaGL<6QAJ^<&(IC!@hU3 z4EmSgDsiyiqn+CwC)+Eu?{oOCxyJE<&!dKMYvD_+;E9`*eq}uTstbHlPkXo?jf0Dg zkM*#g)Uznabs7&n9IumlI>Plx&objaYSFY4hqNj=6x&sp$EJ?p~tNY6&&V?7@QPdyugT&MBS za}j(}Pj9#$>7o6S?e#J6)Uzqbbs7&n9tXYMH>dQ3_Kxu^l%4_UF&=t6f7-v<{w!BC z^6yU}o&6&1-zEqDPVfJ7e}AU^f5tiP|5Gpb|E~v4?*IQ0c<%rI321WvpFH>fNxA?3 zr=Z;bzYZ1R{(o0X*#G|mDEI$Kx&Kef{eR9Uxc|?24)^~_r`!K$`*Z)F`I7tp|K#N4 zjokmA*!g=~xc~p%iJR`@{{J7Y`L!Q$|NkCL=(zt+e}@G!`~O=9ci3}1{aeQD{NT{G z%0zPC{ocpA?!tXs%R`$6$4KwpcHh0EEBf!X{r`LWzPgV5!z(N6m~VY3XZ{^3Z|Ldp zyw1ekGaQ>h&jw4|4`GaTff56Jy+IzR{|Bv*nv~vMH zk9XO=_}bn_9wVM@D=Uw&9Qrlt{XgvagU`y&>2n3UN2hon-ZIudWp>{_I{pOt3MkKA zFdOMl+`Zn~b7XML&JT3m@wnOb{%6L_FYbBt89N_x_bS_0itNepi~f{t$K3WcJW~_f z?Yzh2;7~Y+0-D70@Pk|LB+dsO4gPl5#Mhr8fB$Vm1Egy=jNDDSuB&o)vVA*+C*KaX zkJU1|eKef^*gazBsVkt#^6$TUo7tn)%p|8J?*e@WMun<&dE$5o`ik@x$l`csGoE3b0+&>kXfBGK?8IB zO3$lS^}K2|v`_u>9XA3H^Rpg^+g18Y-no`i=S^Z?Lr)++)ukn zJm)Em#C3Cwi_cwD^}H)TgM?pwd)*8v;v55QB(BwHFzVIw+|l`EzM(vWt$ zO*r(NcU>H}3CAl9#<4~?^!#^K&wm&8pEbhuW`l9vE?jzUxr)ccIX|fCx#j5Gfw!Ae zz_`r6Zx_E9{zyOK^+xo&?hHPgCsp-av-R&He$F^df7E(R!|>ga!DsWbs-Al;JZ^Cw zNPK%6Y`;5&Z}YSFpy?J=H?9BLJ*55jJj8Lm`e6S$<2T)7=kTk~P7ZQDQ^oU_e6Frq z0Y%)ea{gV!&UdOF#~NIZyYlMsrHzLFp$+}x#CzX*(T5)7^NP9k3GurA_;{D<^LB&l z=TwJFgz~{3)kCMg7UoS-sNW`g}33J}G|!{KwfIXpU0;Q|Wgo{SKz@oZ4ajGc>i!&ex4pcJ07@ zZgPLr#xc!Lu`g=#(oH~U4A{n3qBH%af0oV8S{Um(TEv-*;sJ3*{|BD&#KP7xnK{IVCR34vJw5zp%~>y-0t~_+FF7LRUPKQr=Gx*@vwyxC?^hNumOT{Z+(*StdZ&^ss z*pKU0EO!t75+4O<58B;vIh_ul^k(p}-*erqE9i^%O*x;X{gkh1iSY|xItWUf-QhZq z+2R+sb6DSQt{p)tadI4=4kvLZaT<&INiT4+<(q5X&Xgz49#G;k9_xuG!4uEAkiMMt zN#bb@yuE*scs779gGYKZczAz8JR5_)Xg~Elc;e{|>D=DIFSd{FukF2q#Iq^LW!jPT zo6bJco592TU*hQlrG1QtecAwkcs7Uhj9+p6o8>GEaZN{l5#s^L$9p;L*BEZ4rRc zpE*91@gM2U;9)-@o)?A3c-W^al+%7F2aorgKH)iB5|8v|@U#lgk)SWy$KvzL>|Za3 z^o)IAKKw8q3eV9XSGc{TH-pFb!;S@g(SF!T;W-}CGkCQAR=XfPCraXx-V7ewM?-s^ z6dvQzUU*o>>~ksykH+iTvLIJGT@sJvi|e^ zT9^&L=4fA({>JuK`U@Oq=>I-XX)`|F7b8!e5{AxB9R6$8 zzIm+YTIm@Ga-GIQkH=Bhvo%}?o}g#a_*l;;!4uDz^cW949{*g=R7%g@lpgMzQqLag zF&=t6Zn>U);d+GUfblUN`W^M`4|1KxLyyNB*YkWz&xp7It zbHw-<5BH6!=WvkgG@kZ>f@HhD9Ii+8I+oINo%9@)9^;|M$7RQJJf-JkN>2xP;yDrI zI*o@OA5UG+sc=2Q^NR6tz1W|q=X8+kG#+|54)UB=D`@iEhtHpNy@^+`{$IO~|FGX_ zoHn+^_dhlx!*uWC9XH7NK0AH&3i`~RG-#^&lhG37}{Zd}0ooD;rfh+s|!OmCq{ii=qp*V15-(UT(=)OP4S&oxSA9&Et z2dv-N&wY!Q_4jSKm9(?-)(> z^^seL@G2Obdm5YIeohOL?YvU|(1g9mV-g3Xm_9zWQ|A%F`${a(R`SmyEXTwzc^+Z4 z;m7+~MY(=3(|#qzxR2k9g1COH?*tUyXXkvE`8e;xe8~0%XDY8Kp05gzzn8=JXPpu^ z%OxfLuZn*elsIU&M&S6G<5*Gd{SIFfo>v=;=ON+I_Xn(=MfNWr5}vmkjAxVM=_+TR zO~T{*>WylzpAsH@zo4*veoA=OA%7$N*q<-f_YDf;`MU7v{C>mwl|PSP_I)J}3(t!U zw$Bp?RP4t^&(l019H)c>Y(x6_R^cdmo`(0;#QjEtaf}MbY|qn-3Rf%i(2pBspC^SY zeSR&h^VUCa@T71xdf$FqjB8fs*R~1QScC0Fee@4~uc7q$wK3s3++bYWg=?1C0x%p7#9v*xV;RQzsIQPxVA&MPBj?U&KOr8 z?d9)LDvE2TaJ|`JTzDA6?Pa+9Jx)b&O$pcHmd5(mt{9j3y}oBr*zb1<7w<0`>G!)+ zxX_OL?nGf+yM=3SgK<5T!lmzF6vp+Ga2;zfu06t~?`813rk(%Rd-tpQUPfV@yf5W= z_!|Ac6nMX#eXpbRIncerd8)xUzwJ1~_dMo|^V`Dtc7t&~8{rJ? zK397_E1b&_kQ(WqKjS#V_du%p9th4a+jo3Qk4rxzoWl*qxzBNi?}b#S!uLX|`d&yC z$F2FjkizSG`-JmwgK<8W#u>gRQq}iFN}mgVPB>p{FwXC!afa`W%o^u+gtHX~;Tq|m zKbyuGzDF`^oIfj^>k8u3_eZMw{zz5dAF1m5BUOEWr0{zqe?{f{`%j%B*~VW|uKT*& zq;Tqa=Bl1&uIhQ_YWn@BdcLx%=aQ>>E;;jF)ZdWa6QbV}&CXB4x$~my+uv0^^5n6s zWb0a_tmh}1=STJCy7tuQq&?5lKUx`Pe=e$LQJ$WO5$InKoi8t{@7m_8r^mI^_D5jP zoqXOF{;-|<#?|pbd(ISgxA&G;$ESAKb0A}t9sCYjv_4w2{7d^ZE2l1@z8_mQgo!#lW-hj~$GSKMaU^B7Z=N!&`-Z(nLp;`_UE#K(pwzAd|U z^7;7c)(Lz59`9=&8>YW`eTw?=^(p%g2`}$6h}(I$AJ?Op&ULe-9Y@9UJ~Wx$FW#?v zeouFPi{yBJ&HUbem`36^zxpZsT~JwAU7`AqP1=5Tb?WI!?uS+@psYWJ6B|D;oLD-B z6MHTW!-2dHTyg7vZ!}UhE!}q7E`uHg)E{_uYCTlc36b^SKIj#tia|7l6TJu^o?dab12 z{^L28_xAh5Z>z1LKfAv=eZTpw`_pmRJ$JlKuj^{PjB$nWx#&204$?D?yVS>Vm6Y+| zQq$%4_i!)#^2(=x~W^HceY#z~*YaNU6SJ0HS>6pWL@qmSEr3r2Q?`_idVd+%AbfBS^3 z?~GI?hG%m>`p-*_Pi{wSlNqia;VbIzuc%n!lBju)}KCg93Ip!zjc;@5Z9>tIR`?yhC|0;YR zm)_5s<@o$>g|}$`^?9!M-=%nvwah&C->83-EzkSox{~Gnnaa!SkHgaUUh6MDPI`Zt z6i)YBr}VQQr~kTtw5t5v`9fc6-*T4z_@7GpBbpb#`L+(tcyeAi^TrQKdp~CX{P*&Y z+4|!`TtIS=>D-!<>&b!jvv^*Uj;O|{+s6) zKEGi<;`sDIB=~$HIiD<=zZq`^Pv&Rbrk;0;vR@?2^M1m5a=d=5EsS?#I6%U6^6i5= z`99=o1#~v{;+xQu^&M9EgQ7flNj%OEiKjgnM|_^~^TM@rSJ;OEK08<6kApeHw+kC& zMfNACmF2O%Y|kaw@FBhlrTh5elybyDJJCL;gkyNZ-UD9kpPC5oOWPXGuef}kKTun; z{OeV|*Spd2#n(q&zwbkOx>MgDb2+!icH!M3N;`PFSbUc~iq`iA)prwKc+GYjf8stq zr&t{s+Q#P;tJv1vIh%ImcdyuvMRD9%QvN2%NBhP1ZMFSAz(%?G=NxFQWP9H%J=nj# zkNLKu;-ot(LwIDQ`2Mc@?=tE8tjh8G0VJEhPU1x@ydU_o#=XJuA;aA}IAwOPfEMZ3 z>3ZCva(&%oc+lSKULC}PqpVMKuB$RpYTw%H_1PT#TNHmC6 z{}2ug(C&C_*X~N3XZvZ1t|l z(d+FtTfHk&dgJ!m73L#ou-Wv(Rj$|1U7;h=4+aM-_+(=F_FA2%Z_DiT-Ik|sXmxy0b>2g<6cp}zZ*Ab>`yrFu!j0p_OCHLeqZL4<0|ER-1GO< z_ACtJb`P#Ieo$^Y8jZV^DO;y>9?f+b4|89}!(7Iv$BQBOq18X-kG`Jflz51nG&wKs z)_h=^b+s|-9U2)kieZrN7pQKjOyJSKIPTS(x38$|bJ=q>^ zZ`N;NY98C@`8x5iyrOy?KXGy%_Cxtgo`0t6)1InN(Rn%C8ozj6{-O5Zr#@fwd9zb= zFOw%;;_Q(85O~)2{Wjn268^mTR&M->2mV_4?7uS@t8o zAa*YOXlcstiu(I(U;9z@<6+#$>qpCU z-TRMI_j`_K^!u{$()*87*GEcy?%#)G-+KLM)hzqb7v|WHZcF*yZ2O1jtJhClE{~@B z(J!j~iuR*5srt;eAN_&KE!vOHO8))YkIoB!UO&P|{OtbU`;Sxi`yW?7a{rzbzPx^v z=O4tEoPYf?c*dQg@_a958TntUBTqXKkK4=7T_V}i-T(9OrStna(4zTWj+EonQP48= z`|XnQCrZkHucZ9xlJb9AQvTJF^8d1qe7gPoTmrHcYJZm_W&8aFXqoo=k0s?V){*!6 zK+NzgKmzfQr=J(~XU~7t9P_hd&87Sgl+5q-L^i9x&m-#fU$5^cN|wiRlH=K;Ip)81 zj`=@1$NbCYnE#eJ=Kt&*^M7uR`SG!wIr;0IbIgC&9P_VD<&VD;v|_cbS5;QvlY+_b z2Zi)iIq9o&(r?R2Uz3x5dro?HPWm0$^xKHPtFi*0BD@U0rLV|I$LoSq@~ikB;p!cg zRVyynvx?uH#d~j7t(-1>RZjYCN}uibmGs`vs^0rq)q6jydhcgd@BOUmy`NRR_p_?^ zepdC~&#K=0S=D<#t9tKeRqy?*`nsIn`-$gZ?Y*D)&Xc|OGl~oTou@xp6y}%zN|fUx z$MGWHduo}N{od277I>O#PI;e(_ny}Hmvk5Y^1JRTzxH9=kdR-g>n+$YPJH)0$_IDH zuelc1qvG#0QT<=yec?F1V)Pe267qM0$G%x6zw2?Dmmk^jYp!u$l6bh!3VpfXn{xd7 zf?V_-aa8BB?RN(?Ye)5h&cH^bu7#BdZ+PtpE_=DjaR;=L*R)I z&B*lSAo#`K0lGHuHO@O}m&KqgFOARbV&cH%y!67J&*4)1og~(W_&Pv|&v@WlDqi`T zPJ<`DWg$I-?~_QU-m~~id=w~yPkJ->*uKQq74+qPZ|XuQH~P*LSo~u9bp}5Aj?!B2 zW$<-NK5akRpZI#hb-be&JnXklyz)igao7;jGxqyD(rLdAG#Kr-F~}8eU+K-*kNu7K zdV{{`yHlIQD_;{nN@wlc7t%BM@S0ov@VztP+Z^OF`11OP^a9`aO^^2vu4fb9K+qR` zhiX{7@-+7))m}}aFYtUVah?{= z=Yw1ZC;gmqMiNwdkq!k&_jcyIo;VMJa-1<9{p6hRycp7#v%e!>gl9?MYZrv)5cui( zZ5|%!&EWYU^bpVCpfCD<6+Q-G{oqJQ=W--|F`kaVN8hh{8GIQ$Mu^bDSBkWM^& zUmM4dc-W^`c-{`_89X7Oxwch!E|$b2y%{_o6P|a3$9Uk`Cp;WJ(GD3rH$tBF zIV3zSL9VcUq&I^H+m>dZYS7ndJn-;+1@MdZ)*L)H3C|hfX)B3GdNX+1g{NJ3j0c|c z!o&5O>Fl#icrFSL-y>hfpQSg02g~SYpAO+M9(dYXf_;|e;JI0NmIXQcZe|%g(wo6^ zjqor)L0|O!vL4~-%E9wF;lWEqI7<7xB|Lp4@knn55AWBAXS47a4?KK<4g32*4j#ri;^Dbh#*5*Sc%(Ojhx1P2 z*(yB715c0ejOE~I6`ld%nJkG%dNX(y3(u7B7!N#qglA6<9?mOmd={R)CGkja2G6I3 zXP@vG4?M?(XMYYJxQ*dCD?A5E;*s7Ap3ex+^TK01@LUj{gE@Gr!owF1(SKeniAQ=f zc&-zkL&9S`@N|T7+7IX8;r%Dut4DZ_l*A*w89W`(N`HP?c#H?0KH)i(x z*PfJGX^$UZ!NJyn0hr^C%Q;20ET4%d23 zuetU^v-iT6ZU)Ex(uM*!-!mTer+xT6PA^yQM~m8l^I_%_9XD~b1LqZN2bcGD2>Rl7 zuzY9-_Pf<+hcF+RH~MU z!ad~LIY0YBrD+4>G0wBH+3;&_dNj}%?0QR6)b2qbUI%yH#9?2@_4`Pme{enu{gFQ7 zq3^eJo%3g2=RgU&e%bjYs)waRp7Q|K$F76-dVfoLdQ_hW3f8|*@*@T1Cnf*wg7OC> z|BD6XUzYrDN}hJ*K8{nc_%+u)jRe~Dq~!m&p!}Ncefjq}G+JkbmH>nZO7!R++>qFdM;5fkX zlH-T*7(b4t>UB)@S{LLp>uHYfjPh*|zVtYnhfjKe_i}ul!naZQj0e8+D#!IZW$x+5 zcdw7jIn9&zcI9=ppHsIplJSeV*sN6&8?89zbsOF7?1V{^RGDG=skr|yy;Rt(J0<OPE`lEPbd?Mbg()HD#j5p4Eys`8| zys_(7;!Wvz)9wD16K{I$dK^FcB%c>=CR6hD;?2R7ypK!ic*Fe{#t$E-Jl=%#Y`obj zdB2_)Zw`g})FxB80alGk}d|tfiPRZAcH+?Djym)g+<83QhLVavsJ{iyI z#hVz<Y5XvLhIn%#$mPbHJ;HZV^(h)}q&E|9c)p$E+bQ8Q{z|+l9B2y!oQqz@m@#Y?sK2yB0>vM=V_Xhn@yfHozZ|>9eb)bwl&U?JE z^hCU|>sR7U>3DO-{VV7G=Db~x`+t(zEgA zoaFs_Uc6am<>P!)N`Jk06XUr&-mDM)+Vn=y*J=C=@#f7Smm6<-gzqikD;jU4HxqBZ zBz$iRpYd1XP2qT>al6*1b}1Tf#w4GPH}|VOPOCkPM|&_1B=2wZUgRj=Y*0SYDBkcq z3*(K;d%RJ((R!NYL%g{b@*Rjb%*XQf2b@v7IVis9{>>4||GMf+f1PRn=A`6{?suG# z{J$uu|GeaXQ{x+Z>rDF*Z%MxBenbT~yqvFh1ZwO0$^C*wmL89Xt0kW|er`y~_ey?i zpf%o?CigD}Qu2EwpSOQ;C?$VHdVM|VsPebKVKDz*4!6WFKBwyI{HK+V=R-M9FFGzs zZ)RNjGD_h*xHahOG=3K2lGn%aIAyy}w{B5(9bWc$LH((APIh=RMQJt8OP%^UN9Dtz z{XD<#+MYKKbfo0#jRQR? z`Mhypb@0dBabQEhWzT1nnGZ;BW*m4B-ba64tA1eodw3jBf2s}04n@a-DaohDfnL>r znd)ym>d*d}90&AX^=LluRpk>MH*t&uJcrA1z~y}$;Jhd~4p=^n13V|oae(<)-tz(H zmH9w}<^xB}p7DI(sO+0J4xCQOpOJjtIB+f{UvC_^n3B&M2R7Cj2P$o0e#_^l%8Ucj zn;8eb2JhoIuu1*E`1kNQp#D@_Bs&xx2bN17)xID1TP+uNRcRAo;fo%2#l(gX?Lnf!1xWMUr1yQ2z6h^ZVS@%0C>&*Zldd z^6`1!GI33MGjZ*w(2p3`#x$-Qk8ynhe2B|8K%Q}#`52ea1e{S^J|{je zE?>0k@i0Vnpmytur`uE+BA;_|YTe7(55Atiq$E?*v(`%?C~5|?M5hg^xvGu!9= z5|{Ue@ijLt4_Lpb*7gOtOgv!x;kfPdcw@m!ZzeAHL4f1>evRwKUx~{ZySg1c&dxS2 zE56nCs2x2XJN0s$dR%^9@@OXf;`?RZce4E^)qcjK{cbS1I4Qu6iUas>z3 zlIzL!;&Mky{z_cFJT7;o>~ke9&m4EI#O0ao^L~lTFNX0oH!gQuzo^>#sLI?gOK&DF zKZ?&9P+%RjcbtoT;zRXch-c52}bao4HGE$0eT^m(Qf-wJx2vj(sjAUoS3SOvzt~%a_OH>NS_obFReYnd8otxID9c z-Y;?aSe>}sX8odCEYMhbT$5-LmZCc zHx9&cUER;64d6QUi$?K#8PflM_P#&9tGfFC{eJHadkd4j8B?c{4n-Y`bK{p&QHO$3 zLe4}TiEgkBHW_TfKr}*3QYuVDeT#-R3locUCKf3wDjI#FK75jjPhnx1QK6FACo0VE zd7jrf_g-Fa?(V(Yto%NB|FN_4dY#vKJzwXX*N=N&uX``%Z<@yF=g!x)#Njz8q~ZrT z)T{4zVwV1Befpjvt#2yjp+2EbeJ4{o>Z4;0^mFTDIjZjzN=?&sJLFKG^ByD9p28xk z@csAG89fJ&eN()*Xb(LQfOB#B-XfLL_ZQi6`o5xRRKBz4t2n~9ft|d9NPv1+V@_Jt1ZlAu7NaggsM7Es1he+l0eMGjLzJEyN^gTqj zoW6HR-zTK+3DWof=yOQ$GyJRZqwgKj_-UYA#E(!Xeuh#y;s^b~8$T>Z@pCGrB7PtT z{my$yBz~$w#*fMc#m{uArL*|q{BFe045QzPALc#r(5?2R9NkATKc6Xha)ggWt4O6iCnjF;Z{VL6JQ(>4+a$|2fYAvK+1prwl?$?;%0;!GKG&hoYpDIuPOts+JigkmjdEc>p^p9V+$ZcO*IiEk zWjV6n8I%wEK@R%$yuRA6lCSTA?Wb}<_EWha`>9-1`{{XjJ?F0WLp#0pt4QyEy*ZC^ zVLzdc{m!Ix*iX)ZIrd{Yvfo*hUqtqU9Q0Qjy@gdm_1v=Vuj>6-z3)1(znSm#ysw_) z)$_S}?pE(Znsa06%qmmPdEcyFcXfz9mD}JWx7Cu{;Ul-llH2Dar{`t0UOhK!kGpzK zR^{}(tSzVa6;)2p$=Y&yE>`9Ae5@^}=V4V&&&AqudJb0Q))bgHvE}sqtIFv)SX)ld zy{ep^f3@ZGKB3C#xmQ~*Ho(M-%ISGmTTains+^v4wdD}M-hQFy2z6YUPr2w9LY;mw zn$poPw?zEIB%_Ef)Um2oPlU#MJAzfifLexY(f{X*q} z`i05`^$V2?>K7^()Gt&ns9&gDP`^;QpnjopLH$DIg8GHZ1@#M+^XnIgUvIzAb3EEF zuA^M^3!zTGIET{FFJvFo=@%?V{o-88N56m^^y@hvJ>R3}c6zE`EDhN&R4%Ars9aFL zP`RLfp>jd}Lgj+`g~|o>3zZA%7b+LjFH|n5U#MJAzfifLexY(f{X*q}`i08*^$WzW zw_oT!y7r3&l#6~L)ae(Ml#YIJEK%<|p5>@t97?IH;yKq;BAfb!?z8Luy6&6zRKI8s z*)LQss9&gDP`^;QpnjopLH$DIg8GHZ1@#M+3+fjt7t}9QE~sCqTu{GIxuAZbazXt< z<%0T!%K7yR4qN(zI9@_=Ot)X?=k9ySzCk>XQisNK;<#Ul`+T~ekRl%Ys`@=!x_sb$ zBkZeSALV+Y=vOFDa;41Ee%lQueTYd<$BT%k{uM8zzmVg6l3PiMLX3t?$#sqrRa=u9SJw zv&f_mG3jo7BSen#Ne<(Wx4sIK@6=bxyj$Om#G}5^My`~3(zDp44>9R(ePczA^GPmE z7u4SR#+!VnzAEP3`p|0BH^Io2GEaIwW73D1bho}qBFFh8S7FsxZStLXsb$`+?`Gmr z-xMQP$~@^=V$z40bhkd^_f#q8lU%J;U!&3E)YrGvm3Xvop^+k<5A8yIoKJFtn0LQlto;!A zBuDiUAnF&(nRnayIpR_8G9y>YJn6%Cj=b@3m&teZtg`fcUi7RKJX*c584Ag9ioSM(o<{cS#Rmt$h?c^HsYaYgOMv` zp7boY^lUQuPP?{PdcG)nHj5tSNe}Lad+oE;(Q_01m&j~q-oI1|=t&y6QszldhovXa(o@L1s|WFpc41sZKVzQs z?6mY0S$c{sJ$H+qfue_b(xcx+CAZNpv0|{LXQ-uz9Kd)=L=W?%r!u*}zsf8<7-w-_ zqn^rR-n~ckj1WD{lOFvJsp1)J=^1P3K|hCmDn$?Tq^I4&Gv3luW$C$B^h^*v%#$Ad z?x*6JWa*h=>A6qzREr+wNsoT7Q}xtZdKxV~UlKj_qKA3XQ%N_Py#1ot($i+?!T1RK zw2B_)NsoRXQ}HaY^endY+%I|-iXP@kkABxu^(?XUEVJ}HAbOUH9_C4peveZ1EVuNm zwDf#g^xP$Sm?u5Oz4y1zDof95OHaG#X%{`rlOFy4qvBa(>FKcaJSckBiXP@kkAAmN z^{g}b&N#M#dADDDMf9u}JDg)Nc}Vo^5IxM39xdNW8+jDh&N;QOiM&wfdbgI+alLyOQ5vtD z`#>y5*Sk|G75gr=$foNAJ*SqYiZK4{r44(GKjRNKaiZUel6lN|uAgAi&pl7SUCK?8 za?PXEM*YAMo z`yceXW~z6r=+$-O1WWHDqIbOLWuElbil6kmWYV7k?cFTp6qo1?EVod~shy;q&U<(K z;%S+biyDXOCw*UPHC5n^L$P0m%tJp~zeyZvJi+hYc(TvkeVuaQFQHC6Vg5!u;dKoAK#&%kPhqcEr<8@jLS&;%T?&)$z%E7r`;XgW{feVmXSZnUsp} zUPBK0^_<>bi3c5@{NCS4V)RR_NKyru@6_*k%)5SHM^cEVl#wfCp8USk@_X8{3!;uMRAWG zSdRQKi&EhS$U(oJ_e+Z%!}?*M<%hwRAHGf6fe-hm$X}(*lOOhpJ#~FBu#0{Wy^cNo z{HN_xKge~kQ!dC4u$R{l_PN3DNIQi(e!xHQLoXEf_<`lf4=t1mKbQjUxxtc%ei&i- zVYKCk^;8f1P$7O`p8SwH!d%y>A4iu_Zq*m$2iVK&2mActccq;| z9Y3^EI{YBGoaXGMQtp8QZ~`5|f9 z#m}DhxFmW5{h;krKgfL;r(BR9U@xy9>~oAyOFM-+ewah)@WVh9_w)yrBR|ZgRIE23 z2mO_46Q6p1OvfdCPoG{7>3a|b_X_*Hh0T^9HjsAsp;7$6Jo%wm{7^f<+^?|5Yd=4f zNjZI=p*_C%m8+C;%~GG;AN`fpS?n1#UKUEZsPVE)%0-PAe3%dS!MhtT(*D4BQ9o$B*w_C-@dCek}P zcv&lch#D^&q+HZ^*&^kl#>);V*WGxL_6Np``a$ExzP=BNm+Q#h-gvRkgFR2V@P|+* zUKUU~;^kNr_rwd!QM?>Vsm&BGkb{0b57r(rUhMY|nD-61@$v#`2fhx87v?Emc8edP z#>+k_7d2k;ip)3>HC_fvx$ee`v_CLj)DIdj_Vs&Eys$gz5BxiY{F}!K?l~!P1N{kfXo+7dS-}eZ-&`e@*YF8-_xk+N>lXD`|lqj<=pE`)X(=*gc=^#xA-M8 zd+D#a-Zeb_BHgAF2`TRPA{}^dXMsd!co*^FcFlqCn)PWqgLNEm>w1-|BU~ok#joi$ zwec=zfkfu)F5=De!E3Jb)0v^9A9(e;U-6jq=y>lCycc#6Z;=mPb3L5Sj266leXMv* zdUU)i1n(tX#5>prueokaXC??c(VK36u~)y+mp^1-ojL%UNbQyGBmuG=RQ^EmyPMO~nax5C@8UD*F3&JJ z!JE?u^$aK#xpF>kZ{~J*hm}h57qj>Ob(?Jzez2yHxr>{@Bau>5SP2r*j_6yY|=paZ09NcHC&X z9q(fV?}J^$TgKx>cD(C2T`%K_JwBUs7r)}Osg3u1!TXIa;vMaSSI6mA!E2AtCOtad zaf0{BF5(^UgV!0S1+P6mFXZ&_c(Ja=_0m7-gS)BTIiG)zXkM?JTNpmAt7Uz@;vHUS zCo<1Tdz|m{QfaJXUnGj_BG5!Ko+h&2?*`^_npi*8!|Gy*oXNwf_E_)a(Mc*7>y_$F za!H~P2M;;$NC3?fiV9TDOI4n-xjZQHNj?1%IpfME5FcD0HIvH?6k05l$bR+u)u-(n zS01i?C8Bqz&@!tYV!7U+`l>_LS0VaF3#}ANBvSjT31}GqjTgNWgjQMg5F4s}lZS>q$PiKP(l! z%Y-hs>LIq%_Gx|d>*_+)hxIx7?Mk7mgc9L&rGEV(1p(^s^JdSSjrO%%H#=0l?Nkc& zt`@pRD3MV0V*EnA^$qh|P;WzQeoG>RebDb_?Ajlt`%hHO|TYlhN+sv6{(Y;vDN-*n6+geO7(Mc4~KxH>!6W>ZR#? zTo`-DXhDN|asLZ6B~KEsp_Hw=Dv6^vV+M6f!;64`WE$n)|)o3r(TOFoe+^<4? zxE}>t+|7E+Le`7>PN)y}l|YAfy}F94el1U4i;=-2gha zoAr{NL)DAx1JsB0KWJ6Ndix>ZY5bTndH5p4Rm;3K9>3?c)$lknB~}w^9GN8b;yM&K zrgRy{ux{a~m3nb~2^@`G#!=oa9L-WMt{Z`)t;;ydx`ktb)Qjsu;8+|Hhq*4K_!wvG z(KNet9^!<;V+tsR2e~?Uo?9aIE)}}0t2nB=hhw?adza9aUB+Sj(p7t`l6u>Pu8xSq z>9=$t3qLF(AfQqE?HZ|ft?DD`d6xFI6 z^r>8)#!1$EXW}EMe%z;4y`53N`gOfNx29$!+C8$orW};kH|1g0b0t!Lg-|?K1sqym znecw=SG`_x>MyGqh5BPe!;Ir&MgMrAcwWt0zvA$#-?hIz&xf-=_60h#yZTvifcN^p zyY{b@`dfwKxh-$|8-@34e|PPV=etnfVxdc{`nBDD^}F`B*EiwpkA0Ybr~Ow-{cD8c zIVZ3E+lBXQe|PPV=b=#FdZBn8%3FVU``hcfaQ5FQ^=X{@QN_{I@xN8--zoHUtNq)B z|Df!T=Z9eLJwoyPP!#*?{hF-xtgKUl#{a!ipP$_|-Ov6SeoqvqzzBR;8(G|_L)dwK0ZqVG?=wc;a0UpR8XkYk8mL^o6pBU%Q9xR2E`XC}`_L@^)L zH`EOSUo)a+K4@dTp$&C4yndi%LmSqYC=L0mK5+%F`>wiZ#0bb=dF2Iszhvs{+H=8= znmA(`=*aTeG?W{Mg0V!ep@!x(H8hy}heV-oZd-i~4*QQ{k?Ua7Pb8x2vi>a zhySjaI-l(~y=BfU;2PUtt_SKH=6oD{OMOEr==|%Z8`@~reOx@2z_DNYC7$~~>PWQ1 zllP9H@xKWFmhkTi{|Dj!Ec_e7V;_^s_Z2;T|0Mh#p>GQny?x&lez(v+3YB{L{$BW9 zLVqVz>h1em;a?Z}8==_e1P;1bjulg!qjeasFb>3tg7>3zo*;Dsm4LkLSIYh+-R~iN zSl1|p9vug{obb3VqI2Y()`R-P;yF?AnEkMni60k-AD$Bh&*&b;bCTeZ{m0OFP7*xz zJ&dPB@kF#wiQrk*!+3@Wp2;-8(zp}aKA2w+PwRRZ&&i4>qJ2&lJUe>?en| z&nbc@Ngbdk``1v#W6nc_#)J6_{u&w*Pm^W;u>BwNCF;RB5R5-cDS5x~N9D!~9-0R* zkEd!z#D*abWnOo9nfFsMGw-Kl9!kZ`JnW2nH;BF)g|^kS&Vk;#xx5dQl6|0*oi6({ z-gL~LDcMKKN|${OK6gTIqzFAeo-K9=YtM5c%AXrizA~cxn27S{MU+23qWsv1@)uED zAU?y||B8t6brI!TeB`N{XU+SVx5rbzIuL$8`Hw}^e-W)4qUfJM>-i}16C>*Xctrgd zM{MuKbi*eKep;MIlD{Nkd+~g66g-zlZ0{8j@l;2&KW)ZF!vD#L`mc=G-YX;ecS=P2 zUlp;vwGs7Ci`ZVexQ%50`iSMnr$^L3BVv1JMD%ZCMEldldZhN^x%4RgcXq_~ z(k4kH{jCx0e{Dqlb0X@W8?n7}Bl?$a_C&G|Z9=lVyZ)@1ign+dx<*Rxos#<%DY;*f zlKU03KIQurDY;*flKT~@`R0B_YOlGj&!w9@&iWs5!RMzv=ce`h#Wda1FA=Ba#>Uh0 zi7%0z@H_;mq5A`g_!5(!QL5*xIhoG$#`_R)4X8oA71BNy5}qBjcKRR36nV5aiu|&O^6MhX?}#Wb_pw6TNA5F) zmRJ9a|M)pJ*sEFM59D{37>zGD{_2^kjZZJp6?D6!FtY6yqoJ6mRBSQcjcH z|Iax659-kmh2lJzw_e59O8FR1S&Za+$$TdJ1x|U@qx4HY`r3T-$^L?`zK4ADE%4DN z`w_nS9`n(+&_^H6|Ba@81-sH6?$Aj{(VewA>K;DZ>aPaqTN=W}8$^{Ped-8Lk;)K79e{Z7y5*dsScplY^ zTLbRPBObUx5m(GpT$K^;)l+ElopEp=^R6DW6MBk_Tq*OU2jjI@PqE2&^pr5~>cO}M zJ%f#0Df6UfG4WnKLruP;X9V-E9`p<7K|9bc=1I>Q;=Ot*OunP1l6hAT>;*j-C!vRV z(zBI#ub#0c-_bLHc~?(=;-P1}kt=1M^z0?xtEbB3J9=P0ufGb2hn`7Bu9SJwqw}Ep zeTt>W_}j%ZK=jm#9_C4pmbc>@{ztt+o%lYQ($O#M_+~kZZ+rhA`}>{k|6`uR{y+3$ z|Nl6m-u?d*n|6l7{Pr&|v%iO1?VgLV;mJ`p%{{OS19{vmV|HsgR z4*UOzcd|g%{(s}tIs9Bt&9r7dKUg<2*5ciFKkurtv*; z*>nWVJ%4b+g%i2H#;S98|G%Mf7@rHMoL+_y4!&4^9?4 z+vf`AH?`q9JlR~+#&)08Gr>$icpZ92;T4&Co5cT4@;^M|Lfpg-OCf?3!x%>w3 z7mlo}1sy%U;T+JhWwCR-{w)E>H<7#h=*YKCWeGVKa zGQRdU>wrX#-#Hf!sBWBd!F4C$>y7n9#JK8o+`xDWy%;~CSMER2xu-cijx@|_k#jOF zG@qD$L2}QrI8i<4qUTH&lR>(4&V(F{`&aV3YD%70O&R|LpYJ$<0HHkELGyMB@#1%` zCDM5l7Z>c9lIK~S{a`(Bq7-%k4p8i;ohUrkDLsknBo`N+yGY6NuJ{ZR{etav5=jAP zGtr*JHG&)lz4AP_b3Vw=J|hIjD#1adNBlNYaL9AsfjCAAj!iv`W0c^K=f6|({CDX1 z86~)O_b{&01(!UxoTA6Xu|7!2bIZ=T1NECyg0WwGpDz4Bibuo|@_VA+Rb=7gby7;6 zYv%qPCeASrBOc{`OpoC^BMTp|%Tn^(bLepk>pBO6^=pq6PXvED{6X%B6i<5ID~}o)#t+R+vw0q=sTqaq0P_2&(=m>C z(sBKS^a?Be3`su&>2urWaQxJ@&ExZR4Y7H1=sMTCKg#2ntWRlQl-H-uyrui24IbR+ z7h(2CC(wNpdw+C0^($KU@^@N6!|soo`@sJDqqr_0yB1<%qWf65eMUd`eOEkTQGG>} zN^<+{i|Ti(lmZtQCrZN>{mgx4(o5+#F(0%qiu*&jpC)*m`;DmoK={o3kl_6-;2UV< z_`ba2QhFeKqBjd4g*D&TDmMC@ebT|gOSyP0@vvWsNzbw$?pvYW1@ssAAOL&7?utw4 zf$)jmEPUwqxbIeG^f~*cSkJF4^{+}}=Q+9?${F^(Sy zCvbalGE40fy@V5uv!A+?c;Klr>Db<(pKBkvzUKE10?#BPm*q#a??Cnuy;*p0{R=$RL}4H1 z$v$ZU06bGndRAQF{x|9=F>;w&;-c6`^k&5s`V;Wf8-31xYNg<5H0fD*a9;~pBYLy&px*+|Qlrn=|Jx;amYMV{JaXS9Q$)ZJ&ln$~#ER12O}5%GxLEIfIFr`_mt_ObB%GWyqQlb&TCG9UeDJQO@@ zj9h4ciQX(cx*xXI=yUeN)(f5vlb(e~?!RSr37&Nk@rd3mJiL!a{#q}1m?wYH!!m52 z4L*2eyv~#uxy;6hctmd&9^IeYBzSax4t6`hKJ2yPC*Dt`e8ZBg{&c^V%tpV2voDHx zga0Lc1;!b~zphi#%)9$y;1Sn|Cydj~lbvM$Ziq=w^FAl)DHJ)~&nqL|TVIjMcj_x< z-mOpf^9C9@XFpH&=Z2Vcx4yw5r~7ra#Cz*2G5Jn?z~kLN1YWccfrs`nPwhL*qz^Ia zZha#}j`K-w5cBRjL+x5&&C;{b(t~|d=vg3om?u3tZ>gTe zCf~uclzA5q;tqP27`amBNsrDqs%M#{=PpYRzK;Yw%S8|Kq(|oo)w9yl)6Tq$2m8j* zv&zVoG7tNZ0y1hk4SYrbOU;an#wZ&Q2!e=HfMv;BXpbFlyaDWcf_f03wn|NnX7vH$-I zqTc;~@Yw$c#s2@RM6v&W6)jA#|G%x+?Ek+;6#M_6*#8F&v;Pl&;`x7!PuTxQIq&}e z*QX!;I`;qn^5!2N!2bW|Ui#*(*#EB_Q+5OP|9>~w?Ee#?U-tgLJSRxc|C{|?NYVX? z)lM;VA-Je~JP%O_1N2S0q6c^-Zo3erCN!F_*YhvSVs zeD_O{4Lf)By#ojL{f(Uu?)xA3JcY~y2lxG@ABNrc$2f~|a`429`Fy~*2{qWaNRB&y z{Ar-2rKe2=ZEkD8ecR;J)8;pV);FKV=Sk+&oyO-8=-3mVr=nv|^O4_hS{=O#hR!`T zw_rafNy&U(siv-l-{a9r2c(cbyKSzVM=lcld2eCcU&l?3t*z+{Ft_JScdl*NP;OOdknkK=OM|u#)J!PM31ebk&&D`e= zK5uZ1;Ogmp`k{4L_WXPEVx$nFs@mGtGnl-W(mG6J&bR5 z7QU{Xi<&L?_VqA6dRaUB)wN&O&PBBdK5R_(WIx4yDa0M&qgP4vPRaKo_uxW)mOzDKAR)fWcWwSsF|596Xk7iurYrSCBcJFd+UTpM~A*IXA@HSDGD zQ3{J|uHf3;!?@^S47C^I()T!p#nmRb1}1wpzUH~OIPT?p7NO&Qp5VguMNh{4d7!M;ioB+`Mu5EwkPi6 zL*J4f#)S3HW**MMjL{joSk;v!5ZxWo_dKl+tY@FshA6>@z8Nr!H2jO}$ zK5w>hn(v}?8RyM{b8JYQ^8JyNe19Y*-yccI_eWCl{gKe`jl5s#*Y}?)rDPs|L2=(# z#G`g=R)%fsqBGSTxV-8P4x18I)U!FSM{`=ep&Kgw=TE5A=Z)l{?p zdHJyVj`q>l(qP8<7*U+xr|w2S|M#HU{u}6{mvBylU8c_A_vMH7<41h-Db8u6uq*yN z#?o7TF@N7Qa$Fp1>6tip#>MRa*o=$A_!-vK{TsypYPycax@)?mPZf&$WRS!4E@*>L z5~rW}9yP|QQRzi`pMFzLlyzP{fDEQ?aziKW^>&Hqqktd8!X~2^&Yaj}3Vpr>9bR z#I?>7_B?CHZ62L_j1sp`ceB2sQr~}i+*S%sjW4B$hYwTSYCP=JYp8j<@!GUAbR|c?I)x*l~0_Nq08x zLLbIeP|OGK$M?U!r|_bme|>g&I(p6Zp%nDG_Xno&bq-x#P7QP2W$TSwdZXe>SmoRF z`drqBbeTRU%KeKROJ7tx$!?bKWt9&*PwF}b_YH8pb0|GXfqAmNX*$2Rpka==E^TY# z_nxI{X0`DBorYLTeOInWUx_$AsU2yXjB!;8zOeDWP3pt`5aLhuRfxVRqHk`~EWXZe zo@%b+YHl#^i=P{-;rNVtUnOPvqfYAoSFK;0q&~mvT=XaOV_m;~R_xH^bA9AzZ@XXS z`CRV}8^PZjPQ{3NuYYyiRti+!bqCIifqzo)RZ>3u2)P4MUDqmw9Lj@YJk#-Sfy^KN z*KtjJ|10!$oV}mb#qs$!f;Vjc)pf4+-@)`CYm{~FFQtD(txx;o*ogK0O6v3LkM*MO zKdry$IH~=mRd8zDDn*=qknyYWktg;0t{1AUeakM!<69Bqk=#qaUVI-K^T|%Z={J5r zTKh5j=kFyxx*CuBBG&f@sn0JS2j-jpR3|w9Q^s#Q9!mtL#-UQ!_k)Z_jgQS@ci(u- z+MkYg-SszVN7%Tq<8YZl*-!Bg7_yOx^o;(f@e7}2iS3vWXAwzppDpDGmRE`dk+I^kgt%pekeaSk_s3LD?J-O6P7?|{s9$(~7kh+l@A1;! zN%X>N_-*!#^YNTws-bQso>NTGw(i`n_z~Z|f*-@;I3c3^i6Zap7oXqA`+WqP>h(Y8 z0Bd>ueUj**{p<5lu8|rJIyY8FkBo%h-_`gn5q&31J$gMr$sDh(^dc5q4}4U{y{WV7 z7wubijV%f z9@0P5M}K_}=|9!dUq=T9V0U_Km#hS#q9yihd!}hb&eDtax zyQ;U$N3Z&?t9r|Q^s3*ws&|;B*Y(#tvmPObb)_E;SG{`fiaH|VVCvKueKIk+zef1! zo7OdbBmMN%bxq$WKYjIG(|5Y9j~oO0#fZWmM7SU6ycag^&!G!6^d~y+FbevH)r?|2 zdR?Xz<0|BI+|&2fRt_-Zb|vLw{(#(ps59=y+W0=D^5m}lcry2XJeiAmd%UQlIOO(Q z@u>IHlmZWMgL>D+6|x>UzmXx&~On~U$Rgg)n{ z_>Lw6D_+FK`x%d>!#~DQul-votk9i)uKiA_>H|f5 zYJ6{$I0))T!@Jmz@PgRx^rOL6+=Y#IKe?#=#@1u^qi4i_Vf)buR(rbIkA5rlhV4gt zMgGIuk5Y%3al@}4l}Nt!AEg@i7|#&*QRhqTKT1^}DD-K3uN3_=yJvmcGL z;?9oip5}x4nca`>7XO9qN29FvbhRHnBlU*uM_Wby!`hE_3Vy$SL?7|v>woP(N;U33 zsD7mJ-75I}`jKCJ0H1gL^(Epl?}U}ddoiQPKO7(rI{}Z{OV3?WGN)_&`{A?8>p9S{ z^kCK|Q4QKL@clEq+;BDT}K4y8(nt8DkMv0&<&%E8PkMz<`We~jBZ0pxR!*NH+z&sem;0pC>w+!$ z;dqa5>WtX%^8NJ;$9HGxy*I;$9VmUcPx?qn@9OuJdg<(**VJ(fh*b_zKfI^G*2*;%VP3tGwz_>Q|nM(=U<1z9jHq zpOy6aes4V_o>ruS>%^8Pw!Kw z%UkB9Tzn<*z(;OG`cNYDbH4+0q~SAIcfu|MiK0FmpW21R377Jsm+W~HWx3x;LVJL( zm?-cuPxuB4FXiGJi3h$Clb(g|C`yOkt@Ic8AP@zg=*_|h{{ml`(dYZUsa>XC=Q~rx z($BSDso|aPD2*mQ3cd=Fx9tb}17D@dr+4%cPxc!typ(gk<1pT&XW8#mN{9W5$zibH z1S1#PzoIwGe&}z&S7r1$-<_Hyyp)U6N9owV)h0a)AHC+5e)PRF!8gUoW#RMdAEKA= zJ_)epA;9Dv7TWI7$;}gAE_)???_!b*|&UdPo2ruR0gP0$1Ux(ma zYVwDQzv4ny;CwA`ZWNr$j9eB@#5v@cc&O;5bW%X+>SwI$fpa-gj5EwrKiMvL?lS2^ z(ch_@gJ+Q8GrI)OO5zXHZ~gFy-Yh(QNDuI=GWwkFSJB5HxF58ebli@lpNps1@Xq(E zRudluPrJz5_Myj*7|$A$U&=h$N54z5)}&|I2j824oyQy8nGPct+FznK%RYIe2liQK z^f}+JsulaJH|be;4ySbB!TZ`Uj%_e#po+#p8T~@@N6~dLy6GOji2KUpV=;Wwh=8UWOnMfc<0u_^u&{)`b`lo_kLb<9lO{c|&+A5?^WCaqQ%~V8 zlb(fVFr@=eh2Yt32tncRw;POeDItic<3dq+}}qSxzO<= zdb99g9zuVw5IoG2edus7#}Dpz9LQhTH$glv6+D#@@rd3mJQ(+ZXRP31p768_9;{;z zglCB0Suc1dM8qR{v+&^h4S1>q5A%d)tKgaBg9qa%?6XJkR7b=kdb9B0dJTA{2p;AM z4_;t{{$A^Y2lE{8;M^!#v?xAb1w|;K90*$7jK_Fd`n&n}z2if@iVdVV>}G2%aTAcqnWb&sM>+G$J0+ zn}z2X!Lv;8Fi&`P37+LXcv6A~FC0Sr+!Yaz=*_}&tl(KGc$g!n0iP ztTX9Z>kZ7iz|$dk)*HFd^M&Zm!h>}u@N5t~%oCo?f@h--9<1AdXP4mF6cLZ;&BAlM z;MpvAm?u2+(LWv!xA@?}Ith4+jU0bxIm-Mldb98h7ChSo5A%elLhx+&!Gm=q@Kg() z9Y!v+zeH~qo)ZMmPQk-G;gNVzF+H?xdM_a+;P%`*%q>`EH2QK8$zzU3*Yyg*|>jHyrprFagH6 zqjE~ASzM0AJ@WFMjnMLH4{1+kx%gqVMYSHKay}!oN#tnxO20(rw`}hL_nkx>`b(NB zzB7X=uT>a6RJZ{{RTp`wHzlg=hV?L(y ztZ*Cs67eeyUBUUuxZ`&t;NAyUp2f+&itA}gKzv|5O8Omr%#*%vNj}!k$j3lQcKxXG zgQOjtPV!g>pgo*VyteyV(NihynHaMD)gs>zQodE>KOItjsmR|QQhv3_KQ8jHEB0}e z5=*~C<|C8}u+iYG_^cuRh=$o-ip+S6wE!V=RTx!ovl=DPv+ne_e{>6e+5*a7$RFh6Mi|4_Zq zr~UVR`oV6?rM?PF|74QKxCVPb4)z9RLe#~B9QXbZ_7^Y?V7$cm!90y0Ypiyym3ECa za#{D&6yIhkH(v1Bv`L+8&it>L;&$MLzte zRP9X3^mFHrsPoOQBjSHu(-Fr(j@Z7Mz9^AFB9k>{HV zjW3`1ri%03`J-Co{pOoiOFn46S#HVexMa^a*nh$Nq2rX!HzqxMzG)PB&G(ycR+{!? zS}pxL4q_a_IEZ>dnGkjHAjh3=MiPhd1K)+he8YSv^UXpd=R4nY2)@OF&mI^3@QL26 z`DT>hTO#FC6Sn@&hO|>QOH{Yz3@z$PiOnUZw(=PIw?>FDnn)dL%ymvkenr~b@ z`_DILn|O_{mGOi5PUf3+M$UJ>Ss?hFAb-tm?G5WdJ zHwy+`erBRyYtO1k@uT#=%zf)U#@)6d{b=6`^`67O@GX~zA@?9 z^UZdV*L=VEriAOK^G%lip!vqdv;TZE&cti{b)&D8`A+7W-A2xLzNr*^djwzD`9}0+ z%{LbazIO#5^9Sdf(DRLq+nH+dOW66QS>)~c=0fquM)3#pBAFS0Y=jF)mk zo%sgmSuo$Iyv{dLuX8_*%h7yuB*_=ke1meRZ;8R_%s0!054(TUF7n@$_99+8+rL>a z@?rNoHjDgELh9cs@{h~-hThuQe#9P;54#@`qYGZF*NYA1`}*Gff+9|L$HNgK?>Byq zx8$otzR}POu1mf97qyoB0+ILIzgTI>w~JoApR`8GCn;cP{XLYzl78+vRlU!@QOejIT{CW zP8Q<;%Avkx2Is-`Ko6}4+S#7&dSH#%*KZuyXvuFDdB1UByCokq4(zq${lIAl5Oy3GD)Q7!`nl&jFO~LJO8c3o z_M?A##{qf2t1}Kvl5#?waiEyeF%GD_jss@CcdrY$9E}5mNPaYp11N|3Dh*C&9H#`zMFZx?x7pLwrmS6cENBEMPWVIS|hdZQ)3P2~0Z7y7;OdoB4` zf3CkU&rrU<=)FIn{?zk|14TaU{ecpZ4}1S%gveKh#4|zUt3%4yi~M4d$GqjW&jL<& z{k2@=anKijf;~ICpVJ}o8$!x&75N<@<@bpEzL4_i0^{F-h6dsvD)JQ}<;RQsq>%FU zBHtQPezC|e3n{-!@C z$mw&(-*Uh8Rxp63O{ICP-^YV7#{pRJpobQhFF*@Lce&;tY7jeETA2ctQSn@&h@_0-B;Jm#5 zyj*SB=it2D`8wp_yxh5cJ}mR{LNmVl&dasjFH)JsMlNeU!2E-8Ti5Z-5-)nQ=H+S< zz_`9d#&zZo&dXVLRXgfD+tqnl=C{lO@uSYiO0^!PIxjC1d2$o|-0Njrcfxoe zf5)?&J1@(7lTuEoGcOOObj-^tuk*6G{&weOE=Tk72_%ns8Ral9w;P^%X=*Opm{k)2id&$lY{2vVoUzuyuAOsTxQwl;Jn=VymN3~?%X~f zmU;OuGrs!H%N5)&Qv5!uDA&uPH)~$Ll15XE>#Jm3Xa3;4{DIBOGQVZ2#E&{3E9L2p z=3S*aFV~Aaxru)6ygY?C_;0EBk9qPR=1a_zdYvxcrEuoutE8MzXI{p8ATTehyw1yJ zzsjALxg5>QbSxvbGLdr)%Avjm2B$MGFBRTzUS7lb?!4R~@_zI3W?NqFOZ(l&-fqbU z&C7c&`GfQF{_}F`@cq|02j}I^=beM|a_9E>u*}PA1Loy4_lr~p?{ADUFN@x+dHHG@ zO);*olX0E-gY)tSHZRNkmMIZG>U^w}r?&&l%cDh}+(bWjUalby{%aTiF;D(uG5Ujf za|+Fy^w=x?a+;F#&-?Ee;&poTOT_!q-|aLHJM%Xkh{Jt#J(pHX`Oq)anZHXY9rHI$ zWAt<9>ssRQ928RVgBAD?qsLy$ik!eq15mos9`{|6HgU7xp-dnVX9tgm>IDK!C%IW)yY&m^j(KIUG+4EJL z-;L+1>WqHp`6}i;&sWt;zT(yAsyLnGv|ZPd9NMSvB~p1kuWz?c-$$f!`d%ViPTxbM za{4|ZTTb6Uq;mQmB3n-1JEZRu()R@E`+xK~B={Nr)%el(j%fTeP%h#}s1rX!DIM{H z{@{%tmZSJNl~NHukb{2by(AJpRUzX?<$~g8I@Quy{BV9Z;%A1@@5B%Dp7?2$e8sEr z!|9#GkIDzdkIDtbkIDtbkIIFOAARqKzRyGB2Y&X(kG@Ahm_=%CDv7cHjRO1JJ_QsDs7p(C!i*gY^LY??2qjba% zO*iy&=P#C{_$jAU#1G`4-+69W;>SE+792k+7Zg7L)>-^;emCN$#prk9hj~x@v`W6> z)%fA`PU1)9gW^Z!g5pQzg5pQz!p4vDT&@*A@Uu65^f^C`AI#T?AE8eC45M_!kF5Wk z=Kxub;%7MJrzn0P2mSgSpq}^N8!&(AbAKwQ&;Qx;v_9{ra(;f$=loPopZBx%>GOU1 z+@7Al*XQi?`8hrRigtSKr_V>J{pL_E>?hQ*-v~;F{ir+9&+UIKNA?>@sjwg9pkJS# zQu~?bIsE&d+E3+z?5A=;_EWj2_S5H+^tmIoAKK})pFUTj_M1z&u%A%JexoQI_JjYt z_G3A+-|3VJ`#}!+^|=$ZpE(B~Y(JF?vY*NY*-z!7+E1VB(C0POerTuHetI5X?bk-R zu%A%Jet7N^_LJ)_r~k4X+3yU>hy5T2{d!(s?N`aycfs~kxgh(gT#)@#E~@?XJiMNB zSNoxzUi(#~_rKnpN4c<{P{)2}QabD>=fE8Mu^id&EXpq;`#}!+D~;a5DxrFAS@&1< z{;b}29oXN@_j=w}&++Q{Ts?QI_aV)>v2jd}Lgj+` zh06K$3&gLtU+6g=?HAWkF8YN~r(c{y>F5{sIUkmzesM14qhCM{`t_WTp6}6fJ3ZAe zmWJ#XDi_o*R4%Ars9aFLP`RLfp>jd}Lgj+`g~|o>3zZA%7b+LjFH|n5U#MJAzfifL zexY(f{X*sZ`UT?G+b?thz0BN=LtteF$g1U^(g+hf@Bkc+NGDgMQs- z*Zpjd}Lgj+`g~|o>3zZA%7b+LjFH|n5U#MJAzfifL zexY(f{X*q}`i05`^$V5r>lYlh^apXggyNWPztGR!_mX{scpjw=jpxL1zY_QPbUz_Q zJoZ)fd$x4>!23qnSHV8Y^+eIHP@d#UnWz1>8%+8Tlb((j5l`32@k06wInF1!mBf4N zgPf`FCdg-snNMf5zE2U4`f%L?JF)>mTksePutGUna- zK21F88*1cAnI}DqO!^R$?$$R#Kkq3N|`4;i%t3v zlkV0xR^&LJzgEUoKJEUR(;hb z--(x6=H2>kCLZ-oF>oa~&m2y7G)mrs68a+;Zt<1aieb(sZxJJA% zPkL^V`kIZr6Q8*M06ESlxusTp3rxOK-(pkViO*Y!NBb5Uxl-n#$D|K2>2CYbF4V{Q zBsYk8_xr`#50OuDR4)OdezBZ+x1FCO9`!CWa;40ZK78lM8xMDxd`HhJOV8&;&q~q5 zJn4xM5U-wglkezR!@P^<3&aD@Y9m+5Jn1R3^sKe?th4l>JR!~yi|G;*cPlb&K)M|knwoq>(FSp7eBBdh#qih0MEp z5btOg#zpiq=1I>^OHYxdr`XbSx9AxtdYC6Y`dw6V8~qY123vZDT6)LCx|gDxOJ}o+*}|`$SK*=wY7p==VBRPpze=(bDrJ(Niyam?u4zbfd}J zFPbeqZI&L4kFZax=wY7p==U)d&jL%&VoT5cqGzG#VV?BpcP&-V5=+lAOV0zMXQ}96 zp7iMVC{@pLOV3J6&zD8dU80A1(o@`ffBUSm^sKh@w2Pj0(Zf9H(eFPho;8-94olC2 zqGzq>VV?BpcN*kk+|f4GSg{Z5q3W6pE^ z1e1R5dHU^AZjzL1CVjxWlcX>YKwjSqaG-LEW0jPXc`C5n-=to&3++*R+2`yYrd;%M zp^m+#Q9A6EkK!JCu^ic}j#6<>0dml<=j@88BCoyt-UF?8N?0CtfF%+c*ws7UV|@jD z6-KUa#0H{uD`{xC-~hPPxd*yZ&EJ&CDe(h>6DIm>Wku@@rmUqo@P)g;t6ulujlae z{8!j`S}FF^@oBB)_eV)P@U0QQGan+J?C*$du=GAAde@6y=1Fgd6;Cq12F8UQPog)l zoE=Zn&cN|$Ll@&o{iN~K8Zn;WcW*q|=khwlu0ox7YNT|;6AgFtbJqtfNAc7|sfZ`Y zLBF2M!}V{J`EIN6C)wSMPp?~kf1I=`<&hrVppL~JY7TSh^HJB z_rw#+Q9RA0RDAaua?r2m^!7?T==kLK{zej`Ut&d)D!_cFe$Qjx_4_)KLOi96Tq*P9 z_nnsC)0SNjour@i8mjAn-BM0*iQYiJYx~p>avkTCllElQr|S;b%j*aGyx)_Qi*^ci z{LoD4@Ix+&d;Gw1w|$^^n>Vi?CIw}ZJ+uW6l#z92uq zUS2=g=MTRt?G)D6VJ@X&y#YDsuS}cx)bnFHF6n#v^m<6&gDALH*zYZDw*0Vxw8IaL;s@r*55?k# z+5zT%g*{&T`Jqh8>H7@r@x`xPrIc%y`t1JTSFTFR>H7_B|M``xm2zr7X}{xlzjEq_ z1%lJQKM)iz@T)go?DKukh(Cln@zO@=h?kF`xF=p%j^bq=r6OJ+2mN}!uT}gVHeT%a zX_@zDx$B8%Nju_YvBV4W6fezUXTP|8J(mt|5eYP{gXe7FzZ-FT7q2gZx~LF2`~ z{tt>5_|+RP_PMv`#2-SPc$rV>h?iqg+!HS>NAYqUr6OJ+2mN~PZE3`KvETDz-s|GV z%SO_Ucv&g&!aT*xTJb~Fc-bK3qQ=V>DHk_DQ*@@sd|$#)+u$GEmBOH(sRuf$^e#(0H-0--F_X-ARAo-zntZ zJWg=WNs$}qm*D%hl+V-RzV`=YzG%`jLri-!%*K-U7@GZ_Mom|mqJQ3h{}3tXUT30y zzMmr0@VLIkFOk_xf6eu-;qe#gHl0XFalaSoz!@KxQy35-* zmACPli6N1>xr=yrFrIAt?&S1zW`j_}yZB7H%aa)Wg6E5sB6nLC@$RDX2a4a_oSx2X z7HW7GpGkLlhS3S$oIa>$K&i;Z(=_2@TrV-{_Z3-umBs6Rn9A#ZhVptpTKTlB_mtQB zbIR*|BIWgdh4Okms=QurD6i{D<>}PW9xt-vUB~Hq8Bgr-*`&Mp6`xIQyypwvZ*&pwXdk>f zPPYnPdwe$O(eaKGyiax!?|2`)&NwZ2?eTdbr-#Rjbv3S+{z)I)P4&+C{Ch<6dga{0 z@M&Ew>+==w@IpJ0c~08ne4m#}V;%b6geES2lt8;QFYUTyCJy zVxdI#tJkkSZQr=^aP2D*y+ehTS@jUh^#;{f9kRX((KlLXrBEV~+E-0L!}xE!=$#<6 z%BqLhQ0<#MEL?rnqHl`OTA@TDwQq7rdp3&RW}&TCJ;a7ziL!7pgw2&(UvJ3SA|X2&XIc>klaiP=B8{d**Dk zujRVgq3Ug?QmA*e&^1DdgsK9J)@UysaVI;^iO~`&IG#3%-pxX{2qhA#y>bpF=ep}^ z>e3AWaQy&(Z@20tw$paYxh_xrbLa#O zyKB5rz2i_XP3Pmn*gHlG8q|yXU!Wn)Gfj0@A=JgEowu~2Ve*XylD zd#T>)F!kbo73#zNDA3|=)>{^`Ufg#=eYmd#I<)Kca$F4y8CSR-L4CO10Ild|y=3Q5 z@r>&V)Q9T^(6QaDm+Ty>UR)obKCJ&it0LCh4*^f($CSy#7a^`%=C$$oJ+G~X$B`+q zno#4&B&iqIp};Yv%Q%L03rDTgi|b3^XzVhM@^0a1mU?mB2pnx)#!=QS91EmgTn_@r z;)poRbs@#aIAf2d*{$;sClnr2Kq)-P)xq=J5~+8o&}Ci4QQbWp%cb7Cgs$u|4&#@u z+GCZ}+b(ox}Pr3+d3VG#iVjoNS5NWE)?c0|OHuj5D4?718li|TmXm^H1%#L1M{ zyfE|fI;nTP&n@IA zUBj_m>fIr9XG9!@;+LuO+Sm^@GaC3htYOa7Hu$5VzNQIu_S`o1&na|)c%b_~xX*;~ z3-^sdmG`5lR^^~i-D)cH6zjPk>xezptQaz53`;t zk@_oy;<+l|(E7@R_glZ}^^#M6StYTo)4hhP1!{q1=^oc*yc z(3#!U&x!-Q*Z$-{rN@N+X>z8B^2yW~fIZ~V<$MQyRKfB)}y9(wP?u^(Mue$T*D z{}^BPtv7}>48Q-=6%X%Nl>5(liRZ4a`_rL0U(C65@8M_XUAE zkhiuxr#*34-dD$-TX4plRk_F3^m=U1HR-(jAIN$9Plp|`;vc2Ej(hd^+}#iLexT-4 zzsbAhv7CN84}0@-lkPZr`|f4A?_Hkz+1Z=k$y>NC=fRr8j+xtVPM=f$`b_S57p88U zH*;d%?H|uszUQ#~#f$QvJoC`6<-WQ1kY%@j^4EC}Jd^W<=MU?+V@csrpZ({Q+#Of+ zxqso9SMmm}&AImHhaFpb-CGNW#U6a@?LYVV!Pok3o0`A%#l!CW#K?W|#w!!Aey8@v zAMfka|FWD#A06=aG4o!#;)QtSGs&xdGV_Y53lBN%>YSB-FSxDvs@$Ko$1l3O*ISoe zHs#j;K4fBTPRGE4L(jYXwEE)sya#%x-Z*{QV?z%4VIt@05e3h_&^Y)rcgBxs&n>2R z$8V1Jd3H(8XD=O4{dk|FzCJI0#|x><}* z{PDlsRo$nxf6mnW0Y}{U{y+bGW;}U%pQEpxKA_;tK1ZC9(|=V#VZ35<#mdA@eZKVY zz3bjOKl#INpVV;Z++RGqe%IBpwbL(~U)>RZ{QkU;{rsF~d;jWFeIMvpv+0qmckMlX z$*Y&VeA>CM#5aB;an0&ptA4cpwbZjWCmuX=&+5GU;`=I+U%MxH^*gIh&Hd|`+?mhi{^_rOy7s95ihucx)O|N4@4Vxq{c|5)mKy$ppFDGEDxUX| zLmt_jd@S+V<8m814ml!s)r}j6#?PJ9=k6<$b>$ZxlRIW%pE=(zJ}-XMHwJz^v8m)o zw-!Bo;pE@H8GH1CL!X^F^1F?nzh!Q0V#oPKXI&D%`3s55*8lvr)LrBHT|cJpoezBL zjT^sq;++2}TT187^LpjKzd3)!J^fGFbLOFs_Kv^$PU7)Xzw}D#rU%odvA%!!!by)0 z`qc}+TRwZ&d$HJ&ucg;~F8`08DR}nb`nHeV8DF(FvHJU4R;2c>>-Y2(eb>G7;^5kw zewOpS)6yr!Vzuw4&;Diplm96AT5qt*Syg8FKd6g@$`+4 z9{!01kUi(N^#5Lz|L#Kt7yoq5%oC@@uYM|V^Fz;6rG9s3zmJ{R_bYEc_LHyNv!~_T zZx(+%7Q6A4^p?l+zxsN?s26`Xdco20r%p?}@Q*d?QXM}|4sS`fiZ`Q~9zH(Z}JD0xkM(fW90>d*e zrT_S1{*O;A81nkz6K5ymKmBDQ_U7%|Q_rW;aq^$%p0uO^dM8)qfBpXa z@4e7}(L*iO|F|^1^x?$o3x0GfA(kn z|M*V%pkrT-fB$cZKdrj=d#P`}mVPVI_qB&J*N)uwnafw)0NIfb<~M#Jf9Iq9^Jlc) z*yp(T1M3q@KbN;W_2-rS`rOmE{cktlJ?-v)oS%Ct@Xp+xUUp3WgL?{&y7{DY=AIt! z`*z}|dv^6oee31)v2*+Wb^YHL|Mi83&iu{#m1o9cC*P93_wV`t_jo~F=37mtemws6 z4T*ameebo@Z*T24eR|(%Z?FE_fGb|F|Gz1~@aga6?|eJI?v4IuzkT_>=5+k;4(+F|v3+m3;kOU>e&UG}ZvQAS>{_0FHj{tr+=7LVcN%A9bnrZ{SDNFjARx|w z;mFtWInMg`V4OkkIk)9=ob?ZgGqml4h%;b#=B0d&v;F~b1`Ly{(i~?6AA&do-mr0o znEdqj(i~?60da;tA2!Z_;e&`X_^tNcd>+sG2aIQETiEdodT)FspU1QQJvg34i8IKC z9nWCj3G324o)z@qcm|t=9nXOG$Cm=>oZ^o8)wiPc6|ofuyKZ1 z|96jPz#BHsV6(96GsuRWHz7On!8Bh76!hSA0QCO5;|%r)4+hAD{V9a{J|d8glR4obG!^pJ(o!a@Rdezp*O5 z9hUFAG<{o9{)XiRFMa06#~;5de&|ujZ@2$GC-Wll_x^VCJ{`XrKRQxIfyl*w+|MKPhTjuqj z`ai3V{LzH?E0dC!PJHUs+`G?D*AMD5;ma5P7_@cYx zH*HCNYV#Sl=dPKVe&#EEwteM=<39abDlu#r@RpsDKI@eHpM0a>$`KVGTRcC0&Yt9L zIW=XuFW=wq>P>xiZ8_xm7he76_b1(k5&!B})7vNJ|NfAO$rbH$$b`>dX}{M_&S5qPIPkw4(k{LBB=fBL}JzF%}v{OKXdUw!GiCv(UB zcfY#beabJsW8a0zwU;bR0mG}er+?d!Uv@!3>JN#>2A@DTa+9?W-?S+A_}28HNA>yf zkZ-P7_PYVIKK~+QpDs&(;m-V5iVH?8`Tm429T~qRp6p%p_R`#qhxaRQ>vQCP6+C*w z(0M<8eI#V7FHe8xz5JpV3%;=a+*{xKT71`ElfPZ`${V?T|C0XV_&#sP+xi#&JhN{5 zm9W{JPo`7P=f9OIcr&-tID_7XMQM(+f*y=B*zEK-@;T1>2gDgwG+Xpe8LGQ~u^Lae$A26Ol_JfEs==~tZGw6M~ zET6}-{vU$z40!u4&F6Wuf55y6*$-kogWj-l7G*qx-mr0oJ|A}8gx;{@*_x^0$1~`C z_4a(8H~R<7n~)6~XOOMFJfG*y{vU#Qv+Bk0>obh&Vb^Dfov`yJ=Gd_FCiI3~p8;>! zID_7>>oe#LJ8wd7*m*NboB>16&YRf(|NEBD%@{rA#a%?qroa0a#|UEa^l>pdZV<~U zJ~_tcDawY@S%+Ax5)UEdROKC=OEg()5?eWOao*853YJ`M65BbkBkyP&J4kLciCZ~w zXWr2`3zghs660x3jrY$x8b>6O@d8O7VA2coj>gfUWc)BmKis4rk#{tX2q)u3l76H~ zKPvC&ew3aQKlQ3ACsJmOwI(#nRqzod|Yxa zHaS(4a|yk8h_rn|axOJFlPKr1BF?#7a;`8r)s!>&NY44B@hED1(UOka&9}3bG|4!x0{^hlyk>P zoU=l5?ld`fQO?~ZoRg89drZzs%DHz4=iDbbUottXDCho@Ip+b%`LfArri-q8f$WUYi_ROrjUEcIFs8)bNfne9&!)8z~uJR+M`i%Wlu?0AzRAC(iEZvO6(55ZRsgjkCMB?5@m~BfHyv zadvl?-GkXd$nLp+oZZW1_hxn;VVF*#lknAZ9C&J@~*ldx*;p zVRk68!w!nG!(Da+vm=omRS{0Zt;=4=>~v(WuZ*)d zxa^J0-h}MUW8>^CE_*Ap^~l~fF3#TWvUf0hC$e{qkF$5X>^;ohi|l<9;_Uq{`v9{K zBKy#harR-CeT3NtWFMUvXCHIf$C-Ts*(WE(*{59gX=a~6_SwmjEi5c}uAs2s`HngH zkOAj&M$Y~pS_%tZ;M^B6_obvxV{p#P9?p=Pu3`7D~3T=+rn{?6Mu0?TG9mr^VS4mtB_INRA}mtuBlWV@I++tp>4VRl($mpdcQF7LAO<7HvtipZ{X zW}NNjvi8}ssIYJqWLG^a&USa%)mV9TWY?&UvunESTFkDE>^f)1*&Z&tF0<<)yZ$+G zw#;QWV74c+8=f0yd%0|HW;a51~75N zj_e*6$Js$HyC<`IA-ne_adsb<-Iv+@klp{%I6K&74`B8{WDmM5&Q`eW!OR|l?2yaj z>`<2-#_VupN7TjHkuE!m*+Y>%?20%$+GWQudpNR3Tp4F8U3M(9CQ$X-_;XQ#XD^~~OY?2Wg@ z*_&MUW@c|e_SW0uY`x3g#_a9L-f>5qz0+myV)kxi@3}M1-s`gWF?&C<58M@JA9UG= zn0*-8NA8ZZ4KDjAvyUPB_&ss<37376*{6_w`rbJEjLSaD>~qLIe_x!P;j%9<`y#S0 z-5+NgUG`;WUqSZO2jc8&F8eyOZy@{TgK_pPmwlVrcaVMep*Z`V%f8R-2grW-aGY&& z*^ik07}-xAiL*0Z_ETm*L-zBAIQxame#z`t$bS83oSo&e-!S_vvfn)xXPaI2duD$? z_Q%KL>`yNHGqb-S`|A^N_BWUPo!LK-{qxBIY$oTqKJ zD93D3L7Xirlx$JaGjX=qWjiq25!pqajk6^#yC}1ZA=~M>I9uwni!-|fvP(W6XFI#> zQp_%mY?m2vwyVo7!|bxiF84y5UEXC^V0J}hS9&qdc5~U4nOz0hRbPs;-CcGyW>-gc zjm9{;rpvCy?Apk#^KzW+;j-&8yB@OZzY=H5Ty_Iydm_8xt8uoM%l2k=BV;#zEzb6F z*-e<;6xq#Qm#o|j^(`nY+Pq`#jd<=BxspXOUbH3W_QTw*-i)(byX-d1_D6Qxx8m$} zF1tOm1CZU}?Kmq>zj5WAn8nj?(a!J0S$X=6vwZq3!qacjZtuofdHRjBdvGnDev9^e zFV4!-Z=B`RZxNn;i}rm#&dSqooaNJR5uSdF4)`F>9_X?Mv2q2n2Y(o64{_Nc%nn6% zSW}!G?y@789f|CykK(L6{l>nBF^i|)qA?%GS$X=6vwZq3!qacj*iYiDJpIPm@m!0i z-=ZUD##wp#jkA3EEyB}p(Uec)tUUe3Sw8(1;pw;Nn9t(uu`YWYD_0?V{O57@1eZOL z*=fk0^hKOK*=0{*_Ecm~`!deT({Jn>F^i|)qBFjVv-0#CXZiG7gs0!4v%ikB^7I>L z&*fS?{T7`+E6&Q(Z=B`RZxNn;i!S;m&dSqooaNJR5uSdFF8wynUgolwvvM7>S9}*| zuXNd~n7ta=YntQiwJv)dv(u5i{`)v9PrtG6jm+Zdx9H{{;;cOV##ui77UAi)=(Zo@ ztUUe3**mxvPrpTX{S;^A={L^u>9+_^zeV@`9B1X}H_r0uw+K(aMGyTFXCHRiM_9Q5 z*++kkvyZv#~k*rJhL;9ec_Kd`=ZOf#B3w7FaH^5 zUvb%2nSBk}*JsDsH(d5jX5T{g?Z4veJ1+Y!v+p7M{@-!-1DE}f*(PK^`X|nQ?6RLQ zI}_PYTjK0zF8evNUm*MCzj5{}m;IXAS;&6#U!48cWxr##8QJgW#MvKQ_D5!aLiXoe z0X{h>`o(2`W%f5@f5#sHlk6WZ`zN!rk^M_PNk;Z>m;Hy?7G(d$UxAS9e=a*G&KBn+ zTU=0Vv&DtX78fyFjBJMvakit&F2ZaHvWs?%vx~WGCuU2LU3`%^yM)Uw$!uq2mnw;~ zOS^0rX1gN0%%X92S(jao+2xU4VX-*7qRXztY&T?A?i6QNaoJUw?T+kfrEzw3mtBL| zHIZFw@i@D-%dW$04`kO}BF?Vovg=rJ&CA0mI-KtBR-P&chVYWZA+jfn!+qvxa%nm?yhh^gIjxM_s zvjdUcdD%F-i_7lHY&o*KEf;5ZciBCd9fa(j%g5QhTy}3}_d#~w72@oE@{-7ZK)wU{4&*zK??Ao-`3~ee zkncdg1Njc*JCN@{z61FVK)wU{4&*zK??Ao-`3~eekncdg1Njc*JCN@{z61FV zK)wU{4&*zK??Ao-`3~eekncdg1Njc*JCN@{z61FVK)wU{4&*zK??Ao- z`3~eekncdg1Njc*JCN@{z61FVK)wU{4&*zK??Ao-`3~eekncdg1Njc*JCN@{ zz61FVK)wU{4&*zK??Ao-`3~eekncdg1Njc*JCN@{z61FVK)wU{4&*zK z??Ao-`3~eekncdg1Njc*JMjN}2l^E6)IXP7q!5iL5Z$;amn&pkL@lOv5WS=zm+L6H zk<42}^eTx+_?fZ_{Z(GZ~#jpW( z-ncym_r<(J_Sw#PMQ{QSpt><+z$B40je zd^z;6Lk8I6+IWv+4?)~#$HOhxt{cZ(u70OB>f`*$@ptUXd0CI&ZUkA6^N;hl|FEgH ze}|5rI2K$zryg}^tfTF5<))6FjK13Gxx&Yt{xN6HoLsJ`^p{u*erJ3RX+0nsGn0mqkc-AN&STS zG4&&A6ZJ#t2h{he?@`~SzC(SR`WE#~>KoM8sjpFArM^Oanc7HwiTWb-1?mjy^VH|4 z&r+YEK23d!`Xu!U>f_YMsE<+`sE<$|ranY{koo}ie(HVHd#U$O@21{Gy_0$e^>*rQ z)OzZz)LW=GQ*WZ)NWFo2J#{+uI_kC5Yp7RKucBT_y@Faty_|X(^-}63)QhRL)QhMW zQZJy^P|v5HM?IIy*Hx#p8CO%!qMk`TgKDS|^>pfK)KjUaP*0|wM4d)Gk$M94cxn~( zIO?&~W2i?{kD^YcPN7bwPNGhv9!Z@*9Zwxc9ZRjG9zi{vI)*x$dKmRk>L}_+>Imv^ z>M-h1>JaK7)Pt!N)Ptx8QV*aGrtVMOkGd~)AL`!Jy{LOq2T}K+?oQo}T29@Sx(jt@ z>OksF)E%iiPzO-Ar*22xmfD}X4RvekR@8pfEvZ{jH>dWcZbsddx(T%pbz|yA)ZeJR zs2fsyQa7NMQP-!gM_rfNgSrlNZR%RoHK}V*SEsH47Dq@3w3GgQq<1WC8NnI`)UT;u zQNN^qLH(Ti8TC`@OzJ1pkEtI~o2VaBKcK!(eUJJs^&RTl)VHW_Qs1DyPJNB~D)klW z%hX2duRKpLGJb(NgZe!6IqI|2XQ)q8pQ1iVeS-Qp^)c$B)CTG!)Q71LQ6Ho}K)s)O zAN5}9J=D9YcTw-8-a);cdKdn-fs5eq?pk7a%PQ8wLE%h4e)zqt~S5mK_ z)=@8~UPir?dI|MnYAy95>V?z`s5R8{spnD8rJh4Qn_5jhi+U#Y4630<)YGY_QBS3w zLOq#!5_KB&MCu9DKN+3d|k|x2h{HJoQmg_;#`N4MMVol5vOU(oGvA6$qLNhp;tMc?@HnapAIGR5GT+3 z^AvS34{Fyj?kxJxoRS6lz`wmbYdfCa^0Xv8@$=t24#x!!pJ#2x{r<;1>yT%#^fwF7 zI^-GoKj!J-crx~<2YIUh$2{wj=YWj;S(iNb{*QUqb37UQvmSYx{>MD)JD!cQ?Vt6@ zQ!Fol|K;ne%<*iTjR&7U;q_M5PM$HU|LuMK={9JBs%+Kvg__XbN4OY2irQ|di@)L>#vbdz}@@gg8b#OFqhli zFMxlb04E)9+WcMQz9Gx}T{~o(kN5v9^>@oyfA@^__sCdZK4j0tzh}n!du6P@cgFhr zWURk$#`^nZtiON8`f^du)c*rA);};~{ev>rugF;c;EeUCsGGbCgEp&9EB%UEB& zd6tQPM8^6fGu9uKvA%pWDii-<8S9VESbt2$`iEz%e?-Rml^N@g%~*e2#`@zk)}N5E z{*f8$%gt)0{WB?JefcJ7rutJd)|YRhXR3cx#`;HRtba_#`p0Ihe_Y1;RT=9apRxW4 z8S9^zvHrA-^-s!J|KyDIPsv#S)Qt5{%UJ*PjP;|8^-ae5XJo8@X2$wwWvpMFvHsZ^ z>z|Xc{<#_JpO>-z`5EiiWUPNd#`+g#tbb9)`n4JBU!1Z2B^m2qnz8<68S7u3v3^~~ z`d4JEe`Ut{S7oe!b;kPFWUPN}#`@P~tUou%SpS}k_3zDC|Gtd%@6TBOfsFMZ%vk@SjP)PR zSpSiX^&2wQe>7wL$1>J`JY)SQGS+`GWBsQx)_*!<{bw@Pe>P+N=Q7rRK4bkE8SB50 zvHpu0>%Ww-eq+Y^FK4X(O2+!HW~~2O#`>>ktp7&F`fp~e|5nEOZ)dFkPR9E0W~~2S z#`^DPtp7pA`X6Sj-;}ZbM;YsXoU#5V8SBr?SpU;Igw{x2Ep|C+J>ZyD?Vp0WNP z8SDRwmZ|KdRZ4uSq11N|2X^e+kYUo_Bvu|WS$f&Qg|{)-3tFA?a!WI#uX5f0u%y zApd0o{g(~w|8jx;%Ln?e5a_>Rp#MsN{@nuoR}S=FCD4D>K>zN6{;LK0uO8^XMxg(i zf&Oa+`mY`6zfPckk3j!*1O3+v^j|;Fzbw#ygFyeDf&Lo?`u7U-?;YsBQK0|Ef&P60 z{Wl5p-!#yFvq1m8f&QBZ`fm~Fzh$6*zd--30{yoR^xr1Xzki_rwt@cJ1^RCv=szIP ze}_Q-9RvM$3iKZs=)ZHI|1N?4y9WA~2m0?8=)ZfQ{~m$xvk{s#p59~kI=P@sQBp#Q;v{)Ytm4+-=i8t6YP(0_QK|A;{Uk%9iB z0{ssS^gk@ne{`V#m_Yx-1O1N(^sfx`9~r&8 z{cjBPzbVlF=0N{j0{w3d^sf)}zb(-J_CWtT0{!m{^uH_6|L#EldjkFM4fMY+(Et8G z{|5s79}M(=DA51mK>tSq{Tl-P9}V<>EYSb)K>sHK{htiJlne`Da!pT8XF|61VBpT804|7KwS-wO19JJA1~K>v3G{of1p ze?QRwgFyce1O1x<{XYuy|2WY9lR*EOf&QNc`hOPa|9PPQ7lHm?2Ks*$=>K(~|Exg& zZvy?l4fOvm(7!p*|NB7y9|HY<4D|mg(EsN^|6cK>t4i{r?Q~pB?D` zSD^pjf&Tvl`nLr7{~PH4U!eb-K>u7};P0Oo2LAqepnp-Ie{rCHhd}?1f&PmG`j-Uy zFB<5-SfGEWK>yM}|HT9Smk9JugWFCXZ? zLZJVOf&ME6`gaTTUpdf!l|cVh1O2-P`mYw~zj~nm8iD?62KuiR=)ZQL|2l#GJp%pL z4fJ0x(0~0v|FS^;4Fdgp2KsLp=-(^QzjvVjMuGkt2m1F3^xq`Vf73w!%>w=V2KsLv z=)Xmv|CWLN{Q~{B3iRJP(0`ji|Nepg+Xnh?7wErzp#OkC{~ZGTcMSC3DbRmlp#RQ+ z{<{SF?;7Y|9_YVYp#ScH{(A)a4+`|(GthspK>xi1{r3s<-#5^Izd--}1N{dF`X3PJ ze_){hL4p1if&K>v`X3VLKP1q9XrTYFK>y)^{v!hYM+W+j3iLlT(EqSN|IvZ|V*>pT z5A;7G(7!U!e{7)txIq8$f&LQ${f`XvpBU&rDbRm%p#PLW|EYogM+N#H9q4~dp#QOf z{>KISR|WbXALxHVp#O=1{?h{ePYU!uIne)t$%{Z9+@KRwVt3iLOD{$~XGpBds=~0pzi>^U|Fwbrzb?>!dZ7RHf&MoH`rjDne^a3U&4K>61p40^=wBb` ze_Npc?ScMx1p40@=zmwB|J{N9_XPUi8|Z&up#S}W{tpEDKN#r$P@wJ@x|MP+VGXniz2=sq3(Ep`C|HeT7 zmjnG@3G{z8(EqhS|JMWk-w5=7GtmF7K>xP`{oe`ne>c$oy+Hr>1N}b;^#3r>zbVlF zqd@KJ)|5t(jUkCcn3iSUb(Er;&|L+3*n*;s7 z5A^>b(ErCk|DOW=e-8BjCD8xZK>yzY{eKVi|0B@<&p`j#f&PC5`u`p1|4*QQOQ8S1 zf&Tvm`p*gU&lQFJ{(C`D*zeyL2KpBT`WFZKcL?tkw{WlHt-z?C-Z=nC?f&NmR1$>oX`dA3(B_s^V?5{wqh_t)kI>Ck#OPCv&D=K(aCd`@BrKe1nI)w$N+6jfVPJb!FKw+&N z7M&vhA@eVzZ|xESf_PCop|G~NIF8-?H9N%dYFsC2aCQZ#5SDSC{B;+671ofqc6o_p zUTvwU%&Wz^!d#bHk98lHou5H?k<;M-O0Ivg3h8+ucwWR3ksxdmZxhx z-in7@wf*CHmMaSn>$c)SUGQ{^eS6wicm~HlUAxEQt$5sVkB;Znt{Km3cYj#76%S4` zczVS3dfHfcrb&$R1(2lkC8t-u3Tw}ke{sIh&n*36-Bvs}PVn@J>-Ds;@Z1#pbnP3D zx9U$v83)gU@-KM$iDuzp-Bvs}PVn@P>-Ds;@Vq85`ZFLNZ^g5SjDu&U{0p9eqFH!Y zw-pbL6FlW{y`DA}p4qWa*Fo`kE1nV=2TzH-0Kl_PJg?pRhjm-=;5flEIIh>z#=_G* z_UT#?kGJA+*NtA}85+-PHxKK!;=yr(XJlNjr;UZjU1tW;Z!h21j11sUtdr~Vm~tNfEUxP;qv$*Kv%8M@ z*EOud`T0;l;Dh~}Wj>BK%lzt$^Y6_#zbWJVVxE`w_NOf4e0TnN{%QwveI<1gRj}o2 z-uvFM95+FhJRKfMfmhfMo2uPUY9;xgV(URUTV z`swaxq>OwO7Icz-@j7+q*S%id>l=BTAL=-)!aCILE9>;@+0K*KGxLYr=?nM=^L;LI z6qL$n52?SJDE0~VwY)yNI!^3^_%*Kmoon$r#(b;?Hx&EI#MS46>uF=DgX^_lr+b{ATc?MO=heY=4RzLx=k>I))R`u+U#Be2 z&#lwP#`Egnb%8oK4jh+_rOr(f`*r%p`MGua+jw3b^b2)xokSfQOP$vw_UjCY^KrkQW;I zfc}cky)T#Hx%cJRzY=1k_C2nf!F4!4Shu_=_Iq3F-r_p+1?#xq+FUo2>#Dh~S3CWh zx$a)B<9?d!$G*2ZzS&&Y#C6<1ZLTYpg6OAvzuQM~rulW|I`_Uew4Lkz;`xy2_6x87 z&T`&yJ@Kzw+$Z+BD0#l0b*}Bf_niqc8~Z{ITfPcwJ94}mxiI?Q-2Ksc?tKo%F&}<7 z|2JCgav4T3zO@v@^=`66N%ecE`td$$UEl4mwE9P<)bFe6$NQ~yeYX$O>Q|-IAE@fb z`?7U?w_nrhltcvq2fyG*VT&aN5!?AxPi;6$F+ux`&@{@)p?!yxmeFoqk6?9 zp?tMIj}I!Y9~2j^XW+8xajhleJ{RI7F4i;D=o!V8*00wT*Y}ER1#ttHRgX*BG9MRW zaCP5({(iBZp+-%LOG5c--LF}St66caD67C_)#F-M#{GUF2AAIVtY@gvZ;C6eUpYzO z{hZu2-zhHKUxCZ2$F;tU`&@{V&Lis?YE();%*J&htzXM4u5T4rH(3uZs~*<|GVXIB zPU;uy8EUkq;*wClTAxQ;Z~cCKqquNA1eaBhYeO0LxezCDv7Vtu{S;SPzXmFn6qZh2mOW+`whkaSOG5c--LIb%*QbgL@5kV>>Tzu&<31PSr1Qvnh8ndfuC)DCQat~4b*AE4 zTin29)#KV$#(gftN&R9yLyfx953?6^zuH}KeWJKKE%7YE-7U z()!g`aeb_~dWajita@BK$hgmiIH_N(XQltcPp}5leHCk~sDX#Uz4O~_|uAODv=R%xx9$C*&Bl)Jcy-&dG1?{hCit9tg zh5IUSS@pPfm2sa7aZ`}*Dx9PxezCDv7Vtu7b>o_eqF7&UR7Lp&Hd}-#(gftNnEUFsL?ZuE3IFzDXy0l z7oNYsW!2+4OvZgK#Ng7O7qXtAMoo$(c zuC#vTCB|8kN!yvlsOIxV++eQE~N?_29DV zagCL6p9^tPzgW*uqcs&*TEBWJt``&+o>#$T)#Dm3<31PSBreu7)Tp20O50xp71s>K zwY9i`%c{q9q>TGqh{2`rCs@x=qkR-tTEB)WuICjOo}ltcP zskqYmb+qDoPI2`YH*i_?xTeUs&xJUNi}egOI#qF{_3J#v^{nE;^F6q%dR#}zxX*ACMdWIU+DXz4B-K4mlQC!=J8@Q}`T*t_`&xIIV`g11MGt}r_#g*2tCluGyifemu z1D92g>o^(rxe$X(-xso;p+=30E3IGeDXym!*8p(?msOAJcp3M(5GU;~)-%-T3&oYz zub&jxlZtBxaRZlCkLyGk_qh-!aj~AEMlFgftzRXJ%>O?0gyPy!+`whk<2p&keJ;dF z=aKacHR?(~%wEvv6WtZpKE%7YE-7U()!g`aXqHE@bfI- zvg&c2CgVOA;-r4Do}oqq6j$2*8l<=$Rb2S|2wYY@u1LmxF2qS(tY@fEh2l!<*J#Dn zptyDsH*i_?xXzGqp9?X#9yIfRPR@FU8ckMQY5kg}xE@hl_*@HIRz0q>WZdUMoYXJY zGt{VBai#U^62QG?=2>(>m$^`PRCZ=+i-s~*?+GVXIBPU;uy8EW*F;!5k+OvUwp z;@U&p&@ZbV*99`}b0JRJU#w@SQM2Mo>(^|>b-&^oByQlc>Tz8p<31PSBreu7)Tp?m zou7Yk9=U7oQ(SwB8@Q}`To=o@&xJUNi}egO>ZZ8T`qe{m-K)6v5;t&J^|&sTai0q@ zxb*!O>ltd)M{%X?ul|bb9>uk{xPi;6$91`k`&@{V`o(&N8kH-qw0;d%Tz4xj{M;tE zta@Bm$hgmiIEjn(3^f|5xYGJHL2=!sxb_t{a9Q=Zu99(|3vm(`>ltcPrMS}iWfa$) zifcb{1D92g>lzvNxezCDv7Vtu7b>o_eqF7&?oeF&iyOGCdR*7ZxX*5>8^$azt zS6pfRdQfrQuDAw^8@Q}`T-VFE&xJUNi}egOdPZ@j_3Jgob(`WkK-|D()#JKR#(gft zN#~LE3^i&}TxtE9rMT)9*MZ^&E~_5b%`)zDAx`3AJwuItQ(S5N$}KwobH}ZU>mYFh zmsOAJRvGuX5GS2S)-%+olzy1Kz|X%F*DZ>xLe_)Js>gMkjQd=OllsMah8nG@xYGL7 zOL5(-xDFOKa9Q=Z?vQbx3vm(`>ltd)PjRK~uYro|CdGA#xPi;6$90#C`&@{@rSA(_ z&rqX%6jxfmhAOTb71t1P1D92g>mC{RxezDyi}egOs#IKQ{W@B4-JrOJiW|7BdR+I( zxX*84odWIUEs<_hnb)Mq7UU3Z*H*i_?xE_#kp9^tPzgW*uqdLWv)~}ls*L1}- zT-?BA)#G|d#(gftN&R9yLyhiLTxtD!LUCQExJHN@xU70ykI1;sg*b_e^$ay?R9tEO z!tW9J-&eR+ag7u=a9Q=Z9+h#Q3vm(`>lteFh2l!<*H4P;8pSnA+`whk<9b}ieJ;dF zT&!oPQH$bA>sQHQ^Y`m&#dWB-fy=7L^`wmZT!@pnSkF+SuJps~1%CdexUN!MhskStE^|JQJLaO>sMdJb*17OEpFhl>Tx|Q<31PSBreu7)M$X>O50z9 z6xS7sYmB&o%c{rqyo~!?h?BTj&rqWZ#g*2t(Tb~1aUCvh;Iis*y&&U07vdx?)-%*- zvf@hX*EGd-x#BuP+`whk<9bQPeJ;dFT&!oPQMKYq>(?cU>oUbvDQ@7h>T$g+<31N+ zaOv;Eu%4kt(-l`*zwT6AmnyEY;s!3O9@ncf?sFjqmww-7JwuHe6jxfmW+<*p6xTR$ z1D92g>vb9TxezCDv7VtuZz-;{e$7-|7b~vu;s!3O9@m>P?sFkd;$l5RjhYo#TEAKp zSFPfjAa3BY>T$g-<31N+aOvwR>ltcP+Nph?e|6(La@Sm>xQ-Mza9Q=Z-j#8m3vp7v zSkF+SGR2kFuYQW_Ld7*v+`whk<9c7leJ;dF{bD^sjmi~Q+Wx9gTo)*=N#X`Bs~*>f zGVXIBPU;uy8ERCixYGJnrMPMo*JN=6msOAJBN_L(5QA&R9rJ&`gY^tGs#aWS{i;)3 z=PRx$;s!3O9@i%_?sFkdI*+Vps8PM*O6ymH;yO=pO%*qAS@pO+m2sa7aS|8n8EVw1 zxYGL7q`1yiTt|r;xU70ypUXJ7J}8ZUUlcL8xZY5sX4YRh&L94V)L+K^esag6G3UTf zeoy&#jC;TT+QM~Tux_dN;C>(Bg6=1~ah|)roUM-MXjzZrvFaVqS2FJR8F5mdSfy=7L^{tHi zT!@pnSkF+SO2w7duPViLrs6tI+`whk<7$?1p9^sk7wZ{nRIRwu`cr`TUcWex+%?B5E<-M>9#==jg&17= zxs&w_H7ZkFY5nS_xT+M_8RW9+ag``8#7SJNXQ)xR;!4|J6^iRP#dRjRta@CFDK5m| z(${;|Gt{V3ai#UEN^u>lxXvP%RgbGwaUo9HU#w@SQMKYq>sOuPI!19-lgp~dwS?kA z3@&}0U_C>P>J?X7zZw+R(TeMAa#{7bIx8;3N&AcS3^i(0TxtDkQd~zVu5-v`)#F-P zaUo9PVm(8RniW@CzgiU6RK;~JxvY9zT@@GNr2WNuh8mSF+0N@1=aIW+isCwtTvk1< zWfd3Vr2WNuh8mSAuC#vjQ(Ti3*ZJhK>TxZvxDY3Cv7Vtu<%%n9e^n^1Ns6n6Tvk1< z6%`j^aOs~LV?9HSDiv2+zp511M8$OhxvY9z-4qvMaOw9U)-%+oT5+ZI3;!cv|NiSp z#dRUMta@ClC@#d{(w_sco}otdiYu*O4T@`m;<|`jRz0rniVJZP7wZ{n)Tp@9`qiYk z#w)H`a#{7bR##kzlg=aS8EVw5xYGL7qPWH>u8YZK)#F-IaUo9PVm(8RN;|jn`o($V zt{JPiE+Lmyk85qkg*b_e^$ayCQ(S5N>ZiCW71yQYvg&d5P+W+UxLD6nqjJTSw!bPA z*Aa^AGICk>pZ4xURCEp+@zJE3ID*ifgpux`JF*J+5Ag3vp7vSkF+S zM#YuZuO`KHnBuyUTvk1ltd)thmzp)uOl#Ra{q*%c{rKM{yxe>KE%7 zYE-&ZJFj1yNA8+YitB1}S@pO!Ra}UZ`o(&N8kH%ow0`wdTq70NHRQ7DarISPh{2_w zJ6O+9qjJTSw!bPA*9gURExD|ETw5qE#7X^PJwuHu6<1onsub67#dRIIta@Di6c^&8 z{l$8Q8dWQmbE-3%RU%T)QYP#7X^PJwuHu6<1onsub6OitAQ#S@pQe z6&GS~-85|epKoA2Lyf8xS6aX76xRWYtDam|J+9pq7viLTv7Vtu^@=O4Uk!?Du;RLn zTvk1()!h;xb|0Ex0B1N$F-N@LY&0KdWIS`E3UMDwJ5Ir6xSW( zvg&c|qqq=*OW#kho}osiUD|p5;yiNK?5nu$B$ri>Yd^(>7+m_g%6f(xl_{>Ye)Usa z`zWrv$Ys^z8mzbwCvmZ!p+@D3D{X&OD6YK~*WKi@>Tw;YxDbPD(9`o@Cs@x=qe{h< z)~_nXwU^?$hg?=Yt_sD4IB9>eo}ot7iYu*Ob&6|G#dR;ata@CBC@#cF=aKacHL6!! zY5i(YT!R$XedMz0aSc^mh{2`555szf8Z|1ew0<=yu00gj{p7OhaSc~oh?DxodWIS` zE3UMDwJ5IL71smgvg&b-R9uLY_803JYE;^_o!2kUBX`Yiit9mgS@pOMRa}UZ`o(&N z8kH%ow0`wdT;+=EA#z#uxJD~3#7X^PJwuJk6<6B+s!&|JDz1mgW!2+4TyY^z>KE%7 zYE-GX()v}UxOP!okC4l%$5pAg5Q9s9e$RS_8dWQ+b;xF#wt#7SJN zXQ)xL;!5jRi{jc*aXn5hs~*>6#f3PDi}egODqW_X*DuZ^cg+rp>j`pM^|+=gF2vx{ z??bF-s8N~XO6ylY#Wg^2JxMOB9@o)|3o*F#^APJ9YE-Vc()L${;@VzuJw-079@nvo z3o*F#{VMAjYE-GX()v}UxVBSVPm{~4$5o}c5GU;~)-%+oT5+ZIt4?uktGJ#amsOAJ z1jU6osb8#Ts8PM*O6ymH;_9!so+X!6k87IZLY&0KdWIS`Dz3DCH7Txb6xVa)vg&c2 zthf+^OYeKuGt{VAai#UEMR9GdxSl7MRgddb#f2DL`uUOd3^giUww>26&LeltR*Gu| zxvY9zrz z$z|2!I#Y2WPU;uy8ERCixYGJnrMR|GTrZK!s>fBWxDbO&-+!^5p+?n;E3IF3ifePl z)krR@9@jaF3o*F#zGpo{jp`LwTE7|;S6{{TGP$gJT<0k+#7X^PJwuHe6<1onniSV& zit80}S@pPT6c^&8ezBgRM$L*VtzRvQYg5JbD!HtBTo)=X#7X^PJwuI3muu(si}T1` zvx(w*ja*heu3E)~7+m`Pi}egODpOo({pzQ<`Y5i~$z|2!x17uJshx2jsHqaowu85GVDE^$aztR$OWQs#9F+Dy|R7W!2-lO>rSk;$l5R zjp`LwTE7|;R}aP2L@uiy7yiz!zwZ$zaj~AEMvaOqtzS)wYaPY)5xJ~-Tz4rh#Ng8R zldNZ`QM2Mo>sO27T3c~_OfIV)*FB00aZpsPW zIEjn(3^giKTxtF4r?}QsTrkATn{NO z#Ng8RldNZ`QKjNa>sOWHT3vB{MlP!!*CUDxF}U>m5bGIgRIRwu`c()!h;xK>qM zUy{qJ$MvM*LY&ku)-%+oS#hQHt3`3GqPV^ymsOAJX~l&YT>b0j|Gqfu8ERCzVmq&2 zoJa1Ol@-_5Tx}T$iGxDY3Cv7Vtum5M8^UsZ~0MaA_kxvY9zFDWj>N#~LE3^l4& zTxtEPQ(P-3uJ6cY)#G|uaUo9PVm(8R>J?X7zZw+R@`|gOTvk1ltd) zsJPPl)ugzVQ(WJZ%c{rqy5d5dw7*!-P@`tWmDaBo#kH*B`hi?lJ+3zu7viLTv7Vtu zr7N}b`o($Vu31KL{YWmW9@pE73vtr^Vm(8R$`n^xzxpYzu8Qj?a#{7b-c?+PllsMa zh8mSCuC)DCp}4vzuAj+e)#G|!aUo9PVm(8RDiv2+zp511(u(UBa#{7bK2%(Ylg=aS z8ERCmxYGJnr?{3k(_;zA5A{XWEch8oo?uC#tND6Yo;;)^|(G!T!@qU z#d?MsH7c&Oel;nsB^B52J?X7zZw)*iQ;M@msOAJ zN5zFWiHr3NHEL8`Y5i(aT#G2Kf5~Ol%I&;ltcPuDH_nSB2s#Qd~l0xvY9zexan1Q}{_l%+AeU8-t3VFa|GolZaOwLT)-%+o zS#hQHt3`4Br?@(j%c{p!q__|#aj~AEMy0E?^ZLbkN#_|T!t$6>ZiC`6juqkta@CFC@#cFT&!oPQMuwu+g}xm>mS9nD7mb9 zT#G6$#7XCo^$aztR9tphuCP_Vsub7Xifb`)S@pO&DK5m|(${;|Gt{VBai#UEPI3LE zxH^%`s>ijs;zFEs9$C*&qk6?TxZpxDbO&Ki9IJp+=30E3IEm zitA6swK%z~dR$8>F2qS(tY@fEv*NPL68hDmxc*RFOOVT|$JIq~AqJPeuCkt?My0E^ z^ZLbkR56{i?W@B9~Qeo}osSipwrb*k4tO>lekfG`XyLTq`Lq z#7X^PJwuJE6<1on>J-<{imMB`ta@B4D=x&~()*tE3^l4(Ty|MPzZw+RPl~H6xvY9z zt12$UN&R9yLya00S6aWC6xWZ6YZ-D`^|)43T!@pnSkF+SX2oTfCG@LBas8mUmL-=} zk82IZg*b_e^$ayC?cUDo7w3_?=6l7p9J#D|Tx%&V#7SJNXQ)w`;-YWs>zCqcR$R-I z%c{qltcPuej{8gnl(B zu33t!8@a4{TpKDb#7X^PJwuHe6<1onniSX9ifd(ZS@pPjD=x%I{bD^sjhYpgU6#vP4m8o8``T$?K{#7X^PJwuHu z6_;I>u)nGl*Jp}rb#httxVBVWh?BTj&rqXk#g*2tI>q&=;#z}TRz0q*6c^$oF4i;D zs9tf|WeNRiP+T(=*P7(A>TzwOxDY3Cv7VtujfyL+UrmbZ6UDU_xvY9z+bS-^;L`8g ztY@fEv*NPL68hDmxIR`~Ym>{W$F;rULY&ku)-%+oboF*#zc|m9%@x*sq`1~0msO8z z2U*~MUWhn}i}egODx)7}FX-ij5EbzGyC-sZ<3^i(`pW{mFR}<&iveK`271svjvg&c|DGPiq#Ng83 z=VLuXjhgA_xaixeUoD)+e9b$Gt0%dvdR%+U0-p;pxb$_E^$ayCU89}XFV1sZZ!4}1 z$z|2!+E;NQ2ABT-__3a$MrDeNzODMzPjS7axO$Pxs>ijz;zFFXzgW*uqjJSngk=fu zLluhaO~uulTvk1<0~8nHr1Qvnh8k5WF1sv&t4eXbp}008msOAJAjO3^iHr3NHL6xz zMOc==Rj0UKS6myD%c{q9u;N0T#Kn4s8r3Td}%aUo9HU#w@S zQKRB2!mltcPrnuehGf^`@+A<_tpL17p~yC(X3n9&f}?4 z$Md2(p3QkYR=wjnoX7KFY5e_J#7TW-JwuJE6<6BprA~3Zpt!ammsO9eQgI;$m;OEp z>ltcPuej{8gx5=h;+mnjwj`HTk87ObLY&0KdWIS`Dz3DCH7Ty=6<0rUS@pOkC@#cF zT&!oPQM2N*%M$w4qPU(@Tw9ULs>d}^aUo9HU#w@SQR!OkyuNTAxoe(PTw9aNs>d~1 zaUlkm{v3$)3^giKT=Z>yeNkM`D6VbDW!2-Fs<;q?>!xAz|2`D!8ERCnxYG7lh2nZz zarGycRgddv#f3QOJhGmlMwN=oE=$;7Rf_8=#kDQDta@C>DlWuHT&!oPQMKYq>sOuP zdQx$1M=q-#SC!&IoW#X?h8oo?F1swDUk!@u3B|QNxvY9zCnzq&;L_Js)-%+oQE{d9 zt4VP^uDAw}%c{pUO>rSk;$l5RjhYpgU6#FWgR8ERCz zb~~?MoJa1OM-|tOm;Sj6 z)-%+oTydrCuL{NWh~gSZE~_4wQCx_V_803JYE-GX?6QRYRi(HdR$M!i%c{q9rs6`J z)GyXE)Tml$aXqBCb|IHlkE>d7Ax`3AJwuJ^6_;I>(60u?^`PR~m0VUmu5%O@ z;-vG)dWIS`Dz3DCH7TwK6jwR9ta@DMDK5lGT&!oPQM2N*%M$w4qPXr?T)UCWs>fBM zxDY3uN7ggcsC1onUcWex+%@+puHDIH)#JKQaUo9X7wZ{nRHnG-+xq&Yxb9V4dyvbj z$5pGi5GQf5o}otNiYsk@RVc1|6xSefS@pOsQCx_@r9Wq6JwuHu6_;I>u)nGl*WHS1 zPjXrHxGqy%h?Djg>ltcPt+>+qRj0V_Qe1nH%c{p!r??O&ok!L))Tmx@*<}g+YEWEv zDz3fBW!2-lQgIltcPuDH_nSB2ubRdEd_msOAJCdGv~iHr3NHL6rx zc3Hyys#09HD6Rv@W!2-lMR6fc;$l5Rjj9z_TEFTP*UgISKyq32xat)bVsPo}J?j~2 zRIj+~vV?v$D6X3n*Fog6>T%t!xDY4xi}egOYE)cl{c2KNH!7|Qa#{7b?o?celek#V zP@`tWWtS!Nt3`3$ptueumsOAJZpDQdT>3h}dWIU6uG`M*7w3_?=6c0-2)V3!T=yz2 z#Ng7;wXA2TQJLbRZ|m!q;+n3whLFpu$92ErLY#CSSbRlzbX{hb&6{!xvY9z z4=OIi;L@*0)-%+oQgPX33Hz%`ab2sphLOvv$Mvw{LY%a}SkF+SYQ>e-uR6tbjp7^$MuxrLY&ku)-%+obiH<7zc`QF zHCHIEL&;^;<9bGMAx`3AJwuJk6c>G4U%wPro#Hx-Tvk1<=M)!WaOuzQSbRl zzbX{h<%(-GxvY9zGZYu%r2WNuh8k5WF1svYe^n{2%M{lba#{7bUQ}F&lek#VP@`(a zmDaC1#dWFTI-FcqJ+4N@g*b_e^$aztS6p^kLcbam*CmSU2y$8VxL#3Qh{2`bhgi>0 zqejJ*)~_bTb+O{AB$ri>>ovuN7+iYav!0H6)wesLbTYc5h;9ezBgRM$L-LE=%ZFi{d&* zaZMqYRgdd4#f3PDi}egODlKd0^^5b!U30eLno2IK9@iI&3o*F#zGpo{jmi`keOq6@ z6j!z4I*MFYJ+7}57h-Vf>niIRYE-Vc()L${;yO!l9ZfE)9@i|zg&16V-?N^fMwN=o zE=$;7Rf_9O#dQq1ta@DEDlWuH=aKacHL6xzY5l5GTxTe*W65RJ<7!r1h{2_QPJ#6d zHL6!!c3DEd8WfjNT*r~is>k(%;zA5AeV@a6h8i_0uC#tNDXvIyRguf8$MuuqLY#CS zSltcPrnuc^@rj@oW#X?h8mSCuC)DCp}0;_T+_&9)#IA2 zxDY3Cv7Vtum5R$QOW0pkitA*>brQL(dR%`iF2vx{??bF-s8O}zO6ymh;yOujolGvP z9#@OvLY&ku)-%+oUUAuF3H@qNT+oMvaOqtzS)w>qNzM zD!HtBTsbM|{~itEq<*oUp+?P$E3IEGit7Z$bsD*>dR&Ey3vm(`>ltcP+OwV4FU})( z&GCxsbaGksxQZ1Q;v_ECGt{U|ai#UEPw`IubGb!qx^NVG(Bbn!?|=u*_B zsa-@-XIbiU)a9uwh)Vl%tBEcty1FRpbY*@;>PpmZ)Rn2Lh+_USqF65-C>k(o#Q5S| z$KsKrCJum(8auuxbn5tA&mvJfEm!!sQ#qY;$Y@9XGc6Gx)nkl~fqcf=7wEpf#G8=rvj@lz&GfKHj*-TI=hIq_-Bzd~lgXAjW@ z`HwheM5Xl^H_9I0)KT$qjvq39xb;2M@oW^tGlo3pw!u?zK<`AKwvKly>o>Gnzt=+5 zKZW&YwN-zIn19T;2~*HNIc@fQw)ec9N1v{;VByZ&nEB7!;A9^A0&d4X!v8oB`v^Zz zvml;|!Es*~_PD3h2lqb<^x2_TIr@5n7}@>F*F{_V<961sZ=?Pgd4Z#@OwSdF+RJ-8 z`t%^{zt=|ngx8yW{f!t^X?4hm@nhjXTCIy_R|1+jmJPnk3XeH}f%(q3=lb@R^eVlsg1 zxtu;*Pu?!(pneaDu^!iPD5^o_$ExO232p4Ot-PD(bkwBEVW{h3x$MqYuf4}RipM*C zLj3+UWaLDti+wc2zQ4%ZNqk+B`q*FYytlXhk*wd|`4cnFKOy7%6En^~E93m?jPozb zIKP(jCyg0v&r9X7$)j+-h8#P@p0`QrJf-bZY#i(#r*5Av!f~e(&bP1JxKo|i@v&-s zd-uUr8T)c|#`!mAoPSHk`S)a;e{aV5&v1U_l*#rwHFnsfBd`z9fB${Ro&R{e1O7Mk z_B!RRPx}1h{u%qiDc1Sd88_}!=XH$hg}=VN=l@wA-=ryH?eWQRjr~2j2hi zd?9~U&b}WO%K+XNG3w4c-dERUJWC#+aG!yFf%i+Nn2&XGyC&;8wpmZU&1~xzcbLDP zdq19APi};=aLTuTY~Ai{)E%6$E}oaskA7{{?Ujvp1J)hfM%@GC#wtr6lzDCMudP^bhN>sSbMGIqU#E^3(XM)1v)&hN z)SEKy2>Ut0_@lhHw*L))m|`g4=`zPEddU)uF;ApNGb>8C#zSvbF) z>336`e){u~h4b5mey_FZr#~-QIKN%#H@huA{rSm|@!gZ|gWP^eJAdW$>n=C8{`->* z&-Z$-(4UViq~C7z8`!3w`kZ7T{dT9{gtq)zeO|I~=Wh@CUDB4He6WD?HTeYld2Pwq z;o}oO2W#v64Wi!@?e*(@g1sJ&7en~F&r93#*^@rA+Uv9N!ujk)pU!e8wZQ%8`nS=- z`Rq-fzHRu7k$JfOj+YBD?jt6RnrJ`&9W^O_{+u{=jD6o4HhGNg=eQB$Z9IA6c>6h( zEVs`kxPQR?Vki06-6!HcF!i})TKxHmd){!0D(?Og>c*YwypG4qfIXh!!zTLIi!mx5 zX`d@PmJH*37Y|qQ2o>Y`1NBFYiQjMKV>-*#ljB4 zm2Yjg{yeR%w0`6 zKHux{UVU55-yHQek?MHgTVvQ7oBA=X=kRs!Gl+0~ck?!t80*~qft%;l&BMGMy-Z(t7j<>+yRzSZ`x*J{x|tIX+7>^uzoVv+t^!g_o1xsE_tl)Pj$zS`xmUo z&rQSM#@_lal867$l=Zl8!Fv2$EY{oDTaSH@_0=isas9&jXh17+yKMwH}Z6QS0$|f3zNt&wK0f z_UY7%)i9#Cp%DB`zf!dOGu3Ugr76PezNhr{Ztc=&*OLXCi6VwdV}>=<>w~J zQy_olQ0@&Rh6?;ODCS{c>mSxz_4=`@jH4fJeS7j&Q7vEHiJkJV%x{pc<_XHNTHFIb0utl36C zPO$UZ-;V~F-=6)ktjxpltSO3q*x2hwJU*`j$o*=!aY1-u;1fc)iSMqaRn>dF|gHx*uu# z1J^I~qrWKnVPmf!@%X%c;Q7?wA9%fEy;ZLtcy2{M`itWJqrLkhe%>t_^Hv-ExLN9g zqrLlMT05^Fc)j9y28f~`Hum}vkI(BzDKNbIG3;-wx9as{JsC$o-1_P5y#K&D?2nmk z^y3ygZ{hoqwm+~xaXbS>(GMGY{fNis^&@`%ocDUc`NVpwUO(2CarDEjZ}0xVI`pHt zjegu?=e55d_3hjrI3GBka#8fd#$G?-@p=8g^RK@@z>oD-y?&I*IQrq%PjBb`z&i9} zb{qY;*Unq`ex&UW_~Up6iJ~7i_WBWz&+7-C>-~OgAQ9GE_4eqbH?QP)O4-m&u*z8`7(qmR_Z@eCD3KWyyv zBOagE4}9+9_hS=@u->ZI59}B8W2mVA`A1v(1MAR_o7(8dS9V_e`@!FLYjb~WDs^!@ zBSp~<8+-kT$LIB>ZjWizg~>h%Nr4E=EH-Tt^YKF->->j&1M9}V%m)a%FBcHYAG zBW-`+`2zhIEsB2F*y~3;KCd6kki+$3bFR1Q^#iXT^uw*6-p=a>)}bFW+UUohc3%7Y zF_QjvncPpNT|c&v3Ft?qDEeVzuOIRFynZZ84%d$@x!$VR54`Ts54Ya!kGI;`A6SQe zG_}!>*>>K-_akk8;CT!Em>`ON*x2hwJU*`<%aOzN13%Y~^;W%pbd&Ls1x2ho@AK2P zt{=9%{DbvF3yKb3(hd}j*;-V5wwE>uXXgUG-U{Nlq^Hdbw_9hI$v>U#e-w?8?IB-< z_%S+Y?ap$)hwsa6BN6y76vgqo*v&7+beZ4G^Jw$M1#_=M@=H>-PJfw&I+uu|j*Y!O zYTs=+zmD@=?0nsGe~J7DKDV*?1mEpg=W5om@dA9e=ltoM?_%fMQO0q+Ytq-|6MP5A zEFAAmqBvd~FTi&P&adZu7dzh)8HaCw`r3Sg?~XDHzITek*TxI*-HG$><$M=A-$i5` zz7_Pf`2^pAG7G*Bio(~%3-H~U^BXwd#m={rjKlY6`r3Sg?=CV6zE6n4*TxI*-Iep7 z;d~c6-^FAcz8BKh<`aC&WfpvAh{D-H~I^BXze#m;w88Hewk^tJf}-`!;vd|wlV zuZiR&^9jC#WEOni6NRsh7vQ@m=QnY_i=FTKG7jHa^tJf} z-@RlOd}oTn*TxI*-JA2j;CvT5Up!C4w?tmZxNg{dg6}>u3%;{N;cMds`0mU3&7ALI z=exR$!?y>0Z9c(wKbZyJpG4tn;|2Kc&-uS`zKfmjnlcXGf%LWc1mD3j3%;{O;cMds z_#VLdEu8OS=evfC!*?`&Z9c*GK$!*KoV)?R*TxI*J&5y*Ip4+3w;OE5_dNRAe1dNU z>y)sLjThj1Fz1(YzKfmjim(;m2kC3`3BHH0PG{D!@dA8@a6Z02f&RGI`7RGz@tsLu zn@{i^Dznh%1?4 z&XULR_M)%NC-{zJogS=X;|2JR;`}nscd_&B3S03VOkbN%@I91uda;g;7vOss=l9`! z7dzjjVJp7V=xg%{zN1;EFYDNN0ls56zaQtj*!eC2Tk*Y#zBZrWdpPU#XB`_a!1oBw zAHex8cD~&u58v15Yx4=dl`;$ac_8c9cmcj+Ilr9qUF>{UhOPL{rmxK>_>N#nmc4PsJCi81Eai zu1^D`Yj_{9 z?UQ}gmaarUx4s+Wq1=z!e|XCHD2`8~y5mUWeK4iY!yI?qu8!N+RSS81ZvFqQ$LC(B z3wwNS{r|1U=dL>odwg#F|EWkd~SVP$M=8Qd;c)6uJbqo%w^?%M3gq@l?tFpV(~`T8Bgb<}*nZrPf2wxm_wj!ZH>~vEZsh-Z=6OH|c;@qU zyeVGC^Ab`%M|9rx;5HDy*2eR~W7jj!1N{C9hl-fJJ&>lpeW285{0#Q@N}lsn$~*7& zQ7rsg8&_oCuFqiqF-X(?0Z{5Qeg^x*N%@14ci!!bHkP2>GOq0U4E7(FzC+Sy{0#P= zko;lEJMZ?remBUzU7x}JFG$}J=`$YouNvRc@ca8K;{Cq9219Iret(S1_~HFo)$1+d zy&qc#K0AMp&;MV<+&Zs*y7T`z4)FZ{RiHfo|4z{9&j0@q(zECPljr&Wq&)xsW1u|$ z{~rTC&;S1x0*2@Re-D)B|C93k|8JojRnGtC^B$i6&wN$R|EE09{}0cLTH7AnvX|%L zwr`u*#q+V-d%O2OW_^@l*`w7r_1a6`$|F0#ZD0VKFG; z2ctpYV;Y}p;OB9=9X_Yzc^yu(`LpK}-HQuuhvz#{ZaTU?Du?TJ8tZ>Q5?GEQ&@%c5 zdam`8>vbCIzg7C#FP73@5&u%@KUPxzLlJ(C`}BW1DufiQ`Gb`HzfJsUP~vBQ7WwwI>&ZViXIAI+Ae;>^=e^VU zjqzmV&$m0P^Lh~GX7|MG?au1Fp4(;jh1uJk)p#Lp$*E zcm{BQkEO42=N1>zb$6w}pAS^(Wcy z8T@saFW>lFTRJ~uyGZA)gL~N@jBgKgba;^S{W?CwW%GwJ=XCAId0Gq6jyrTd7kNH+ zA$==oCuoQ0+dz4a7uRw9JTI5ur+lP0<2n%7IbCZ(IS(i0Jcg9}l?Fg*hw^#nbREHU z^o$Ls#)5as`+h!u@N>G}Vi)G`oUShE%R8q_^;39Gm*Zl2G0$kM74>tL(EZx;fkU8t zo?txgrzt;)=U*T{k{|0G^)wdjru)eKTq4>ZpT<7pg8A6rp>OnVWc8#CG zE*@){{65J$?{>-4?iKu{UAsPwUA7n6-4Dw08b5s=~+xQt)gUrxvKye!UFDd%zWXKG(;=d^nmly;4WUG@tb z(VjUTWcv@_FU9K{aNYO&+zX!N^XKlqzhx*Vo&KFp{#Z`>>74WnIq9$Dr0f2<38(vc zt@453H?v=PJz0;1G@pBB*Qxs8A3mR?|J?7sPPI0wKVOfcUzu;Q@)?00U;lBO+4U2Q zx5@fRY{&D*G+sX$i14_)ub*I=V(SE+p0`fW0fFo~f#dOhiSfjETLa2?bKc{c{V@H? zbtT4!U7x1CFz%>_^+i3#&(Kc!9GUWcl6T(ia$KR^)3R&Vr?J}!De74VO1s9-VD}x8 z-ynJC-L7cklI+^`Y3y>oMY|iN$M_lSGVUnfFL~$PuGh;#B+$=xeHy!rL+aTiJ;uXs z;5!=L?gK77_lF$y1^?jO4Dq3!IvU5skAt$koEASN-rFnG^805Z)z~X#j2@;l~y>NcYcIo~I@z`PW2-@{=IGLZwPhXWD&U5J((PSL| zM)o@V*=u$}Kf-?ay}Isp`*5B1hD3c{;dXD2>=HNaiYD_Cw`=LJ+XFfJhkvKD8{)CU z&V{9YpC`H9yCS>qh924#P3Bo{*V1A4b{d?)ZivT@#sH*fcd5~I9=JZT%le{S(PZA} zb}b!t`TS`*yB-fesE7Lhtn~Z&yOs@jk!<+<<78t{Z{8_ZLo2;vdGB^c>at zN~2Htc1VxQ|Gwn8FP#15K3$)jr9G|NB=!zS4~m0dYs2mFc`of8(e*>Kw5Ro;#Gap5 z#QAR49`~Qq-bvXxF-v<|XG-jyk{-^3v-Y~c(cU>-KU2{jwN3Y9oUy;K`|Sm7zs&Wm zg_-lQVFtfeJ0FyIbRJT}$IWxN%J{ewl<{m7@9ToaueD_*{^DG1>z3JGZwcuQ)))18 zyY%*QFH+gReMfObDdu}W|ABm*&)fG9u1=TlR%V+fpZ7=mbMg_-bosph^#0y)vYhmz z`44{Ncgf$9@uTrbXZySv=_U^U9I<~|f8(3z=;6oTJRj<{)7z=mH5-2`;}6VO4k`Vc zFG~I}^swLgA0>B5>AcZo{g_?YXZu~8u^;@|HWu*IYJMIo{f7E{XQ-DqwHTkNSH?fz zOZR#U`SG3}{vi(Mza7f;4CU#ctHRX@4)cr^9hTmj^b=aQZ7Q$Qze#(<74p9+oNtxE z$$G{0b&LzP7sF$tMqTmzYoXj|4g50 z{h#-bT>t0z!}b67gJ#$N{|Nk>k^ZNk+4X<&T>mHK`v1QK<@*2o;CHV7V-dvG|341O z^?y>X|C4h4pZCi*gDwDlr|4o(u(fxAvLW9B%6jAaKl5eR|NrdF z?@Ziw?|VT1#hu^&;d?>vK}X8c}R+DH3VT6y}m_1M0Kwfzr$ndy(LtbLhwI8Pv+6@j*mjgFdJ4V2~EJvA~&`SFSE zhHrSVm+NKicdoAWX8kbyg?r4-U0c?f+|CCE%>GxtaNnmX-#hw9Kk3?4wf?MKwqyDo zb`bvy+NVbMS-Hk0YExPLPpt19;`NRF6B|jle`VbO>8b~w_zLOjPd#k7KC>#|@^XDB zr(Ao-_}y2OYjDc&;xkm+$=5(xZe}C>*}K`6G_6vi8}|;m-wKfzF#>ts{Y6-q*6e z^6^a{C+L2eZ-JhB<;JlE6y!KgOlPO4ouQjzz6GJ*uM}4^_8aV1saNA(o4#w(uJ4+( z>$@iHdv{D&`!w-d^S&qFhjaiA$?iYmxRG7gWd4}Pe5(EdR4~_L@V!ynH!)h5T~Bc%p0zc2-jne4RU@{N3-L& zUq`d!xL*(bT5%kGMEI5<9_Q0G#z9mAiBoCxs*S|y%7u5#>$-T7=6cjuL(D4uf4Q#PLNR(YPE=kk0auRKva0sc1D z2dbe`JbgmA7ownL>S26rr;Q_ngLm>ipk4!Iy=T&S|HSk)QM!Ex5b4-L$9*@uEPjTk z_VB)>K3dzei}OLvPn*BH7v`tQxTWXLH;!g-vt7jdc-pbx{rc;jlPD&Btu0*t#(Hea zpP=32IaRlwE82NkxZb!Jq!F)H=7TG$pQ{qE1e(CzN^dkK!OTW>|8{X&Q z^HI*1xxR+t+Vd$r2ebVLNN?#uI`Pr|boj#fknT5G668wblintLEI;ve1%1i)@|KEM zzLp{I#J4P@H~EkAMfzhO{?dOGsNz5AZNkUuCqDXz{xcrW_c)&=uY4`H7{BP5BcQ~& zCR|^k`c3MYaYvjd@RvB*k57j)j00&sLkz#xhV%j_YqGWB^-Ou<>;ok(ha013_Q1-=_lrh-Y(1Z;C7K8=-%e1v#xNHn&Uqn{q}nRC21Pe`>lZIY2h&*czS}J&SN=vJT3-==Xgat(%Xb* zA@tBcCxX6YpO@BOJD&~dP5y!V@I!wnJST%(>H3o1COm8>#PeLxmwd!V_A@EoC|WL{Ug0icx+!9@H{U( z#sd%i#{ExWoWi;j{=vNItEwlz9$3iw&*xWg8-A@VjE7~IU$uj>|4_Qka~S{LA9mn6 z*X>4(E_#MM{lxt27mWul?Q2>d(mU)shqTivIqhpo<}q$>ak!q?>oPvIXZxIBZ;A96 z4}03rv^=Dz_LfRc`0Q^%$SpBVLw|fyeS04}0$j>B~cUYHzjV>^kIb zF+QDVcsbVuIoM^@;M`&3Q@b~Vr`@%do_*E1FA*&pfAexBq#d+p;%#x2)#AkuRv(!;o;e+~w@ZsSodA8%aG z;YiQZksjL*13gEi$M?thIN^GZMS4y|dbn;(Jja7v@*Oqr-(AnM;X3L))Yo&79^1bH zJtw8dc$CZgW!G~m(!)5-)_WIt;yE4Ux{Ze(?@wLN*>F9<^StqCy|X=0&$%GiZ9Md_ zAH;hc4=)7e{sHgbeEzKKExgKoBKPtiwmbFH#@5<@EztBn&H0#aM#Af_zX>?`OXrb8 z;8)@D~*8kb>bN&ApK)L?^$DrBu|L=k4`v3Pqv+Mul zx&BYe_5c3^l9l{|1Wlh_5c4HlmF6v;NQe7K@Gt)SH@W`*H~-|fzsdFgzI%F};QIf+SsK>=LGWu{{~sA0w)Ne?)nR>?Qg}Ym ze&-|W?!$A5_D_ECE=_YZ!!zV6S6sI>0Ah3zqKKZVAD>+Ak>EBsn^-Jks|<(J<7fbBC{zj2W3 z7VYcr-Ecc;clYh)_wlJw+fTCP_PzKd%Yyci@!M@b$*$qsZ9l@}!(l%awmt3T_0ijh zA16gJ*K^vDZ2OP~hbQbDmPu@oV*1Xh-FSwDeNsEZejyuZYx(;TR$$_n-H))^@ZV5( zT6R6TABOn+_@Px8_3<3~2I=v2N2g4t94YrDaK1}^A1LO*b;jL%tSf(3c>J6dx9=2Y zuEFtH@y~)12mLl5I6mh%umQiyy6oqK=Y@I3!|$2W-vbr#d|r57oo76o98XU*|7;Q- zUss>6`ucg{d1z%dJU=fy>yUpwo{xU;Vd0ARuZ8El z#rtR;7OweTw|^wXHLLw=j|kT|^swE7otJo`KGq}rHLLw=+C$^+MVL! zdjNId!qQcFT)Tzqm3hX6moeO5hO4I{t|{TtcQxm&zulAKvbbMaG4A&W7w<3Tvpw#O zaG@S6wa2}}wSS&*Jr?0YJyyc?m~cHe&$#vp*XD;-**-z+*R=6-&(xsp7u;MMwEd@L z`!n8`vOj!jo^d{&;9NN`I3E|zPTY9UXZ$^p;0*g<=jzWVgmdFOojzBbhiD{*MxIqo^c*wRfN&n4XPi&PID4P8`?m=I4Sub*kM}9zd~u#}em%yyYHm2cE}RRo@ohfi^BXbF zm2<=S4dGl@66cmZQ&w+-+ehtvh0$GG_?~5bbYzh0h4q~{Xppq*^XT7@eeOf%dvQ*Y zZ2d1OpFg|Yq;Tqe=DOZzuIqi~y54)P>%Hf?-dnBfz2v&yORnqv$hzJSuK$koo)-PC zX!HCe#B15|eMjZUlgDEvdk#U$a=x?mhNRqlo-;KzY45WPj@3rkp3CZ4oTq1E)anQB z#;^H&SEkZ#jO$&kH%*Ugx2=!BpSwdG?0PiB!4`YZ6n+o=($1+}7B}OyT{f?y{wnr= zIxf$oz0X}A8?^U1ll}5#{qyb|eG{W${#gU%{(UsJN;sF~=mpNLY!_R0)wWit$M@vu zbDUeDkb0}u=X)c)0}t$+m7e!SdhXx7{Q>I-YTF;+eQZ%Z)4TrMlJWm63c`8UHl=S7 z<#RI1@qU+dRMg9jX}|Te7jdV_{&r$A^iP8$ySR>5AKMw~6}K5-e5_64Rz>^_kD$I|!rs3}e>FbBc=PgCSJJ9Ph80-|G+4Nc?W7zZ-uS)E3oyRsQiwJ0GAv_4p*$L+dqA zmLJ`T^&jX?EFIm6jWg&@tp7lFVm!K&amxofn|Aua)`i#fXS)Xn@1VXrdI!1RrHNyX54;IQP@7HpL*QRZ8$-M?ZfpZxO)cg zG=G57ADABDm;byz)fd{^@0Y|qh8LUYmkFonfXpsvHhz5^cSX%cT8JvwC?rFa-6EzA7EO6AHH9Kzw8IrVM7{e zS$n@TM|&f(=l#M@NivYiSCyQT;O&pzV;p(>R&95#$7!tZHnroj?ZM;Qsp}(U ze0V%{$?y4b8$Y+H7PsGnJ^H0=9Pv3e<-MQYg8YnYA1C5*Hjdkc*!Nf^ZvSA8_EyN= zPkP+;38%-GQ^vy^DQ-QUE*Hja{2Z@Z-2M+0JBE3~{wMO};{Qg|?L)@nCs&e1k7U`>sr+tq3Z;0}j z9VdMr!{-LP-+2pOq~JI?GPcdmEg0Pu?n|e}?A){Z;G+}vd}p*aF*2L`(f_$(|Kxu3 z`DdT-m5uioWsmDaj6c`620Y8TS^9R5J!<#a<6FXg+~5;~7N5IogBG9kPnEc@NA)p` z+_eAWn!k?8-d550KG*vVpTGVM`C%;Q{wUAial2fYbHBH8weI&ov-iK=Z#xCtYvvu? zFUJ1r_k^!c<)Iw?8B?FvI^}idC*}Os`@ek}KboJn`hDD(J^w0w9~ZA@&9Z;~*TP%2 z{rWuD+wW4m$XaEd`+ur^RJG^raa~1w|Cj9LwZ{?Z`$=mr-cNdanG{ZsTc?aO#v9uO z<88j<*W+WM?B~uG2BLM#S;pgER*XkfFMc=Jb7+nymxME~|Dd$DWBTjgDn4c#kFQm< z_vf;g7mrKc8rsvaaQ>w9-*G%H6Hbpqr}XcSG9EoX&dcw)@z}IJUG2W>KdBsL<06j3 zWAabgIDB=Ead^6-y&o3D;frsOIK1>*<2LpDxG392)}FT$mXrPUmpej#H;xS?d`|x8mfbuLxn2XE zjlbHW@{Y*<7E$iIBp&C7#nT@gM|_^~E5fyVPgsWmKHHZunCy26=diLIGtJX^mG&sd z`n&}TCalj1rF;M4)b-I%^v_x07@4qh!0UrkVgJhTjXQ(qr*Irqn-lHV#yKX|>^_%45xE$^)=?Uda!I{CKHo!ax9l!e{M3e z#m;rFZ^4VBEKjnpt2R+--P+6bp&b2N=SlyEbMz0-ll~Ps`bXwT|IbAFhp}ORe#dLO zcHfHqw3XtL2g0%a1_;NRH(Ab`^-@*1dtQ-vAZ}PF_=uma2YUN)>T&<0YDXU5lfswRj`HGz__Fh_UkA@|r>s2B z#jGO#?E-oFiFn*!zV8yrmhSPNhcC|W`#{U)cR5n_Qzt>Il<%Kals{cj{<{_B&sCKF zmlfq-s3`xx70Ac+=j*!2R;vD8j+FKHuRyER-(OUezgi&g<$;*tS%3uMAxTYM)}k41of`wa~T|;i%$w>zaJFR@tN0Y)A3o_Y18k> zNxw5E{UbT)YjV;*+MI6hMfKEr@hQS<@LPIsPCCjP$*;2asypJ(du5&-@I`^}#b3f}k_p`2Z zKkGX8v#xVL>;7C$=YH08?q^-+ekS9Bf9L5>7l--f|1Qe@k^Oj??>)7*HGl8v1six; z8%}wjhBw(7{7bqAf2aH26OL81>+M)D&V1h~$%k;a>*0A+dj2=n|7V;Rj_oT(fAy^) z{~GYvN7t0!^*GJTkL>uR-<#q(EA-`lZ_4o>2y(gKo8o<4)*r^g4hQb=9f$APb^opn zaq>KMJeKA4-0w{t!*$|AHBxQ`2!82zfZi4O2Irmh%Mwu9gS$(84@-LC&!>Bb|CL;4kq}pb9?eZNkU;CBB}ZFZX*>mxJBp zJ5ylsOa0d!_{LRG;#&(^1>YLU$Nr=LiLWnQ?=~L(TPI%mTKqc>8$x=M|9%GP^j{YO zhWIuHxzhD3y-ogOdn3O7pfCCE)F$!D*Mg7IS^W-#^d@{brW8MX?@ahM2e~GEdF?}b zf$w{!$J+;=XA|F0(3gCNYDB#9wG0X0j*#AjkMTi#lfpM1GUPkNj1)uD&@4g`Jn{kpFs{tk*)zLr~zU-Zl= z;XD+suTXuph#uwsTH-t>oQH#46HdlC<&0#g^dcP!knZ)&c|CC+0cAg9JaAqVo~J|l z3buFTOYqzh_{L@7IR<{ZcAJMsdYkaP8G4B4c+i)8zX~sHTRS)r()lfO?>H3o1CjTsi9{T5W z(3gC_YDoS$6VjXT+>CVM;dyQB$Ib@1(s-n|2@hUBFg)jizU2E=M}+73kluu6G17_W zr0|>%a;5P|ZxbHQSLmM?g1&C!QD5hT=R!zd0fJu|KeqfH{p3d(y50NOV-yVa8>X~Zxfyl=%IgJ4*HVsR&@nCotHy; z6P~3=C!RIJ^Gc8_U0>4Mga_NO49}IIuiJR|r(bwp4e3pILPBd}hwxmjh(~&x@Vs4k zUK1YUf#-nmu=_+kG~xLG21Q(DLkFRV?6L&5*|Lkna)4Ugy*X8@ErLnc%-)p4<4hNf4YRnc;M-1 z5B^!2gXe?7vnyfCOo`2+yV*JnTp5pDV&MP!W&xHsRs@8u4rv9^-+B2iUN^ z59Q$DI7d9(_sa2Nq#_>aZNkHOC-LkM9^-+hPk6?2@GKObA>o;4H|q^RvQZJn#$%&&eD-n6BZ6`LpmmR}qi&HsQGiT8ZbB@E8v~M}+5eNN<{NaNH%H zQ^Ip5$dw*1q_+tV=bglJR(OmDp7X+UE(Z_iZNzg~c%H9_M|zv^+$uchg~xc{!AJkB zKYSqv59dk5(-q|GJIhtZcj;}yvs8Fq6dvP&XN~Y&%)!HXB=HOg&r3nBbbU#06P^zU z&n4k89(WY5M|I9-Bh0@SJ#(AnMRyVvaJ6v!XvcSOUH)+-@Od%kQ@H-?>K|GxUwg}O z-p4G&cPGQR(>8Wc<-e?a`ff;4KK6Gv)D|@W( zmUn-sJdGo&hi4<|xSVQ#M&o(OVfu<+YvTvz??umi5FFb}2Mln&XFU8*|KN*8{5%YP ztw(h}T2c?34>Ow0rSiqx|C5`u~Jg-UqO{j3MkD288;2v_FoS%Ka*0O=)G4`{v+VE>_`BI=q z?Rt9);+Ma4ogoOOykB=*e}DwW2j`>EpXf6l`u?e|bN?q;aPdk5$%3@AkY4d{>a9+vB;O=VNImZhq%7Let`WY`w!#Mf1HfU^_ z^%3S@>3E}a3X}1sNBKmP@rLsfjyEpv;|-td0)H~@TRx08oL6zY3Ggi+T8@w`sh&Q}_-FpYhkn zo8&%+wK?7R3U}exdQ|;(C(dzsB(`^>n=Hl6>BH zvnG-+8gB+7`MmMwnEKmzyb0;eW+;@$*5$L~SLWb2OYd)VE^sp5^eLZcGTz*bbdEPJ@8b<_$M8$oxaZ$f(Wcym$mem!rzS!VXJ zzbVpRG~T3mt{rdIhj?vyIq2&)eunYpl^~Zp-t-CI72zv8-bioLc=IXYdsX<1zdqiS z9&gldHwIKMWyhOw$;ac(eX5Uhst@B)9~=j=_cuBhIT>#@D4%FD-f%w)#~YXT@kVx& z=V_J?m-F?mK<&AHcD-P+rKkPjYRTvIpBp0ie#!3$w88t*?E1w} zB)?DcdFvO)BKZ^2>(7%;Dt|iy2J`O~2uu9ZeX9PP|D5u1e<%VfXt#aNidD0hjlF0L!cRrSne9hkk(TT#X_|K{}r{gM2Do)!;xF1k^YMhiG%Ju_iB_H<#_pAIJD!=h4 zKig-vA2_f4myybK;-3Sh-$Zv(n*BESL$DukdG80fzaiTXSU&Uv+&{>Efca?e#h(h} z+htJNxjrA5dHzt;573X<`=cxJZ(cu8#{zCvzQg!>XI?+h70DO%1AUQvUO%up#A9wh zup!{G_cN-@2c);DA9w)K$9P_=c3}KZa6h2-)EJT<%Ju_Ol8^g=ewBZj%5OZ%&-R(^ z2XwA_G9UP?@`>&=ar6V+hs%Dz<-H%^yeQibSU&Uv+$YO^fca?eaKL$eJ}^)7ffMG> zbUtuW{>|$L&PDR)C7;(1T#V$4`hlyFd|p4Wv7jHQb%gmX-=C_|4@hrQKkzw3ANzq# zY6r&u1os1KPmRU$L)m^{h2&98{L=lMpI7<&RDR=8ezwnSKcMqnlYU^6@`)z>Ko`>4 z54gPd17W;R?+Yv+`hi;@zZU%f^U+>kz?t*|{o?ltKg-W~uRlMVwDdI24@&-o%HjKYN!brg_xx&GMfvfH^1CX^yMO(;o6|h}`zq=`AbGe0 zzt*Pb4W91rb@=l-jc<)Z%Fm6Y?9ZHfKBxY??zH4M?qtX1FMwnH4XFN%NB!Mma_Ks; z&V5eC^fpEoXFwd-j= zU&96`w!6G>d9htj<%`DUWs!W*xV#~fzdkNsJ1!4I{<%Ib&pZ#gJ}%GfpEt_5yg&4> zx#RMXwTpV=K#*%14>cW>er3GJ}x);)&1z>>}ow^;TJ}w`YJgN!5^nRK5ovgn})t~XGzgtZ%9hY^Ed@?S7QTarZad|1yIWD`r zkIUiyI~|uTAI9YmK%V0=^Ko205pX8s@+t9o>`Py;0C-Tqrae3x(=lZxjvwz+wH023GA@5v`9za(ndd-oTy}XMm&1BhIxbs2jLX=TQ9IV!_Av9&-oAh{8J7=< z&l{Id+Vyl?J|+3Qart~KujkTv&#^B?@!;PP8-IOV{*jH#8s8erRF6I$JGJ3$ znsIrph?ZsN69{MyFa@z3k8ck(zr{90RX!rzM+ zhm-Le8{+s}-S?#p;X3t;Cgb-qq;venFos_`UJrredr(Z}k8-r@=Q|mTKW@*@DRO&T zaEObOkR2 za9^CCTjX+neo-vv=M`;5{+Zsdvg>nrziK$>Pu{OGKJ$Lnh^{+cf3M2YA?M}#D&$x` zKbOemeZPKOK0lAh<@{WtSkBKOaydVbD3vANH5o_%S)e&+SNM{7{bilXC_XKjD4U;{M0wisI*CSeYq) z?D`zy=aHa4i67%L@iVULj@RSI(r1VtmoJJRmn(`Nmn(`Nmn$1THB>a$Q@cbxept`h z`0@9GJ$@d=RmP8K5jY-Y+YTAD1hN9|D^x ze(d@j;%6f0PvXb;O#Dphy5sfuvGf_@$K{LS$K{IR$K{IR$K}e#Px4-F6hExzZ2b6p zejYy@uNgn0N&KutI^#$4zvMkYlSBNh!u2}hhjP^K?*aOL|EmS#m%sPta{m5bJWl)j zelC|+5B{E?%lZ3$u|9vl&)?hg{rCQ!oxeZl`(IhktpEJ|DEHqkT&4d+6aTG7I{k;{ zgkReJOb-6L1F7^M<*47^pK||&_c`+0pZm|{iu~ttMgDWSs{Zr$ll;9S_aDod^`F02 z;{Mx>tMs2};=enQPXDp~vi>tU`0pb~rT-{L{r=vG`!DQ+FZQ3y75UHQiu~ttRsHAh zb@=-l?mw0@>p$O*@BW*@Rr*gf@gLv&r2ll^m9$@zga1B?>+~PxsNeVNyZ`#^{;t@6 zE?49~mn-t0%T@KC?}zt&?(RR9GwZ)K9oOD(?!i_1Pc-r0T}Y?@v=1!tpUJ_0AH(&< z@E_%=*TY%f7zq&u9I)>yo#H@!t3Q`aWLYpX>W>{W)aVH`dWO9`YsU;QD>n zDd}^$vpI4XBDt4xQ*Z0Y~obQ*7<@~v#%lSUpSkCvwx}5Ki zjpckltjqbn*jUc@!MfbZw}&{1<$V9E%lSUoSkCvox}5KSjph6~q09Nc*I2H$D8!4) z`F__}&iA*vobPju>UU=-w{&;VRpOXwokFkj{3Yb%3qHly7ty-_dY;zW|>0c;7=k#zW8kkiI;mr}mag&aOl5 z9QdrgW#Kx?7wq*IpW6FH@U*ug$aNbJJx_-8xsQS<5PQI z15bNvgIu@q&~qT9FAwRdy>*ha>yYcf4RzMuhHyQx*Kd4kkEN!)jX|#4cXZiLAxo+dB zC!{YA>1p{`F50u}kh{hB^!vr$4tX7NunRzF7e|aw%lS9K)9&FQ*KIuX@jFM^cz8No zPxKs*^!&2)9Frd7p{E8QSv@Dh^+eA}<5N6;3q0{W8|1o;hn}8D&vTKU(~%yQhk8y) zkMYnm6zMq=={aY7isx^Gr=GJxuG@I%ITGo4K3q@A^+KfQ??}&i=`kL9_&hx8p9_he zr|@5Ej7!N%MB0UV_5YM4p;kz*@o?n%o_8`}7 zJoKE3^el|@bQ+)PVZ5_k>=)V2jE9~}k)Fkoo~}sGx1?u@^cW94{#{g58-A@vmqvP4 zM0!vGhG&`d7!N&t?bp^7PmIF&=vS`p2qXITq>p`_l8Y^cW94T??-D&+$mlvyq+?(sM$3jE5fo z{-fhL8RG=oJ^PKb;4?X_fM%QyXTu=J3v&N_G;=hufGty%`^!WD{UC+5l&-qBt zKa`&5rN?;a@$V$Mo);oLFGhNvm7WXIV?6Zu_YGao#YoSkNY6i#o|mM@c)E|JiVJ zh!g)#l*X~-y?(&NFWpanQTaA0-#GLU?WqpYz@x<|)@x=S_Y&@A9;%OAAj3>%bzwf*2SG{@rb3b{#`*-?;`zYtfp*$g; zrj(z0*?xZu)W)?S*KK@>c-k+$9#01&z0XPS0qHd!dXK4|Jf76A7RE&!PtseMFOH{4 z;eH7CX6k32on<_EJ$XEhRE#Iqdp4fpeR#i(tE?~4B%Zb*o$+)NvuFAzlS4dhM=Ilq za@6np@O=MQ*?2l8|9SuPTvYG>HMA4oN!7dYCE_Xmj>y?a@9#+O8R<11dQV01r17;d zF5-BS-okuwJgJ<8{nOc5#*^2R$J1oRcw)V0<0;;kcS?R0P2y<>(iub1Ek06!by`~)X`#!y^iU;qX^3HFB7=Eos+hKs?o!9$9p}N%Nj{aQ$)3+U=&x)&#QXhz z4_8@E(WD;6kZl&pM)hz8c32N*(wq1*uO56~ zq}RiV$X-!B&|leli1#1fx)n&U&C6_51$AzKZp*F{+16 zQ9b-~XlFg}IV;ljJL^2l^{p5Ai<6KTtVE zlX}>Nbk@TXX3w+-lS4i1Mk?nUl%xK>ju4-|f6V(OKc~;{hx{Bw;XWFlTR0xo!&zu& zJ?v0D7>|1BQaubU3ePLz{yMK7dX&%4GmQI}ynKDiH!gc|d&tYzuY7*KVO)QC`G%Cw z{ipIL^`4i{>tUa8#?J?e;)V5^jhA?T-yf_IByg>uyI z`}-zU-(}+^K2Iy0pOwxh{spu%UJfW;j7PkT%b!)_Wxw)OjhDm9S2bSvVLm+9qi}8q;=Tr|>OS~WKd$`K_5KZD`AJQ2w?`8H(yqFx~d59 zUw@PGrT3Y%Z_iUi1JC2A+SJ9@G1Ql+SyaPP~8D1X>%bW{H=NYo@~+ z=BFJE&f|#N=c{H8xI%i0-_v6n<2_;mt&NY*67Rwsyx~5-qp_byKE)T(Q{KxF(>Q*gHi6d0=q&N_IqP)oZQRm38hyeW_!M7A zPx%<9^D*9U*@f1|#4PddGhEI7-EZlL2jLBTiZ7(6yyJ^$j5l<6t&PWLiT6Sd-WP@U zlxW~nd?7vMW1P;%c*DTZ+W5vS@xEkun*Dpp(mNVwMFXGW3+X8jG5m_hi(``e+q1-b z8TqG+-&ZWXqj6p|@F~8Kp7I7`2E1)=rkzFIl56R}fX{w?SxA3oam4pWyswA3ysu|C z@6V&1@6deDd4E3Vygw&$-k(=E@Asq5`~8OVKA&`+<>L7L3A7*9+po>CK3+xc>FVRE zrFS&KIym-u7@zvz*T<2JUvu1edK~Zf2=70bCEgzEFPh`s`{@z&CvpEA(o_77FQzfx zdxiJ6W{G!g4qor4CxtiepF?_eyz7Pc_hyNALk`}gpBCP@f8KBD4**$?=wEL{+Nl}necG-W??#Srg3ECa4?VV^X;os+^|CH!yQIJx0 zy}YnHOuOT|%9Zzw^q&lf>|c-_-aoLuFGhC3&RA~k>&n<49;bb{9ZOin<$p=~FNwY^ z3R0^4m_Fmznz27=@do#oinq(M^NQ#dQIJyhJGASu9>4<__Kvfrya|dPjkm%vr;ux44jw7=BwCJ%};|TRKTYnsv z-4mkER>YCCTinR99-agc(yHzDr0hN?da5Fhw|f6EwsW_|#goI|PLkajrO4&JM># z*?md$Qbin{s+TQ$rmP+Yw~yL=*yye;Q>>5Ck-;(2ox7*3em;yF#Oa>@@HrFvFFrRW zbv}>MtjkfK%PsUcX&UcBd=%N|b86Q+6V0>l^Yz_>gLkmpck~YSl45?-TV_7DO!n7^ z^1UkJaC<%C^X9L9zm(YT8N8GBYoKNN@paO_L6q;SW$inTJo~Bt<8i*6|G6$Oli$6b z9S8Yr{m<3^L$W_9%J;Ui<=-Jbul#fMKi}`7y#t~LBl}+NJo~Bt?4{t*3rMU?LkRq?++uW6dkYMxTm|6i58JimK-p8q}mR=3~RSF7C!ZG0o< z#@72lTgA7Dwu{~X%KdH&KtBa~Bj|F_I_UdB-vs(a&^Lo_1-%Irr1n1X3qc&l&M%pp@?ZYkgEsH`@@H1Bru>(_^eKB@ zvSsJcC&=G<|MsnDnFj{eFyB|caNnm%dq*GXCtbU$*3W$XvU{uS!VcmX_f@0& ztXyLg*Ou#v^}R#9zOjG8=KI^fvd+r2>VYS|!t~Xjdf0G%W>vuD`aRzB^t1j@{slfy zt6F{w1mtJ^v;H33ve*2#ZDQA>#MRrq_c79K-FtVCPSy5ehz#`wd2aJ%zVH4=G#sqx zEc~lLTYf11FU7wq{?En#wfO%>Jl8Fe|0e0V=|70SBKq$|rT3=45dVtkzY~?6oBpl% z%cB2ARCaIrf%un2|FtOBHHl*rBC-o{&C;=dV!LkvC7(yJf1v&W4T7^|hiTp0UXPW!pc;N7~`O5B5J!k$g@6<8m8>XI&V# z>w~LnD;b9x#}i)Te7zRN`MSoPdM%8*Nx%1m^nFcqYH)HF#*zB)Zd>=MYu%?Fr)ym% zo6hmFuJwzibgie@J`}{@O+_Sc^|BZXP~10vG`gE{}(Ij|5C;BeyL*pZm#J6 zFIOz@P(}S)E0z~Gvz7cmQqljoS*xUfTSfibE0%YA#roY*(f_zPuT);XhhC-q?yOi| zEQ(apKUvZLU#+NrS4I81E0%Y6#rnm=ol5?}qNB;D^UuL8ocHY--huQ5bv>V`>-j`o z&nN17K2g{6i8{w$&I7N8`}rI3FejP!GahW8bY_3Ff2Y{8VZStrS=)g35dQ@J;d>8I zgXaRREeB1Ywc*tFQ!8JC?@@Cfwd^*23P8wneuMmtcHkgyEcm6UFY>n_ffSdClb`(s zUk{J9GW)jy2fxyVT2l-+cZ6 zeci_6eOTOv8Xi7hnhsC!b8Dk7$QiCGc%-)p5A_nyl3=&nc;Fcbb~?L4dXs;=+&h9? zV`-2p?H}oF!h03fZsURHLa@`hE~Gc%(LTV&r6AYX z5adeNm-IH_VL6FsW6;-aJn&o%b~^h*dJ~>H(pg`;k!O8v3Ua0KNN*D!mXmk}g1&C! zfu}3j>D(OBoA7wQ(IY%VL9R3&>21QpauUx-(ARA|@Tff>)pLO4yj$X+yy#A___elh z9}C~-clpPW!2X2o1J{#te=Hx~@8^D#PQ3pe_P?}^ZB+R$D_;k$)9;LD@5i$J8j^XX z@qH+yoweYq$g{jv=G8Lax4ll%sE7jy|nJrAX4(Vfi2eAK%J`Qr9$ayqXA*B>_V8U9c{i%U4{ zyEe<9{}x;&4z_#LbEo5EeTDjc3jeh>*gx>S)6j1%dS)Sb;wEKW8IQQ?0iV^=8LlV& z;1c6gJuD~nEDmzr#zPPL>#Uxxa6Qqp%=lCf`!(uW8sxf-hn@rAvwBv9>xrJ##;1DN zE~tm)V7ZKko|E9Sde(&NiJm^=Q$6$-^{}6$9^;|s0{E<+b>VuVXQT0{p0|Ogo((~+ z+j!`?3O=i+KU`1r(0|$b;yNSsYzlJS#zT*fgI@2OBR!$MQ#^~LXGnUChaS%#$2aSr cc14r;emBzDF5>t$ImLGu;+t}eUmvgjf1T5xpa1{> diff --git a/1ano/isd/quartus-projects/DecoderDemo/simulation/qsim/work/_lib1_0.qtl b/1ano/isd/quartus-projects/DecoderDemo/simulation/qsim/work/_lib1_0.qtl deleted file mode 100644 index 079cea0db7dcb9b4d565b408381fba926a104b5f..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 129270 zcmeEv2S8NExA&dB%kBb8@71NKh)A!B2#7US>;{7hC@2v{>}c$uVvS;ry;lsz9yPJ| zUSo|Vme^uTjLLV;+_|v3f?)FA_y6Ae?#6KToS8Z2%x~t-y*u~J497_y2>}TK2>}TK z2>}TK2>}TK34sbDAj@w`IIgJ3Y7Q28S7enRN6X6c8}S_XcabVzP$i!!P+2}?hmVZO zKc=aWT2y5CQF=O+o98<-D)6Fy8IzarkPSW`>(}?U9?KX15B<%8@}>DZkjl;TeHaz^ z68{8w2~XYlguf;<1E2PxsHjM#;K#oQsy5)qGCYZlrpu=N0rkLof63|t*@}?g15#`! zcc3FVLXgVj#2kpu$B}Y;8r2VW6qJ|o$tnFa2jc$4S6(= zJPMGi8AU^4K5Z)Ihh$nFsaPH)Xg3M%rf2p7dHHtjS$XUys7J#n5FPtv*;Qgj!ESG~ zmyyZ!<||;=i|NO9MZ5lt0;!N)fD=A4zI(4^$X|Xv!%x!CnZ(L9$wd2 zuZD~^(X#_AO)m>q+CiXx{d)E6MR6TavG#1i^tNWSJ)=M>R8K?92zCbyNK8h%(2Y}& zLN`t!6~H-x+3Cz^GNV8$WZxJw>ex?8NBhv7(<{=iQkk7}Mtd^~q(b(MFr$wB-t;<# zZe5}47{@nkcO1WvW-|(;LiSxSBiK(E7Sjal-MU_U4AR7yR&hw1C&p2}bxgo8E4%Cv9(l5%iWnuFPWg=P|mFQ6Lra>$BTCt!qE@ zt6O4*(B42R(%ws%KPwqstLGoE)Zenkqkr7q|Ipt8=FcWZw=xQ(Lbz76y}`Y1h$p~U zq4wU%?C)W8pPoO!eiFxEd4tXzr=*V`1gHn(w*lH6sD{b$y6l^kiS4XP%IcSiGzHS( zIYO1%B@?fARbtn4?5C=vo*W0`qAESD7mm}a#Kh1rq>!D1exYK|A;sm{xUOR3dT~Cj z^5J^r@p(eUo+nf#<>|Prf^k{jeuQ3md@fMIa{MQDZu0}0d& z35d`H2c~F-4AEo-1`gE>(FBIWUw=(#*wCSxpn&4Upe~pgj9DNt91??*ixWdaF)<`r zNQ{6)_=mXI3lD+#Rr*K>NC-#>NC-#>NC-#>NC-#>NC-#>NC-#>NC-#>NC-#>NC-#> zNC-#>NC-#>NC-#>NC-#>NC-#>NC-#>NC-#>NC-#>{09)|o0u2^kyY}*;KWd*9MFnH z0?Hx84MhFA3ig-( z>iRcf_FFL8no-f7NI_g`{S7b!)IY6P-z<9F0mbXCyn451cEtLXMNxZc^`;jf*oA&i zulK;jP{>z)gmN;oo60DJ(HGaNBG*`3Acynk8WpbFM^;eV*UYnj~wMmK$Cef1--A%K}iV0VV7 z;EF_G$E73%!gZ%g4Azf)4hTkhAn3zLZHRR4fP4_+JHQv+NNpj%m=41!Ue8#r9#UXS z%@1a&{(1q$5!Ez5uvA_W$t~y)Vec4z6l+I@cBXoD|@J(ep!h$;y>Y|b_k;VQS>{ZL*QqX6Z>C62l_l$VS8e~ zO6WkJgUT$Y7ug?D9G@T14de3zLuh<{00i+5g)sl()F2x7AA~Xd{+fW`AV>`=iR}*# z!?M8`{*S4_kQ(A&TsDL*3t*A|0l^`V8X8ob8j33dG-3X%Y$&9Lg%+oVqg)d%+QkJ2 z;U%jPB7!hAB7&906$cSX#bvwD6$f3CSSl_#=+dn?wJVm=bj4bO&msPhnp9HXWLn>3 zR-=#rNKGlhDurUzjd>Mc>g0;$nMev>057QA*_y;`^S9?ky2>}TK2>}TK2>}TK2>}TK2>}TK2>}TK z34#Az2;i<#8jkPbM61Q8HGw%p*tN?#75Jhe^ZY;I**Kz&Byx1{#2I!>@xI6 z>**Kz^T+zdeqNS-{axVxfR}EZ0o$$Z6T89rKto`^#&>jx_a5q})tt&eaW^v!Cy7U7w;Bbz4bo6Gb)(etY+ zAR<=uNve=eMn2whxnmBO5l&U zo8D{CV*~9Z$YrDn>lebVNhSH)GkG$jnEwM@cMQM$&A^vjKQL*@^0D-3&#AwBejV^1 z^VvXt^p{etc6I}~9NPI8xG*sv^62+M*jJEn@|nW*Vl{{9%VjhtThA|H&Q$i1U%7gI zJ=62c7ObIPlxq3q0dj4>kT1i!dc)g*W zg?fHI)$|^@yxpxVkWv2$@Lp%0*em>Ik(^=3*DAg+O%gT%W2YK|9mCt1~2koF7V|&m) z*4}0IAKFi#|ImH|{fCZ+(0^X)^&gCtL4AHqKPB*|A(LY)38tg`Q~jqi%O|$e1HJlV zf5&#BRIC2@u1>q1kjHwi?4sL$n7&*_b1>#Z+b>|@^YQpIP|vUXdVaY=DfEj{Ex+(x zptfJgm*yAKm&<4l#%7>jl^}O%{fEl6?1Vx-v@=D|&)@X?)Cl?rrCR0jT{V^$`w#Ny zCo7-JXb##zImS|GwRf5QhxQZbKeXRK|DpFk=sz#?`VYn`puTXXpAz`9hslpIitJ{bMF{Gls_R#MzX0*KBku^*Ywg>9N=TcFxHZ9j) z1?wl=4@-6>4*rMsSd2=!^~3&w^$@O416A}twO7IV#WDR28Ex`U?FlhH<<_qS)8CrW z_W#r#-0&*WUlN%9&WtAiLwjiq&+=j&Q<;7kMre$4SroF-cE)t=m|p#uG`#QQuAm)& zYR9CN#GWN~!Pub8q*TzSP-)5gBcGa_NNGw^BF3n~ZlV-7RaRnex|?WHU)>lpG4@P9 z9jXuW5ek(UYo?X16GJA(mg%R%s%E8!6RDRd1lYq|n_zAHy99~;X;Tmv%eBWhT-kt;&f<8NY0o#2EQmGqGikPwg%kPwg%kPwg%kPwg%kPwg%kPwg% z_#ch{o(Q9nPH>tdo#2GKm`Nu%;pL1cP~lF^cv2PalBInDl^|E;mvzEYHY-PfLdoJ2 zuA*UUecWLmrKsN%XleQddD;4<6Pyr1j;l-metaU7bb=ECBa=>W0u)L+0Yf^$iT00= zPTG=AaKe-Ac>luuPH@6^{3R#bNhdf7Cq+spIMH#U_+&)s1Ses<(Elr);Do;$ z3{++9Dysp&Fop=9_mO%r3WV|^g^-|PUOT1>_S2EyM{`uU}*-RC~)sW#@ayAlO z$t34sMH8%KDtA^(I-5zr8PA%-{)^EEND)?TT2{ZtSsCotADtm2oy|n?lg?)9+7HLO zZiyKQNI9Stxh|Ko{1-zH zY$ohC(nmr-LO?=5LO?=5LO?=5LO?=5LO?=5LO?=5LO?=5LO?=5LO?=5LO?=5LO?=5 zLO?=5LO?=5LO?=5LO?=5LO?=5Lg0Tq0@9&NiFinYJTN#hl!iD0tw@NYGsN6sh^>ZE zPe!prR3!Aa8l5)ETKMFfg3UMhpWGX07yMHEh2pEREbm)?1h$cLAsAh=2CLlO$=uk~~MDejo z5kVl=L`1OEa7c|vDo&LSU4lL)eIx`V1SA9`1SA9`1SA9`1SA9`1SA9`1jC0s_rwOx%xj(>JDYO`zd9s=1M>&2H^!ys4=T|QCi&8DWa62CDJ=p2?JmA;LF1mg( zeYuS0r0e;`@c78DY(2jQ>-jZ~`9-OgUq*U?s;TQ2)0fL=PM)4$W#KhX&#ysx zevM~-QL5#aTF)=!OY@8A%VjiYt)5?H;k8rGuYr1gO<;aes^yodo?pn9*1wp(Tt;(_ z>G?&AmD0bi>iIQ5&#ye@7o}Q$nd|w5d})3$eYuS0{I2I$S$MtE^Q*s}UlW;Mlxq29 zspl8+=$Cm_-TuY&hK%V>^| zo?m6*6{+V}mY!denO~G@`DLr;7xJa~#q{Men$twjFIudW{*|ETS6@B9rZB%K)$+?; z&oAWBFa7b0>C0s_Ctc64vhd2*^D9%&uc^#0O11oQ)bk5@^hLvFfZg)4ta8E1&sAsg_?th(<~O zLcX;A#q{Men&YGAS6O&P>iLzf=hsZ;7o}Q$Rnfx>`O@%W`f?e~X`<&BEmq3)n4srZ zPd&e8F~2C)^2UzBS3<*nxz@}>F3^yM;| zlc(nwEmjI%^Yr{m)AMT%^NUg~zkHZqS72LBd|pN#{bJ>F8O>SC^ruo__#Wa}DM!(c zf=?57n`EzY%iRU>-|ptgaNyai;BxKZA%+;LVb7>Dqd+PY`Xt(G4>-W9=W^|7m|jmt zz4Yt=OYNdw?GO@eIs6`y&PrkU#4*~CQHT&I#!bWzX?b``XQdSVe|=U8T)s3EfL+I` zti;}#`078YZ(@6-VkikaqevCtuLzZ!vj<3%kZ#3co!a2 z+F2=qVIi2*O}kLA@CH3NG#HYC*&B30Ux@JPJv1x;lHecs%H4>wr9eiZ@`{61>e?XFOj6|IN)zpRG?by$|4UQRvQe`Y;~e`Y=G z|7AV!;81L@-sRMz^JmsWm|Qc@uV{N{{$)LESUs}JsmDslr+s}~V*GB_&%E^fplSi1 z&aD5MF*^fUzG$GO_1}VW?D&^s2j(c@Bg@Y&$IjMr?1YzN$AZ}zUXGoG<=8n6ApNm<&Q4Mj)?z0z3X1Y>xiCQtlud;x#-UYJ-OK4SM}r#nO`^c5E07Ui z&QK~R`D3-pzbmrJcV+q5kLhWc5B)38PG3DcLzx{)!Ooy^?2N9Uond-*hA}&of}Qko z?BrF@&Nw|g-!MCrf}O;2?3`nEXf~~OsU+Z=|1Hzshv7XOGGe<>3i@McK^c7xE3I9w zLOSg?EZ;n)Pt?CuPyYzWhx#c6{juffzoVyrt)Bi(diqB){gi_Kx@G83X8rvxjN{lJ zQ}uZ9`Atmkt(Pu7Pl)N_eP86o`?JW4_fe4-?{^|E-XBC>98X1F9DhV!yk13KyiRC! zp`EugTz+D7I>S-U>S52?dlbWqQo!r)GVs#ZzfVv9PNrY2r++llPbuhsUqSsx_4My! z`mOc!k74>L1^sZUIeg0QuQVCb&*g*?uM z6{!^b`1e572K-p6hLaHySDa)*z0a6mxXuOnJs`#YnFAF4Kq`|HVHkw(;wO}T;nS#o zu=5Ven`qggJjnI8$ZrbeaEttRKs7*74Niu{r6kcf_rzd2X{GWQ;NgWoa{a4$1RxC( zRzg|6ip*&+iFEO3g zFNEQc&>^VQbp#q#UR(zQdWCXqT}(oUz)zPG4){fXgmO@ET>nzmx`kqUV*7~Ypdvy! zx_l2d@vIgbFgJRm3-rYJOlVcKayfx_Z|zyM4O4$@8w z7UoI<{KGLVq?>kHs4yiG5rRo!T_7o0G#BnqRff`}@L-*!E^L>*BGz^s{=(yljU8tSU*yD=qsMR&JM@|-_Ff!uz#q?Y z;>f8mFL4r5oFT;p$2mE1OI14#r!{!uWyjgmFV*ZgoUq}Ew;hL1wmhkB$Kg~IPkih+9J_f^!;W*I zUwrL2SNg@zj;ln!_}jsli4F#ss?jzYiMkG)H#HHbYoea6iTb)G8t9s6sB5B;u8GDDTy<*V3tbaUbWJqXHPKAh zL~~seEp$z^bbwzq2vodiq6TGKnW`b01@IKu)}j(*dD6y#gLlPHs;zFRcDkk7>z3-^ zz`@`Mr8?@C`ck)4f^Ml!4jc@{Q0gn)Qi-~yI_s9|;=tjGN;&Cjs)joSR0QtVz$Tfh z@y;i*$);+&afxh-sT%KBBHPVW4R_gMw!5i1LW@l`RYL$6OXW$L16K=JIq9Kmv8S%Z zbX|*I>ssukYcWIDVsBlGeH^$*YB5vSVqaa0S-KYc>00csYjJ?C#eupO2RU%Hsl~y% z7Ki9s%+|FyRM+A#U5nr7S{$xxafAaGMJK4(nu`ka0XG$H9+G5HjXL#);81<0MXn<35=zWSqjuad0G4g^bf6s*rU;hwf(!}&2CN1y$1WZR7gzVP;F*2b>=2A{8*yBbn7l8t-J1!Aj@|LupK!mYpW)M;PtJ6i{Z02Z=L5U8c(Uv9mDRiR z`>g2ya<#kN#i@tFf19y=?BQl1Z|8pd^zmQohh^AIwI6P@I{uqmbDqWSy!zE&wt__nvWUNSm!Pw}X0LH;^_vW$ycRwCL(A@GJ~BZdF*#xb70Sf}mBT z>NZl6J9L%Imu!>$LqX6gS#?&r%&)rY-3n@wwXzzromwV8Pv#}tO=>zW@^*|}TFW+m zOLg1W)v{K*W$p*-I4tsWh+P^9LZ4z`xZ@%($XOeNHHw81j*F^+FbageqOfHFZ8Q~U zZcavWIA}wZ7)Lzd4}M5pcmO1~<#@lu4;f8D&50YiDKi}K9esM-Kk>w!@O1b)dBfJz zkuPk*-tXGiZfxaUzuxJvd)wP0{QvMqT6T5^!ugHsU@t2w;+0p0AG|a{_=A_d2|QW4 zsEC#nzhcTtCH!p|yl^znUF^f9JeMo)oK_ZW=-SbMJjs!*K?6WX=g&Si8{DQ_3aCcJ3(2bb~MP(mnp_y5xbVT6j5?uc`5n0sI&u)n z`qhdYc%yv%!HVoXp*#)Ju#rPZ);$|?pvd6$6C1MkiNU#RM3P6@kb5Bpn+MyFx(5tS=aCCGBkPc?Uf$%u zQ}X(RE!n%?Wuh)lr z@vO4pi8IE(Z>m9VcQhQi)K^w>urlJ$FYj_EFI6_&Jkr?N+K=!~ma$cRW!r};!(UC= ztK|B=y!ZT@6-v(ip1(=|7lv@uC+@<#cR`U-T$9=clmqa$HLfWn&7aCL|qjs9L z97HaB82Nx?{R}nVB6~gTzq;mj|ET5xW!5~PVl}rdu6f|cHP`%Ksk!|>s(E0UH4m&< z&2JaiJm}+^yZv9Oxx+uIc~F@(52{$rpV68JLvIg(nj7i%b|utYR?^!;p|{Il4>Qrd z&f#h;BCnq~!swwEMvr-et{N)2T95nh?L1k|$wtAoS-V~a_u^QH;fd8ozsJF~x!rJN zGF+Q)#cMMJyft#R4ufmcG`4CuT$_Ap*XG+|ZzDeTR`nU)I#s~ih%&v6sF=6=ioLD* zvA2ew;jME8yscTLw>2x~?TKPFcdZGx+?zzkMUl2U3@&tcB%|J`TmB`E)ODcm8oV9`?S4XCa*v7@ z?tB#A)7Qj1O^hI=^(c|Xf|PbY7yzC>CVq{`P%TtRADB8>xS1@2X~;p&zDzNsGG zt443aJEe^8Nr}n6`ZBK_a+kqYx46VpwGH#Xl_!KYAfpn^V%JB@wr42APfr^=;M9>?p%ed-tgR$48)`%U}6wAd*Xi-lS+Vz*=J+Y5HK+)1CvHtm^1`T49dr3 zdod=B1x)7uM={a-BTO290+TPaFlk&dOePd#(nP>y!+#VLw||65lTTpMR11?P6~kmM zVDkJM?%-ns&>SOWCnaB0$xgOcZF1D2`BlPAsL2I& zH&%9f&lf$im+ie{a`dN-*W}!UIb2XxwX)Mt5IYFsLJ%*X!#Rg?qdE+^9TM-oByZWl z31c%J&O5T=O}((k?yirv)N7y9dg%8Bf9LJBb^YbpV`uw|HO_w*{paLm=|z8dO*}cL zpUL*LXp=t^*8T2vq0{CTZwKcrSo_9tZZ-3?D~ktj3<);9e=c!hmsXMUpEbOr=+b!G z-GC9lzk-*PS^d{En3i>7TKz?*!rY(AZieNodHYw4f97?27vH~d~5rqjejE6gij zDmY#}VYYSD@SlHJ;6MM$gSj{Ny!PDIx5wkl`#KbKat_SeJR+ur0WsXYAuMlA-P6q$ z`|iBZ_*mo@XHQwg?3=vq!Q_CGC5ANoBeek_jUE-~0oG>|W*5!$^@s~};wpsQeFJkqP zHvHesb0#D%HT`wi+mX$Vw=#=z7<>{e}ysXz1Km*W>Vb+;}!<+*BqU6-5@ba z@>Rtmg{$%&jvHc>AD&5!+ynbcY zm6lei_ZBVfIymL~z9+li_bU*}Qt5QD>9A-q$%Ddt;yZ`**YYHQVX=&C+$RoM!a*&MVxu_xSlr z^MBm>^Ja_8J2pjbJu^=ydepC)-8QyzJM;dvJRY2Ka}RI&cx7QG-?!(&bH2_ zw)#?!o;_vXt$5V*0=(Rg=GaSY!l;Zak|&j*L1n>ddGMqpmz zxFUsS<7B%0I4y|?Pv!H2n7vP^esOG4Z!AsG#DTw6DNZdY=X!@ zh40vK(@qRNL5g<7l@}0Hq_PA#^7xDa!D1=|hyaf`AO%9pf&L_XiJqP*yhJZguNBkl z59Q0ztF!4LZ6L5)o_=elzePFv#bAN*^w~0fz4i0~tAm3WWKfq#OcQLc*7f3JkS4~o!v3dfo)|~@)-l57iNkt#Nk%zrqNqVC)K?*FfKY*U zaAp1+`dokNH=%yCYM4laGwRl3v{iE&RPk^9s>J+)#?$G4Lj6km^+!0x#k58oV*H0u zKL8%uKLH-NP88+#OAWId`MGw>YM->O{m^c=#EgH`K5on(464(<4$H-%y!QE*ez`Nh zmVT~ZdhG*lbVGaqo(i>(2eW J?L>5RR8`8AZIb$4w>EBaWM5I<7Pq$62K1>A`^( z^@`&pu$2E?J%&t=_IQYTKUdHH)Oyi@3-M+_Q{nIqUi&^M;wrXNaXuEzh3OoW7xRg< zI3K*kM}6#m4)#2^;vNj>pBv5MoCn!E|m_s`DN2Hj)bp?97J^Wb-k|!_VD=$!a_091U@o?^=kq__LTh( z{1KiP`84cz5Nujk@XwsR0+bQaUz#o_)L&?qVt=vSu^pg#@R8wVk2Jp#t3S#yh}9Y> z)?4Jo{P;YM`LX?>zvk0)2)dMkb}^m~^=N>i9?E~hF2>zqeovNPjdr6^iu3%d!Qb?FQ6XEf5I;6#r!Z#(flGW+7++2DUgnK zX?iJkG28_8V0)ll%74Nx>c#w#EWgN$cCkFRZvp&8yEMHNyC#s1dZK}%UCMvLt|`kO z$MTE3XqVCa`;d-yX?iJk@w!914VfOwf5I+aXPCbU%P;bxUF=6#Ur)FY&@N3c#V*EB zqMjB&vA&f5gk8KJVE)!DzsQSr@w!2~FmFfg()3d7Vyq1eRaq zMZ4nlj*BL+zBIiQyBIQsdO9;bl>dZXyf0z?WR_p#MZ0+YV|@=XyEMHNyLewiJ*hyk zzLfujU3->4o#hvK(Jqd6X!lQMm!_9u7w?~Fw>MCb8rN478m)Lu=}Y`8S;i@$k7jL@B{rvJ7PPwf`JC@i0SBeX?{>K;Gj>xfdLK6 zi~5q8eYAs%JVd{fpaHe>4QKf@<>Z^k@_Ch!4<5TScnc$!vArpU{y;-c7(S&f-U`;!e@IXN zDLwt@C+epZ^gGcCmc7^}QcwRSJ^eTJ^kbYg>ZcU+<6<1d5C3ZWk9=t#^b7H;=wcgq zSf>40Y_B~~8rucu0nrbnr7gBWIokQ$#Wpw|;=CNkhCSroux}E|cd}10MYn${P}I#*2Pd7x*|ThesrqpHR7$|5YHL zw*NFAJUW!+KjugOgPH%Lzfa2Wm&tQHgmOJ7fj<;~XopvN?SOcreoEj^6K1Cde8>8t zeQXEHYt;k&#(D_pCGFr(^TWOx^drj`+X3^Vo%T#WKKj5TesMdjWbN>{jCwJ7jxUr$ zzl8FJ#E+LpHM$2vV#sE}p}7puAQ)px;;zA-$v>^dFVQcEJ2-Czt8h0H@s! z;v@Q_GU~(kT>eKSPA{IP?R5GO+xF%C-DQytVzO`Jf%j@*ne~|I?ZO zqQ4Kz@R!MR7{0~rK=ChSeR()&$4A~mpxTeTCF{!*`{S#V^zH&pNlMH@zHb(I1yo#j z-aeq32g-vZ1i84$+9%d;m%9GEJuG0SdcZN%FK*`c2|cB*L$4?L6DH^p&lUWHo>JGN z!={(j&>xTBP-r)WN<7c-6MA&lrKfq&^ZT$L(Dm%Sg>~uydOW};riY;&a9iZVkr&Ie zp9lfPi?cOZfw(jqRy@<^ecXZ$wxtV&p8|AUw@5Yo>?+oq^${OrRjR?2q>N64~1~iI4u@J7fVOrV)AZGt_k;te>63e zF2(K=pq&~DE4W!Ib+`-Gr?_lzfQEYQuL+|LbxGDv4Gh%KDuV&EOMlz!fnTD!i?Dxt zCM?3<06^jgt{?41*hbKrAdEI*i?EH@B5VjJL3wXGc%cGxxE%zV0G(pT86jP0M;Bqs z3ry8mevcad$WNK7VWNUDH%--wV&<8t8r2EeU_rJTl`_u4RE??`XKAWN1B|mWRU3;O zEYfzMi?j)`aioj1WyF>cJ0}Qb0pl>q4jVr}?H#a&xJp}#l@TYH4RC^&J(%Red7+<# zZWt{mm9$wI(GcS11mQ54)189=HpuBA==9WPWu!78Rh;O$ZaIv@URXnd&l^y%nl>vV z-ZD~MSadjCSadkzM57mrjn}WcP;2?ts($_>=k0N5v!YVamR9adj7Qz=8XKM6{fBdB zdUxsZ+xq82tIYI0)F*pQ=gZ#BY==Zo@*D0dAKz>Irg>9yjlw$|I9VlTZtb4uxAfiS z8`7-Z`g@5ndq?-by3DwS!8E(*!6)WgWG?C2xL2Je?_B*{yZ?6J&OEd1oo;hH40`Nx zfBK<$l?gZBx6G?#=4sQ`x6#5*yBl^&clfDmz2vLQzn+w6b@HdX#_n*3hAtzl!t;R* zaXwyz=gY^g@SscVV38eNapzW0xv-8wt3odYu9hqLFM=$qPFim9Xj$NK%6(B3zt@j% zS?Jz!BXYIndwu1_T%^I?8V1E&EyZ3R1?Aids6T9h8TK4(RQ6m6U#!fDE2&+wz|3L_ zt}nADHz5~(Zkm%Ye;N5*;J9bzq;?DWE5>Qy(x_vO{YnrJSjASag&gA}@Po+>AURr0 zwlF76TgV$}B{zrU9%8bkIcd{E-d8KRow=;+m2QcJ|BWl%=4-EXvz)zzWHunH#%^2< z5n#a-*i96E#9t@52`QQJ^I1~ zI9J4+8y0A2@KZb4$tAAw+^#J~1~Cb;lbc+#xm}Np4Px%d*orGPtg@1w+;f$#vNC~H zR;J3fZTNGGdp(wMV>^-T)du83cmBe412Sq7-_k`~X{CUbR7?yYN#tU5~N1DQe#1CtSeOuQZ-87pFX>VyO%*moUQ#mSF2~* zUhc)X{YvhkX>_a^N3NKesq%c3ZQA7Q<_2Z0%TaOn9IDPh)eJAy9VTozvO@XAscNBK!R%3?b$_Lfs0nxVwbemy&?Pufux+gS*k6fxYCrQ$|2Oo>$p zxN$OTu61?p2E-BvCmGG1Vmi6Y%f04La<;BKnIHc2ho92(7kt<(=8)y)Ec+? zqezR&eY1MaYdRw|B$!)pVdw5z@A)qtE;_O9W~f1a=+VxD+AOcZWp?Y;C!}4LXIM4) z{KYccL5W9h{M0fma8S;6)9<@hahWu(ui2nkp=MK~5>_p2dI9gzDYA5<(@6v1?{E2r z1%*w9H$4VTj~~3}aB_1Wc=CZk2cB??{N?^W^iCa3Inu(7W|DXIq4(+DSORuRF~<9< ze2O4kNQDKa#yCjI_XxsMRCv?W81J&OX9{YnN)xB14IixB{!_;%p1sHCom%y-=v?YO zyThA%EotJok(~Ui=uU#I-Gv$I>%9y+x4D#=aoFpC>Dl~&Df>eP-3#z~G%2gwD+|l` z^AA@Q`1*{vZxGe}`K@-Vw{qf~<1fqkb5__~?Xz*he$)3seWItlHv0A8&dD8H@&~6sDlFWTKV|EQLC&TbbMH4= z^LOu9?~^N!{^E8qspiE|zHfFoA4`}cyZNnWm|x@OmuhR;dA(lH_+pq4A7Soq-oji1 zUCx%Qk(y+aMRl@N<|o@^SzC5k<{tZltePVJd&>w#OIX`ocO8*=DdIO- zh70UkBJ(qdFSM*<0I6`x0{j4T_#dAoEJcgYVyvez%amVeWL+tEL>x0&Sv+1JmE4RSho^|lyoZ(lDlLB6jO zF}&8{sNtd-e8Mjln|)H9jv9w<8S+cw*3@6QY3oyNt9q@MZ@sss-`kn_Jr1l$UPZD^ z4d&dd)U)aib)2`N`c9i&Uv$}I{w=AqO1W}clvnUL(_zMnybX3o`nX%U|3+LNs&*`t z8-6>-#3(><%w?}3akrV(NH)&gQ8{{N(9d%D4teF3rmc)OZ;t=X=%~ruzptKKJH7f} zm!F(@(@<%+Va?v>XHSk=Ui0{z2fvMND_h=U{)|~Go16T$!sqW_ht?XDG5VD6piz~h zzMI~wi?7F_Zgqw(bM_o&v*6acuApCU+O)9%?7y(bkj6D+}@}YA1Z_ zgAcO8b{q7;mnYS{RK(kgrc`J9YxoEyYCwvwHBIqjdnNe`DFKiYXagxS5~QHWw>vO< z=#Iv!U2;BVKndK8Uo@6z*F#whc_X{jv>E)UPy;NNHM z?V7Wz>`$w?vQt#SvPyS)e7md3*S){2o!8}2&FyDBGJ54(M7+FC}eO*7?^7{VOq1!hiChmA#TRD7` zlU+*JgBSkvA3bwZy*@#c2ee8E?B=#5Eab64p92kj<9c{p_B!=*>faO|Hc$nVyZQr_ zkD~5c61#!8{YZ$nJigGPro7NCb~&kr-y`t*Y6!QH$8WI+M`jHneti5^Vo`?&ZXHa6 z@$uV>X7~qQ-5-+X{h0n5{#^bhukhJlllvG9e_F>hyC@)? zc)XSm_$h~rczL+7$+BRMH2!&wqq!$(6VuPwc<{}2%7FT^CSAXZGPypKAAc?Kl;a8<0D2*r1!U9RnEBa_`9JW)Bjx$@Yb z?eZ;}yysV+3yF12-J#mG-tBJJ>u%dm{i#qEzA@}IIBTb6Rw7^hsnhNueKy67cV4CZ z!_a8J{9Es>ee3h12fM_$&2TcvGH9yo-q5&4PP0_2Q_g(n^UmCjyrL-~iLI4~uBp#n zTYa#~)L;2Q0e&;)U$|Fi;UZI|Po~K3w}^TI|n{`fXHZOg3Bj#;$Xf=Ck>Ed430@-|@5lxZa}D*}3v|a-rhTj-%|UpxsD678gm(bsZYMl>bq+A0M7rW zVLD$gN}ZH#+3~u?%5=m1GsbXfKNC3zJ=| zt;ZEw&D&VdcG#+U-^oA3aHEwbvCo&-C0#atcRPDxp?A~q`&~DT@UdGtrQarx>*fssmkkREb8=N_MmrsAeoSPFFxI1id*Qy+n45@Nw4blYhm|k zZ@0GUacAiHjg|)Hx6ck<6w^<>YUqhqm0a>x+Pcp4^)y`^ZSnTC#n%(E$6YNn4Kisl zbloJsEujwG8!id_B?YtVtZiN95`bh_tKDd5R0%K_%u?#4HU z-5Fq3`_;7bY0dJ#TD5a*-EWrln7#2}2cg@`@|(i-A-;*gV1hWBAOt63FpH2+K2yvu zTuvVcmx$@9@C9a&i)ZFVc{-KDPNIwoyr^HsPFfmWowmok4=GP+jJKVYf9 zWsQITxV`_OzXi;nO^j}36i9_|t!R6*MRRh1u|n;=liAO5dzPb`JUlE{3LytHknb zTvxGio!(|JpH_MLCRVFFK2H=cb}lJT$7L0a%P`xbQ=aZ@u2o)VF?LCLIar$YDvO5bR0hPIZkJic1!Vu5GBqxqQKE8jM#F#AR4&danHuBoav5*1M82RSzHac$h5A5CV?jcVL7 zt?u1}`_*TA9*Ro45TU5q#bwN!@XQ27=TZ&KNp}w^i`~J0i)li4KI|70}oRH5l z>o>de5{nJ0d97E?J#@xxQ{@3idiFj1*Z96~UtPp;j4Pfbu0$q&H1}c%lf<k?{Z~NMziB2^7n?L74!N zf$!qi0Ql|(j5xcD*B+fztc|s)DK>{w9LKw)qeSvux!3u*XTBeNv!9VXy$%`m=0%dB zd~VF$|)&r=XdqzH~#kL#$1?ZP$QMvSU#X z8u^Y^OzLQL-;KAZxt~lus%*Bk_1LKyMqXVMPmHW?R?X|P?>NbdQ@PfUPkLU*P}5z} zrH7@fU)qQ1K_qjL;V|2dDZ>&CuV*Mm-?eOZI>YH@5*fV0=z0I8U(Y>dIBBY4BxmV6 zqPxowo{ZjLZ2i})Z=Z)4`5sh^?_ssbZMMz)JaVR`dYE(g{=X897Ijx-b+w9HfAZ1G zG?J+_>EC}#in5(i+xAXL z+Lv-Tr|0G0hgUxB{k5N6^-vr89V7)ee-nLJF8hpV0Nc|%Sj zn7pPSucdavMMH8D$>g;Kd6e1-=MChf4wFX<@))%fE}D^(SSGJ4$m7&b&QxBH$?FU9 z25KjKew34jOx{S4Ht)Ccg2|f*@}_F1N>tvA$(svucsZh>@|H{?U=m1An%}d!si*DboAytX@)OZh6KzYC!KWJuNa#sq;}?1I24jD zg0`;kq9LgYEtkxx@Vy2}5mLHAO82TXC6!a*n+=jCr1XH4o?bL1ol{|FAYTh9y&xr{ z8cpfVsjxecK0-<+r1bTsDOsGVI;Qj!Qu;&603Vt%kW*o>AsHm342F~;zBDD9Q~6=a zP$6X)qP1>9E<^#6WBPN&YO=DQpQ8dgdm!d z$I;j+GEqQw5=Vol$Yg6{~Eo6B_071()9=RAS^j_I5)unU;Z1<3Mb zp@WsMU;iSebCHm3G1IwNV3#nRO9XZ))45DwmouHqk>$w>2dhZx=Srq?rI2kE)457u zS2LZf1$GV7xmIA;F`es><;i*ntJ+lO2BvdE6lDuIHNFfc1p>Q~>D(x=-!moOBg>OQ z2dijWY7=xKPOvxUB{fR00Nl@~$gH>IsWG|$w|2dgIV zMNW<~i${fQ$C$-q0(+cUJT9;&n8gzUdy-i^DX^!Q#Zv-%npr%JEKkljST&^<&oYZ= zg>2`T#d89Co>@FEuosxc3j%wQS-dE)mzc#%0(+TRyo@YQt~gjVqZWT*7Jm`4U1b)p z3hXsz@tVM1XBMvu>Qa?`?g@#la{~x5BzRv^hNoYYt7eOe-pc>tLcx^?5>Ab+jf7= z>R%ttesgl0)w?4%Ykzxu!1_y#9|n6=9W*?C-=e&U3u+~LbUn2>VdU9nt`14A)5f`V zO}oGC(Bs9|=FTt3+}QH_fCP{-*GgCkvsv>gAs?xc>D-_G{zF7Nf# z{>nG*rfnXO5z)GPlWNVo`M2@7{UoMW_nnQOk(sr>%FA!L+~m4NW|vl-OgF`?d3|EH z^^3Td&1-a>SUcsQw@ND=cI3-d;WW!{{chm#>+4XUwyzV}D%`Ht%YSq9#oSD_`3* zEu22-#n3ZXPahipi%XOFDTnU9Yn1ucpy}cvn+tbrt@C~uT%9GTM z##0>BBZstId3(%+TfN7)oSC(x?&`{;XO2`I8sqRH+%4(?@)td6V|~qA-lA=jClP;L>T0^@yF&-=oT_Ip&kMQ`Za-?!qVeMgbRMLc z^r5zKzj{-@`)S+T#(e0#HvQJ$n_FYe)1=_PL#nupv)kI&W$URXePV6QcGcKx-92aM z-Ob_AXBBf+UOT$k(CYC%)t+kWmh|7&S=oP{Vpqn?i)KBOk{uP6M{3pSR%1rNfv0nC z)&6o+^|SQRm%T85DdUIe|7!D7m%K5LkilE>YBG4Gy`0p<@80+w-k8^t!5j1H$gG6j z7-aE3SopA%+L%%UnN@^D$>KL#_#y)@*6Yad#kwDI@M1ljzF7Cdm*DVXJ%YYiuZA2b ztjU8yZ)8A6Egp1KXDN}G;=`EQ$kbp=6f(Yyse_CkW5VUYc;VZ9P#u9xHOk0HO?mu} z#KN0#wJ2AeagmhsVO(v>)j)0oR7)PelUVpNt`6n=7#D7UX|NE+I7x4)NY3ei!dn-~my~QOW~;N=PTM>v_KtaZSSm)eS!uM;RUPGx}Iu zQ+=Si`eSi8iCxG0lK3B)tqSn#`D(Ia--MXzC<4(M5Q4FtX(^VjLFtZ)O1)$d3R1`6 zpr6ADXbqYBrbvf_KH~S<4hL(9-=iE3`U>COT5f`&nxls);S?Rg=X(4=v>oY2Zk9w~ zuOqckazkd=@G}0a?LX9e^p;`p=lc5QV{9+KT2*A7e(`+g9Z_3cCe1ZEKddo#+;8G5 zlRJAj7gMu`jz`1E!@Lovn(trKL0Lm48{sj=-K0waKTI(&!?7?!Zgksa(%5^-r!^G*ww;q%z#Ys+xs~A-{RbpS)|~ z3i$cpX0dI~{J%Z##43kvHvFO6(LQFwNAoESk4=jpua%8osQ(V}u4g&-2>;7QrybLy;(nYo?w)e(Gm}}y7E7$XSMf*3yKJq@ zwf=JA!%NDUwx-om$te0F9mpha>HO#y(*U2?I>BQ$RwNKe|#;|vb z_D0HWwat%RN!V=u@;zVmphLgEPj77&y~|K}g|~PU`S`rqoddjQfBP>Uh3%*@Y|K+- z&(4;=DW4>pUAe&j+|Smoml@}jsi&R%v2Nj2P^I8DBb%H+HmNt@`qp>0RelSN`c{f8O=v8@mH{ zctvYX+F_g7W(g~fD;vc+?5}*RzTKZMd0hk@5kWhOphgQpCq&SeB3MZaL1#qJmLgb5 z3qcn|(3T=tNee+&M9_{RsL?{O5+Z0%5p>f+P=g3MPz2qz5OhNX9VmkCS_rx$f({fx zcR(=nc+1+CybHOp+rL|6^y>(ZCRUUFx-jvlvz8BtqoLu;DSw)@IeiNh^R$KM+nX88GY7skK-VeF2trguHT*$wlwy>-(;5w0-1J;XRP z>{0gjPMnp8d8dE`7o%5AD~BF5>G`(Ss?GJe_Ae}Mg-mU0sCizcr;+gvzdA49=W}=7 zSo#c$duTW`vg(c-#=A4KJY%MD)plC_^|M1&!*vh5c8@fEx%iMK?=ts}tG3ka@E?j* zo2*~P8#i2T(6d7dx9GTc)|KNM6u&0hG;C`;=JBbE@|9d4`|7=O#(tytsM(8YGj8Nm z)5iAhc_vOBjjwI9x@-+6l)U7cU-4PDtZB6Eqj?@z_C9@f!O?d2zM>}8$V;`M??vS= zZx1drirc4F)Eo6xcx|%v3!__04Ba+&>!EHDWfF7eP2;S=WI!Ebr>CmngEFTW+buA4 zd|>^feb*^An#rU8S~+syzF0%fMO|a`o(qQN()fO?K5-68`F4(=ZIB8n&n1UjTzEitS=&9>#vfEL$W91|=~ zItAghZ}f?v@Za*j$CW2zUp7maa$!mL$PMIK&*0P*{P!OcZ!3OYSEQ|o1 zeLX&O_=+iAO>1xT-R=4}tnK5tFFO0>DEn+f&!fJmy=XnDYaKR~G@sxS3454aFNk3==rVms4LSq z-nDD9hNRXAesY38-_0*Yao~ui>bf~smpYSy@rF<9C=d6)lWf%Ig1UXTo;^1ukwHsB zUik5{0j&lqOkM299$>>>NiQl0)vSCn&5}4_-}3NcTs$m=eB6y z`?zy+NOp+fs^-dtnc40}Z+5Hed~kYP0CRO`L*`WH=LDR$P^67qTG8 z-}rKJLg3w8F3C1LwYz1ysdES4<@37JgYqT}@*6jI_8|0pXFcaeTSnaod6P?G?EHtq zvVi6$uT6gYGoaFB`=W`Sq%vOsa`DOb5$1W6k2Z8FEd=1+3F zNr?GmSHF{wo()CMJ^4ABQ%)NSUpyts?*7)PM&B4tSYR@|b3lfn^Q@z-$;flH>a{TY zI&a|#%UYR{FKXGihfYs#Ko=`a`XcE1j(geYd1cG~p*H=B694cfwqe1tG~U9#`#41d zQ;XVnYj?V$Ak$xkRFC0D%t=|O=sDl%)CWtev{cx=BuyPTd#SspC&3PB&7>p8dgT zas09q9=|G7+bvHnGPhK}Bt@4(7R==LKlip)%)a9Mexv37{D%XqmcIF^kMF{rTO22H z-!_U$nzeqO$<_FpOElq!(8;W9zd`$^jr~8}U3pv$>;IoQOJ{M~7qn^BzVAvUrA4$z zib#u8v`Dg*ow6rnmwlHu(YWFyqQFaPmoP$>6yg9<}7T;PH~CdIt1Y3vL||Qtyx) zJZ_=gc@_N6T(fFby2V-6Bq0w?h4kGLv+OhFC0j?Dn0Y-=q*+|Voc^?9pOtkJ*={xL z^_Hvaz)61-gEQuxC&9?POZz560NFsp8g0O`p!7Up8a; z2#u{3JH67*=W{yl`q`{&CjFW7%mkrt-xY6vmb7gT@RO?Gy|~QCnZ7UJ9rixT-u=n= z@2tqA5v5O?_s{q!zW^LHo?;+Zf1w2JVc%+^qf*NbIgdSq-XymbF|(nR$6ht$?L67P zSaU<=X5HBHdcA0z?HxaiChZpMwN02kV#J691*@-X)`WUNoEKUQnzt{7=Q5a;nv<7? zP~FVZLAa8X!?cOqV11vr!gG^G%iq_Jd98teeCg;nS1hM%!W~JM(@v#TvP`EJQzq&{ zoL<9Bw2o{&2yqU4;pG(S{Cu9?B>b87s9E_e)pzz^x#l)dE0v+RlUXSw~u= z(zpo)@=EE?XX?n|8?~KwXR$sk^%=-bc%z_nzj5YBIh?EOQd!H&Vfc*XCY)9rdVk%_ z3I%+Mqif1!PL8J!ofmsrDd?u0=;G0>^0~wFtB14zJ#DH~EGK37_3C#^TkE(_46WqL<@I zQLzniTa_e3HaR7T$RU92SYqcL2!V1?)EH$B@Q zpkEAKoMTuc62q&wc~caHUs-1snd7%Ux-L}bYB=6;HhS%2+mo)$iv?l9Sa z+mh6DUwG6fj{(yrO({0SuWfd`qb7!zhxthcDmvwL$@+Rf*0}}Mp3BibHmG!`jJ*1YiMxWdN(Y%?7p_Pb?i!oRsW~-x&^?)@wVRgjSzZ2e&@rrF zu*}C@x#u_{4YDgWWxViBvcp2}xiY3PG1IZaV%P3W zA!e~~@7h&ccI}uQbM@NS6CIA>Z%)7_jf#otv{Kj?`{@l(==O{1hv%cJXoM7S4)G zMRn4|=R}kY_7h5LDc{D6{Op4P_C)>u74pL7!m!p@U-N|(<`@!StgB9 zwJI|(y0yB}n;sb$!-|)13;B5RHNEnNoae-6vR|uc&sK7ylwBho;t_B3Y~WqJu0!x{ z8Us(&WdXqhxywRUVVk*i=k%oy;8CYCXt#}h6;|X_jB^@lc09q+)J%jKtFG#(U|Sj; z(P%n^6TVV^i@eC80e6%;%d9V}yeoLRRBgwhOJgz%nF<+eCXBuvrgwg~)|GaK=$f5z z(zOkX3k#x}&wQ7RyeEAjM&icufKlok8-()>@> z)lQdL+_dl`TIbBIj`&?4b#-=NAQaPJ7@G!~1H};i9f%(TVO{{z30Q5QJd(mS2ig=x z-92qV2sz-6(BpY}fFH3Q0wW?i79eO0goK;}&|4jJ9fR@kJfG4BZGb~TTa2_vwSPvz z3XBK7pgac{$o{{LABs(ZUL}&MkrcF2{sKkaJ?;MEH-z;L{&Dcu$dbvq+jpQpyfRQFp)b_Jvj>WHJG*o{GLL z{|>aew-^}uRUAQ*dm+(Ars#UW27nGgl@Wy5AKC!Rfwn)|>2Ey6r;2GIIVX@aL>Pwt z#sgpn^if5;sPO<9g8sh#n34Td5i&I15A^?RJRn&>4^?c88V_Kl{`6DDxzIQwUMhZn zw9}vemZTnPJmrT!+Uak+RiE*cAO2{k&v^JgM7$yfe*SYvyAdHz`Ge&DA*7uzPsNYq ze+$yyJ6|S5(KRaG0Dlu=Jtg$Y4xwjGd>{eQ5BOmoOo*ayl-~jV#{Wo;%D(`A{`ywG zYmdsS0Dt0p5UhvQub+J&`({1Bd!sNw<&&k_j1W3M2^DYd;hGSI+)PlBH3aB4GBrV5 zlU~q1)Mi77e!%qu*A#KhP{lS9?{7xn{Ygl_k*OKtnw#`+%>nAKWWlevW@KuPxEAI; zT(ZU+W!D06Eqh-xu!7QXN>->$8(|k-C9n$VkqrVVr67K>X(Lp9E=egUtcM#6QcA%P zHS*ca5OG6#;|(R^4J9KoGeX>Hy~k=Aaje2fugu_B85#BlY-C78OdL@|^yULtHZtl} zZp1H(N7kS-L8Y=0-$X#3|Aqt+9g;VOqitj=Adf6UWPJN3XwIP4oD#}Jc#V^IOQN@s zjV4*hW(%;<2G2G66tck$m5FYeJ^KEHHeG)0nXj{Mtk{`h{$?YmmHpQ;mTPx_)xbjo zo}V^fvBi9-?@YD#(v7s-+^^R}qt&ET$8~7|Ku{IfVtg_g-Wvs%ExcCP}F&AE+ ziRoaw&rsvcGkC3U%+Au2z0OqYXfL15$C((4DPfo=uKWYagpS?~(5B~!Thc4(%HHSU zj>F&3t)#aaj2JpViWm;(Cv5f@stxn=@-JCEeR*<){Qp z_QJPoKYd-X{ZkWOu77^z@;LdnMGw2z&e?6*e#dCzyXT{CW=z*x|D0b+Wr~WwMZP=w z$ezDC^zLZ2*8gvXROq;zHd#1Op2Qd{5P!DfR3R0zIfUdY@wrqv6|$*9a#i_Us;COt zR42JZ`CN_vZE9jfQAAt|;Jgf68zZVB;yV1ME=H6^#P#@1eG+_Xz;7Co*j^)k)0o8e zn(&*Z7!O|g!Oi$hbBqUX`rsDOq~n%@B~f0YH`c9$$TEk+FgEc9kTv*8$88W0Z@2{7 zW#G0X$BxgjN1PFo1P1}or9cY-K&Ro3IPN5b77GQTQ5f;EIqu9CazR3_d{n#}$r;V( zxFgOOJ}w^N%?Wh`-kgSe;<%R(HkeT7jj`bme|#KY$Oj3H=Y!*YNzMd5#}9G*`SAFO zq`FDKq2U2IK3NFkBjl%$1#SpPIUS#hgo5}O`CyV0!dDlHIMWmf7s5z&)A{PcX$VbD zTp&W|A6^+`XupcKUfH^}^shsAUE^iG-(R>>H@azY2`8i`W3Tw}#p4cS>s(bk>NfpI z}YKr!yxHT*oclWz}je za;$C4h<1(mhWI6q-pz2_rH+*|BNfD_Z#8l*iW@fGdcnpxmgm%thKD9&?(y!n9ymEo z{oE#}2*dMIjA?UcUAcEA%;!i-VDn$c##HJqtY0MkwJPyac%eg;eoF4*9fkLGCSJY4 zyS^dYYk^{(nD3hnrjMT1HDpwLQSDB!uW4O-hhxiety(hYv+S}JSWcpa(RB4w7SG2` zQOogDpqu9FRldv_@>w;-;(XP~_0hXO3Yps|nmzecy7%~|Ugarkgc4B>oL6di`&5deq0CohcO%w;0x;N zWT8?z4p|!G3J}JxLW}N||Br}1UZ#&9RYf2wTSkRG}j|;aSk9hD&6Cg%CIAwz@C8ED=GG144<0H*dV|T zLF~H}TOPw_rZOH2uw(2|namp_%KzV?}13lz*s#x9Mq=cijowq4TJ|m}L=0QwrWLPwQzOCmBUqDtnVv0Sz|Z9=iy7~iEQaA5vK-D=fyoNX-6*2K3|qM*@T{@ zC(ZL7ZIG>u*=`;*jC;;%fONY2sqVWIN1Q0ZGty}LHTS2KF5&8Jp`V*58LP5PQ)e>1 z)QvIr_=NOhF`TSmdW?z0`{LbgWp(&mAEw5rt5aizI7Z#{S*;R^wvlg7o8Yew2z48b z?uvfGd9sL}+#xad*5^TUCgL;fSkFFJCbpMw=We6R#z>x-m#}iiGJIJPdtpn^)`*GR zGq&_yA0)H)Wia0F#&sreUd}GCX}-+Oeo2p;EvZvv{${2S{_#6^`rD(8_$aQ<7kcf+ z^_uui+>u4I?@D=ec#eiA!+TBjDPd8^#U9^Ou+*cwr(Ir$kMiM}J9WDXRj~#fm=#oG z9z4V-Q10Hl)!E-_ggq&NvED^;euf@)(;hxf`**uH)3- zsISK#;kugK<2xBARBBaN`DeKzzR%9$oEoJWG+}G6Kle31C{R?xZa)xSynAT5&uEXS z*zI+Bw`Sdso3G=5r~E-{I99ld-SJT*Qe(h@?gJh1+fswiSwl(Bs9dqvnW6_jNmw@+ z*$k4w|Lmky?@Bq#&MFbJl^pQwk4^iX?;iA8&W5p?OT^Ca6s^ydV4tHc)=|fgKHO7~ zxQzL!SRCulu7_fz1L#s4kBRO~mC0Ljg1tPWv_o0!Ugo$ALyd-|ZBkmo75*_7EK_$x zrm-{6gm;|U_4U}^<-CXcg{zn{7w;XIz}s|YgJvt1r!66VqVnK*^(7lH<*CaQ2dc|^ zl&j&PcNw~)JR(__Y(#i>xm8!(H*fC@T<2H=1w$t#imjO}dc8#A-pa?`HD7QSX~s*R zx1(53K8aP&;R@fK;*n>$b?=LBFm_bFnD1YryvGuk{oij^c!ig0ecYJV$dtV$epB3m z2T=^Kl4_YG+I~c4;>%HC?z4;sD-V^e-1lBcL%2zPf!0OM6U*0r{uIeL#J0b(Vpqr< zj^iQ@kw3i&L!MV?w7nKe& zSiIXJ-Im<1LfTV5-OPSkow!tY#~*{8ikL z=UG~Qz7u0*2Ey3b`C^8mqQR{aT6PLuw;8x`dAWW2KxTZCxboc0V2I*_ij?d*(Tfo> zHqx4&2L?WwtK8CV?SA=+#tf-AcP-tqquw|UX09@x!5%v7)#>$;FSMs0;CU2DZuv{v z&0a~=rkWnd3BgVtlWJZ&xv;*DEr%VnJebZt`XbuX8n@9CI&|l{G3$Arh@>yqtogyZ z60Bx$#YQN0x}aTr>r+wFXOi|iSDp-v!mo}Ix?K0ZjHOW{<`l^tuXq2U`P4j_uk&GS z@iy^G4Wd43k{(sFuh|6SPfl_(S>Korn&QqYN_-#+c}J;F4@8BNWo~vVW|TE%jZkK# z)u^yFG`4?nT(0GILG#gx#VyTUE7|w%*9EuMua#e2#yeOoHAzCc;FL_6>$;aW7=a1c zjwI1k&sE&wOJYe0LRq{|?sdlH2@2;Y#4oxW>AxU#j$z$J>G|t)-(GE*)iG<>)l*xB z9ip+{z0Gy~7WMS{w=-gD<}dLYAEvowlSyW3fZg|EnsrNf=D9VSL+V;zF6Z2L+jy)n z)w^u1Gq#PM)cM-w%6q5b+uq%&Pjo+b$ogFu=fR0h0Vkg=Y)j(?>#Pj=@O_|FIJ&XH zs%2l$w@WDGREX|wuv7?qIflYj@M{MYl!BWc4U;4&+Dk`G=t-|7<;Yd_q~Mh@kb^I@ zzaw{-v=>Us!7cfB(clmmYS?eXuwKgLI{-X~Q@%DMce90MtY zv4{(Kfy~3WbRn`|p7aZPzxU*a7_kZ~q83%i4f<`7LTJYm z{Xgp0?4zHbM?dPP^6O{%=lw*#X&?RkeEU&9m4`pmpZF8~_I>p8^YTaiRKEU9KgDgp#A9^3F`XM zcbL@2U*e=!pC%d&`hfPQ&k@x1qwfrKA`>SgX0JK2!cMS0(Y?FkhH*( zPwr%1z#rLy!TX2cFF^(7 zSsC@iQZtXxi3#JN4So>i)G@|3{`n%glhl_&(&WTGev#LWAN)$`<5ycBzhsa#@Qa`V zeyO5EQF9Y*H9lwS?e!~3+{3NJ=ygeyT-M^p>evw9)7!n&X$~EH)Yq=pA%hC8!ul5h2&<*c*@-u+cKb54R|I%Irc|3oG zQVb{cqss_^PW~B1!-#nXz94F^FPaSQ`%tLUA5lRxSubiVFbGgeaUtPIpAcK1pIBNl zJhcC%CF28Qi2|P?v;P+zMxn;~@=^Hcc~vh;On^_Izu@n0L6lctJ|$0~#*7dwB+N=_ zp(!H9N(+riI1RDpp_1`50@GpvuoM$xKH!DVG2vrj(2|LWLqcKr99WwX;b5!)eq%~P aX!snWASXh|7y`Nm{X?E&&G7MC+y4P$4|Vqd diff --git a/1ano/isd/quartus-projects/DecoderDemo/simulation/qsim/work/_vmake b/1ano/isd/quartus-projects/DecoderDemo/simulation/qsim/work/_vmake deleted file mode 100644 index 37aa36a..0000000 --- a/1ano/isd/quartus-projects/DecoderDemo/simulation/qsim/work/_vmake +++ /dev/null @@ -1,4 +0,0 @@ -m255 -K4 -z0 -cModel Technology diff --git a/1ano/isd/quartus-projects/MuxDemo/Mux16_1.bdf b/1ano/isd/quartus-projects/MuxDemo/Mux16_1.bdf index f48e5f5..3bd219b 100644 --- a/1ano/isd/quartus-projects/MuxDemo/Mux16_1.bdf +++ b/1ano/isd/quartus-projects/MuxDemo/Mux16_1.bdf @@ -22,7 +22,7 @@ https://fpgasoftware.intel.com/eula. (header "graphic" (version "1.4")) (pin (input) - (rect 120 160 288 176) + (rect 152 160 320 176) (text "INPUT" (rect 125 0 154 10)(font "Arial" (font_size 6))) (text "I0" (rect 5 0 16 13)(font "Intel Clear" )) (pt 168 8) @@ -38,7 +38,7 @@ https://fpgasoftware.intel.com/eula. ) (pin (input) - (rect 120 280 288 296) + (rect 152 256 320 272) (text "INPUT" (rect 125 0 154 10)(font "Arial" (font_size 6))) (text "I2" (rect 5 0 15 13)(font "Intel Clear" )) (pt 168 8) @@ -54,7 +54,7 @@ https://fpgasoftware.intel.com/eula. ) (pin (input) - (rect 120 296 288 312) + (rect 152 272 320 288) (text "INPUT" (rect 125 0 154 10)(font "Arial" (font_size 6))) (text "I3" (rect 5 0 15 13)(font "Intel Clear" )) (pt 168 8) @@ -70,7 +70,7 @@ https://fpgasoftware.intel.com/eula. ) (pin (input) - (rect 120 400 288 416) + (rect 152 352 320 368) (text "INPUT" (rect 125 0 154 10)(font "Arial" (font_size 6))) (text "I4" (rect 5 0 16 13)(font "Intel Clear" )) (pt 168 8) @@ -86,7 +86,7 @@ https://fpgasoftware.intel.com/eula. ) (pin (input) - (rect 120 416 288 432) + (rect 152 368 320 384) (text "INPUT" (rect 125 0 154 10)(font "Arial" (font_size 6))) (text "I5" (rect 5 0 15 13)(font "Intel Clear" )) (pt 168 8) @@ -102,7 +102,7 @@ https://fpgasoftware.intel.com/eula. ) (pin (input) - (rect 120 520 288 536) + (rect 152 448 320 464) (text "INPUT" (rect 125 0 154 10)(font "Arial" (font_size 6))) (text "I6" (rect 5 0 16 13)(font "Intel Clear" )) (pt 168 8) @@ -118,7 +118,7 @@ https://fpgasoftware.intel.com/eula. ) (pin (input) - (rect 120 536 288 552) + (rect 152 464 320 480) (text "INPUT" (rect 125 0 154 10)(font "Arial" (font_size 6))) (text "I7" (rect 5 0 15 13)(font "Intel Clear" )) (pt 168 8) @@ -134,7 +134,7 @@ https://fpgasoftware.intel.com/eula. ) (pin (input) - (rect 128 640 296 656) + (rect 152 544 320 560) (text "INPUT" (rect 125 0 154 10)(font "Arial" (font_size 6))) (text "I8" (rect 5 -2 16 11)(font "Intel Clear" )) (pt 168 8) @@ -150,7 +150,7 @@ https://fpgasoftware.intel.com/eula. ) (pin (input) - (rect 128 656 296 672) + (rect 152 560 320 576) (text "INPUT" (rect 125 0 154 10)(font "Arial" (font_size 6))) (text "I9" (rect 5 -2 15 11)(font "Intel Clear" )) (pt 168 8) @@ -166,7 +166,7 @@ https://fpgasoftware.intel.com/eula. ) (pin (input) - (rect 128 760 296 776) + (rect 152 640 320 656) (text "INPUT" (rect 125 0 154 10)(font "Arial" (font_size 6))) (text "I10" (rect 5 -2 22 11)(font "Intel Clear" )) (pt 168 8) @@ -182,7 +182,7 @@ https://fpgasoftware.intel.com/eula. ) (pin (input) - (rect 128 776 296 792) + (rect 152 656 320 672) (text "INPUT" (rect 125 0 154 10)(font "Arial" (font_size 6))) (text "I11" (rect 5 -2 21 11)(font "Intel Clear" )) (pt 168 8) @@ -198,7 +198,7 @@ https://fpgasoftware.intel.com/eula. ) (pin (input) - (rect 112 880 280 896) + (rect 152 736 320 752) (text "INPUT" (rect 125 0 154 10)(font "Arial" (font_size 6))) (text "I12" (rect 5 -2 21 11)(font "Intel Clear" )) (pt 168 8) @@ -214,7 +214,7 @@ https://fpgasoftware.intel.com/eula. ) (pin (input) - (rect 112 896 280 912) + (rect 152 752 320 768) (text "INPUT" (rect 125 0 154 10)(font "Arial" (font_size 6))) (text "I13" (rect 5 -2 21 11)(font "Intel Clear" )) (pt 168 8) @@ -230,7 +230,7 @@ https://fpgasoftware.intel.com/eula. ) (pin (input) - (rect 112 1000 280 1016) + (rect 152 832 320 848) (text "INPUT" (rect 125 0 154 10)(font "Arial" (font_size 6))) (text "I14" (rect 5 -2 22 11)(font "Intel Clear" )) (pt 168 8) @@ -246,7 +246,7 @@ https://fpgasoftware.intel.com/eula. ) (pin (input) - (rect 112 1016 280 1032) + (rect 152 848 320 864) (text "INPUT" (rect 125 0 154 10)(font "Arial" (font_size 6))) (text "I15" (rect 5 -2 21 11)(font "Intel Clear" )) (pt 168 8) @@ -262,7 +262,7 @@ https://fpgasoftware.intel.com/eula. ) (pin (input) - (rect 496 -64 512 104) + (rect 432 40 448 208) (text "INPUT" (rect 6 125 16 154)(font "Arial" (font_size 6))(vertical)) (text "Sel2" (rect 6 5 19 27)(font "Intel Clear" )(vertical)) (pt 8 168) @@ -279,24 +279,7 @@ https://fpgasoftware.intel.com/eula. ) (pin (input) - (rect 320 -56 336 112) - (text "INPUT" (rect 6 125 16 154)(font "Arial" (font_size 6))(vertical)) - (text "Sel1" (rect 5 5 16 28)(font "Arial" )(vertical)) - (pt 8 168) - (drawing - (line (pt 4 84)(pt 4 109)) - (line (pt 12 84)(pt 12 109)) - (line (pt 8 113)(pt 8 168)) - (line (pt 4 84)(pt 12 84)) - (line (pt 12 109)(pt 8 113)) - (line (pt 4 109)(pt 8 113)) - ) - (rotate270) - (text "VCC" (rect -1 128 9 149)(font "Arial" (font_size 6))(vertical)) -) -(pin - (input) - (rect 760 -64 776 104) + (rect 560 200 576 368) (text "INPUT" (rect 6 125 16 154)(font "Arial" (font_size 6))(vertical)) (text "Sel3" (rect 4 5 17 27)(font "Intel Clear" )(vertical)) (pt 8 168) @@ -313,7 +296,7 @@ https://fpgasoftware.intel.com/eula. ) (pin (input) - (rect 936 -64 952 104) + (rect 672 184 688 352) (text "INPUT" (rect 6 125 16 154)(font "Arial" (font_size 6))(vertical)) (text "Sel4" (rect 4 5 17 28)(font "Intel Clear" )(vertical)) (pt 8 168) @@ -330,9 +313,25 @@ https://fpgasoftware.intel.com/eula. ) (pin (input) - (rect 120 176 288 192) + (rect 152 144 320 160) (text "INPUT" (rect 125 0 154 10)(font "Arial" (font_size 6))) - (text "ze" (rect 5 0 18 13)(font "Intel Clear" )) + (text "Sel1" (rect 5 0 28 11)(font "Arial" )) + (pt 168 8) + (drawing + (line (pt 84 12)(pt 109 12)) + (line (pt 84 4)(pt 109 4)) + (line (pt 113 8)(pt 168 8)) + (line (pt 84 12)(pt 84 4)) + (line (pt 109 4)(pt 113 8)) + (line (pt 109 12)(pt 113 8)) + ) + (text "VCC" (rect 128 7 149 17)(font "Arial" (font_size 6))) +) +(pin + (input) + (rect 152 176 320 192) + (text "INPUT" (rect 125 0 154 10)(font "Arial" (font_size 6))) + (text "I1" (rect 5 0 16 13)(font "Intel Clear" )) (pt 168 8) (drawing (line (pt 84 12)(pt 109 12)) @@ -346,22 +345,23 @@ https://fpgasoftware.intel.com/eula. ) (pin (output) - (rect 1072 552 1248 568) - (text "OUTPUT" (rect 1 0 41 10)(font "Arial" (font_size 6))) - (text "pin_name1" (rect 90 0 146 11)(font "Arial" )) - (pt 0 8) + (rect 784 368 800 544) + (text "OUTPUT" (rect 6 1 16 41)(font "Arial" (font_size 6))(vertical)) + (text "Y" (rect 5 90 16 100)(font "Arial" )(vertical)) + (pt 8 0) (drawing - (line (pt 0 8)(pt 52 8)) - (line (pt 52 4)(pt 78 4)) - (line (pt 52 12)(pt 78 12)) - (line (pt 52 12)(pt 52 4)) - (line (pt 78 4)(pt 82 8)) - (line (pt 82 8)(pt 78 12)) - (line (pt 78 12)(pt 82 8)) + (line (pt 8 0)(pt 8 52)) + (line (pt 12 52)(pt 12 78)) + (line (pt 4 52)(pt 4 78)) + (line (pt 4 52)(pt 12 52)) + (line (pt 12 78)(pt 8 82)) + (line (pt 8 82)(pt 4 78)) + (line (pt 4 78)(pt 8 82)) ) + (rotate270) ) (symbol - (rect 376 120 472 216) + (rect 336 120 432 216) (text "Mux2_1" (rect 5 0 51 15)(font "Intel Clear" (font_size 8))) (text "inst" (rect 8 79 28 92)(font "Intel Clear" )) (port @@ -397,7 +397,7 @@ https://fpgasoftware.intel.com/eula. ) ) (symbol - (rect 376 240 472 336) + (rect 336 216 432 312) (text "Mux2_1" (rect 5 0 51 15)(font "Intel Clear" (font_size 8))) (text "inst1" (rect 8 79 32 92)(font "Intel Clear" )) (port @@ -433,7 +433,7 @@ https://fpgasoftware.intel.com/eula. ) ) (symbol - (rect 376 360 472 456) + (rect 336 312 432 408) (text "Mux2_1" (rect 5 0 51 15)(font "Intel Clear" (font_size 8))) (text "inst2" (rect 8 79 32 92)(font "Intel Clear" )) (port @@ -469,7 +469,7 @@ https://fpgasoftware.intel.com/eula. ) ) (symbol - (rect 376 480 472 576) + (rect 336 408 432 504) (text "Mux2_1" (rect 5 0 51 15)(font "Intel Clear" (font_size 8))) (text "inst3" (rect 8 79 32 92)(font "Intel Clear" )) (port @@ -505,7 +505,7 @@ https://fpgasoftware.intel.com/eula. ) ) (symbol - (rect 616 208 712 304) + (rect 456 184 552 280) (text "Mux2_1" (rect 5 0 51 15)(font "Intel Clear" (font_size 8))) (text "inst4" (rect 8 79 33 92)(font "Intel Clear" )) (port @@ -541,7 +541,7 @@ https://fpgasoftware.intel.com/eula. ) ) (symbol - (rect 616 448 712 544) + (rect 456 376 552 472) (text "Mux2_1" (rect 5 0 51 15)(font "Intel Clear" (font_size 8))) (text "inst5" (rect 8 79 32 92)(font "Intel Clear" )) (port @@ -577,7 +577,7 @@ https://fpgasoftware.intel.com/eula. ) ) (symbol - (rect 376 600 472 696) + (rect 336 504 432 600) (text "Mux2_1" (rect 5 0 51 15)(font "Intel Clear" (font_size 8))) (text "inst6" (rect 8 79 33 92)(font "Intel Clear" )) (port @@ -613,7 +613,7 @@ https://fpgasoftware.intel.com/eula. ) ) (symbol - (rect 376 720 472 816) + (rect 336 600 432 696) (text "Mux2_1" (rect 5 0 51 15)(font "Intel Clear" (font_size 8))) (text "inst7" (rect 8 79 32 92)(font "Intel Clear" )) (port @@ -649,7 +649,7 @@ https://fpgasoftware.intel.com/eula. ) ) (symbol - (rect 376 840 472 936) + (rect 336 696 432 792) (text "Mux2_1" (rect 5 0 51 15)(font "Intel Clear" (font_size 8))) (text "inst8" (rect 8 79 33 92)(font "Intel Clear" )) (port @@ -685,7 +685,7 @@ https://fpgasoftware.intel.com/eula. ) ) (symbol - (rect 376 960 472 1056) + (rect 336 792 432 888) (text "Mux2_1" (rect 5 0 51 15)(font "Intel Clear" (font_size 8))) (text "inst9" (rect 8 79 32 92)(font "Intel Clear" )) (port @@ -721,7 +721,7 @@ https://fpgasoftware.intel.com/eula. ) ) (symbol - (rect 616 688 712 784) + (rect 456 568 552 664) (text "Mux2_1" (rect 5 0 51 15)(font "Intel Clear" (font_size 8))) (text "inst10" (rect 8 79 41 92)(font "Intel Clear" )) (port @@ -757,7 +757,7 @@ https://fpgasoftware.intel.com/eula. ) ) (symbol - (rect 616 896 712 992) + (rect 456 680 552 776) (text "Mux2_1" (rect 5 0 51 15)(font "Intel Clear" (font_size 8))) (text "inst11" (rect 8 79 39 92)(font "Intel Clear" )) (port @@ -793,7 +793,7 @@ https://fpgasoftware.intel.com/eula. ) ) (symbol - (rect 808 328 904 424) + (rect 576 344 672 440) (text "Mux2_1" (rect 5 0 51 15)(font "Intel Clear" (font_size 8))) (text "inst12" (rect 8 79 39 92)(font "Intel Clear" )) (port @@ -829,7 +829,7 @@ https://fpgasoftware.intel.com/eula. ) ) (symbol - (rect 816 800 912 896) + (rect 576 648 672 744) (text "Mux2_1" (rect 5 0 51 15)(font "Intel Clear" (font_size 8))) (text "inst13" (rect 8 79 39 92)(font "Intel Clear" )) (port @@ -865,7 +865,7 @@ https://fpgasoftware.intel.com/eula. ) ) (symbol - (rect 976 528 1072 624) + (rect 688 328 784 424) (text "Mux2_1" (rect 5 0 51 15)(font "Intel Clear" (font_size 8))) (text "inst14" (rect 8 79 41 92)(font "Intel Clear" )) (port @@ -901,356 +901,317 @@ https://fpgasoftware.intel.com/eula. ) ) (connector - (pt 328 512) - (pt 376 512) -) -(connector - (pt 328 392) - (pt 376 392) -) -(connector - (pt 328 272) - (pt 376 272) + (pt 328 248) + (pt 336 248) ) (connector (pt 328 152) - (pt 376 152) + (pt 328 248) ) (connector - (pt 288 184) - (pt 376 184) + (pt 336 280) + (pt 320 280) ) (connector - (pt 288 168) - (pt 376 168) + (pt 336 264) + (pt 320 264) ) (connector - (pt 288 288) - (pt 376 288) + (pt 336 184) + (pt 320 184) ) (connector - (pt 288 304) - (pt 376 304) + (pt 336 168) + (pt 320 168) ) (connector - (pt 288 408) - (pt 376 408) + (pt 336 360) + (pt 320 360) ) (connector - (pt 288 424) - (pt 376 424) + (pt 336 376) + (pt 320 376) ) (connector - (pt 288 528) - (pt 376 528) + (pt 336 344) + (pt 328 344) ) (connector - (pt 288 544) - (pt 376 544) + (pt 328 248) + (pt 328 344) ) (connector - (pt 296 648) - (pt 376 648) + (pt 432 152) + (pt 448 152) ) (connector - (pt 296 664) - (pt 376 664) + (pt 440 216) + (pt 456 216) ) (connector - (pt 376 768) - (pt 296 768) + (pt 432 248) + (pt 456 248) ) (connector - (pt 296 784) - (pt 376 784) + (pt 448 232) + (pt 456 232) ) (connector - (pt 376 888) - (pt 280 888) + (pt 448 152) + (pt 448 232) ) (connector - (pt 376 904) - (pt 280 904) + (pt 336 456) + (pt 320 456) ) (connector - (pt 376 1008) - (pt 280 1008) + (pt 336 472) + (pt 320 472) ) (connector - (pt 376 1024) - (pt 280 1024) + (pt 336 440) + (pt 328 440) ) (connector - (pt 376 992) - (pt 328 992) + (pt 328 344) + (pt 328 440) ) (connector - (pt 328 872) - (pt 376 872) + (pt 320 552) + (pt 336 552) ) (connector - (pt 376 752) - (pt 328 752) + (pt 336 568) + (pt 320 568) ) (connector - (pt 376 632) + (pt 336 536) + (pt 328 536) +) +(connector + (pt 328 440) + (pt 328 536) +) +(connector + (pt 336 648) + (pt 320 648) +) +(connector + (pt 336 664) + (pt 320 664) +) +(connector + (pt 432 536) + (pt 448 536) +) +(connector + (pt 432 344) + (pt 448 344) +) +(connector + (pt 448 424) + (pt 456 424) +) +(connector + (pt 448 344) + (pt 448 424) +) +(connector + (pt 440 408) + (pt 456 408) +) +(connector + (pt 432 440) + (pt 456 440) +) +(connector + (pt 432 632) + (pt 456 632) +) +(connector + (pt 448 616) + (pt 456 616) +) +(connector + (pt 448 536) + (pt 448 616) +) +(connector + (pt 440 600) + (pt 456 600) +) +(connector + (pt 336 728) + (pt 328 728) +) +(connector + (pt 336 632) (pt 328 632) ) (connector - (pt 472 152) - (pt 560 152) + (pt 328 536) + (pt 328 632) ) (connector - (pt 504 480) - (pt 616 480) + (pt 336 744) + (pt 320 744) ) (connector - (pt 472 392) - (pt 568 392) + (pt 336 760) + (pt 320 760) ) (connector - (pt 568 392) - (pt 568 496) + (pt 328 824) + (pt 336 824) ) (connector - (pt 568 496) - (pt 616 496) + (pt 328 632) + (pt 328 728) ) (connector - (pt 472 512) - (pt 616 512) + (pt 328 728) + (pt 328 824) ) (connector - (pt 504 720) - (pt 616 720) + (pt 336 840) + (pt 320 840) ) (connector - (pt 472 752) - (pt 616 752) + (pt 336 856) + (pt 320 856) ) (connector - (pt 472 632) - (pt 560 632) + (pt 432 824) + (pt 448 824) ) (connector - (pt 560 632) - (pt 560 736) + (pt 440 712) + (pt 456 712) ) (connector - (pt 560 736) - (pt 616 736) + (pt 440 408) + (pt 440 600) ) (connector - (pt 472 872) - (pt 560 872) + (pt 440 600) + (pt 440 712) ) (connector - (pt 560 872) - (pt 560 944) + (pt 432 728) + (pt 456 728) ) (connector - (pt 560 944) - (pt 616 944) + (pt 448 824) + (pt 448 744) ) (connector - (pt 472 992) - (pt 560 992) + (pt 456 744) + (pt 448 744) ) (connector - (pt 560 992) - (pt 560 960) + (pt 552 600) + (pt 560 600) ) (connector - (pt 560 960) - (pt 616 960) + (pt 552 216) + (pt 560 216) ) (connector - (pt 616 928) - (pt 504 928) + (pt 560 216) + (pt 560 392) ) (connector - (pt 504 240) - (pt 616 240) + (pt 560 392) + (pt 576 392) ) (connector - (pt 560 152) - (pt 560 256) + (pt 552 408) + (pt 576 408) ) (connector - (pt 560 256) - (pt 616 256) + (pt 568 376) + (pt 576 376) ) (connector - (pt 472 272) - (pt 616 272) + (pt 568 680) + (pt 576 680) ) (connector - (pt 816 832) - (pt 768 832) + (pt 552 712) + (pt 576 712) ) (connector - (pt 808 360) - (pt 768 360) + (pt 560 696) + (pt 576 696) ) (connector - (pt 712 240) - (pt 736 240) + (pt 560 600) + (pt 560 696) ) (connector - (pt 736 240) - (pt 736 376) + (pt 672 680) + (pt 680 680) ) (connector - (pt 808 376) - (pt 736 376) + (pt 680 392) + (pt 688 392) ) (connector - (pt 712 480) - (pt 736 480) + (pt 680 680) + (pt 680 392) ) (connector - (pt 736 480) - (pt 736 392) + (pt 672 376) + (pt 688 376) ) (connector - (pt 808 392) - (pt 736 392) + (pt 784 360) + (pt 792 360) ) (connector - (pt 712 720) - (pt 736 720) -) -(connector - (pt 736 720) - (pt 736 848) -) -(connector - (pt 816 848) - (pt 736 848) -) -(connector - (pt 712 928) - (pt 736 928) -) -(connector - (pt 736 928) - (pt 736 864) -) -(connector - (pt 816 864) - (pt 736 864) -) -(connector - (pt 944 104) - (pt 944 560) -) -(connector - (pt 944 560) - (pt 976 560) -) -(connector - (pt 904 360) - (pt 920 360) -) -(connector - (pt 920 360) - (pt 920 576) -) -(connector - (pt 912 832) - (pt 920 832) -) -(connector - (pt 920 832) - (pt 920 592) -) -(connector - (pt 976 592) - (pt 920 592) -) -(connector - (pt 976 576) - (pt 920 576) -) -(connector - (pt 328 112) + (pt 320 152) (pt 328 152) ) (connector (pt 328 152) - (pt 328 272) + (pt 336 152) ) (connector - (pt 328 272) - (pt 328 392) + (pt 688 360) + (pt 680 360) ) (connector - (pt 328 992) - (pt 328 1048) + (pt 680 360) + (pt 680 352) ) (connector - (pt 328 872) - (pt 328 992) + (pt 568 368) + (pt 568 376) ) (connector - (pt 328 392) - (pt 328 512) + (pt 568 376) + (pt 568 680) ) (connector - (pt 328 512) - (pt 328 632) + (pt 440 208) + (pt 440 216) ) (connector - (pt 328 632) - (pt 328 752) + (pt 440 216) + (pt 440 408) ) (connector - (pt 328 752) - (pt 328 872) + (pt 792 368) + (pt 792 360) ) -(connector - (pt 504 104) - (pt 504 240) -) -(connector - (pt 504 240) - (pt 504 480) -) -(connector - (pt 504 480) - (pt 504 720) -) -(connector - (pt 504 720) - (pt 504 928) -) -(connector - (pt 504 928) - (pt 504 976) -) -(connector - (pt 768 104) - (pt 768 360) -) -(connector - (pt 768 360) - (pt 768 832) -) -(connector - (pt 768 832) - (pt 768 880) -) -(junction (pt 328 392)) -(junction (pt 328 272)) -(junction (pt 328 152)) -(junction (pt 328 512)) -(junction (pt 328 992)) -(junction (pt 328 872)) -(junction (pt 328 752)) +(junction (pt 328 248)) +(junction (pt 328 344)) +(junction (pt 440 216)) +(junction (pt 328 440)) +(junction (pt 328 536)) +(junction (pt 440 408)) +(junction (pt 440 600)) +(junction (pt 328 728)) (junction (pt 328 632)) -(junction (pt 504 480)) -(junction (pt 504 720)) -(junction (pt 504 240)) -(junction (pt 504 928)) -(junction (pt 768 360)) -(junction (pt 768 832)) +(junction (pt 568 376)) +(junction (pt 328 152)) diff --git a/1ano/isd/quartus-projects/MuxDemo/Waveform.vwf b/1ano/isd/quartus-projects/MuxDemo/Mux16_1.vwf similarity index 79% rename from 1ano/isd/quartus-projects/MuxDemo/Waveform.vwf rename to 1ano/isd/quartus-projects/MuxDemo/Mux16_1.vwf index 59d8a95..5cd2f3f 100644 --- a/1ano/isd/quartus-projects/MuxDemo/Waveform.vwf +++ b/1ano/isd/quartus-projects/MuxDemo/Mux16_1.vwf @@ -1,12 +1,12 @@ /* -quartus_eda --gen_testbench --tool=modelsim_oem --format=vhdl --write_settings_files=off MuxDemo -c MuxDemo --vector_source="/home/tiagorg/repos/uaveiro-leci/1ano/isd/quartus-projects/MuxDemo/Waveform.vwf" --testbench_file="/home/tiagorg/repos/uaveiro-leci/1ano/isd/quartus-projects/MuxDemo/simulation/qsim/Waveform.vwf.vht" -quartus_eda --gen_testbench --tool=modelsim_oem --format=vhdl --write_settings_files=off MuxDemo -c MuxDemo --vector_source="/home/tiagorg/repos/uaveiro-leci/1ano/isd/quartus-projects/MuxDemo/Waveform.vwf" --testbench_file="/home/tiagorg/repos/uaveiro-leci/1ano/isd/quartus-projects/MuxDemo/simulation/qsim/Waveform.vwf.vht" +quartus_eda --gen_testbench --tool=modelsim_oem --format=vhdl --write_settings_files=off MuxDemo -c MuxDemo --vector_source="/home/tiagorg/repos/uaveiro-leci/1ano/isd/quartus-projects/MuxDemo/Mux16_1.vwf" --testbench_file="/home/tiagorg/repos/uaveiro-leci/1ano/isd/quartus-projects/MuxDemo/simulation/qsim/Waveform1.vwf.vht" +quartus_eda --gen_testbench --tool=modelsim_oem --format=vhdl --write_settings_files=off MuxDemo -c MuxDemo --vector_source="/home/tiagorg/repos/uaveiro-leci/1ano/isd/quartus-projects/MuxDemo/Waveform1.vwf" --testbench_file="/home/tiagorg/repos/uaveiro-leci/1ano/isd/quartus-projects/MuxDemo/simulation/qsim/Waveform1.vwf.vht" quartus_eda --write_settings_files=off --simulation --functional=on --flatten_buses=off --tool=modelsim_oem --format=vhdl --output_directory="/home/tiagorg/repos/uaveiro-leci/1ano/isd/quartus-projects/MuxDemo/simulation/qsim/" MuxDemo -c MuxDemo quartus_eda --write_settings_files=off --simulation --functional=off --flatten_buses=off --timescale=1ps --tool=modelsim_oem --format=vhdl --output_directory="/home/tiagorg/repos/uaveiro-leci/1ano/isd/quartus-projects/MuxDemo/simulation/qsim/" MuxDemo -c MuxDemo onerror {exit -code 1} vlib work vcom -work work MuxDemo.vho -vcom -work work Waveform.vwf.vht +vcom -work work Waveform1.vwf.vht vsim -c -t 1ps -L cycloneive -L altera -L altera_mf -L 220model -L sgate -L altera_lnsim work.Mux16_1_vhd_vec_tst vcd file -direction MuxDemo.msim.vcd vcd add -internal Mux16_1_vhd_vec_tst/* @@ -24,7 +24,7 @@ quit -f onerror {exit -code 1} vlib work vcom -work work MuxDemo.vho -vcom -work work Waveform.vwf.vht +vcom -work work Waveform1.vwf.vht vsim -novopt -c -t 1ps -sdfmax Mux16_1_vhd_vec_tst/i1=MuxDemo_vhd.sdo -L cycloneive -L altera -L altera_mf -L 220model -L sgate -L altera_lnsim work.Mux16_1_vhd_vec_tst vcd file -direction MuxDemo.msim.vcd vcd add -internal Mux16_1_vhd_vec_tst/* @@ -86,16 +86,6 @@ SIGNAL("I0") PARENT = ""; } -SIGNAL("I1") -{ - VALUE_TYPE = NINE_LEVEL_BIT; - SIGNAL_TYPE = SINGLE_BIT; - WIDTH = 1; - LSB_INDEX = -1; - DIRECTION = INPUT; - PARENT = ""; -} - SIGNAL("I2") { VALUE_TYPE = NINE_LEVEL_BIT; @@ -236,16 +226,6 @@ SIGNAL("I15") PARENT = ""; } -SIGNAL("pin_name1") -{ - VALUE_TYPE = NINE_LEVEL_BIT; - SIGNAL_TYPE = SINGLE_BIT; - WIDTH = 1; - LSB_INDEX = -1; - DIRECTION = OUTPUT; - PARENT = ""; -} - SIGNAL("Sel1") { VALUE_TYPE = NINE_LEVEL_BIT; @@ -286,12 +266,17 @@ SIGNAL("Sel4") PARENT = ""; } -GROUP("Entradas") +SIGNAL("Y") { - MEMBERS = "I0", "I1", "I2", "I3", "I4", "I5", "I6", "I7", "I8", "I9", "I10", "I11", "I12", "I13", "I14", "I15"; + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = OUTPUT; + PARENT = ""; } -SIGNAL("ze") +SIGNAL("I1") { VALUE_TYPE = NINE_LEVEL_BIT; SIGNAL_TYPE = SINGLE_BIT; @@ -309,207 +294,103 @@ TRANSITION_LIST("I0") LEVEL 1 FOR 5.0; LEVEL 0 FOR 5.0; LEVEL 1 FOR 5.0; - LEVEL 0 FOR 20.0; - LEVEL 1 FOR 5.0; LEVEL 0 FOR 5.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 20.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 10.0; - LEVEL 1 FOR 25.0; - LEVEL 0 FOR 40.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 15.0; LEVEL 1 FOR 10.0; LEVEL 0 FOR 20.0; + LEVEL 1 FOR 20.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 15.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 15.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 15.0; LEVEL 1 FOR 15.0; LEVEL 0 FOR 10.0; LEVEL 1 FOR 5.0; - LEVEL 0 FOR 5.0; + LEVEL 0 FOR 20.0; LEVEL 1 FOR 15.0; LEVEL 0 FOR 5.0; LEVEL 1 FOR 20.0; + LEVEL 0 FOR 25.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 15.0; LEVEL 0 FOR 5.0; LEVEL 1 FOR 5.0; - LEVEL 0 FOR 25.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 5.0; LEVEL 1 FOR 5.0; LEVEL 0 FOR 25.0; LEVEL 1 FOR 10.0; LEVEL 0 FOR 5.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 35.0; LEVEL 1 FOR 10.0; LEVEL 0 FOR 5.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 5.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 35.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 5.0; - LEVEL 1 FOR 10.0; - LEVEL 0 FOR 20.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 10.0; - LEVEL 1 FOR 15.0; - LEVEL 0 FOR 20.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 10.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 10.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 15.0; - LEVEL 1 FOR 10.0; - LEVEL 0 FOR 5.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 5.0; - LEVEL 1 FOR 30.0; - LEVEL 0 FOR 5.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 5.0; - LEVEL 1 FOR 10.0; - LEVEL 0 FOR 5.0; - LEVEL 1 FOR 20.0; - LEVEL 0 FOR 5.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 5.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 10.0; LEVEL 1 FOR 10.0; LEVEL 0 FOR 5.0; LEVEL 1 FOR 5.0; LEVEL 0 FOR 10.0; - LEVEL 1 FOR 30.0; - LEVEL 0 FOR 10.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 15.0; - LEVEL 1 FOR 10.0; - LEVEL 0 FOR 5.0; - LEVEL 1 FOR 10.0; - LEVEL 0 FOR 10.0; - LEVEL 1 FOR 15.0; - LEVEL 0 FOR 15.0; - LEVEL 1 FOR 10.0; - LEVEL 0 FOR 5.0; - LEVEL 1 FOR 10.0; - LEVEL 0 FOR 5.0; - LEVEL 1 FOR 15.0; - LEVEL 0 FOR 10.0; - LEVEL 1 FOR 15.0; - LEVEL 0 FOR 10.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 20.0; - LEVEL 1 FOR 20.0; - LEVEL 0 FOR 5.0; - } -} - -TRANSITION_LIST("I1") -{ - NODE - { - REPEAT = 1; - LEVEL 1 FOR 20.0; - LEVEL 0 FOR 10.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 5.0; - LEVEL 1 FOR 10.0; - LEVEL 0 FOR 5.0; - LEVEL 1 FOR 10.0; - LEVEL 0 FOR 10.0; - LEVEL 1 FOR 10.0; - LEVEL 0 FOR 5.0; - LEVEL 1 FOR 10.0; - LEVEL 0 FOR 15.0; - LEVEL 1 FOR 10.0; - LEVEL 0 FOR 5.0; - LEVEL 1 FOR 15.0; - LEVEL 0 FOR 10.0; - LEVEL 1 FOR 10.0; - LEVEL 0 FOR 5.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 5.0; - LEVEL 1 FOR 10.0; - LEVEL 0 FOR 5.0; - LEVEL 1 FOR 15.0; - LEVEL 0 FOR 10.0; - LEVEL 1 FOR 10.0; - LEVEL 0 FOR 10.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 5.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 5.0; - LEVEL 1 FOR 20.0; - LEVEL 0 FOR 5.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 5.0; LEVEL 1 FOR 5.0; LEVEL 0 FOR 5.0; LEVEL 1 FOR 15.0; LEVEL 0 FOR 5.0; LEVEL 1 FOR 10.0; - LEVEL 0 FOR 20.0; - LEVEL 1 FOR 10.0; - LEVEL 0 FOR 15.0; + LEVEL 0 FOR 5.0; LEVEL 1 FOR 5.0; - LEVEL 0 FOR 5.0; + LEVEL 0 FOR 10.0; LEVEL 1 FOR 10.0; - LEVEL 0 FOR 5.0; - LEVEL 1 FOR 10.0; - LEVEL 0 FOR 5.0; - LEVEL 1 FOR 10.0; - LEVEL 0 FOR 5.0; - LEVEL 1 FOR 10.0; - LEVEL 0 FOR 15.0; - LEVEL 1 FOR 5.0; LEVEL 0 FOR 10.0; LEVEL 1 FOR 25.0; LEVEL 0 FOR 5.0; - LEVEL 1 FOR 10.0; - LEVEL 0 FOR 5.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 20.0; - LEVEL 1 FOR 15.0; - LEVEL 0 FOR 10.0; LEVEL 1 FOR 5.0; LEVEL 0 FOR 5.0; - LEVEL 1 FOR 10.0; - LEVEL 0 FOR 5.0; - LEVEL 1 FOR 10.0; - LEVEL 0 FOR 5.0; - LEVEL 1 FOR 10.0; - LEVEL 0 FOR 15.0; - LEVEL 1 FOR 25.0; - LEVEL 0 FOR 35.0; - LEVEL 1 FOR 15.0; - LEVEL 0 FOR 10.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 5.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 5.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 10.0; - LEVEL 1 FOR 15.0; - LEVEL 0 FOR 5.0; - LEVEL 1 FOR 10.0; - LEVEL 0 FOR 10.0; - LEVEL 1 FOR 20.0; - LEVEL 0 FOR 5.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 5.0; - LEVEL 1 FOR 10.0; - LEVEL 0 FOR 20.0; LEVEL 1 FOR 20.0; LEVEL 0 FOR 10.0; + LEVEL 1 FOR 15.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 15.0; + LEVEL 0 FOR 5.0; LEVEL 1 FOR 5.0; LEVEL 0 FOR 5.0; - LEVEL 1 FOR 10.0; - LEVEL 0 FOR 15.0; + LEVEL 1 FOR 50.0; + LEVEL 0 FOR 10.0; LEVEL 1 FOR 10.0; LEVEL 0 FOR 5.0; LEVEL 1 FOR 5.0; - LEVEL 0 FOR 15.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 15.0; + LEVEL 0 FOR 30.0; + LEVEL 1 FOR 30.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 5.0; LEVEL 1 FOR 5.0; LEVEL 0 FOR 5.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 25.0; LEVEL 1 FOR 5.0; LEVEL 0 FOR 5.0; } @@ -520,71 +401,35 @@ TRANSITION_LIST("I2") NODE { REPEAT = 1; - LEVEL 0 FOR 5.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 10.0; - LEVEL 1 FOR 10.0; - LEVEL 0 FOR 20.0; - LEVEL 1 FOR 5.0; LEVEL 0 FOR 15.0; LEVEL 1 FOR 5.0; LEVEL 0 FOR 5.0; LEVEL 1 FOR 10.0; - LEVEL 0 FOR 20.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 10.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 10.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 5.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 25.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 5.0; - LEVEL 1 FOR 10.0; LEVEL 0 FOR 15.0; LEVEL 1 FOR 10.0; - LEVEL 0 FOR 5.0; - LEVEL 1 FOR 10.0; - LEVEL 0 FOR 10.0; + LEVEL 0 FOR 15.0; LEVEL 1 FOR 25.0; - LEVEL 0 FOR 20.0; - LEVEL 1 FOR 35.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 5.0; LEVEL 0 FOR 10.0; LEVEL 1 FOR 10.0; LEVEL 0 FOR 5.0; - LEVEL 1 FOR 10.0; - LEVEL 0 FOR 40.0; - LEVEL 1 FOR 10.0; - LEVEL 0 FOR 5.0; LEVEL 1 FOR 5.0; LEVEL 0 FOR 15.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 5.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 15.0; - LEVEL 1 FOR 10.0; - LEVEL 0 FOR 5.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 20.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 5.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 5.0; LEVEL 1 FOR 15.0; LEVEL 0 FOR 15.0; LEVEL 1 FOR 5.0; LEVEL 0 FOR 15.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 20.0; LEVEL 1 FOR 15.0; - LEVEL 0 FOR 5.0; - LEVEL 1 FOR 10.0; - LEVEL 0 FOR 15.0; - LEVEL 1 FOR 5.0; LEVEL 0 FOR 35.0; - LEVEL 1 FOR 5.0; + LEVEL 1 FOR 15.0; LEVEL 0 FOR 5.0; + LEVEL 1 FOR 15.0; + LEVEL 0 FOR 30.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 10.0; LEVEL 1 FOR 5.0; LEVEL 0 FOR 5.0; LEVEL 1 FOR 5.0; @@ -592,30 +437,69 @@ TRANSITION_LIST("I2") LEVEL 1 FOR 15.0; LEVEL 0 FOR 10.0; LEVEL 1 FOR 10.0; - LEVEL 0 FOR 20.0; + LEVEL 0 FOR 5.0; LEVEL 1 FOR 15.0; - LEVEL 0 FOR 10.0; - LEVEL 1 FOR 15.0; - LEVEL 0 FOR 10.0; + LEVEL 0 FOR 5.0; LEVEL 1 FOR 5.0; LEVEL 0 FOR 5.0; + LEVEL 1 FOR 15.0; + LEVEL 0 FOR 5.0; LEVEL 1 FOR 5.0; LEVEL 0 FOR 10.0; LEVEL 1 FOR 5.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 15.0; LEVEL 0 FOR 5.0; LEVEL 1 FOR 20.0; LEVEL 0 FOR 5.0; - LEVEL 1 FOR 10.0; - LEVEL 0 FOR 15.0; LEVEL 1 FOR 5.0; - LEVEL 0 FOR 20.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 15.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 15.0; + LEVEL 1 FOR 15.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 15.0; + LEVEL 0 FOR 5.0; LEVEL 1 FOR 10.0; LEVEL 0 FOR 15.0; LEVEL 1 FOR 10.0; LEVEL 0 FOR 5.0; LEVEL 1 FOR 5.0; LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 10.0; LEVEL 1 FOR 10.0; + LEVEL 0 FOR 15.0; + LEVEL 1 FOR 30.0; + LEVEL 0 FOR 35.0; + LEVEL 1 FOR 15.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 15.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 30.0; + LEVEL 0 FOR 10.0; } } @@ -624,89 +508,75 @@ TRANSITION_LIST("I3") NODE { REPEAT = 1; - LEVEL 0 FOR 10.0; - LEVEL 1 FOR 15.0; - LEVEL 0 FOR 5.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 5.0; - LEVEL 1 FOR 5.0; LEVEL 0 FOR 25.0; LEVEL 1 FOR 5.0; LEVEL 0 FOR 5.0; - LEVEL 1 FOR 45.0; - LEVEL 0 FOR 20.0; - LEVEL 1 FOR 15.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; LEVEL 0 FOR 10.0; LEVEL 1 FOR 10.0; LEVEL 0 FOR 5.0; - LEVEL 1 FOR 10.0; - LEVEL 0 FOR 5.0; - LEVEL 1 FOR 10.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 20.0; + LEVEL 1 FOR 5.0; LEVEL 0 FOR 5.0; LEVEL 1 FOR 5.0; + LEVEL 0 FOR 15.0; + LEVEL 1 FOR 5.0; LEVEL 0 FOR 5.0; LEVEL 1 FOR 25.0; - LEVEL 0 FOR 5.0; - LEVEL 1 FOR 10.0; LEVEL 0 FOR 10.0; - LEVEL 1 FOR 15.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; LEVEL 0 FOR 20.0; LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 25.0; LEVEL 0 FOR 10.0; LEVEL 1 FOR 5.0; - LEVEL 0 FOR 10.0; + LEVEL 0 FOR 5.0; LEVEL 1 FOR 10.0; + LEVEL 0 FOR 20.0; + LEVEL 1 FOR 25.0; LEVEL 0 FOR 10.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 25.0; LEVEL 1 FOR 20.0; LEVEL 0 FOR 10.0; LEVEL 1 FOR 5.0; - LEVEL 0 FOR 10.0; - LEVEL 1 FOR 25.0; - LEVEL 0 FOR 15.0; - LEVEL 1 FOR 15.0; LEVEL 0 FOR 5.0; LEVEL 1 FOR 5.0; - LEVEL 0 FOR 10.0; - LEVEL 1 FOR 5.0; LEVEL 0 FOR 5.0; LEVEL 1 FOR 10.0; - LEVEL 0 FOR 5.0; - LEVEL 1 FOR 15.0; - LEVEL 0 FOR 10.0; - LEVEL 1 FOR 35.0; - LEVEL 0 FOR 10.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 10.0; - LEVEL 1 FOR 15.0; LEVEL 0 FOR 15.0; LEVEL 1 FOR 5.0; - LEVEL 0 FOR 10.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 15.0; - LEVEL 1 FOR 15.0; LEVEL 0 FOR 5.0; LEVEL 1 FOR 5.0; LEVEL 0 FOR 5.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 15.0; - LEVEL 1 FOR 15.0; - LEVEL 0 FOR 5.0; - LEVEL 1 FOR 10.0; - LEVEL 0 FOR 10.0; - LEVEL 1 FOR 10.0; - LEVEL 0 FOR 5.0; - LEVEL 1 FOR 10.0; - LEVEL 0 FOR 10.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 5.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 10.0; LEVEL 1 FOR 20.0; LEVEL 0 FOR 5.0; LEVEL 1 FOR 5.0; LEVEL 0 FOR 20.0; LEVEL 1 FOR 5.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 15.0; LEVEL 0 FOR 5.0; + LEVEL 1 FOR 20.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 20.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 15.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 10.0; LEVEL 1 FOR 15.0; LEVEL 0 FOR 5.0; LEVEL 1 FOR 5.0; @@ -715,16 +585,31 @@ TRANSITION_LIST("I3") LEVEL 0 FOR 5.0; LEVEL 1 FOR 5.0; LEVEL 0 FOR 5.0; + LEVEL 1 FOR 15.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 30.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 30.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 55.0; LEVEL 1 FOR 10.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 5.0; LEVEL 0 FOR 5.0; LEVEL 1 FOR 5.0; LEVEL 0 FOR 5.0; LEVEL 1 FOR 5.0; - LEVEL 0 FOR 10.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 10.0; + LEVEL 0 FOR 5.0; LEVEL 1 FOR 10.0; - LEVEL 0 FOR 10.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; } } @@ -733,96 +618,108 @@ TRANSITION_LIST("I4") NODE { REPEAT = 1; - LEVEL 0 FOR 5.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 5.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 5.0; - LEVEL 1 FOR 15.0; - LEVEL 0 FOR 10.0; - LEVEL 1 FOR 20.0; - LEVEL 0 FOR 5.0; - LEVEL 1 FOR 5.0; LEVEL 0 FOR 25.0; - LEVEL 1 FOR 15.0; - LEVEL 0 FOR 5.0; - LEVEL 1 FOR 15.0; - LEVEL 0 FOR 10.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 5.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 10.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 15.0; - LEVEL 1 FOR 10.0; - LEVEL 0 FOR 45.0; LEVEL 1 FOR 5.0; LEVEL 0 FOR 5.0; LEVEL 1 FOR 5.0; LEVEL 0 FOR 5.0; - LEVEL 1 FOR 15.0; - LEVEL 0 FOR 25.0; - LEVEL 1 FOR 10.0; - LEVEL 0 FOR 25.0; - LEVEL 1 FOR 15.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; LEVEL 0 FOR 10.0; LEVEL 1 FOR 10.0; - LEVEL 0 FOR 35.0; - LEVEL 1 FOR 15.0; LEVEL 0 FOR 5.0; LEVEL 1 FOR 5.0; - LEVEL 0 FOR 5.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 20.0; LEVEL 1 FOR 5.0; LEVEL 0 FOR 5.0; LEVEL 1 FOR 5.0; LEVEL 0 FOR 15.0; LEVEL 1 FOR 5.0; - LEVEL 0 FOR 15.0; - LEVEL 1 FOR 10.0; - LEVEL 0 FOR 10.0; - LEVEL 1 FOR 15.0; - LEVEL 0 FOR 5.0; - LEVEL 1 FOR 20.0; LEVEL 0 FOR 5.0; LEVEL 1 FOR 25.0; LEVEL 0 FOR 10.0; LEVEL 1 FOR 5.0; LEVEL 0 FOR 5.0; - LEVEL 1 FOR 20.0; - LEVEL 0 FOR 5.0; LEVEL 1 FOR 5.0; - LEVEL 0 FOR 10.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 5.0; - LEVEL 1 FOR 10.0; - LEVEL 0 FOR 10.0; - LEVEL 1 FOR 10.0; LEVEL 0 FOR 20.0; LEVEL 1 FOR 5.0; LEVEL 0 FOR 5.0; + LEVEL 1 FOR 25.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; LEVEL 1 FOR 10.0; + LEVEL 0 FOR 20.0; + LEVEL 1 FOR 25.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 25.0; + LEVEL 1 FOR 20.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 15.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 20.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 20.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 15.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 20.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 20.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 15.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 15.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 15.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 15.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 5.0; LEVEL 0 FOR 30.0; LEVEL 1 FOR 5.0; - LEVEL 0 FOR 25.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 10.0; - LEVEL 1 FOR 10.0; LEVEL 0 FOR 5.0; - LEVEL 1 FOR 25.0; + LEVEL 1 FOR 30.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 55.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 5.0; LEVEL 0 FOR 5.0; LEVEL 1 FOR 10.0; - LEVEL 0 FOR 15.0; - LEVEL 1 FOR 10.0; LEVEL 0 FOR 10.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; LEVEL 1 FOR 10.0; - LEVEL 0 FOR 20.0; + LEVEL 0 FOR 5.0; LEVEL 1 FOR 5.0; - LEVEL 0 FOR 20.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 25.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 10.0; - LEVEL 1 FOR 10.0; } } @@ -831,96 +728,108 @@ TRANSITION_LIST("I5") NODE { REPEAT = 1; + LEVEL 0 FOR 25.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; LEVEL 0 FOR 10.0; LEVEL 1 FOR 5.0; - LEVEL 0 FOR 25.0; - LEVEL 1 FOR 10.0; - LEVEL 0 FOR 40.0; + LEVEL 0 FOR 20.0; LEVEL 1 FOR 5.0; LEVEL 0 FOR 5.0; LEVEL 1 FOR 5.0; LEVEL 0 FOR 15.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; LEVEL 1 FOR 25.0; LEVEL 0 FOR 10.0; LEVEL 1 FOR 5.0; LEVEL 0 FOR 5.0; LEVEL 1 FOR 5.0; - LEVEL 0 FOR 15.0; - LEVEL 1 FOR 10.0; - LEVEL 0 FOR 5.0; - LEVEL 1 FOR 10.0; - LEVEL 0 FOR 15.0; - LEVEL 1 FOR 30.0; - LEVEL 0 FOR 25.0; - LEVEL 1 FOR 15.0; - LEVEL 0 FOR 5.0; - LEVEL 1 FOR 10.0; - LEVEL 0 FOR 5.0; - LEVEL 1 FOR 10.0; LEVEL 0 FOR 20.0; LEVEL 1 FOR 5.0; LEVEL 0 FOR 5.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 5.0; - LEVEL 1 FOR 15.0; - LEVEL 0 FOR 20.0; - LEVEL 1 FOR 10.0; - LEVEL 0 FOR 5.0; - LEVEL 1 FOR 10.0; - LEVEL 0 FOR 5.0; - LEVEL 1 FOR 15.0; - LEVEL 0 FOR 5.0; - LEVEL 1 FOR 10.0; - LEVEL 0 FOR 10.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 10.0; - LEVEL 1 FOR 15.0; - LEVEL 0 FOR 35.0; - LEVEL 1 FOR 20.0; - LEVEL 0 FOR 20.0; - LEVEL 1 FOR 10.0; - LEVEL 0 FOR 5.0; - LEVEL 1 FOR 10.0; - LEVEL 0 FOR 5.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 5.0; - LEVEL 1 FOR 15.0; - LEVEL 0 FOR 5.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 10.0; - LEVEL 1 FOR 10.0; - LEVEL 0 FOR 5.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 25.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 10.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 10.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 25.0; - LEVEL 1 FOR 15.0; - LEVEL 0 FOR 15.0; - LEVEL 1 FOR 10.0; - LEVEL 0 FOR 5.0; - LEVEL 1 FOR 15.0; - LEVEL 0 FOR 5.0; - LEVEL 1 FOR 10.0; - LEVEL 0 FOR 5.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 10.0; - LEVEL 1 FOR 10.0; - LEVEL 0 FOR 15.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 15.0; - LEVEL 1 FOR 20.0; - LEVEL 0 FOR 5.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 5.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 5.0; LEVEL 1 FOR 25.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 20.0; + LEVEL 1 FOR 25.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 25.0; + LEVEL 1 FOR 20.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 15.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 20.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 20.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 15.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 20.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 20.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 15.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 15.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 15.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 15.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 30.0; + LEVEL 1 FOR 5.0; LEVEL 0 FOR 5.0; LEVEL 1 FOR 30.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 55.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; } } @@ -929,120 +838,111 @@ TRANSITION_LIST("I6") NODE { REPEAT = 1; - LEVEL 1 FOR 20.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 15.0; + LEVEL 1 FOR 5.0; LEVEL 0 FOR 10.0; LEVEL 1 FOR 5.0; LEVEL 0 FOR 5.0; LEVEL 1 FOR 15.0; - LEVEL 0 FOR 5.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 10.0; - LEVEL 1 FOR 45.0; - LEVEL 0 FOR 10.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 5.0; - LEVEL 1 FOR 20.0; - LEVEL 0 FOR 5.0; - LEVEL 1 FOR 10.0; - LEVEL 0 FOR 10.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 10.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 5.0; - LEVEL 1 FOR 10.0; - LEVEL 0 FOR 5.0; - LEVEL 1 FOR 10.0; - LEVEL 0 FOR 5.0; - LEVEL 1 FOR 10.0; - LEVEL 0 FOR 5.0; - LEVEL 1 FOR 10.0; - LEVEL 0 FOR 5.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 5.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 5.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 5.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 10.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 5.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 5.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 10.0; + LEVEL 0 FOR 30.0; LEVEL 1 FOR 5.0; LEVEL 0 FOR 15.0; - LEVEL 1 FOR 20.0; - LEVEL 0 FOR 5.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 10.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 10.0; LEVEL 1 FOR 10.0; - LEVEL 0 FOR 10.0; - LEVEL 1 FOR 20.0; - LEVEL 0 FOR 10.0; - LEVEL 1 FOR 5.0; LEVEL 0 FOR 15.0; LEVEL 1 FOR 5.0; LEVEL 0 FOR 5.0; LEVEL 1 FOR 5.0; - LEVEL 0 FOR 5.0; - LEVEL 1 FOR 10.0; - LEVEL 0 FOR 5.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 10.0; - LEVEL 1 FOR 10.0; - LEVEL 0 FOR 5.0; - LEVEL 1 FOR 10.0; LEVEL 0 FOR 10.0; LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 15.0; LEVEL 0 FOR 10.0; + LEVEL 1 FOR 20.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 15.0; + LEVEL 0 FOR 45.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; LEVEL 1 FOR 25.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 15.0; LEVEL 0 FOR 5.0; LEVEL 1 FOR 10.0; LEVEL 0 FOR 5.0; LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 15.0; + LEVEL 1 FOR 5.0; LEVEL 0 FOR 10.0; - LEVEL 1 FOR 10.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 15.0; LEVEL 0 FOR 10.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 25.0; - LEVEL 1 FOR 10.0; - LEVEL 0 FOR 5.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 5.0; - LEVEL 1 FOR 10.0; + LEVEL 1 FOR 15.0; LEVEL 0 FOR 10.0; LEVEL 1 FOR 5.0; LEVEL 0 FOR 15.0; + LEVEL 1 FOR 15.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 15.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 15.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 15.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 35.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; LEVEL 1 FOR 20.0; - LEVEL 0 FOR 15.0; + LEVEL 0 FOR 5.0; LEVEL 1 FOR 5.0; - LEVEL 0 FOR 20.0; + LEVEL 0 FOR 5.0; LEVEL 1 FOR 5.0; - LEVEL 0 FOR 10.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 10.0; + LEVEL 0 FOR 5.0; LEVEL 1 FOR 5.0; LEVEL 0 FOR 5.0; LEVEL 1 FOR 5.0; LEVEL 0 FOR 5.0; LEVEL 1 FOR 5.0; LEVEL 0 FOR 10.0; - LEVEL 1 FOR 10.0; - LEVEL 0 FOR 15.0; + LEVEL 1 FOR 35.0; + LEVEL 0 FOR 5.0; LEVEL 1 FOR 20.0; LEVEL 0 FOR 5.0; LEVEL 1 FOR 10.0; - LEVEL 0 FOR 5.0; - LEVEL 1 FOR 5.0; + LEVEL 0 FOR 15.0; + LEVEL 1 FOR 15.0; LEVEL 0 FOR 10.0; LEVEL 1 FOR 5.0; - LEVEL 0 FOR 10.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 5.0; } } @@ -1052,97 +952,110 @@ TRANSITION_LIST("I7") { REPEAT = 1; LEVEL 1 FOR 5.0; - LEVEL 0 FOR 20.0; - LEVEL 1 FOR 15.0; LEVEL 0 FOR 10.0; - LEVEL 1 FOR 15.0; - LEVEL 0 FOR 10.0; - LEVEL 1 FOR 40.0; - LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 15.0; LEVEL 1 FOR 5.0; LEVEL 0 FOR 10.0; LEVEL 1 FOR 5.0; LEVEL 0 FOR 5.0; - LEVEL 1 FOR 10.0; - LEVEL 0 FOR 5.0; - LEVEL 1 FOR 10.0; - LEVEL 0 FOR 5.0; + LEVEL 1 FOR 15.0; + LEVEL 0 FOR 30.0; LEVEL 1 FOR 5.0; LEVEL 0 FOR 15.0; LEVEL 1 FOR 10.0; - LEVEL 0 FOR 20.0; - LEVEL 1 FOR 15.0; - LEVEL 0 FOR 20.0; - LEVEL 1 FOR 15.0; - LEVEL 0 FOR 5.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 10.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 5.0; - LEVEL 1 FOR 15.0; - LEVEL 0 FOR 5.0; - LEVEL 1 FOR 15.0; - LEVEL 0 FOR 5.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 5.0; - LEVEL 1 FOR 40.0; - LEVEL 0 FOR 5.0; - LEVEL 1 FOR 10.0; - LEVEL 0 FOR 5.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 10.0; - LEVEL 1 FOR 10.0; - LEVEL 0 FOR 5.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 5.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 10.0; - LEVEL 1 FOR 10.0; - LEVEL 0 FOR 10.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 5.0; - LEVEL 1 FOR 25.0; - LEVEL 0 FOR 15.0; - LEVEL 1 FOR 10.0; - LEVEL 0 FOR 5.0; - LEVEL 1 FOR 70.0; - LEVEL 0 FOR 5.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 10.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 5.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 5.0; - LEVEL 1 FOR 40.0; - LEVEL 0 FOR 10.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 10.0; - LEVEL 1 FOR 15.0; - LEVEL 0 FOR 5.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 5.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 5.0; - LEVEL 1 FOR 25.0; - LEVEL 0 FOR 5.0; - LEVEL 1 FOR 10.0; - LEVEL 0 FOR 40.0; - LEVEL 1 FOR 10.0; - LEVEL 0 FOR 10.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 10.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 10.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 5.0; - LEVEL 1 FOR 25.0; - LEVEL 0 FOR 5.0; - LEVEL 1 FOR 15.0; LEVEL 0 FOR 15.0; LEVEL 1 FOR 5.0; LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 15.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 20.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 15.0; + LEVEL 0 FOR 45.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 25.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 15.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 15.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 15.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 15.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 15.0; + LEVEL 1 FOR 15.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 15.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 15.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 15.0; + LEVEL 0 FOR 5.0; LEVEL 1 FOR 10.0; LEVEL 0 FOR 10.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 35.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 20.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 35.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 20.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 15.0; + LEVEL 1 FOR 15.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 5.0; } } @@ -1151,105 +1064,111 @@ TRANSITION_LIST("I8") NODE { REPEAT = 1; - LEVEL 1 FOR 10.0; - LEVEL 0 FOR 5.0; LEVEL 1 FOR 5.0; LEVEL 0 FOR 10.0; LEVEL 1 FOR 5.0; - LEVEL 0 FOR 5.0; - LEVEL 1 FOR 10.0; - LEVEL 0 FOR 5.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 25.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 5.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 5.0; - LEVEL 1 FOR 10.0; - LEVEL 0 FOR 5.0; - LEVEL 1 FOR 20.0; LEVEL 0 FOR 15.0; - LEVEL 1 FOR 10.0; - LEVEL 0 FOR 5.0; LEVEL 1 FOR 5.0; - LEVEL 0 FOR 20.0; - LEVEL 1 FOR 10.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 5.0; LEVEL 0 FOR 5.0; LEVEL 1 FOR 15.0; - LEVEL 0 FOR 5.0; - LEVEL 1 FOR 10.0; - LEVEL 0 FOR 15.0; - LEVEL 1 FOR 10.0; - LEVEL 0 FOR 15.0; - LEVEL 1 FOR 10.0; - LEVEL 0 FOR 5.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 5.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 5.0; - LEVEL 1 FOR 20.0; - LEVEL 0 FOR 10.0; - LEVEL 1 FOR 25.0; - LEVEL 0 FOR 5.0; - LEVEL 1 FOR 10.0; - LEVEL 0 FOR 10.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 5.0; - LEVEL 1 FOR 25.0; - LEVEL 0 FOR 5.0; - LEVEL 1 FOR 10.0; - LEVEL 0 FOR 5.0; - LEVEL 1 FOR 10.0; - LEVEL 0 FOR 5.0; - LEVEL 1 FOR 15.0; - LEVEL 0 FOR 15.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 15.0; - LEVEL 1 FOR 25.0; - LEVEL 0 FOR 5.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 15.0; - LEVEL 1 FOR 10.0; - LEVEL 0 FOR 5.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 5.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 20.0; - LEVEL 1 FOR 15.0; - LEVEL 0 FOR 10.0; - LEVEL 1 FOR 10.0; - LEVEL 0 FOR 5.0; - LEVEL 1 FOR 20.0; - LEVEL 0 FOR 20.0; - LEVEL 1 FOR 10.0; - LEVEL 0 FOR 5.0; - LEVEL 1 FOR 10.0; - LEVEL 0 FOR 15.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 10.0; - LEVEL 1 FOR 15.0; - LEVEL 0 FOR 5.0; - LEVEL 1 FOR 10.0; - LEVEL 0 FOR 5.0; - LEVEL 1 FOR 10.0; LEVEL 0 FOR 30.0; LEVEL 1 FOR 5.0; - LEVEL 0 FOR 20.0; + LEVEL 0 FOR 15.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 15.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; LEVEL 1 FOR 15.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 20.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 15.0; + LEVEL 0 FOR 45.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 25.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 15.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 15.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 15.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 15.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 15.0; + LEVEL 1 FOR 15.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 15.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 15.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 15.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 35.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; LEVEL 0 FOR 5.0; LEVEL 1 FOR 5.0; LEVEL 0 FOR 5.0; LEVEL 1 FOR 20.0; LEVEL 0 FOR 5.0; LEVEL 1 FOR 5.0; - LEVEL 0 FOR 15.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 25.0; + LEVEL 0 FOR 5.0; LEVEL 1 FOR 5.0; LEVEL 0 FOR 5.0; LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 35.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 20.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 10.0; LEVEL 0 FOR 15.0; LEVEL 1 FOR 15.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 5.0; } } @@ -1258,100 +1177,105 @@ TRANSITION_LIST("I9") NODE { REPEAT = 1; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 15.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 25.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 15.0; LEVEL 0 FOR 20.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 10.0; - LEVEL 1 FOR 5.0; + LEVEL 1 FOR 30.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 20.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 15.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 10.0; LEVEL 0 FOR 5.0; LEVEL 1 FOR 15.0; LEVEL 0 FOR 5.0; LEVEL 1 FOR 5.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 15.0; + LEVEL 1 FOR 15.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 15.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 15.0; + LEVEL 0 FOR 15.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 20.0; + LEVEL 0 FOR 15.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 10.0; LEVEL 0 FOR 20.0; - LEVEL 1 FOR 25.0; - LEVEL 0 FOR 15.0; - LEVEL 1 FOR 10.0; - LEVEL 0 FOR 5.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 15.0; - LEVEL 1 FOR 15.0; - LEVEL 0 FOR 15.0; - LEVEL 1 FOR 10.0; - LEVEL 0 FOR 10.0; - LEVEL 1 FOR 10.0; - LEVEL 0 FOR 5.0; - LEVEL 1 FOR 15.0; - LEVEL 0 FOR 10.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 15.0; - LEVEL 1 FOR 25.0; - LEVEL 0 FOR 10.0; LEVEL 1 FOR 10.0; LEVEL 0 FOR 20.0; LEVEL 1 FOR 5.0; - LEVEL 0 FOR 15.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; LEVEL 1 FOR 5.0; LEVEL 0 FOR 5.0; LEVEL 1 FOR 10.0; LEVEL 0 FOR 5.0; LEVEL 1 FOR 15.0; LEVEL 0 FOR 5.0; + LEVEL 1 FOR 15.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 15.0; + LEVEL 1 FOR 15.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; LEVEL 1 FOR 10.0; LEVEL 0 FOR 25.0; - LEVEL 1 FOR 15.0; - LEVEL 0 FOR 10.0; - LEVEL 1 FOR 10.0; - LEVEL 0 FOR 5.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 5.0; - LEVEL 1 FOR 20.0; - LEVEL 0 FOR 5.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 30.0; LEVEL 1 FOR 5.0; LEVEL 0 FOR 5.0; LEVEL 1 FOR 10.0; - LEVEL 0 FOR 15.0; - LEVEL 1 FOR 10.0; - LEVEL 0 FOR 10.0; - LEVEL 1 FOR 20.0; - LEVEL 0 FOR 15.0; - LEVEL 1 FOR 10.0; - LEVEL 0 FOR 10.0; - LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 25.0; LEVEL 0 FOR 5.0; LEVEL 1 FOR 5.0; LEVEL 0 FOR 5.0; - LEVEL 1 FOR 15.0; - LEVEL 0 FOR 10.0; LEVEL 1 FOR 25.0; LEVEL 0 FOR 5.0; LEVEL 1 FOR 10.0; - LEVEL 0 FOR 5.0; - LEVEL 1 FOR 10.0; - LEVEL 0 FOR 5.0; - LEVEL 1 FOR 20.0; LEVEL 0 FOR 10.0; - LEVEL 1 FOR 15.0; - LEVEL 0 FOR 15.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 5.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 15.0; - LEVEL 1 FOR 15.0; - LEVEL 0 FOR 5.0; - LEVEL 1 FOR 10.0; - LEVEL 0 FOR 5.0; - LEVEL 1 FOR 10.0; - LEVEL 0 FOR 15.0; LEVEL 1 FOR 10.0; LEVEL 0 FOR 5.0; LEVEL 1 FOR 15.0; - LEVEL 0 FOR 5.0; + LEVEL 0 FOR 20.0; LEVEL 1 FOR 5.0; - LEVEL 0 FOR 5.0; - LEVEL 1 FOR 20.0; - LEVEL 0 FOR 5.0; - LEVEL 1 FOR 15.0; + LEVEL 0 FOR 30.0; } } @@ -1364,101 +1288,101 @@ TRANSITION_LIST("I10") LEVEL 1 FOR 5.0; LEVEL 0 FOR 5.0; LEVEL 1 FOR 15.0; - LEVEL 0 FOR 5.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 20.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 5.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 5.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 5.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 10.0; - LEVEL 1 FOR 10.0; - LEVEL 0 FOR 15.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 5.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 15.0; - LEVEL 1 FOR 25.0; LEVEL 0 FOR 10.0; LEVEL 1 FOR 5.0; LEVEL 0 FOR 25.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 10.0; LEVEL 1 FOR 15.0; - LEVEL 0 FOR 10.0; - LEVEL 1 FOR 10.0; - LEVEL 0 FOR 15.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 10.0; - LEVEL 1 FOR 20.0; - LEVEL 0 FOR 5.0; - LEVEL 1 FOR 10.0; - LEVEL 0 FOR 5.0; - LEVEL 1 FOR 10.0; - LEVEL 0 FOR 5.0; - LEVEL 1 FOR 10.0; - LEVEL 0 FOR 5.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 5.0; - LEVEL 1 FOR 10.0; - LEVEL 0 FOR 5.0; - LEVEL 1 FOR 15.0; - LEVEL 0 FOR 15.0; - LEVEL 1 FOR 10.0; - LEVEL 0 FOR 10.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 40.0; - LEVEL 1 FOR 10.0; - LEVEL 0 FOR 10.0; - LEVEL 1 FOR 10.0; - LEVEL 0 FOR 10.0; - LEVEL 1 FOR 25.0; - LEVEL 0 FOR 10.0; - LEVEL 1 FOR 20.0; - LEVEL 0 FOR 10.0; - LEVEL 1 FOR 25.0; - LEVEL 0 FOR 10.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 10.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 5.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 15.0; - LEVEL 1 FOR 10.0; - LEVEL 0 FOR 5.0; - LEVEL 1 FOR 10.0; - LEVEL 0 FOR 5.0; - LEVEL 1 FOR 10.0; - LEVEL 0 FOR 5.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 10.0; + LEVEL 0 FOR 20.0; LEVEL 1 FOR 30.0; LEVEL 0 FOR 5.0; - LEVEL 1 FOR 15.0; - LEVEL 0 FOR 20.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 15.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 5.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 5.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 5.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 15.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 5.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 20.0; LEVEL 1 FOR 20.0; LEVEL 0 FOR 5.0; LEVEL 1 FOR 15.0; - LEVEL 0 FOR 10.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 15.0; + LEVEL 0 FOR 5.0; LEVEL 1 FOR 5.0; - LEVEL 0 FOR 20.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 15.0; + LEVEL 1 FOR 15.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 15.0; LEVEL 1 FOR 10.0; LEVEL 0 FOR 10.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 15.0; + LEVEL 0 FOR 15.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 20.0; + LEVEL 0 FOR 15.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 20.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 20.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 15.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 15.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 15.0; + LEVEL 1 FOR 15.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 25.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 25.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 25.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 15.0; + LEVEL 0 FOR 20.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 30.0; } } @@ -1467,124 +1391,105 @@ TRANSITION_LIST("I11") NODE { REPEAT = 1; - LEVEL 0 FOR 15.0; - LEVEL 1 FOR 15.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 5.0; LEVEL 0 FOR 5.0; LEVEL 1 FOR 15.0; LEVEL 0 FOR 10.0; - LEVEL 1 FOR 15.0; - LEVEL 0 FOR 10.0; - LEVEL 1 FOR 10.0; - LEVEL 0 FOR 5.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 5.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 10.0; - LEVEL 1 FOR 10.0; - LEVEL 0 FOR 5.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 35.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 5.0; - LEVEL 1 FOR 15.0; - LEVEL 0 FOR 5.0; - LEVEL 1 FOR 15.0; - LEVEL 0 FOR 10.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 20.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 5.0; - LEVEL 1 FOR 10.0; - LEVEL 0 FOR 5.0; - LEVEL 1 FOR 20.0; - LEVEL 0 FOR 5.0; LEVEL 1 FOR 5.0; LEVEL 0 FOR 25.0; - LEVEL 1 FOR 10.0; + LEVEL 1 FOR 5.0; LEVEL 0 FOR 10.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 5.0; LEVEL 1 FOR 15.0; - LEVEL 0 FOR 5.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 5.0; - LEVEL 1 FOR 10.0; - LEVEL 0 FOR 5.0; - LEVEL 1 FOR 5.0; LEVEL 0 FOR 20.0; - LEVEL 1 FOR 15.0; + LEVEL 1 FOR 30.0; LEVEL 0 FOR 5.0; - LEVEL 1 FOR 15.0; - LEVEL 0 FOR 5.0; - LEVEL 1 FOR 10.0; - LEVEL 0 FOR 5.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 5.0; - LEVEL 1 FOR 10.0; - LEVEL 0 FOR 10.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 15.0; LEVEL 1 FOR 20.0; - LEVEL 0 FOR 10.0; + LEVEL 0 FOR 5.0; LEVEL 1 FOR 15.0; LEVEL 0 FOR 5.0; LEVEL 1 FOR 10.0; LEVEL 0 FOR 5.0; + LEVEL 1 FOR 15.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 15.0; + LEVEL 1 FOR 15.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 15.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 15.0; + LEVEL 0 FOR 15.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 20.0; + LEVEL 0 FOR 15.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 20.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 20.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 5.0; LEVEL 1 FOR 5.0; LEVEL 0 FOR 5.0; LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 15.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 15.0; LEVEL 0 FOR 10.0; LEVEL 1 FOR 5.0; LEVEL 0 FOR 15.0; - LEVEL 1 FOR 5.0; + LEVEL 1 FOR 15.0; LEVEL 0 FOR 5.0; LEVEL 1 FOR 5.0; LEVEL 0 FOR 5.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 25.0; LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 25.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 25.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 10.0; LEVEL 0 FOR 10.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 5.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 5.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 5.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 5.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 5.0; - LEVEL 1 FOR 5.0; + LEVEL 1 FOR 10.0; LEVEL 0 FOR 5.0; + LEVEL 1 FOR 15.0; + LEVEL 0 FOR 20.0; LEVEL 1 FOR 5.0; LEVEL 0 FOR 30.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 5.0; - LEVEL 1 FOR 15.0; - LEVEL 0 FOR 5.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 5.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 10.0; - LEVEL 1 FOR 10.0; - LEVEL 0 FOR 5.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 10.0; - LEVEL 1 FOR 10.0; - LEVEL 0 FOR 5.0; - LEVEL 1 FOR 10.0; - LEVEL 0 FOR 5.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 15.0; - LEVEL 1 FOR 10.0; - LEVEL 0 FOR 5.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 5.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 10.0; - LEVEL 1 FOR 20.0; - LEVEL 0 FOR 5.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 5.0; - LEVEL 1 FOR 5.0; } } @@ -1593,109 +1498,105 @@ TRANSITION_LIST("I12") NODE { REPEAT = 1; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 15.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 25.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 15.0; + LEVEL 0 FOR 20.0; + LEVEL 1 FOR 30.0; LEVEL 0 FOR 5.0; LEVEL 1 FOR 20.0; - LEVEL 0 FOR 10.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 10.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 10.0; - LEVEL 1 FOR 10.0; - LEVEL 0 FOR 25.0; - LEVEL 1 FOR 10.0; - LEVEL 0 FOR 10.0; - LEVEL 1 FOR 5.0; LEVEL 0 FOR 5.0; - LEVEL 1 FOR 10.0; - LEVEL 0 FOR 25.0; - LEVEL 1 FOR 5.0; + LEVEL 1 FOR 15.0; LEVEL 0 FOR 5.0; LEVEL 1 FOR 10.0; LEVEL 0 FOR 5.0; - LEVEL 1 FOR 5.0; + LEVEL 1 FOR 15.0; LEVEL 0 FOR 5.0; LEVEL 1 FOR 5.0; - LEVEL 0 FOR 5.0; + LEVEL 0 FOR 10.0; LEVEL 1 FOR 10.0; - LEVEL 0 FOR 5.0; - LEVEL 1 FOR 10.0; - LEVEL 0 FOR 25.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 5.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 10.0; - LEVEL 1 FOR 50.0; - LEVEL 0 FOR 10.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 25.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 10.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 5.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 15.0; - LEVEL 1 FOR 5.0; LEVEL 0 FOR 15.0; LEVEL 1 FOR 15.0; LEVEL 0 FOR 10.0; LEVEL 1 FOR 10.0; + LEVEL 0 FOR 15.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 10.0; LEVEL 0 FOR 5.0; LEVEL 1 FOR 5.0; - LEVEL 0 FOR 10.0; + LEVEL 0 FOR 5.0; LEVEL 1 FOR 10.0; LEVEL 0 FOR 10.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 15.0; + LEVEL 0 FOR 15.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 20.0; + LEVEL 0 FOR 15.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 20.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 20.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 15.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 15.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 15.0; + LEVEL 1 FOR 15.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; LEVEL 1 FOR 10.0; LEVEL 0 FOR 25.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 5.0; LEVEL 1 FOR 25.0; LEVEL 0 FOR 5.0; - LEVEL 1 FOR 15.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 25.0; LEVEL 0 FOR 5.0; LEVEL 1 FOR 10.0; - LEVEL 0 FOR 5.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 5.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 10.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 5.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 5.0; - LEVEL 1 FOR 15.0; - LEVEL 0 FOR 5.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 10.0; - LEVEL 1 FOR 40.0; - LEVEL 0 FOR 10.0; - LEVEL 1 FOR 5.0; LEVEL 0 FOR 10.0; LEVEL 1 FOR 10.0; - LEVEL 0 FOR 15.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 10.0; - LEVEL 1 FOR 5.0; LEVEL 0 FOR 5.0; LEVEL 1 FOR 15.0; - LEVEL 0 FOR 5.0; - LEVEL 1 FOR 15.0; - LEVEL 0 FOR 5.0; + LEVEL 0 FOR 20.0; LEVEL 1 FOR 5.0; - LEVEL 0 FOR 5.0; - LEVEL 1 FOR 15.0; - LEVEL 0 FOR 15.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 15.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 5.0; - LEVEL 1 FOR 20.0; - LEVEL 0 FOR 5.0; - LEVEL 1 FOR 15.0; - LEVEL 0 FOR 5.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 5.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 10.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 5.0; + LEVEL 0 FOR 30.0; } } @@ -1704,102 +1605,90 @@ TRANSITION_LIST("I13") NODE { REPEAT = 1; - LEVEL 0 FOR 15.0; - LEVEL 1 FOR 10.0; - LEVEL 0 FOR 5.0; - LEVEL 1 FOR 10.0; - LEVEL 0 FOR 5.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 5.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 15.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 20.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 5.0; - LEVEL 1 FOR 10.0; LEVEL 0 FOR 10.0; - LEVEL 1 FOR 10.0; - LEVEL 0 FOR 10.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 5.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 10.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 15.0; - LEVEL 1 FOR 15.0; - LEVEL 0 FOR 5.0; - LEVEL 1 FOR 15.0; - LEVEL 0 FOR 15.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 15.0; - LEVEL 1 FOR 10.0; - LEVEL 0 FOR 5.0; - LEVEL 1 FOR 15.0; - LEVEL 0 FOR 10.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 10.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 5.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 15.0; - LEVEL 1 FOR 10.0; - LEVEL 0 FOR 25.0; - LEVEL 1 FOR 10.0; - LEVEL 0 FOR 10.0; - LEVEL 1 FOR 10.0; + LEVEL 1 FOR 30.0; LEVEL 0 FOR 5.0; LEVEL 1 FOR 25.0; - LEVEL 0 FOR 20.0; + LEVEL 0 FOR 50.0; LEVEL 1 FOR 5.0; LEVEL 0 FOR 10.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 35.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 15.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 10.0; LEVEL 1 FOR 5.0; - LEVEL 0 FOR 20.0; + LEVEL 0 FOR 5.0; LEVEL 1 FOR 5.0; LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 15.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 50.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 25.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 15.0; + LEVEL 0 FOR 15.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 15.0; + LEVEL 1 FOR 20.0; + LEVEL 0 FOR 5.0; LEVEL 1 FOR 20.0; LEVEL 0 FOR 20.0; LEVEL 1 FOR 5.0; - LEVEL 0 FOR 15.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 25.0; - LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 25.0; LEVEL 0 FOR 5.0; LEVEL 1 FOR 5.0; LEVEL 0 FOR 20.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 15.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; LEVEL 1 FOR 5.0; LEVEL 0 FOR 30.0; - LEVEL 1 FOR 15.0; - LEVEL 0 FOR 5.0; LEVEL 1 FOR 5.0; + LEVEL 0 FOR 15.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 35.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 10.0; LEVEL 0 FOR 5.0; LEVEL 1 FOR 15.0; LEVEL 0 FOR 5.0; LEVEL 1 FOR 10.0; - LEVEL 0 FOR 15.0; - LEVEL 1 FOR 15.0; LEVEL 0 FOR 5.0; - LEVEL 1 FOR 15.0; - LEVEL 0 FOR 10.0; LEVEL 1 FOR 5.0; - LEVEL 0 FOR 5.0; - LEVEL 1 FOR 10.0; - LEVEL 0 FOR 5.0; - LEVEL 1 FOR 25.0; - LEVEL 0 FOR 15.0; + LEVEL 0 FOR 20.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 10.0; LEVEL 1 FOR 20.0; LEVEL 0 FOR 5.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 15.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 5.0; - LEVEL 1 FOR 20.0; - LEVEL 0 FOR 10.0; + LEVEL 1 FOR 40.0; + LEVEL 0 FOR 25.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; LEVEL 1 FOR 5.0; LEVEL 0 FOR 5.0; LEVEL 1 FOR 5.0; - LEVEL 0 FOR 15.0; - LEVEL 1 FOR 25.0; } } @@ -1808,113 +1697,90 @@ TRANSITION_LIST("I14") NODE { REPEAT = 1; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 30.0; LEVEL 0 FOR 5.0; + LEVEL 1 FOR 25.0; + LEVEL 0 FOR 50.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 10.0; LEVEL 1 FOR 10.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 35.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 15.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; LEVEL 0 FOR 5.0; LEVEL 1 FOR 5.0; LEVEL 0 FOR 15.0; LEVEL 1 FOR 5.0; - LEVEL 0 FOR 5.0; + LEVEL 0 FOR 50.0; LEVEL 1 FOR 5.0; LEVEL 0 FOR 10.0; - LEVEL 1 FOR 10.0; - LEVEL 0 FOR 10.0; - LEVEL 1 FOR 10.0; - LEVEL 0 FOR 10.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 10.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 5.0; - LEVEL 1 FOR 15.0; - LEVEL 0 FOR 10.0; - LEVEL 1 FOR 15.0; - LEVEL 0 FOR 10.0; LEVEL 1 FOR 25.0; - LEVEL 0 FOR 10.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 10.0; - LEVEL 1 FOR 30.0; - LEVEL 0 FOR 25.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 25.0; + LEVEL 0 FOR 5.0; LEVEL 1 FOR 10.0; LEVEL 0 FOR 5.0; LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 15.0; + LEVEL 0 FOR 15.0; + LEVEL 1 FOR 10.0; LEVEL 0 FOR 10.0; - LEVEL 1 FOR 5.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 15.0; + LEVEL 1 FOR 20.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 20.0; LEVEL 0 FOR 20.0; LEVEL 1 FOR 5.0; LEVEL 0 FOR 5.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 5.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 15.0; - LEVEL 1 FOR 10.0; - LEVEL 0 FOR 10.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 10.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 5.0; - LEVEL 1 FOR 15.0; - LEVEL 0 FOR 5.0; - LEVEL 1 FOR 10.0; - LEVEL 0 FOR 15.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 10.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 5.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 5.0; - LEVEL 1 FOR 30.0; - LEVEL 0 FOR 5.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 10.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 5.0; - LEVEL 1 FOR 20.0; - LEVEL 0 FOR 5.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 10.0; - LEVEL 1 FOR 10.0; - LEVEL 0 FOR 5.0; LEVEL 1 FOR 25.0; - LEVEL 0 FOR 15.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 5.0; - LEVEL 1 FOR 10.0; LEVEL 0 FOR 5.0; LEVEL 1 FOR 5.0; - LEVEL 0 FOR 10.0; - LEVEL 1 FOR 15.0; - LEVEL 0 FOR 5.0; - LEVEL 1 FOR 15.0; LEVEL 0 FOR 20.0; LEVEL 1 FOR 10.0; - LEVEL 0 FOR 10.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 5.0; - LEVEL 1 FOR 10.0; - LEVEL 0 FOR 10.0; - LEVEL 1 FOR 20.0; - LEVEL 0 FOR 10.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 10.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 5.0; - LEVEL 1 FOR 15.0; - LEVEL 0 FOR 5.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 5.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 5.0; - LEVEL 1 FOR 15.0; - LEVEL 0 FOR 10.0; - LEVEL 1 FOR 10.0; - LEVEL 0 FOR 5.0; - LEVEL 1 FOR 5.0; LEVEL 0 FOR 15.0; LEVEL 1 FOR 5.0; LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 30.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 15.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 35.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 15.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 20.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 20.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 40.0; + LEVEL 0 FOR 25.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; } } @@ -1923,109 +1789,90 @@ TRANSITION_LIST("I15") NODE { REPEAT = 1; - LEVEL 0 FOR 15.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 30.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 25.0; + LEVEL 0 FOR 50.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 10.0; LEVEL 1 FOR 10.0; LEVEL 0 FOR 5.0; + LEVEL 1 FOR 35.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 15.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 10.0; LEVEL 1 FOR 5.0; LEVEL 0 FOR 5.0; LEVEL 1 FOR 5.0; LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 15.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 50.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 25.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; LEVEL 1 FOR 15.0; LEVEL 0 FOR 15.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 15.0; + LEVEL 1 FOR 20.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 20.0; + LEVEL 0 FOR 20.0; LEVEL 1 FOR 5.0; LEVEL 0 FOR 5.0; + LEVEL 1 FOR 25.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 20.0; LEVEL 1 FOR 10.0; + LEVEL 0 FOR 15.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 30.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 15.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 35.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 15.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 20.0; + LEVEL 1 FOR 5.0; LEVEL 0 FOR 10.0; LEVEL 1 FOR 20.0; LEVEL 0 FOR 5.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 10.0; - LEVEL 1 FOR 10.0; - LEVEL 0 FOR 5.0; - LEVEL 1 FOR 30.0; - LEVEL 0 FOR 15.0; - LEVEL 1 FOR 10.0; + LEVEL 1 FOR 40.0; LEVEL 0 FOR 25.0; LEVEL 1 FOR 5.0; LEVEL 0 FOR 5.0; - LEVEL 1 FOR 10.0; - LEVEL 0 FOR 15.0; - LEVEL 1 FOR 15.0; - LEVEL 0 FOR 15.0; - LEVEL 1 FOR 15.0; - LEVEL 0 FOR 5.0; - LEVEL 1 FOR 10.0; - LEVEL 0 FOR 15.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 5.0; - LEVEL 1 FOR 20.0; - LEVEL 0 FOR 5.0; - LEVEL 1 FOR 20.0; - LEVEL 0 FOR 5.0; - LEVEL 1 FOR 10.0; - LEVEL 0 FOR 5.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 10.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 15.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 5.0; - LEVEL 1 FOR 15.0; - LEVEL 0 FOR 5.0; - LEVEL 1 FOR 15.0; - LEVEL 0 FOR 5.0; - LEVEL 1 FOR 15.0; - LEVEL 0 FOR 10.0; - LEVEL 1 FOR 50.0; - LEVEL 0 FOR 10.0; - LEVEL 1 FOR 10.0; - LEVEL 0 FOR 5.0; - LEVEL 1 FOR 10.0; - LEVEL 0 FOR 5.0; - LEVEL 1 FOR 15.0; - LEVEL 0 FOR 10.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 5.0; - LEVEL 1 FOR 10.0; - LEVEL 0 FOR 20.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 10.0; LEVEL 1 FOR 5.0; LEVEL 0 FOR 5.0; LEVEL 1 FOR 5.0; - LEVEL 0 FOR 5.0; - LEVEL 1 FOR 15.0; - LEVEL 0 FOR 10.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 10.0; - LEVEL 1 FOR 20.0; - LEVEL 0 FOR 5.0; - LEVEL 1 FOR 15.0; - LEVEL 0 FOR 15.0; - LEVEL 1 FOR 10.0; - LEVEL 0 FOR 10.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 5.0; - LEVEL 1 FOR 10.0; - LEVEL 0 FOR 5.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 15.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 5.0; - LEVEL 1 FOR 15.0; - LEVEL 0 FOR 15.0; - LEVEL 1 FOR 20.0; - LEVEL 0 FOR 20.0; - LEVEL 1 FOR 30.0; - } -} - -TRANSITION_LIST("pin_name1") -{ - NODE - { - REPEAT = 1; - LEVEL X FOR 1000.0; } } @@ -2034,99 +1881,13 @@ TRANSITION_LIST("Sel1") NODE { REPEAT = 1; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 40.0; - LEVEL 1 FOR 10.0; - LEVEL 0 FOR 30.0; - LEVEL 1 FOR 10.0; - LEVEL 0 FOR 5.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 15.0; - LEVEL 1 FOR 15.0; - LEVEL 0 FOR 30.0; - LEVEL 1 FOR 35.0; - LEVEL 0 FOR 10.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 10.0; - LEVEL 1 FOR 10.0; - LEVEL 0 FOR 15.0; - LEVEL 1 FOR 20.0; - LEVEL 0 FOR 10.0; - LEVEL 1 FOR 15.0; - LEVEL 0 FOR 15.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 5.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 10.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 5.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 5.0; - LEVEL 1 FOR 10.0; - LEVEL 0 FOR 5.0; - LEVEL 1 FOR 20.0; - LEVEL 0 FOR 10.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 5.0; - LEVEL 1 FOR 20.0; - LEVEL 0 FOR 15.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 10.0; - LEVEL 1 FOR 20.0; - LEVEL 0 FOR 15.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 10.0; - LEVEL 1 FOR 10.0; - LEVEL 0 FOR 5.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 10.0; - LEVEL 1 FOR 10.0; - LEVEL 0 FOR 5.0; - LEVEL 1 FOR 10.0; - LEVEL 0 FOR 20.0; - LEVEL 1 FOR 10.0; - LEVEL 0 FOR 15.0; - LEVEL 1 FOR 40.0; - LEVEL 0 FOR 10.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 5.0; - LEVEL 1 FOR 10.0; - LEVEL 0 FOR 5.0; - LEVEL 1 FOR 15.0; - LEVEL 0 FOR 5.0; - LEVEL 1 FOR 10.0; - LEVEL 0 FOR 10.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 5.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 20.0; - LEVEL 1 FOR 10.0; - LEVEL 0 FOR 5.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 5.0; - LEVEL 1 FOR 10.0; - LEVEL 0 FOR 10.0; - LEVEL 1 FOR 10.0; - LEVEL 0 FOR 10.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 35.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 15.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 5.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 15.0; - LEVEL 1 FOR 15.0; - LEVEL 0 FOR 5.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 10.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 5.0; - LEVEL 1 FOR 10.0; - LEVEL 0 FOR 10.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 5.0; - LEVEL 1 FOR 10.0; + NODE + { + REPEAT = 1; + LEVEL 0 FOR 400.0; + LEVEL 1 FOR 400.0; + } + LEVEL 0 FOR 200.0; } } @@ -2135,99 +1896,13 @@ TRANSITION_LIST("Sel2") NODE { REPEAT = 1; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 40.0; - LEVEL 1 FOR 10.0; - LEVEL 0 FOR 30.0; - LEVEL 1 FOR 10.0; - LEVEL 0 FOR 5.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 15.0; - LEVEL 1 FOR 15.0; - LEVEL 0 FOR 30.0; - LEVEL 1 FOR 35.0; - LEVEL 0 FOR 10.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 10.0; - LEVEL 1 FOR 10.0; - LEVEL 0 FOR 15.0; - LEVEL 1 FOR 20.0; - LEVEL 0 FOR 10.0; - LEVEL 1 FOR 15.0; - LEVEL 0 FOR 15.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 5.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 10.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 5.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 5.0; - LEVEL 1 FOR 10.0; - LEVEL 0 FOR 5.0; - LEVEL 1 FOR 20.0; - LEVEL 0 FOR 10.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 5.0; - LEVEL 1 FOR 20.0; - LEVEL 0 FOR 15.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 10.0; - LEVEL 1 FOR 20.0; - LEVEL 0 FOR 15.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 10.0; - LEVEL 1 FOR 10.0; - LEVEL 0 FOR 5.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 10.0; - LEVEL 1 FOR 10.0; - LEVEL 0 FOR 5.0; - LEVEL 1 FOR 10.0; - LEVEL 0 FOR 20.0; - LEVEL 1 FOR 10.0; - LEVEL 0 FOR 15.0; - LEVEL 1 FOR 40.0; - LEVEL 0 FOR 10.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 5.0; - LEVEL 1 FOR 10.0; - LEVEL 0 FOR 5.0; - LEVEL 1 FOR 15.0; - LEVEL 0 FOR 5.0; - LEVEL 1 FOR 10.0; - LEVEL 0 FOR 10.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 5.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 20.0; - LEVEL 1 FOR 10.0; - LEVEL 0 FOR 5.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 5.0; - LEVEL 1 FOR 10.0; - LEVEL 0 FOR 10.0; - LEVEL 1 FOR 10.0; - LEVEL 0 FOR 10.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 35.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 15.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 5.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 15.0; - LEVEL 1 FOR 15.0; - LEVEL 0 FOR 5.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 10.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 5.0; - LEVEL 1 FOR 10.0; - LEVEL 0 FOR 10.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 5.0; - LEVEL 1 FOR 10.0; + NODE + { + REPEAT = 2; + LEVEL 0 FOR 200.0; + LEVEL 1 FOR 200.0; + } + LEVEL 0 FOR 200.0; } } @@ -2236,107 +1911,12 @@ TRANSITION_LIST("Sel3") NODE { REPEAT = 1; - LEVEL 0 FOR 10.0; - LEVEL 1 FOR 10.0; - LEVEL 0 FOR 5.0; - LEVEL 1 FOR 15.0; - LEVEL 0 FOR 15.0; - LEVEL 1 FOR 15.0; - LEVEL 0 FOR 5.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 5.0; - LEVEL 1 FOR 15.0; - LEVEL 0 FOR 35.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 10.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 5.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 10.0; - LEVEL 1 FOR 10.0; - LEVEL 0 FOR 5.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 5.0; - LEVEL 1 FOR 30.0; - LEVEL 0 FOR 10.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 10.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 20.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 10.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 15.0; - LEVEL 1 FOR 10.0; - LEVEL 0 FOR 30.0; - LEVEL 1 FOR 25.0; - LEVEL 0 FOR 15.0; - LEVEL 1 FOR 20.0; - LEVEL 0 FOR 5.0; - LEVEL 1 FOR 10.0; - LEVEL 0 FOR 5.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 5.0; - LEVEL 1 FOR 40.0; - LEVEL 0 FOR 20.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 5.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 5.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 5.0; - LEVEL 1 FOR 10.0; - LEVEL 0 FOR 5.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 20.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 25.0; - LEVEL 1 FOR 10.0; - LEVEL 0 FOR 5.0; - LEVEL 1 FOR 10.0; - LEVEL 0 FOR 10.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 5.0; - LEVEL 1 FOR 10.0; - LEVEL 0 FOR 10.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 25.0; - LEVEL 1 FOR 10.0; - LEVEL 0 FOR 5.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 5.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 5.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 5.0; - LEVEL 1 FOR 15.0; - LEVEL 0 FOR 5.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 10.0; - LEVEL 1 FOR 10.0; - LEVEL 0 FOR 20.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 10.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 10.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 25.0; - LEVEL 1 FOR 10.0; - LEVEL 0 FOR 10.0; - LEVEL 1 FOR 10.0; - LEVEL 0 FOR 10.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 5.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 10.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 5.0; - LEVEL 1 FOR 10.0; - LEVEL 0 FOR 5.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 10.0; - LEVEL 1 FOR 20.0; - LEVEL 0 FOR 5.0; + NODE + { + REPEAT = 5; + LEVEL 0 FOR 100.0; + LEVEL 1 FOR 100.0; + } } } @@ -2345,130 +1925,129 @@ TRANSITION_LIST("Sel4") NODE { REPEAT = 1; - LEVEL 0 FOR 10.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 10.0; - LEVEL 1 FOR 15.0; - LEVEL 0 FOR 5.0; - LEVEL 1 FOR 15.0; - LEVEL 0 FOR 5.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 15.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 5.0; - LEVEL 1 FOR 20.0; - LEVEL 0 FOR 30.0; - LEVEL 1 FOR 25.0; - LEVEL 0 FOR 20.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 5.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 5.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 5.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 10.0; - LEVEL 1 FOR 15.0; - LEVEL 0 FOR 10.0; - LEVEL 1 FOR 10.0; - LEVEL 0 FOR 5.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 5.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 5.0; - LEVEL 1 FOR 15.0; - LEVEL 0 FOR 15.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 5.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 5.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 5.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 15.0; - LEVEL 1 FOR 10.0; - LEVEL 0 FOR 15.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 5.0; - LEVEL 1 FOR 25.0; - LEVEL 0 FOR 10.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 5.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 5.0; - LEVEL 1 FOR 35.0; - LEVEL 0 FOR 10.0; - LEVEL 1 FOR 10.0; - LEVEL 0 FOR 25.0; - LEVEL 1 FOR 10.0; - LEVEL 0 FOR 5.0; - LEVEL 1 FOR 30.0; - LEVEL 0 FOR 5.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 5.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 10.0; - LEVEL 1 FOR 30.0; - LEVEL 0 FOR 15.0; - LEVEL 1 FOR 10.0; - LEVEL 0 FOR 10.0; - LEVEL 1 FOR 15.0; - LEVEL 0 FOR 5.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 10.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 5.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 10.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 5.0; - LEVEL 1 FOR 20.0; - LEVEL 0 FOR 10.0; - LEVEL 1 FOR 10.0; - LEVEL 0 FOR 15.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 5.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 5.0; - LEVEL 1 FOR 10.0; - LEVEL 0 FOR 15.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 10.0; - LEVEL 1 FOR 15.0; - LEVEL 0 FOR 10.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 5.0; - LEVEL 1 FOR 10.0; - LEVEL 0 FOR 5.0; - LEVEL 1 FOR 10.0; - LEVEL 0 FOR 5.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 15.0; - LEVEL 1 FOR 10.0; - LEVEL 0 FOR 5.0; - LEVEL 1 FOR 5.0; - LEVEL 0 FOR 5.0; - LEVEL 1 FOR 20.0; + NODE + { + REPEAT = 10; + LEVEL 0 FOR 50.0; + LEVEL 1 FOR 50.0; + } } } -TRANSITION_LIST("ze") +TRANSITION_LIST("Y") { NODE { REPEAT = 1; - LEVEL 0 FOR 1000.0; + LEVEL X FOR 1000.0; } } -DISPLAY_LINE +TRANSITION_LIST("I1") { - CHANNEL = "Entradas"; - EXPAND_STATUS = EXPANDED; - RADIX = Binary; - TREE_INDEX = 0; - TREE_LEVEL = 0; - CHILDREN = 1, 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16; + NODE + { + REPEAT = 1; + LEVEL 0 FOR 15.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 15.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 15.0; + LEVEL 1 FOR 25.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 15.0; + LEVEL 1 FOR 15.0; + LEVEL 0 FOR 15.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 15.0; + LEVEL 1 FOR 15.0; + LEVEL 0 FOR 35.0; + LEVEL 1 FOR 15.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 15.0; + LEVEL 0 FOR 30.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 15.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 15.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 15.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 15.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 20.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 15.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 15.0; + LEVEL 1 FOR 15.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 15.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 15.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 15.0; + LEVEL 1 FOR 30.0; + LEVEL 0 FOR 35.0; + LEVEL 1 FOR 15.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 15.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 30.0; + LEVEL 0 FOR 10.0; + } } DISPLAY_LINE @@ -2476,9 +2055,8 @@ DISPLAY_LINE CHANNEL = "I0"; EXPAND_STATUS = COLLAPSED; RADIX = Binary; - TREE_INDEX = 1; - TREE_LEVEL = 1; - PARENT = 0; + TREE_INDEX = 0; + TREE_LEVEL = 0; } DISPLAY_LINE @@ -2486,9 +2064,8 @@ DISPLAY_LINE CHANNEL = "I1"; EXPAND_STATUS = COLLAPSED; RADIX = Binary; - TREE_INDEX = 2; - TREE_LEVEL = 1; - PARENT = 0; + TREE_INDEX = 1; + TREE_LEVEL = 0; } DISPLAY_LINE @@ -2496,9 +2073,8 @@ DISPLAY_LINE CHANNEL = "I2"; EXPAND_STATUS = COLLAPSED; RADIX = Binary; - TREE_INDEX = 3; - TREE_LEVEL = 1; - PARENT = 0; + TREE_INDEX = 2; + TREE_LEVEL = 0; } DISPLAY_LINE @@ -2506,9 +2082,8 @@ DISPLAY_LINE CHANNEL = "I3"; EXPAND_STATUS = COLLAPSED; RADIX = Binary; - TREE_INDEX = 4; - TREE_LEVEL = 1; - PARENT = 0; + TREE_INDEX = 3; + TREE_LEVEL = 0; } DISPLAY_LINE @@ -2516,9 +2091,8 @@ DISPLAY_LINE CHANNEL = "I4"; EXPAND_STATUS = COLLAPSED; RADIX = Binary; - TREE_INDEX = 5; - TREE_LEVEL = 1; - PARENT = 0; + TREE_INDEX = 4; + TREE_LEVEL = 0; } DISPLAY_LINE @@ -2526,9 +2100,8 @@ DISPLAY_LINE CHANNEL = "I5"; EXPAND_STATUS = COLLAPSED; RADIX = Binary; - TREE_INDEX = 6; - TREE_LEVEL = 1; - PARENT = 0; + TREE_INDEX = 5; + TREE_LEVEL = 0; } DISPLAY_LINE @@ -2536,9 +2109,8 @@ DISPLAY_LINE CHANNEL = "I6"; EXPAND_STATUS = COLLAPSED; RADIX = Binary; - TREE_INDEX = 7; - TREE_LEVEL = 1; - PARENT = 0; + TREE_INDEX = 6; + TREE_LEVEL = 0; } DISPLAY_LINE @@ -2546,9 +2118,8 @@ DISPLAY_LINE CHANNEL = "I7"; EXPAND_STATUS = COLLAPSED; RADIX = Binary; - TREE_INDEX = 8; - TREE_LEVEL = 1; - PARENT = 0; + TREE_INDEX = 7; + TREE_LEVEL = 0; } DISPLAY_LINE @@ -2556,9 +2127,8 @@ DISPLAY_LINE CHANNEL = "I8"; EXPAND_STATUS = COLLAPSED; RADIX = Binary; - TREE_INDEX = 9; - TREE_LEVEL = 1; - PARENT = 0; + TREE_INDEX = 8; + TREE_LEVEL = 0; } DISPLAY_LINE @@ -2566,9 +2136,8 @@ DISPLAY_LINE CHANNEL = "I9"; EXPAND_STATUS = COLLAPSED; RADIX = Binary; - TREE_INDEX = 10; - TREE_LEVEL = 1; - PARENT = 0; + TREE_INDEX = 9; + TREE_LEVEL = 0; } DISPLAY_LINE @@ -2576,9 +2145,8 @@ DISPLAY_LINE CHANNEL = "I10"; EXPAND_STATUS = COLLAPSED; RADIX = Binary; - TREE_INDEX = 11; - TREE_LEVEL = 1; - PARENT = 0; + TREE_INDEX = 10; + TREE_LEVEL = 0; } DISPLAY_LINE @@ -2586,9 +2154,8 @@ DISPLAY_LINE CHANNEL = "I11"; EXPAND_STATUS = COLLAPSED; RADIX = Binary; - TREE_INDEX = 12; - TREE_LEVEL = 1; - PARENT = 0; + TREE_INDEX = 11; + TREE_LEVEL = 0; } DISPLAY_LINE @@ -2596,9 +2163,8 @@ DISPLAY_LINE CHANNEL = "I12"; EXPAND_STATUS = COLLAPSED; RADIX = Binary; - TREE_INDEX = 13; - TREE_LEVEL = 1; - PARENT = 0; + TREE_INDEX = 12; + TREE_LEVEL = 0; } DISPLAY_LINE @@ -2606,9 +2172,8 @@ DISPLAY_LINE CHANNEL = "I13"; EXPAND_STATUS = COLLAPSED; RADIX = Binary; - TREE_INDEX = 14; - TREE_LEVEL = 1; - PARENT = 0; + TREE_INDEX = 13; + TREE_LEVEL = 0; } DISPLAY_LINE @@ -2616,9 +2181,8 @@ DISPLAY_LINE CHANNEL = "I14"; EXPAND_STATUS = COLLAPSED; RADIX = Binary; - TREE_INDEX = 15; - TREE_LEVEL = 1; - PARENT = 0; + TREE_INDEX = 14; + TREE_LEVEL = 0; } DISPLAY_LINE @@ -2626,26 +2190,7 @@ DISPLAY_LINE CHANNEL = "I15"; EXPAND_STATUS = COLLAPSED; RADIX = Binary; - TREE_INDEX = 16; - TREE_LEVEL = 1; - PARENT = 0; -} - -DISPLAY_LINE -{ - CHANNEL = "ze"; - EXPAND_STATUS = COLLAPSED; - RADIX = Binary; - TREE_INDEX = 17; - TREE_LEVEL = 0; -} - -DISPLAY_LINE -{ - CHANNEL = "pin_name1"; - EXPAND_STATUS = COLLAPSED; - RADIX = Binary; - TREE_INDEX = 18; + TREE_INDEX = 15; TREE_LEVEL = 0; } @@ -2654,7 +2199,7 @@ DISPLAY_LINE CHANNEL = "Sel1"; EXPAND_STATUS = COLLAPSED; RADIX = Binary; - TREE_INDEX = 19; + TREE_INDEX = 16; TREE_LEVEL = 0; } @@ -2663,7 +2208,7 @@ DISPLAY_LINE CHANNEL = "Sel2"; EXPAND_STATUS = COLLAPSED; RADIX = Binary; - TREE_INDEX = 20; + TREE_INDEX = 17; TREE_LEVEL = 0; } @@ -2672,7 +2217,7 @@ DISPLAY_LINE CHANNEL = "Sel3"; EXPAND_STATUS = COLLAPSED; RADIX = Binary; - TREE_INDEX = 21; + TREE_INDEX = 18; TREE_LEVEL = 0; } @@ -2681,7 +2226,16 @@ DISPLAY_LINE CHANNEL = "Sel4"; EXPAND_STATUS = COLLAPSED; RADIX = Binary; - TREE_INDEX = 22; + TREE_INDEX = 19; + TREE_LEVEL = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "Y"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 20; TREE_LEVEL = 0; } diff --git a/1ano/isd/quartus-projects/MuxDemo/Mux2_1.bdf b/1ano/isd/quartus-projects/MuxDemo/Mux2_1.bdf index e1091b2..304ca6b 100644 --- a/1ano/isd/quartus-projects/MuxDemo/Mux2_1.bdf +++ b/1ano/isd/quartus-projects/MuxDemo/Mux2_1.bdf @@ -24,7 +24,7 @@ https://fpgasoftware.intel.com/eula. (input) (rect 152 -40 168 128) (text "INPUT" (rect 6 125 16 154)(font "Arial" (font_size 6))(vertical)) - (text "S" (rect 6 5 17 15)(font "Arial" )(vertical)) + (text "S" (rect 6 5 17 14)(font "Arial" )(vertical)) (pt 8 168) (drawing (line (pt 4 84)(pt 4 109)) @@ -39,9 +39,9 @@ https://fpgasoftware.intel.com/eula. ) (pin (input) - (rect 192 -40 208 128) + (rect 168 -40 184 128) (text "INPUT" (rect 6 125 16 154)(font "Arial" (font_size 6))(vertical)) - (text "I1" (rect 5 5 18 16)(font "Intel Clear" )(vertical)) + (text "I1" (rect 5 5 18 15)(font "Intel Clear" )(vertical)) (pt 8 168) (drawing (line (pt 4 84)(pt 4 109)) @@ -56,9 +56,9 @@ https://fpgasoftware.intel.com/eula. ) (pin (input) - (rect 232 -40 248 128) + (rect 184 -40 200 128) (text "INPUT" (rect 6 125 16 154)(font "Arial" (font_size 6))(vertical)) - (text "I0" (rect 5 5 18 17)(font "Intel Clear" )(vertical)) + (text "I0" (rect 5 5 18 16)(font "Intel Clear" )(vertical)) (pt 8 168) (drawing (line (pt 4 84)(pt 4 109)) @@ -73,7 +73,7 @@ https://fpgasoftware.intel.com/eula. ) (pin (output) - (rect 552 208 728 224) + (rect 344 152 520 168) (text "OUTPUT" (rect 1 0 41 10)(font "Arial" (font_size 6))) (text "Y" (rect 90 0 100 11)(font "Arial" )) (pt 0 8) @@ -88,7 +88,7 @@ https://fpgasoftware.intel.com/eula. ) ) (symbol - (rect 312 152 376 200) + (rect 216 112 280 160) (text "AND2" (rect 1 0 29 10)(font "Arial" (font_size 6))) (text "inst" (rect 3 37 21 48)(font "Arial" )) (port @@ -120,7 +120,7 @@ https://fpgasoftware.intel.com/eula. ) ) (symbol - (rect 312 240 376 288) + (rect 216 160 280 208) (text "AND2" (rect 1 0 29 10)(font "Arial" (font_size 6))) (text "inst1" (rect 3 37 27 50)(font "Intel Clear" )) (port @@ -152,7 +152,32 @@ https://fpgasoftware.intel.com/eula. ) ) (symbol - (rect 472 192 536 240) + (rect 168 128 216 160) + (text "NOT" (rect 1 0 22 10)(font "Arial" (font_size 6))) + (text "inst3" (rect 3 21 27 34)(font "Intel Clear" )) + (port + (pt 0 16) + (input) + (text "IN" (rect 2 7 16 18)(font "Courier New" (bold))(invisible)) + (text "IN" (rect 2 7 16 18)(font "Courier New" (bold))(invisible)) + (line (pt 0 16)(pt 13 16)) + ) + (port + (pt 48 16) + (output) + (text "OUT" (rect 32 7 53 18)(font "Courier New" (bold))(invisible)) + (text "OUT" (rect 32 7 53 18)(font "Courier New" (bold))(invisible)) + (line (pt 39 16)(pt 48 16)) + ) + (drawing + (line (pt 13 25)(pt 13 7)) + (line (pt 13 7)(pt 31 16)) + (line (pt 13 25)(pt 31 16)) + (circle (rect 31 12 39 20)) + ) +) +(symbol + (rect 280 136 344 184) (text "OR2" (rect 1 0 23 10)(font "Arial" (font_size 6))) (text "inst2" (rect 3 37 27 50)(font "Intel Clear" )) (port @@ -184,85 +209,40 @@ https://fpgasoftware.intel.com/eula. (arc (pt 25 35)(pt 49 24)(rect -6 -27 57 36)) ) ) -(symbol - (rect 256 168 304 200) - (text "NOT" (rect 1 0 22 10)(font "Arial" (font_size 6))) - (text "inst3" (rect 3 21 27 34)(font "Intel Clear" )) - (port - (pt 0 16) - (input) - (text "IN" (rect 2 7 16 18)(font "Courier New" (bold))(invisible)) - (text "IN" (rect 2 7 16 18)(font "Courier New" (bold))(invisible)) - (line (pt 0 16)(pt 13 16)) - ) - (port - (pt 48 16) - (output) - (text "OUT" (rect 32 7 53 18)(font "Courier New" (bold))(invisible)) - (text "OUT" (rect 32 7 53 18)(font "Courier New" (bold))(invisible)) - (line (pt 39 16)(pt 48 16)) - ) - (drawing - (line (pt 13 25)(pt 13 7)) - (line (pt 13 7)(pt 31 16)) - (line (pt 13 25)(pt 31 16)) - (circle (rect 31 12 39 20)) - ) +(connector + (pt 160 144) + (pt 168 144) ) (connector - (pt 376 176) - (pt 472 176) + (pt 192 128) + (pt 216 128) ) (connector - (pt 472 176) - (pt 472 208) + (pt 160 192) + (pt 216 192) ) (connector - (pt 376 264) - (pt 472 264) + (pt 176 176) + (pt 216 176) ) (connector - (pt 472 264) - (pt 472 224) -) -(connector - (pt 312 184) - (pt 304 184) -) -(connector - (pt 256 184) - (pt 160 184) -) -(connector - (pt 312 168) - (pt 240 168) -) -(connector - (pt 240 128) - (pt 240 168) -) -(connector - (pt 312 256) - (pt 200 256) -) -(connector - (pt 200 128) - (pt 200 256) -) -(connector - (pt 160 272) - (pt 312 272) -) -(connector - (pt 536 216) - (pt 552 216) -) -(connector - (pt 160 184) - (pt 160 272) + (pt 176 128) + (pt 176 176) ) (connector (pt 160 128) - (pt 160 184) + (pt 160 144) ) -(junction (pt 160 184)) +(connector + (pt 160 144) + (pt 160 192) +) +(connector + (pt 280 184) + (pt 280 168) +) +(connector + (pt 280 136) + (pt 280 152) +) +(junction (pt 160 144)) diff --git a/1ano/isd/quartus-projects/DecoderDemo/EqCmpDemo.bdf b/1ano/isd/quartus-projects/MuxDemo/Mux2_1.bsf similarity index 51% rename from 1ano/isd/quartus-projects/DecoderDemo/EqCmpDemo.bdf rename to 1ano/isd/quartus-projects/MuxDemo/Mux2_1.bsf index e221593..f5546dc 100644 --- a/1ano/isd/quartus-projects/DecoderDemo/EqCmpDemo.bdf +++ b/1ano/isd/quartus-projects/MuxDemo/Mux2_1.bsf @@ -19,4 +19,40 @@ Intel and sold by Intel or its authorized distributors. Please refer to the applicable agreement for further details, at https://fpgasoftware.intel.com/eula. */ -(header "graphic" (version "1.4")) +(header "symbol" (version "1.2")) +(symbol + (rect 16 16 112 112) + (text "Mux2_1" (rect 5 0 51 15)(font "Intel Clear" (font_size 8))) + (text "inst" (rect 8 79 28 92)(font "Intel Clear" )) + (port + (pt 0 32) + (input) + (text "S" (rect 0 0 9 15)(font "Intel Clear" (font_size 8))) + (text "S" (rect 21 27 30 42)(font "Intel Clear" (font_size 8))) + (line (pt 0 32)(pt 16 32)) + ) + (port + (pt 0 48) + (input) + (text "I1" (rect 0 0 11 15)(font "Intel Clear" (font_size 8))) + (text "I1" (rect 21 43 32 58)(font "Intel Clear" (font_size 8))) + (line (pt 0 48)(pt 16 48)) + ) + (port + (pt 0 64) + (input) + (text "I0" (rect 0 0 12 15)(font "Intel Clear" (font_size 8))) + (text "I0" (rect 21 59 33 74)(font "Intel Clear" (font_size 8))) + (line (pt 0 64)(pt 16 64)) + ) + (port + (pt 96 32) + (output) + (text "Y" (rect 0 0 9 15)(font "Intel Clear" (font_size 8))) + (text "Y" (rect 66 27 75 42)(font "Intel Clear" (font_size 8))) + (line (pt 96 32)(pt 80 32)) + ) + (drawing + (rectangle (rect 16 16 80 80)) + ) +) diff --git a/1ano/isd/quartus-projects/MuxDemo/MuxDemo.qsf b/1ano/isd/quartus-projects/MuxDemo/MuxDemo.qsf index 38e6577..4d3075b 100644 --- a/1ano/isd/quartus-projects/MuxDemo/MuxDemo.qsf +++ b/1ano/isd/quartus-projects/MuxDemo/MuxDemo.qsf @@ -56,4 +56,5 @@ set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -section_id Top set_global_assignment -name PARTITION_FITTER_PRESERVATION_LEVEL PLACEMENT_AND_ROUTING -section_id Top set_global_assignment -name PARTITION_COLOR 16764057 -section_id Top set_global_assignment -name VECTOR_WAVEFORM_FILE Waveform.vwf -set_instance_assignment -name PARTITION_HIERARCHY root_partition -to | -section_id Top \ No newline at end of file +set_instance_assignment -name PARTITION_HIERARCHY root_partition -to | -section_id Top +set_global_assignment -name VECTOR_WAVEFORM_FILE Mux16_1.vwf \ No newline at end of file diff --git a/1ano/isd/quartus-projects/MuxDemo/MuxDemo.qws b/1ano/isd/quartus-projects/MuxDemo/MuxDemo.qws index eb0e42ab8221f9aedcb8b831b67fd2cbbbb73e72..f6d591182f1a8e4403fccb18b9bf3d3dae2a5f8c 100644 GIT binary patch delta 248 zcmeyyxsh)|A|vzU)l9(~w^lJRdTcgeUeCywKKTKQm>dHGgAYSBLkfc_g9#9W=oE%D z23-bYAm3EoodTw_fvj?dOdv0vA(f#7rvC^~6K^sD*bc@b hpeaWt8!(?_WSqR7(PnZ3OOy;#Jy7sIP!$v)^8rW8GFt!u delta 29 lcmdnU_l0j+61NOZIz5wmnNhZ-LGd? z`?1JeWyMYR)Ce!m%d4}yvOg>a!=fDYZ;G-Q4K5j_gmQOe$ahp>p6ek1zi&7=epn0x z4w(kC>>-X04H;bS{)F*uh<}Fede=#Ft3Hx>efc-xv-iRC=~YM#=O!l^ujwB#ZFfg_ z7k#1dOgWABOZ$v5a9G|CG>sXK$R|UR4&L<{apZ6a>(|fU_xbSn^z2{cStx2k)?dgY z{c7UbJe(7P%)dLUOC{?1>{>$%$SyntlZ9ctNON%ngpD>yt&9)J=YJr(m4{6;hQrg; zG#s)xT!lRep3mTZqjN#?HwHJj#9 zv*#s-su*74D(ZvfV+8VKEpM<)D94l*MRp$tWe1yAwmcqYCp}4*~dn!@=>xVi<79 zG?--%adc?N;Bxn;jBi6Ubbr^ot~IynBbnEie-l1?A3UF4h176va+2wq{t?r5cZ7G* z7Yffhr}2JipD6|o%lm=WV}>L0$&jRjcYP)tIUK_J_4D_AK0H1>`xki@ii(i+7xGxY znshb~=Y$~h@6PH{iMl?!(hvi(3lG8C!Z2Q>xikX8Mq5jb#)sr{kYT;(VbhG^@H90| z9c#FL$$6c`Xf@KYQjA@dhl@>llNZa&Ox&u$&47>8lX#umuVl&gM3iLyT2QlT9yNPj rVyKE$k+_OFVL@Pd6*so|@WW=u#Du4wh4~(<7u#^#q+1-~og(dI;PKk`O>D`A=To+diEmjq z^8iE6H2uqS?{T^+<}RC2`@&?wghNt#58em8eqgaU*ki_K-zz(mPh4HF|Mv0Z>oda-L;^e|-QW0~nM*X%NSs2_ja(*fMc1A7h|E>tA=Lg&`VKv~KQ>bI9cGbXlsT zB-G2+d1|a3%eU9~dR$uPhY8HF{{ z1Lq|({*dc(Gn-6&|_0b__!)WVB}g%1>blOjcm6c!f#36>TX z78WT|SXfwCSXfwCSXc;(q*9B-^P9)c>}GE6&aQ??GGXq{oPU|`eCM1wGy6zuk|b-S zZj!q3A5>A9mKJHX$lod>p}$qhl4QG)EjQO?a=ob9%%bO)xsWSl;@PV=JJtLdftCOt zOvy@sJF0+dDHtF9GMCRpt?xiOI~wX(WBgUD&f92K7iGKZ)`OeXd>H0vQt5|tRr z*TX3^nzbv1wtuEA-Dv7-cM6DRJ(5CW))fo;YUR>NV_iPQtgObCODK(X85Qquxs=kp zE~nCfOJhdLN?%~wyN}s5KiN}1ed0p>%(>ygbHnw)Geg7m;fsT(IEZB^FAk_OB3L*{ zFPXkhlzh6FL36PfaFj9_9pyM4B4*iCGw@?=+hN9jNq;1ZVzLo0X4?`bNtR;p|Hj}- z7dvr_RCA*A4P*|K4SFOe)m#3h>@EBBrMH{f)w196@j&9v)oi{jjS&ILB8`hWXm^SK zt5p>2kINUvY;4FmKbII|=CqQ74de8^DmzBc-oyR|Zky<{c4CRI6Rt*MzJChl`9Ms{ z;J_?a+<#Zeg+{(NTZc)(67%zFA!g?bdG<=>nj5pR=9A#jz+ZRaXyB2y=F^)%g~xPff(8! zCb*Wj2<=*#>;>7pL&UHWOTQFhC0#t$nt9!dp=Ec4XzfWwM&*~5??fK0hR2X{wE6{K z*#^huN?wIXa}hRgv@xt9SBcSSvJb^s%~zQi*3i1P3G0{QtlctQN(^i0Y$Au}I*D^T$9$uRVYMG> zmAUdm#ADBY^BF0ImfMd0_A3oKEfCWZp0k>;wTjOZ_)qsXKG_3h?bUvp2`W)A#{(J(i?d^9-JD{5{F+swgTQFDXb=$}Oxof{Lg zj8nNWP(ZW)`2JDUyfdmal+)C;>>=qSx`n*a7#jBKY3A4IY=*-g2Q7}XCxpgkaeus{ zx#GrXWgh|ESi6ih(#fnwzBltPi*r`ddMmz9%S)ZJ0;&3ZLL*qv_g-o&2HbpL*Z>Qa zhg(9dZS&>Ne67#-5-}))Y37f=4jg1&*7Hydod11MZU38?PPP4=GDcO!;$>VFB}5Aa zEh8eP|Fs|~Ns%Ijg@uKGf~AFp zg@uKMg@uKMg@uKMg@vGqm0GChH;qgQd2T!&oiU;GM$D6GHGz+ z;%+tfmq1H^cUht`;O;75?K2wi(J#~aO!VqI)7i1m@sSi76D-B*yp3h8yC~b$w`R2U zRj)47qgnS@l7FbRRle>`q0y}SQfOz|(v7yh?oR>HtOrtP%(`M>y;d%rG}dKt%*twP zxrEYKmr?QlmP;wk>vAd$xHNuAS?CK)d-EZ?=0|&)y+_V8`%g6nPBoeX{U;mE#?Zhq z4rCe1ivy~R2o_G%v!<^TC7&*4&|EAA9Hk6KM>&p%h<{l&)eQVt+cuc7?-I$Pm~6z0 z**1qslDQcCzcCoz$4*=$)to5xzRZELLXYI6ddokSy=9-m^mbFbTJ~E$9!T7|n$4HF zF(N>jrEyUQ?I!V`CwNy}zA$EEL(ciR#27PwDmmydPT#AtWAyAj>`&mZ@Y^Le&fWXwda zZF0D;qK96e8Ta#LnaEn0WGHawD#7~iq<^N$Ivd< zZ0T))HF>7Qd8W zEq}3dI5YeLYmd|y#UpG!t;DQr$X7!4rZ}tlDigyRTGuXN{ZO2Bzf6}B!x}o9$l>Wu z;@r+L-zZ{O?FU2yF$V-V>Bi8NOwWki4ZoIobUiPf&f zUP`JX)vWe@M)RGp=1Hxgiss3%<~!9jPk)3p->t5BJgoU%b+TCwN$zpuF`Y%>Rb#b}tDUOpNe>J>G2g>B~GuBf?1 zZk(7x7@ZqWrx>SlqpyHw|MC5;sCi{nX(*?uYuQ86NpuT&t1&ce)6>ka)7cJ(J%0{b z9A{4mjm_eCM{~uE(aJsoy0I-X)=DR{TKV42zbwvKMeC*b{!?D+oE1pb=Mx&ig1*;M zV=>@n0>cLQS9!Q4#M(Af{>;?+d@d1#GMIM$`0Kzy=4Cw(#lZRB7uEJZiRo0^-zZ~L zRV-e{RZ&8;P|z|iV)}ooqL+e2`a>h8?qd3Qn@33mHtyBJ0bmNroBblT~h5^CH?niOq$7W0JHW4o&f=JXwU!v diff --git a/1ano/isd/quartus-projects/MuxDemo/db/MuxDemo.(1).cnf.hdb b/1ano/isd/quartus-projects/MuxDemo/db/MuxDemo.(1).cnf.hdb index 86a858b409df79d98823145119b10e2a20b435e3..48de8e55c130a8365415d43cff32fb62ce956e56 100644 GIT binary patch delta 655 zcmV;A0&xB72g(PKM}OxD00000007DY000000049W0000000000007DZ00000004La z%vC*495E0*5)x4)h%yBQMQXI(-TO*)B@~fPqM`z!BvPa(iWHEpq@<*zyfWpL`3dY}ldUdR8l3 zJJ*PJB=51UyRnYSME2_@vU+Of#+$6(Reo?bl-yT}kGdf4=^ z?xE{pMd(XTXn&H>IH6HO!-U#|B#lIoEP^CSJUlW37Dns=`lWp`LLXL&GfGa$G(IW# zKTQin*^gN)JF|-=vvtZY&FYd*c4&B_n(>K3rrQfeiypQX$zh$s+=D(FusKfQ2ICAL zr@;PDhVTqt!$`UBx{lv`h&`p{Cl7^`RYoE9lqRQ?qkq&nr5wfOlya0Rr`Ra0@o<_m zY!G2gaz-)6IA;`NjB-XXh6o!~E5=x>t5mvHR$;7FRTxUt+wAm+c|YJzR-7C7-{Gh) zF-N%rM`c>Ve;JH8a8$j&;J*#F!pQD9hNV_IK%(nlv%VN5%N_g=kKq07x;e0HNj1R& zuHT6I1Aq1hm^TUka8w^Gu@ruJh$Bh00uN~c3XPb*3i<0&@~kj(6^uBBQa$rjKGim} zkc;_@s^-_~k~koS{!Wuk?#8Y39|nUq)eh zjuV2RgH;F14u8517KA?Kgr*5i5*jBoN~lgqj*%#G3el>eHC|qZg%M{U(|6G%CpBE8 znHJ4@bdtEeCMni9OI=1M6n%oGQ!9xfsS8dyJ&xhDkYHsgCNPoG=D8!yp<&^#?Wlo_d-yoDO zipweeQHq>mb778$vz%dr2xFQv7-JKJSQwL>(Hmo&GkWuo#>TGo#<;bwlELO zGA}-{mQ}-D%wr!F{=}YbyaeWZ0`F$k_zm=zv_pskN1X)IV8}V*NZ<(^l`r)%PR3DH z|Ayh$b${EQ{B7FFa-J zWDw$*l_G7+N?ozdDn?RsU1UuljwID7dmM*_{UpSau6f&OYFf_Vunt0;TA&hVgT4nL WPArKPUaxLEcdtGk9sje%0tEp;k30_m diff --git a/1ano/isd/quartus-projects/MuxDemo/db/MuxDemo.asm.qmsg b/1ano/isd/quartus-projects/MuxDemo/db/MuxDemo.asm.qmsg index a4d261c..5f6e4b5 100644 --- a/1ano/isd/quartus-projects/MuxDemo/db/MuxDemo.asm.qmsg +++ b/1ano/isd/quartus-projects/MuxDemo/db/MuxDemo.asm.qmsg @@ -1,7 +1,7 @@ -{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Design Software" 0 -1 1669918371010 ""} -{ "Info" "IQEXE_START_BANNER_PRODUCT" "Assembler Quartus Prime " "Running Quartus Prime Assembler" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 20.1.1 Build 720 11/11/2020 SJ Lite Edition " "Version 20.1.1 Build 720 11/11/2020 SJ Lite Edition" { } { } 0 0 "%1!s!" 0 0 "Design Software" 0 -1 1669918371010 ""} { "Info" "IQEXE_START_BANNER_TIME" "Thu Dec 1 18:12:50 2022 " "Processing started: Thu Dec 1 18:12:50 2022" { } { } 0 0 "Processing started: %1!s!" 0 0 "Design Software" 0 -1 1669918371010 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Assembler" 0 -1 1669918371010 ""} -{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_asm --read_settings_files=off --write_settings_files=off MuxDemo -c MuxDemo " "Command: quartus_asm --read_settings_files=off --write_settings_files=off MuxDemo -c MuxDemo" { } { } 0 0 "Command: %1!s!" 0 0 "Assembler" 0 -1 1669918371011 ""} -{ "Warning" "WQCU_PARALLEL_USER_SHOULD_SPECIFY_NUM_PROC" "" "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." { } { } 0 18236 "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." 0 0 "Assembler" 0 -1 1669918371109 ""} -{ "Info" "IASM_ASM_GENERATING_POWER_DATA" "" "Writing out detailed assembly data for power analysis" { } { } 0 115031 "Writing out detailed assembly data for power analysis" 0 0 "Assembler" 0 -1 1669918371272 ""} -{ "Info" "IASM_ASM_GENERATING_PROGRAMMING_FILES" "" "Assembler is generating device programming files" { } { } 0 115030 "Assembler is generating device programming files" 0 0 "Assembler" 0 -1 1669918371280 ""} -{ "Info" "IQEXE_ERROR_COUNT" "Assembler 0 s 1 Quartus Prime " "Quartus Prime Assembler was successful. 0 errors, 1 warning" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "353 " "Peak virtual memory: 353 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Design Software" 0 -1 1669918371334 ""} { "Info" "IQEXE_END_BANNER_TIME" "Thu Dec 1 18:12:51 2022 " "Processing ended: Thu Dec 1 18:12:51 2022" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Design Software" 0 -1 1669918371334 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:01 " "Elapsed time: 00:00:01" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Design Software" 0 -1 1669918371334 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:00 " "Total CPU time (on all processors): 00:00:00" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Design Software" 0 -1 1669918371334 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Assembler" 0 -1 1669918371334 ""} +{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Design Software" 0 -1 1674690115644 ""} +{ "Info" "IQEXE_START_BANNER_PRODUCT" "Assembler Quartus Prime " "Running Quartus Prime Assembler" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 20.1.1 Build 720 11/11/2020 SJ Lite Edition " "Version 20.1.1 Build 720 11/11/2020 SJ Lite Edition" { } { } 0 0 "%1!s!" 0 0 "Design Software" 0 -1 1674690115644 ""} { "Info" "IQEXE_START_BANNER_TIME" "Wed Jan 25 23:41:55 2023 " "Processing started: Wed Jan 25 23:41:55 2023" { } { } 0 0 "Processing started: %1!s!" 0 0 "Design Software" 0 -1 1674690115644 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Assembler" 0 -1 1674690115644 ""} +{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_asm --read_settings_files=off --write_settings_files=off MuxDemo -c MuxDemo " "Command: quartus_asm --read_settings_files=off --write_settings_files=off MuxDemo -c MuxDemo" { } { } 0 0 "Command: %1!s!" 0 0 "Assembler" 0 -1 1674690115645 ""} +{ "Warning" "WQCU_PARALLEL_USER_SHOULD_SPECIFY_NUM_PROC" "" "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." { } { } 0 18236 "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." 0 0 "Assembler" 0 -1 1674690115752 ""} +{ "Info" "IASM_ASM_GENERATING_POWER_DATA" "" "Writing out detailed assembly data for power analysis" { } { } 0 115031 "Writing out detailed assembly data for power analysis" 0 0 "Assembler" 0 -1 1674690115938 ""} +{ "Info" "IASM_ASM_GENERATING_PROGRAMMING_FILES" "" "Assembler is generating device programming files" { } { } 0 115030 "Assembler is generating device programming files" 0 0 "Assembler" 0 -1 1674690115948 ""} +{ "Info" "IQEXE_ERROR_COUNT" "Assembler 0 s 1 Quartus Prime " "Quartus Prime Assembler was successful. 0 errors, 1 warning" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "353 " "Peak virtual memory: 353 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Design Software" 0 -1 1674690116002 ""} { "Info" "IQEXE_END_BANNER_TIME" "Wed Jan 25 23:41:55 2023 " "Processing ended: Wed Jan 25 23:41:55 2023" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Design Software" 0 -1 1674690116002 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:00 " "Elapsed time: 00:00:00" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Design Software" 0 -1 1674690116002 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:00 " "Total CPU time (on all processors): 00:00:00" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Design Software" 0 -1 1674690116002 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Assembler" 0 -1 1674690116002 ""} diff --git a/1ano/isd/quartus-projects/MuxDemo/db/MuxDemo.asm.rdb b/1ano/isd/quartus-projects/MuxDemo/db/MuxDemo.asm.rdb index cd276de111d4cac378c8cc0ef0248b06a4d8cc2d..c8049cbf3e2895cd25f895552f146e588350848c 100644 GIT binary patch delta 547 zcmV+;0^I$#2Dt{1Pk(0u000000027y00000000000021y00000004LaoKxLz(=ZTk zU@*R62qECdd&=RoW*vv{`GK<-SvY}Ax^sd?4R$; z=gUr`(Re_5o774WH0~1gl|u17$Eqf;`2@i&VxN#cThSM^zJEbVQT7O~qQKY)!KyiN z*Ge5Mu%6)ce>QbqAO9E0RV`16T&V@M=0&Dh@=+7ISwE(?VBr;seI+@rX?{eP*FPwhd{P2zaaT^hM3b9-Cc z*o~tj>>Y+UWhU2f%5vlH@QP?VO?8>DA)nl-Uk|h1O>(NPj8HQ-Z4~Ffu~0dO_T^ z(gX{vCwTpzLzCCX|3z|D%QGU^YC)}enX5fwzw|~!@38^Y07bh=4_H%r-4NgEDMj&Q4C3xB+mRX!B-lKqKUvqCeYD$34C@(ydW6Ms$Jk53rI`N_2N(a zxN{ym`#S_DOz^_5?;|?*7g-@og!gBVuB_apxU>DK(tpo~{j`%NNx!o)a#!ZgwziR5 zM@QHm1~_G=&~U~I<9m2bwB4qrOxP0V`Hdi)Nr9o}ESGg2)>ugu8a78>OX@b`Va!Sy zay1Dr46A9*?W&ePFw-jZ6AGo#mC@%@yShu#E|p7SN3pa1eKPnk?wx{BSZ8vA;2a+i jM3+$%y%sx)jt?DopP)a(?58pU9A$^LGK1d$lVbwbKOpzB diff --git a/1ano/isd/quartus-projects/MuxDemo/db/MuxDemo.cmp.bpm b/1ano/isd/quartus-projects/MuxDemo/db/MuxDemo.cmp.bpm index 1cc5a6e5ed92eeb104d2e50a80513b0b4820d46b..bc49f31746c17ebde18a2aeef4f4368d13231709 100644 GIT binary patch delta 533 zcmV+w0_y#Z28{-gZhuzIZqq;z4p0z(5-k*o6K_y9B}ofCAR(0lNE8n3+1fhW)R1`H z^%@n4r{S@9gx;zm4zxh$^X^Qe2wWd1Cq^2Ncl^yaKf8`I*XeW~kY2xFy-fVKqdp_6 zNiRhuQ{PY9&%c5o4stb^&Y#xcg3JXYIQIXyVK9Gd4)=%-Tz_r(772TkbfurXeZKy3 zBk9gZPft9DwBw134XGHTaOjPjWtSvOM76t+~A{$Mg^m&PW|)UwX4%F3bItF-e~xl}m& z&ZNFnudDraGogoh>deICUXPREwo2`!$i`-v6ok)wW^;i)a@P7YKRQN9{6^EQoTzCZ z{0~WYwHqeeW1GHT)0MZ$$mGUpPpPuJ^dAZFZ@WPeqkp8gv-5NrZ9rd;LaqfP6OYT< zU?z+;haGl)8U;z7t|^p z53(`c&o=2=72ax2vtO-x9HHD20<|g}zeJG6IbHBDnLbn!u3xA{H1NA;)42K* X&mgt}fC}RkDgFn9hY@lLlXL=^VbA|y delta 533 zcmV+w0_y#Z28{-gZhuuvZ__{!4p2~eNIY63PW*$iDRElp0ST!bK;m&IXKU+hQ$yl) z*K1THehoj1pU@iuao|0lcV~!FaD1Sg7-=%z@i*T*cGp?z^?El*mrqzv6aS^C_sD9} zQ&Gt@&$IUJk06MHoDHV;r!}}BOTh?^{r_zk%-@>B3ekb9D}UcAVK0zwBapj~R;R3uA6G<_z-)=0n5`?=a?K#0(|s8)GCpIzjf$K1bnBH1!A% z1vM2W!o#_eV?2rQeCH_P!6^lEA~iGfKrmlp)TZRR%Jm5I=ZG1K2lGKE=y=dXK7GEE z_OH{Dw+aK>v47 zFp)J&vebmEW7o_uV`d)T-}C>|@4EWqIe(mUU-!AM*ZW-e^*-l1gK9%+PpyDsVtf8? zC?EXK`p#Y>d~T{5;~>B*ht0|`(e-qX@h zH3!EKoJD0pvI6<7ccxSE*$gM|IUzz-w{Kjdkxkw0PscSo$wFL7MenC6-DOH{G=iHb zG&GyBi2@8Ln>#ub7LgJ`k~WBdb#7+`Wo@Di`sO}vqHwE>37)x79bBS&M5!;XSJyov z&6nRJqRuz1Lt~a<|H%L$B_%bW9vCopWz+>a&WlA?7<7zz1}=m(-5hgELJ;w3_?!xa zuM_a5$ilOc-8wMpX{=1*C4SKu@ue}Ud`bZArSJ;&Av^ks(f%62U&pfju>w95V|v<$ z`Y0=X#>743yt`#IUCut(t^W1Nog8#@H<#Gi*|{(V>E(jO6>{r&8(9zg>T7B8Qvw~M%4eD|2sVJhv)wt|95!cH~slj{_pU>|F-=xz@ORYkJ|(McYFRZ z|95!cf9n32GT@JH@1M5^_^+?S|Chf{8<%I+6l6GO6L{3GUS({%hGZZwB+u%&wtPdT zNh*(1wWJ~{l$VENdCaL_cvq8K_xX+2R`VW63(!-?GnzqGCfVw`i6a&rruWI}$O-VS zADX|IXuMO0t=0O$6pGxkBji9Tl3rcCzOlG>_1ytiE8)DVCt}(@y_T(|nwd*g{NK)N zUYs9pvyzcLOVz~pQ(5BJpWgwlP;>67(vNGRD@phO-D|OEtMiD^(U#YyHv2ZV5lr@Q^LH zVG5Gn1f?^hTv;L{}e|f0+P07TZ<2uM7@)i!6b%bSUHgON|B;4W% zr}@BNwG+R$@B=O%xfMwhO3<#713D_4cWJ?T$!6=U7p1|GB|z+9Eor(Q<1EqO4L4&S z7jA9464X)=1zqXyCG50pf@`_vW}Mmk)h8egFl{b`Ih;PqZFt8~eaKEjvBV;C>e%B3 zMRY^?C$J4=$sp}`pkRjttbshZqKUwpv}~@HTBwfjInKS4TsS~Vu2U}dx&TGZTzeLcH+|dn!8+9uV8C!bE0%#`K^o0zb>)2$Ss=s?g=zmP8&Wqkm(8_7v6p{w6f<6? z18^FLXfdR4XlHzyt?U125vHj7S4iyx@Q~ma%B3w=$z|gzEwhmd@B)z|gCAV?=5&)H z2-FaD1e4}Q1#x=*wG%PH3jNqq0$k++_Yr(ta8tn&#l-;V(^FC9YVcBpDKX{x3+(YJ z(sRQ4?eU{D4@$-By62Qlc8oU%8OA01UERA&+h(CxAsb>F)2|t;`lNDZ(I?76Dy?Dj zxJ!01d-^-aB?}Wh2Emh_LbmYH%=GFAPkII0WR&ybJBNpq&*ZJ;YDNPzkuhLsoV125 zAtBJ{pvSB}%#9X!*&4lqHPyXDl70&-<6^&aGQJlv$G6zE62~bT_qlA+i)qrQancNZ z*J8!*I5s7p&{-RFz%9t1m7V^cAyw8_0hqAONLtPY$YY|umzoZNQw^FUQx(QEcGTmR}bcwlD0$h1jSdy%c2ClkO ze@)_V5nZcK+j&#n2HJ#GW?1-kGqxHe(QN9T^&DR`gwh`1uuoy&pfR?n_J{P-uUR;L zuYnmRq|LCdxEqZPJ}e2uRsVe5U4L$IWC3Hs-RKa~*OpH$6WPX7CGLDZais)fm2kR+ zN5jI3ZbCA)^a}maH7GB|FUn8iCA zTW{`=!zYBgw_e`S64}m`IL^r`nWV}fFT1C94T9zPr(^Vk>FFYB9?Km-DBkns( z4?8D@iS425Ijc`7p0hei_Uk3Pe%C)${9$WC`W!}#JoU(0Pnqo6qv2*Pg%KrBd0Xg- z`nVaZiIz%?4G@~NkWOkyQpb6xF|cAXQSmsd=AW1@@-f-iEnDpGJ7(iHqYiC%J=Exz zu-SrO;BivBixR>(akCdg&Js;;kFAuguEf*xDuebL^`{aZ`6}$_E55P09od^!8N}zd zt_Z`3Y*CWhiG`ozMspNr<-=p`2P%xWjDEdlndc+2I?T_+iCb$&^%l00HT1Qj+7haL zuXp=Q7`m&N4Zi!{DR`ep4gEA;KjES(^16F3aM|qzxqmbXQD+>|lNg+3cs)bT=*f5f zBg>a%_uF+M{j&g}*Cw{l z?P-WK(Lk$S5UhC;;y0i9jLm_X*CwtQj^nnKPEm_f@7sTEDg|_7yi26aqW6OjA?xJ;|ryQ zH!jTg$bv_@;?FFwj7z925hNCUcD@mU_3X03*+3(%~cJMgWLR{Pf?FjP- z9CWyJv3swc3VFI7A(DQWE~ELxR?SGX=V!8p0EiPFYxHsCz3fkU{Dso^$YL^EQ$9(_5678Rg)5!yOdVz|_KR0BGFFRoo>BonPxtShC zjRv$pKG*@8dex*mUl-CY4GxTyg3}wFV}57mZud_;S(#KnAyc91E2pSWe=O&ziH8w%+Inxx(R zGD>7qCYo0j}+8l>19xa5!DeUtwbfu4$B{~8~RShZ3o(Z)r@3MmL zjRkqVE^){)Qq?Jma<5TMVjw?(QMo0uR9)$ohhEfv_Xwb z;o{=SHZU5+W>qLYwS(@)U=)l7n@-DJ2}BgaglLcA7q90}f3~o*k$>PN{nbE~3cq8^ z_0=vI`_N|qWZqD!9#?&Am7o{*E$<2{k#Xx3~!;BMghzj%2eM6EweO~4y&(+ zxmJ_SD>fM6S7`alM%4vt;Qk&DDr(U+TksJ&5X$ND7RWk6&YF@iaZ-)eqYoJaB{r}g z8z;>$--OU>pD_nF*Ce;e>*aNL5{)3>1dF5^&)fs15ANZ`iEEZo>Tj1e)l9lk{iCv= z9zGK(!i>3>@g9)7mjH!Wa5qUa9m4kLoCz#|;kKkM0x2uy{N{2!p0z~!lrU21ML7%? z);OMh+Esr%&B^&Y2)GLIGllFoN2-FZ5pL=4?FFfo+PLQ^5r>;sy*H_0X; zQ>8zt&u-37DCo<0>wGk~4Fz=;xvO5O76v_Qy0Ee*g_<8)x2l12NM?^d3Y$ev(X2DR z1)s_aXkCi;_@@kWHK=o(Plru1Dg{Kldn=w?ZTLw$pxcE4tPd6;r#dg;tDonUy6!6A z3QoL0!)@MHFR?ar>tMReB*BN?$M${!UMx@8_dE8o#-ea;=XCtm*iU_naUQq=nzktP zx$3+^dEa#V355Jt)Ftb=!GVmig_fwx126S`k39@idPZD|vfbC&P*tFF@`bSZ{-&Pb zTvN|n;Z^Y0rJUXsqZLV7$6aC7JoSNMcYoYd!sta@!)i5RPOmcD#*Lu+0d#T)$Z>8- znpkT2IZ+?px>#Ns&}BT*I4@qx5;F?SSSL08X#X4XC_2SgJA^@Tri3}dm#PvUFGMS; z89_%FlX5h}kUJD_|GC&pXidvvt2k|^Ypf$MbnQW*4bgH-mTS#N-g~mJqKYpLB?i^80HuY#lxNr`65nf5z4!LnDBNo-8{JIz5k{L`pDtq!IP;HgoePVmU;RO z!pgALp<<7IKI->m9%A&5J+3cL`)b)EzGdvMJ?r;gXp-+=Q4cTL*$ExNKRqqUU9~q6 z!U(RktYG|BGAf!LH<^bQRqEai>IytnAU^X$F!Y_>_^a$I`UoE~7qX{XSnNE{zX1}y BTK@n5 delta 5505 zcmc(fXH?V6*2Yy-^eDz7Ql(3|6ybmv=@>;os)!0mmC&m~071h42uBf-W)2`F1VuUq z0qG<`K#G*mTM$H~1_&XfkdWkZ@BMy#*YaKK&W9;`_WI3U&pb1unj@O2)_{=Efqyr( zqyHKI)!T~xXa4BmdM;4my6D`6qaS*j{{Gz8TYg_B7hm1>aY)zDG4?7HhrMYSb|ZKs z`DbdZwf0n3hfNA!Ejw*wciaYcN4*qn{Ptb@VU5vOV@C>yvbRiXE}5@pn~HVnYZDzEdbKs7yZbpT$!R9iEL`&M4W`FjIjFiW%SmSzokl&SepR4Ul6`Nqd@L8&^+`qh?N6(u1lsOFY+PWFbju?17K}fm!LV|h?vau z=*K56>TF6c*an15)tay+7R(848Jt(^Qt%I&3og7%G_*;Z&xe zPqIttpR0`4!3Q97#EXI_X?+=-(V!Z`uf-IquIdFs0zztEL>^kERMx$BsDIxmxW@_&X0{5UTUzP{>@Amv<{?G8h z|J41d0>Cf5-d~po_^-di|CKLQ918P|P+f^OPHy89GS)>=Crqwi^^|me>ul~B;W6r( zA>Mh_9rls(=X#6rvDbHZz@pTXD4}od^}uep)Z-CGdcD7QK!K&WztcA(g~E9$iGy$- z@^fx@wIHvP>r2qw7x2zPx()veGZ+08@rEnwzV5f{$THsjQ@)R=MF{7pH|JKoj$yJH z4pAeKe1G5+lZc`(}vE1jN{d4mejD4qV>x3fPAg0%V?s;a7e+K z{0cKC8QW_sw{}O%#-)q*ruDZZqu2tC68C&<7z=nJb;1#i;*_}n1&ho9!x7@@w2QLh z)eLaU8(K-N7iO34xv@DvSC0V<<@{W3-Pxp6FnA>mK3eHoKZh5~1!(~n9sl4a^wz5N ze|HI!p%9vb-iZl!biLZD?SthlD9Yx$E1n#77_ ztgA0pyUs6A)XG2BT);&{+ib8P<}oCoA%F{UNd11*yN7<8n@^;G4zYwv&lEqXt><=~ zxWgq2ve1qtv`-nDxD^(vJS_U2YkUhkqW=LLeR3Uj4w%FZ5V*O^*Btjq6zUOWa~grE zvdBw}U@MFPFV)`9r6h_sWT0`jv)pnvGp;XMy_G@D#c_BJ+hjL^;T+EO)2N!VFYws( zo9w~b>8XslYnikG5{XInjR}OuP*V15qLGfRgLWI+3EXtlW+1oUwgQizEn2W;(HRVY zyHJVm<0L&{Q#6lR&9i4Y@oKj0Kw@b8^0jl^dQSS%vdIPN?lSkb3!sYu%oV(r=1`Bg zv(kQohdCQ$4zk{!ipoGfZ@PB{sR{0#t!%V3dH{8Y#mC!r{;t zEIh~&>#9PhRkF7G)^V3U7*=o*vz#2md?tax9upUx1IKXLxby|wFafuiyl=6rR*vod z4diXp0C;FH3x)hdKVN~bgd4HVaa^g422SC5oqb~v)p)pVEW870E)r`nJw6d^RfV12 zG*n|;ILxYKecwN;<};^p7{tcM7*Xq|0OHv_XTmm1uqBSe%PdRL(nSt5BW*yttVq^K z(ql{n`O)L3EdqjmLdrSuYq{13-RDVE`M$|#%`I=M`M63K4PzB_z{r{&{Vj130bT1a zyU_1_2HJHb=A)?HSVRp-yycch-YazRSfutahb@!h3YunvwcD~}^I6Cf-ouMb$u>xR zX&)N_J1GSe)%?isYmix+SWPkIR(GG#*H+4?5ZFZ%#eF8lZj_~1zmUc9fGw?QrZ^KT z?}%@`7tdfYGA$O&@Fs{7p!GsY^@jo21|ywqQxIkE6%FYWC%M=X>u>BxtkNJgdEEtb ziUnmuadOfWi+07KEh7jee3fo^`46O~K-6`2Eh$xRKOyE&sO)h5Mf7RDW~sqIrJd*9 zl54d2D=a&6t}@~xpX|Xgggu|QvMk$PI`an5a5cH-rMZm05>Sz{=CjRs?b8`GbEc2c z;G-q5`&QvZN9MWT5czy(0q5bk<$i{v@yP7rDae~5&~|tCBiY?-#FZZpEyHBYPdpe$ zeohid`R-t3BP=vJ~Zv6etEI*aA4?LEvQRHA!2Cqv&=;s;l$z(MuLo?6E7?n6W1<^TJtVMstX!TBy2U_@Hn+v$`=s&ST8HFtIg}N z1X^_S_bi1I(97OXb&^Ed?fcQ2XR;K2gOWS`ktYPL^2(_yN$c8Qew3F;tgSjPnmBeU z(3jvW)_g&<+0`TeLSTwO*Q`Vm-1uK})(fbe3Dotph>tm8WXit7@46;U4uLu)0hGP` z?5rASl1Ldy>C6+%BDYNK*wVzfoGEiN=?FDy! zcNy_%Ump|<6~MG9uTGqgmWdjK%MXLCJ><`}CzXgnyhrXsb0owd?i~(brKL-oQW~!d zecebNytsqe2Houv9dAT*l{CD8f+{oUNxecmMpPdNEGPI~#kL6K_SyIIeJD|K3b({Ra}(Y!l!I`jbO zC0Q%T@w$8*rpFR0z?XLYVq<}2dmPS1b%-3crPzp^61N007qa~obS|%5nn4Un34M)U zG^pJ0{_z{ww8gG2U)o8*$V}4Ik31jGYxMBk(?k4{h&X;+zPUI8a1YRX12fui*B<%H z=<7<86Bv%SQ1~ipA$sb`L*zNA^+SxVdJHv!cjce~Iqz@m@w*{rhojr;yGiu3 z{#mx7QWh^v2ALra!Npj^eA_vsXYoLZ$@Wxxsd{6}jk2L}567aTVQYroQ>AEYOm3Ss z1fCS2mDN^_99x_KsAVQaszTCmy1$lHk3Xtr?e;{mOIBO6F!SoplU7)%R$*`g zUsow~xlM7#eP;|kuNAiKq(zN+=+||6HDVxlIJ5N`qBIkKy{J>pmbo|k=j9P`dD#qfx%O zN8$TNZ-n|4`47jdOj74ZBfJ#hmT$ie0NU?A@wZLdw($7+d!=^eF;}d^)`}zjh(}ZS z$W}_sAvXyL*}0EV#6V(jGrWB~WtR3(dj@DY*a}(h zVoiUTUsQPo)#7C2&Of7(=7Q+h(K62W6w)*e%A9S6OTNqJ$787}WKL|3xEl1G4*AY@ zlBpUjC_@6FiG&uFHOK!Lsm^*gl@QUHj?fm_L6 zu$79F4m9?WAp#0XbB%A+e0hKfdWd=Y_V zj4zYjlfeS(EpJOGBCD1gFjvTV-#!WO%PV?9y)NOOl%D)>n!1Hd{c>DB_N$kUjoihd zga}Xo?WT8xOhjswM{PpZkm%~|>Ut|v!up$m8TwRRXr4W$RGlC`AAgzy+*EZn4tnSs z5_GTj{@D1PhQ$JZ=bfZ6_6eEBe#V^h*7uhw9grJ!xlOEKba0r1>w0zKi`6()O(W$A z`j8?O66Q1K8@&AVI{dO_sr55$$6Kt^hVa^>XSN03Td{6^yrQYHwDArRG9DNnmkoO4 z?+!_QsGOS`IAh*+oSzaed^s`>7tkz7xEX!Frd}YwA(=(}Dy0UD7 z32%fe-Q+U8f5Q2*vpi;GQoOd&Q#)-qDP)UJ*R4FTAO9||?$QDmRC8A73eP_QV@qVo diff --git a/1ano/isd/quartus-projects/MuxDemo/db/MuxDemo.cmp.hdb b/1ano/isd/quartus-projects/MuxDemo/db/MuxDemo.cmp.hdb index 158edd79a71ab8c30ef8e877c0251bebcddbff85..30494193c0c3225cb6dd0871517fa6a3cfe94223 100644 GIT binary patch literal 12838 zcmV+>GTF@(000233jqKC0001M0BZm=00011WpZ4R5H!?6F zF)=SOF)uPOGB6-hN+3*WbY&n#WNCD1Z*Eg!0RR91005aR00000002u20000000000 z001U300000004La?0pNE9YvY;3Cr@csBA%w%!{gfp2rNe;}-xrEEV z>tvFdz(6t+CNq!#hWi~6kkwW3hKj7ZtRkW!U|@F@5nVw=zy$(6Kf8htYFtr3$p5}I z{hj{$^riZAorEM#JyTQFRp0x5Uwu_weXH)XXD*j}$CO-df21z)zyy3Ab&PTRmG!bM zspe*0Z^v;amCpbNx#MJ5&lp!vY*Z9^u~E`EKH(6YPNhybWV@PF_$o1R_J}UQ*;3(s zXD*jxl%2euYsq~|yA|Gc{Tz#B= zDHq%kXfLB|+w_Q>q=4rB4`SQ+`j){pBX2^sF+&6-_cp{Sh&Lg&Anq}lPWoYT98B&9 zlk-4sDSW^<S~) zK?U4mxEUOOIgvGXpX^C~0p(QnGF0#YyB?A4QpBu2Yh?8Fi;?=|x-)AnDbe>%ywz4HVS{^3l{sNK1hIZ5A3>DqPYQKA=TU5tK z3rv|0D(N1>d!zYp`L_y?Pk>R2aR5xoeb)T<%flnxubarRA#X&CmZaGetaQRdhs#Dfug75}wUs$R-$^}@lFK_)w7^S7Il ziGOz?q0A=4ycfAGQTnd3j@y)xdXbMyA!=;6sD8Jpw1s-7ApSd|1eP6&poX z%MiyPG80?=e_|$Oc1{26xqp6lTfhHl>(=vZG8`?FGX6dzxygNJ!V^2v*f{rpo_e14 z$jPhp6;t-tYwykP%bUFnhaqa)S^d6rz2`v)*G44 zPnCUGq@W6{r=Hyl{&DzQutkX&4jhBG(w7WTJ|)lYh+02oC9s|x#vbIEYFTbG?paZe z&2nJ2-UWlC-xE9+$&V$ys*lHw)wMhBYfjR--0&%&S|sa$GYICe7j8!(NlX z8-EvL14+5hSx1Zn?gKMqL#4#9E)ZC-*&}<@tD!%KhS!bNH)?U64@ov?(kMwz;^YjB z^eDmIJ;Ahu=2oo;M-htVxgx|gNicO==GYj^j!Z9EXXBLS%u6fC8-V2y)$X#Dsa?6C z%!f=Ar5L%eQt)W6Kn4)U?MZq9i(=!D=pJ01YUn`D>THkC+RvVx)h)ESWbHU3m5$45 znc=dw(@0spW~8h;hmo?5IU{8qa7M~HhKy8b0D0plCj*El8l`%x^C!ft-k%V&x_?5< z>i-EbYX?k-S$jbCDXVonVc=BTu+i!kU)1UvU$hDXtS<6dt#0x~t*-J#ts~YKwGLZf z)H-&3(bxej1DQwtlQxcwkF6aalQO0p{*{4Of*9-@hlW!K^V9*eVM97;no(MqPS#_t zPbY1d7o?M&XkbcW&y2=&)W(dtRmje(Lbe(k=BMNJS~n-1v}s*6U7ga$hBxJaGqNe| zo#^PQgj4!>f;putCWK=~1rPr^yagNm<6|Rzrwk4cj`oiY4)k%F<@7CqJ1s9^Wt-`~ zh#TM2+tk(5+uhgPRcz`hw)8D&Z^0RVSuSd4-!BHYu|!r0QAl1eyrmhe3cE$%OO#@xV5Q!Y2R>j5wW|& zs+Jd*G0;@1xv)|2EzPaRTNUn83D`K2qJ?{F6#Q9d)uX$CJy5r?>h{2ib_eSA7(l2! z2f=oKjmwb{gB}98_u}OJUCba%Lu^6hwZyKHa#!K5bR^ozv7Qw3sLvARPD! z{`d^$^M+|&sv^$ z@|=$k-z4mg3{Qb&Tc^X2`zYo~iZgP4W;hrz{J00teEL`8qH%2Sl+mHF4HV=eTV6Ob zkU$3WY1q&Yet4DHGqRRqd=@O{-3KU$KzEgteZadQ^uHVjB}Gv=@Yxx!KNxtq7XTiHJhf!v~)r*aQK4OwR(iba*F?MS@|SVobPZAtT} z)A0ywGm3m%3XyU+683Y?VBpndrDt}OZTj+I_>?zGwI8L8d6Y|J*(NVaJu#BErNmN3 z^oV>OWfG-KQeDoxluKI4CFL5>BBp$NK{uf$N6WjIZt=8yYbqu0f5s*^t;#dg#y1 zjz_#be0TIgWpARW9A=@vxIT;_Zti&;6;n`89tPg~3e7!ZLu-bHPhs`pY6uV}u7A=q z=~$HIy(p$_uXG0VMnzZsQJgFjUfIFeZXFJVuXsO?A&=OCSj$s^osEwZ=`$E7ha(l= z?dT~?Er;poPu`wn;HBrz;{Rv8ee+AOIgd!6OB&^Wj%~)ux+F{%S@O9?(JMA5r6L=b ziY;;Vaph6IijpONoP8o+Suf>GiI;8WWn1!+icHp2c%$&5L()o_xN^#|PRomIWx2?* zT!(|O|8WjsxCXHW@y~faooxSP|4)wp?Kcl_?s?8Tmg;#dO&-v4n1*?b7rYEv`tOAZ z8}i`NvC<}~lXKO$`pR<9mcxFqn-_)*^u@NGf2d;%+RAgTO1orRj+e46sgzfypel!b z(4MK@7S~$Tsy#2lX8MV@hs58u)HA~*yTLB5(PAJ+t?Uw>*I*x4wQdIY6vUkms13SYeHf*`gFZbuCbg%$KDauwgDQDYYE6mwS-s0U|K8YX^Sc*o=NGW*TD=VZ$h9-y%^Be-QI$C6w1AD#~ znfGJ@{dOvF{{tf^_ak2>)O3iMKwadYSP?CnSSq0`5#Z>QYNX5?j({bR9D#um$r0Ew zA~^zsIg%qVW+FL414f!dKGT-KIEds341!8KCYA};kv7h$6C#OToe)WM>x4+6UnfKo z9XlanJi8etbLLurS@(RT`y-x+yo`Ng4fiPuRfd3&G<;I1(gWp*B+#{$QUPc3;E!(` z@pDJBRt&7-YpN5UI^xz|=pS|mJk`y%q@^0D_kw379-)9Y2~c>{A6 zt{9v@xT=0`{oKKUffWOD7n*rTUio*td0+1oBf$Ja%W20 zFxvhE$WoH$?NGj!bc^Kjt z$Vq<2o1oAXAkT0waSuHcJZfNZt!P5vVB3p2od<++R8;8(c8uLPX_ z=xE2k$iP~0Jo7qSgNKhl% zomD??e&f7_b@lZP^X4?nH79n0&}n6pHs9;_=I0@pY*Qs#Gv9&@2ZtPp_Erlo`1;=k zIH$}-O|4Ds&BYeKCYVcV!4crd-u{_R=vhwa*+54)Zz_r68;11>a(avug#uF~WNnyc4^_1eflkSkl+#1oC z17T~5{pn;FbXx@c9qHi9V&M7o@TdVb191FVMzf43O6qV33fL2Y3kWTs2jd+PjX%b! zo(x@RJ57jk-?3H(8cpv-HGdH*Q}kV2`};h2Ye(f3LfHw_9tU5JN*)cGdV4y`fQn2A zU+@L`QAzHJvFw)zb0G#*y#kdE?O*A^>t{t?qEb2<3!fzv$Q)m%;} z#|>wI7kvDW0OvEArqAQ^M{xQHw zAM7}71Z$~ynRHyiujP`)>W^Tzc{5;_Fsjb@IT%w6g*)^lsto$CstuCnMfvuLbjA=G z1?Ni56m5xvKLt4JvQMc`yBY9Hz`3fD$AmVVmo#IFFpr;577CD!ogMfnza~V_gDCYu zexHcp^(qKbbjgbtUMmD%@Y~u2Yzp|z8t$WW-Ra>`hoX|47;Cue`3~(Qv8wZ?sS-2bK7ngceRUpgu z1x!%2XM%%AnY#S&I#nFVCgINlp4`cE5roPX92zkK*LzkZon(^Z8EK981qWO5 ztjEDTpB6D;I2(BC$au1KP6l{^dMCoU8Q{wJjTzvg8tJ8g^HdKXA89RhV?tMTfo#%H zxXjIl%iZ8txWTV&5zr;4jVHZ@3RULh# zXL0=85U2GhD>8H#FZc~U8feS#j#K<@Kv@@ivnvjG41oB{1KA|!J>p96GZ17Sw2uPKXS(gY)~({nwrbyFpl}#HPp7v3 z4rpOZb$BM7@-D1tih}M^Afnbh5v#HnD z9F1)brl$5x_uJp?20tbPT=m*oc-68eu(@nE{jbAkvO_;>hf*80MrnR0EM|SSv2rKS zmL?}D|1V&1rk8kLoP>WOgL>6rzXiO?w!>HnTQVpYEYkZkoFOat_uSwQxWT{g27eIn zWXH>n!Xc}}h+Q$E8?mUFOVRTRdpfxIHUK|mc1quzRjU@3uaybmy6fa`{u12O-CbJJ z&VvLt0)g$(VKvmz`tFY2uI8eysmxa**8s*vhV8{3-mUKIXpevTNUlo!F!08^!AD2(V_$EsCYtTJB-I|DGBKjh{tY;2fQDXh0EfujK0LM3J$Ff8k+s%2w9@r{hG zVrOd;zOvoi(Z0B~)Z9~QUy@`%rSfsm@R@&aCq7P{ndQt(O*ktPYCTZ4P}#~2!0^?A zrA=KeeT!NvJ4J(4BxYWq=}n3D!kByN&~Or)fK@aJ>Ly} z0pPT*inA=G{6aV77Xkie(Dil~^G)6PuHus3)~2p}Dbsq#i$TWs6g{%eu8t*LO>J$M zOY@zjOz*Z_0!BXO@9t@8Z^5ji8Xm|(tlYEA>xbKyX5~;CE&~S-yWMC@YcXGHYg!U} z*bdpEcrHh!!$`XlaGq&&wk_)I&Ud#qEz4xZRiMGSQsz_Zs~z$0cf?=gi2r~i{#r-; zb&mM!fp^UQ4pCIg_d0zXN2v zYl9J0YUhCJ=_<{{H3EJ;4yBO+h}fO;8?~kTrgKx(&U2?TS;6rP6p-5arb9{tDgK`^|mYY15W7gyP5u= zlky)_k5-*_A4c0Da74tUmOr7?vowD~vFZ4HC!R*n?Cn$z3uIwI>@@caD2N!*Q71s}hA2DsXp zr)Gfr%5&FbfGgua0C<&yM%jLC2Ib23>j3B7L%C8{Re@~M(77HQ+#Bla@@-2`4#3n# z62k|Q+(c?;ud#`e|D$%f;+_*-6_5V3f|r}@58o~x|=(e6}vL6llvDi@o<%Ch5M~8 z;D2=ie;Hu>W!}kebHsnu5r4ZQ{%el-I~?&}2R_r$TFp$~r~$_}Yrt`5R*r(-m~Vlj z+T*P{V%`NfC-Ewdx4!P&-5HcC+waK$SK7br2H%tct~_@y;F;&I`v9ifvt3~S2hfKB z9lp`s)SmBc@9r!%mll_bEqSgJtm5IL>YDFlaE|Jl?|SXaHmrUStvu~{*ndXDm3hYZ zyxL-9Kj`oyH~7PV^Si&Lb}lCA$!BxHkHM0Czx;_4`loIVc*G64(OugY^{8I&u}jl045WlD#BJ1YZRd2T)6 z**&+xP5C*1^P?o?=UU3Efh;YIn=sF{+rWK_a88W+U7M6k=^MQ7$q+4pOX+zfGCDFc z*0;8QbZkg|zn8XBVe(peF^SSTq+2r|hvvF@{-lCg<;^AfeOOS~hsV!JE4>3`%AWEf z`q(v%0i22b#uzg^J9^;)VN)BnQeK#wo|*EOZ537 zf-IO#zd%2)wA&Ww$7wGw(yxAaTNmnUo%EQ5@?!n!b(Re zr=M{;%ZvA`)>&S_Up2D4h`(xNc_Dw*$ns+T_ztB5`SED@(nJ{xOs_&Y?~txrJSoo?ihXfKGgLN!AGhK9 zm(JO>zBo`m5Thm(lIYll2O!or9NEx#oe>Z>`LDgM*#&`@IvK7Kv2tdHLTLuhqYxB*Cu-66QIJ9oAld?VmIEgW3G zc4Ty{Z(xO@4rG&TI1iEzO}H3v+HmU1zLD{El zT&JLcY=}qwQo(hQeCres8@S7W0*xkc(y6ymn+6d99y4k3lf$i?&OPzgq7pB-= z;08KVK4%z7;r%w+W>2Jj$-(xqICea^^%2lt-`Gr;e-{oIN(w zf6B<{DYHih*N&{4J&qsy8yX#%xq5Kr(CqsD;gQ)x>jq|@F^=0TQW6IyBGt?5GQgE#UcOE)bS?86ARiXlhJYb?PEisthpcc=H6*joB0?*TW>2q2Y*s?{0A4wcn^A=OpC#& z$WW5YeGidk@mE}AxX)u)6v6O7Du(ZP497$;d_NV#10KVX5eyHeV)&uQFi#i?fO+8u zNG&6T)en0V4ML&D=#%Lv9`Pt<3590?lc&;AJnm5(9zn4=9mSI##i2q`0L}|fBTeCp zXFQ7OLZN)||I$%B>rose6k-C>mk{kE^Er=UnlKc6ikFd1(T*29iv5H_wPOl)<`fiL zJc@mU!Z#wfTRMstJ&L^}DE0ycT~Qyq;*mDK>@n;W!SIGu46k_%dqgn2(Q8ISU7``Y z;n6AQn%yEO-kQ!6dw3MD;Ro6)r>p(bQS9YWyc|I>Egi+)9>t3h6bGcE*vF&T5eFHcS3*93H<=jDRve}nc-SFRKM?}{6Q!5 z51i0H1lpnD|LLUsAt&_1PUs&yp??B&O1B+~xf#-dP=~o0*PqrGH=e=dOnl{dYe+Y@ z$HDoPX&3dU*wxcHRL_=Ak7&WEpkS+#uM(g27!HbH7_u^u|2Z&3p-;0nAqjpu;Pl{J zypo0_G9=+wd+HmKf0854`ZZ2%AGV^|d(_R|n-<~w5i0{5{{k4I&})HCGyLN4qgL&- zpJ>{@UxfYZtPHe&3mBr%V?d|a`QzZ@PRh@8Q+}2ke7zfdgB$#8H~2Y#rx|x~9d|D9 z92fC(R??_>pWS#4Dk|r&-6vw$o@b|^1uudk3Vwbn`{U3TIH50eLSN+8@QXcoYj5Z) z<#3k?Nq)Noa4y`zw_RI$oAKeLVpr@hsU`7T>So4eZt%<9;8(c8uLPWzlUS|P)ZQHb zurSGgSJi;y>KbsozXlxF)PUmyHQ=}w9C#Ly!+Uz!I_0_=7;!x~%*2e}qcwYD#J{A0 z@j*xY4UYH^15f*s4-9b=>qnf>ANA0U3nH9mijq9{F%LZ_@gZ28#Tg#Nk{`Wrx}IpK}7{hN;XJDse*3+NP=S#ieS?WFu3H|5{9!`ZZ?YwRDV zvOn$``6qS*cwC5sKjsF1!VUhU8~iCZ_+}4od4801f8kf4NIoI3UF!YL-Ud?e_{OWn zy(6v`|JP1I8(#)R6#Vy*ZO~7k1RZsc=cRPY>BOkpgMSI`6#G8!4@SZF0Ngh5kv{4E z;+~!fmSK>z3;&g8M$%nEnvpb=_Dac-bl>0&UQ-g5<=Y0+8&jIW(Zm6{mkh`PHoWjA z&kT!4U-KyT5DN8B^DUsjpXE$@H(afk(V`vczMcUIejs@q{BKa1W>gtGd87f#gtysE zU{~zH79f4?cj24=(^8nhX6yxyDEtAaWPSWuAT1y|&VsiC&bs6umDem$t~eMhY22CQ zi|LVV(UX+6;$`t>0mggb&`1WUWlDy+Eo{6V4thd%)Aqq+q9D1L%S%_)=7|K7KeQp^rnQrTLNmr{P}sLOKd@!4~O4!6W^m zoq~M0t(*81B*}oeaZ?PZ*2c3jB+u>xV_P7T8&`u#1 zkb+Tv)c>0(un$~8Qc{OIozUNMLf-{+3fIS(ezzO^o~mr85jxCEcSj)3_Ex}g`jx{c zeoJ`Etq-flGkqqc9Xx!=i|<+X)h}E)N870L?Dsfh9(8NWZ{6U30-Tn$7MB%U6Q4oE z+0Wt6_RWfYJ2uq%0>r&A6_wSFLN$NP_mn4}aK@Rw0BFv>-HV%h#h}VGWV{ksn8A1j zKe9SFTcJZep0(1tY;A9FLeFtRH+$%Yxw<_%pXBv6C*AE%=m8HM|E^1t<%1qN+o$VS z1C6~k{z)M#WvFXsF7o#AD!%TZUJNW^58>}hwT%o6uI?UMGqY*+*x+b?{+*ol{!Z)B zd9j7=Fr(C~TnskaScNSflF1S$^in5u$q9X&6Z&{3bgL7(EfpG_GlhrL<_#-X;~OIR z(z1M!J;rr9euxzDtC^b=5!dZ}e&J4!k4tUkheDa>%fQpTJYL>2J3h;q|7%sw-|m%i z4kF3(t!Byd-U{=WnYK>#^7wk{*0-oU^%{SjXOKcp6 zhpU$D(@`8yu9m*l%3+3s?grB@i7rgpw}~9b(J-yn_%yMA-xNvq2Y<^pS;B65E&A5D zFtIHMTP@|aOR|G%(U!Ma?Xdg9Sen?`Z?AzCyB#}<1P>Ihqi}_lBV}t7N1@@UPQncB z#LmuYSS?7mIfuRZ=5%12+rbl(9k7N=P_e?V)N~Gn8y=|9QLb5`&r-L zT3c%tcO=?jZ|(MxNO|-~9Juy9susOp3xBW~$s>8CRl;ruANVC}**^X_*lLHpEzuJE z`9|J0V_1ayXvx>Nh9hwV*(KggRgN5HoNA7Jt)%9lr6D0p%?^|(5rUZW*Ku3kzVqPXoY}_`nv=*eHw$y_3b>i5S+VVQNFoW9Ae*0(G z4JWG)z=iw~?bDkv%^FW(hB1fNZ9ae0qF?OCm(Z0~=ol64kHqGi^JFbyKZ+SG(^S8t zRQs&z7Xz7Y<#9yzvdt0b6aL}?tQg+mq7yVai4C#ItzA}yUXV~?v* z$cNFnc4ddT5pk!I$WtOwEi02HLLC(<2ze|dv9lNKrks3Wq{lcTNY7>U$3coCAF1Vs zs&DOckn#H2%5-xR;TpwpJhfb^Bn;$2C1D_mBPetzFr!dI42RZY2A$UOb=tApAN?t3 zUmBu0jA8u6V{!5~2)Pr>AEe-E7A(TL2g8p1uesDK#Ia2ZYSH^4!$PE?f)Hc}C#Y*gtrEshiCWAFTB3xV#50syjO1Ey)S|UJ3de^yvn6_cN3nEQvcqK*nuj^) zwBPZW#V9gTa3DRDu`A{N=`Z9#h!i~WitYYsE&3(;L76_@+80jO!VdF9BBKjUpP~os z*Om5V;`X_aUu#e9?ANRkc8|4k>UZ=5e90mU!;~mfDYVwRmi7KhD{E4(m%|223scwid5-8haf3cKcju*}cA_NZ8M% z&Y*~%E@o_(0kfkxXwr`0`B*LdQHvef#SS^}{e9(IN5(MLnhesEubDVbN~SGZZOsbo zhH15-=BISB=BSzJwI(%!$Ma{(Sxqmy}!eHEP9oEt(#v9C#O7N)uxB8 zE6r)KmdMaA_Q%GxI4uqt7BW5bhD->Oa>}t!N;`lAJ1xaUVq)LgFN%x{?XA^X>`tzQ zT02Ju2ZiQBesM6_$>wS;)O=mA+{$5ha*-UGbY^8iXsny&Ye-1KUSPV?k;AUVNZ7}i zU1FPC+Gh27sI@0oLd=j#wA6kH82u87CcT?#BRxhbhUaN&qy!5YYSu5?OpCN-z4aXl ze#tnwwWigHmR@P)uz#=5e%r-9vDqi3!>pEW+rE{4`Gfe=IG08-=y6&LJM8{2&n(22 z2_veu!#;xSZHYe*5|2H?`^nltf5BstE-+eNr-J_v00960cmaHuT}%{L6o4-XRO=5Y zilBmsVu5ZIQGQ&u!0gQ3-O-(y?aVB)@nLGD#kC0)!Dwik(DVfx6Wg>gsf~?^35AlH zYN?u-^iP_;H1?@KZ$=Xz?1Sk~Xw^jRIcN9I?y}2na&vL+chBEF=iF9Qh@FQ|A6cViELg8b6V6bM>fLCSu=cnaNuDT9$P64+?7Tl zw1T0&*Y{sM0uza9PeMViJ6w-yP9Q7=eQDdgUi?C9o@0Z<@qxit`fKBZBVDDWaBj~B z7;chPo|Gk7K^?T|wxsIZETar8T=C=`!L3f`EH0UH$l1$dE7>7fh&yj=xe6h?1_Ql7 zQzXk$gSy6bdlmZ=zU`j7R3QQ<(%CYirp!UD7BO@n%h@5wolj=E{)G)0=DF$Ys9Gev znzZ)any%iK3rcH)9ioc4Eqwmzjn6ORM zrX7oL0M?Nl*iJu$5Evfm_j-p%j>ZQE))R2%{mD@wp$Y)9dR}ZXl{T<%VRd|ZqFm55 zd1%LPD6wivNucP5+cv`>3yvI!AN8f#;z|3vtATvxa*tK8b06?C_D6EVddOMORMumHw@i4wxTlu~cWA+AZ=DEeocu6&G=(KkVBQp7O=DIU*rJL>`B z@RJLpm?S8B0}OO87wyPuvwO*4`r-yr81rt?op8zQU?%{$;EDf^AdYn~=-)t9QBw- zW(6I{Sc#rA39yaT!a`@!K=pQ*Q7gKSU=SV<^WgTZ+-(CmckU((UNB=jsN@TVMvBlv zJS)7$P_GJ2!Bzt*H+Pe5@3l|Qz>@22hHa3nHrKpl7ee?$CouUWIpFk4rYiZN-B5eS ztGkmF|8UOtwnz|B%+!`tJz)5<-0TE#x!p{bDDGX**B4m?_D5%@s5uDpP+rE}L>Fxr zrQ__)d$@&M5lt@Hr_sRftbQq4>->(<)I+|Ml#D(W^2BpN#d3k-2^dnAzg~cI`89L0Bz z#j`BW{ZhkJ5Svn|koi&zWCa!97<5XBXM0nS&gOpi4R5H!?6F zF)=SOF)uPOGB6-hN+3*WbY&n#WNCD1Z*IgHWC96bxZeUIAnO%TQPEYGRYXJtME+exK;@z=;6eia%dX&qh-(BCvhQ2d z-|4SUU#h$7BqVX_nVPDu`rh~Z>Z|JNTXmmZ3x&eF#uW{ zH8=BmJB~A{d=faw9Vf$j#<+T7qoT-*jgrRk35VcxDs{pk+gVcKtHs3GBf11sn1d;veG}bsnpw2=|@RLKF+4N`Z)bk zF1SO{UPjrr=@B_e0WJI=#E#W#+6Gq)zYW>xIU*p1cOZ^Kyb-YtahI`l%nxJZU~E4a zn+FQ>;RD8T$KoGDH)5ZcGQTaw<6zI56~zzKUz?Y*s1)L%uoFrcPeSCusZiJt=dU}P z+~~jEka$PG#^!-W7P6Ok zPyx3XZUV<&j%JPBCwP)yK{-{u1{FNOu0dqGd=}Q8IlS_;i;yaHx;Pp@iUlYou?;1sy*qM}yY?@T;N+T;W;#1j< zN-0Bb)-l!gvP`xGOBs=^Evqe;`Yb8=Yhw~VNtSg{*tl}avM#D#%53$*!IVKJJ7kNu znv&6fcOs$8J%~jwa$BPGU2Pq=DI@hFAD2SZ*l=OvPUC3{^^QaQS40UcXFT`6Uf(P> zima9)jzMHbxBUOajLGbn{@Hb(;?A~y|I_Bp=h|dATE=AjeMWL)`_8B*wxzLg&VO%s zf%eGBtMnC9_SYLH7Wd-KUWS7awe75D;CvW0Cyvz{_nb%HY{J>+3y2Z^Gxe1GYs859 zs&D@%8egq{iv>>`lKB71g6Da268^W2{Pa^2KhNNk>TUMf+RqE&Bz~w*)NiY|*~fh_ zNq@ckL!NsO8Mu!Y5y!o>VFh*5M-2BNFM%?|Z2rvV*R_38&(!SerJ}jOTY@GY}@t;oQSs zlfWB)7hwZQwa-~cj0BF3NwT3*VptalEZFFgJ?_=e_GLq>MjEGUah(rIHfho*NlxPA z42<+B!JR$9w1nnXtq4aEisl(2#574Tbz7#{7^{vK@u$v34M(&KktOYKUs9;j&t0q^#{UQdX}SDeF#Rq^x7lNLdG-k+O~@BNZA*;yf8h zJmIJ`TAe>CX6?yQF{}GW#jO4x6|;80sF<|}WZ$w{#}fxmxlQY=Zt+E}uJJ`P7+`gg z&uVp(FKTs_FKQjJzNmHB`l8mc>x;$?U>V5ck*Usv>xNg4oW6QQ>X>pjs12MwG3eJV z8%iO}Qwhw9P3fe0GE$kHPS#^?OebxaXQh)JZD2}b&y4BmsErvjGRQV(kj-Ml%yhh7 z>!ziXHm%Fh)n^T6;7vK;j14L69qs5$!YO?`%AC>_qrx$xf~NsG?1_zqt4D?hP97W@ zT)A*$aLE9tTTbH=xYP2IR<@b$g}Cnh{VhFx{k;RNJ>{0Za@)YX&Nf^DROO;}_Pt|p z>ndcG5QXFg#QQ6)R5H-r)zc@kOrzx4uyrl%edV5(ftKF3u7S3ezLv&yHrPJVuyxB8 ztzK%w^72Sj&TVh$oj)+tT1M>cva039W(+iyYA$pXd|PY#F;;~WY60tpQ?zh*je8}Wo{3gXm_A)j{$_*a{z4j*Ss7aG3X&sI1v}2?_maEU&J;aJT^U2AJ@LPa>0q6lE%r`mS>e0yy%tfdZ)E^6;$A&#J};j zKaF%pnb*hKv11*l$NF=uKkIqk$#XtFjFYf4GCT#AZJiE5?vt1&DbC3Gnc+ah@Z%pm z16sHo7mXu>C$C&KvX+8ebju581`^0%J{24KK@hJp`-V@a7@rNxdG~$_BG4TrWgqY^ z2>ma|K}k_m4Sbfy>kkH=v)8ttZj{G#(m%Yy3H8sS;nhP+7Oq@7uxjzbAqW)a#5|?D zA8N=t2~jMnO>IZ&dw^vWIoX!9h&mk)#WthJ$E6UdhQnb$_Y4MJUDkSLTiK>BAAwJ2 zA=Q4AHWpDXk!AOIQR<12e412rh-?vfiBcx1E@xiKC9UO>a*bn|Y$IFXy#bECRm)ba zUcPW-*{~UHO=`4Fg~YbfJAW>AJm&4yJHz+2y@;Y}n2LVl8Zm~r`Q}Mfj6*$n7eCBt*0=x8YZGYc}tRkmz&#*|DW^r&9A}cA|ib*X_Wst zwi#>dk}!E>$>$nHuh^WFifmjew#3!Pl}Gt1N|yX__KAFLy_7R0UbdN+ZOKb2GI>+s zjlzo#No!@|$|=V>EibaQ+9S*?$$2o-ID#SL#Kj-;$to>vCKQ{ii-aNp$=Xvi~ zs^_sZc|faSU(92?$YsdWe=kPZPz0BbwKhqeoU6vw*Or5}8uo_WydY$tFShjjLme-p ztvu(dwM(|;cq!YGN_lMxs%n^k_Hc0%1J_s7t38`wGyTL{LgH^*3ejrV33hRP76Uoz zWtZ^00sFY3btAaPA@UvAhj81jVP`D#LY`xCbd@zSW{Xm@fH=;J%vYtFCczGb))t|MAYW@G$T31YGbq%GoIoA(oJ*4Wp}~#hURbk-$95M^{9P z#3@pZlzGDuuq2A35@=5(M_>nuRJ0rY>5tl&`FgepZHCyJ3c5chKXE za}nnuau4TSrpJ*^M*0h+??(Cr(gTt5y&^tX`K3zVh3#jty${k~A>|#OUn8A>^fySk z%8@VRPDHu^smM!OMEN}^7kilg5!)PMY*AGC?@`Yq{VPa$qqd0n|B+|90V%m@E8FsO zq-+bz*%o?&oWc!P7~PUeIbQ-6-NYR1;aFyiGLnymY}P<*ZD846h`h;s!;6{1oV8?8^UNhP8=9IHEoyEaTw?Zh zk(ZQ8c^c&pnv!|drx8=DtRZ=AJc>FmL!)0a$dS~R_3_Uy&8 zrjCr;zx ziyzBEQVk-_0pslvXvJpMM~T1J%*7miY`pX|_l|M=ycf-8A>Ws!x6S^;F({I`(qloO z1D_7jNDgo=Hzp~Kl|f3=n2)NXQEW5u5+6pM$FK*G(pOm{ckr|gn+*Q{ggke`4JxHw z2O>U-GU})8EaQ&#Q{;Ix`4LjKlY?E$P(K5TjiV3uQrTI>FOo|6ogRib269p-EQR{<^3r5SKZ)W1DqBm&!$vf z?L_65C(|h>Gz$K*9nP*5___%1ZL-==hV`EPXGJjlAr-?_9>W6emc8)I$GL`tz8}6mG+jtN_=Plg_}ohC%N z?|oJV8cpv-HGd8&Q}kV2`v*LDdspoxf;hl9Hx7Oh;5-_%^!IgD0W}#~AHbJ}FcQEF4cpL@vUCwd;1mL6$ zcAQqgS}I;39ar*exwbL;5$qPPDLuldI^!2$OfeL0)80@9{YPelqva&M=#otsUW)`?^4r@3Yzp{I8t$VDz3Jgme+z*LYSWon(^ZS#Nfq7{ReN1qWO5oX5dD z9~&`YI16~{$a%7Lb`E%ndPl=KIpE6pbvfXo8tEl~^HdLC9%--iVnSDSfo#%HxYW&t z%iQ3XyTRAH!LM+GU+D(_kQ@9eH~5F$;8(lBuW^H4>jwWwdiV{PX3d;a^7p9g0B2e9 z(dSe=%&s0j)K346^vbJnUw7e_9B^g(FH+e~#p|WzO8LfA=ykf~3jItfG<8=SuKf0D zj)p7cJYIq!(}AMo64CfTcy9lwgnl>4|JS@&#=P-mX~~NGoaklro`R`3?O}AVJ49HOKfu-c2-ub>gdBgi{s~p zIITxmk)gwQ$#3wHKwE})oZ|Na%DUK_U2(vp0K{J|AHOdvR{3}iusPe>YKJCy`QaRJ zW%yHo+YcOZ`_UBd^m#^XM}dPbrT)JFr}z4f@8<0~RTIc2_5ZI@H$TdN=RI_jS@IiD>TM+H ze!&U-YoHza{beWR{qTl^@&z8eA>ZSxfgIXPe(x*Yo3)T<8rE#Mj34r3*}oI|-_k=~c%3|YZ{VSkMK3DsY2f1P0Q`>G$ph1tE}dPyRwjhYT$4ZeOK?kXZ)IL54-(i2 z1hz+qqHcJ)x?n+}+-SPi(h# zb;0~q(<>h4T?YD2AkAIzs~9blyD%Cv8tn+tVb zF4XzCP#5GvU6>1XX)e^|Kv@O{JBBY>K+?pG92H(@L8XCbcy~pxNY8VFpAR^#%W#&Z zlwaVc{6fI@09}7?x!BTM>?zOdZ*S=-R&uR(ya;4`VbLS&?&+G>)6&s_xwP0_$@Ox} z#bD%P{@%Wp&Nj?Cs^Nhw#Hu~ZyneWSNnQ@6;Zkt$u-l8aw3myOj+S|`hwYFpisv#^ zI*hdSfb&eFyJJp&Z?U(%WkD`0t^f_rm2#h2U+IYdkR$#oNBoB!@mD+IuW`g*3%uJ- ztA^x9>PdE8J<0yDo@5^d+3wtRc$U7PTF zdMZ+v)iuv`3E_aE63fJ1Fm}VN7-#x==+_}54f5Bpp)_+XGg0} zyBDMFAUGmoQY#)?>6>3Xw%l?|u^UgLr}TF#hXt~*Aap+A9YgxF`)5Rn2VrD1KCj1OMdmo0cP(J&*0Ytb0H2jfIg9z zL#g;=9XLJ(4%#_0eJhj&*Vn;B}$Qv9PCV$lppK(+E*=Ts$1J~yO$6xN- z-8VYoKM(wP=%j4yI&j>Pm!sr2=DXm?dc0Lf%sT<+ zB%a}T>+3Gul|#9*{q7uarTu$u@OyH=mFK<>c<%Y@UV!QLd>7dN2K2!|hi`PZbQb$N zd%Mf6mARF2TaoJoGdz4$UGsw+&QV?SL$7`LhSiUwm8U%q`)M>>nP+^@t1U+MgANb5 z!5;yfAO5X$axqC?F`o+_1xx<@@@G!ypSwBWF*o?XJK6rYoAM_B&$d&S{Gl_9HKN-3|T$H~7jNa5WpQ0zBh*Rh}Ekpls(l&^s#Fi14z}1 z>O%VX+gWkY)6oLU-VlJQPXcotKF`e?n`bspZLUKk$m&A=%*g6u{`d~11Nrf2_|ilf3rw#-Iq#6JTE1l9l+}xLmClnw;LPiA zB`7#w@@=pr4(TcKWITFm^#-o=^9^;DH8o6aoErP$ie{*706%WS1udft#r9<*gT?Za z>VX(Fp^!w!F5C~XvFY%p>4(o~EEYR)d$D6qxu@7T>(F8oehDYU=M{*HjD^a~Q&FGg zXr}m2vqM9TDf##{$g)0u2MnRrS>akBEp~_CzV5d6?T{-V$M-2l83vXvTRyl-K?B(kkNTy8 zYaq#i&NoixwRhn+H?Xj~kLPr~0S>hhqEmH;p>h(odylDf58z#xa%Y*Vx;y6$V7oGR zV18TsKu1@bxrw7-fou~06z?iH=XZ&xdhnc|X*>(lBCX3X@>R>u2HG-gSS@qv`d3GP zr${jr&aqQ)zTjcGc1~xWg#UbBsHSAB@cF(BlU0wZr2K@6QM=`DQQ3 z2vtYy<1<2)))O*9eR~W4H$U_rGD6jL+lheUuh3XLJ@#-K=pE&!0RVYt2G5Nv?OpSV zIM%851hN4NHNH;DXt^R?m>;?bP@b)KcJ&S5JfKan2C@OGRn4+I_>xaBl;3pTW~2Y& zkH~RGf55IZGzqBAUX=sxx45tx@Tu75&tsI2Yb|&8@rQcmR65Noa5xFW0IIYW%kAcq zN#0u@v1ep~HkN!fXV{GlKz(H4Ogl8>9tB_H24Cw2KMQc4VXqolxq9))>Xn1avOqS_ zdeyrZ+w}*aiu98F&`a|}FSA3Fy6bYlc?Y|@XY|t>m3gW~fo!1JCBKTF>9w5P2B1E& zaD^QjvR(Dnl^%S0ckDH^hVzK7!=>1;7_Q;W>u?0_bnK3Tjtbt?=Vv?;KPV$EFh;1u zPKu`mv{BATI`IR(pM4fBl9_r;Q(PM`4q1qo1z`hdldT$g=)t*?93@BUi2vT77E{p z!cOTZUiK&^L{RJo3c8{(cC{gG+~hIr9>MUIR1B|q47)`zywz()Q$wN=Z+H~DL{Pjv zohNp}`8B7jogygqNk_4ZNAU)JV7+$6+czD>ZXU&J5fuBSquAY}*c3r=04VIEdxFRC zvM`k37caakl0mOB;-J{uV|Y;*)Zi|rYsS7F#q&ZT79c$^l@SMc49`U{O!SOsOdeMg zJ&I=|DE<}{_zSMZt#!m?kKkz`DEZBpl!o9CkKjom@bQI%KoIWDS`(&v437ze>Wf3b zV3}yBpa~Qo{X=+Ae+oN{xwS|1>r_$3Dyddc^&R?LVw>0 zeXkSx|2d)m)d~G?PUs&vp?~OvzRwB$BPaCzK&RMQAZ3PY?NEKdN%@0L=pQ?we*&~a z!++|e{9z~bBTnc?ozOo6I;GnV!Q2e#Kxn|+jO$P9OA}9HawfiZyfvkp+vDK;!n2F| zlkMv19I9u_Cr7m46i~2L$ybTbdJGdI7?xQX$p0J|qR^+>n~(%Q4RCsJ23`k45*d>4 z%RTi?$v=k?XZ;GNwhvj+>^_oD5Bu!rLsQ`eZCX=0w?r^ZVkW4gSYpGz7P&~nULhS zivj1N8GKi@t-lo?Ju3Ia{)$-=&n0eVT~{^ZfwreVK;iJr{@8G-cy}#oPNOx{Y5AAO-|@9rA9|xDt;>!I_^^K zHYfDAozS-fo#uo$&i3y(;_q;>{!XA%TxP`?f0vW;yWNz3&kkqPlCH5IO=W-FHS*8w z2JpBL2Y!UDe56mhzqqSsf@K&a z?ZSWMnUQptkY*$erQK3;B;7Z7i`SHdCGobw^wyMSa5Qm1?k)qegbgpe%`?N|(bqhR z-Go9t)Z7yk__Lg8zX66STC^kG%QGOs4Jo z*eQZy-xOxB8M}ZZ3cnvJSs#BENDGLLv*4Y8vo84u-8D;;D-Hxp8h0l7Vq#=l^dzON zc;k28qm&Yi_rl~z2B~FAhTS}Gye154Ode`wfIwk)FjUc`^}-ZXQiEko#=#rxaIzE5 z9;aUjhFxz zL>($$N(blM7U{Sl4!`>gO1 z(D+Ll@2n=A2mGi8{N7H%ex?0YxUzpIG~QxC2o5?4d)VP*r}nr8{KQTn7LbBbe^CEB zD6kJ)K~hqOJDkwpbwb|>bPCtUnSPfW{O(M)(+C}!)7=q>v%MW~oPNn`k^@*Zp6e4G zo#5e1UJLk5fyUXhr)e8?p8XzY%;Rot`K=rLPk__1_VR*qd*U;QIQu#L*}kcV=@#pJ zsP!ekc04MxjzTqm%=DBepK!*RJ_~5hzP)o>`+bvwxsdUydUg)u75wmQaJE8+Mm%e! zb@|%fbo{$6NtO?K=zO1zUk)_(*7zrdtdyay zojJ$b$1{9cLA@AQ#vbwx&~*$i8C>4GY{it8eFQe`~*yhWsb6?-Q z8QH@5{Kr|#%v{`H;FKi=v|`Ikm;=6}9oTa%mf9sut9A3~aM$LWPmU!vj>E%M%hu^A z4k%Yk-)`kF!$Eh0>6b(ortI59j-zRqR%?8kSisMUB>RIuC7Uc^H@zNxYh0MvmIJMp z^719wLG@@0Pr;HsX7`7&G_kYaSqCk4JGK=G9w?H1YVWy4R*sadO&o=WBb$U7+KHW= z*RXn!ZgCEK^UdkN7Po^ZB*|mU+PN1_BzuaYgT$8nA)e#u%=4okZ~whIXw zne30PGfw}!yuMAfR_~SdH~^bFGKquV9Qd?ayFct_Z1(ws7kSBJ-OLU-4AT(%G27l+ zBcVy{1!hOKLlXPNt|cU4Kl{AO>UCalC-+OYRl+`x8PgM+Z@0rdp-AMgUp3m#`u^71 zTC=_*(GGiSw~j>0qetSvweL~&=>2;5gUv`D$&0NLc02gYFImgh@yCHyJM3+Vmf$Z* z^41%}9Nb4szP>dai6h7^u?JN-a+q$ulwW0m?&#)U# zRNsIL`6Jq=H)5JKp27@c4zF8${-{U4*pDxvE3MEmD%u~3%{S-CTEc!5Gg=N%{gP7c zv#MVVWU`gxX$6VCp3|n+qZ{mPp~k>L%MMA|QJe5|Q3kFdoq*vRD9{qot=+vAq8${n zG-Q~)ab_ocQCVuv?Lu1iPO>9(9u#WJNs&&Tpa#srkrE+0>;<%6oE-9p@z`T(6!Kwo zp-0(aZbaOsB#M+sRLja_iBLy{3PK(WNo@B8%E|jjdW)W zjGq#di!akC>j)cAE?DLrYQT#fq$F@#u$F$Ucb{O;Nm`}YKlY6-d`tpAJ86Wn!aQkV? zn5A#VUTHs8)}vp{Eu6$NlzNQhdT`XEwc85EM>(@4dVO25bVstoWfYo+Iq0zk+MKE84a~s3`e4bF)+~%a}ZCI2w7?;4MB1ggjVi^o)U*hLx!0G{xccz zp>C~RBBUj{AW@5fI7--;&PE%iTbt635F}I(*%nGM{Ly-BxRn!XBFA(-up^<69*@Vr z(Gc=5q-|8bKdjk%4hubE224GBt{!i38haf3cI#YN*}cB4 zNZ3!EK0pyYT};|417=%s(3l;;)318?qaHi5iyd;{`}^9LjErHdH5sHS-zssOluTQ+ z+PW3k4by5v%}?oM%~3bg>rHAJm(3sBY+JTt!$KED(Sxquy}!+REP7FVy_;VTC#O7N z)uxB8E6r)KmdMdB_NT=4I4uqt7BW5bLQDvfa>}t!O51=0J1xaUVq)LgFN%x{?XA^X z>`tzST02J$2ZiQBeqb=!$>wS;)O=lVl9j{m6F@n&{#Lk*N~8ey})#(BZpm! zk+6?3yTlf^w8QH4P-{=9g_t3gXsP`YF#4SlO?nsAMtY1=3@^~sNC_4))U98(m=QtO02hX*gwx_zwKh5*zA+i!B$JRY~M=1{6YL_oJ*q^^f;}D9d>`1 zM-*bqs1cd%u#X^nTjI}y#AA=~ezJDZU+|cu3yhXGso;MB00960cmaHuT}%{L6o4-X z)L%eR1Qi4o3v{c9^5e2yn4P`5JGwKootZ^8K1_|YxHh387!7R`n!aFTVw*N5wXrcV zp-@s&EyX4#{gb9IjeY9Ro6*Dv`(XMLS~XF7&e^@QyX>-?++3Xd-ScYhrhg205gu#B&kU%HzR;7VHe@k zhu0pDt$`Vll`v44$z_yQ#5&=_)Xh5=U?Kp)G;Nk-1i4kr8sYPneJ8FXR$t86iVUoT z)=z%$#a#K4gqW)2x}O_LFZU;n_v~`Dc%O0L(*U7Si@>b_FLmHrAoIZO055akSboWS z0AB9Eh56wQSEqM{0~h6o+d%S4Ru0gso%j1;BAZvkoECM{mi6!|)&gH29C}!d$5sXd zccoDXl`_=#+QG}mU?Nc+NhruQn`=?k4uqwkCvBVCjbCWZbz*QhJ}~%le_ecV#9KxR z=l8vj;U-xXNm-H^)IghNNs7jea>~HM(kE{VZf!bea>C! zLz1h6nSvU_ic1r@o=yZ->4zd$!AK8u6~(Xh^TStg6_ZQUlo`?uiwv{XoNWi)GCP#&YY5>UU1+m3c+Qhzv)$!?x z3PIQAp>3b;kJV600!2UEwiO0haO_b0xF^jPSK8m+TJ{xiL-#(L5UQ5JztJ!`q(nB8 z)S1Eg8}N}1MbtlJfnvq%u;Mc$BW6b=#i*kwjlR=(8(~Ngr%L=BbIguvidE0XVd1;4 zr_PH~7VRk^aSI)9`0UkRPzc&2jyIr$A=Es=H9yy6g_~Qmg6`CABBr>bc^kue9KZF* zjTT{MJGGml8nSA)8$gUgcI`Up=yuC$#D;vcqGpa7-cE|%Xlg$TqR11`^X0HE@5gg~ zmQ{g==YIOeLPs$mqT&uHVZSUHep{6^Daeh+ECYLh&TZhrYF)I;G*M_C{Q7r2h6Qd4 zC)TN^U?*;sX4VJGKOUHDf{C2j2s5RLuE_?scxLH6$3n3F+j!ek!HdGms8AQVb@HF5 zB?1!-A_Jqw`8+jqir9J}#p8MI zWIaF}eR63OlLTdNf`RVkqHS4eaW0wV$qk|~7Tlt{;gZ?KP62eu75^P2HRb2Mip=*=P5u1G-C0me`o%5bL^%<(HVRtZ-G`Wp zRLuygX3&O=mFP*60NYs|EOZqO)a-;AwW9k72H^p*0Pe`j-FAR;=WfE_MKiXOO1@xd zqzEmj#Bu#B5y)x;=qYxlg_lsss^|LYf>+gH9>&Y;O+I+1&5GIt&YRHX?N*k^#Yl|04Vk H00960M@S|# diff --git a/1ano/isd/quartus-projects/MuxDemo/db/MuxDemo.cmp.logdb b/1ano/isd/quartus-projects/MuxDemo/db/MuxDemo.cmp.logdb index 491e16d..8c60616 100644 --- a/1ano/isd/quartus-projects/MuxDemo/db/MuxDemo.cmp.logdb +++ b/1ano/isd/quartus-projects/MuxDemo/db/MuxDemo.cmp.logdb @@ -35,7 +35,7 @@ IO_RULES_MATRIX,Total Pass,0;0;0;0;0;21;0;0;0;0;0;0;0;1;0;0;0;20;1;0;20;0;0;1;0; IO_RULES_MATRIX,Total Unchecked,0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0, IO_RULES_MATRIX,Total Inapplicable,21;21;21;21;21;0;21;21;21;21;21;21;21;20;21;21;21;1;20;21;1;21;21;20;21;0;0;0;21;21, IO_RULES_MATRIX,Total Fail,0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0, -IO_RULES_MATRIX,pin_name1,Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Pass;Pass;Pass;Inapplicable;Inapplicable, +IO_RULES_MATRIX,Y,Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Pass;Pass;Pass;Inapplicable;Inapplicable, IO_RULES_MATRIX,I10,Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Pass;Pass;Inapplicable;Inapplicable, IO_RULES_MATRIX,Sel2,Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Pass;Pass;Inapplicable;Inapplicable, IO_RULES_MATRIX,I9,Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Pass;Pass;Inapplicable;Inapplicable, @@ -49,7 +49,7 @@ IO_RULES_MATRIX,I4,Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplica IO_RULES_MATRIX,I7,Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Pass;Pass;Inapplicable;Inapplicable, IO_RULES_MATRIX,Sel3,Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Pass;Pass;Inapplicable;Inapplicable, IO_RULES_MATRIX,I2,Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Pass;Pass;Inapplicable;Inapplicable, -IO_RULES_MATRIX,ze,Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Pass;Pass;Inapplicable;Inapplicable, +IO_RULES_MATRIX,I1,Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Pass;Pass;Inapplicable;Inapplicable, IO_RULES_MATRIX,I0,Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Pass;Pass;Inapplicable;Inapplicable, IO_RULES_MATRIX,I3,Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Pass;Pass;Inapplicable;Inapplicable, IO_RULES_MATRIX,I13,Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Pass;Pass;Inapplicable;Inapplicable, diff --git a/1ano/isd/quartus-projects/MuxDemo/db/MuxDemo.cmp.rdb b/1ano/isd/quartus-projects/MuxDemo/db/MuxDemo.cmp.rdb index 26787151cc83d09215c0d4f6c2b52593e860b28e..2da86a31d124196516cda4bfa392eb8696855310 100644 GIT binary patch literal 19233 zcmb4qV{j(GvUalJh8riFys@2ZY}>YN+j`?{Y}>YN+qUiG?z!j3S9Sk=HB-}FHTLu~ z-KZcSAeFG7|3VND%YTmPA5}4Sa5T5I!DpnWWuRri7jQPWGQwwNq{nAqp!??;>Ho2c z68KW)PR97cM&?fc(h8jXw+Lzvoc~1W~DwGh4kAC8i<7PF`Mj2=2jLe7Ho2 zalQ_B(6_aB@5-BJUEG`ZvmlT-WAnfrB~{hUw&$fv&1M_#&!@h=KD?c4-Tj#9y}iEX zoBGABGoi^Gj7H5Pwu^@SEnA`|Q%>LZexbmB@py!1>o4z$AD^o|*}d&L#LRg2-C z<-9JdvdN;_(Kb?qcKr`hD{9`CvdtLjZtS!tcb;j)_97&g{D`E}#`Vc7qIoM_A!o-e z+r`nSD)(Ovzl%28;SA@kv!Ayh?aeSrMzP>DmWxmZI??A^E)e5BVyp~`%$_|neWo)+ zCu=OH_MZ|bp)x?$Gn)5r@&Jvr6M;ba@~sP2Oq(Fv9<2GWbqsqu=fM(|nb4{?1y%Hw zVsk9S0Gnp*m;jv>`Y4x9U$iEVDwS9|i`)dNX9>zaR{(wKdI!tq*XlO#G0T0%Qq#&q z-)pfkba}l}_(Cp7u>w|Cn8>Iv-~x3hiF;( z2t%M61h?bmA)$bGgQ2ERGrU_SkI>j?gh^+)hANr1BU;1TF@NWBOz(O9ITV6pIG7&2 zh#A4Yr=AI(+Q4kJ8iRZ@hN$VM4(Amuw&MaE7(O2G_!nL&h_IFbs(p~wkP0fcK3ynz z75{awarN@4Pg9-4Rx5NzD6Oa!&iLcgq6DollRKf$Lgwpm$sTk0*BZr zm)KO6gKO5E!7|*x{m090B_mciU}XBu!z@pXC%Qq}?}a zzvsIl+^ZOZxyPpLO7(#r@sLa-yc8=h0f=jrg_k#6C#;@F#`WGI2#qWV7%=a9*E_u1 zb&uB{g3&aG>OZzja7!C)3FpGcW&WHv&0=Ka&`l64(M2e&9Y%afkLI%J2ln;x_C*-) z>RVeOKD~b!ZZ?`u#Q^T1jp}np8guZn&_pdpg_6I#6WJM?O2J>U#+RM(q)LpW+AHip zsHE=Wh{lqhe=B@UJK-;at%^^WUDLoJYjUSh8;=}%Kw{$L{Hp$rT$qN2#ChK-2yX>^ z+Fi8086u%q$gcDAeUtV4zVJ#^kSw;mRpGtIZw1ALjNGRJl-%pQHJG$z?Z{6bJ&R?U z$g*EWu}}4Ds!%%oXWPQ-c=P+c{Xl*qMSd#bAoQ-WcQYYH*Fq zf-$c^SpudLIe(Fuxha=LoS;t9p|&H*Cme>$$!x$y1`dM2{`(#w(%pnIDkj}}^Q ziT)}Kh+2P7F_V)+!x}dj8KlTKO^T-cIQWt2dt>qve7#fxxGDN2+^f%UoABQ?u)g9x z(_2X4I!%+{fMBN#w4b|b^+Rdb1MBIRtXQfY$y`0`_kWScVIhzDZO5ZteNpUoJjDV@ z2bK;*+w+}SAMp~)nOt2!MEP-;(wBlW+`5spU#Qn|2j0yb15cnqdHK9*?`n#&-1Rpn zhUt$)?}9a+5uhRk|9;NW8^s8krlzSbT+hf?g(3+5K4EV(eHb=gq82KZGa3LMxoTc>F#6!`-AKTEY>l?R^W5}Ru3E2uv ztZTUn$Xu&sbLO`L;Ebmj!od7`7QiQ6sI*wfUJhWL#Ox%Bu$eCgW@hd@FLAXjSZUMe z1yd4!3}ry{2#v=LhF5?t3OzHz?BiGI>d>99)jrJ-l8t(i@t$6P8qd8jQX@Z71Oy{H zhpy&Q(TV?}YoQ)i+gMm@NJ^-{ZEWu>9MF@sn)qGpveQ+)-iqTmcZGT4y1+|%3zBoA zZuA^$trgE)n0|mYz$f- z!jpX67dvx6CkYVR3^eR)3icOY@WH>ousLcEMjgA2MEjCkjn7jUzkRu&gy_^*o1Jlp z=h>T_5$^FT*4PcI{)llWV;+$ilbsEF(G>gB|o6hAIG&lyP**j~VNwlxKaek${2RUvFALv7YQMcC&w})TMHB}m!uanc( z&3FEE#xlAgd?~TvHgrO?#7rQyb;=g)95_L@!{H_tahoVz@LotP6Or(;&seoD>%K9rqTAvOh8Y7CYo zo}Kp#;uh>N)BOwFc4h}}_n56C$C87kl*+!~PMF2C;xjm~7r`_I-W&Xz!G(wo@2$mo zd5LN?uMxR9ZVcHv=f+Z>*x_$a-D`?8?_9(e+Fr=q$!29(zW~^b{`wxec>{uP zt5tx}^iAQNTXnU99@C4a*YOMj)UTh1__4%4$tAUkxl*CzIn+m=@%;wB2l|FJ+rv0; zvYoub!PSs4vrYe(lE<2Q&1r@24#IuMfjI<*f_oshfd17=`j=#cWK@_~Jf4qMoR&~L z4ctL|NR-$;zQ^e<66gU%0hwOVVD6&RPGjR6Bx+Z%99~r=+*aj8=aGJcqvozN<<4@v z`j?aqBdXQR%PtQz=ugapgqBmxYN>b|NqV5=fbBe*be!ug56mb%7+qgXw~$?X%xO2# zD#-Na#d@x0E^Sq=F&{F(3$DvOpi$u^Ot^QX=suylUmy4yX`8#}iP@QEqY^Nli z*ugJaIX!oS5qY`qwDA}ytNH4iRT|4dsEoCqO^GM5Ul}u?nYSa^C!lzv>Os@lYw%>I zpvid#!v#27voa=lpy1<5hW(e`5Bwz4d%mJGHlS%jf-g+>a9Jq%KF3T6YTHm?Z@Ohs=9G0Of8-_4MZ#(3@l^2;Z) z^m&_zf=)%+-p{4~qN<;Jy88je`s|sXHclCw`Lls1RdnJtSIB@CLERq2k}-x!2$w%h z@zK5yA43c`fA@ChKz!81D-%YdyJfCVi=Z~Wjh^2eW6TLV?N6B)t2IQs(93pt zi|FA4YKP4a`bQVMTSxFCoD@gBDSvjT|I0j2`AZheszC4fzD!d+vk1I3Kc6(Mse= zndqjYRbg1zv~c}Dl7+o%y5St?ar)YyizN8x$u%SGt*C(d%f6wz!0L~vvz9C3yRqABQU4R@)9q6FCuDz}x~lGTv~}m{BM{;Li4Io5%xjN4 zw(YLN?*zIF4z4Z+%{7}SI9>IsW6ag=pm5joOo#`0t{JRu!Q;FBY_z48E9(+*=hiq- zF?&zKI9jRPuA`mqa_8C3=k`X3ek_X*W1d?i`*j}^+9%;e7k2G-aSYNHwr#HBx;UK` zX`A^0LR@c?${}r$U8jHD{%T2li^;@-A>ysJ`}BBf-8!PNo7|*j=a^P?YV9-$+YKdc zTeAwz#|A>mv8FxBFluEwO)AfvWkWsc@HV-AaM`8AoBHXS?r6hd#c;X=_*~ZkM7ss{ zAQ7A*^Xqx4_%8ofc&KH6=f}ws^eXs)YX4JyU^e5~(2gm@Xy=MA+;Ya#ZuQ)`ExWQJ z!<2@H0Bl4C`;+e1^7lKldk3HLZdYg6 z9xkGR#N0=W?)TgBH-<0lwqP1yqhQ6Xr)zZ>-b21P3>JK{o+QCoK%OqBspnDPNqn4Z zZQarM%eHUt56+?Qlla`lPmT$81mhx#2*&#rGMYd9tG<**1U%9GWcs^yaTs1SiMxyz zwwPl-lL1#ap{<-n`haI)dLO?Ljc%Rc$ED-XB6UXHJOf|khKV)9`lhedp*Pb+Q=E`W zPE4)Gl*WG$fXd{74=ydOx~6s&wd%yZ5BT}Z&mr5yF_^E_T&+to`bYcvqiAePwiFx< zynP+400yYu#K>Rf0b`p)w1DxU!EnjR8+e<^P5Wlcqq%z<&*Ut}8oGe;68Fn3_vGg# z=gejMw9RFc;laACj0MhmUZVac6{>QRK`R~&&pH#_G`#fi*MXa8ajpuoz~Mt6ybpTg z1v#8G85ZVRf+0w4QpbR~GzVn~h}YF)UeCKe_~7481bs;;C=ee*e(G z^NU4JU{REar(x2>3GUU_2?D`o3CYZls?40sY;3HQ#x|wPj`ciwwwF**T!T(#@8p|g z(WVS=z`$>Hg(=%e-Xk1Aj;uGpahb9-^3}7uE`e?|{`tG#unFkA-ba~jEq1%W@eX=z z4Bw{9DJP`38FENSW=)^)li5Qe9pC$Oom#FR~_aoZr3si0iL7a@_K$8tRB4$4Vf74ukIiIJD{Q_P0JG( zCnp~phh?qnAkr`;CG-0sv+ZN1PRBC?GxyI%r%oeOp)|?bfl!N`^MRT1ottZ6U_D<~ zHy<0FaDfy32==*Cr|Q`6=rWm}Cke>|O|)7ff!Xa;(UBu)t|5xIwbpxY4Vs7=^W=#V zl&X}#1^)YlslW)#W>ney9f2#C>guVrxxZ|EWUh$1nK+#s?3-0tO0v2*xYw$M2o!!N zI)o|7g;c&FfvG=Qv`LJO3unjWqM zLgC^1#_typZ%A3!;Xh?wNse{x-HsaTWmn?gcbp@N3;=nOdTz_vP&EuB=Ow4D##- z_t5(8t?EkXda7m@xu)^o8eBeVgaduL6zlW;z~r6sS>f<7 zo{=D{BQ$f>yy1@VB@ljFb-RC`hCl5$8uBBKxTFSOg`#cUDrNX8S zq1f=L?L{p&C_Mt6#!y@;DtOLomn8+iTg?WC9ITf~dRCw}pt zmOyVir7!F%tx(BoZS|o`F4o{J&=JAOcJx+rf#DaZnzob|+b%67sj?;spNP$;F<~@yyUMc4vH}~1+XFObsIOrj zW|rJlAtEhA?-}dv9Pv8d{)|}3CmY#5r!L?ryk6X{b?f;;JNqrWl62s4tOs==95&a` zEWR<1zp_;HDR39nYulVn%0YX>(Mp}Q%dM`Q01!&s4R##!dfUY!fF4gdh`{m{;*5Fc z>kOgAJb>1lJ`4B!T)>pYuyDbgHuSc(5yR!3NyU%uvC8 z?5lRb7o60pOInCu@F7JD(}2s>(cDy(BJ6^{Y4uCkA1cMb1k{;7N%TX|P7XzN zZEYQIVdJ0LA9knVm!y{(AZd-a$R9xjrdKfmTZNM1f2lz%p*lbhd1~rw@nzz+<~LoF z{WZAzJACncGEoVoA3IN|hv>KX>i}Ng08zDZQ}|nfnW1)sLIVncfoZN|X;%}@z6W2s zMul?S5LG*Zr6}P6e$IhufR-$RsRep&g9dr(O9u<8w#K6}wUL?!zw02e7~Tff99D%w zhPlcsCp6N4AnYVevUj~tF+l2%e|Cx0@=dr`hX#A$&ipU5q;c-K{_C}_3F`umlLy6>IVP*n8VFnjG3ki5MwxCHSd&M=9lw6KGc zujP{%DL8O@Z->n2-|nwDF`(uPTHzpuiI=8ey~ z+-C{=rV5ixAtb)y02Ns{=pd~kr)KczYq+z$v0^N|k1oP0Jp*FXd~jr^;A$1$`O8Sf zWJsGRQl(@95|}I?D!6UxciR@p&9aNu&Vy9})RIFU`)kYc!#)dI1`cp}U!y)bLwz{| zPStGg@Qur1X_%1>nzY#Sq>g2&$&_6e4L@F{T6u7Zq^W1bI!;}@U;XelnBEvLx{k+k zRJaoEoKmK+Dg51QaIudto!O4s<*2*%Mt2h2YmZ=C44(uiqEnqdD#vGbuYcfR_!{*Xq;Oa9*BZAGv^Cm9Y@71|f*Fzz(rFN$#8{Oqu?=G_KFh4%{g&~zsyLxgz4_^L(DD{GRI9?1rC zXhQaCnLRaTbo!o9&B|tKZ9Gmdo+IAix*}GL&V)Ed%?VOFj^GYEA-L@F*pHX#BdX;9 zBN#r^EGcFq9dg5;@@diX5K(VK8oxozOx;|Y5ZZ-|Q5mUTVA|DP9x*LD6bNwIMTk0n z5Z4>0yR)J1#egvMnmpUV=FrxJqcr}7Aw14zK2%bO{)FDa@+!u;uy2QaoF^dVDY)y{ zs!J8A$q;mGXB6GdF%}5TgDHIO^H9mjtO9Hh%*Ig5kxya1Yu5Bayd!P}J!KT`P+J(( z3W-qKC5ccUS7EF#Q#>b5U!vyd0LO1)${cL! zrmNwdY$3Ydcr*5N&Zlt>s<1)E^{!FV+G;ZkkxX#`Lz;d+-as5kss}KHM$!HS7Is%= zUa+6+gM5Shl-c`*otbryE9NRqOak*YnKK@|=`eV~#^)Oa-c`)e)^R1`%vY-2T!Zwp z(NaFBPHx|Ym5b~Qt1!K^VPbq~Qu5b8K+-mU3TTGA{-ircM`X$+j|%0o_O*1RWKX`p z%?-}zFXCqUz6(Pr49*8+sTV2;TK;QHTDmIEdOwMsCqamcwN9Hwx_y=@gMlfC_C8pF znV;!MuF?bqS#bG`bPFLBBGCEuKds&%qCc(v^fc=;K;prGi%3NvHz8-@NB3)Dx(R;l z5)^-iIgiq6EanN4u20n4DzTtH28|cik#X$*=hr1kFN#&QYzc+qBaBYIju^tWQM0op zYU$u9F*2l2nM1B*W6=U*`9afLMwM?$$hdY;wSBK+5WT;Uc4$ZJ7QIXHKFOn1uyX_B z9}MfTmSpy*5?2$$FvKByR^VvPjqpreTyMKAid=o5?}tys*|N60yDl|-3S$0rN*~oR zu(9I8>AE`dR7-$-(3a)K6zc5dGaG$ARRKa^*0jia2_4Tb{+#NP?&Fvnm}>qz6@z)H z)3B+`do<6tH@3P@|R(RW5wTT(HCULzDP=y4vjz%UcwKZn)G?ezhi=O7a_kNq7 z5OqkKQvUsW>Lkf-wv+^p;xLP8C9kJA*zX#iinl)pJw|XsjcbwS<(x7AB16^pb$v{AgBTQ5Oci#lI9>gv3IbF#c{QBH{04o0nV zY-7WY;&&+BCq!c0$N$q)DU(?V*#j%$Q!i9M1tvaw9(ZZr&YPH zFN-(ZL(7?LBI8ada|=tF!E$kN@ z)g5%3)465lOL3{nS4$EyM6l8s1UYgWnp_5|j#?1TG%ae8L~U1Ybq6vu2FbV*`mEU^ zeY@UVpS=CGXlGuoh`3u=oDZ*6${MXHjE&GKG1Cu6@9xqPdRtU!B3&=+VGthvp}2!O zjZcFVGrH#1i=?1a;yHrtp4tb8Bkw_Tv=t@v4(8aCBWT=M$&A*CUp|fg{&!w8A7pu5HTuyL zn(^0*ba(rQjrFYdxe2(vO)f8c#1Z9HLVzL;2b3SmlqeRDunz(_t<}}N-KWcA#!P%i z!551b%)nmEZHy@N6MMSF)PP^*^E{2ir0>sPk@mwCcFy*?&2X<85-c9M|-3`zcVvqyLBe0iVk*AkE zV)Fsxc=Axb8n5{a2n?hTydQVe#TNU*2w}b zxXAQxb2Q0L6B2>5L<8APl)}WX9SH}n`L5LH1GW`T8k^OsDe`yLdq1hcT$43o+1_Bt z9EWnHY4ytC{aAT=3|K<{nTc_5@gsnc+;M-%{gl%TJ(=Bkhhohg)8ZUnDN0rAQ#;k)+mVNnE{y?_TS4oNHs0Q#jHN}5*StD zdzgdJ_Nq>MIaR>ng-bR!T<;sZd*O z8-lq(MJR!Yt(H(a#Ho6@`1US8(;cG);iziB%lyf?lw8#$M+KwZ7LX94G+5(KO|rW{ z{S=hY5C|&RGgQQh$EvaF9zr^64;W=bHk4&ArMut?A4z*cj(3AZ2vIFeMOb(e|EO&6 zuUHy5$Lm-4%abJX^$T+)O+6dE|4HzMJB2hqbv0~XgXdDyv?|3gF5Wm$>s z!jsROIy^VNKxz$EXJ}$rxfXy>R2kC!e|R7&gCBNBa9o`CA0p>7vmxl$Wk2uwAQ6zy zp0qR=<1+gd?q&yL=xn{hlMxa=vMcMH1i_%dv6@WQr&cVmX{9qXObx&k6)TS|V5Iykmco*>4yAso5S|Qu~g;!oXqd*U z!C|9QDIUS++1(o1U!uoAF|O_5Y;J992R>Oq4%yW)Ryz$>g~~Pm*u{`ZqYdL=-w&XG zR(1d=ObA_NA#8KFv)Y{#U!r*Ll=J=1-Btx|D#kGK@DlqM7NZZaB!q{ZB z!K96vCFCu{JZ1ZO3T!KB-d8NS)mhr@PZI|Jv=C(O;wj3u2lDPW^t z8i{@`9Gb}VZ}&-1*m&i`qbC9db{cI=xVsu-L{?~FM8-0(vFNO10{Xzolu8_whi-w& zC0+?86n1frR7x_1Tck&JW103M@agS4!On2~F)eb?NCie%u4}<#iFpG<*9Go9IP#^+ zH|^&3h7+l~bvz#tdp7==`7?682?_1Y)*Z@+616}9{2j4 zT%H`NtL%=#`Z)omRr`@i^~wt6LL^GP4FW4a`&adfnRl4_v2hnoT-|lxy*pP?VUl3# z+EMtQX%MgW6!1^((0Y{c zYT;L@kniBe4ppd?d#PR20-3=%)ASU?<{+r(DN8&W#JRXBi(!h))J?;lyYtrk@vmlK z0H+eR9R6&58xbSv)+Xh&nE_I60-+1}WBpEvTIkB*o7kq14hOK}v&B=2dHD_wYr4PW ze>xbEFDUH$0#w-n;R_@Kp#BfH}XsyrAq@r9*787V!S%CvfX& z?i00q05U{)<6(L30xgBW=h*WelVR$F3aND3*9Qkn3d~7S3+jsiX@Br&=GW%}PdhBt zOaNo4pk*Y6kGbB=f}&AR9qzidWni)xZi)X@Wt2XF8~UKEf8jedICr1+xB@CJW6LRL z6;;-2)b&Z*)jC@&IsP?sJ9%t(i&NC%Zb^-Da5E%af1cbqUI$ma%kx+2f~g4#tpzhK z^+KDan!}tL9urQ>4X69SNR6ZudBv5A?}#!JQ&I6hJZdQO$Wi3!V98xE4Wk;)`o zbyvw;dwa>IPu{p*)nFBpP0>gq$SP88yTi)`r>eA^>l83nveUT6l0B)0`ep4U6HP$u zlpTr}x6e&ZV>ur4c?4Z_@A{{vY(qpI{KgCu?ixl1j#u$ShA#t-sWigmFB_xTvS*rj zW_L^AOVvIiVqiFoa2L!JwRPE17A56?7QrZ1trBtR!XL@Z2Ew>oC1q7m=ZZnom;U~R zwWDnHvmnBM5TJCF5jk@mDZ+1&@-oHVR1%pIJ=lsDut_Dw`osdv8q!l`WJuE_E|LIQ zB}s+ZuFY6D<-#wXAgsdZ$GY;gO%!E1!BE9gUhuty8E$}V#vBFS4||Cj7KlgCS54Ki zd^WZ~>nVvsLR(9b|i|l%d^ty(uvMN0;>oOSAL>7JC^PAGMX&5s@WLx$> zT>G6Zi4wV?yVAm$7NLR~8&LR(60g=}=*4DK^m06Y;rsO1#k7)vwWQ$~oEE4gHCa58 z31>7)^@@|q2B|BEvmwFiCksPP0@-IN*_1)Bzq9f@@Y&iIPJOf}-hZ5_L3R09t0^DA zQA(F`^Uq<;z(~_%hC^-n;S$RQ`7Lrt?zva%RN4Bu*~6H4D{hPP5^MHu=rDWr{26dn z-XStT3cjM|xNp^*S=kH#KkLcDxlS`e$%*L7JU&kcsaqWGGO{We#AR*-jZ+jFBRhgg z?~xzE($Y`Qa33zbW;5Jp>^XHnfOAEE5mY0t%|Xri0}lcK2%FYR>hytGD`9WQnK?S^R-c@3rjwJPz0>E)9GB`W?d<3#K2V}RdO++ z;06i$zYL$@UbR$YF-CL-H{8X94hlv#xg)*F^IkR7rXz^0ATCXkJz*IyC3i2m8^!HA z;HLhZ9w&gn1roC&Y?K0If`Cq{6`v}X;I7w83OM(R{Yhz6U_D8ATbzcnxKg2pneVvK zo1&?I+)MVkXeL;berTpVa5-_*zZF>OZ^Cgugrb0p^H2Oy7oRhrsc%9+)Vb&a$Ke{R z<07c{S~uMvU>8T2v-F>Wk{{Ln!pK-NjymoqmbzkMKd^ba6%0UNt(a zYKYktm{8i9lx$2z1*do_j$?Nt3MZUwPYjo2ZL2WNyIQKq#`C?nnJ$3#AxIgQ&P-Urb*}vWZ#5{Oc2mwTUCsf?EgpP$-%*yMVOXkKQ2^ z?9}12VByy~#3EDXHq~7?AA1nDCdU_=^0>)8k+nCt+Oa&$Nmo}(tZNZjv;c{Iquj#i z?EOa@C^Z>^Rki%m+uyYF&U=*9reCUs{K3>-;q0VS3T9CfPWQT601-h=X6DF#wl>f@ zD5F2=4H*}3lvr_2A{0xjG1a=6Fwgqe{?*~uD$geO4&Y4pD$C3M?NH{ixLCCM=}8CB z%5|ETl=sV=eW=$p$AO+*Gq_3SlY+l27*3BJrG$c{#OXpRhjg;N1h>Q^K9Sw4^=6nK zy>JPJ>a)twIi-=fjX*jh#B5cu6*mLl57^e+kBxq@E|B)u8VpE^PCm&LMXL%73na%3 zj*i3gc_7WK8_b0xjdLIb*n1QD+}WSTsk8;Yh=_P$=K+@dgZ9$H-sFvPwFW_qm zyCO3O7v?-+8E|Vtg<#b8X&l-s^7m$!Jz}KBN^2ww6HI12v_}Kr&Y1ydgTs3H7Op-CcRVR`ap@;M=DH*$wOT^ffxJyZv#?2ePtS^P!#j$iZUW$0+7 zLf9%CqLc}|KQ&zbsZPW95>laA^}iNfqy^wM7cDBG5@J^WnY-q2q1TF)Xn-bfB+SVF z`hGF;#Nc&K40m*NkdI3k`Yuq?wrf=AvE)Qu2J+oAf^*8Cub_s zyhV%4*D38~UaW*x9CvlWq9hAuA1AdFZ4cKD}@#XWrvn z2o_`l=F-7CZfYIm@X+{YaTj$xQWwske~cyLC&DXb{m5bZ%%{>eJ$yYab=?RBl_3jT zG3rgo-TMTjg9NMXghsg*Mq?%=g-Q*^4-4@Y_OgJ-g@hvy8Rz1c8o38;Tp;Juy_!94 zZXNsM4+N|1y@OEk7CS}Vm_fnPYxu>m2s`W?2dK03uB}R!df!FL{ho!%tlfZ2oNEB@ z_MPHtE-3!Sz4k=zzjh~iqsZ2Z3C^>Cuq4F!Q0or{KI;lf$nqxLNbMFYJsKM0LYG}< z`?6bSjwNB-l&;Hlohrg}so)~&BO7zAJ`Ad`ghjN9QOMK7bW8+gQ=in9kr#I*in&)w zb+5vb&B2HZD%w67#KdBIpgmNCR(2os?-g4Or}oNvxa=KQQ_3+K{rYFVpi@0YWP4&K`Oma^dw_PH{-L);$l`uRRyQyF`8&Hr zi_Qe^ALarNkWOV+m7Dbh{?@~6{6eFX&gLHJQ&5F42|=BnVjDH+m1{?X(d~FdG%=m|O}LgG#tY_Cn6g7Fxq~|J~#I(kQL3bQUs}X_%z*7A#L*E)7o~ zO7Qf%?crGOofNy8^P_m2r+%`++H{a}xc0$bRgMeZiQD+{Qr7R~pD@>*Pg&$tpQ~_` zK(f59)r+`wK_%}lU&wGuJLod|AhSkJ0lNv*qnbp9Eqd3LQu&~{)-SUl@pM51G?igu z9thiDPNM|Mba<7pD~A;dVbYb@vFaNj(4;@J3dbN7>p6ngx1S(?AqBtxMY~(V|q!imhR*17OMDaHvXHlbBV%mC)jFt0Aqq_n?3&wA9dG4)P2T#^9ggIEz?iS5~ z{CdFTgmP`28r~zVzT&!8pkb*J&Jyog1ut(r!>vR|r>y5UfJAx-+fv;(I#f`^`Sdpy z33j|&oNaWZN}0!JiipVEziAH5P@8($bSOKd=UEkE@wO6@!C~n`430wk-Rt%?5}Ltg zbeEY;-tr!&&kN^tQgTF-qP`W1oWWuHF&m12wb;hYxEG$v!pcHc_0ohgWL%`rqE-0=5Y0^0ByD%`t&BbBaDUC9R$serxs zU~T@mYX5JNpr)%sMVLnNg52V5=L@};)TK?I%&5F=KT%iu*2Hs{`W1NWhgbWK^30AW zFW(jQNJdw`s^iDgcM;jO$bB81euu%@?IwLzv~z82V6{vO=-rLk@s87bOB+@H-YEhm zKvk59xjMd>L&Q-{EU=!==X6Gj2@aUWvhbOfhb17}hC1BYq0yP0v>OFl`GE5*)Xwp6 zU>wpymPT7n%+1^&*m9=O!hu#lU@y+35iauEpj69+LX7?sU{mcp7%?OPD=p};c}VQl za@r;t5xPBPru$(4D-od-&wqpPHj*bSLot}Jn`bkpU&7p}lgh%0Ny%TzrD7=5D;Huc z099xiH|vEouEwzM)e>#4(a)jS@uaI5mG5gN~YFVW^DFvb0_Mv!9Ga&Vq?=M`y9%TEJf4)BZ@^=2Pq6_xHB_ zE9ca6R(`AbnErPei?HklqD0>4c0GA@6y~pZO+_^0?udDnag<)6pJSb2cXAOu*LO~s z;a(HyA#0G&C}s7*xhICh{pw7=K0rqh1o@M>P`P=*97+m`HE6dgCvq|(2_TwmlX;xr=sipFDi*&ld z@3c0RM;moj$@&%a&k#9-mLpjTWwghyOCWsV^RkNZ$-hCPB%53-`wcr0FjS~%eO%HO zg~wHTQJgD9C6*r{;L(xsZ_#p0+@IRJ8q49@3??#!_$Kp~?x-5r1x)KiUaaax7*RT;8I3{LRLNpC+36 z((nGRs&l8DQnt{|5^8^=_~B+YkKk*psVHh9^}B%B?Kt>{eANZlRYeILLq%fq<%{LV z&MUNUi%HT$Ri+A$VCR1{9`tx==&44lq!Mj}%VCnJ-#Pgfm(`QdMPXV`#GJ0xNpEO0 zzqt}wxy6#BfW@y*Yc0Oa2vJI>dJSUZ*#)Jpm&S)WO4_qZcx0LmHkgt_Zl?ypBk|@tMZO^JfHX~TE4BtjWIPd^UM5EOk@M(P4SlK zvyqDJddM;;^mJxmvoqDu3CK;mrOx1-ujiK9!6KzB$%`v^N6MvZA67ADkq%$&#&+19 zD=I4NGyt7mcv5hFLHoxbtXGX09P6|pO02a7ER&15c1Eak?Yc$K^#+*+e`C#Zga!sJ z;e6t}7Co&F#CJxmSCZ6HNxpUSQ_P%5Lg`?R*`SqZm0#Ho=F8ZIvhdFj26tshv<&L% zP$(ptOjV<25%C#zGBF zI?D5f_hoC8nW}Kz1{v1U<*1MeyRG?YBbXsDMKIB&W+dtEef1`}Kgt3OcOpjU1#!Pp zI?DVH?lp$WAT36g7$X4`7U*q#n`%2GWzwY;1T9qdnLnIJX53E{s>8uvnUcW5!@a^8 z8jH_NprH~j4s+M}OuD~EhrcLJG z&#EjLFYlRh*Pj{&UNAZzsi)m;m&n24qmSQ;f#sZ@ zaY=!(n@jGTT?)xA3X)3u^gW1Kw!5~++H?p~v;^9f?h~g>LzSuJUP8arRW7} zB2!;Gkc+b%Amr}?1M8yh-HEHLtiqDL*X2n2oGf*fz2boBQB2ah=RlaIa}&Q>dCR15 z5Vltwef?*))E@Z87LgV>CoRwBU!lNnE`RmJnd!1o?|=jBz5s6G7NoHn0}#-*VnAX0 z+C5)7JZ|O{)1R)W6j9%e7Glwa7ix2LAZ-N{p$r8O9HTlVZl!0y3k83Aoj^B;kJ6KO zs1Su>8A9EXo@aIQ4#22NiA3WtieC6s{TYs)ibscpze5$164LV~j(2yN+E!zlP^yrcT2 zioM0v?t|6~Hk;zoR$|hB7g6=J0yci`<5rKVsNpqL@bLZSqPuln={qhlTsS;F`TAY7 z1h<`L_;8`YpA}q$*gps^`nql9U@^E-w(sGun>=f_E0bBpmzpgf$o`(|9u;TWIKW-kFCOyelKi+CMT3X zfBn6IL#aDI4?K~Hnkz9s?Ny)5D)o}B2gH=g+9hR$<%CzGNPj-LqQABu)fY+aC*}8i zkWvx4_1u%F;`l7nTgh(a`&3vmgT~Lj>||1&Sf1H92qaa62pPx&wX&%NvqgJcoE&3xLsa}%+XRLwSh6O9WNC*!&a-ZPgPuwOb~>EguRFWkk9_@) z32Z`=zd97dcd+K<4>?!yk_nw%9u!}*5;qqQ6ee~J4Y(1N`&~DStCFr2PlqW)lUS3l zqbR!ruVnoxRl1iw?cXWeLMsKeKbT$u$Of`8YDHGg=2|6})jK!OLLPnS0)QxJ^N;yh z%)GTtwI0fiVf#;-$)UKJOl?^k)T|qL9{p!H<&MjP!IQ03YqTO`D=8o`b1XyS{N=bN zZZ{}J{$R~mw83gU3-nii4}whK4)D@D&m6%x@LYJAd)Gmveuzqg_;d3jvbRLhkN*%6 zhgW3wLDJURgNjM{S_dy`u3I!`Fij7OU56|Dm^|EG^L4Tpl! z;<%Dz7ZTaBRLo?`SSMr4HZMlm6Dl%xW5!M>*|J22nUsASdoN=d5jB{xr$R5r#9+w& z+Qt&Op8MQy_r3S?@0{oP|Ihh$&U22?-vlQYb1z?2y6&^)f^naZY<3#XjEG63(d_CK zitqPyi6Ht)0lWCHCr|&I+iH`g>rXh+7^|o4bK5swiCFu$iGF%0(kgU)7q#5g72J-M z8>MxXLY8+|QXItn@iN=>E@#5NAnSamdxbysQlGDN;~Szvt1%81TMZMcH)utvm^FRl z#KwYRgRG#;=EcCw(BDBL8A3O7>=hn(VEbAFgRCWSALA@bH-9KgV26H60B+gj8R=O6 zRk1m+kq}&N9d);)cvB^O`F>enz-WGYjTHk}gX!+izf=l7NHsJJtEn#DgzZsGEt-I* zR-o-wJyRWN+ntE#;cwf_+7rKVs#EZdF!{Ny{j*l(T>uTad;@RGpk|RaKRKvm``bc| z1!(Z?#6x*!bkzB{cLfAzn^TB-^PC4INX)i@aq=U*?TZC_kGwe#1P%ab|3vlp&+7W*g^ z|LD_gykstJh1jH>;OGB_o_c03R1|B|bS7;z9SZ!*Ou)`ItuC#FdMZK~jYSO&-E|A(`8%L2GS zM8BxaSj0yxPTd}Cd39=a_=orc^|cn)e@axS>CjhBB-~YUc}3R!KQ^^0tA7IiSCP(E zVu|nhkVq1(jO`j1xvyt&b=b{e;GaYvsS&5<4_5QGv$IFua!oo;uh~q`Yd63DM63EV zm{1-N-D($|Jyi6WZ7uIi>cXL9B{XDZ@?bMOLdtkm#rx~1W#f3K zqUSt)`9{;OHklm?&0!$MZmXCJK-Y;Y9FAk@w3qJ={I56xS5)hMQLjFnZf4XnI-pZ~ zaz>K#zD;#6_rdSuc#QKN1UrG><|QmFti@6Lc4JZ#-wytLn!zII1K^!0;2lWiBA`-u#M09?9+~OJQ zR}|j7t>!iDL9QisSV=#bW=~_Kq_;SAN(>n?#${P2&j()ASjJupGji2nxhQm?G}A;4 z7Tj?V*H4j{TTS(x*=FFKcSP1wcDrx7*J?eclzXSOc*kl%lzG@CSmENY`!mjf!VV;c zB31l~nyL(+B%%1q07lGkaGrOf6=D{22d15j!_3Nlx|0WSe0Gx!pOnoJ?cJ8J^#?q; z%=CGquoH1>%GEV)W^9!lnLVj@s1PN9UUo7TT!h9 zQtvR642MYrs09X`v-ugz4akp^8*i3FG0xD=51$IBI?9ie_<*lht59s+qSNK8TrhYU z&1rEqhboU`4c59}ai)f8GU8i(NZ`YuVb0f($6BG|PNZB#YV#}f6~zd~>vMnZ@%8(G zsJs${2At0Bq3Y1*AcYsj)We*Sx?crqaucj%j50nWP(w5GWkK-Et=?HRP!*}SWbo#T zfc>YjS=%W~7W=ysda|!0JzbezAwK)X8$&Ge2G30VG=4j1s4RLzkf4Mo%vh%0x3p?P z58@!Q>u=tI?RL_X;Q(4BkXmG74vshMF0PYk?pJj-Z>}?zC^#AOJ#cAeG5L={_-1C6n4LKU{~pSagD>(*I@C+i z`%af{%>5)<2aR1C@=8tgcio{F*!$Qdeb18Dw{a*f^)FTo0kWxFbEqsn0ZCWPqV4AuYhV+$8!FGtSXPpeRTGGxmGDV0N z-~FjIajzyTVZIyhqOAmuI26|Qvr&Uw6&u*^-m|W_?9TXlFfsp#;s>5Jve3re3yY8N z#v0!_6<%OqPVl7`7r~Xi_LE(-Z?9BR{8h;td>e335ITf3EioiTPqPoDHd^%drfNAB ze!G4<)j+H9jjoXLd^7rkEetzDnHYAD5$%0B+Yx~io12*!HpZ4gkgkg85Uvj&8)#Vx zHjeW#;cEHW-ThU+jr=&BypCo~+SN|RK{^Ps7el)FkpzNb1A3WLkdcMa!$sCM!n`aY5_6-{j;i-R0oD0YbUT1d(nIc+ zYnqM>y%*;vT`3RF4d64>2quVq^rG!m*kJ%(kI|L+bFL&a9U_e=>G7D55mAtNTdH=g z(9FJNQ92E3yAt5<@i;(bd`#Rz?L@`PHk;vke*etzu^ijFI+L2irzbCuj*ml6Sz}CZ zoYCX&PA0E~uk{1bmt_PJyVy~0RDHRX>$jO_FUEvk5il1>2&!e*ejbDJfAzvZu4B;i z&zuMrUI8>eyUa{MB@sx!Tk+=I!{g3sHB zo!EY$6dgm_aSV1$DL+?DpICOPOJiC!IDh%AYnD(984?Cp7pPu}437e;imD*KJiS*# zkt}e}oqXl;?NJ`;`p}C}63;tiSG%Sc_o!!i1Zh_hA_f31bLbn>PgmLX<~_hW@W4UG z7$uq(==H)LR7L7mnt*a&57}Al;(Ca%_LvJrH&4tg)*nL(uW9l}*}ss{P2%R%0s1(M z8P(~DeMmhL4-tFCcl4K|Y~Z7y9p=_4L7`z5D2ek5l%A}ss;7=?9dR2sjBVNU0o;4= zhdS!EzIrEAVCNd^uk!;2_2rK*h^MRbIy88=ZZI0y&R^mTDyTn8{qQ#&OMDBAe)5*nye#r5h@@0VTw^^4ELjl|L)^mYXATM literal 18680 zcmb5VRa7NBtSAhF4$k1Rad&qe+2JG| ztd%y+OPVH4F(DuzY7rs-gAfq5|8-@gD=z7haLj;Ev(`b^ZGn(smzH zVE%r3pkE(#VBjx9OgV<9!I%PTl=ZC&qW#tzZM~W=eljnA3@My+eOJc|_U1NFo6V#{ zH38QYkRP@e`_Wv@o-0manbmpq`+=sh>1#;+de7)6Q0a}?R;hu|tJ!`fsoA8D~?8kf#hJOZ@u!`43 z$U)~a`r1bMrRIKUZhT-LOt+zM>z0e2>V_rv)@VN!#8SOZO;z;XPS|9=DT0Nw+)r1n z>nv1|eT)KkY&{?LtxwldBq2Gp+TTa=h@>^B0LF%=5S{B9wS;0@`kzZNJV@G4{)p4& zuiB^#=kAj#g!*AJBOGUNhaqxr52xBomqb_;WS@0x!50@6>ZsFMg#*lqFC@}23SM42 z-SMFGT|rfSiFmv}bf;Ma%sA-h#ej`Fe*6BeE76jiZmZ?4DtGsJR!z}rPol-H9^7uB zuGfBJMP~@45qL&3Kb*-+$QYhCPI{_yw}aD~75l%r=BDvk#t?@GH-!7-SOt-Ck!10| zCsw0P$S+~hhdECJoYuewo|z59+&CC|FCiX@tXvy z-)R2!B6{>4hqRXehkn>Og6ToaA5t0)IHy3a$PZL)xP>8Z(UsfS^PaW!m}<6oM*`T` zV=`er4GRwj_L^Vpyhae}jyFShPYI~n?ue9NrRQ2WZHXE>1^2QfEB7#bu^Noyd@8!q zJlHFrez|sQflzJ9y8u~b*D2vaVW=cmN&?Ql;FvFpFMsF8){cf7ddqLQ1~4lY*0d-g zBi2&u=armC0Z8w@)@Sa7?n9gD_pZ%5zRduG)+yC3IN=+Tnc^#w-=jQulLfBsCoKG1 z{Ral(lB@7nHPSZ*e-gw}ZRoAG-HgutXBHiFSc=fvLUPtM;d;{^uJ_68sZgA(;I^*c z7n})KrA1S=%2jZlnqfZOo}tk@EuC+LN#Y9xwS%Y=-~T;E}OtXL){YuGM9QG;D-g+xC{c zj9eN7cr>`WtfIzU$Ha(_vTLejJe0rVWxZx+#vWj|*eAx_jE=-Fjqkrp#^NUV}%fWR*7i={sHPyltd;M9{X=l(s?i+QvoC&c>s^?bx}EUVNS)lQeIsGv6m8x@ zNT2#H^!g3(+>>$ssrN1k`ZhSiBy?DzR>4+g>|CX5L0=Z> z>CZ^bTr#4g{uan#O6GlCq_6mEuNm&wpk?BgRGV|$N($B3LPF5*hkUg9zhB4jiaT=| z8=Fvx0OjQ!lHLZB?!syUcVVQ9&(wh-wvpsFC+*{>8=w7NxBdc;evfncrc4R<%j&EM(t&LjsU_56-s+XkYNI!s4cAsU<+&1f`T<%`pHt3@IWuGJLR*Y8v~6|N zR*09kwFA_S>8L$DG*PCU6X*p-Xk#-@zd@h%iL@-vx9LLuRKt%^2{%?`zQlyF84(Ft zog~kB$4!h_!8Ka*_PeHQqStOqN@W=87*+KAp~^nGD{-T;QMF z7GVYlk;45(GmS^@M8tX`MfbxsNXC1>g6-sQA89~AFZ)dU4J`5(X+ZoCBB;zX8T2E9 z&d|(tWPOJZ_tFV=ZC2`r*z~sXzA!<@!n!AkL5JR%zLH@M7NHMYk__%ULw3U219{IW zDjN51$!iA%LTvnBC>z+8IP8yV6+01BFH-#knIh?94QP$AyCP&+qFX4z4RpMjnY_VhVd98~e50eWX=e3?8?fXQNl|8_&g`Q`EQH1?42n?w3JP7B^ogW=DT%QQ}TTMW}ek$;) zLZ~Ma*P)o@<}<;|9yxE!%`1D?-XX)Ts=D1qQqLRM>C}oGvxqyxlq0yUW3S;y;;Zxq5MQW%5=;de3#W#`XMY=S>%&4*#6) zTNICb$9xt=Z=kt;sGnX{|=yUel&gdrQz;%PXeY;QtPc2vN(gyY* z2d4W-Uf~q!$zf7@6eES>#PCsa8s`>nMcl)0W2PJeL^%S}uS5bCza&>YcJgyzXFZJ~ zyW&l?irZczQymvg9eVI{kQ&$$e&-C^ZRm5cA+bZzJoyPGtQG8d7xCsF7qK}qE~0u? zAW9-5DeD}g3;v}XI7?J`heT=gXP2M4&B&3c%O)<_-j@6z4B~}5Cd_a(n*RS1ySc6; z?1O_b0LIRR<#|(qX0p9^G9huBHJPbJ0?zESO??!_JUpg72Y%wb;##FlK=6>nOke*5RrES{MEn$0RHL+q;p-ZmUQ2&;r0%Wa-w$!=F|_Q(xEUB zGfiYVYAX}k?4G+?R{6af+9g)HT8S$Zt!*&%@hq8e#8GbkSV`k+d@R!hz3SJD-LhjDB#|9!|+7#RG`Z&LIYFTYq4J zEnL5wXDTlu7BV{Z{Pv$`OL%(H%lsG7@PZ`yYUrQD;Tw$N8virXdc0^-;7MjeUim2> zK|3;`_(n#HC*u&tP|my~cAX&9Wzal=AI{_|-dYd1iZSp)L}uIL16a|`f6lV_{;dAg z&{FLnKdep^XrTT6u?N!D)z@`p={Uc&yju1mQ~~IR9m6;*$zPr9?I*P^9gVvT3!F(z zg?qQO+0dn8eL%%(sJ%z64ViCRlovWk9?rhuK98ft^1|2s)WlR!?)3d5(O{=n6S?7K zu(!Mk@a(c+@;5(d*`Iesd9rrG;&j~PzrNcBRo?R{Hop&Ec4#eUC%={Ht0gBI>sF^~ zG@Ec;)eGvdKTjs~r(ff5vqnWcn%#7K{1-52FE&Ng6oYe~3%0#v;uUzmp=}^QmX_!8 znk6+i0?^u&<0fsQ5iH{Y`2OA%yqzy7sJ?XRRQ56{BdrMVn-uQ07qov+lNWj2jWq2M zXVq;FQ>LYUiMXv0*>48kcwyaO4d(A2WMr8Itt_L>`Vhya6trZjX4w_4?b9G#-H}1x zG_YP03a+lNt!nFTtT#A6-Qjgc1Q3^-ah6_nOrFu&w4JGQnkf`3VrdGJF2H~@XH7)IiI8W3##IZyA zKBP8zYbfM=`)pu(Y})}Gx`u|R2Q4LJ#k?t9YO zSyy3{LVVbw$8-L*1}$AVbwq@3qU=v zL!K`%xO){HRuL5$K`J`D1-y`4A0Jx3K%a?dmk^H{*wpNv6nT8bx{Z?1H%KkOLD_JH zEr#Q{ksn7$gO~NV{KhZ9*UBKU#Vaz5FsWC1h(v-c6|p8y@~7iiDN{*YW#{rz*(|xH zH#p_Kd#ppDxjs&JF8^RwI{jDe5eq9w?KG(@s$(ye!{_HLoEa zCNWGSGRKzcXa`@9-@W1aOG`7a*M%{K@W8a;3Zrb@9Y3q5{Eh_cyKzvAF+#g>jd0kt zf(r!q3NaSM!`RwuQ8QBgbe3^W2rZR4$}wNffk0CAPgsKbRg5&OJ|@W50ZH`N>R|Xd zcAD(NuUqEAA;e#ZM7%BBK+T8(h5LS>Ydmr`lO$q3O0~_8m?~wCHQ1++H*;vQ55&## z?eW!*2u{jj4sNtWJTv7v>Lyzd_ln5~QaaDi=pkS+o}On<8F|TxWk+h6Ot@7-)z&6j8*Dr{?fPpUh4wySn%>uaSJ$)<|dHn0D*gn|nn1`sIZ1az~%Mhu5 z;Zn^h7tyDoKTI`q?I#nf@ZELJnhh#l$kjIBQ$enPnG#c#uvzNe!47hz)VSbFCuz8B z|9p&EiV-6BVNuj8wlifO@{?_60au(8-mc=T`W&V|QUcJgtKpaWz3&4AtIe91rW^JC z)KeTq@u%zVO86!#*ZkguubWOgwE)m%7ggD9!vY611B22)DQTN4H-?KxauzCz0OzM? zuEK8}j2hoJ&L1PVL5kDrK+SZ`z6+PYSYjrDhN}Nf&$P(wQ+jz1;_C4sfYO;LMVlZt zv5$R)pMwwR0ba3*a)B$ZCCvFf>3P6pKJXR4eogovYdk7O`DL_O@jQkbYBDv(6 z^^3OjJeL|?lzBMMa5va$Ov@~UFIZma+u8GmLGvAK9^m!>1>{TAxCe6ms91Tb8iEkA zigD+r*rzN0q`%qP!n6osj$#Pj&z+{)%oyIMynGR8Uhlmd1-TD;aphB1KHuG>2Yx7b zW4cElXPZ*93K8gsgn(`?Ht%RP{QU+xF}(=4TjiGkzSZ^81|WZLuoAmMDJ_Y(3{F2% zPdCEaxA_)?f0%I`9E$&LoOS-d<5becJ{9Z^yF-Wg=3}OFh`*ZEEvm^Tt%&>k2j4*J z!?WJWJ_}ee8pB6bqY0j@#o8LXg4=;LH^C8;XA{N;_S}U%X>B1`8B8#@TWB-*|2a$2 z=}dxVSH< zDJ7lJK1p_;&dn%oq#&wK#%}qMzo-ne7u~%mNW4k^a5^k+y6o6Q)mzXi`e=y|ttz6% zb3x1B)cbau$Rvs^NrTacE*MKfv=W!;TfNxeRWY<%{8TTCD+Sx?Rr%+YZJ6;lpW|ro z3iyQ*U8{k8^|#Od_oa7Ut%X{Fm$>(lnvAIq&0F~GZ-!yT2&y<<*ybuuxWA22*q`%; za~-X(6%O0HEGy(>nces46&(3i{EEpzR~BAHG<^2ULl*ZbHant5s*Ybx>y?D!%|{q$ z*cdn7B2odrRi5#&&$v;75q=nQ=x?ZS4B9&O{T_jf!h2HaehnWZDrMe#yIZeAKlZhI`fF^jsjs-||irlUNScp1h8qU{VZ!Mfru( zVF6b%P1x4`!j$qMw{7X~lNgI#EPpG8Sha&pZn2bL%O_(v4f_Gj#3nXFB?pfB;V6Mf zPI{mS^sItuSx|I<{j2d)M{s|dweJm-?G|?5!Y@7q)5&VROh%L^X>N5}0@Fl-WS){X zfS6fl0aPj}>HSX+LP|~l>l`Sbm6B@f`O7G=oV8>e6ueN-ymzH{D2`jNNmRJ$Z~6s3 zQgEvJmK^IDLJ?1mqLEfhx)T}N6RMff+1u>iHOVu&A710wVwBVGEmgMnarDUQobC$b z_b24T>LnC57!m}fGJH1Xl*Uk+sbpgq_!`e zJ*DLAGT4}u@snd=VC~(sNnExy{EpJZrmF44_K6^{7sX?)+7J#NrfiMdzhXfwYKBk zKo40hgYedR^9GyEh!VrR_)0tdh!9;7*kcU1N)ndE{KyuKm~h!M-QS=Q`S%ODx10~u zeJ1WMQn}RvO0Ut6AxjCvZ|~aURhgmLk}owkXrp2lMMKniOw1LuonF{8|6}*uFx_(H z<7lGyjR-3^;zT-}q*L3zIpLH0a#oII4-P>uc$-zvK##)=GPNK4j-b`*x=>{}VxLnI z;eO)bjZ*c=XD7LN9~|n%wv^~yn1tDSV)rdOAjnjB1L?+IId&l8TM+s}QE06=b>zVf zHtaT9px3B%povCh46ya|_ZZjxB|&POgy5b_{g*RoxHA*I&ARBF{@C`#Z;I*oQx zaQk|#!=|LIOV_t>vArLNG>a^f?IGLa4?2R1nj+KU=8eOe{QKR*--YD>u>*;N(>~p0 z2EAnlS5cjYY`pezEk5)z=-7ltsYLGcoh?KWzG(|5&~^n-P*} zjh~6bx!mXgOjd_rRv7LNUH;j##h1Y3vx+KrWR?}qmyLjV z5XwYxZCX~rcW2ck;4~od9P)2rHo_}=TAhS+;VjK%wubRL)nO59^@OcmmDh_R>%FNS zzG!A?$ECb@hNw>WpH!FChFKQ0!HyU%iv}V>cCY8Qr+~L^4|(KpYI4?mvY#Ry{O;)n zQgLVpD~hX}&uyLtISybzd$dbaHO=T5c6{b+TnA(5P;Sx3B1KZ*vk}e(IV!5h;j*FM zK=ME`IX?{@Wd}e}Dx9mdIW5<1W!CRQ=Q!cUojQK581&tG{C)X0Z6X=+-*+{>6z6i5 zE1dXF)ip}tEnXoZ0ShtOQJzw4DZzBgXNj)0BaYnj#-^+|*)i*sL_GEq3|U)SsY{#q zi-O)#Yy#(pXuv;-$x02sK{49R@Szu+>VIZCIHO13;;x07Ue^{=19d8w+e%XkwJVJ5 z(xfIPY*k?o^4e)qPraZMg{3wZdThWkY6X4s>s4`3ImsdsEcmPeE_W`6{y(j8favOOR`E&HTBl3iLq1R+fDY^6(EZOH`-G3%e-uPH4?2cuJUL0jv^rlEI>y< zpcJ5l>JJop8tz-YB=r9Z;FF?#ZQ$;5u2(dE4)}9}%w?fH{|>ug2X`j&uGYOKdlIy5 z*%O0E$3Fc8LO-}lwD{L!QjPjNBfrZ zx;tjmwh@2|!~5*CRBtxXTZ{B-#il(ie1JmL7FF|BIB#-7P+XlrFL?QkA=l{FcE~>( zXNt?V-G@Dd)`Rme_<+W^)kCgY-h>U!V;(QCQKMFSdx_Q8p_rc>Xzx$CY4fT+oP@WP zs8eI8ZqXBk)!-{z8x0X*UWR4LQL({^%bARFATNmJVzHdxxohh!V8srm_hhM7KjKVS zC_JD%kju^q2lJfYog_8|phK6m(*+AZ_S!0?4qJkX8M_#e~>7BMIb*#|v|h5;HIpLf-k zxM!;1HS1_JMUj&R2|fY5V>1J-#%V#14%EWFCk!;M4Uy8{$5m;g!{HVavX5h{l=%7W z9(cK~uySR&E)Y$h#!qpaRNK!Cn2PWVCg0DUyB1`yy(9|N4Y1{(;=&_J$3d`hNDi)E zXX^{b(Kg82BP`+q zBqYN6z^o5hQGQtJkB}A^qeFO#x*VxziBRmz67+;}_TqVIa^MoJ{g?X&g4Lv#49!(P zvgCU*)gvC(PVk4*_sTccI5x*1F~3Gy%w>OHq+m1As)m5CHyebAk^l-Ueuac1U%aIK ztnV4|QNV-QLAg4?>*!jH{xhe^lgW-??*gf7e)FHfA@aMfc!Guh@qQj{s;1BgZmqgy zmHQlD85eCnX(o;MmV#jX_57vp&2&G0H?c6tCU#(0iB ziY9l3K_QKuS60fIm`(Ofp%;|3Yw+ zQh-bYR8l!wH0`nucJW<(f* zn4zq5p;vbWa%QDNe}X4RN6KABqWR&w6lxsUVmVo=N`6>%ME;$B&9e(E`!U-)a|lkx z@nJ@QcqrY!Nl6nV+^&j|TRvXk{hqU5$_Kb6Y8RHM(c*HOI6y{y+#5i!T)2r<6tyMw z=V6P`-{KKGa637HoP1L2>uv~AGvd=vPm47>X-M&NyDgp|$lcF`qWouB&9F7w4=Ea6 zyVl0uv>vYBse!~I!y-ntebnH)hV8v;hdQk~hwZ2;*ZGuSO=xXIX-k{EJG6|z`8mEOWYwbdg6rMYO}(UYmaGjBspaH}_VN%2ueh)u8E6BdeR(YKrY zgV6%5YkR;P+Fzm~miE7^jz5H}sV46`*njJam+M`1eF1GrKOFJe$B-t1Q4!Ykdj2zr zHS&)s0ZiBFJ3#_*#$=}p@j-K>BnYF?+Vh^ui2(`b4**<_Qm&T6u*x?+9S=Jgf-p0w zwx;S(M#}Wf*=%=lY5F8wmw`uWzMIt}>);#|Rmi;UBeNoYz6=q#l{c2o>pZJyfxN*z zRF=EdKECaC^F6Q^YfXd2qZA|QpwOp{=htAI)LG@P%SV?>clt_ohjq`xS&KAbyGYnT zFZJJLdtb*&rM_kZlk0)Y`R*L6`gLuHLlrI8k!m)c77PORzb$I2!{o0bH&5pF>x89e z4sxv`yawUD7=a0J(;ynP22abgOXA|Vvlnb3?hh@Al8C~)16@-lu0z>RTUg1 zYn2fp`+lE#lUap-Ff*W-#B>8HDQOTr(9qDfEhpv@gS6Fng+n|o*C!cBXFYBa%)Q;5V-A(~fhwswLe zxR|spCODDJlI$RCN=6y?AQVBPZk*?>0UZ*rXnEGVc|dAI=)7Lvv3>wh+M)NIq6#Pru#;LR>nrDJ1gwl%nFt$E*WI#VJP<3zaoRb%b9hhoOIaGF4qRH`9kVsE>$< zz36kk6h&n`tZv}OaWh`bU|{6T7;9+g6~?Vt6Ib?pQ`^U^W4sYxV1rQgxILx>gpzQq z{&nn81l-RbOA~x*T`0XTS_ znh$Bw{YrF_qMyL=W)*a`G(RnW^DR%**?1&if}kSRDtF-aQ)UH;6msTiuc4e}XStvs zgP_PDTY2r0G2=EuG? zCLBhLv^K_Y41hEGhn7-4rR*bi)mr5-AAK8WPh5I*IET6uFSKvy1bUUc1Pr0pBFxwQ8Hm^2Bdf_GgT})V`OW!}~ir(5jkE zw(XmNdo-9=R#XajV48a?9m|Q6YKJIvdSd6kZZcY3I*5LAH&TlC zRA~A>E3q?u|A-8+c5ZCQ#&6Qg z&X4#(%8&#kjz0PE2@?S8yZNHgBui=KcrDdgKhpqsUx&1iF#0}kjp!Ry^4Ux)pi0*% zvesA_b8cj_gTXE^u4MvTvW%6j6IGQ%c3ECqFx|Azkq$fIe>un$Taj#IvL`BbMxlgyoR8_lVkY%`a~i9dcS1Wr=89&IBRWN%3zFFDd+^NTcF1l zO{B>&@g zePN8Sn^Yq4cq_VMYR}w0db+rm@*3P|R`*M{F;I;zb-&qgV-4fB6Cl5r7eNcXjZ%iI zPE^)#plB>BmxWu_8vLok-Nu(|BWMuuP%4!<)>U3z5T+v3t>M&M$ws&5*_OB@AMi- z#$ibluMV~ti%#N5YXJ;TOdc^^PAQ=^{~OJrI~gdaLRd4J%5;n2-Q$%X$;<-&oZPLN zvg^V^w-3Sf?7W+PI=g~o9{h57K8#sl_N)>X6!htBfBqOflEG=m43nN(&8Cqa-bEIy1N?vW|CbEXMtEXk3U z(kqWN6!6oa$5Z`qxl_bM$)M~i3+k{9!g*Yl8qrOq+Yx(g)^MX%QPV=O$tPcKZguox zrLWO&kif$HsMHC}#9J$I*T$Tml0U+YIk8W3}QOg}3U9_vhd z#CKyoT*7}6#ihuQ(WS@;(}g3y`?PY7WC^(&ZNa(<8|w_xPV0;?k>-oSYOV2rfdg89 zfGfvwypHzN*_KXBf;9M+kNmUF^nD1cQat9DD*Yhu`9CGaHqYCTa;1YZ$`VrKJ|19s zL#eF)nv($m4^mqIp8`_oEp4xCiNB^d4I$pT26h6oYR6~GJE*Az@fmOV!iSBs(J-5e zIP{&i*V-y;B)V)hJj_f3ku1Wb;prk7bBaS;B(G<%(YS=Ll2* zR+niE?m<(Q8=O+XswpqySaGf5AC3qsy1wJtY|Q@~eUFwpRf*$d^o~g_9Xk{z<1dJf zTG~rop{N(S0g=kDyz}tr(3;&-4a9>hb9h3+vD5TEd3jCCA4frk*vq>%K{@ibX}Zkz zik867YJ8A;Byw=+NJ$cRb#0aM&RA^$QKOjI51a;_Vdax(sq-Qz@7`JZR+;z*rW7Xz9cZkhawM$IC`nmkAQl)#3y?oJ* z|0mtf7`ge&6jA{1eNHR=e`yuqcDaR?;N;nBd%3Z{u+;Yzer$`faCOuht=4|+0Y@>| zqqM-_l%zt>?Qh&AaMF}?$p53aaVu$+Afry>sHrm#*rJVeKiMQPyv%;o4&E6kpHj%e zZc>BUx=m0tyN%Vd6Ml5@e4MQR1rnfSoBol0?nnO;8Q%5+uY@Ud-J41eH&N!&aDJXl z;TWbbYwd_|f!Q@WtS<_-FF0T{)b+K|qo(P;U-vDkd6>deM@bO(Z(gHpkF0p}dq%?4 z*hN!6fSHt0UCtD}I+fE$YI%$xAD|LAOVhejNwWs5)Td}zd4IhoS zW;7^;eJ;k-1^C3}sl%m18LmLEugCG+}q*1ly;<3r=gVIyU}n zd8$RSA$F`45-;byRqp9g58@P(&5Fmc)eW?Y7L#-bgjKlT3ynE1YnL3ThRg`Ta`N^Th9Bd`OedbIwMZls9c{o>Hi)U0d6^E zWvd9^WS+^d4@cEO#C_NEE`!Vx=VFKHHewdh_A1f~838MepL+_{P5&9Qcutvh!pm)2 zv3^Yu*}7}^&uCNp`4p_b=a@+EkZtsa;!PDFq*OnhWriDvCNzf#C_~$>j?@rZX-W#q zciim)z0@uo`nDzK9Q%v;GY-eyyR}bJOv zn*TVfd;%Pr-G^gFWDym_d_IqO{4(4=OC|m|+O{wU%)yjORE?2% z!TFobnUtrPj611tpRp`v8PqFh1CUY+)QPHCi;QT7*a}1DIj609Bh70vRC@LPa@6h- z(^0bU%P+`o*Slsg4lMX=*N0~?B9)pfq@{#!=W*@C$cY)mmR+~q5pe4((1jR#tYsw6{A^}E+T ze?o6}^*b3|U-?h9rG3_H+ZkuLZqq#Yx$@+IfRFJTEwp8Ym9`q!Y3{8YG4^wgNR;8W zUoEN{D%aZqUIhs3gC7Kma;CEB_gra{`X9d6ZNLXGy@5bD%VjudMcYd00?g!F}L zK465mfgn?JcYM~B!(Zki7L@(Bqc~nG?w>I;-)5M1Yz@WTo3XgE0XUh04D0?+I55&l z!eX*SRO>hjPVajf{JpG7WPt=s+6{fLYGY%r4(+y57Ug&bdv*a)M-LRH+&hu$MS+>< zsec{2XF{EvCM$#Q$)dZS)bHglx9^inhk*AZm-aI2j%4M3Tdo(lZ@%LJKTbFnq+ae? zR_ykZrb8WhjIbKLq)!#AV+d@Q&aNoz6Uyg8J{}^yh}@sDKew~TM=E(VEB(F6z~`3m zyM+q;FRAtlfLvCG-$}cfClXc=`REKq+d>8hBJNsTEBRoGa%8& zNPvzJFiAg?ZYvsy#i0Gv9#mD|%)l6r=`xpiy4@_ltK0VF&FAP-z(jzm^ayTqCblKS zubls~N=$Pst@H#R9T}+Wnr>$(Ks%8g9KzjGLMHg@R^QqH{<*=0~#a;4}#5bCuh z_!8vrtsaj-G>~Y*Zyuo#<;?!IzGh#YCO9FLg=( zm5w(^zuj2>7Li%QI&iePF_-7$d|5b7i0yd0DgTnM?mRIu5oZ9{ED}><7)$yn!-)P3 zUP#>YrtFFKHi#TP*5)~psf`;pp^lr>I%2I28*w@~Ok@kG3wCTw1~C7^8~xJcmph8% z+MjgKNK?j!3#^9ir0RZE?ZUr|UusBv|3LTFfW;zYu8)L6XUx?!^DOEf*H!`PaUv(q z*rvuKHDSJMI6-qqEQ>Gis)%Sf`Mp$C%(rUr)mN~Q>G0K8oy~h|*r!g@6UCe4*eg_H z!lvwX7H5s(h9i_A#8+5RW_u4dTNHk)3MSu;8D$Y8`iktX3Oc;k8L5J`8C_b}6eADr+D+=$&)Fxlzt~pQ_eJL%njOK}ARVMWeTtpOsR4(@cAU?gT1Mrp&u@7IoMB=xAPX1f5=L6d8Zd!>5*P!46)K?e#IQumPhe%>a*cXSdw#>9Lm98?3s!OIaNhNe|r<@QbYez6_&E z+z^DYS8r5bF83A>OJ}HC=P_&f0b;vV-5t89nZ7;Dis*ko;~4KGX?RB>S_00exO#DM z7lH)CmvUfg)5~1ft~d$GmaejH6aKwU@*)t>I*azZNAN%US}vSk0#ATbimk!WDl zBus=PE=4y4Y};m>@GKcYR(o*4zka!gXWx6&Fa5r3%dI=CYrLkDLEE~ldR-im_#6M<0JwITu zd6MIn*n@78{m-{_E_C%e{MD!b>-t6f$Vb8Qh_gCTqi7<@vEY zH!ckty{#apA1R&QrsfUqc7)olI(s-zKPHT>!YK~v!tf{md~ApK2UBP2kWo@=EAbBS z^SGwr|{2Am%<~Q2476gnABB+f};UsX1>& zY=UdbAP!UyFIcYb*6qR`jVI2bN(Szc0wWmx;_Ko-n1C(Ap~b8{Ti4h;efrVn*$1PJ zjDFe#lrnJzZV>+F30?|BYvQ-Ai?8W?U%lY-f8TOeTl{*wzr`$>M1$;&p~BqWQldQ= zM9+lbOEDwjS1e6tCV5)FM$(cN1Mpy(oo9HduJR3aS)Vjh-efJVm35*$b1|=5tak8z z>Ae*pCp*zcU-VSOd=IQ>DLJG}iPha>brHGLkLl|BQx2_*^6R5OvUe%Qv}Q=eG9o|b z>ZrEk!T)b5;bf8k?rPAR`9Dgle^Ld9zH^e85$-6G0*N3POjau|-+W-ixhF8CASpbo z+(nCBxEn>+f**yYA5SqGK-Gr)mXKz>`apT%bjUJnhy)BC?;}ngUo28s|8lb7&5|VM z>~P=Vy=9Z67yU?{|3m#2ndQU-b;S=$!Rt^w0NZZRgs1eqXu{J_O1I0R8c$C@8w@|O z3G1=?%`NOAYawvM&_0M==qDJV}Bl$^M zIk2?(<+FMk$t?ZBt=*}3bGN=eN0!qqQ7TE!igJRmmzR{_tdSrk%G?j=>l8Ata5wc= z#V4s!>0H34datFqCuc9F>q~~#4Ajz%{&QvZ(YyMa^LXOX)KR-+>W<8Fx(HVF?!{Jz zKbHQVfp-ve*MnUF2^W|smx+?32Phb%4$uf*?*WMaAvk&^!h z?rp)~50p~9ZvXh;M9^GZv5Bx?21SGL?!cGo8%9zv-FE32$vV0UJZL0LR$LqP16Yb$ zyu|Iz=IzujHzmYx9-VFv#y}Lw!<&FXju&H{Eus1j(OfVCI zn|!Q|xsH@F?%dAS(?0tA2iOrgmGGZ6xS~WYx^@I!O)yS(Z3XX*w6(-U)rY`Efm)9# zx%P_UlJ{8^6UI{-bPE8b10R4gFA(rZGd!ULjKs3Aldj0q9bRG0!Rl;yLw|C`Im9f31Dk=u_7Zn0O zdT&)zz_Jkh3D*ft>kHu>rQnDhTH6P6B)+oubX z@BNRh`v0=A={Pnwy_zi?@TRwf?AuT#`m+U24ol4@CVNwZY&dgxD#j1H{MW~vd;Qm% zA&gz#sAsgpuk2`tw#P->L&7_Qv+4g&-)0)k2BO7rOSPp2Lo8_&OC1Q!CQG!xU zYhQy>nc8A)$fnK5saY_Pm{sL?N~?jsFti0idoW8By6LVHvVeP~Ei zclsf5BV2ZEsc~Q*B7o+u3dr_X|%gxJxtI#R;&`5Xa?Jv#IvJZpZtD6SQ%m zO-*6>39hlj+U^hGC2c*6(5Nx1&P4~=qOWs|d-CnCYs{UmOygzSDhgsQgieLf?|lXK ztgN6kC!WjnEMM>6_8e6hOdR7BeW+4tn`(JZkOK7wZwZOv#N1&o%G0m;yt}c42N^!P zke$j2JzV!=pD*7bM#pY?GMIPK3|(6~(6jXMh1R`>Ui}o3K?8K;iyFd6NEsJYa*>Hj zHUi4dM4H!Hd{YnjQr9k{sxfjcIH%0V@xl#q%v&C@Vsm97Bo#%D(B}v*D_m#2Z@5==8j80})nmls0ou8%| zUuUEW%0Oa#ff9MD~$KUoQO67Qta3W9(bau;LcI?e*bJ*({2~xRO4&jII zfPC_$O3jgG?}&3d8-!F9WxbcE~>;<=2!`&axUiM;w_>gI3DF_9za|aw;{8t{97bz!fe1EjwbxPWtz% zrQGu$6`+<*ag<#1z>G%3&D(4j*XC6@D5>1z+n@`o$<4P$!|fMJ$tC3AJ`khKo=}?e zsS$O>!JTAk)dt6xcLrvGT~s+Vsd=vvp?{!SQf`A|ATWkGeb$jo7gSGTQmiPbD1dLx zyDbKe-Ld|RUez&}Fzh$~@U7o+pXd{;L@9nQS6SF{tFj~GSj(=2GpMe9F|NT4e)M%_ z!@4^&6u(ut-{r_zR;@*^c`e&NNqC&g-3SDI2ln<;#K~KY10%{?wT_$U;~#n?QQNJ- z6PdbWPv3`R9!NA+pB|kCu+dDt_OC1)fD~mEmyX7-UP$V3<}K9<7#Jt*og-kdPA%h_8v4NVqwT_b+aluj@}91t75F5*a?aaQh?PT z2KK6%KGUJl=M65eCrO}n1MM2+jZ<1F1zGMFh~6t_ zS)V&Eo!3q=6x3Fywf@>3G1RozDr0q3z4CjP!Gmp!Q_+3`{Iao6z_fiz!L8kb5+X9_vhzv93u3V*r^<7EjicDupGzYuR-j8r&Z zk&{`Sbk(ElO)=Ly6(~p~XvqwR9S>}td)4nJXBiIw0%EbVtqo~DwYt$o`>0K%lHy@~ zyTL8yf44rZ2`cCN3oHv$Rab<)j;RY5xolqFU|qyKg-%pFkj{w|R6#@6k5ezmVK=HhICDm8 zqy9Q0W)P|auddSelygxxOkBwff6(68;KNbA>`e^1@lZAGH(!OaJgc#y$rf#sGpUv& RLyE)iY|M>0p3q-A{1ai**v0?= diff --git a/1ano/isd/quartus-projects/MuxDemo/db/MuxDemo.db_info b/1ano/isd/quartus-projects/MuxDemo/db/MuxDemo.db_info index 9ecb071..d54ee28 100644 --- a/1ano/isd/quartus-projects/MuxDemo/db/MuxDemo.db_info +++ b/1ano/isd/quartus-projects/MuxDemo/db/MuxDemo.db_info @@ -1,3 +1,3 @@ Quartus_Version = Version 20.1.1 Build 720 11/11/2020 SJ Lite Edition Version_Index = 520278016 -Creation_Time = Thu Dec 1 18:12:31 2022 +Creation_Time = Wed Jan 25 23:17:08 2023 diff --git a/1ano/isd/quartus-projects/MuxDemo/db/MuxDemo.eda.qmsg b/1ano/isd/quartus-projects/MuxDemo/db/MuxDemo.eda.qmsg index 956bca2..1597976 100644 --- a/1ano/isd/quartus-projects/MuxDemo/db/MuxDemo.eda.qmsg +++ b/1ano/isd/quartus-projects/MuxDemo/db/MuxDemo.eda.qmsg @@ -1,6 +1,6 @@ -{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Design Software" 0 -1 1669918373533 ""} -{ "Info" "IQEXE_START_BANNER_PRODUCT" "EDA Netlist Writer Quartus Prime " "Running Quartus Prime EDA Netlist Writer" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 20.1.1 Build 720 11/11/2020 SJ Lite Edition " "Version 20.1.1 Build 720 11/11/2020 SJ Lite Edition" { } { } 0 0 "%1!s!" 0 0 "Design Software" 0 -1 1669918373533 ""} { "Info" "IQEXE_START_BANNER_TIME" "Thu Dec 1 18:12:53 2022 " "Processing started: Thu Dec 1 18:12:53 2022" { } { } 0 0 "Processing started: %1!s!" 0 0 "Design Software" 0 -1 1669918373533 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "EDA Netlist Writer" 0 -1 1669918373533 ""} -{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_eda --read_settings_files=off --write_settings_files=off MuxDemo -c MuxDemo " "Command: quartus_eda --read_settings_files=off --write_settings_files=off MuxDemo -c MuxDemo" { } { } 0 0 "Command: %1!s!" 0 0 "EDA Netlist Writer" 0 -1 1669918373533 ""} -{ "Warning" "WQCU_PARALLEL_USER_SHOULD_SPECIFY_NUM_PROC" "" "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." { } { } 0 18236 "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." 0 0 "EDA Netlist Writer" 0 -1 1669918373654 ""} -{ "Info" "IWSC_DONE_HDL_GENERATION" "MuxDemo.vo /home/tiagorg/repos/uaveiro-leci/1ano/isd/quartus-projects/MuxDemo/simulation/modelsim/ simulation " "Generated file MuxDemo.vo in folder \"/home/tiagorg/repos/uaveiro-leci/1ano/isd/quartus-projects/MuxDemo/simulation/modelsim/\" for EDA simulation tool" { } { } 0 204019 "Generated file %1!s! in folder \"%2!s!\" for EDA %3!s! tool" 0 0 "EDA Netlist Writer" 0 -1 1669918373682 ""} -{ "Info" "IQEXE_ERROR_COUNT" "EDA Netlist Writer 0 s 1 Quartus Prime " "Quartus Prime EDA Netlist Writer was successful. 0 errors, 1 warning" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "604 " "Peak virtual memory: 604 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Design Software" 0 -1 1669918373688 ""} { "Info" "IQEXE_END_BANNER_TIME" "Thu Dec 1 18:12:53 2022 " "Processing ended: Thu Dec 1 18:12:53 2022" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Design Software" 0 -1 1669918373688 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:00 " "Elapsed time: 00:00:00" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Design Software" 0 -1 1669918373688 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:00 " "Total CPU time (on all processors): 00:00:00" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Design Software" 0 -1 1669918373688 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "EDA Netlist Writer" 0 -1 1669918373688 ""} +{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Design Software" 0 -1 1674690199230 ""} +{ "Info" "IQEXE_START_BANNER_PRODUCT" "EDA Netlist Writer Quartus Prime " "Running Quartus Prime EDA Netlist Writer" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 20.1.1 Build 720 11/11/2020 SJ Lite Edition " "Version 20.1.1 Build 720 11/11/2020 SJ Lite Edition" { } { } 0 0 "%1!s!" 0 0 "Design Software" 0 -1 1674690199231 ""} { "Info" "IQEXE_START_BANNER_LEGAL" "Copyright (C) 2020 Intel Corporation. All rights reserved. " "Copyright (C) 2020 Intel Corporation. All rights reserved." { } { } 0 0 "%1!s!" 0 0 "Design Software" 0 -1 1674690199231 ""} { "Info" "IQEXE_START_BANNER_LEGAL" "Your use of Intel Corporation's design tools, logic functions " "Your use of Intel Corporation's design tools, logic functions " { } { } 0 0 "%1!s!" 0 0 "Design Software" 0 -1 1674690199231 ""} { "Info" "IQEXE_START_BANNER_LEGAL" "and other software and tools, and any partner logic " "and other software and tools, and any partner logic " { } { } 0 0 "%1!s!" 0 0 "Design Software" 0 -1 1674690199231 ""} { "Info" "IQEXE_START_BANNER_LEGAL" "functions, and any output files from any of the foregoing " "functions, and any output files from any of the foregoing " { } { } 0 0 "%1!s!" 0 0 "Design Software" 0 -1 1674690199231 ""} { "Info" "IQEXE_START_BANNER_LEGAL" "(including device programming or simulation files), and any " "(including device programming or simulation files), and any " { } { } 0 0 "%1!s!" 0 0 "Design Software" 0 -1 1674690199231 ""} { "Info" "IQEXE_START_BANNER_LEGAL" "associated documentation or information are expressly subject " "associated documentation or information are expressly subject " { } { } 0 0 "%1!s!" 0 0 "Design Software" 0 -1 1674690199231 ""} { "Info" "IQEXE_START_BANNER_LEGAL" "to the terms and conditions of the Intel Program License " "to the terms and conditions of the Intel Program License " { } { } 0 0 "%1!s!" 0 0 "Design Software" 0 -1 1674690199231 ""} { "Info" "IQEXE_START_BANNER_LEGAL" "Subscription Agreement, the Intel Quartus Prime License Agreement, " "Subscription Agreement, the Intel Quartus Prime License Agreement," { } { } 0 0 "%1!s!" 0 0 "Design Software" 0 -1 1674690199231 ""} { "Info" "IQEXE_START_BANNER_LEGAL" "the Intel FPGA IP License Agreement, or other applicable license " "the Intel FPGA IP License Agreement, or other applicable license" { } { } 0 0 "%1!s!" 0 0 "Design Software" 0 -1 1674690199231 ""} { "Info" "IQEXE_START_BANNER_LEGAL" "agreement, including, without limitation, that your use is for " "agreement, including, without limitation, that your use is for" { } { } 0 0 "%1!s!" 0 0 "Design Software" 0 -1 1674690199231 ""} { "Info" "IQEXE_START_BANNER_LEGAL" "the sole purpose of programming logic devices manufactured by " "the sole purpose of programming logic devices manufactured by" { } { } 0 0 "%1!s!" 0 0 "Design Software" 0 -1 1674690199231 ""} { "Info" "IQEXE_START_BANNER_LEGAL" "Intel and sold by Intel or its authorized distributors. Please " "Intel and sold by Intel or its authorized distributors. Please" { } { } 0 0 "%1!s!" 0 0 "Design Software" 0 -1 1674690199231 ""} { "Info" "IQEXE_START_BANNER_LEGAL" "refer to the applicable agreement for further details, at " "refer to the applicable agreement for further details, at" { } { } 0 0 "%1!s!" 0 0 "Design Software" 0 -1 1674690199231 ""} { "Info" "IQEXE_START_BANNER_LEGAL" "https://fpgasoftware.intel.com/eula. " "https://fpgasoftware.intel.com/eula." { } { } 0 0 "%1!s!" 0 0 "Design Software" 0 -1 1674690199231 ""} { "Info" "IQEXE_START_BANNER_TIME" "Wed Jan 25 23:43:19 2023 " "Processing started: Wed Jan 25 23:43:19 2023" { } { } 0 0 "Processing started: %1!s!" 0 0 "Design Software" 0 -1 1674690199231 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "EDA Netlist Writer" 0 -1 1674690199231 ""} +{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_eda --write_settings_files=off --simulation=on --functional=on --flatten_buses=off --tool=modelsim_oem --format=vhdl --output_directory=/home/tiagorg/repos/uaveiro-leci/1ano/isd/quartus-projects/MuxDemo/simulation/qsim/ MuxDemo -c MuxDemo " "Command: quartus_eda --write_settings_files=off --simulation=on --functional=on --flatten_buses=off --tool=modelsim_oem --format=vhdl --output_directory=/home/tiagorg/repos/uaveiro-leci/1ano/isd/quartus-projects/MuxDemo/simulation/qsim/ MuxDemo -c MuxDemo" { } { } 0 0 "Command: %1!s!" 0 0 "EDA Netlist Writer" 0 -1 1674690199231 ""} +{ "Warning" "WQCU_PARALLEL_USER_SHOULD_SPECIFY_NUM_PROC" "" "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." { } { } 0 18236 "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." 0 0 "EDA Netlist Writer" 0 -1 1674690199327 ""} +{ "Info" "IWSC_DONE_HDL_GENERATION" "MuxDemo.vho /home/tiagorg/repos/uaveiro-leci/1ano/isd/quartus-projects/MuxDemo/simulation/qsim// simulation " "Generated file MuxDemo.vho in folder \"/home/tiagorg/repos/uaveiro-leci/1ano/isd/quartus-projects/MuxDemo/simulation/qsim//\" for EDA simulation tool" { } { } 0 204019 "Generated file %1!s! in folder \"%2!s!\" for EDA %3!s! tool" 0 0 "EDA Netlist Writer" 0 -1 1674690199350 ""} +{ "Info" "IQEXE_ERROR_COUNT" "EDA Netlist Writer 0 s 1 Quartus Prime " "Quartus Prime EDA Netlist Writer was successful. 0 errors, 1 warning" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "603 " "Peak virtual memory: 603 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Design Software" 0 -1 1674690199357 ""} { "Info" "IQEXE_END_BANNER_TIME" "Wed Jan 25 23:43:19 2023 " "Processing ended: Wed Jan 25 23:43:19 2023" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Design Software" 0 -1 1674690199357 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:00 " "Elapsed time: 00:00:00" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Design Software" 0 -1 1674690199357 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:00 " "Total CPU time (on all processors): 00:00:00" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Design Software" 0 -1 1674690199357 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "EDA Netlist Writer" 0 -1 1674690199357 ""} diff --git a/1ano/isd/quartus-projects/MuxDemo/db/MuxDemo.fit.qmsg b/1ano/isd/quartus-projects/MuxDemo/db/MuxDemo.fit.qmsg index 3edabab..1003a18 100644 --- a/1ano/isd/quartus-projects/MuxDemo/db/MuxDemo.fit.qmsg +++ b/1ano/isd/quartus-projects/MuxDemo/db/MuxDemo.fit.qmsg @@ -1,49 +1,49 @@ -{ "Warning" "WQCU_PARALLEL_USER_SHOULD_SPECIFY_NUM_PROC" "" "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." { } { } 0 18236 "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." 0 0 "Fitter" 0 -1 1669918368477 ""} -{ "Info" "IQCU_PARALLEL_AUTODETECT_MULTIPLE_PROCESSORS" "4 4 " "Parallel compilation is enabled and will use 4 of the 4 processors detected" { } { } 0 20030 "Parallel compilation is enabled and will use %1!i! of the %2!i! processors detected" 0 0 "Fitter" 0 -1 1669918368477 ""} -{ "Info" "IMPP_MPP_AUTO_ASSIGNED_DEVICE" "MuxDemo EP4CE6E22C6 " "Automatically selected device EP4CE6E22C6 for design MuxDemo" { } { } 0 119004 "Automatically selected device %2!s! for design %1!s!" 0 0 "Fitter" 0 -1 1669918368558 ""} -{ "Info" "ICUT_CUT_DEFAULT_OPERATING_CONDITION" "High junction temperature 85 " "High junction temperature operating condition is not set. Assuming a default value of '85'." { } { } 0 21076 "%1!s! operating condition is not set. Assuming a default value of '%2!s!'." 0 0 "Fitter" 0 -1 1669918368596 ""} -{ "Info" "ICUT_CUT_DEFAULT_OPERATING_CONDITION" "Low junction temperature 0 " "Low junction temperature operating condition is not set. Assuming a default value of '0'." { } { } 0 21076 "%1!s! operating condition is not set. Assuming a default value of '%2!s!'." 0 0 "Fitter" 0 -1 1669918368596 ""} -{ "Info" "IFITCC_FITCC_INFO_AUTO_FIT_COMPILATION_ON" "" "Fitter is performing an Auto Fit compilation, which may decrease Fitter effort to reduce compilation time" { } { } 0 171003 "Fitter is performing an Auto Fit compilation, which may decrease Fitter effort to reduce compilation time" 0 0 "Fitter" 0 -1 1669918368672 ""} -{ "Warning" "WCPT_FEATURE_DISABLED_POST" "LogicLock " "Feature LogicLock is only available with a valid subscription license. You can purchase a software subscription to gain full access to this feature." { } { } 0 292013 "Feature %1!s! is only available with a valid subscription license. You can purchase a software subscription to gain full access to this feature." 0 0 "Fitter" 0 -1 1669918368675 ""} -{ "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED" "" "Device migration not selected. If you intend to use device migration later, you may need to change the pin assignments as they may be incompatible with other devices" { { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "EP4CE10E22C6 " "Device EP4CE10E22C6 is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Design Software" 0 -1 1669918368733 ""} { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "EP4CE15E22C6 " "Device EP4CE15E22C6 is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Design Software" 0 -1 1669918368733 ""} { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "EP4CE22E22C6 " "Device EP4CE22E22C6 is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Design Software" 0 -1 1669918368733 ""} } { } 2 176444 "Device migration not selected. If you intend to use device migration later, you may need to change the pin assignments as they may be incompatible with other devices" 0 0 "Fitter" 0 -1 1669918368733 ""} -{ "Info" "IFIOMGR_RESERVED_PIN_WITH_LOCATION" "5 " "Fitter converted 5 user pins into dedicated programming pins" { { "Info" "IFIOMGR_RESERVED_PIN_WITH_LOCATION_SUB" "~ALTERA_ASDO_DATA1~ 6 " "Pin ~ALTERA_ASDO_DATA1~ is reserved at location 6" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/pin_planner.ppl" "" { PinPlanner "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/pin_planner.ppl" { ~ALTERA_ASDO_DATA1~ } } } { "temporary_test_loc" "" { Generic "/home/tiagorg/repos/uaveiro-leci/1ano/isd/quartus-projects/MuxDemo/" { { 0 { 0 ""} 0 75 14177 15141 0 0 "" 0 "" "" } } } } } 0 169125 "Pin %1!s! is reserved at location %2!s!" 0 0 "Design Software" 0 -1 1669918368737 ""} { "Info" "IFIOMGR_RESERVED_PIN_WITH_LOCATION_SUB" "~ALTERA_FLASH_nCE_nCSO~ 8 " "Pin ~ALTERA_FLASH_nCE_nCSO~ is reserved at location 8" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/pin_planner.ppl" "" { PinPlanner "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/pin_planner.ppl" { ~ALTERA_FLASH_nCE_nCSO~ } } } { "temporary_test_loc" "" { Generic "/home/tiagorg/repos/uaveiro-leci/1ano/isd/quartus-projects/MuxDemo/" { { 0 { 0 ""} 0 77 14177 15141 0 0 "" 0 "" "" } } } } } 0 169125 "Pin %1!s! is reserved at location %2!s!" 0 0 "Design Software" 0 -1 1669918368737 ""} { "Info" "IFIOMGR_RESERVED_PIN_WITH_LOCATION_SUB" "~ALTERA_DCLK~ 12 " "Pin ~ALTERA_DCLK~ is reserved at location 12" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/pin_planner.ppl" "" { PinPlanner "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/pin_planner.ppl" { ~ALTERA_DCLK~ } } } { "temporary_test_loc" "" { Generic "/home/tiagorg/repos/uaveiro-leci/1ano/isd/quartus-projects/MuxDemo/" { { 0 { 0 ""} 0 79 14177 15141 0 0 "" 0 "" "" } } } } } 0 169125 "Pin %1!s! is reserved at location %2!s!" 0 0 "Design Software" 0 -1 1669918368737 ""} { "Info" "IFIOMGR_RESERVED_PIN_WITH_LOCATION_SUB" "~ALTERA_DATA0~ 13 " "Pin ~ALTERA_DATA0~ is reserved at location 13" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/pin_planner.ppl" "" { PinPlanner "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/pin_planner.ppl" { ~ALTERA_DATA0~ } } } { "temporary_test_loc" "" { Generic "/home/tiagorg/repos/uaveiro-leci/1ano/isd/quartus-projects/MuxDemo/" { { 0 { 0 ""} 0 81 14177 15141 0 0 "" 0 "" "" } } } } } 0 169125 "Pin %1!s! is reserved at location %2!s!" 0 0 "Design Software" 0 -1 1669918368737 ""} { "Info" "IFIOMGR_RESERVED_PIN_WITH_LOCATION_SUB" "~ALTERA_nCEO~ 101 " "Pin ~ALTERA_nCEO~ is reserved at location 101" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/pin_planner.ppl" "" { PinPlanner "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/pin_planner.ppl" { ~ALTERA_nCEO~ } } } { "temporary_test_loc" "" { Generic "/home/tiagorg/repos/uaveiro-leci/1ano/isd/quartus-projects/MuxDemo/" { { 0 { 0 ""} 0 83 14177 15141 0 0 "" 0 "" "" } } } } } 0 169125 "Pin %1!s! is reserved at location %2!s!" 0 0 "Design Software" 0 -1 1669918368737 ""} } { } 0 169124 "Fitter converted %1!d! user pins into dedicated programming pins" 0 0 "Fitter" 0 -1 1669918368737 ""} -{ "Warning" "WCUT_CUT_ATOM_PINS_WITH_INCOMPLETE_IO_ASSIGNMENTS" "" "Some pins have incomplete I/O assignments. Refer to the I/O Assignment Warnings report for details" { } { } 0 15714 "Some pins have incomplete I/O assignments. Refer to the I/O Assignment Warnings report for details" 0 0 "Fitter" 0 -1 1669918368738 ""} -{ "Critical Warning" "WFIOMGR_PINS_MISSING_LOCATION_INFO" "21 21 " "No exact pin location assignment(s) for 21 pins of 21 total pins. For the list of pins please refer to the I/O Assignment Warnings table in the fitter report." { } { } 1 169085 "No exact pin location assignment(s) for %1!d! pins of %2!d! total pins. For the list of pins please refer to the I/O Assignment Warnings table in the fitter report." 0 0 "Fitter" 0 -1 1669918368919 ""} -{ "Critical Warning" "WSTA_SDC_NOT_FOUND" "MuxDemo.sdc " "Synopsys Design Constraints File file not found: 'MuxDemo.sdc'. A Synopsys Design Constraints File is required by the Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design." { } { } 1 332012 "Synopsys Design Constraints File file not found: '%1!s!'. A Synopsys Design Constraints File is required by the Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design." 0 0 "Fitter" 0 -1 1669918368981 ""} -{ "Info" "ISTA_NO_CLOCK_FOUND_NO_DERIVING_MSG" "base clocks " "No user constrained base clocks found in the design" { } { } 0 332144 "No user constrained %1!s! found in the design" 0 0 "Fitter" 0 -1 1669918368981 ""} -{ "Info" "ISTA_DERIVE_CLOCKS_FOUND_NO_CLOCKS" "" "The command derive_clocks did not find any clocks to derive. No clocks were created or changed." { } { } 0 332096 "The command derive_clocks did not find any clocks to derive. No clocks were created or changed." 0 0 "Fitter" 0 -1 1669918368981 ""} -{ "Warning" "WSTA_NO_CLOCKS_DEFINED" "" "No clocks defined in design." { } { } 0 332068 "No clocks defined in design." 0 0 "Fitter" 0 -1 1669918368982 ""} -{ "Info" "ISTA_NO_CLOCK_UNCERTAINTY_FOUND_DERIVING" "\"derive_clock_uncertainty\" " "No user constrained clock uncertainty found in the design. Calling \"derive_clock_uncertainty\"" { } { } 0 332143 "No user constrained clock uncertainty found in the design. Calling %1!s!" 0 0 "Fitter" 0 -1 1669918368982 ""} -{ "Info" "ISTA_NO_UNCERTAINTY_FOUND" "" "The derive_clock_uncertainty command did not apply clock uncertainty to any clock-to-clock transfers." { } { } 0 332154 "The derive_clock_uncertainty command did not apply clock uncertainty to any clock-to-clock transfers." 0 0 "Fitter" 0 -1 1669918368983 ""} -{ "Info" "ISTA_TDC_NO_DEFAULT_OPTIMIZATION_GOALS" "" "Timing requirements not specified -- quality metrics such as performance may be sacrificed to reduce compilation time." { } { } 0 332130 "Timing requirements not specified -- quality metrics such as performance may be sacrificed to reduce compilation time." 0 0 "Fitter" 0 -1 1669918368983 ""} -{ "Info" "IFSAC_FSAC_REGISTER_PACKING_START_REGPACKING_INFO" "" "Starting register packing" { } { } 0 176233 "Starting register packing" 0 0 "Fitter" 0 -1 1669918368985 ""} -{ "Extra Info" "IFSAC_FSAC_START_REG_LOCATION_PROCESSING" "" "Performing register packing on registers with non-logic cell location assignments" { } { } 1 176273 "Performing register packing on registers with non-logic cell location assignments" 1 0 "Fitter" 0 -1 1669918368985 ""} -{ "Extra Info" "IFSAC_FSAC_FINISH_REG_LOCATION_PROCESSING" "" "Completed register packing on registers with non-logic cell location assignments" { } { } 1 176274 "Completed register packing on registers with non-logic cell location assignments" 1 0 "Fitter" 0 -1 1669918368986 ""} -{ "Extra Info" "IFSAC_FSAC_REGISTER_PACKING_BEGIN_FAST_REGISTER_INFO" "" "Started Fast Input/Output/OE register processing" { } { } 1 176236 "Started Fast Input/Output/OE register processing" 1 0 "Fitter" 0 -1 1669918368986 ""} -{ "Extra Info" "IFSAC_FSAC_REGISTER_PACKING_FINISH_FAST_REGISTER_INFO" "" "Finished Fast Input/Output/OE register processing" { } { } 1 176237 "Finished Fast Input/Output/OE register processing" 1 0 "Fitter" 0 -1 1669918368986 ""} -{ "Extra Info" "IFSAC_FSAC_START_MAC_SCAN_CHAIN_INFERENCING" "" "Start inferring scan chains for DSP blocks" { } { } 1 176238 "Start inferring scan chains for DSP blocks" 1 0 "Fitter" 0 -1 1669918368986 ""} -{ "Extra Info" "IFSAC_FSAC_FINISH_MAC_SCAN_CHAIN_INFERENCING" "" "Inferring scan chains for DSP blocks is complete" { } { } 1 176239 "Inferring scan chains for DSP blocks is complete" 1 0 "Fitter" 0 -1 1669918368986 ""} -{ "Extra Info" "IFSAC_FSAC_START_IO_MULT_RAM_PACKING" "" "Moving registers into I/O cells, Multiplier Blocks, and RAM blocks to improve timing and density" { } { } 1 176248 "Moving registers into I/O cells, Multiplier Blocks, and RAM blocks to improve timing and density" 1 0 "Fitter" 0 -1 1669918368986 ""} -{ "Extra Info" "IFSAC_FSAC_FINISH_IO_MULT_RAM_PACKING" "" "Finished moving registers into I/O cells, Multiplier Blocks, and RAM blocks" { } { } 1 176249 "Finished moving registers into I/O cells, Multiplier Blocks, and RAM blocks" 1 0 "Fitter" 0 -1 1669918368986 ""} -{ "Info" "IFSAC_FSAC_REGISTER_PACKING_FINISH_REGPACKING_INFO" "" "Finished register packing" { { "Extra Info" "IFSAC_NO_REGISTERS_WERE_PACKED" "" "No registers were packed into other blocks" { } { } 1 176219 "No registers were packed into other blocks" 0 0 "Design Software" 0 -1 1669918368986 ""} } { } 0 176235 "Finished register packing" 0 0 "Fitter" 0 -1 1669918368986 ""} -{ "Info" "IFSAC_FSAC_IO_BANK_PIN_GROUP_STATISTICS" "I/O pins that need to be placed that use the same VCCIO and VREF, before I/O pin placement " "Statistics of I/O pins that need to be placed that use the same VCCIO and VREF, before I/O pin placement" { { "Info" "IFSAC_FSAC_SINGLE_IOC_GROUP_STATISTICS" "21 unused 2.5V 20 1 0 " "Number of I/O pins in group: 21 (unused VREF, 2.5V VCCIO, 20 input, 1 output, 0 bidirectional)" { { "Info" "IFSAC_FSAC_IO_STDS_IN_IOC_GROUP" "2.5 V. " "I/O standards used: 2.5 V." { } { } 0 176212 "I/O standards used: %1!s!" 0 0 "Design Software" 0 -1 1669918368988 ""} } { } 0 176211 "Number of I/O pins in group: %1!d! (%2!s! VREF, %3!s! VCCIO, %4!d! input, %5!d! output, %6!d! bidirectional)" 0 0 "Design Software" 0 -1 1669918368988 ""} } { } 0 176214 "Statistics of %1!s!" 0 0 "Fitter" 0 -1 1669918368988 ""} -{ "Info" "IFSAC_FSAC_IO_STATS_BEFORE_AFTER_PLACEMENT" "before " "I/O bank details before I/O pin placement" { { "Info" "IFSAC_FSAC_IO_BANK_PIN_GROUP_STATISTICS" "I/O banks " "Statistics of I/O banks" { { "Info" "IFSAC_FSAC_SINGLE_IO_BANK_STATISTICS" "1 does not use undetermined 4 7 " "I/O bank number 1 does not use VREF pins and has undetermined VCCIO pins. 4 total pin(s) used -- 7 pins available" { } { } 0 176213 "I/O bank number %1!s! %2!s! VREF pins and has %3!s! VCCIO pins. %4!d! total pin(s) used -- %5!d! pins available" 0 0 "Design Software" 0 -1 1669918368988 ""} { "Info" "IFSAC_FSAC_SINGLE_IO_BANK_STATISTICS" "2 does not use undetermined 0 8 " "I/O bank number 2 does not use VREF pins and has undetermined VCCIO pins. 0 total pin(s) used -- 8 pins available" { } { } 0 176213 "I/O bank number %1!s! %2!s! VREF pins and has %3!s! VCCIO pins. %4!d! total pin(s) used -- %5!d! pins available" 0 0 "Design Software" 0 -1 1669918368988 ""} { "Info" "IFSAC_FSAC_SINGLE_IO_BANK_STATISTICS" "3 does not use undetermined 0 11 " "I/O bank number 3 does not use VREF pins and has undetermined VCCIO pins. 0 total pin(s) used -- 11 pins available" { } { } 0 176213 "I/O bank number %1!s! %2!s! VREF pins and has %3!s! VCCIO pins. %4!d! total pin(s) used -- %5!d! pins available" 0 0 "Design Software" 0 -1 1669918368988 ""} { "Info" "IFSAC_FSAC_SINGLE_IO_BANK_STATISTICS" "4 does not use undetermined 0 14 " "I/O bank number 4 does not use VREF pins and has undetermined VCCIO pins. 0 total pin(s) used -- 14 pins available" { } { } 0 176213 "I/O bank number %1!s! %2!s! VREF pins and has %3!s! VCCIO pins. %4!d! total pin(s) used -- %5!d! pins available" 0 0 "Design Software" 0 -1 1669918368988 ""} { "Info" "IFSAC_FSAC_SINGLE_IO_BANK_STATISTICS" "5 does not use undetermined 0 13 " "I/O bank number 5 does not use VREF pins and has undetermined VCCIO pins. 0 total pin(s) used -- 13 pins available" { } { } 0 176213 "I/O bank number %1!s! %2!s! VREF pins and has %3!s! VCCIO pins. %4!d! total pin(s) used -- %5!d! pins available" 0 0 "Design Software" 0 -1 1669918368988 ""} { "Info" "IFSAC_FSAC_SINGLE_IO_BANK_STATISTICS" "6 does not use undetermined 1 9 " "I/O bank number 6 does not use VREF pins and has undetermined VCCIO pins. 1 total pin(s) used -- 9 pins available" { } { } 0 176213 "I/O bank number %1!s! %2!s! VREF pins and has %3!s! VCCIO pins. %4!d! total pin(s) used -- %5!d! pins available" 0 0 "Design Software" 0 -1 1669918368988 ""} { "Info" "IFSAC_FSAC_SINGLE_IO_BANK_STATISTICS" "7 does not use undetermined 0 13 " "I/O bank number 7 does not use VREF pins and has undetermined VCCIO pins. 0 total pin(s) used -- 13 pins available" { } { } 0 176213 "I/O bank number %1!s! %2!s! VREF pins and has %3!s! VCCIO pins. %4!d! total pin(s) used -- %5!d! pins available" 0 0 "Design Software" 0 -1 1669918368988 ""} { "Info" "IFSAC_FSAC_SINGLE_IO_BANK_STATISTICS" "8 does not use undetermined 0 12 " "I/O bank number 8 does not use VREF pins and has undetermined VCCIO pins. 0 total pin(s) used -- 12 pins available" { } { } 0 176213 "I/O bank number %1!s! %2!s! VREF pins and has %3!s! VCCIO pins. %4!d! total pin(s) used -- %5!d! pins available" 0 0 "Design Software" 0 -1 1669918368988 ""} } { } 0 176214 "Statistics of %1!s!" 0 0 "Design Software" 0 -1 1669918368988 ""} } { } 0 176215 "I/O bank details %1!s! I/O pin placement" 0 0 "Fitter" 0 -1 1669918368988 ""} -{ "Info" "IFITCC_FITTER_PREPARATION_END" "00:00:00 " "Fitter preparation operations ending: elapsed time is 00:00:00" { } { } 0 171121 "Fitter preparation operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1669918368994 ""} -{ "Info" "IVPR20K_VPR_FAMILY_APL_ERROR" "" "Fitter has disabled Advanced Physical Optimization because it is not supported for the current family." { } { } 0 14896 "Fitter has disabled Advanced Physical Optimization because it is not supported for the current family." 0 0 "Fitter" 0 -1 1669918368999 ""} -{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_PREP_START" "" "Fitter placement preparation operations beginning" { } { } 0 170189 "Fitter placement preparation operations beginning" 0 0 "Fitter" 0 -1 1669918369246 ""} -{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_PREP_END" "00:00:00 " "Fitter placement preparation operations ending: elapsed time is 00:00:00" { } { } 0 170190 "Fitter placement preparation operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1669918369262 ""} -{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_START" "" "Fitter placement operations beginning" { } { } 0 170191 "Fitter placement operations beginning" 0 0 "Fitter" 0 -1 1669918369270 ""} -{ "Info" "IFITAPI_FITAPI_INFO_VPR_PLACEMENT_FINISH" "" "Fitter placement was successful" { } { } 0 170137 "Fitter placement was successful" 0 0 "Fitter" 0 -1 1669918369320 ""} -{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_END" "00:00:00 " "Fitter placement operations ending: elapsed time is 00:00:00" { } { } 0 170192 "Fitter placement operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1669918369320 ""} -{ "Info" "IFITAPI_FITAPI_VPR_FITTER_ROUTING_START" "" "Fitter routing operations beginning" { } { } 0 170193 "Fitter routing operations beginning" 0 0 "Fitter" 0 -1 1669918369429 ""} -{ "Info" "IFITAPI_FITAPI_VPR_PERCENT_ROUTING_RESOURCE_USAGE" "0 " "Router estimated average interconnect usage is 0% of the available device resources" { { "Info" "IFITAPI_FITAPI_VPR_PEAK_ROUTING_REGION" "0 X23_Y0 X34_Y11 " "Router estimated peak interconnect usage is 0% of the available device resources in the region that extends from location X23_Y0 to location X34_Y11" { } { { "loc" "" { Generic "/home/tiagorg/repos/uaveiro-leci/1ano/isd/quartus-projects/MuxDemo/" { { 1 { 0 "Router estimated peak interconnect usage is 0% of the available device resources in the region that extends from location X23_Y0 to location X34_Y11"} { { 12 { 0 ""} 23 0 12 12 } } } } } } } 0 170196 "Router estimated peak interconnect usage is %1!d!%% of the available device resources in the region that extends from location %2!s! to location %3!s!" 0 0 "Design Software" 0 -1 1669918369650 ""} } { } 0 170195 "Router estimated average interconnect usage is %1!d!%% of the available device resources" 0 0 "Fitter" 0 -1 1669918369650 ""} -{ "Info" "IFITAPI_FITAPI_VPR_AUTO_FIT_ENABLED_AND_USED" "" "The Fitter performed an Auto Fit compilation. Optimizations were skipped to reduce compilation time." { { "Info" "IFITAPI_FITAPI_VPR_AUTO_FIT_ENABLED_AND_USED_FOR_ROUTABILITY" "" "Optimizations that may affect the design's routability were skipped" { } { } 0 170201 "Optimizations that may affect the design's routability were skipped" 0 0 "Design Software" 0 -1 1669918369666 ""} { "Info" "IFITAPI_FITAPI_VPR_AUTO_FIT_ENABLED_AND_USED_FOR_TIMING" "" "Optimizations that may affect the design's timing were skipped" { } { } 0 170200 "Optimizations that may affect the design's timing were skipped" 0 0 "Design Software" 0 -1 1669918369666 ""} } { } 0 170199 "The Fitter performed an Auto Fit compilation. Optimizations were skipped to reduce compilation time." 0 0 "Fitter" 0 -1 1669918369666 ""} -{ "Info" "IFITAPI_FITAPI_VPR_FITTER_ROUTING_END" "00:00:00 " "Fitter routing operations ending: elapsed time is 00:00:00" { } { } 0 170194 "Fitter routing operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1669918369667 ""} -{ "Info" "IVPR20K_VPR_TIMING_ANALYSIS_TIME" "the Fitter 0.01 " "Total time spent on timing analysis during the Fitter is 0.01 seconds." { } { } 0 11888 "Total time spent on timing analysis during %1!s! is %2!s! seconds." 0 0 "Fitter" 0 -1 1669918369733 ""} -{ "Info" "ITAPI_TAPI_STARTED" "" "Started post-fitting delay annotation" { } { } 0 334003 "Started post-fitting delay annotation" 0 0 "Fitter" 0 -1 1669918369737 ""} -{ "Info" "ITAPI_TAPI_COMPLETED" "" "Delay annotation completed successfully" { } { } 0 334004 "Delay annotation completed successfully" 0 0 "Fitter" 0 -1 1669918369822 ""} -{ "Info" "ITAPI_TAPI_STARTED" "" "Started post-fitting delay annotation" { } { } 0 334003 "Started post-fitting delay annotation" 0 0 "Fitter" 0 -1 1669918369822 ""} -{ "Info" "ITAPI_TAPI_COMPLETED" "" "Delay annotation completed successfully" { } { } 0 334004 "Delay annotation completed successfully" 0 0 "Fitter" 0 -1 1669918370010 ""} -{ "Info" "IFITCC_FITTER_POST_OPERATION_END" "00:00:01 " "Fitter post-fit operations ending: elapsed time is 00:00:01" { } { } 0 11218 "Fitter post-fit operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1669918370226 ""} -{ "Info" "IRDB_WROTE_SUPPRESSED_MSGS" "/home/tiagorg/repos/uaveiro-leci/1ano/isd/quartus-projects/MuxDemo/output_files/MuxDemo.fit.smsg " "Generated suppressed messages file /home/tiagorg/repos/uaveiro-leci/1ano/isd/quartus-projects/MuxDemo/output_files/MuxDemo.fit.smsg" { } { } 0 144001 "Generated suppressed messages file %1!s!" 0 0 "Fitter" 0 -1 1669918370380 ""} -{ "Info" "IQEXE_ERROR_COUNT" "Fitter 0 s 6 s Quartus Prime " "Quartus Prime Fitter was successful. 0 errors, 6 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "937 " "Peak virtual memory: 937 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Design Software" 0 -1 1669918370480 ""} { "Info" "IQEXE_END_BANNER_TIME" "Thu Dec 1 18:12:50 2022 " "Processing ended: Thu Dec 1 18:12:50 2022" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Design Software" 0 -1 1669918370480 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:02 " "Elapsed time: 00:00:02" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Design Software" 0 -1 1669918370480 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:02 " "Total CPU time (on all processors): 00:00:02" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Design Software" 0 -1 1669918370480 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Fitter" 0 -1 1669918370480 ""} +{ "Warning" "WQCU_PARALLEL_USER_SHOULD_SPECIFY_NUM_PROC" "" "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." { } { } 0 18236 "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." 0 0 "Fitter" 0 -1 1674690113139 ""} +{ "Info" "IQCU_PARALLEL_AUTODETECT_MULTIPLE_PROCESSORS" "4 4 " "Parallel compilation is enabled and will use 4 of the 4 processors detected" { } { } 0 20030 "Parallel compilation is enabled and will use %1!i! of the %2!i! processors detected" 0 0 "Fitter" 0 -1 1674690113139 ""} +{ "Info" "IMPP_MPP_AUTO_ASSIGNED_DEVICE" "MuxDemo EP4CE6E22C6 " "Automatically selected device EP4CE6E22C6 for design MuxDemo" { } { } 0 119004 "Automatically selected device %2!s! for design %1!s!" 0 0 "Fitter" 0 -1 1674690113221 ""} +{ "Info" "ICUT_CUT_DEFAULT_OPERATING_CONDITION" "High junction temperature 85 " "High junction temperature operating condition is not set. Assuming a default value of '85'." { } { } 0 21076 "%1!s! operating condition is not set. Assuming a default value of '%2!s!'." 0 0 "Fitter" 0 -1 1674690113260 ""} +{ "Info" "ICUT_CUT_DEFAULT_OPERATING_CONDITION" "Low junction temperature 0 " "Low junction temperature operating condition is not set. Assuming a default value of '0'." { } { } 0 21076 "%1!s! operating condition is not set. Assuming a default value of '%2!s!'." 0 0 "Fitter" 0 -1 1674690113260 ""} +{ "Info" "IFITCC_FITCC_INFO_AUTO_FIT_COMPILATION_ON" "" "Fitter is performing an Auto Fit compilation, which may decrease Fitter effort to reduce compilation time" { } { } 0 171003 "Fitter is performing an Auto Fit compilation, which may decrease Fitter effort to reduce compilation time" 0 0 "Fitter" 0 -1 1674690113328 ""} +{ "Warning" "WCPT_FEATURE_DISABLED_POST" "LogicLock " "Feature LogicLock is only available with a valid subscription license. You can purchase a software subscription to gain full access to this feature." { } { } 0 292013 "Feature %1!s! is only available with a valid subscription license. You can purchase a software subscription to gain full access to this feature." 0 0 "Fitter" 0 -1 1674690113331 ""} +{ "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED" "" "Device migration not selected. If you intend to use device migration later, you may need to change the pin assignments as they may be incompatible with other devices" { { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "EP4CE10E22C6 " "Device EP4CE10E22C6 is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Design Software" 0 -1 1674690113358 ""} { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "EP4CE15E22C6 " "Device EP4CE15E22C6 is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Design Software" 0 -1 1674690113358 ""} { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "EP4CE22E22C6 " "Device EP4CE22E22C6 is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Design Software" 0 -1 1674690113358 ""} } { } 2 176444 "Device migration not selected. If you intend to use device migration later, you may need to change the pin assignments as they may be incompatible with other devices" 0 0 "Fitter" 0 -1 1674690113358 ""} +{ "Info" "IFIOMGR_RESERVED_PIN_WITH_LOCATION" "5 " "Fitter converted 5 user pins into dedicated programming pins" { { "Info" "IFIOMGR_RESERVED_PIN_WITH_LOCATION_SUB" "~ALTERA_ASDO_DATA1~ 6 " "Pin ~ALTERA_ASDO_DATA1~ is reserved at location 6" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/pin_planner.ppl" "" { PinPlanner "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/pin_planner.ppl" { ~ALTERA_ASDO_DATA1~ } } } { "temporary_test_loc" "" { Generic "/home/tiagorg/repos/uaveiro-leci/1ano/isd/quartus-projects/MuxDemo/" { { 0 { 0 ""} 0 75 14177 15141 0 0 "" 0 "" "" } } } } } 0 169125 "Pin %1!s! is reserved at location %2!s!" 0 0 "Design Software" 0 -1 1674690113360 ""} { "Info" "IFIOMGR_RESERVED_PIN_WITH_LOCATION_SUB" "~ALTERA_FLASH_nCE_nCSO~ 8 " "Pin ~ALTERA_FLASH_nCE_nCSO~ is reserved at location 8" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/pin_planner.ppl" "" { PinPlanner "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/pin_planner.ppl" { ~ALTERA_FLASH_nCE_nCSO~ } } } { "temporary_test_loc" "" { Generic "/home/tiagorg/repos/uaveiro-leci/1ano/isd/quartus-projects/MuxDemo/" { { 0 { 0 ""} 0 77 14177 15141 0 0 "" 0 "" "" } } } } } 0 169125 "Pin %1!s! is reserved at location %2!s!" 0 0 "Design Software" 0 -1 1674690113360 ""} { "Info" "IFIOMGR_RESERVED_PIN_WITH_LOCATION_SUB" "~ALTERA_DCLK~ 12 " "Pin ~ALTERA_DCLK~ is reserved at location 12" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/pin_planner.ppl" "" { PinPlanner "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/pin_planner.ppl" { ~ALTERA_DCLK~ } } } { "temporary_test_loc" "" { Generic "/home/tiagorg/repos/uaveiro-leci/1ano/isd/quartus-projects/MuxDemo/" { { 0 { 0 ""} 0 79 14177 15141 0 0 "" 0 "" "" } } } } } 0 169125 "Pin %1!s! is reserved at location %2!s!" 0 0 "Design Software" 0 -1 1674690113360 ""} { "Info" "IFIOMGR_RESERVED_PIN_WITH_LOCATION_SUB" "~ALTERA_DATA0~ 13 " "Pin ~ALTERA_DATA0~ is reserved at location 13" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/pin_planner.ppl" "" { PinPlanner "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/pin_planner.ppl" { ~ALTERA_DATA0~ } } } { "temporary_test_loc" "" { Generic "/home/tiagorg/repos/uaveiro-leci/1ano/isd/quartus-projects/MuxDemo/" { { 0 { 0 ""} 0 81 14177 15141 0 0 "" 0 "" "" } } } } } 0 169125 "Pin %1!s! is reserved at location %2!s!" 0 0 "Design Software" 0 -1 1674690113360 ""} { "Info" "IFIOMGR_RESERVED_PIN_WITH_LOCATION_SUB" "~ALTERA_nCEO~ 101 " "Pin ~ALTERA_nCEO~ is reserved at location 101" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/pin_planner.ppl" "" { PinPlanner "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/pin_planner.ppl" { ~ALTERA_nCEO~ } } } { "temporary_test_loc" "" { Generic "/home/tiagorg/repos/uaveiro-leci/1ano/isd/quartus-projects/MuxDemo/" { { 0 { 0 ""} 0 83 14177 15141 0 0 "" 0 "" "" } } } } } 0 169125 "Pin %1!s! is reserved at location %2!s!" 0 0 "Design Software" 0 -1 1674690113360 ""} } { } 0 169124 "Fitter converted %1!d! user pins into dedicated programming pins" 0 0 "Fitter" 0 -1 1674690113360 ""} +{ "Warning" "WCUT_CUT_ATOM_PINS_WITH_INCOMPLETE_IO_ASSIGNMENTS" "" "Some pins have incomplete I/O assignments. Refer to the I/O Assignment Warnings report for details" { } { } 0 15714 "Some pins have incomplete I/O assignments. Refer to the I/O Assignment Warnings report for details" 0 0 "Fitter" 0 -1 1674690113360 ""} +{ "Critical Warning" "WFIOMGR_PINS_MISSING_LOCATION_INFO" "21 21 " "No exact pin location assignment(s) for 21 pins of 21 total pins. For the list of pins please refer to the I/O Assignment Warnings table in the fitter report." { } { } 1 169085 "No exact pin location assignment(s) for %1!d! pins of %2!d! total pins. For the list of pins please refer to the I/O Assignment Warnings table in the fitter report." 0 0 "Fitter" 0 -1 1674690113532 ""} +{ "Critical Warning" "WSTA_SDC_NOT_FOUND" "MuxDemo.sdc " "Synopsys Design Constraints File file not found: 'MuxDemo.sdc'. A Synopsys Design Constraints File is required by the Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design." { } { } 1 332012 "Synopsys Design Constraints File file not found: '%1!s!'. A Synopsys Design Constraints File is required by the Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design." 0 0 "Fitter" 0 -1 1674690113590 ""} +{ "Info" "ISTA_NO_CLOCK_FOUND_NO_DERIVING_MSG" "base clocks " "No user constrained base clocks found in the design" { } { } 0 332144 "No user constrained %1!s! found in the design" 0 0 "Fitter" 0 -1 1674690113590 ""} +{ "Info" "ISTA_DERIVE_CLOCKS_FOUND_NO_CLOCKS" "" "The command derive_clocks did not find any clocks to derive. No clocks were created or changed." { } { } 0 332096 "The command derive_clocks did not find any clocks to derive. No clocks were created or changed." 0 0 "Fitter" 0 -1 1674690113590 ""} +{ "Warning" "WSTA_NO_CLOCKS_DEFINED" "" "No clocks defined in design." { } { } 0 332068 "No clocks defined in design." 0 0 "Fitter" 0 -1 1674690113591 ""} +{ "Info" "ISTA_NO_CLOCK_UNCERTAINTY_FOUND_DERIVING" "\"derive_clock_uncertainty\" " "No user constrained clock uncertainty found in the design. Calling \"derive_clock_uncertainty\"" { } { } 0 332143 "No user constrained clock uncertainty found in the design. Calling %1!s!" 0 0 "Fitter" 0 -1 1674690113591 ""} +{ "Info" "ISTA_NO_UNCERTAINTY_FOUND" "" "The derive_clock_uncertainty command did not apply clock uncertainty to any clock-to-clock transfers." { } { } 0 332154 "The derive_clock_uncertainty command did not apply clock uncertainty to any clock-to-clock transfers." 0 0 "Fitter" 0 -1 1674690113591 ""} +{ "Info" "ISTA_TDC_NO_DEFAULT_OPTIMIZATION_GOALS" "" "Timing requirements not specified -- quality metrics such as performance may be sacrificed to reduce compilation time." { } { } 0 332130 "Timing requirements not specified -- quality metrics such as performance may be sacrificed to reduce compilation time." 0 0 "Fitter" 0 -1 1674690113591 ""} +{ "Info" "IFSAC_FSAC_REGISTER_PACKING_START_REGPACKING_INFO" "" "Starting register packing" { } { } 0 176233 "Starting register packing" 0 0 "Fitter" 0 -1 1674690113593 ""} +{ "Extra Info" "IFSAC_FSAC_START_REG_LOCATION_PROCESSING" "" "Performing register packing on registers with non-logic cell location assignments" { } { } 1 176273 "Performing register packing on registers with non-logic cell location assignments" 1 0 "Fitter" 0 -1 1674690113593 ""} +{ "Extra Info" "IFSAC_FSAC_FINISH_REG_LOCATION_PROCESSING" "" "Completed register packing on registers with non-logic cell location assignments" { } { } 1 176274 "Completed register packing on registers with non-logic cell location assignments" 1 0 "Fitter" 0 -1 1674690113593 ""} +{ "Extra Info" "IFSAC_FSAC_REGISTER_PACKING_BEGIN_FAST_REGISTER_INFO" "" "Started Fast Input/Output/OE register processing" { } { } 1 176236 "Started Fast Input/Output/OE register processing" 1 0 "Fitter" 0 -1 1674690113593 ""} +{ "Extra Info" "IFSAC_FSAC_REGISTER_PACKING_FINISH_FAST_REGISTER_INFO" "" "Finished Fast Input/Output/OE register processing" { } { } 1 176237 "Finished Fast Input/Output/OE register processing" 1 0 "Fitter" 0 -1 1674690113593 ""} +{ "Extra Info" "IFSAC_FSAC_START_MAC_SCAN_CHAIN_INFERENCING" "" "Start inferring scan chains for DSP blocks" { } { } 1 176238 "Start inferring scan chains for DSP blocks" 1 0 "Fitter" 0 -1 1674690113593 ""} +{ "Extra Info" "IFSAC_FSAC_FINISH_MAC_SCAN_CHAIN_INFERENCING" "" "Inferring scan chains for DSP blocks is complete" { } { } 1 176239 "Inferring scan chains for DSP blocks is complete" 1 0 "Fitter" 0 -1 1674690113593 ""} +{ "Extra Info" "IFSAC_FSAC_START_IO_MULT_RAM_PACKING" "" "Moving registers into I/O cells, Multiplier Blocks, and RAM blocks to improve timing and density" { } { } 1 176248 "Moving registers into I/O cells, Multiplier Blocks, and RAM blocks to improve timing and density" 1 0 "Fitter" 0 -1 1674690113593 ""} +{ "Extra Info" "IFSAC_FSAC_FINISH_IO_MULT_RAM_PACKING" "" "Finished moving registers into I/O cells, Multiplier Blocks, and RAM blocks" { } { } 1 176249 "Finished moving registers into I/O cells, Multiplier Blocks, and RAM blocks" 1 0 "Fitter" 0 -1 1674690113593 ""} +{ "Info" "IFSAC_FSAC_REGISTER_PACKING_FINISH_REGPACKING_INFO" "" "Finished register packing" { { "Extra Info" "IFSAC_NO_REGISTERS_WERE_PACKED" "" "No registers were packed into other blocks" { } { } 1 176219 "No registers were packed into other blocks" 0 0 "Design Software" 0 -1 1674690113594 ""} } { } 0 176235 "Finished register packing" 0 0 "Fitter" 0 -1 1674690113594 ""} +{ "Info" "IFSAC_FSAC_IO_BANK_PIN_GROUP_STATISTICS" "I/O pins that need to be placed that use the same VCCIO and VREF, before I/O pin placement " "Statistics of I/O pins that need to be placed that use the same VCCIO and VREF, before I/O pin placement" { { "Info" "IFSAC_FSAC_SINGLE_IOC_GROUP_STATISTICS" "21 unused 2.5V 20 1 0 " "Number of I/O pins in group: 21 (unused VREF, 2.5V VCCIO, 20 input, 1 output, 0 bidirectional)" { { "Info" "IFSAC_FSAC_IO_STDS_IN_IOC_GROUP" "2.5 V. " "I/O standards used: 2.5 V." { } { } 0 176212 "I/O standards used: %1!s!" 0 0 "Design Software" 0 -1 1674690113594 ""} } { } 0 176211 "Number of I/O pins in group: %1!d! (%2!s! VREF, %3!s! VCCIO, %4!d! input, %5!d! output, %6!d! bidirectional)" 0 0 "Design Software" 0 -1 1674690113594 ""} } { } 0 176214 "Statistics of %1!s!" 0 0 "Fitter" 0 -1 1674690113594 ""} +{ "Info" "IFSAC_FSAC_IO_STATS_BEFORE_AFTER_PLACEMENT" "before " "I/O bank details before I/O pin placement" { { "Info" "IFSAC_FSAC_IO_BANK_PIN_GROUP_STATISTICS" "I/O banks " "Statistics of I/O banks" { { "Info" "IFSAC_FSAC_SINGLE_IO_BANK_STATISTICS" "1 does not use undetermined 4 7 " "I/O bank number 1 does not use VREF pins and has undetermined VCCIO pins. 4 total pin(s) used -- 7 pins available" { } { } 0 176213 "I/O bank number %1!s! %2!s! VREF pins and has %3!s! VCCIO pins. %4!d! total pin(s) used -- %5!d! pins available" 0 0 "Design Software" 0 -1 1674690113595 ""} { "Info" "IFSAC_FSAC_SINGLE_IO_BANK_STATISTICS" "2 does not use undetermined 0 8 " "I/O bank number 2 does not use VREF pins and has undetermined VCCIO pins. 0 total pin(s) used -- 8 pins available" { } { } 0 176213 "I/O bank number %1!s! %2!s! VREF pins and has %3!s! VCCIO pins. %4!d! total pin(s) used -- %5!d! pins available" 0 0 "Design Software" 0 -1 1674690113595 ""} { "Info" "IFSAC_FSAC_SINGLE_IO_BANK_STATISTICS" "3 does not use undetermined 0 11 " "I/O bank number 3 does not use VREF pins and has undetermined VCCIO pins. 0 total pin(s) used -- 11 pins available" { } { } 0 176213 "I/O bank number %1!s! %2!s! VREF pins and has %3!s! VCCIO pins. %4!d! total pin(s) used -- %5!d! pins available" 0 0 "Design Software" 0 -1 1674690113595 ""} { "Info" "IFSAC_FSAC_SINGLE_IO_BANK_STATISTICS" "4 does not use undetermined 0 14 " "I/O bank number 4 does not use VREF pins and has undetermined VCCIO pins. 0 total pin(s) used -- 14 pins available" { } { } 0 176213 "I/O bank number %1!s! %2!s! VREF pins and has %3!s! VCCIO pins. %4!d! total pin(s) used -- %5!d! pins available" 0 0 "Design Software" 0 -1 1674690113595 ""} { "Info" "IFSAC_FSAC_SINGLE_IO_BANK_STATISTICS" "5 does not use undetermined 0 13 " "I/O bank number 5 does not use VREF pins and has undetermined VCCIO pins. 0 total pin(s) used -- 13 pins available" { } { } 0 176213 "I/O bank number %1!s! %2!s! VREF pins and has %3!s! VCCIO pins. %4!d! total pin(s) used -- %5!d! pins available" 0 0 "Design Software" 0 -1 1674690113595 ""} { "Info" "IFSAC_FSAC_SINGLE_IO_BANK_STATISTICS" "6 does not use undetermined 1 9 " "I/O bank number 6 does not use VREF pins and has undetermined VCCIO pins. 1 total pin(s) used -- 9 pins available" { } { } 0 176213 "I/O bank number %1!s! %2!s! VREF pins and has %3!s! VCCIO pins. %4!d! total pin(s) used -- %5!d! pins available" 0 0 "Design Software" 0 -1 1674690113595 ""} { "Info" "IFSAC_FSAC_SINGLE_IO_BANK_STATISTICS" "7 does not use undetermined 0 13 " "I/O bank number 7 does not use VREF pins and has undetermined VCCIO pins. 0 total pin(s) used -- 13 pins available" { } { } 0 176213 "I/O bank number %1!s! %2!s! VREF pins and has %3!s! VCCIO pins. %4!d! total pin(s) used -- %5!d! pins available" 0 0 "Design Software" 0 -1 1674690113595 ""} { "Info" "IFSAC_FSAC_SINGLE_IO_BANK_STATISTICS" "8 does not use undetermined 0 12 " "I/O bank number 8 does not use VREF pins and has undetermined VCCIO pins. 0 total pin(s) used -- 12 pins available" { } { } 0 176213 "I/O bank number %1!s! %2!s! VREF pins and has %3!s! VCCIO pins. %4!d! total pin(s) used -- %5!d! pins available" 0 0 "Design Software" 0 -1 1674690113595 ""} } { } 0 176214 "Statistics of %1!s!" 0 0 "Design Software" 0 -1 1674690113595 ""} } { } 0 176215 "I/O bank details %1!s! I/O pin placement" 0 0 "Fitter" 0 -1 1674690113595 ""} +{ "Info" "IFITCC_FITTER_PREPARATION_END" "00:00:00 " "Fitter preparation operations ending: elapsed time is 00:00:00" { } { } 0 171121 "Fitter preparation operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1674690113601 ""} +{ "Info" "IVPR20K_VPR_FAMILY_APL_ERROR" "" "Fitter has disabled Advanced Physical Optimization because it is not supported for the current family." { } { } 0 14896 "Fitter has disabled Advanced Physical Optimization because it is not supported for the current family." 0 0 "Fitter" 0 -1 1674690113602 ""} +{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_PREP_START" "" "Fitter placement preparation operations beginning" { } { } 0 170189 "Fitter placement preparation operations beginning" 0 0 "Fitter" 0 -1 1674690113856 ""} +{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_PREP_END" "00:00:00 " "Fitter placement preparation operations ending: elapsed time is 00:00:00" { } { } 0 170190 "Fitter placement preparation operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1674690113868 ""} +{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_START" "" "Fitter placement operations beginning" { } { } 0 170191 "Fitter placement operations beginning" 0 0 "Fitter" 0 -1 1674690113875 ""} +{ "Info" "IFITAPI_FITAPI_INFO_VPR_PLACEMENT_FINISH" "" "Fitter placement was successful" { } { } 0 170137 "Fitter placement was successful" 0 0 "Fitter" 0 -1 1674690113922 ""} +{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_END" "00:00:00 " "Fitter placement operations ending: elapsed time is 00:00:00" { } { } 0 170192 "Fitter placement operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1674690113922 ""} +{ "Info" "IFITAPI_FITAPI_VPR_FITTER_ROUTING_START" "" "Fitter routing operations beginning" { } { } 0 170193 "Fitter routing operations beginning" 0 0 "Fitter" 0 -1 1674690114033 ""} +{ "Info" "IFITAPI_FITAPI_VPR_PERCENT_ROUTING_RESOURCE_USAGE" "0 " "Router estimated average interconnect usage is 0% of the available device resources" { { "Info" "IFITAPI_FITAPI_VPR_PEAK_ROUTING_REGION" "0 X23_Y0 X34_Y11 " "Router estimated peak interconnect usage is 0% of the available device resources in the region that extends from location X23_Y0 to location X34_Y11" { } { { "loc" "" { Generic "/home/tiagorg/repos/uaveiro-leci/1ano/isd/quartus-projects/MuxDemo/" { { 1 { 0 "Router estimated peak interconnect usage is 0% of the available device resources in the region that extends from location X23_Y0 to location X34_Y11"} { { 12 { 0 ""} 23 0 12 12 } } } } } } } 0 170196 "Router estimated peak interconnect usage is %1!d!%% of the available device resources in the region that extends from location %2!s! to location %3!s!" 0 0 "Design Software" 0 -1 1674690114264 ""} } { } 0 170195 "Router estimated average interconnect usage is %1!d!%% of the available device resources" 0 0 "Fitter" 0 -1 1674690114264 ""} +{ "Info" "IFITAPI_FITAPI_VPR_AUTO_FIT_ENABLED_AND_USED" "" "The Fitter performed an Auto Fit compilation. Optimizations were skipped to reduce compilation time." { { "Info" "IFITAPI_FITAPI_VPR_AUTO_FIT_ENABLED_AND_USED_FOR_ROUTABILITY" "" "Optimizations that may affect the design's routability were skipped" { } { } 0 170201 "Optimizations that may affect the design's routability were skipped" 0 0 "Design Software" 0 -1 1674690114282 ""} { "Info" "IFITAPI_FITAPI_VPR_AUTO_FIT_ENABLED_AND_USED_FOR_TIMING" "" "Optimizations that may affect the design's timing were skipped" { } { } 0 170200 "Optimizations that may affect the design's timing were skipped" 0 0 "Design Software" 0 -1 1674690114282 ""} } { } 0 170199 "The Fitter performed an Auto Fit compilation. Optimizations were skipped to reduce compilation time." 0 0 "Fitter" 0 -1 1674690114282 ""} +{ "Info" "IFITAPI_FITAPI_VPR_FITTER_ROUTING_END" "00:00:00 " "Fitter routing operations ending: elapsed time is 00:00:00" { } { } 0 170194 "Fitter routing operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1674690114283 ""} +{ "Info" "IVPR20K_VPR_TIMING_ANALYSIS_TIME" "the Fitter 0.01 " "Total time spent on timing analysis during the Fitter is 0.01 seconds." { } { } 0 11888 "Total time spent on timing analysis during %1!s! is %2!s! seconds." 0 0 "Fitter" 0 -1 1674690114355 ""} +{ "Info" "ITAPI_TAPI_STARTED" "" "Started post-fitting delay annotation" { } { } 0 334003 "Started post-fitting delay annotation" 0 0 "Fitter" 0 -1 1674690114358 ""} +{ "Info" "ITAPI_TAPI_COMPLETED" "" "Delay annotation completed successfully" { } { } 0 334004 "Delay annotation completed successfully" 0 0 "Fitter" 0 -1 1674690114448 ""} +{ "Info" "ITAPI_TAPI_STARTED" "" "Started post-fitting delay annotation" { } { } 0 334003 "Started post-fitting delay annotation" 0 0 "Fitter" 0 -1 1674690114448 ""} +{ "Info" "ITAPI_TAPI_COMPLETED" "" "Delay annotation completed successfully" { } { } 0 334004 "Delay annotation completed successfully" 0 0 "Fitter" 0 -1 1674690114645 ""} +{ "Info" "IFITCC_FITTER_POST_OPERATION_END" "00:00:00 " "Fitter post-fit operations ending: elapsed time is 00:00:00" { } { } 0 11218 "Fitter post-fit operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1674690114877 ""} +{ "Info" "IRDB_WROTE_SUPPRESSED_MSGS" "/home/tiagorg/repos/uaveiro-leci/1ano/isd/quartus-projects/MuxDemo/output_files/MuxDemo.fit.smsg " "Generated suppressed messages file /home/tiagorg/repos/uaveiro-leci/1ano/isd/quartus-projects/MuxDemo/output_files/MuxDemo.fit.smsg" { } { } 0 144001 "Generated suppressed messages file %1!s!" 0 0 "Fitter" 0 -1 1674690115045 ""} +{ "Info" "IQEXE_ERROR_COUNT" "Fitter 0 s 6 s Quartus Prime " "Quartus Prime Fitter was successful. 0 errors, 6 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "941 " "Peak virtual memory: 941 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Design Software" 0 -1 1674690115148 ""} { "Info" "IQEXE_END_BANNER_TIME" "Wed Jan 25 23:41:55 2023 " "Processing ended: Wed Jan 25 23:41:55 2023" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Design Software" 0 -1 1674690115148 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:03 " "Elapsed time: 00:00:03" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Design Software" 0 -1 1674690115148 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:03 " "Total CPU time (on all processors): 00:00:03" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Design Software" 0 -1 1674690115148 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Fitter" 0 -1 1674690115148 ""} diff --git a/1ano/isd/quartus-projects/MuxDemo/db/MuxDemo.hier_info b/1ano/isd/quartus-projects/MuxDemo/db/MuxDemo.hier_info index 317b4f6..f25f66d 100644 --- a/1ano/isd/quartus-projects/MuxDemo/db/MuxDemo.hier_info +++ b/1ano/isd/quartus-projects/MuxDemo/db/MuxDemo.hier_info @@ -1,5 +1,5 @@ |Mux16_1 -pin_name1 <= Mux2_1:inst14.Y +Y <= Mux2_1:inst14.Y Sel4 => Mux2_1:inst14.S Sel3 => Mux2_1:inst12.S Sel3 => Mux2_1:inst13.S @@ -16,7 +16,7 @@ Sel1 => Mux2_1:inst7.S Sel1 => Mux2_1:inst8.S Sel1 => Mux2_1:inst9.S I0 => Mux2_1:inst.I0 -ze => Mux2_1:inst.I1 +I1 => Mux2_1:inst.I1 I2 => Mux2_1:inst1.I0 I3 => Mux2_1:inst1.I1 I4 => Mux2_1:inst2.I0 diff --git a/1ano/isd/quartus-projects/MuxDemo/db/MuxDemo.hif b/1ano/isd/quartus-projects/MuxDemo/db/MuxDemo.hif index 63c8e73b49c859c752ee7cf3d895a21cb8f8e10b..43654553d442c302e7ed608a232da8b751fdb86d 100644 GIT binary patch delta 404 zcmV;F0c-xG1Ed3x8h>JY7Yw~6-Q6VZex%)$t7Ys**Ai<|W1>b$|9i{^DWIfP4;4mc z-n@DCFkf5geB0n_XAXdJaE+-gW+b8!#pkW5H0F$8N>9ULBz*2ZDMwB9bUm5R1A^+$-nK^BCz@8^G?uYf_FY#C4bB=oOJj2TKav1CzFm@ zSp8=GS&?7PS3PZDD0xksV6fi!d$ewUF+l@veC^~9K)QGgwK*K>=so!mDOAad zAdGFmHo^WKbR+84DG;Tppr0ERx%m!B ziTbwtoA?2puYb0mzKHo^cXhE5o3r)R<^r94zuBVSp?z;4xKaDg&qIIO$8a4_XCuUh zXhegV)F1am)y&^s`%xxXAI5xXD?gULHcx&BN+<)xCPb?X0UI9kK|KO>gui12`=*% zgwieO*4e*@enium5=5nH80H3rtWZ)-IZ306@*<*%tWu~V8L=WFX zj6BL~8-wW>c>2)O_=t=VAA{KI^Nvc!Abl~m&r8#Y7JhZ0OF%D4NiQ$T-wlku4vhYL yVEy1@Vrj+0h|^H!$ux`;S@2lKgt4OF9Axw#5_|fq*$uMU-_>9NefSM_ei!=Jz0Yp| diff --git a/1ano/isd/quartus-projects/MuxDemo/db/MuxDemo.map.cdb b/1ano/isd/quartus-projects/MuxDemo/db/MuxDemo.map.cdb index f30376a6668db806aaae78468d36181dbe1d4393..e794cb0a13b80a5d76be21c684b767bee2251f46 100644 GIT binary patch literal 3379 zcmeHJ`#%%<8`n85<&qSglS-~93Zt;xPYH!3wG_%d8yiC#wpD%Z6u=a|dp61K59=JYCq)f8i;6C5?)mcw4J2gE@m1 z=GU?qx3U2tHR`umUu;opf*6qmnE;P#92lx?rhLd49`V=WIQE@&y>kqtR%5{kgB(=% zgY_+1m$B>DdLhk-q*}|WT6Tk^9#z6S{2}eR9$6i&sl1SK#_B@#^UA0&`R4&<7$GJN zWq16|51wx`;#%*aR>I_~0?ez0t>3fX=kR?WzTd_Fy#$v*%MG19VpkoZ7qOTq;Tk12 zd`$~~Py+gr)Zqy0@hCAg4%?zK~U%x~s`>=-&dVaC*JIpjzpfn@% zX<$S}NmxcZton>=0 z{~+5@hoM~Cm|P_|+@JiqLVRT6p?S%~QcrKTeHV(`PJ7u~h$Q*<^g0SPAIX;`b@B$ts0v;E38Itf*(;Z4NV%XEd!@i?i z4qdJQmjeSxQl>a!e7sLs+4_$06XIvgI z617gM+AUmOlW2hxa$V~WLzDKuz8i+jlWpN3Q5 z0H{NiQi7^pLZ8zx0r^i~Es$QXk&H#sFoaRQRbAp5ArtpuHC^;cc-7TT;PAUd0yg(r zBCz-d=Owp0B19S4x|C_6-_ysCRWu7jaEtQn+IUgdzxqaGb8W9~87;?dh-pFNzls;1 zQEno?iXSfy*(PH~AcDKjgu>f&TGcUwXi8J#b$j46_L$c*Ys&qGm@TJCUMJhBIEstD zZiGHEc^2i4{QwbUl)@WvcZ}?+3KtpCNtqwHN|w#-aRu?dwKYB}P{(i-8>b8dVZ%{% z8VdO&L-&U2jxxnGXRoGEB4!v=Nf<3fjN>uZ14aO93>nOLqtAipe)V|5&B0_YNGAb^5aF$(N$i$QLmXvm;`aM7n)(TUU^8p zU;u7KGRSo$V|`-Cm3y4~>5%7~#bCSVC9&j~fQI&?us9xmo;2)p#oL*M^%#j~<+RTq z{re=Z&4r#rEm|+kp`pyoT zUHe0)=|J{H>%hAoZ45d-wCXe|56^Pybu8x1nitX+8=h8-4z%ku&2+TrG!aEvF)Wqh zQuAm^4wYq69DnF&{Y5WQa}ISszaZ2ZE{X3Ao9`C2`!127&tfH z?}Jq=Avmb-3HZ%yfA`){-Dz=bf7i|@a(g>&SNvlT^uSrwzT;b;s2^Vlb+Tmy;CK2O z)&1%|z&2vBDe)MygeseN7N2@AHEh^5b5gEUdS&wBWt)v`85MGFfm|<9^(_7;+p6?J zZGCY_(Zlgng`?c0u)@{(#BwN zHog3@pLJa0We$2*jLx;W+pAiDdkE5U82?}eRFjgZW_QBSAmE>mwRzLzl~C)9*pr8I zUGAq04b|`z%wRX=;rUE!k-K4fE8pu`@y6ctVA;3sH1LQa;H{Qx;lP+GH4bPcomW@S zTyrtnvyQ8@SBrGgpV11*YOzoxuVSWkq_mXthGjfo4MDvtFs)!?;Q+{6sm^mLt(X*D z0X8gq@nSi-w{;La7|G zua)?uRo~)jc{i}UGh#2!c^@fiYU)Z-HvELkuSmS)(t=FG)O~X4aozo0{J(zOM_4lc zimT1ORjOqXPeuRc18yD>M4U=}5N&JTgoyun@qX6d%kC1zx4-#^Q8<~7lR;7WQ2Xka zLyX)ir*V@~|E7MwaMOhZp^@Qp~xw;lW!b7uUB?E=5Tf&m@G(C1NYrM>TRwE@37km$2E$C702S@(_kX)JTmf6oy>q zQc%88db6&6WI-k$$e3T?4B&ub%h_!?S zTr9?7^a#2Z6^cTt8J*BK)HhT+6BCLER0A2EP%|_%5O1RsV$;oD%`r4ONDUkq8ZG9Q z9{AtCAzsP+3lhcK{=I{z%=TDAAx-M9D4LYy4$YuOyV?-FUjj*nuG zJUUt5CV6OARta4HWE4Ao8kctM?fGU^F}p$d2udhcD&mt3w*>*FdN3wdQ%-{?OG#;a6!YFJNoZ1B^? zhS(8Hi}t8#0f6F6WInz$i1OZKwvhRmfj!jSS2E2p(gN#00GbRJ+9{J%>WPCEi55Mk zC40QK9QOm8U+!#)Gf5qIeb6KE@^A0&I{fa3-}mDGUIKjib?A*Qp@W71QeRxZF&myt zShyRW`owAIMmreZLUvbB>kHi6Xyr@9!g0jiV9z#~z2S@c~NlnqY z!|o{Dld$wQc-4@xpcOnwfUf!btv4kxZa6N2JWdpvD4N1PGqOgbvyNcP38{#>$e*H_;hAUekCO;kui zF|SNtFxx-j#$hzwsHpLk!wHjVL}qfI!p_`DvUP)}4ipGqN)8HDoz zPA>wI&Zyu`Ab3#GUEr?XUq})$_cqD%1?AozcF?}{eIH4%d>?wm(wLN)sNoaI$zZnY zDI2#BwJ%_`pM&ZsNiI|5n zc0^2uFm`t-(=_dGy)M<7f7)Q(MY$`anVS@ z%m{jsIs&i%$*Ua?XK;wNeVn75X3tiHSLnI?t18Rzws~ibIy6}rV#x>PQ+4^i=0%e; z^)NsW*LyQ0aw=bFbL_GU;n6ecom{KBb6|EOig1-}xgRK!YM=@uN?U?XcbF8zM0c^ zS1dtLMWQ_%r;-64;Dc{|IQ&)e^FBQm!&fwdfhGq=UMScaIw#ER92DKm1b(A0*LW|T z>bx_H73Y}UYcUiCo`#}53|P&Rx0Fi;RIVZ8e<-iFxk8)NzoZY(c7P8tiga1Cz81=w zQ4lNwl4x~6F1rpv3+6vBb_}YtU{|^DV;?|$2^oQpqTSo4zvs6?(T#&5k~mlohmZE) zNi_!*Qv?l7b2vc*?BHqgH$6Bidl`{I5wwVX$=*u~_^_;w0wnn=Kc%32kQ?qZTqJkb z7Sb45nb2upPRn=5@tCU{O^c?(O!;{Yqcb~|L0^&*rv#sP)VXtK?}a&^PK z;N~Fa%Ee$9oe06y968!+Z}6iOZ2U=yb!oZWj;~h1P=uz3`q6)}J<_R4(0Qkm###@D z9Iv^l7NqGlanqdkWMP^pHY8Rh+Qy8RHa8IxZ#K3d9xs-0P0tBgZFMQ4_67xN0zim+V;y?Oftj=2acRcn~O#UOap3@mzp{Vvo|n2%ij3%lYUG=3)wGx#~?Am&wE25YMQan{ivv51c~wSS$5vi@q=^&jlKpl6?0r9o4G7 zgHTXweX(Kvq0uKysT^y3%FUFpeus>&(%5Z_U+pfMuVqRqzRk{)epjz#jo)YSGOa*e zM;Ki67b8XgXI$%YGH-K?%oG2ym>6#18II|SxHO1Q%z`l|0rDadf^u&+%Bbj*lSszv6b?{_)5hFbRxJtJD ztvh6LHAmJM?jwW99c3p)9FtF-j4%aDHRDX z-Ot6ulmT7~nP_!CyGliAFT=~ZkjKSqJJSNCI-Q5zX}SiThaCz&4k$6>K&IPrUe%5+ z+w1LEEi1R$8)2g}bvXF`8=&0VrG)Pq5{Gx?^hrzxZJ5;_jpu0yI~j7Dq&Gd@lIol(7_JtKfQu z`5u(b4uvGM#g*&QDJdHZ#Izhx%msPJqabr_TDI>Q!rCI#8`CY-HG4Z(OI1z>C0}|~ zp{2gCMF;3s+6^l0K<+HFRcMYJA9uZxi8yBeXEc7}0#}kSe(Nn(TXQ#YWn!yB-Ms!n zSxx3;>|r398GjGz-bCXgjZ#vtS(r4U(EI0Z-T%gO-jM6O=NCqr%m9B4h|CSKs;VH5 zWWTgwoWS}u_Q7tKc%7ETbI&=#=+EP)X8e)o$a8%D%4tbL&$~dchz;>aW^?mzvxHmW diff --git a/1ano/isd/quartus-projects/MuxDemo/db/MuxDemo.map.hdb b/1ano/isd/quartus-projects/MuxDemo/db/MuxDemo.map.hdb index 0efbd7cd28fd6247c6d921434a91c810a94778bd..95dff9dd736d275f6a9fff7879405ab5105b3f83 100644 GIT binary patch delta 10513 zcmV+sDel(wT*_RKM}HYy0RR910090d00000006`b0000000000002rZ00000004La z?0pNI9YvY%3Cpr9DjTAU3J8a62rQB?GnvUt7MRSOBuD0T=Mf&e*U4ih5D1w#nLrXS z@(8bh2*~34MpR_gWfc_>0g=1w10O4>5pa3>yWT5$6;UG(O@HqHU(?^2I^Ff?)71%+ zIQ5&Js;>I}|L?1>s;jHJtNZLZ9rTW=IIJ)XAHV9zVH(GPmq2Rq!BV&e&>P&gEG(0_eZYV_X$h@vxC{p|jFX41(# z`{1P3FqT8Xvz84H^eC>fn<$IhKQ%~CN1Q*oZFR=_=m$H4KIqe*4=^hojv zP|{CKJnA<7vRk=EI5{W6E-}U5wHa z>l`Al=b7a6a!LE-SRT5GF0s=Ui)@-$>`EgmGJoPz**C?MAveny>-I8F_618Bk&WlY z^QF9&nEdh0g?Gua%!IY&Qa>?_R3kPEc8SI$;EdNE}F2t0%2U{`PxG$TuUA&C@ zl#z0gx5W@uHe7npK9gz7UDzgJyC0hb=5u@JU+vf_Hj1n+LmY$1jP8y7CuTxs@AS|9 zFMsU3)YtBR#972A!`?C>Gj_~KYU0=#^~8AU8yD_h_$BR;$*Z&#W470h6AL#U6J;Ka zSht*(lW8y@)a*y)Oc8nXph>ab(e<=Ak*lhk~`R_dVS9$QX!NvdA9z5sGF8pr- z`PqkD{G7qN%6<0v>dy;d7eCZH_4~?w_J8phbm`B_Kjh(@jDdZyh;7nyJO3Yb(?<+Q zR)TgpNGNZ($HPn%@X|{Yr3l5TVX{i!jQ?yyL@JD3Q%a5=laA8WQe+g4v1`O4a^(?5 zJhsgmC12!aKOU1?-{R%64>74eA5CzVfyE35VQa<4>b%nNf4~Ob;W-0sLx<8P#(!e# z>yaKDSk}LMi1(ic2G^}Rb4~xcjpr^OT8)yzt$*6NbAQBaS;C|`?7wU^3A}l<1v`i; zZO$@cB#>j8>}WADEUOC4cq$_MepEu+SFKq$Qs1bH>*<7KgHCFa%5iLi-KG&hM5W0zp+y3F!1R(~9sUb4=|Db<;sR&b6_uwrFuSI+fOijni;f+s{7 z(t+4-PnW@mWu}HovQ0V&g<-m&)d$CoYyV1x$JE?C6$iLYnkHm)>BD&y{4qR z2ZxgK_Bkcx?QlxU+lQ1?q64vyFh~dD1fX2+b^fTB*ZZSlUiXiRdHp{s=6`K~Q88}| z$T8)$juQqBwGErSZW$Bxx@JtY1_Qh<8e{dkX-w4XsxeV-j~x^BcH1#gZ{HmgwRT{3 zVg{b|Pv1PWeq`}Um35=UkElu6l&%;Rw)BcEu{ri@afmEiKQh#R=HQya;bkL(1N|IkIebev9Eq_9%<~P>lsp!* zo;OF3LlC!O<0Ncvi8*xfqGdkn$?G52Rz!K0O)fEOjkD;=6Jzs*=zp-4OTJA`@^@7% z`ty#(-n@C^e4;1bU*dAIAD8p(3(gkD@iu$na=!h%czk-K+*UtZzTm`8iEZ-n{95G& zFM4G^?>KH(K?_^~^taLehY;^AmDJ`%n!c(7h#Q8}Rax{+IKh#K@`yK6K#q2Ls(0uRq-=&oiWbc!iT_pXEdA z*9#c`t;VZt~$56!9iY?F6|DMLj!E+P($+3vVcjG;Vsg8_kKaL>`*J5kM_UAmF zPSk&*{U`eW?i&X<_FNL3OZ9OqO&ZWjxCG-EFLD{OwBO|h8;ao4x42DGCUaF=c|0Gq zm9QCh^M8Vnfxg();}3OgMO``PirXdoa=w&(i6uWCgQ^lXp+1wNJwBMut3KDlX8MV@ zgv8&zI5I;;XTvToXkj2nUUmu3O|Xx*1s?(TBy5ZE@3Y98j9YiNA z>N=pfFTCUBN4h^6MtfQUx29)QRVjHQtm`yDPkc~>!yV0 zW)y6QHl{^LEm#tvxHb{0wi{>(OOX&EwV+CvoX1*>rK%qoIjTBA+>X&Tm35@GIe&Fj#Oc*h5vN;6MVx*e6>&OtR78395tz*J zZ7xRLix9s7+i6Hk-&fY~n4(ZTR0&DNCxqf2s0<`kO&gc0awbpy_*etKFEVraz)HRs zHu~)b?hT`T*c|jYxB1u>VdDtEyGuVtd<^185l=__6K(%U>_3HlK3Msw79WBAXMeEI z_WwCz-r@Nr;wgxKjrd^1eEV%G;wKS{yu?N1-;aE;i}B0YXIEp*O!>b@InVSjAbu_4 zBDN=yCMRuVU4Dd^bzohJh*@8H<7jN;OtV3(rf|}I53L}8c1NCl-ojovX-txgYgMTaQo9dee2L_f8G|f|EgUCzF%5aJ^*0ggA`(rrQ zxhY%3)--R$@`i!NIrX#Vte7{jZ1%wN!N!IabCyACVXe$FxL;Pxt+KK*&G=Cd2>b0oF zPL`U)=T~|zmnJeWq5?Ws?eM<6t=ri0_3l)73kY^+;PDc9yb?W!RGR*2lc@zSb)^^S zL~h&A2+FNd;YEmfJa#Tz8091)9WE^{LKhf->RFSP7g?}3nbEI#Y*EDC|>{*SCv+En@HO;J_J*RQ@yt?}OhS{?k zn$+A!5PBT1(o<9ug-;@O+Z0C&lJRZW0vvJ}3<-e08E_6+3!2-SJ6cMuw8#`(H8>6& z+3PxWew844%B3pv1;#^6SUHMypW=Ab`G%si?iN1L3(tqC#2A)(F_O-Re zak9^<$l*{pQF1Y{MFG!;EgM&?9$GV4EH5dhx8Z@Y!|Dw17+g4}Xw*eC!DPnU0M|~H zI!sR|yr5aIG`$5U;(SReJ$x!1{6W0`Yl43|4E`Cw{auL4E8f$Le=MEyD(7qP=lyUt zt-v=MytmzJKN+@0_J40OF#I7E!?h8^c?O0TybSd44PY?oe+g*(71RX@Vin>e0M(no zF9XTTf{xDa_U5)?OJ{pmxvjakY(F>B9a5Rw4V5_zwx&3q&VWI87~n^wgDPS{ z6(X&0X&(rK4+75Kmq|BQ0tVEa%eVsI-v^wJEt=cfie1gUi+kuQ3Gt!K)_ooV3I1Zo zt_i);aOgdpu73u%;K(t-e-H-$q0x5n0Atq7ru;`JOmpDa@Y^DMOLKQg*QuvPKfTYi z9v(pkTR&sJe-v<1+Rr&GrQ#)qhIL0%$2)_QVzHZP)IfGG7z zeu|-c{Rspqy4QAeujK}KH?S$-w_4ym>EWjC*qaVc=otp%`_jR0hw&!(k}&wGVer$^ z!%eR12b?|BKg^lXXQxw6s0n^f7<@h8yvxGFu(+_?i=;8Iel`=%0|(Dib;UL7j9_HC z@aF?hZh!Y!1fhxr(+xdvLu7?(l1YwdqS1Y-fn#F|4%Xyn5eL(H*aSRvWW0BKK^XkP zF!<&$_~n3es@Gj=ZY%d-K-V3kr(Ip)iZC0l41-@42HzS6zd8(lO&I)LVeo6i;O`ED zUl#_yJ`8?C82mly;UC5@8y|CS1e|&9v(MRh%ztY-eS9vxaufWHF!)bW*-ph<4K;r% z6?(I!=1-?WQ@5$&FNRf|=SwhTJyEZHHC&S)ZnJzgpghuMSZ@Q`kaK{+3?A!qC{K#Va*kIH87BA9u z8h<|lXixXHDgFmQS!TW2WdoiFApSD>_@n^r0$?-NwZ#v0dHJC*_~U^4PaL-6Xht-B zZn8F%%k<+T^sG$ix*+rkKzka@W%`;h_&dVjmxRGT5eEMe;P@-lH_U803b8S`oVP8M z;Kv}y-f15Jn$L7Qc&(f5$@Zh52EpPH16G_3%tO<=y!83={mP>EWg`_x}N$ z-s?TRi?{1^!}PSP{ePai`X&ROjnEBhQLL_0(k|V<2txl7=zw;AK1g{Vyb+*$Nd&LU z_V}tlgZ7i9Mewy3z`2rFZ1An;N@p7QB%j#jsub9xySUAmJJS}S2bHK&L`251x znfKZ0=9t65QO=Q(n};lLU6E0_&rP)lf(4dpi5NTS|IKJRL`#3m6v} zc9eQ~x4OTx!~XP)Ww-lmt;a+nhAA9Ce&3xc{&Fh zhVNNGQf*JMS45#1g$kbS)s>6F;1>f<>$1(V_|b#_+b;$DASmzaDHWT0iru9}eQnL% z#d4Wk3fXj|L#Eib%FTn1MxQipW8wEo;=BJ%#-Y&^CaVkIS%BZ!&&;0Qn9Dh zUCwqd@V#K-C|B<2Eq`@)sCN`P7M2(Fbvxfu0LVH*{R;}!nnKJwgG_s0Zk2q0UM77Y zHp93_v^Y0ybl6^i;vM=OG_QgEO?gSa{+Mq|3JJ_LmyUR0h zjnJFzb?dwGrTcQ8RlPe;vajS@(R=c=?Ou@Od+~T581a|!Apa_$0VDSPVeqd7+5Yt~ z<=+g0e=ErL2Y-Xm-wreVJ3-378-#uUz3nLTpjJG!+`G7VYN`2@Vi%r9&*m1~#P+;iMrWX=9jUkmDUIvzV+3r*QF$n!9v+25duA1)%gY!F6_{+NLbrSAX1i<$V zgHH~FUmFI0H{jVj&2?eQuLqoW{^Uws?=E`U)pc$F2Y-)-`nqEK;xlxVo^~<32Mo?f z5H9eI0B85#KLZ`m^KJ@K{$8N*S6GOk`$|u{s{Stkv-OB)&~bX&#qquzINqNF#|OYc zJLhDo#Rqe+;6vaD=nC=%N4CNLBVo#KHpA1NxIPLv{xaY0z9kUq!! z&hBEVy??$w+Y;iBgNth!>qY}o#^5?8J`qlFYdFOx!zpeHr})=!icf`8d^()sGoaw@ zZS_8EYq_VTb4jT?(>l3-0~1eInO3;p9s>UN5b$RK#$V=x{Ek5U=L7Ly2*iIe5PxSN z{!74zo~`f7f#b_LaNL~($5+4+aK60G{>OCjqc`-VqZs3SE;4Euv}^_a-CqdhmT(mbIv!S`eo}@-!&^wI}iIW zGaL@91lPRf`(f~h0q5tC%N<-y(p${tf**h-`*HchAoP#I9I!16{^KCq9|=?bDBzh7 z>VF}uK@Av+*M`C09tIx{gRcWTdw(4XQ@%b7&M#92wA=Y%@C|@x_uR%XDJ8K&|Ejquc)h4-dv*JkADS){doNBTIpRyrrA?jL~mWwScTMTQCUcD zznx`+u0;(zM?)1DSig3il?D6Orm-olEZVObc@Y|jjcuQP+H_VH@7Jue zvVgy4WMvV5&B)3^{+f}M#r*aLr33l#X!ufX(ia$CjeOoAUAKCm|E%@P^&&k@3V}1N zhigE=@se+Yxj3Yy$W!ClQ&+Fxnty)2q0YR9x|#JetuLMhknwe*# zyq2?>#($02RJtI5xAG1UMXN^$ps_|`r;;&F&Tx&g@+FGX{K;D_bbK`Pb=b|Fcb$Yka z(^V8&|5{q3%!pc0iOxG`STd1(^^Vhz5Jn`1?3L)3LGY3=s@L`VyR7iGAVlN!#X3g)$u&P zkv8c0eyGbm8^Yil!{D0$=L~z@$ng3VBkP9;wa!|VwAKDHzkhxk^z!V`E3!kc^g~_E zbrs;egWc6V`st1GqAE9OJGN@`GrgY4tqpp$AL_FGnh4(5Wxa;h;XI@3;R@_{4A)|c9({Ug&+RJ!TpP%t?eo#hSpp4K?J1L$P&_3cqXElYM^)#6#kz1vxwnv1AoIy>5O)cis7Y*;Q#}}tD|Z()H#jV5mD@C zpm=>cPwa#FHHWKx3>0rjN3mZ-vEz5i5$}+66bD2UFBvEfO-FHHM6umKaX2Xay?aW; z@VtTH2!A7kzRHN5;^2tkR|bY+x@sH}Q9Ns)I5L$Hher%QGcfQQb2PNx-LIxb6weqa z{tguQt6qy6cf|CF;0Xi4v@`_AMg)%;2#x|lvNhu-%#0Ye85sC0?4E&!3K~Fh(;t$Z z`U5y%)YV-HpCu~U@BWcv7=k>$xar}m$VgT~mw#ZXAeq*~{Xyuj1)(1ZLjSKI^nV1Q z|1${v^&s>&g3#X#LVqg={UFdO4i-qM?i%l^-wsm#ognmggV5gtI-uhJ6{P&3AoRmQ z=pO{3e+YC+w;hYI8PZju4r4Q}KfNzaJb}TP_;`QgCz?~Jx4~Bisz1}Op4L%4Yd+mj zgMYI?!CJYm5}%0}rWzPlc^Sz6GccIYXZx$*f}aC88?XtlgCQ~o7k+i5zQO%-7&hzA z4XXPZFPg1K-E6(X4Za`pGO+T`g29Ad3v`;^Z^I9JwbOp0Y5ySx``39HX#cOkU_y@o zo#N=T!Pf^VKQB!A`C;%4VepM%@J(Ux3x5Dl)9-8zcOmfX7xppB)ob42H=dn}%GqrX zHgwx}`YC9^^Pn)nFG^*<4SjJC`jQ~@rC}A{62aU05?=_1yHs%b?J~f*Xa?U^ZS8Bp zM~_O~)?YDm@mwBe#uZ`kE5qPdg~7K1&dW)x6l(5hu|F(y`S0o+IIhWo<6Sv$Tz{Jb z$GdajxDFh67E!=Ea@iW?`W%e70UT;z#&5x@qtWos)iK@^h`%uq|6br}pZmmM8(7~L zgnoa7Zk%gyn#yu{?gJ5emh&N)&GHWhp+6L4`G+I)9OwMoX8A{g&^H5(zd|ObeKb;C zKRZi<-4dy8%mV&cq&iza{df@i6MsSITZ7P_OpP{ODt<8)+IFdSXAt^JLFl`HPBY=P z+5Y7~{M|v;-ve}t%PgDm_Xa7yFHHGY{cu*zb&dUlRQB7hk$>nnfae7p{LwJ@<6-b8 z!r)Ja!JmrYp65rd`wPDWg?mEayVU!wzYe6}`HfeLQw&#&zw=Yj#_gam!GC{mtb_gp zO3%QylxeKWKvQ2e@zGBfabX;{K5do^Ieeg#S7+!*!RCX1Kc2 z0Vz3L_YGbZRmHI+-q)F4oze{UCU(dJr9+;KohZB}GQ;E1mm-P-ghG1_=(V80pJ%4M z0}K_kXh;0I$N+~QNN$7wEq@Br^eW=%gZ-oenh9_8o4}^n2lpFDv5$e`kQ8RH8vB96 zgg+F8EVs`BX#vqT3*H1c%iKTcuCth2aU@vMxYOl}sm8kKgOqN?j^8F9rA#+6pmLQ! zh65sRykuZF&dUIS!hv8gp=Y3w8a#c{2CwtO$xb+1%;bTS{S>SKU4LMLFGeBD?cK?R zek%$+)%W@TQ|Tzg1=|fx_-Q`{D=`HwFr6x&O9$uJXu2=^Ce+a518TQj%N`yEp9VPT z-R);nepHz9>0$7r!{C1pI9`n_oLX+}UEH7PM#8aS7Q7j7-x%m>`{M%fGl0+D_%pp| z2sr1c#~T^q<9A|G0e@BrbzqV@P;t>tT1?E7`!PAJ{xd<-=X7Y z8fGzYKJg!6=Ns=)94 z6l_=8Z-VcG%6lwu!4LAo$xiLI3VhE`Ar_E=n;yQ541dQJB!4CKaCZ>;D?#XcfKK6h zo9Xw4!SAcdb{e6F+3D^G*lceD9Mdm(O|l=W#xs4QqXRsA$!iI}DNsLe-YnflJ+M0hJA%*y z5!(K)i_7xC2%YV-@vDKx(Q1EE$U^Drx-%C<$9N52R?uDyEa3=wBk0gX};JjY2IF88iUi$$x#{~FWvcCElqtGCkxD% zZ9CH20DqE>h^qlL9&zRS^C){W_W82v?SI<26UoBG{KrvCja=Me;E*L5v|`sws1tsm z9XN75mii@Bt@Y~D;i1)6pB!^mj@=_v%kF6?cBoKGU+?8m-9c{#)hFMnU$4$7l0oPxPM=J$uP)Y;f?%0Y|Y zj`1SF6NTHS{+3(rgWdDZ%NL+tNJFbDa3OwWREpet+x{5;W57kKNNx|Gd0Du4=0vm3f?i)g2jU=T|2_ zU4O0LAO0DefBfJ@p1ZHB(IJCjI>dj@_SaTP=%oG(bs+m8&UW!@Nl5r-pVxZ5&I@jL zyL5Ra{NtE1-C2FV9qI{%lf!@2=%4ldowv4XeTUNye{FYf%l<9b5vF3C`%<=fy#WpdO;RSlJ>i+Z2TS-D+e zX&$7By5vFn3UTaBb$Nwcm_cpgxcxJ1hPP?ofJ^wp?9*E?%qmY|gi)v0T|R!~(SI)f z^Go7ND{+o8`@>m%HBZ(h{AV$x<#4TCQmTDMYZnEX?&WwwgP5=9bkp-_27g_svFfDd zhoo$%?RdH<9aoV~LHDi7&?U^ZJunW@9h9&%VVJ*i>LC1;W~rLnCA1vuvLi7MO4Mbk z(aBS^4s*0oB4LL=gZ7J)6aG*h+kd9@LOzTxbZd5~8xePE5=BZl)w0knk!YwyM#5tW zi9J3;bMj$Ek8wnhmdomo!!?egQOko`+xo{K<@L>)>FOrJR*mBnYT2quj6yUC1#$ME z#Hm2_LLD-yR@0(7-DXY8msD+E7#nh4M?-WDW!M_%5+~n9$bDG;AQ?|HV}AkGJt%gh zf6dii365Qokw@z%3`>wEG7^w+kdYX+RQufh$DKP5R&9tkiqS@eyYWx1#2@07IK`wX z*^VIsa^@?C#M!QL32v@Ikx3shhIyG1e=24W9%?% zkeII6@a6_rG&!q(5^+w65P!o+%&<3cs5(t}Om&*Qt!?+Fe!Az>MO~fj5l7UXW9gpL z`hH6%M%?@-dFo=sdCcuiUjIE$RL6P#=fbjR^-6P>|IsZ83e-yvj>#^Na+X*fC zj}8+)o$zTiV)7_gA#uI$98bqf5Au(N!dzyB6tV-*^#y zOmA!jab_rI>k^3-Gk?oqd5xqAwbx12rESSsMXHWH-HrrD$_&w@G{m(i4yS}N&}oM{ zi900{mikE(kPI1#l{<;2#0k=bVJd_FR6=~H*VZqQ&|=PTYEh6t3IEbrvtfE|Q`(V$ zBr=S3p%lZ*-gCnjIG{Q?8u`GEghF~c9{-vl`8GgfbwTbGdbh6Hoo9TIzs>;>ok8xX< zJ=n0sMUlDF<$vA!a(_$Py*fMHF&35>EkiRbWd60N)8}BFU4*Cn8 Tlk^OwZ1_7M~Ow=W|OVlreE>}cQoqDP4<0y zL{4Hr3x9#FW9_=O!Lx^7gJffd4Uod?u}#5t6Sg*NdriiZewgeBlgGj2I8ZndKHxTm z{}{Tl^+hq$6KQ)J?0Ka&`autSV29gj*m!~|6b{B5bbn8k8vD05qUa1(-!xFqOgfoo zADq-0#&alm#*&fc14~y8FFOl6N=B#Jwtf3{vs6sMbe!j^6>yK?QE>eESW?+NGm?B9 z`Be21RPZFZ4jbz=&cf1jheyuZgjiFjXPW_}FaVfMKw*kdOp9`;pBux@j>ohX3c4-V zM0U^?J%7!n5L;Q3iI#>*g+If_ZbQ4J4^%f3OSR^aW??+mKP>`N2$JSOGG%B0Z81cZ4Hxgb$28hX!W_xWrzHt4KQRWed zb=z6a!12&DCQgO9O!E@g1!v8vu zpMA*1&l$X{+-IM!{=5)&@k6~+zpvb9AAgTQm;Su`Lmtk_7}y7k*rq(U{eP&NK4L(! z612-fLV3eI9%iF}mtLAEMJP@U(^UFK{AU{?Qepg>QgZZ|bd;`^BBOAOUn3TgD~~YZ zv2E5U`64g-@tEBD7B825h)MPNXo9;8EN0jjTN^f3=ar8C88+|^n|I+Qjs7Jpk` zhxFj`B?C)`dH-qo;F^`E4-KqYfA-ShRVXRk{KxIvry^#{5+>DQ|7EL5;LW2=*g;fj zbCwY!fgCerM~jJJSyf=hlM&eiQ3-8dIkaZ9zEKy~(+SB2ozx`Bfq4X@XC#=G zP~GkmVH2Tfo-0C(U4p6WGRMbQaerib$vPjWRHrGe;5?sT#mdyKZ1z!#k@Mq%$3+>^ zfp~sgy>e(^Xvx`w^)d)i#?(OU8oh(7QgtE7d7U2ddD}aZ^SXyNm%R;0sSShpR|%%N zDlV^OO3GVLCFS*+lJXuTO3K^!l$5vQDJgGXQc{VIB+iqL#EC$;-s}7^F@JAMj){5Q zKPKk&|CpG!0mj6!xv0udBvIy*+kZ z)Z1;xMZJA@T-4fur6W&6qB0V099}!RdhMu`G3H?q4;($w={K$%N+Hat1V+V%bkdxR zl;@|DjhO4xNh{{&bh2X=On*rnnbDYzTA4Ao2HB<>WNWcuUOL{Wc5~86tJ>Aj)EfqC z;7!@#lnp8E9c$>Cgj4!>j5(z%#)K`sVpD9+y+00-C2L2A2TmUx8XQ?NI=Fm*!!3t# z35Oyv{=oTIgES?N!tDJ`5#%7mZP+*|+g)M~UA%}n7WL%ykZUWVJb%k3mz=f6S#;%z zvH3!D*vci}CMWqjDi-~D$6{~Zym3C!6Yno^IoXfP`St~8i{p5kJ#jhTeqKC2JyLF~ zpDkZ-VyDD5`FMV<@`4w=vY&Sxx2vE9t_b?;X#XRKcb9Q}vL3tFd3v%vC)+d6c_-(5 ze2O7qPb4@6mVL%@&wr0|!yjOW;@mhsGaQC3dEXp2&zG#iYnX?;Z@l8* zWRnEanVYbq-$Cc}yl;3l{;LNwGVeZEg9vnYiP;9ce5C*7JSZ`;DuE9nc>TdZH^%Ev zH_G!3X&+wUB-&@`@Y zY~{9mldnv&jDiw=q7GPZomu}qg2*?7LlGG7mu z;`rkj!f-XVHf(>&l zILcM^F>+KLAV!X=PHp6<>N`e`s*XdBsejSNw5m&0e=u@XcLv}jkb`&sqh+GvvN*|@ zNL9-a`5an>+c=BUtz#@szmADG9XlqXJj>p}aqd36E_ntM*!~-7IZ%`}JhoUC4^=`^ z@kyb$2Py+}Rnx|$s+`G506yZt?~cq~x_kxS7aRMg1NTNS!mv5$ac;+ATZD~AIDhXl zJ&brJ;-4Ts67eI54@1lsGWcNSr&|0*>_3hD0}=lmG4JsF67hbBe}(t}#C#iWI^rh~ zi@d}|1GjZFE!Lpjg%FCgZP+9J09K$`Irh{;V`S(hIpW?h)iy0E_V z#u3=aoo0hrP2r=@UcQU~+8=pd8h@g>Fa-z0{wUxkp@>BD{1r`e8k_3pEML+*ch0=# z*mj2Hh+#95-7{Cbl-D741cM>o^Z zIeP_LoKnSTzKCSudTbm`>*qJmt#7KEGjIO0nm@n3c}~;3InDKT>a;}h zc!<~ON$QEhrxCl2ilYU|_%ql7JaPyO34p%|aE@6ETH0GWTT5-U$bS@EH8>g^+3PxWSw843MB3pv1;#^XbUHO}VW=}13wk&8biN1L3(%%CHjIGa}B z8x7vu>b0K?ndK36%AbEYz z+11n0(q3%s>gX=FxAc|mCr7$NDszjWGKav{6vxx4Fz8kT{C|z<;EOHrVtTl#Kh6Lg ze;MZnhXcx%Pc&~ag^q}rE-sHZwco|qdde2n-1t?6>c5*zCN)H1O zyuIsWJzGx`VuPQCLY@s;`un1h`O7aIoDe^~^tpIxVG)YC5Q z%fsMr6gEjeC#KE*4HULi@8E@X67Y08+48Ac8ektIb z>h+Xb+RMEd&~?Y?X;)XcEX;<>!{Aqh!8eD&uYU}KUlj&_cNqNYF!+1I;Mat~uMLA= z7Y2WCdiX~%%*Mx@>j7t;`|NWjp7)wgA74zb+yuWh4E~c;wo~zDL(QK|h2ChX`BSOT z)NQKxi(wV#`4S9SPZY0758r5T-0RZ8H(NY+AmH>#N2#sc-+_cNa4K!`9GCLpFZ0cy z#(#9i+Zt}JA8xa}2~Zwsv#hrPZOC~*;?G%U8@4$Bdu(K}eQdC4eX|$oI*lI(w5NO9 z6#pHdEVJJ1vH_0=5Pz9`{FVUh0$?-Nwbc)GdHKOG_+x2l=on5s0sel^l;Oe`@aCE_xeuh=IuJ& zFg@*R|DUI>zR7@RB6NdV6|3u%v`hCdg3!MNI-uR34^rL_Zv-e`9Kq|dJ-!;qpnv@Y zX%T$(1#m89~()WO!<9b zGvx1t!S4@)e>V*NJ;2?Lmji`eRu98|#e{Ce%4hx*YEi?U1um|}=cm3-ADFXZ#r(>( zG9g^%>i#A!!7aVLN1SIv2HocYlc5Wq4;YW&%Hd3V z;vsI|JG1H92pFllYC5*wl?io0Ce($QP#0xFU7QJZStisKKzTX`8-_1hKvHc_vR6c* z1%(Qp?cJ3N!r&JIPV2JGviS9c0NXDHd|xQEI#F+i-+vP|oN-*yP)xC|UT?e?NB z?WJP5qh*oxwC%Fxauf!%7C+s`r=Ztx71V4b}#UKVB#oO z?(8e|bgFk0Iv17~_4hd6QUJ(0LcJe_YE2>Lok6C3Ah$|>FfWrnl$%K(&W-6Kc~$PC zxta8_+?a06jp^gLG2H~FfYaWm0LNd(8Pd%`=uZR9Q*ODl+<%9=z82lE%`3VTjFT48ty8HWzZ9V11oE0wW>FV#yG^zWiFq6Ij zIQ}yBs4oJV+xhp_JjuS4C)tPI9WVeBgcWuz4%AM>`eLdw_xJKy9_PRAcy_6%} z*Yd3D9eI*{J%8Vd-kGOucY!S5i^sdch`)>n`8NO!7_sjOgMTx~_HTtLzb_2_ogmxq z4?=%8%=GUCDgS;D`d;+5!_9+Q@#J#fiN%vkEhiPb@icl?e>dce0Tx*2AU}lyLytDS zkjQBasr2wNu*}PLpXv`m=s%iG*UfX)d|MctADqHp)_+y6Q*f^$0KR7!d|DX%>M;0w z0MFiOt_f3qE#SQKCs*ovchS?Xu5%qYcr?`46+2EmRY&P*7sGqO;Cuw(0$&escK`i7 z&;dQ~h9Kqd0~&vYg$TN@^t7w$?+2KzM?8a$)6*`F59Gk{!5la~1PM)Qm-%-0O@a7N06z`-yBE*F_ppjxJ;hQ-eSNki z#GeEg*D}_P1*D9@bxeFJoZ{wiicg1Ad?uXYAHpd<8&2`LaEi}^g15KT`><{0-qxw!S?F zj<4mwaYqguUk69P`Swo0If!RF-`*9b{O&OLH^Siegu%ZFc;@lzUV!QLY!}%70`w6a zgAzBoTRMyVoxRJ>|f1rIII#}^Ogs~ z;C~MR&hH_YJGq#oub9mRKLktm)*+Aui3Oc~H_=Z3-80iNA+>%)|v2RJ`UQhBab86jf{*MNDx z-v%C2yt~DLQGeGaA1QmbOx%d#${fL}@*w zTQhG%bKN|Den74A<`Vq@EGQhn<7d}O?zc+Yq*jZ{LVEk{EE{w+YT!8< zs-W7NQ(TA7xp{rlyr#ywx#|~7G^47LtY%Tm@h!#Ibw0_RC{&i?*X*MVOY(Ii#D4(B zwJ*!JF;$l4*Ib>-@_Z|jmMXVvP3BvkuiL4+olErjB7!WKO}{{2|FpYrfxb<9Ws!dE z-P^lRU)M>GNvJH=uU%(l!M?R=Y)UJO_G?C7fCge?+ozv4ot4G=HS4S_;IA23S;Sv6 zva*oBW@KeCzr8`}Kz=+LzEqp^1%Jj@BA<6i*Q{DTaK_rDdXb(cg}|BC!&RW*c*(cH zTpZF;lAZ1oMtHZ&ePx4u~H#O=k71*M*1ee==92K*3Bf-lMt7by!hGtWeMEq`Y-jsGk^ zG*Ouo#_{WrWV!tSOrW(_nAZd8u{!}D*In2U2Hyxcr-g&-Ru7Mi4lG})QCB5hHoOax z0ae%pIBhs%*}(AH(ba25s}yTH2^+NEO1Khoe4k>BVPM6|RfB6ZXjL-7qy19BwUA^- z=Nl)B+Pm^z`7-G;?7rfz<5`*teR z!})#+ju$*F$H&B06p((AeYt4WP@}1Bi=c~JTeaM(qzzg^0ep_{u77lvssP%K4ZA2( z>u4++v^zWWi-6MN)IVUN)#O%S>38?{Ol?MgDG2>#ptJYQHdL9GAJ>T=UeSgbt#U}( zEbsImRyJrCpl-8m$nFR^eR{Q#<9jyb|MHtlljsj_OzWX14BqR9+YIl|4qcobdP;Wa zsoA0bJ3I9M)(F+E8h_soDE-v zv|Qs{k{!AfP|nsnyZQz&4`|a^tCCe#Yb7fK@k7~7=WRCn&wfU>8T}5wQr94`CJeq7 z@Y%4NKaWv*TWhJik3ZD2pxmimfx{#W9jM$|EVZjoCPi<3Sbt|^wmP2UH_`?@*AI2M zXI&V4eHeTL;GAKv868=>Y;^6&pw?NdlD67k;@5A3UYZ?xS$62*jhDPo$&R5`R(5Hc&j7j^fdX;wS^fQ|Txk zk0@puDE>1Y#nTbRbOXhUpz!z1pG6FZ8W>(mXT&oR#X$y&DL9x@bc<&riUSN3d!(ay zKBCyqK(RL{=!$ylYD3z%HDcJu!0@V63@=3tdm9*D9aW>D&S}K9h+;1T#p}{}Vh_x( zIb7{wpno_p9mQS|#kSujN4$g5QS2R2ykwv_I32}45ye&m#i5|^_wM~7hUX0oZ!|LK ztBlww4u}|@H82#@RpX$D;u!VfPF)RL}s58-Abc)E~kDqpt2s z_$*P$e)o?YBM{{A#Z3?2Kt{3>x&%uF$+RBs2||A}2z_r5`u_!?|2YW#FG1*U1);wk zguX8b{hc86{XnNUSRkdkYrLy|H%R&Sg3#X&LjM5hfQtWXkn#tE&<_Qne;9=R5zr~! zc7GJcW=L0sI*iS@{`9^y@i+!&;^X~|pJ+~@-UeS0sQz@ndRj;Itocks4bA`sYvsO5 zd^%#7ZeUpHWg!30z+gh3>92wdeiqSz{)=Z1`~QU&}n+V4L{=5PJjD}ru_#Q>|f($p#9H+!GspgvgRc!z zeomP3bHm{4!r<$};2Xl==K-Fk-`N`OeBjwH>|>Uz*SynjJUbPYv)dkE=(g|jQ_zCv zL1BVlkjj1=`obXeMM3C`!z#Whg17f4z7P&~so?V4C4h6$48E({*58Vc9+i5mzkg!p z;<+@;jLX8{mxsZx2!n41oR^bWDb&*0YJXVh^52y?a9ouG$GdajxH<=p_vFBF4LI;D zqJVegvNg)JIT&#rIMl$5--1;~qv4;cW4t#Ie|;eSeZbQ`_ldzauzny2{lN&`*lci` z%5r(`LlJt8^C6hc@(%~0KN4j5M}H&qJm>t|X8Fg0&^H2&zd|ObeLPZK-;|}nZi-Yl zW&wX9Qk|`zeliICsUY;tLFiAXMw>1bzmf`VyHvX^2>sO{^zA^Wnef_d|5_majv(vr z1UkiKmd*IPf|TDKru-XzIIHHm#{OX{`)$|AKk^&E^MVcjNErOFF!+y z&+{YK{e@qG!aX7IUF!YDUk6g~{Kl)r{R~%&zx7kl#;u?*!GCA0gZ>0c(58DlFQ!vY zCz@^#{yDf)9Q(XKXoBwrxNqPiz3cws)W`%+H*g)oe;JwKx=TniTwQ7JlpL=62Cs^$ z;#d;z>rAgsX$E@}JLEpnA%9Q6P841fnc?y1OA*E1LZQ6|v_B~D=b345149KZ+7Z7t zGQi;nlH1^ajlwj&ig@~9FR6fL!t4DeuqpPy{RUF(VW2oDg&C~IUf?j{4@M!&?Xy5y zK(x(*HvrBu_Yb=3EGAbR29`ALbopYsu`c=`rCYJ>H_1mSGmQ+WTz@5yVeiNrFBuq) z_A)@Aun!na=vgSF22Y=~!R!2RvJ=i0GkM?yKLsm57ntBDqLAhG?&Lzh6@{Ma`~3ge zbQI!(t%fH2oS%Y~*bgo+ohqM82j|#mx-a_%)X?JtYPVg>9vTLp0XXU1?PpVdc$o5; zVeliu;C~A^UX3f9Tz_usJ8>Y>jfA7ZEO-;(zA@0%_D2WeX91tR@n?I{5OB^>k1;aD z$M3|X0<041z(6gY@spE^%A7>7i0i}P4Po#(VerN<_}nmf6X5>7L&wiH%wjH1g?`k6 z-V}tsBsIFhVEGe3r#WV~!1g8s{#U8AKV(5a2Q>a%{hh^x^M8bIs=)936l_=8Z-VcE z%6lwu!T0sU$xiLI3jDxNAr_E=n;yP~41dQJBqjB5M-ck!LFhYyPT_i+>34;}@2<&q z8li`#baw=7wzmV0>6g4FIe=B;nLg3c2_C-WwV2-&sGmQ7j&7r#X1m*rc{r>tzYc@{ z0dQK@URqpgcYi*Eu-VV<&-%?iMz67+cGZ3w3IlrKyhyow!f7+T8EB5ay$f6Wb%XV^ z%lP?W#vfZ7oVCzHJ)X7Fx@>iC2tv;ZLbpcfhPiru^t8+C9YMM~gV4((wEbNdm*s;I zI@@RCR{@Qq)&8WAh0@h^XD*12@fyCYpuHGa!V&U%(0_FdFCSdhyYlQ=EvrTcN0tX%Tp z)~ip4hgM&Ga?Dvdc8^dkJEx)8p+YTvotHy(2YNYF^PKXy(({nPULgsQE6ROWF4R(E8aonM{!bhUne_-AbX z@q-t6?!K-@hYW`45dS&bUt1-illn8%f$WDk+r_UXA>p5WUhVZdFSy<9((RS-k7LSo zXZ8Jds3#Oo4*yl7f7bUm-rB159Zoy^wSV0?5-HCf&W`IpqVj0{Jp93GxO?(4uY}(Y zKJ#;H**X3=%xj0gE@lb-k|b}vF)YA+H23wb;&ApLzr?;&7099bsm}3QFR40d>5zn_ z>Hu;|Bp_;@?1ZTP;gs-?>j||xBtwmsZ-*b1$x$0sHB_Q5>U}b2<#vdrd5|XRl79#3 zE5xxo)#Vj(VFtB{ZdpoFCf!+-phQwQO*nx$%Pm(X&6%Z|i6C{dTwj85K9>o7+c zB@%Y{Gibj!IpGiGu`OCJP7(|m$5N8icoPP>bFVrDp zYBepY({0qWd{x!k$_BqjKr{|+UJfxY~Ox>YD2tHj5aFVfq!x({vfZ!DJD(HmQ1!>pahr2 zxV5Nt&Q2F@qG3)uuJcMv_kT(#KRLCi37S*F&#@&3JN#NgB-j)TpJ0a>LSm+3!gr^dIHGnPOLv{t_ggwS;^sfe zQx_x7V{Uiy`tNw6a@O`RuY`XAguf^690`BR`NuK;qxg+pkL{e=PJe32e{`7i>7-Ai z5tB!`3W@7|=Xg3%dXRrCoG6w~+A%SYIsdsbkCs!naGXa}9z8h^jy!5RQ8;ek$maC= zM6q;tvLmDys)spfwBPWV#Rw9TaR@z>u_@*L=}+ZBh-5tWvhV(B9_?a&P^O=6{R^k_ zutPnO$ml}Vrf32Gb$_LQnYe!}`}T|IV|rsNh%-Yu zTbD?zm{|hLYa~smy+*1oZA;E7Qg!UO>wtk6(7ITJEi-H77_?OO_4S&;Xo6?R1B#~jP3#Axd z_MRKQ%mLNO(Z~mOBoxw9@c7pZArC{!${E$}Tn@FOdjiDTojo* zUEZxfVLfJE6rXqX^Kf#?39D{;;<{2zi*<<%?c#q*oX4~{VOYZS#0xPANXj9{KPXKA z2{u}ai$rJJ`Y(!<3;ng#wfLQ!hgv^J1_vd^LVjS-?SEu-wU(&9o^hI&!|&u0Idsxl z@r*=YSJl@c2?>9OYRW(kzZNCo?_++69d2od*XxPeo*IX!F6Fe;e+g)QXGABxi)xJ? zqZGq0XsS_ynGCtL%MQ~bby??qCE@^9$Z3bFwNqlVm&5;gKL2eO|G?%Sl#cLPx?|f` z+U57+PcY?N8pWXZ(>(0(`$IjV5L?EKsM!vG5AxT=J`WO)ZQ=c7-9dlGbCRB+w7fzY K{~xpZC{P7E!}3W0 diff --git a/1ano/isd/quartus-projects/MuxDemo/db/MuxDemo.map.qmsg b/1ano/isd/quartus-projects/MuxDemo/db/MuxDemo.map.qmsg index b66d7ad..624031f 100644 --- a/1ano/isd/quartus-projects/MuxDemo/db/MuxDemo.map.qmsg +++ b/1ano/isd/quartus-projects/MuxDemo/db/MuxDemo.map.qmsg @@ -1,13 +1,13 @@ -{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Design Software" 0 -1 1669918362163 ""} -{ "Info" "IQEXE_START_BANNER_PRODUCT" "Analysis & Synthesis Quartus Prime " "Running Quartus Prime Analysis & Synthesis" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 20.1.1 Build 720 11/11/2020 SJ Lite Edition " "Version 20.1.1 Build 720 11/11/2020 SJ Lite Edition" { } { } 0 0 "%1!s!" 0 0 "Design Software" 0 -1 1669918362163 ""} { "Info" "IQEXE_START_BANNER_TIME" "Thu Dec 1 18:12:42 2022 " "Processing started: Thu Dec 1 18:12:42 2022" { } { } 0 0 "Processing started: %1!s!" 0 0 "Design Software" 0 -1 1669918362163 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1669918362163 ""} -{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_map --read_settings_files=on --write_settings_files=off MuxDemo -c MuxDemo " "Command: quartus_map --read_settings_files=on --write_settings_files=off MuxDemo -c MuxDemo" { } { } 0 0 "Command: %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1669918362163 ""} -{ "Warning" "WQCU_PARALLEL_USER_SHOULD_SPECIFY_NUM_PROC" "" "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." { } { } 0 18236 "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." 0 0 "Analysis & Synthesis" 0 -1 1669918362251 ""} -{ "Info" "IQCU_PARALLEL_AUTODETECT_MULTIPLE_PROCESSORS" "4 4 " "Parallel compilation is enabled and will use 4 of the 4 processors detected" { } { } 0 20030 "Parallel compilation is enabled and will use %1!i! of the %2!i! processors detected" 0 0 "Analysis & Synthesis" 0 -1 1669918362251 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "Mux16_1.bdf 1 1 " "Found 1 design units, including 1 entities, in source file Mux16_1.bdf" { { "Info" "ISGN_ENTITY_NAME" "1 Mux16_1 " "Found entity 1: Mux16_1" { } { { "Mux16_1.bdf" "" { Schematic "/home/tiagorg/repos/uaveiro-leci/1ano/isd/quartus-projects/MuxDemo/Mux16_1.bdf" { } } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1669918366549 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1669918366549 ""} -{ "Info" "ISGN_START_ELABORATION_TOP" "Mux16_1 " "Elaborating entity \"Mux16_1\" for the top level hierarchy" { } { } 0 12127 "Elaborating entity \"%1!s!\" for the top level hierarchy" 0 0 "Analysis & Synthesis" 0 -1 1669918366576 ""} -{ "Warning" "WSGN_SEARCH_FILE" "Mux2_1.bdf 1 1 " "Using design file Mux2_1.bdf, which is not specified as a design file for the current project, but contains definitions for 1 design units and 1 entities in project" { { "Info" "ISGN_ENTITY_NAME" "1 Mux2_1 " "Found entity 1: Mux2_1" { } { { "Mux2_1.bdf" "" { Schematic "/home/tiagorg/repos/uaveiro-leci/1ano/isd/quartus-projects/MuxDemo/Mux2_1.bdf" { } } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1669918366578 ""} } { } 0 12125 "Using design file %1!s!, which is not specified as a design file for the current project, but contains definitions for %2!llu! design units and %3!llu! entities in project" 0 0 "Analysis & Synthesis" 0 -1 1669918366578 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "Mux2_1 Mux2_1:inst14 " "Elaborating entity \"Mux2_1\" for hierarchy \"Mux2_1:inst14\"" { } { { "Mux16_1.bdf" "inst14" { Schematic "/home/tiagorg/repos/uaveiro-leci/1ano/isd/quartus-projects/MuxDemo/Mux16_1.bdf" { { 528 976 1072 624 "inst14" "" } } } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1669918366578 ""} -{ "Info" "ISUTIL_TIMING_DRIVEN_SYNTHESIS_RUNNING" "" "Timing-Driven Synthesis is running" { } { } 0 286030 "Timing-Driven Synthesis is running" 0 0 "Analysis & Synthesis" 0 -1 1669918367483 ""} -{ "Info" "IBPM_HARD_BLOCK_PARTITION_CREATED" "hard_block:auto_generated_inst " "Generating hard_block partition \"hard_block:auto_generated_inst\"" { { "Info" "IBPM_HARD_BLOCK_PARTITION_NODE" "0 0 0 0 0 " "Adding 0 node(s), including 0 DDIO, 0 PLL, 0 transceiver and 0 LCELL" { } { } 0 16011 "Adding %1!d! node(s), including %2!d! DDIO, %3!d! PLL, %4!d! transceiver and %5!d! LCELL" 0 0 "Design Software" 0 -1 1669918367733 ""} } { } 0 16010 "Generating hard_block partition \"%1!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1669918367733 ""} -{ "Info" "ICUT_CUT_TM_SUMMARY" "31 " "Implemented 31 device resources after synthesis - the final resource count might be different" { { "Info" "ICUT_CUT_TM_IPINS" "20 " "Implemented 20 input pins" { } { } 0 21058 "Implemented %1!d! input pins" 0 0 "Design Software" 0 -1 1669918367770 ""} { "Info" "ICUT_CUT_TM_OPINS" "1 " "Implemented 1 output pins" { } { } 0 21059 "Implemented %1!d! output pins" 0 0 "Design Software" 0 -1 1669918367770 ""} { "Info" "ICUT_CUT_TM_LCELLS" "10 " "Implemented 10 logic cells" { } { } 0 21061 "Implemented %1!d! logic cells" 0 0 "Design Software" 0 -1 1669918367770 ""} } { } 0 21057 "Implemented %1!d! device resources after synthesis - the final resource count might be different" 0 0 "Analysis & Synthesis" 0 -1 1669918367770 ""} -{ "Info" "IQEXE_ERROR_COUNT" "Analysis & Synthesis 0 s 2 s Quartus Prime " "Quartus Prime Analysis & Synthesis was successful. 0 errors, 2 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "402 " "Peak virtual memory: 402 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Design Software" 0 -1 1669918367774 ""} { "Info" "IQEXE_END_BANNER_TIME" "Thu Dec 1 18:12:47 2022 " "Processing ended: Thu Dec 1 18:12:47 2022" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Design Software" 0 -1 1669918367774 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:05 " "Elapsed time: 00:00:05" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Design Software" 0 -1 1669918367774 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:13 " "Total CPU time (on all processors): 00:00:13" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Design Software" 0 -1 1669918367774 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Analysis & Synthesis" 0 -1 1669918367774 ""} +{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Design Software" 0 -1 1674690106501 ""} +{ "Info" "IQEXE_START_BANNER_PRODUCT" "Analysis & Synthesis Quartus Prime " "Running Quartus Prime Analysis & Synthesis" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 20.1.1 Build 720 11/11/2020 SJ Lite Edition " "Version 20.1.1 Build 720 11/11/2020 SJ Lite Edition" { } { } 0 0 "%1!s!" 0 0 "Design Software" 0 -1 1674690106501 ""} { "Info" "IQEXE_START_BANNER_TIME" "Wed Jan 25 23:41:46 2023 " "Processing started: Wed Jan 25 23:41:46 2023" { } { } 0 0 "Processing started: %1!s!" 0 0 "Design Software" 0 -1 1674690106501 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1674690106501 ""} +{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_map --read_settings_files=on --write_settings_files=off MuxDemo -c MuxDemo " "Command: quartus_map --read_settings_files=on --write_settings_files=off MuxDemo -c MuxDemo" { } { } 0 0 "Command: %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1674690106501 ""} +{ "Warning" "WQCU_PARALLEL_USER_SHOULD_SPECIFY_NUM_PROC" "" "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." { } { } 0 18236 "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." 0 0 "Analysis & Synthesis" 0 -1 1674690106594 ""} +{ "Info" "IQCU_PARALLEL_AUTODETECT_MULTIPLE_PROCESSORS" "4 4 " "Parallel compilation is enabled and will use 4 of the 4 processors detected" { } { } 0 20030 "Parallel compilation is enabled and will use %1!i! of the %2!i! processors detected" 0 0 "Analysis & Synthesis" 0 -1 1674690106594 ""} +{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "Mux16_1.bdf 1 1 " "Found 1 design units, including 1 entities, in source file Mux16_1.bdf" { { "Info" "ISGN_ENTITY_NAME" "1 Mux16_1 " "Found entity 1: Mux16_1" { } { { "Mux16_1.bdf" "" { Schematic "/home/tiagorg/repos/uaveiro-leci/1ano/isd/quartus-projects/MuxDemo/Mux16_1.bdf" { } } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1674690111390 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1674690111390 ""} +{ "Info" "ISGN_START_ELABORATION_TOP" "Mux16_1 " "Elaborating entity \"Mux16_1\" for the top level hierarchy" { } { } 0 12127 "Elaborating entity \"%1!s!\" for the top level hierarchy" 0 0 "Analysis & Synthesis" 0 -1 1674690111423 ""} +{ "Warning" "WSGN_SEARCH_FILE" "Mux2_1.bdf 1 1 " "Using design file Mux2_1.bdf, which is not specified as a design file for the current project, but contains definitions for 1 design units and 1 entities in project" { { "Info" "ISGN_ENTITY_NAME" "1 Mux2_1 " "Found entity 1: Mux2_1" { } { { "Mux2_1.bdf" "" { Schematic "/home/tiagorg/repos/uaveiro-leci/1ano/isd/quartus-projects/MuxDemo/Mux2_1.bdf" { } } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1674690111428 ""} } { } 0 12125 "Using design file %1!s!, which is not specified as a design file for the current project, but contains definitions for %2!llu! design units and %3!llu! entities in project" 0 0 "Analysis & Synthesis" 0 -1 1674690111428 ""} +{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "Mux2_1 Mux2_1:inst14 " "Elaborating entity \"Mux2_1\" for hierarchy \"Mux2_1:inst14\"" { } { { "Mux16_1.bdf" "inst14" { Schematic "/home/tiagorg/repos/uaveiro-leci/1ano/isd/quartus-projects/MuxDemo/Mux16_1.bdf" { { 328 688 784 424 "inst14" "" } } } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1674690111429 ""} +{ "Info" "ISUTIL_TIMING_DRIVEN_SYNTHESIS_RUNNING" "" "Timing-Driven Synthesis is running" { } { } 0 286030 "Timing-Driven Synthesis is running" 0 0 "Analysis & Synthesis" 0 -1 1674690112339 ""} +{ "Info" "IBPM_HARD_BLOCK_PARTITION_CREATED" "hard_block:auto_generated_inst " "Generating hard_block partition \"hard_block:auto_generated_inst\"" { { "Info" "IBPM_HARD_BLOCK_PARTITION_NODE" "0 0 0 0 0 " "Adding 0 node(s), including 0 DDIO, 0 PLL, 0 transceiver and 0 LCELL" { } { } 0 16011 "Adding %1!d! node(s), including %2!d! DDIO, %3!d! PLL, %4!d! transceiver and %5!d! LCELL" 0 0 "Design Software" 0 -1 1674690112561 ""} } { } 0 16010 "Generating hard_block partition \"%1!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1674690112561 ""} +{ "Info" "ICUT_CUT_TM_SUMMARY" "31 " "Implemented 31 device resources after synthesis - the final resource count might be different" { { "Info" "ICUT_CUT_TM_IPINS" "20 " "Implemented 20 input pins" { } { } 0 21058 "Implemented %1!d! input pins" 0 0 "Design Software" 0 -1 1674690112576 ""} { "Info" "ICUT_CUT_TM_OPINS" "1 " "Implemented 1 output pins" { } { } 0 21059 "Implemented %1!d! output pins" 0 0 "Design Software" 0 -1 1674690112576 ""} { "Info" "ICUT_CUT_TM_LCELLS" "10 " "Implemented 10 logic cells" { } { } 0 21061 "Implemented %1!d! logic cells" 0 0 "Design Software" 0 -1 1674690112576 ""} } { } 0 21057 "Implemented %1!d! device resources after synthesis - the final resource count might be different" 0 0 "Analysis & Synthesis" 0 -1 1674690112576 ""} +{ "Info" "IQEXE_ERROR_COUNT" "Analysis & Synthesis 0 s 2 s Quartus Prime " "Quartus Prime Analysis & Synthesis was successful. 0 errors, 2 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "402 " "Peak virtual memory: 402 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Design Software" 0 -1 1674690112580 ""} { "Info" "IQEXE_END_BANNER_TIME" "Wed Jan 25 23:41:52 2023 " "Processing ended: Wed Jan 25 23:41:52 2023" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Design Software" 0 -1 1674690112580 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:06 " "Elapsed time: 00:00:06" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Design Software" 0 -1 1674690112580 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:14 " "Total CPU time (on all processors): 00:00:14" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Design Software" 0 -1 1674690112580 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Analysis & Synthesis" 0 -1 1674690112580 ""} diff --git a/1ano/isd/quartus-projects/MuxDemo/db/MuxDemo.map.rdb b/1ano/isd/quartus-projects/MuxDemo/db/MuxDemo.map.rdb index d75157ad16eae1a7f63fb90fdd4d8817ea93b5f2..bd7a3fbe14180779f345672a1f759424bd1d2166 100644 GIT binary patch delta 1004 zcmV{eTE95oc) z0mNmv2q^G`&=E)_l{TB~wgr*5B~7bVX|=FXQJ*UBjAvrxu}8k79iI5V{0R8Yc(S`` zwun~{qd4~1-?{jl@0{7UMx)UO7?)3$jdH9T(LQ&wwJuy9yni}p*&EpU7~?aHw=p34 zC8oI%y7S7@Or!4IGSoU-JActMyk+5rYNGBhoRr<#*vN(V zwooF-l1f{|Mw*b2kW&U$n)J%IkS|*V$?J}MT>UDGKzXpeH$l3__l_6Vlqv;c@H9nz zkzArv_-7E>d>L21aH?f2&1)wm+aCAolE_aHA&QG zfj&b5wl2566#EEXx}J)q3_>woMaa)XX#LT_L4VcO;*z2h#l z&TK%%HL|g;qZJ&xT6X+NOpsQY&DrOS`gDDEp^!+Zxq|rv--OZEz??KIS(doxp?n$xH%WC`2aI(2LJ$>b@2mH;~7Ws^W$W36Eu_(akDr(xNU*N(cVQ6-j zW`DPj?o5yF&bV>hPCCE9bd`}$rSl+fIv!)19Zsf`Dg77~^7%bF&UAW!zrOh_AAy`f z(n+e0B*b6BpHZ@`hMtIK=xOg_`*D1E4?#~jwtZ&XeJwB0z)nn1p}UTse)oKu@b6%{ zFB+wX-xVL?{kSW%H7J;;bdpe{eTE+(Z<% z0dX-GQEpEN9f4F*X|st}h(g|$B&~|lYGI>FJXN#y*gI(KvF4K2Jn?_|5%3+4v%6`w zh*uC+CNrM-&SlQ|&hfsHB*}Xi*H4-z8P<*Ho>|!$>#q-9o`2KyHEex|@d?J87!ds& z)4UbBcgoRSTK279OI|NS(+Jz+c<+;bfbBscVZq~H^D^8U4)gOTo_B^7V!>Csl+LTR zriUWb(hVnj{-x>f*ge=Y_JIH2`j19{%L%mMV!V*G(DcN3RmfM}HcjWRC&{VldaLTS zr-RdbG&`Oh6MsEwz0`DSEXr4SW!fptwWa~)$Vw;el`N;(FD6(DPLio^%Y5yqMCH~k zd1JJjkT$g{s0wYtDjj8_Eysw%=c(#$B3}8l>;Je_d zQd&BytZ4>0_ypZl87phUC6pbgw$P#Ebt$h98S1R5t$%15-ZF4QH9-#-j>_(BZDhhZ zQz+qONu?=5BU>MlkW($JH1U;hAzyR|lG8o8u=-UNo-%I+Z@jdP8yqi8TdEj{(bE|9 zMRbWS;9rZ-X3Ma0g;gD6XPw z{bMpj*MDhMh?SOvnVrbQ*6b#R6MC-v8nFkeQ85_omGIPG^8$Svks0he1AScpr6ON; zLmyKcbKM}JjUi))Hb6&J^}FwKz!S*BT=f*LMIzPj7laWuQbs%p%o?x0CPxEO`KLmw*-bcsD^CSEX&1d-|$mu1W z$Lfee{3ZMuCCh5)iD<^2_BOU3hZkoEdc?8qOVb@{d4&dc-g@Qx+xYz3XL-cGh3SE4 zlpcRqypQ+OzR;#c!91n&h~gy0I7Xu0j9xZ+Xp$dCBxi~6L^L?EQti+dkkd`$iqKuL zFGjI5S)%Z`#Y98sTwpY!7e%rK^A@>x YP+vjWKjr6+*w)7_MTg_RvnT`>0aT#y3IG5A diff --git a/1ano/isd/quartus-projects/MuxDemo/db/MuxDemo.map_bb.cdb b/1ano/isd/quartus-projects/MuxDemo/db/MuxDemo.map_bb.cdb index 602edd25d91f7eebdf06dd1a75ac3f25afc557b8..02bb70c7a55cf568f577293ca5a4073cc5030ce2 100644 GIT binary patch literal 2114 zcmeHI{a2C)6bAdU+$k-~TAHktZOy4KrjjztY+1UK$C{v%#4;ttG*Al=bD3tP*4iw= z4=n9#16pXNMOaLMEVN7%bpa>BY(hc_8&D3`BouLB}BWt2xu}%eqP~jmmv>^&Y&0dEd-Z#rIn{^%Xt5PC|nh`L9K|+qK>< zJe}@qZfu8E?)RzeQ$s#Y$p2v%fSlPUEMGOTvzSjwtTM=ho=N)#YF4|S)6w&Cbu!|L z;>}7!AbW$dM3`u(bis}qp`&1|p=1gK;LnL#C7^a2ElPwocaFj|vRo}SjSHaQ-f`== z?jGDu8#ymHmjtNIwK7XEC~7zrCgf^V5g;?;m?-Vmv=VRZeJW;{vZgGMmX?u?jd4?& zi@2bft08eUCo44_#5}lFmHbN0Gy3bqyy0#DzoqaV=R94*2i&&=1_f`1Qt8t(Lz6@O z@5ZRp)O3zlq$QZT-G z>M?eTm7f+pSW(mJ7pJtQLgg~ZcDrGihGhQsjJzzwSw@@NT*8?vJ~`~oaKgTcedq01z?kHHM{^SAXT%3x z=zE@2)D#5L)y8VNaNgssixKF`u=-Ucxftn@zU2|a9d=Hcm)?gUN7n=gu1-y6RtmV- z7YPHAdwgpiT6_Bx{1NBfYcXOS&R91bQ4*R3~xnc-M}Gn3xqvni;X`{4D7 z1WE$q1(2)1Ho24p=6n^fFVDE&NWiJtLYMisJFSz&3j&+^8q)tHpgHSLWI}>ap~HLh zZaed1D~JyWk6~5#UUhB0{u#emzQTOv+>`E}8~7iQev_$gL8PfLS7qr0xp;br9?*2S?-^QesVO&wdO8#`mj!a6);uJESJ89qI84y=08EGtRjX^Jn zz<_DFW97AF1=B>z+A|{~*bC|_wTz;6&BR=H{qfnT)~#Wfv+vYSh0Bj3((B&rAdfey zE@;1vUZ%L2ZLL)B0r}`LTb6CPbRkO=6G6#WgeD@!N==uKt<%GN zge4ViU~1;H5X||i1nHrG8Df~AlBj$O0tLqEpV$wcd(ZuRe)ycv5BGfTbp(Mxb#`FO z1c8z*-knb`HDG3lb%o_rQdRZFgZK082kRJ#cILP-2gba%| zSN+MtZTUwh5U}(U{x>6RK5;Vm?ZED2^x?J3NB7s&9xfi-a;Da^`w}7kWm^8_2R8dw z`&wDK6(zVO5vQM&?(CGwM_lFq6zqKXV{jrq-Xr%#L4zAgWR@nK`a-EPE-%`X7A5+y=sSvrn%hVmX`GCw^Hgtr1Cu+Yl10) zYG_15Nv31M%FLgv+wJ0m}sZhut(^7_FW2C5Ufh=5BGxZ+T)T3!_3Q~Li z&N6bx^@8y^k%m04Ap^?IvebI<232MvSIzTQn`fsx#|kkt+TCFDo8AjVl*wH|3BXri zHUcBkvAoVaVOiOXbo&Dmb#^pKn~}By5kNhj(bksvZv6FTV3_Bp&_iYB4_9);JQ3AtIPUiFZl+reEbm@bijl&(O}l3L?bwHSd}BavC&w%JSuQ!faUSMPT0 z#TY^u#!CaDv#|q_Rt)l?gwkhH!CO4vG^HNEJFLqOv)y;wgL}_r>ahK1^kp4+axaQQ z(8MouUiSGE4Lg|Z&~YX`Ht@XUDXy?35XhG4u4Z$r9_?BMf>njyU00He=s7NNIv^J; zaUflOw;QZ<*%7ee7@k_y$O?KM_cA=fr>5N~k_`AG$G7@pIh7|R;35N|vJxu@me)h^*(_Rify@JlT#Ji=NK_{w!2_*DHu_@1JQE`*A5_H{n=z@9&!rK}-d z3>{r^C*{pSc2YBe8s*2(qgJS)72a;-(fE++;}`$_by>_7+}cnUH*Rg6RlAqn`0$}M!}`z(SP{{Gzp?#eVEzRKErBQi diff --git a/1ano/isd/quartus-projects/MuxDemo/db/MuxDemo.map_bb.hdb b/1ano/isd/quartus-projects/MuxDemo/db/MuxDemo.map_bb.hdb index ec3a60c153917945bd5ece33ea08366b9b6802b3..6e895853c6800dbb0437e959959a5938197e3656 100644 GIT binary patch delta 9461 zcmV|F_bT}8dVMN~vozzq@cQi~|ikfb{zm?pRF#pEV08_@S2Y0{)vC`nDzLJP7KC{VCK zK~%&lixn%PRz$3T$a`*x3y6rQDEfQ8=kjw06_vj4n|uE0+<$v!IXQD$Qq27B$;_Gg zf8T$ZIdkTo=9jp^X0<(Ciu%u_N()xJU+Rd*)BFyhm<8Z z>6JF-)$NS0w11DKO=P8is&i@g<$37WH<7p5WNWwSmwLe+34PpT-=|09BnLG2LToK7 z&ukhveP|yPr;l-icSp%Tv69i?Spg@S z`W-boh?G^J8)G0+FrB=8`}RG{MP-Xfl5io~crqHnRz*t48AHRToripfHF~WDK;bxm zH3Z})3B|rq4fU%QHezGiRe4!kE~ndaIVx!nZD5%>H};g2rJeiZr3_Ql$a)5SO1l|x zCfcVB7JoMH=pN}7ufQZq(A}}6+aTTzjXH`0U{daS^|#DfJ~VQSV<>)_e-EviYzg$DFcxY@%Co zokQgHI+L8S5*OyWy_A=I!RoTrb=;SB zX&Y-!eD&tyaLL+ivDJ(Hrgo`gE@j;=y-vyy9i7!!zLkHXbUSk5@5E-}l)kHO6K-kO zZ7`Loj>r22`|VN7oO*f8--S&Q>vnE=IxVr`0KG-x-R#TiJ zFIDC1qZ(u??N}~Kjh>UPa#6`vG7`tMW3iXVo^3Oo1@t<>>2-Qp&N!0mZF(6di2J;b z$6Y3hFztt}2^)=nw)1;oLm#@O9nqA4GJh9a4?#J;UdE!icUjNU!I6PehL?`4hD`1@ zbdYJ~%*9Jj zCRwkOnk1heaq44Uo!12@l=P0urJlKqP<lJkaz zHW$4er=*f`c`Z|1UO$zTH)=}CdvGWz@0e3k-T|kiykkg7#Rd?^2$KvTe!VExd4pdT z^G3fc<_&*Y%p3o*n70GUVii5Ws(;R*<#cp2wj)?T;%TJ`8;{uCHD|YO#db6fefs0t zWTi0HJP+P9+gSBvEpLm3=&`j+y-iN)cUCU?v(Cldta+>XL{IgYsg{%dYB}G&;B0v{ z-eym=oNqrX9-kg*xA|wQ7o6BBxlO*heys9>7rnBdbzW^(PD@VVzVn3Sz9i)*xGIN)M;ze>&b7kPvolGrJgzQvaj1J zWywV*ZLaI7<`5l{+uEz^xnIpI9M$zA%X&R+#Bso5ifIG3CTwYrhY8$7+$P4&#PKuM zd4O}zDx6EXUNy~ctdse>x?Sq4b3jJZ2F!2!MtgkhZLId$zaS_u!CE* zKZ38Whv;Zp4}W-JfQhlQ*_T!QdiePiF3j+On0&$wVxwst?Bz36OytPQF5y`V`#A4B zj19%y0{rfD(wbZ(jpW@ zNZmLWrr)DM-$p}FA@wQEJC;YKYNz7sJ#??pE*$A5MU%IwjT2oiw>a9T#n+oJB3 zXJSN#CWs8n90Kw@jL0kk_Pl6gIg+X9w|4;de=ve_-`OD(a~)zTQ5X5k&%lT#)=C%osUh14i5-pYo+*92hw&20^tQKTC>Gg|e^+N0P*?o0tY*3czOAR&Gi`VR< z6M9z-EEyU;y=K)J(C}AW;E{8`5;y$ejTLU%^U1JFJ&VezgfArOC=uuUS$2jcCX@6W z)qk6GezTI%dXg}9E3cQ@y4Y5)cYV$n??~Iu$avvXLN595QJ^zvH?fnEVqm!OO;HuT zsPR1HJRVx-&5LScnGR=JPv>JN0KPU1enA-g(lGdXz!{I$68;#ID{{Pg5fHhJfTvgf z(IE6KVWuC1@f_g!5rA{PnA_0YP--kRp?_#huHYXDj`Y!+8icM1LLUXRDR`)sJ(PEkJV;DU=%KHWx%+b?(y78{(YO=XEtVSL0-#u22hkc(0!s(}`%{*{E^#;$=gF z1NmZiKDiBwOwr_cOVkv?a;y3qb3rqMcmK50nue+<#wauk7A3P_9?n$v;Z+U|see># z34?z;41O!%v?$%Q_gFIJ?Eld*pvCo%emJ|9&~=F3T0``n^4c#9Yr(M2!0?yE4CjDh zy@BDcUIs?krvGW6@yV&hI`VR2O_W^Vze8?+$dy{#TN;}4jjb(h#pZ_2qP;sM9CyH( zc7rnqL0y95X&c}r1N`-2@O(13ZGS*b0UV!{qxn!k8TX3SbJPhcli1w@=Z`lyf2>!% zFxbL(oR@*_+1#It#sqz5gZD)6=GGH*ul2G^`yw>*Y|zlv*{WmovJ2mfMw(V0%Q{Lg zyBPY=7~ucn2wqoX{l4JR-X8`Z0Gy*QmF`m`-6*dqGoKQKWv`asMb98M~C@{s(}QzN+Ii^=v&E9k<2MagU)f-5G2l7;iH${t%1_ zhJq~`KN_<^bkD~2<1k|$4}XLI1n{sa^@%V8ehE0AAmTZp1?!SVOcCl5w>COPi;%U( z@mE<9{Tf7xPVxt0c>UHey#5J-1jFl8z&9D-?Z5`WJCea|!?80Nybs22HW=R(2Ja4o zpAZH=F&W$zx*oteLfzAx4Si~u^3%fLD*@+K79NKAdBx7rL$0bo|9@;AtO5tmQnmTP z6;-g&T^Ifg;K}V?-a$x7)nEiZW*C8IMpn2MnZj{6I371}tWLn;@?;J0)RA(rbygVs z>@fH_VeoZ;bE(%}XlO2WU_wXPm|Ow8ILwAi!r+&N!PkeuFAIZT9tMAR7<@w*{E9I6 zm0|F!!r&Xj;8!PuZ-2%V+lSklJbd(Y4dARxclP;oGUc|Ze`^^0hly<82+vm;JpXAT zw9WJ9Y+xI{Tc6y?t=FluyMHn(Z^J z9pyqF6``l4Le~bNj{(}#VJ_2ott|llt}r<76$NPjNErNkfa8;^tFM`HC~{+R1@Dni zg71TX3epYQM}L9lJ>3$&>oymR=A+W(!4E(Y&;d^*vi}}vI37Q9N<;MYvt-I`@Si7x z+h+Cu1)S0AJgJSB>vY5PvMYMOOys`JfL}%Edi7MHu2ae`-M)TYK_p>g z$Li^(^w69AP-`1Zo+ke-?;P;2YzYzw10C0EU5bRVWF^PujX&HEFW=CsRdt*Uw(aSdU>45T??Y8EIMs?om zX(%=Iw6}J37EAMW0=-;8rr9$HvSe}9K#F(>NPmoH*Y%Mr#ao5n^)YI0`-dS`###hl9S{%*-Q_3N9HlU;(^L4LxrPp~5 zpnvI(m2*=g&r6LwKQ(e~YUBl}kr$^%UJ9gV5V6zv$^<0UhHNx7pfLelpxRWTD9#Im zpAR@G)2*e}hAF=Q@cp2?tD}%_=*YJh=65wWwC9Vd9*DdUWUtym*4ExSzrCTQ1*fEZ zTQSvZDi?u~Q@zYHC>j&QMthcJJ*~@t<9}js@ZtXs_|ja+7h4+UThG}pTP{Ikz(`vU zIF}o3Epxj%@*T|$-Kng&3^Z6vrCvZ^9*BQ;Abvw2{)#~Sm4W!H0`VJx&+MSRI!m%^ zvLt&?mSoq0jO+L|F4emW`Hn(+G2O+&_kxMDT(Q(yXfLUk7)tYs^Sj!euQ32*oqwU; zheq|#Ay$$>roBHiC$G!Oqz`0f()F1!eK0HMHf3hghcaXOaAr(5WX5zOm;z3F9|0Vn zlq;moLFkVH%~Ni%RP4lM;?CBNM(i0AO|-2MZ$V=~1U?o9zcmd0i7@zWfFFR^V10=T z6m4Cd`KI<_H&=!8amAw4WDF)M0e`c`^OIpF@keh+pKi_lX+SeO|8C8a>@!)C-JT`c zXR{=`17y4ogAr9MaX@vp7pLMoLT9@7vG2^5?(8KIP3t zeO+z7Wx>Jx$A!(tiD7svKAHeKA z;+ZOd+K!9k{TXmvmjTBIz(G4_rSjtX3@rE{I0A;krpSSGlmCaplz-R)Pk7?G0dRa$ zU+%s!5PuW!`$B(P_q4j&`h079zR*%vm+l$ko59709c#-8C1Y|O6Mr8Gr{GIJfmVDp zoZ^;nijRd;Yze3McsRwapy1_g^}=jZv7@oIyU?EMX}C{-i3e7yN8oP@0e>VNs`K7bkabYHN)4D?}~gJKuD8%p`EQb${%u{f_-Xv*_p!E`qt zzY-RluSWi*8&==6C{MT!`?dv+h)Hs-TfP$pe+Y0scU~;X^%w_!Lpx}Cv|9#iRAs)~SJvE|%>#zCt`^y5Q8G34ppxup)dbM7*K?`WWRE0M= zO9fqCFWa#5BY(AyU+J+y+tNcn4Ja*6{FBI>7m`}p(mw>E=&*o_w>;J93xbFmF8qX@yXTYmw#J-BhA)3Jpl5_C|qbNHn+~t zFKDv9dtE`Hjju&xv|JmOz3HKSfb!H*YVGX7bMH+WYelibYOQ5yAbv2t=|g}re)d_< zX7oG#N?nt{iZJ*}z-wSPe{i>OVq>ALlRy1Ew^&lo%;FhZ44`6TzR;{*W{VyZ>(F9e zQMCEE%71U94SI$j>I%=9Ver*q@HK#QwYXwrc;(`emBRxS(b9Ho-e2U`Z-es3oKl_( zFHR4=#1D0K*QJ1S$=lXme)Fz4Ukg%2(dPDgzX3MrWqzp3_RAyq^tQ?$;MdI7;c8v1 z&G?+7Syx|MQ&(esb53VqSx@|FEk1l#X2>@$9e)|f7y3tEi`7M??4sj)LHt)&b@fNr zPd|D_T|QsJy^EH)h4y^i>?8B_we?m%D*&f(3mrC113uRZ+vXG&(AUR zy*vKJ$Z8-xcE{k6VrFZ?;O79&S!CeMHfTfqGPLt$tujN;lBLTAtc#l%4=bW{6><)Az8g2cxfTEM2XBpZ@?~G^ znJGpmT*!|?;}ql_Z!fm>;6=MaslZo8O7nZLU!2#2^;1tvYm>S{tidXZF8-;}#E|k= z(Q6{O0;z#fNMxa@D^O@;H}%1BHotdE7U!$rDVT3t_+EcVD?2+r0;`!gV0|JLf;pJ z{;wePe+Qwz9EAQ#5c;b@=&uE#zaE6XALxKX<{LrE9|%H!GYI{yFvq_gr2N4k^nXJ^ z=oN8eBCKwWS&UK&| zG*CRAoZ?zg3>zqZlAK~AC{`ILet(*rVgo4F7$}}dPO%;o=NKsdCppDBP^>jj{0$Vz zM&?>DtTQk?o!p3XK(XFHF$v49gu`MDC^i@<_DD{#3KSa+6nle$p{TPy&n5@yFc_{i zFuXW1!yp*0GcfEExlv#1HR4oIY%);1I(a0PfZ|32#R17F`arSSKyhGlihq+qvBf~~ z+T;`qL2;Xb;$ToD8{OSt*lJ*Sy_o^El4MY{gW(PXLq541B~WZLP#lujh~vRH?C4uTs z@vE1kZx5L80z{zNgLi;}b@r<+!tl(Wwr}6tz_8TIKzWuWsm^m3q+jD8z_*sERae+u^RZ?J!b zmx1=%&?7)ogXe;y4Zbo+`KmDGXN1Ae41=!@gRcpLp9Of5acAqevw`Qhu+LepQS&ap z@iJ`xh++FG!>~QqPk%uRZ1D3E*>6LiAB0{TguWom@e3n(b64zFZV@h(TyeVyaP$Ul zy0$iTHR3lr3hmba(&OS;7iPxAVem`B;FpHM*8|SAD(*!!lp5`iuDIfNSq2=JXTb69 z3^+Dqz;Q(e99Mz^w{dcK#Uov(T$OzooZcLS{zwpda}fHYiO{w$Tb~U=-w}lVToC$Bppz_k zZJvKV5Wg+R`hUBCPVhC$X8hej%I^tN{zX4r7W=kux!+A>zYYDc-vG|+Huw+1;6Dz7 zKOP4ENf`X65j?@gY1=o&-+;osAdud-ouK~Y_dzIri*Jhu8on+5*-t?mZSW_}KA={T zYXJQ%nR46Z!RLcJ!Le_HzW{LG#3$ji%^!P3CL|aJwtu7OMUfei7?3ZC%t#Q?Uw~q=f#T($z{j)FwxM4U8Q_Qm$!+jg zqA}^H`WYN}qrrjK_)UG;UMJ_}w4IB#jV|6#GP*g|m# zSdxa*=6}m%voG2tC00C!Xx12_`9?DXyptphkAR`xz;L9O0RlN2dMX;J!80ap@LE4y z?6*bWZGH+mV1q9}Birr6$%Q^1jUM;Kep?8(B&T=?T{zRwg&+4*&az@WaC3Z+`|H4`1g_C^mI2=t*@U;qWjEjsV;@ z2fF(H$Uyv5;L~@0jTa38b*8W#IY*fp4D+{bQBey9YVpjUHh5haygm#*Eet+A3_c?a zJ`-^N*x4Ho06b%O_T_>g^o2p_ixQz<3d^51SpE~BlN_`A1OJo(|GPxmZRp2Nr|G*U_CG}Jvgq{|J zZj8|B{$Y1ZknU0tx<5kOpJvs2tUBfc5jx#Zk1hk6XB6kt&bs`=T+yyk+ajS&?%0I@yMv4Kz<09rGHybc6M>%lJ8A#vkp6qj!w6 z<=m*-#_)?X+AFOEIQ<$hw+!_UEbCZ$`qYMHBLl;|`8RbB3@=?abjq9c0O(~ghkrp) zogOvL10jPv26G}Lv-v^j1wrUy5c>Ea^xK2b%|YmvL}+x*BuKrhy%Rr0Yj`1SFbAdai{+{deawPQDISLiW*d+W%c3Q)nBPb#1PUrBu zua=%W-43of++$3AVf6Q{-%>w^Q-Uifx20K}=eV|WYZ)&iXxG>=UdHLJ@peLsXQ`0I z30PfpaZVTN#21?koDlzt&3`|C@Ozy5*jKYd3d3}W|D2t06zZf3;;c{yvQuKGsP(Tt zH+ZAY@6_&oY4b|>=P|$gemm54W#>5ce{1xw`rhF6Ry|DMw8QW1u8~N%%5e@{{}Gi% z?`IJYy5Szli@g$lJ9wwYt!3B5;}EYMeqZboYA%L`U;Y!n1SKw1|lB%LM;na&1i-$R;^DxZ{ zM4fMU`uveazxdBDv45{#v2&C;9!~ew!daK_pT(4xHCn$URJ%^=7X?}CgJe0&5%@=h8^M5wY4s~T>p(c^{8s-nO z*;3uPSj7C0-AtlS3-Uq6m~uvto=Y2#gEfx4QOls#xBfXu1^F?}bahpsM&o!pwfsVp zP>{)*go5au9qYN+sX&cF9iljNEo#u|T0TcR`tZ~962ezdjn1Le%F~^czLS3@UzSFQ6X{;jFFV9j%Y}!(mo{Wy##12CG3?5oh$BJqx z*BLsStpO7J^+C55^>nH;>KD>DryU!;5|h0Ws-v7*)OyS*;pccH13Ua$LL}G~OgCYy zSvlOB~9liHNB|^M73H+vn0a-F6Qq-U1iOM;{9O9MmKLFt$$-73v-*f(X%zrDM|CY}k(_Q23 zgqG}Qhl!X@#56j(d6cUZ`@Zj-Pshs$^3R3i#nK5o#^*8TKUZeabLtX~^N7k~Bxk{q zg}38{<9}MtY|f~U7fYW@c7%*Vc_{5qa*IN)eICRJrR+-K_}t&eeBVR)qMsUL&nonC zjOh}pE5}xGr8uV4k&sE}NO(_6hnVdUO=T5TS`@@7p$v3tQS+%&B4(JMGzLjg5qoAL zc7HBL8Z%5)@Q*4;40Uh)5-~023a1tY36$_Zw0~$eO!qdS9Wh9(!srX7nC|6qYm{Im zQ$jnO9Om2Cx~2a28?vxN-6wQf>eu4G{G*Nrrxq1`rv!h*-`y|IcqRP4G;>CA+TrK0 zOUM}elRWe+6$h}wsrGjElu<%B_@8Uc5`;0?4V#cCM~HtJ&wtH$D6jcP+-Ep;VLW6c z|9|;!zN2CH8OiF+gJ&_O(v|*+K8wd2{1d&u=kVCmE||x%c>P$#Hn4AZ%@qNE)W?g2 ze=+e+ig2os>4FdJ?d~T&@nx7#WpPK2Tb1h-3EzJS9F}T0=xQ^o@EfM9jk%w2oa!8z znVvPNa&Bz#7`MLc#)ic%BA5qV*1bP&BY$Rod_QaVvj}p+C5vu)>^h8E80iuz`o({P zJBx);%&?g0u~&Cukc3l?e^MF;66~}D=Y412`j^Ejgnn;zE&d>9q1MllB0#aZkUxLu z4zgOW$K2N|7I``RK`xL(C!Jbd5gY5ueH{{$@K>m=4CL@@Q4;2?{{f_Kw*=bpDw-R}VLwMn#P0WA z8~59xV(YYYz1J82?-%;N-utIdf6pD}wKR(nWap56*^BRL=!5@xoX7Qw*ipF)EB+s| H5G6bXpisFY delta 9503 zcmV+)CE(hlRLoS6M}MA10RR91000yv00000005Z_0000000000002xX00000004La z>|F_bT}8dVMbwI@1vf;*OD!%)OOo!0K$_f^i^)x1Hl*)8Ler#BXp@?zg%)HfP@rIe zqNprY0jpL-t%y+B-#oNSN$Y#xa?s&Hyel8 zRh^4G?bA0KpWp?j=h7xTvOkhs_^L5BdqkJue7W$x6aI3O{pvg^k56t#wu{ZwA!W%; zdZmqdbvxrL?SCU_6Itn>>Rj4=c^>-pP2_Dh+1hRTrCx9cLmxNU_vsNi$pOv199#2> zQycr14(y5Iv=MF)&%Fs7X0hC-ur*?P*;qanhp}-mb{zZ*&jUxnch0BdYVe1t6p<$phWAdAi_wKKYFS;=Vdtbh|u z-S!$CM9M1AwJ{JWn2y`FZQJhUqOwIKNjM*EJQ)pPt0E=jl!3vM&qBW48oky6pl}?( z8Uk|TgknNeL;b3Sjo6rWR$kVY%jvdUj7r)=8(3z}jXfo0Y3KfEDZ>;svYtnu(r!ka ziS}uOg@4W4yGOdkD=>}{ba!OwMu>MoqmJSL7?-n_m$FZe?V+3K5<6YF$R^3ft|YP|BY!cKeN#>ui3@YxUdqe9V0GE*I_^um zw2d?;zItV-@rdnx(PY)w_r1IO5att3AeQC zHkis($K(B+y>_c%PQ5(lZ^I^u^*oE6`SR!gC^oWAPu!PjOJwwRmd9ku5qYu2=M`{k z{D0HiF8BHM51gQUGK|oeOnIE>f5(oUax_N6*w7Ynobsk={lrxtcQ<3(6PqT=YKl|j z)vA1TRD(>V?aM`}(R0#OE-KkdM&g*ZFZS}-vu&ocfL-5R1%N)>t#pFiD6qsV#O~avIn9TMs{)k@}b&ky0~7B zN!ID4CdrpZocfqo=XC)JCB36^sb}sYRNu%&*d;h!gnW#NeVOWG91di1$r>N01b=cy zQo)%%!C@;ChjNyWQjDBkEqGW|A-&BNz_P{t-Te!e_SMQ9NEuU4a%i;oElD(lAmd~`Kq|QmMJN(pGwLbH6`UeNR*U!>?tYlz*AD*v81G8 z14)7>1BqWVinZS0m&Lq2Sr+q#zke*|jel9p+W}>d9K(77Nj1YnOVPoYe2AT=Zw1i@jO%R`ZFT z>M>I-C;QcMzJ0;j@@l-zo@zPYepWm_J<@LT&sHxuu~TxJe0BXuRBn@Y2oNT& z^>uCdy10GXM>#;Rr zOLIJo;l|=NHg3j_pOMZ3oO@Q{T*~#TX?|m!%-_}RQeT||GJl-bV}6?u?eXUKNbR%9 zuQsef^tsnH|K?!_w`zX`UtJH;;j|9^@WKESV`sB3tNL~D^C?`I;e#>xgd4<$(^}Ze zXRDaVk(FJ-vj+BY-gy`sin$5+LiZqUKesFTF95UKm(BJ>~{{uFI2i;%iO zEkf1WM5w}Un>uylT$ql8hqpu<%flh4kh&BeF+ki{9+s+sg~NfeS*4y^5QR3Y)ME=G z^ra{O3R2GMsShJWWR*cp!@vk%G@&&TL&AN%LF zZKp!jqY$dW#%`8pV}y+It&riyawJm`P}V;UJU30IqW^diRd`sAcq&5hDC*fM4`MqK zW!g}F7DlX@fEr0Gv%dTsj7Xd)&B$3+92J(BIEoei7&$5q5FqMav!r;+p8 z9tSjv!-=>gY2zO*SV%d_zY?3~;>_GQ%oVRiC4VNUNmFsv>CNidl$@_K6U1- z-kupVduP_v)%Enun9%~anqhxhF!x`313juQ6kQHwCoH^OzeYd>Dj|0WRsH7dXq4AEw7u}y4hB* zcYjVDP7(Xg$aut7k2fBguS5O+A*WL#-NbGV69$GG-yBupiyF^D&SRow?%b#*mg#Vo z^>j9N0^n=H;OB(FFARgP1Dx?_DdCSXxql+Zs~7>1+W>fa<(~;ce>TkY{V=Z$erb8|bJnyPWKPgkggJiO=6jOqPo;Mu5Q)xsqM{eAgjS3bE7icHbuczlwbPo0swl=NGz*STX2D#XsqV(B9U4-p*c=A`d>H%&z-dvsY45RQ z%Gv+JV?c}RU;J=(Eum`>y)}mDJ>|7u7}kJct%2e1i5boS!#V@Q(_RKf*rxwkpz+D6 z#X9nGVoj7>;O8K>H{?n!ZO!#f`G1C%=GJ0UeMiyWof3|l;Y^#snf;(H!SS>e@R9-k z)-ZTJ8QeCYCIOC5%F%ovpp3ivW)%g$B9qwN0q2i4IDfcTy)f9qcZ8RL?%CX*gT@4X zXM=Y~@TQhyD%{p~T-uLEBhLo)ogFP2q@w7;FF+$rE05(0n6~3$=s{zE|9=Z3c!4}(7lI4@$=H#Oy3>pPBW*ZQmMR8#>u>)`!98rfbo zbyZD-{W$clG#q*l0h*xeZSWt2!5=o;u7!b~*_8hfjY$q18~%|9-%#IH&{S3wso!?o zKZ-`iF6FrYG2o=H>Nrh3TYry3$89!r++%1=cLtje#v2WcKLKNcpx}*V9#If4Qs3=0#8pq#eMf5umB|6FPi{bSL z!|?hi2oemhlK|gnfVTk~0B=tQw++XRWbhsszsX>HXBfOI41P=){D1w);I`0p1I`iZ zp5|=mlfsmr90p$jIIptsFwD;_c2pd8+7A7*d9V^3JWJK&`>8<^kKkRm+tKIzl&T)De18-QpVSwFriB?l-4C}} zJ_AsCGue6>(1x4|BtA}`ZP-}>*h3?W?Q?@o>wCONM#45i4g=aVylsl#3n<&H7rSi0 z!vVx6Rg8}az|H|SrLPTss4L3f4}<>C9uw;Ax;2wkV1D%5pK*`@n;LFnHD9nkN834c=FiHHO!?~33x>26d)2; zBwI3U#$baE^{aDakaQS$IS%VfsV>#OHw=Dw7@Y54cn%^78#`7{*QJME?}t(wqs^^a z^E+WN>wnS>v#mgTTy`n{DX+G86vpx8TFg+$=II1_ zxq?iyrypd=;;MlZ@c@t*&#vo56-qU0mW>dqJp%awKzVbhcE-#Z(`u%xH(WI4;bMhq z&GhA|{T%|5Z#T3RRN%2xD9vpuHY5)EuxYv63Q+Meg3i{)`i?>f)5_HDoRS*3Dzd)4 z1ApVLzOAvlwZ5&R=z5H=qBFEOoCcbp`| zaS>>+mP);VzBmy7p+NllK>Q_v_)7!vmj&WC0H4`GdwG^*AI_5OBUzGN0Wz-RTYtG! z?<(Zm3vI=87YjcMCeCujQb(b!q+Vhu%`MLBY;(TG0FZTt`WPD3Lx)&N2ATHp%$&S3 zE0eCu%%rO`W4b0Q=Qd_$(kC)w`ebHIpURBsS}+Bi_C5_bJ}Fm7n}X1v0h*`WVyW1H z%fuZm?G4y7CYoqlBYqZ*0TK9I7=QeRF!&e3;5P!k4`PG$B`#33c6Q_&+lpOW70$yI zi&CR8n5YEI8qY6=ne-*VNuO@b{bfKiJO6IUlI$y4lHHUg*;lh9yBTD>4ucU@EO9_} zv=t}gJ3>dg_p$lgHW||0nx(5-vn2a^wvOJGrERx^EZZ-ScYqO}ln42pfPV(e*ms4& zzY%2nx5AX)69&IG$oBh!(D#R#{y>oO?*yUm#%MdxJgMc6DRvx{Kc-OszI-cgS5NM2 zg`6?L0_!>RS5ROY(Y%HlB^UfTVA-DTocWI+^gk`8i`~dwravDB=g&6bhbaft|^5&wxwkF?v)cgvVw&P;B z91PCe6fW?G0Zt#kj{qGoaIXkb{!yUu$<0O5LRC?8x&ASL*?Yt@RRFae7stml;J7ja zj;p{yJ7=cy;_3`6xCR^nLt$g&K)T8Q6Jg3fX@Ms^aeWGKd{STTzJE3le;x1>pue?i zYHdwjzNIZ+Xs)eI_l)uN;NruMHRXhoF}aS3Plr?RrJq16J`+yy*>H-_g;Q(}r}%t0 z#SNg~$R;owfZwvu{F$DZ2fbmIvkZ%dZe~8}74$eWb3*Ggld}pb>wa`$UTP!r@`LJNRn~&cL3(mJA|I!Vs?^u*4 zT!($n0!PFoxz;TYhQS{KoX?#XOMIB5BcCn=KLAVml=$8Sd#fg6sS#xCzu#CI=7m{p7eCEc)K5NGrTiBv@1RI*!0l( z>7oCg9{QgnglezS90w>qx!U|P>u;pldZ!yeJ{g4zO~s~`dHJInt?yn}P-x@p_z_yJ z4a)`Tp*?`|)KY5c=*DyJjT&o3vBGMtWpNU5j}|(dOeyzmYcRDSoIc zJg0`iSB1e>1J2dr@}a>M3x`$=_Ekhn+p&3no?pKW${%w|c`iIZJ@f)U)YV-V0?s9G zYg_rvyW%`8NEJn!+w1%W*q|5rp)T7mj^NW;D}R50Uo%^Wt97w9<8zK?U0uzT+9}pI z=X3^^^~9gn;?r_vhJ4fFp}u^fclfnfT~x|0I=&b5W^A=}ht^Fybb4(*U&6hM<~fD7 zeC@1*^L6+iR6au~sq3+%ujk==fvf)ff2Dor8;I!eyh1~-yhL)`us!*)$#B9)p z_(hQ8%UWfI?nR52^jQ}-F&EO%0)-zL#PPmXCg2qY6 z+uv7g?Z%6Cg;Ig9jFjeeW4}1J8|$a;=6{w(b%j`iRTN$PlcR|t<*%YoiQtZ}pDyjI z@qM4|BN+LbXQu(}88&R@#C0?NKVDm;Ok>EM?x&FXqIyo`s>-8XcU{3*5vB8yj{vSA z6~TLOrGvL_n~Qi`lCMyAx0I6o=D0E>GJ@His7T)jcLkxp5rn=w2>o9{=>HBve}6Lw z{jDJMw}a641flN@Lf;2;z#(&gkn#tD(BBC{e>cqW?*%FUeh~VhAoLG{&<_Lc882*x zRBp@Pwr%UebqSXBbPsm;gB$Jj&FWS6=FTSk8u+md_TSqR#v8#nAB@!#_q||qPq)Ib z84Sl68175Vun7!328R0+Gh7RXMSli{2NE-E1j9)NhVOtOVdq>4ihcvdj8Gri0aHWA^ z&&Z9s8m|#2fnuY9;*H58u?Q5`8YuQjPSFF3O$Lg6lT#cAip>U!Hz%i<4~iQN6#IiB z+34;9!xjU>Tg?opl_Z0r4GcFM81l*8D1lI}8jHBO_}4<7zG_?lw@o z4HWoPJ?+VwC`lmZfZ$sOf`3U#2@VIreFlO9L15@jg9(R%;kyQggCOgfXsF=Xr?zc- zH7+i&tf#B6Qc$-83i#ohg8gf$rgPkiN`n)ch>otdU~3Ti>p|$-fKCuRNGZpw zO}{-1en&Ff(eb8GFtHuzbI?6;xM4nnU9LZ1`n__-0hsWbK~w+NR?uDG2CIC=v&U0WJE8}OSQg*NMd z>2dL_4Kw5XF!%*w@C(D>>j39k75Ad*OAYo%S6uPCCvBlNUc zhM=h`SLCjW&{M6CD!9;B2cfSCvV3ENp6OhF+hYESAoM4J#wV95XrGEy*Um`OVb?~g zr=GaCe=P`o3(!dxyf)8o4a9E^vi>%p z6MW6G8Gn0_@;kzm-|2_TV&C>H_XmmWx1k^Q8^D>}2LEvw{AXeC$HU-14}v07o21ZiBxbjY&t+kaHeV*1ebFW< zvEngAbBZCFZ#Of*J4wRu2pH-N3)XJY&)Zukpjhep>{N^i$9Q8~i9V zvfVzMTH?9I4I15cLVO516_T8a3Fp%@aa2$ ziWdz5b*8W#Ifs}T4D+{bQBea1YVpjUHh66qyeKZt|G*U_CG}Jngq|9NZivw7{$Y1>knU0t zx;H}GpJvs2tUBg>5jx#Zk1he4XB6k_UdXt1WK=91BkQt$}VlNt^23 z)e?C4eqk41wyT{zd#Y}uUUr4?(J){B90vaf;0#k!p{vl8s4uF6$)lEaQx4HR*2^yM zC!jIGyk+ajnUQk$I@yMv1vF0@?Q+J7sp z1vvdCFgFkM_AO~&ymWH?lA*rA1^IV&^$jjwGH}AX^Z@8(F^54>ogOvL1tEhx0&^lH zvw1=2qk_=IAoS5e==TMon}X2IiO}erahxa{RxMnDpEk-DyYdD07#|who{S>fn^_tY zkXd0%gRWWr|O!4}{f4k@ITfga9^sRE=>B|9LOS$ND2Pg|)xXN-z%pVVBsdK=* zB?B#fJ4TBH&js$7`g^X&%aPDq=O|PhBa`qS*=Y@Pj-Z63JDkJszFKaE~$d zh0)))eoOrvP6@7{+?Hl>o`2)o&aGv%jG&!k$7mU+JIC8GEuN)97AIhJ(ZxAks1sjo zGH^otD>nc9!S8YIV_(e}`|VKIm7U|%|EU*2lTlFx3(+uYJm`jdBro(z z`0e1G8n>366ORMDcKCgC6l!C~?Z03*Z2dcaD2G!oQY;?kn9jvCD-d_A&3B<9QU)e_3| zeogH=j1o?7WA3X8+OPB@kHtettk!%{H!yG1>`+%G=4%ppuVMZmn=RFyi$%;2*~KJ! zv>@+ij45XX>AAG=*k9ww8@2Rnee0itRFEIjOjlPGrf3}Rqn2N55(+X=lTZ-7vtvCM zI~Ax=s6!Nou75=hI$g`xXh#ozdR{{KI;zn*lv;VZlhU{F&qO@>Adn|i1-{fNcI^C- z&ppIAc6deF-gg=nBaKzW`sD?xh)r9{(&Nxk8`(ifU%^8w>R3@NJ zqMlB5M*UnG=d@#kS7M@9LUoi=i&~F4CHx$ZWMGG1OMi$2yMpODjFsFX6s}dfx!gm% zbmMgY2ueC7LJT7@(~F5i`7{r@M|X>dVM3aYXGpmhL*e@3(Yp#?5|_ z=f4U1Vv72cJW)Akm;<~L{s$oZBYEdY_@XJ7v6x0j zH;;0aVt?QFo%88v8A1NJaI{!DX2<9}=KSZ%EP75|!f_r^S&ZZ?II{3|v~XO(navsX z(PHU~$&QdwC=aFmRc=wpwa@(+p_E-I9AA5G#P>avFZ!u5_N>AJjxk+Ab>+w^E)~a= zIubJJ90~7f=@7FWqN%K+N{fOxC6s|qEowe>N`J%*^OMFPDJo*mOvLWb#Ykg@sS5s4 z1&N{VtzROh#a!Xkq9B11{)ZOLhUwlWv?B(IRTzDt6w_TiZjBPGWJ+j^sQZLYOZ{5>mw(jJ;MAg`@08$=_`Cb%d9Q@umnP0APCNV@b_p3{f0c)xrD7je zIDggN#GW!r2nYXjjah;)Cc9x{66FZ-FXQ>I84u+(|A_kx$4-ogjO4#P<2xF5osq2G zJa_?PDqZQH=(Bjd!9UUadk&91?Sy$Oi`S1;Yy>`4B&}H5Gqc&pZ$M>^#KZ_tIT(an<$F9Sug^@0iqF?+sxU*Oo#SDv?9(#2s z21z*O_$Q@NAi+*caNc+Jt$$gpLg@Ea*WwRy7Ha(*DFPIm3;FYh?jWo6ddz*j;(vHA zhd;;#a_FR!t1Dt-UAeDAViNue)s=x9el1GEKgRqLJKWM{Z`5Pn&aZ~3A?38x|0Tfu zc8gAWJJlK^Mk%I+{9}}0B~upt;y-}Y?Uq10-avB$Iqc^siP(MKYvX=9RBWA=uJiih x|NTP$*L(l;>F>FBcrDFh1lc*HUlYdjT@8Kkzl8I+UJ*MgcVfl=1GDEOJOz)qwSoWu diff --git a/1ano/isd/quartus-projects/MuxDemo/db/MuxDemo.pre_map.hdb b/1ano/isd/quartus-projects/MuxDemo/db/MuxDemo.pre_map.hdb index a704e5be0fd8e7f2e92bb3f1a8dd9f49f675a58b..50b5cb4ccca59bacf89fe9567699877323b9c978 100644 GIT binary patch delta 10357 zcmV-*D2mseT=`p&M}I#(0RR91006Ei00000004Ol0000000000008+d00000004La z?0pNETt%672a$F0vH`qcKx|^b3na`;?!dzI^mJyL%yhTiJ(Glg*Un`o5J+a?WF{nl z7{dJ`mw>2<7*UZ`*Hye>1Vnza$!6ETbUwzte%yLFaFVisovs|2?j^%0@dCSOh z@@G`(Sg&H3XBi`DnVOZZ)MW7`JLmk+?*>R}(`82<***$>P}9U_)- zNwPmO?hc`IL9LU;^klB?*fexGB|PHVFTOxA^ zk>N9_n}&1}k#!9YM4T}`ar!o-iYT=>7(f;Q02ULFnkHFHcS|T=)UXnf;rU7(X{nT| z%jGDfKGcDEri|<t#NSj`c~SlqL0SmP*&(OjUhZ zCXXeSG?La|<}a7^aZ>X4$7c9uw5$uln#xJbx__X0Sr%3=c`#+*c$y}1sni$Ul*w&~ zhaukX#=DTF-AJsAvJd@rJSL5-m-MDo%8@CcLbvk{*hi$d;h1`UhZSz1?u_qy^4UGA z?y{b#Y~MaOy_PgABR?4=4UZ)a^KBYhpNJ!Q>k+Cz^|Yx2Hb7 z1JjqG`}^_yiTF{vw>zmh2{wZ%}j;nNdwIr6`H3FGzTg)&lqU-S7@YtF!aT_ zfV4r|K8_{Y_K_aZNZbD7*rGkY8a^R&?SJ{lu|#`*mLAba+v}HOi}w27@Clh~?|&Ri zwD*V7BN}OY|LoYJeSXpK37Ko3e>s+TDV?7xcP4p?&WEx5;X0hI@-~w~N)nQ@n8CT% z#Piy}!V&NJ$f#bwW|?}N#xbK>hC?Zc4~X%Qfh0V`J^-;7k%C|7^d9KI`^EPm-G3KR z4ZN3J9pA{J1t2-ftz$D@Xtk5dXE&UKs~T>T>ItuOzS=#q=^oxr<{eELXj+;TiAR>a zl0-V{GK(2E-0!BuXcuHyKo?ZQD{(L~wPC|nwUC70aiu&7-scFt4zs(Dhb zM!(l7yHHRMH{pV>Jdl(WPM)4Y7k@-ZGmua+U>Zax8H%SSBylss`I0D%W8}8X4dYlh zPjt$TFrKpA3!)M(3?r;-8QGMJ!dOZr7yAj1cMIqi40P}n>U{&hmyt+v^K?uzLQg}2 z2w9d7rJ`~bDj-7;s~~?3q7x!Ly&FU&o9c0xAVOg{sTb2ABI;HdUGEulO@HV@g}VGg z`@y9PH86F_huTC?MdKB!ncx-LPC*rFH9_T>Rahc}^l(At8LUPs&qy^=c?O@6$}{qU zsJLq9J421{kcC>`AqzFXLl$a(hb*)M95PQ2(DPPJP1g5P>R~b>OHj zS%*POE4K=25Y>INj8{hw8s=ra%14&@(|;&5ehiQOGUYMr z$dgf)si~=)G|l*`JY-(-0<<2E#87yHdqjVf}@H{Iu^yOlj}CD8#{%~xz1qL38jZ=k~E2xumd=5AQ?tp%FtvWw~Ng70B7q!D`&U(SmvC!}-GSKN4q+1I8XZ0q4d*#1EGi1J=6w%_jh@)giI zjYvDlRJDopKea4~POX>uFgn&JjZ&7>vso%>e@&^>#Z(_uPI*D)EF(WvAC}2ui6M=o zm8mR~G|kdxw5(I3%EyHBmp8+wl&~G+fa8vVKGlo(a-M_Q?Qi#g+y9@xd4O}zg;>*Q zb9J6m^Qfwab1+}=q>90&pDuORPs*i?v>T;79;>^39?Lpp>)}l3@jCa2yq@cMgEFpw zf9`*YRO-W&>ykWXUPff=VKa1{?j8}}DqVL#AKHMo1?1UPSn8@FlC{u_kG3$7N2~Ob ze6ELnyxsB`cuzy@$Dc3a^m97OZ$X~^<2+e_j5s0{Ep-)8jLg@m#Qh|my^?ArYA<#p z^YT`yxJ@L9?ox=D-xomnG=Zd9i82{he}LnsIhJW57ify)-hkF>YxD0%cPaK2`PzI65)oGNTpWd9;75Hp+Xvg z`MNxhsivbwb`0~9)gt8UO0DF98&)EfMB+Z>V<=!3Z*%XlEl0isd6um}tb58+e`qwD zS3*=pc?^Cq03>2$UW)Q4y$F@}Bkn|`DcNM_i2&Jn6r?DRk$EapVMY>s82N6*jfiQ) zAYVHb;KvXtf~gSG0pdEBTac#+HYbfJwG|!Du|&B~A)X3sKjJnNuuK?+{CLn0!mHfa zjg-2`JO7e~5+n`tE{(^-Rsy@te~rw$G#>k&3haMCGt%APpz)ZO{AFOCIyiUwRc$m1 z508?pM#{Y4;n5^eil;q+JUlZ-AP>(d59Hw)Oo2SKktCZ#exfalSklxUVsuCfzaE%V zMx?taBHjBV(wz~Jj?Z62X)iBvN1>}lq+1q| zt{9Q7FCyKE5$QN5G;1%ae**)Tv0*qP{e=GYVoceFn_XXjv9KIwHU}Wy%)uRhX*LKeDoIg4; zvU+6x;tqwpo~07p*f*xr<5K&<<5b=xP9x4=yk>RR$h?J}a~G~ze>}2k!N}^-d0lH3 zu7cEgi&l4vRfDt)-_Fdrfs+;kXYXaJHjl0ypV%;G^BHT8OFi@KvwP%eh#(L6!)cI# zUGHc|T^~Z^9)n*SLsActnv<}*QgGau$jOv}G)_+bWe-Si+ehi5Z7ApLTU@dPe*=RG zRSC|;fhBaiJ@h<#f9NIl&`SZO)rtlD2@EDH@zSQHm39CcUFc!xbg9|3$nbH#`HSZ- zT)bfJym<>c=PjN;r*px=c?%YIbapIQFt=;|B1!E})uueb12=pIX>@%gis>zgmPR-f z3dDhSQ=Su!0+a)&Co_;KWOKc;+@GrLRqKvMgHKgyn*6&PCOa8Cf=t$sB6h`flPTig1E?}7D+o{4qrD<|Ww>N|9wpxd4VhQN zXQRP+nJWnXf4OAv&jTJlE-2iu_ru|WK@sSqPlrRL#h#QF>u%WWx1l-{Jp($|(G76w zcZtxykBoj=YOV~DXMn~pB~DH2I>h{~i! z54|5yf8M^y3=E`8nQDK9W~m1@#0@4>Jpcyy`NyAvWGx+FX23A|Au2=Mnebm?Bm}{K zlnnl3z{%b`cQh0J6I6x`ie~r+U3@lEZo0i;5d%5x)|T+CzU1sQt9~xV_;21e6a5 zaxNdlej$sYFK)D`)|Gja&G_BF#7uq#CKM350RI`T4q8um76lPzj{UKFDg^j(8Q2K$ zUGTghcqJUpmJ#k(yc!NAguZc7fZ{{Z;CDiD5S-895T%>o%aXyDhr?+$O81+s ze+DSa%+r?1SNV88e$AR}f}U;x z;d6`hvaSFx+u}-Zw&5fGLG)A*erp6gwI|-+(|ocTKb!tK%N}~RJ(Q0ZTPn<_l(_vW z=Q0EN3MOOZ1B0onFS3_?u|4z>dnlikf5OkEcQ3PtUTzQlfIXDYR^eyU)+_CySJ^|a zwukPphh7s7m2=f^LF%6`u64_ruM7tUT(kO8xM0(`yVV~0vrv6yYlE&=Jr;_l%Dmd; zx6|X1kU`trKiD^xYZ%ZrL*TXHP-&>3>tk;UhtpELyymym!GO{ZgSp=P&>%8_f5GG$ z9YEt}b+Ke#G^K+&X?{#-ccW1Y0HqCP8+YQSwk`w`KdZJbii=gQoF40H+o)Dks5#ZUAspM)UC|4Y+ zvhBHAu~4gI26Icw_)~L4f-bcYrV1HDB$Jcd;mAAB+|-5&LltORUS7)%6thcf%ZlZt znKEqAOTZ`N@#Ux}m2;I`c{nFIFV7B_YuWxxp^y`^=cmG1!dPM@e~{|V#PMJr#^jR+ z=%_-aTF!_rE9YtuR~#y5bK6^dDs z@2fQ)P1*(CAvX3aPQP&Y47th=XS4ZYZ6G)77~@~T5WC7)dv7vbQbdbg6+Ns`mwF_= zqHh6=My=+T=kxj6e<0io+y`;DHs6yU$XCVK`d{#kXI!ePupJnxW_oHBJRHzlTqYic zwqCdS7nYa3ThOs;;2A!-QZ2?DxIgC}mmh}FrN0iD^ZKGZjWJ6E#OKqf0T47E%F^Dbug ze4$U3#eASV6nf>=r`rB}Uw_S6a;jJ*#8fy2d1Rwcg@MdLISaAT7+AH4>D94^v-ofp z?{m11gS%nin%Jj3>@x$)GAk-IEIze&FE7>H?f^+@e`8G6*dhFthjq3%P%M+vd%=nK z$ME3tf_WYD`Pzsl1Zs*xv5eK7GCwFrXv5Kjc{jy2FBf#hybjmga^8|D^woUqC&B(8 z0LnSxFJh`6$Y&$_3ZO?dl{ZlA%RBQ;IoF3}RWxb}Qu*Y?P^mXlb=H@dLeoJ)xr6bw zZ*y$(9r?V|F<+@%94tapANMn2bLT|U=o~pIY<2BXsbZ606cm4GpqejX3s=gOE5$-a z82l^&ui^)QY8QelUNs zn81XZ?~AV{cNFNIQl`)KB-*fA;Yyt^l!mH;?>XR0y`s-id@Z5DHeVQqG3mWtzVDCC zmlG?_l_$Xd8o90YGMFh~_l8U(5bXwLZ^S-_-p#-VnX;Km7UzRDtgyy;Sjm&k%wXPmu!>qW zeV@Un+h*t^b>ochS}r@Vw1x+~aTX-DVB{KPF&{HkJ2G?U51g(S%g(k0g()&4(0e_r z39DCvxKe*z;asL9dS4VQ$yDk)JsdhLMaIdVg#33ApHSXm8wW6`87_2X{;(k1DSFi_;ZVs?3|DUj`N&I?W!mGGQ-Ifc-!M zEU*39>U?Da>{SV{S0}*k0JbHA@0wOIy0%q}KG-To{2vj{J{{-$!?`prGv<9OupA0V zO&)*!A#maBlrP|1y&&Fd;)OoFqRI^| z;qtLoH0Z{bsPgfaaM{@sE}v)#mz%)Fa!L9$p!iwrPHwhFeg;S`gZV<9r%u&kCF>cV z%7JO9-U1euE&e-u=;!UBe{T={0-*d-2=;$*&Y5evx11m54y>C8+&eC{HS|}FJp3+ z+giu!8?9>Iu2wPnX6qVvd#iN11B_aC!gVLO;b$>mzXh1(411S7^xM|@e%D^;J@$Xl zd#&}|ZH@e%y}IAG7WxBgB%l7^?Gh|s{>do4EMM(UFUw_?rb~GKX7*6YH!IZ-P1Dma zz&yxHN$&;|^pC)yQ5HkvPu9q14eCl=k($)yJB|3oxw|g8^1FJL&}q@Hw$YYq*w-F9 z-5z>{J@iUI?HuANd!bhY$}3{>3|4=&pqe+i#SY+kt+TTuJ=lM;ics?=^ff@UPP@S* z!6x9f0NUB=gFsq3<8{_TKLjLxsU--qY5vsI)DHv59wL^(kCVqH{6`YvuTO~oDDdp6 zg|RiiA)(}t0dLvWH@Zs4zi9q(d!aiGQ1+}FOr87*K=HG>EPGP|>?eVp4!M7&;klh1 zUFl*uog3`zjQ@hcr@)6#Mt3;DZLOA=n*Zr!@SBstKa&i8OEUQ1C4+x98T@m};GYMa zmtntesx@&#MqKiC4l2q1n|1NGJf*e@r*ekB3+ zs|m1QOMv}4ut`?U+Y;ixkr02sDfP^&rT zZUAZC_$QnH2;|#2cW4)4Glld}0ncn^^Gou%-ZY!%Fj9H@!|j;LQBVQ@{hp5ZhZaN8u=6Z20wpj5B(QweIK$H z`cpuy2Ie@P5we^zH`+trYY&~Uhi(GY&Ic#$g-+Q+H`_zcu!n8|)Xqv5h)zQ_ZPGQ1xdOSON`2b8i;T~iyMn%p=w zDS7%+PZukn|Go@_e5TMr*Ve9EKf1|}mB$_)$~VBT1_7OzPg(X26!DdMJP=jo3nCQ> z^`{bW6FZk?mI4#Amb|sw-710-gWr)(I z{y7elIIre3-mfhd!oSribO%a?EZ9?lKIgSzS*)fe1$td5-i%er)w~IsLj`;UU$ZFW zRC+aU!uGjhEu5=l5B-v>apZqlpfu%HU}<-|k6eE_5&C~CK--O{UUU$(KE8l|v!G0Y zO2d!^dqJi6v>+(hPXR?h?Nlzg$eA;}N;Zy6>b~1v-LgHjVhOwuk;7 zd+6WBgeu$f_W+7tsx!SY+7jRJvQ!N~KJv_DDmc}}))OxZWk5op{%9xrfOjp@M zR|Cp3gF=6?$~Sy_6|SDFM{A{KT|)erz3M!jvYR&EYcF(@J#-4tIWQewGEL`}XLF@0 z|4m0vz93$E!=_NY&X~>(hF!J@iaKxx3#qIWe_na%y5! zaZFFvWz%f8SadXYW!VteQ%fb!BR-nDc;N8*1mk#b=EpIN`%rSb4kriXrp(VMO{MNdY(D2SL+?(6 z{$3*V_Ycs|F>lDKLBp;anB?ZJ`Gbrf^Od@8GL`h z+4O%-4_pZtt;g4Mw9>hGw0fPNw?d ziG1YvkS5$p34N{Ejv9AFYw!wMPDvHS!*7 z z1CE0Et?9#y#6O916XN~TSy!}q*aTmjQ2Z$t;=9otH=GJMTV#IXr&-(A#YZdmMNfaT zP+jVc^mM@4ar5zbwNmWKHdB7RE56J8(RmHt-Qd0KN#jkc*o!Z#-qenv9*mSpg)$>3)ugP#R>5}!L8c>8(keaV!6e=_*CM7p1w z2)#WK`n+TfKi`Gpv&PEg<;iL@tWtk_0pNTH1mDN%9m-bI_#6!%ObQIm_*|Gwjf;}O zFHQ!(BpLitzzewVd?$K@^H@qrfbxS|C-u51C1tH9%xu)Fvs zu}!C3-2x?cfQOir@t+TEbhsuV{@R524*_q#D10Ol`g#{VZ;_4VKI)?9HobqjV%o*r zkO=*;M4I2|q8D22xj&u=y%T8sQdUO$ge$spflY_qta$=-Ysfve`B1{tX*^cf&Jx#n)arEx#S;2&Y&k zpuC z#lO}SZ)v@Q0H=D*cO@p}U+)T!)AnvibHf_|kL@|iBK2kq@lrO@88+e-{2{>O7r}NX z1dO`30Lt4D&HuGA`R{+mz*`c);c!$&G2h(={7m4<-`DrPNymfk2DuxK3`Wapq~z^E zD_wVVe6+%OHqa4fERS#n-Vq&M2(Wv1H25xkm6(B~1l{dxoZuw?LAfJ1-(a8dkw1x_}ReQcmAA2=wpJ>zIocz@(!T!Yxa4=W`5h54BnLt zJ~tVBUNZRnWbg%mNAsC00w%ljBB9U3una<z&3_Jbl(D)Qx?dN7|DQ94NKtXe7C6XvosbQBj z@|)Jk+kp%*vq{}M?4fr?))$1SRCmKl3!qILeU+W^0HC}9>b~Aq!&A|*KjlyW55BB3 z%y-l~7cZXM(9E0j7_GZe_mI8*{~HskNc|I_w50g&pvHge{T`ha!_iUct8@52Wdnl; z+1((S4wz+cEp&zY&Z!!_#k~tQimIt$5ehhKR+eOk)N(a%Qh0Gpg%zI1Mu!TgRt(&U zdzWlQ{I+&kBj;Kpvo5mB`=8YmQ+TYaln+|VELbB)T%`H^3zN2^E;9b7O4kEPuAw7M zID%rJ9-x0%7US)8<=x*Ly#CEV4~~zFuCJ`yFgvq;a&%%<`W?fg6YJKGpYl#ck|*o2 z03Fc{OTaLuIueSsFA=&w5jvj;eNrOy(nRQiMCidtXmrjru7ugGYu4j43F-WBI>(OV zqb-dPNYbcgt`#KHxSqau3jc6>YSX%P4*zEw-v?&sHmKDNf@(0 zI9h*G9+(rnOtk_bUgg1tHrGRZgi3|b#?2uXjid3JGvz-!_E2c{&>9!s{FD4l!&T=; z5j=YE|6zFwG_m1KHPto9;eILHZhORPkZ?V?iD=G4lfwVM-5jFn(TV~NK#y3hajX3l ztq>c|BfRIrd9;E;(?hKB>bMP;!dcB@WO0956^}=_lyC~p${sz!X9rHk9!R*9=Y*ma z-SC_!_5v{tpDx77it1z9P}fw~W?ao-xb}P8A>2PSrrHdn>0wcz`G*CMaELZ*Ymh7a z2I3CMW3iIIAY65ghd3`*`&QdKXr28wzgThaOy!}q#iGDsa@5=*+#cbIv0Wakukn8u zXgqip<$+k}ak!s{CPftRqiEbMGxYFOt<~M2i9LX#5=zlJ>h+;awfRF+%)(*97p?G~ z3m?durq4-%7^d%m9fitnA9*@TYm0c~J7HHoCv6F5sP zL==0W(k}wCJ(S0G1rombZbdhQw}rmqS+v3-5j(2vx5w9%<_jq*MR03x^+TGvwx(Ek zVp-XoBHX1ki-kj8WE86vBOe-QG0q6G=WOk9n8G6+C}m9P+weI^ z82MpEb#byfN8z!QQhupW2*^x@LOZ|JdQMvkv`ZC&hbl!lohs$))MK^sG}G%TMswT) zAxj$hHbU;itqEE1I16Ut_Jn`nj{MIKxoMlYcOfa>P`T!BQ#ayfhAh}~X}WRuTT`hwq6=k59X9v8w|Khp=F6{pji=!EH@~wxRYu>Q z<6|1`pNn9R~Vug>X9kl}l9;P$>1)4p=_fijr^3a$D7o2|sM)#2!!Y5%0 zp{cyw@k8FYQ`>SP$<%^irq4fxu>SKec5i9^i${-$=Rong@;PDp{MhuxDZxW7QVXVHqD(;BDwn0DsLsdTTL99v@Y7lw zd}^+W)y_>d9$JBRx}s5NtEqO~QZ!Q4gI`bbH15y-0!_*Q$A`*8qo@}MaiIP+9@rBQ zS#Yo{kS6>JTgO^S@hU$>ovDOq1)4i(7rIwLKt$DzQm$eRwUmDp5wCx!5KZ;)0|Hl8Ij>fe8WX4|Mg<8MF=xpQU z*ya(^x7uv^GVgze;CsLcw}F}$w!+Baw+6HoDEwqZ(^M)DQ5c%G)TDW z8bmA5G}S0xN(F^CsA@cmX?lcT%+L&^8M!`B&$@~ delta 10420 zcmV;lC`;G*Tb*2xM}JT~0RR91007b`00000004Ol00000000000012>00000004La z?0pNETt%672a#p*vH`qcKx|^b3na`;CX)nMoSvS}q{&S8*xfTp_;+nGnF$UgGjTGL z8;Bv?FLDT^z= z1_E?FT~l?czW4jS`s%C8xz7HnRO%+gLqg(VzWTJ`nB|O;UZ!FE=eamP9m~}+@|Kb1 ziM^$dT3I54xdcWlGttB~!qh=8QtfcP>*x_2+) zeyy}+hn63-#zAWyNG*pA7-!vxKMaG26*pzR6QJ$a zOOgYSad!yqUDb9L)04TnW7E*#l<hv8*6;Wz&Fn}xq04ydTHA}LX?Uqo!s9_}{!*i87(o!i^ zmrGGdeW(NTOc~izGB4|Sytm|`if|J4H}$5)8K_^qUwy74yCsxhmTbD<$v+>e;4#GJmKYf)_(=n%d)U~$%82a$I~p4OQmjcQzo|| z9*%gs8}CAzb|bMe%0Beh@t8ESUecRVDMzM&3f;~*a37K0hGXjaZC1E}x--7_iD&kx zy32Z|vVHsD^jgxejQnJfG(46x%(rN0g}M!ye=*u;M=G^Lwu`=?29s~RlxQye-JaU` zl7H*IY`?V6pRh_x8_GJFN*W%wXrxu@Y0Ey2E%qkgICKA0>X+;ogxO9~`+GP}uH>5e zUoK6p(#_0KXnt#;d9_0GX9LZC3eDpNnz;(i69$^sDKt+RXbw_no;J`NpwLMBVCai; z0cnGleH=@)>?1v*p0@nQu|;cq)qO(dT7UD8V~N)MEIp#0w$?Al7OnNY?h`WC+W$C~ zXzdTBN7U2S{@Jlb>-?hb6EfF2|8gwxVmd!n?o9F&oeyLA!?ieF9}wdq14(#>eIQ~lA_YI+={?Ya_lxgCx_>XC z8h9_cGP#jOT_8Eytz$EuZ?%)kXE&UMs~T>TY6-7&zQR4S=^oxr<{eELXj+;TiAR>a zl0-V{GLIQI-0!BuXcuHyKo?ZQ%W*I|vth$FwUC70cBMXuJh`$(;}e^v+dEahYMzuM z==U0B2MTK8CS3592a=M)$KDy6q}o0v`+{ju8w9UV%>-3wI|Ws!)dZDic43JO($fW%XV4m{ zJR{afV(ZU<$%G#1BDa;3ExVqkn6vBa>^UMysP^o5oL_z`@MK=$ff*)lF;HOpt0bwgb5T zQ+s%z7kSR0*Mg(Eq@$=7D1}Qt!=X;%`wOOG$-L25&O>f9iKY~r2$%@Ll4b3U$b1x$ z)=*;_$EGMZzCo!86Sds0gL=$7`N*=UJSCmPMM+gTQRqoSjI@c0k$+|WRHb2F)~kGE znLmv}^h%PuSc1#)V2*Hlk3Up27@Z6xvCrt8mWqDy|@cB zv;kAbv>T5>D%TE~qNo1K{Or-}=wi+&mU+{fwhBRU? z;!Al^<%Dz=`idLxF#FongKaIG58EH)9#P&4#r8X0U%m`Frx9rfnW{FC{->4&(W&(^ zA4bReq*2O}dNxWWt$!(%x|r&N$|*0XoMq&v>ccX5EHR{!v@(@tlBQAGh?aF~RQZ^2 z{_;lnloEDg9B|w*(5HG4U&?b(tNpG1Z~6aoHxF>`IUj2pZLZF9Y93X!a2Dn(o>Vc| z^wY)e`boKzk#?h$$76N3&tqAKY%Oeu9TZc-nnT$kiA^D-h^ z3!9%!g$J;HBg7+-M**NvQ zA7yR(;;tp~^dIL517ySzsg?k-%8ks|sKoswp1qRlLezA-k$HK`RNN+#M4v&$Y&S9w zxwWSXB+XWo$$!ASWF&5KNuv8v@uVA>mqg-jlO*y>lSCf9P2$8|Fv+PKExgT*%-2ld zp}GPsqJbEhuTix@!`huGlUCv;q!ehP$R;~a2FS*vAoU<>7@4R1GBc9kF63`SydQBnVvw&{#hBn^sEgP>t# z-lg$)8h^`w4?Lqn!meh}qLAm@I>Zshg$&qk>X%#*^o+b{Kfx2#6W zyx`%{B#1}e)1E*co|z+%hi9}0^6(6*KpvhEMIQURk$KS;cD=~4s{?t6QDU+Od_vyI zmn42B4vD8{DE&$F3M2ClNkg+bBn|EAkTf)`Lw_PH%Ow^cyx})+7L1Ip>iV^AhBGSDhBHiK;I#dS+E)>IXNcsu=>xG!I4L7^K{z7d7nqUm<6Mx|R z@;1a9p15$WtaE2OIr$x*df9&ah`Uz@OA4fE#3f7DbuH}dYF{|IX33(3iV&Lq(a?R$kb(2#Y7Hr3`1@vbkPa?oZYBs&&Vp!mhpZt&t1jA@8bp`msO; z84ATRFe_&PS;q9ZctX4D*yDjD$6O)P)1RxCdvhMSipnKaaN1io)Zgz#)Q>#a6YJ%x zP{AoUyKU|I$%(OaemEUX{@rzxoeUm9CaX&kTjQF^6!Gr@RGF0(geU9KUVo8%O1NZt z9wpxd4VhQNXQRP+y(-5u95t2!OvS8vG#xJRJ_FRS2gRYM6%tmYJJP zWNn-)&?5n3ow#_Ql-IIkOW=tC4L&hcEYZ86a1i<(p=c^bG`Xmu2L&PhWNL5Kh4vSD z5*Zjw&{F{AY@Qja@We7On6PVrr4=1N4TPf5gdVYP?^+kyzMw6x)PGTX=op}EOl+B_ z*|&7U9(q5Zyylwe?@teAD$C0rQ?Vg#Fm=!mzyLr0_*0Oqr31_j7)C!tWr#Zy{!5I6 zAo!1x!G8=m*_-E%M#6uB%CJGv2>*bK&t^&uw>NA&`KaMR6wq!KzWq}`$*ysu*j}@GAi~UX0CrD>06#7P z8v(uxo)-i!hr`)2!u^U@!l8uFH%3CqI45{Wit4xa5&9I z>3*|S0cDwa+A{enpVP;$QIk#3)9s-%fbt3jhgo`AzJhFEFp1_P>|9;i(i58kfhaU# zw*VVHw@5GR2=KD4uJlG5KH?uhPX*z(MZi;g;{83%N38L)>8~^Fp=a7d`JAz(!hBqb z+pkhC)1NP6GJi%sFqpdf0(;pP+Cwk0hw`B*{A_yn5_{;S_R#m+L-~*uel~5r+#Y&` zJ@iU@C?DX$&!(+chePFD^;?ko=ZkCHvc@aJK>^pSz8EgpH12M-hyE;7U)kEA>s612 zqNy^kcKPk}SR`c7Hun$qjpZ5!w2fQ5DjX^e6?A><^?%`TT8fv~{FXWdP}*T2*P9<2 zKqfGlT!W7U;%9ZSq%)e*L7lWHCbYZWs4hThgZajtxT&p+fyB?MtxMu!l`E&mxf(Yf z^Fd}hJ|0rB(g{FXy7FHGN)yJuD8!40_*vQa9dWUWeS3hlY-`pY`U89D!+_F-<2%HB zJnlr~qJP+(bF7P87#rDUjXVKJ%Z{0_hrZVyy3-!|DSPNo0L3rW-mzfOkw^oBX;OP2 z3HVf#iM10?!vC-f>mh^;5ttJ>#)Zo!KJJraU)eUJZ|j3nqkbE(gJX5e{cZ z5$>1#?|{-`mDPj1jHN22=1u+lpP|h*A^*!oc7KS6JylsUZ$kdc8u{NqTK4Z#)6Su=U1(eU+b`9aQoE(q@rf)z`Jfh`JE-Oh*-~yGSEywAs}b&xn)+-craH=+e4a;wXHTY_ zs}4fB;!uTc&sB?sYB@8ITUNrKsv{C~;`N}AF+?&sxgCML^UO_ks4!H9rlnQYY=1Gk zqPntJT9GNi7QF;~0*DxVIVuKAxpJ;FoPU#?S7nDw)$H<2p^y`^=O@Ei!dPM@km}CG z@jxENX7j~re{R?@#=n9gc9pUA-ekJ0h<_Hl zDtcI>F7A48%)Bb2=-{yzWBsW0Ik=JL}vVpMU$Y9_|j)-XW|SgT+#%Dss*U6vnSf+8tjKM`6wC zhQ9o6e75`&Vs%VdJj19{#bk0;;zoNMSO3>69P3wp;*RhPMIGNBed>l!n~Veo0ki^VqS-9ZWV9I z6#A+@_S0a0FaV{T@E0-F_vf>beFe~?8_Mf1_T`=Vrj+Z$vML%i1AnP}@?vPPH&b!e zmzYA+K|;BM@wIPrZ1efN(=p#*sW?!CratalVsqz2Q|}x(DQt7?QLbRDV$H$E)19h7 z?g@de1Pbmo-34T*zmgxs7H%+CDi;eGVem5`Am%V4$C?^wIOY)1fz^C3H98XGzHMyfe?+j-8Tu-76D`l?K`NH5( zMescfe5qITIf|bfuCvV-hG9&4ub1!pV)Nz1iu1T4_N`)Mkl#=Nd$&R^TfzPq)3j82 zM{MTovVmNwFIUA5Ge4Z^$7-cm;q2xx?8*1$OTw%>V>4v)Gk-nhVyOo%jwkf0%P_EH zqpOvoqU|}cna^dP$${hS)3TK+_i)u7an4aF_ByM|1+jU1X9f412AdyDl~s3n6mAR^ zQWt@{VX(29fg=Z0B0hrmOu56rvvU{|So`u~%Q_4ik_==D*u5cB4@A3x*&DFWp?5Rz zL8fe`oW=Q|4Sy@FaUNFkWHU36cOI;wRt?{0@aeW0`bgb4&23@EkR+5%n0;e&uYNx^ zS&Tl=EJpli5zamx=lsLDG%hpdeJijW3P?>J{Xvt>78idIxQU09acX9*(ubSUuS+-!~fG>}{d^MyQ5 zohrq0)-yhp1Jh9b3|LsU`0wnYpSOqpy*=~`fbvrz*vC0%uIb)VewaJ3zS_MV#bmXt z$#(x>ugZTdfZ}K2EnftzDQn`b&0_SWW-`T)TA!oX~Zwi-F3;8AJ(&k&We7u zjkZ+7zV^`B_R!1hp_c<{=MY!e3%wFhUJ;XLu&M>syvZ%D0-o18+uPCu%THDjYTkst z8fbskX*ZZ8*aW-=Ks#G~07y$`yw+Oi2Z6*dwG2Tv&7Yc@`XK<>L&P%paq`%N|8PS5 zbqVnw0iIp8IJV~3CzSkA;4QoQ23P6$7tKFrFZ4zOls)SPQzw5MQ2eYe%ifd#`w3uY zL+;@4LVU$7T`Z+@1MThcUoiM2`0&Z-HYb0$t<@4!^FNggesePTr<1`ylMMcM$>5(& z2LD_#_~!xVWmxgnd2ha)#ZB{)xLv6AJqk?S|M%d+y4dfve8Cp@54ONt0L0H~px&AQ z`=tcfFDJl$B?0!U39w%SHp!}aTSENT6XJIz#D4>L%eC)zK<)Ncci0QP(;oUwd+2{% z_RwzuYBlHF4Is@M|77zYfqV<+4(&p0rjQ;g;F-;Aepx=(o90uj@o#2*$KDwKDp){=4H^W_#!sd+1g`?X0xT zUg#Ns@)J9?dnU?!=E<5Sw=<*5=9L@16({+|c(_eKdn7QGx&%^r2DfSbX!YGQBlQYz z&ZD&QCf}uibHBD`W_mITKB?x4R($S~>^j;Ov@eK#C5oTh;Jq#fo$eo>9!r1cMr*H^ zphgQG8z{AWoQ*HWw09iW(RtjW_H?>{)1iT$Tq)hYSQnAT!=v(l0?fDCa9GNw@ePes4rGsA!3v=qPEm2^5( zL|*`wAxfM2=XgxwyqZ&gzqVKi|5m5a9Vi*HU{3}5oY#hBv6`9`=yjoZGgc*6^CoBx z74Q*!&7zP~>D9am+vke4aIQgn=oej$Bmc_+r75=pOS{{B zwLSD?d+7hMhyHC$sIonO7ohm1+S41OE%9|POH~2nLyx$=p2u5hX{^Iattad8NM#hA z5>s=9=^A_J2%tPOC=@Gv!?#!A>dAVvR%*r*;wS7?=joK)wDEr)d!d``p)-Ikfa&m( zX*#zmn;Wd~-*oik3*xmmYzoEejOkpzc<0=G8Z`C}akIUGTkN4*?V;Q3q1yrF?tats z)XduHnW-_wF+Ew6_nv1j`+R%o1@_Pj?V%R|%1f(w*V6qQiN{3Bfyr7I+Y7(M9(t(@ z?Hn|opK})A&SifJ|1#3Q-@OOreAAKN;^E731AMugAIC86L&@!0oE(swGC!jON9PD&>^QSjT-()GWgGv!5>Wqe=HgN-;%-q0Jy!!J)KPWEKCIn zx_zHy@B;v6)7y4`3gC7pKy~n;O7>!aH z8g+U)nd(O*5uQl@9*2sUfMt;W{ z`A^o!d#rzv_gW)&0~zA{CinZUwb1WbBfoErywAR&|7_plfkzogKtj;KLhY2K6fVY_Vd(xlPUkc zWbhq{bU!;0dS@c^ImsG+t_#O!jg`sElhtNerS?3)`49-ckJUSrt)%fe8a|j57#i_8 zKbe0T7bJsUm<)bVGWf-S^E3}nzhv;`?%qJ-p|FwtE@=XfOPj#s{Y~I;Srd3%-UJ?3 zfXB;WckxYPn@+j12})cA9%53)e?GL);p&9=YZBr=2)zBG@Zm)0>s)l_5*y2X#6>S` zcyqw%T)lED`!fpz(i8SsCr)uITnIn-06l72RnA{)8*q?j-Az ziO`=)guXcu`qPooM+KZ%e531#0%*b?kP!b0 zSN#T$NP{9}693AC;$P*8x3u2DfK$E3yAqS~uW^OPX?r)Mx#6{d$Mzg$k$QuLcqtp{ z92@Zp{!rlYi(tDG14i8&0p;z8#{b%w{C9t2;4KT_a0Du&nD1@_elGCj@9TTtq~k$% zgWL^A1*2s(Qu6kom99G`K3d^CALs}(mPfb(Z;K8u1lYYh8hjVb9t2+=sp%&4Ny&tN zHW~bL(cz~BSnkPa@Ec&ci2(Q;(dQAm{W=5u@MQ3LfJ1-(a8dktK;swY?#2sX zqoA>~5=j)P)UeAM`3-C2?LdZ@*`)3r_Ru>c>kGnEs=IEbE@%@+UuCD<4=8Vdy05oY z@lk0^Bwi}rArsqHS^{?M(eKEJ!r50|HgzWQvU=fEh+vxsPTV#zei`q zaCB7q>H_{x*}&jIcGpQ}17_J$(V@br6$7{9-X&WRzpWkC$c5I(tc&dM{%1AC6dvm;&xRC=4aMVk4>#fzjb(Q zYJC0Vsc%yxd9of0&=K9R3=CtcBcVw95}}tTLgy2qPfCPdkqF(N2t5!9jn0|Hl`y+) z?RtDBA)Oyi=h$(4w51*bN$SYd8MuCjZGf^L#CMj*@2w$a4O}Zkgx5Pm_5L0-5JmX=EPT zdXeXU8lCOt;gfp~R`LfSk9j`z6v`?4A*3v0o&$-zjzSr~sK7jV9gXxMe8y)A|LYam z)Y<$)MdhSR!k7iZ(Hej9z?|S^suc+FDi1cau^!?hR4RlvZVa(#9F5nQDgW8AheET5 z*0}KIpX6s6t~x)8;L(Hs56e@ai4A9}sjfke@Jr!#+ap$kgzLdgL}MPB6#oD1#t==9 zW)yG$dcY#RmNa;i_vq#CfsWx7yx8>+CoC#fp1pDi5tK76lfQqvj6b z_6S#u?ebWCt-pUjR-3uL)(U z%^#X#77i1>XodG&_(0Y)eO3y@Fnt&7C{%X)$kS0;Tf`&Z3A^%HX-ha$tu5h@y_Vv| z)Rw*0$eLo>xP1wB!>-@e-}=|=p;=56EWTbqzCG}Ce+$;il0I>e?k%amOu(kkMIKOFXSWD z>>&K}tD0+yK#FG_@G$|U2Wo2WEaF^0777lrVQH&@?J}l5;oDpQt+1lf9 zg-1G2%7oIl;d78M@ z$B6PY(`zV3bKHX=Cy`}jt96chQ1I6pgXNBo= zW78Lo<7#X6bEEoi{8P>m{sQfuMKgL%Yn0h zMrF8xxU_hNX}FQYDZ<4zgW_7h>dj#K-0*)en^5!z8un|Pmx+Fn1&2|yz>R>U_=O+x zFg{it3=#6dPit-PsktgvJ2%yMXa(BoibkQWrrLE&(MVMfem%+4xIg;~G%5WYA1V)x zqE;Zpf%?~YU{63~!6C9hn()hP9cv}U{(g!YQwh-uG7h-?=&|4QMM+_{oT-saBv}?9w)h z8bmA5^w5}UkY-p+W2zO1MI&BkYi-e(Y6V(b!g**E;hJhAn=f>Ewi~!n>n+d}JH=0- zwI%1LnC~ypAmOTO5UoJdRHJw?6%2&hRBP?Yeu&ol8bq5ew96gZ?fg5W6wyzoIQSL0 z#v4GI9_9imWvhQr$Gg2Av6_Ee?5EJ)_0rB7wK1oeFv*iUvMs-(s_`tQ=@EW0Lo<+O e=QQ~Q;NoiWa{|B?GC{G2@@{J4t diff --git a/1ano/isd/quartus-projects/MuxDemo/db/MuxDemo.rtlv.hdb b/1ano/isd/quartus-projects/MuxDemo/db/MuxDemo.rtlv.hdb index 54ae8e440700bf69d5f05864d5277379d1506c5a..aead88d7c14ce34ae57a3826f9dc6139cc5be64d 100644 GIT binary patch delta 10348 zcmV-yD3jN$TajCkM}KQP0RR91003Ml00000002)50000000000005CK00000004La z?0pNETt%672a$F0vH`qcKx|_01{r21lgULErl+Sf(`2T*?e3W*?5>?jW&(j^CQfET z5)ebUU*r-H6%i2?S#@2-8%986T^FLVqR1i&3O>L8zw18yEPwvq5X}F+)u+1aoI0oL zoH`8z=z6-Q>QsI2_kH!%SC@00S0oaN8xapR#KS%H$$(>)GfH}yn)uIia9%o=t7YUZ zBg@I3QKe(Oiea8*jHG266jfT4r_Ct>G?-8r}fjNo8 z#4;{P4n)S;A#`+CI#^6k=IV}3Lua*wM_l`5_{-kCdw)Hk^C89!+WE}hy#$bWe+Nlj zNXa@fd~ zCqpqTet#7r6;J~mU{>M=@wZ-H*Pvcj&yfdtxv8iVCA|h|l_TlyLrfxaz}dukz{zOB zOFE{cl_zA(C!I2thoo1_{OHtrnK#j~K5mq*e@jwd9#kG zsxQmrvBZ)_(t69h<+46XO8(y12;Yd7b$-~Oa(~jY&aYmUnd&7ErVJcUvqUbD_@a|C zxfSsU#M_*BC(@)7iIq|Ip|_65q>=TKJ}8xPWD2Oz?VN-56X~rurk>wng%hYdNJA;>pgN;DV#VQ+1G z$$xcUwqM%kX{^$!Z^(M4l7{&f4Xxk~E&EjMu`l_?nXgDBe$9?Sm|ab3e~-Y)m0UCb z%b}@NI+-~N&F=$fUZc?bC4lCDI?ay90%+#eX%deI(7axuc`|_JV1?$X0Gb2qG&`hy zF!aT_fV4r&KEe_$`$&gS(w6^JjnNukihol$+1C71HAHKE)_tS3epPMKTHkfwXzhQ5 zO_+{B`8kR0vQu^G>|+HvKx8_vR24W~)9gjYIW=^WW~4{s;)j;0JWEzOF=B}-mO zA{}*^$BZWsqg{|;0bNiHFUP^y%*Kt|)It(|$B}x!Q^6LkpV&Oz(WUZL^BQHRm!~|I zloU>$u0iL=l4c;GWWdyqPBIivO@Bz@MuZC`k%?pIwk$AltQj&qWxI)|YNc@xw>#ss&=-xFt zj4)gn-AdydLXA1l8HKW~pfmP^LuWK_IGcp)EJ0<|Oi&ryDX5HA6I8}oMSoBk2dkhm zj#NQq9DIUG8+p=KWR=X=lT|XK`Kx3``&Y?~9Z)56^#DC@bY{A?mtw2s z^uh)AG8l(24j*n%82Bi|_}FM=&E)9RSY>Q{^ZJt~a4<75HafMfvU%<31gW-Q8-V*h zwHuaw$aChr1{}%xV~FIa#(yM^gQDCt`lUgbu;pGI)MMt!N0x=IRj4KH-A*tPfU-WJhgsW zoC$vck0)_qXCMRFIVXW38FM=&7Xf5z;j_TaagInMaWWjTVss?x(WNq>^WO1Ks{UgKj3>fs6SXhXeUwPyFOEncP3$bMzy!2 zzlTo;N7teCYnyNTohZxh~0L=4C{-7S4jMvz;U2o2Bc;(1$kQJ$QL`Wl9}2L^1)r z_;?5dc{EEe$!8bz<1LGygZC`N0sQ$QPCsX({AT3oKY!J`^T>!JQqfXZ0L93BjY`~; z;n^#xR-^VpCo(VZ(~4UylITu_i23~ily~GM&1#g%uo@Z3NZcrrL^q&fs}q@*MB@P7>yz%X!?{nj5(MqYv)fl8 z);#42G@8vTAgZEV20s)45-~C_MY)t-jLIJ(-hfC`vdPuE>ay`DNKhUl^Hiq9j3oFl z@;!)~5R-_0zIF=0zeJ=6rb0|Rh-+MKMxG+roPQ*u)K+vn#}ef}fp`kA1Bg3Nz%pSN z^5ek(2(NHr4^rwP@19ES{|k?x0e8kc#=Uk2u> zL$;kIsx~}ks8P6h6snctFY|(jOB3Q`sPS;M$Crm|#_;9g8s)w`T!YD%hc=R=rsOBu zvVWK*4ecRDhotc8fjL#)1(zgVMXDqXty(2%Xx1u8L%UW<8XC4rA}q_L6(5P=cVpVt zjIHC-Xw`4V@MsIxD7rM;#5fNziO4eB_#oU=@?`pF98(_-Hox-Up`PpHACVr06p1TP zFJ5N0F|3&~aFS#m%@F0J`#2)UvVWvOR>-FgESLN~6q4@pkaQP>q>;93LB7Gq!L^yFy;e(gC=EuTPsx69>SvRNf>`A}(CAc1`D4*P@OE zi`Fg~8|@xjGv3v?cF`!Lb}e4hAyx;{GJHGLb{!`t2F}teMz@Two1EI%w&nD7OA}8$ z^UPj(x*^DY{&2cuU>8@lqptTOa(^$uFLNQO1xd}x)>#oaK7z=}lz}wWoczlkkY2SP zB|2dTQqHpZWy_orEm5Ir<=Hr}gzmJ5o?{Qa$R2tzptM>(hd;g{$V$9~X=$ZhfQA=( z1Ug-6b~Q45^ljmig^QMSFX-y(?&w;wu&tweQCIhp_Kx=M?ggC-#r8@f)PGcM%40lm z!e@|%*GHn5-i&Bzgu|gg6lf3SRm0JMasc(F22;6orcai8Q?LI!C7zF@Zg{u zQ9p8FkFS@nLwY4Gtzu~cdg6!t@(U_?_fqRB-Ky(lpB zQ&4*=4sa&~ZS?HnPl9?OQrw5B(vayiJoD984Bc<$)5-B7-^=1)1tTFu>0<{!}Du=>T(m zhS85uX>e!4e~ppg2mf(A`2B#Beem4TNcc}sX&Mxb@DDinbbqSYaC^hXlaCr6L;>w) z;oCn2lF|8+oyr{02-Yz_VD5QRb5(}6Y5Ez-+6eY|X|BfZgvkN5}BQ-6N=Z6WZ~o_KFh^Qlw(Z2IdA zd+3?=P(D&*sjz&?rkF_$W=oii(Qe-m)YTW*%f8SadXYVp&+*`A)4P}0Loc<5e!w1j znLYG!d*~JR&@1hsyX>J?nW1v7`aMX!^TpLpS>u)AV4rJNUos008h5wYLw{z}SGLyg zdetwDXn(5At6g3@JsJw>x6S>NePg+X0c|tfUu}j;L-}1FdxIHHOY!oW*HVW9N;?c? z`m)1A$oPgJ*JuYCKdXx+UE!4W>!gJdp*{6RbpuKp%n#g&2eow(koZ}(b#YXza@}>Q zqjBRg?`NiWMMEl9Iu1xnSN>Z-X~M`Cg?RB0KYuIxo)8tQ*tZv0%eJQNq4(KC9|n{z z9Ni)2<4GtY7e?-!V;$sz$jEkUD1M2K&bEa|A@vPG zliCAu!2bcTog+R7B=0-sc)l3&j;qqHp8{^_8IKxuW{WtR^4yGhH9Te(ObB0s^n?G( z41Z@w5$=`zzX7Gi%Bu>zjHN22=7akAKaI@}LjIS7>=e&*sBapYh?U7c;SEK+v9EW`Fppwm{iGI4N05}C>=iJiq=zyo)-c^(Cx zy{S^BQh;*#;WFEvspNB&Qfer(tcX9Asz}f!Ho;VeF+?&sxgCjo^_iQ>aBjE+O@E6k zE9t>}dU<65*b3J&?-fGGg}pl$j-rB~}8d z?pz!XWnoM{d4P_}mCD7G=(1v_0&)4_Vmc%4D!v^?AS$FogOa?5bJ@bcs!A#I?%@oc z^eR^R-d&=YW!e5p{n4b|;BBz6SATK(MU~I6qx?uZoy}JUGb2@F{2UCitBkbwCevkk zwAfM6#Ts>qhodX{CctRaa&~1lo2?ANy}*44cWbk~*}-gCjII9x-)P3AstVh|;c}|C zQo_RlefbsQQAnOt;}_-B{mmdBNEQ3i`NArwTF9?Jhrul}E1^Gz#9&G&dw+NIeg0ci zZ7Z2VXQ{hAQ>x(JRenX)B*P_V*10D-_hVh$t4#Zbv1%0Z#d1aDsv}Sszb0u;Og%oe65l-93dy+@nS3jiJqS7_k;?mVmT{@ z_CPjMOcm1uq6bd{4PjH0lYi5dO`}uO>*e=n$b^y4fTv2OY=4dmFb+hZ7%7TknCBa7 zuSZfBmCKZQHN1j-otU9FfRxnL$%GI!`0@k+U8c?xw_wETRGk5C~4{-%wO;IS8v6@q6hr|f2JDM=>=E&ydg07gi$~Ci+ zw`6kt6%YGqus;NVVt+>XignCZu|DjGEdseJNcxX_m> zSJ#(_LX&<%xr6bvZ%btJdAze~zCtlSl!vAs?x#oQ&WWbpIdW3i=GdcD##qIggN>&% zRe{_Ud|e3?+-o`u$nan}Tfi2skSUh(xs)*Y84wV07?ER54SzHobBO4`O16(0oe7Sd z8@0=4J)j1E>`knGc}a0K3GMq8okfp)oly(k-BllcPy74TwcKg-Z%>q zTQG9csvVg*^9N4X^Tq161ceDQ!!O~wp4Eue%RyW!uc}_ABzk`oEK8MYJ3SmG zybMl6*?)HtA5-38n*`9O8HCF~#bn3V41=;1j1&?o%_&*#u!o)vDB0=rqGT@h^$Oi- zFZ3Kh4+4K|$&#s3606GaV5*qRMt&OmTrlEh+hK(18mI0Jb`5vc>;s5Bg`yGfA97&&Qq{7eAzPc+((fxwUz$zR|4a?QRyMZ#J)Sw>3+r+rg-LCtP=c z8-5l8_FI5i&aijdL%(gU?|1Em-fa)P$6DV#*2wSKtNVRxp+B%j^63xWF2VBUoqvpy zE3)N*b;P}t3hahEBqNamPNlV^RzYc8Gm(E zy@FcGcN+1Fa(7*_<@fU}p|iqYZS&f9e|zX`d+25M(8~d}bBHVKg0Z;GlXip9eoa9Bx;~?}^K!3ANyTN1vUJam~tv(2(r88b*E%ZY`;+I&4Ae-h* zgKYF+0NF#tGI(+FI0*ldnD}dB;y(&JyJ}Hn&993o`D4IacJ=j+($Od9kJ}5q!5GsgAfZADUo4wF80Oc1BYxhiQvzaFoG`XD_UN*1X@U1w>IqJi0y%Ly6Tmq>) zgWJ4eta8fCntFvh=TcgElkZZ%xnCQdnVt-TkE^+&6`#8#yUzBuj<(2GqWHND-s`HO zlY{H0$CH_{+Uq5#(SL%=21+d-XXA@89i2-%yOu8ONG5YQ9UAJ*6q6l`k4bj6cXle& zuB?kCUDgSwBDI{;PKyZbaYQ27iWp(sC~mL~NK1XU+e6O+ltXTO>!!)6>B?9*?*%}H z_akGe_PKyk_9<&ClQYwsW~L=iZ|dq|<@4W{fRN7=R?(Gp>whL zKqux?mi>cye5D=_M3wo1NJ&Dysf(3Tc`Q199*#@Pvjx6QkjddSEb&$fj7f337bbCD&8fd%o6niQ)hTobN`^GpQ-S{KYs0cwO@kEZ zbD(%LRwY;SLC_2;;3N2&MJ}V#tN9>oza!SdxeE5sFF6{A{+9(xQ*Hs4cDMV;^_OFz zzXG(~c$|WfZNBsJX&))E>G9P@Wm&@@2l^+oy1KWnEe;HS1&IC+t<{>6G2H@m_nO zo9&@9fPc2Zba=@$nOT|66w3TJ9lhC{cT%S6gS zkhLzh7k-I7^il`fRR}yk=PbaT%M|`Iq<6o2H-F0crX#<_!;vU4tb50}lo>=Jb#X^5S7WxN38%|pqHT;oy@L$A(|1uu@(RlFx6%YO= z!0kQmsd&O?VJe8x?fb=p9|$;`-VylZK_j1gWi0fo9MwD9t%ScJUds=S2cHuUepo#C z5r2T&d+eKi(dr$`MxCCFr}~kxgwJ(^ci8#NTL8z;{hUqMhR=%wJ_=yH$HIz!C(t1$ ziB6w?@bFQr*y0Q%gICxyjju}aZmD;H{}u{# zHS&HS4ZgrB2vmtVK4&WJa1_jM4If@4{&Ad}5bvGNI>XJwLGX1k#h+{;z6Z^5!YP2W zMZr(}G-~_$=xF7>=&2T}OTCev1~@xzAs(+*ie1@8%5QMQcLslSUW514xo?cs_J4`! z=(^~{WGwV1pyPP_R4nP6W1**k4l&q+M%zp*;alPfKRq6NYdrY2c<}A<;Aa3H$LG!j z-hQ5XUp(dC9}m7GmhNZALhp=)J||wo&voGVtg$kAxw6^}tJIzcI3EJR_p$nh)8!;S zN5cn`d_yBX=f_jyf_U%?6a9~+}-DEJQOyv-z81pacL8He4q(D zE^7jh%bURC3h;P2>@L1ZY||-MHbIG9;2|bu{O3a(9j=Orzd9!VL%`cF3LlAuzSco^ zEw-`TM;-KnhBsG&b}`q*LVqll=GQyuMOJ(6kH6Di-?FvCucgLVqSS`mH`E)?W>UehjDOe(0~oLVrCL`c|OBY<7cm|Aq~| zr|y}%qie66mfr?+h*PW}J0AK0@e>tocVyxdA z06zfmFcV!6{uPdRL$?RP|Jo66x&j!4KQJc#m5%xi9+3t`B1rtJVv2vYBi_<_hX79X z8t+Pilz*)wJWAVp>J$Cz0FUfB$|Chf3-NX7i8(gn75rhq;}^nq$A9~bx;FvJ+Yycb zwK4hc!N6PQ!{JC&hB4n=0r-j*3 zn6X^K6?l7ic+SV}J>lTHVRk?GK&Yk%p-+q_{Il`kp9>E^)yHyAgo9rX%T4&e--JF7 z(e2j;z>kOrp9eVf_kRo*#jQkbknp4834d!m_}k*a-vKy`nplzTD-To}p7aN`|DEwP zI2!OUb6t@5V`Adx18?8?ZL!eD`l7w_bWqFNfyS@V=MCAgAb3YScxOELf_U(*c<_bs z;N5_S^O?(hCcAS&p|@jL`k~K_g+4DddY6ypzW_SSSlt5MuYd8u|KCv3rvlJV0F9r? z--F=4iwEBi4UZxHpz!c(eJppc8IDuM!~{&ot*08kfr7@)N+eOFQp0X*Dx%n1(f@xULKUe`14>JZ{|*{>z2Bv?BAAX!Uv1<6l=TfRWKW%B zHei;mUE~OLpHtOY2fxI-7)Z{VrDf@1m0ryUDZC`2!V1r&;i1B*6$5wR-X+itHpDJ{ z6``Hh$OYEOw1ez){bw~rDREbcZDgC&Fk~$=XN??lkblANUj*59+(AbFROtpF$<;X0 zgd->x>II5r5#C-`-u=zM>)#0U(B#0CVd?q289Z6=`aeTC; z9(+ma)ql)2gJc%h)A!EcA8yZVUcbJ||Ec=-rV@VjRjTUW=es_@3htrZ3_}G7Qf={4 zBH^U92mf`G|Kyx`z7{-3$+H7wIsaj|%=6!;$vg*v%=4=>GLLP&$n!sq&UW(f$-Rdt z`Gb+iJfCaW?-@Q90?7Fk*pl zw1zw|C%Bnv1wy>agAHx0hxiDU3Zab~Lo6Cc<27c=e|GGm(Cnc#&fNSHy-dxj^P>nZ zJ^25yTm_m~GgD1<4RWMc3b)%Xu^Pmz2R9Lod1zAj|F;`MG(DP8zyatIt2J(om!cVB z&3`=1J!j_83<^yTvBs<8)+~iHoy*ALv?>}8vlKH0XJwZj=GlRhu?u3B@~luaqZ^(T z#hxdo=IKJ5tf)Sw4RuX*ZN}9chHJme9nAirG1X=mO%IC#%|9%7m?7G%twApH8i+e2 zm&FR+0<-EG4{=_s_N}&e&^r4qUa{idnSaVdYl}sJ#pI~DgV`Qt#n>*F)z^9pG#)&Q zazQNgIMT~QlOhWEQ8ezB8G3oD*6eQ3#2!RZF{Nl7^;#oSZT`>{vv3&mqGj$m^FY=# zeO3y@Fntf~C{%X($kkC=Tf`&ZF}w0vX^WYu))q5lpQU&)wPl|*vZk0eZeN1kuz&jx z^|$^tduSFD1%UsIYdq^K1Bt-ZwyY3SOTV&=w)L3pB~ zso2|TQg%1e19ff_YHeBVr4Y@ZP=Ca}&6h&c!(2f9g?yx%J%rfpN?UmSIPnEV4{?%s zl0uOrMMJTyY)oNxDa~SL$P0{Ojbh}(eJ#crLH3-jJ&sU#Bz>h!D1B?5gM^VEQdAcw zt8EI8<&^Skg+f5)DiqrJt=4neQlMR`5Ij^V!s%2gU#A{xl&6_qOEH?`9)Ap3(#W?G zatCfr$bv^%Fc-Hc1b5_rcG!(O#Jvki@w(Dg|B$#IH#20x-pltKsY}tsHoHKGf7Y2k zD^j%Hwo>|x$ZJz(UaG4(Gv3ykh1GlWmV6hc#~&+sGO zxKrD50!!5bf2Pkpg|Pl}FLqyP{tHKskmo?ry7F0J`rO#`h2yx|n*H3U{yXoKbELOG zyJyjip3@qq`IvU*$*FXooE)2Bj~0*Tqi;1YY;}XX8?;erHV~H<*MBfI8`(@@7TXMp zYrLvAgXwd_BiMwZKhUt>;=D}si!3;tn)z-7B*m}1kcaWHYJZ534}Mx}{ZGwRvD&$* z#zQO6PFFMvZ8g=dTZ%@idhqK>uEzbvTcAl9%GgD29 zMSKhPL3@ehQ8Hi z%a?dJ_}>GLxee63uo*@+-x|K(DcxlYLI4FOk=7Qh(#k> zXKQWIm}&)DTg*H(3bUr#$mR=OuI&bH)Vd2a#a4SMw6|F_*Tt%7hAhIl80X#4uHZgcX!ptO@9I`k)J)KFDp6<50XOggLlgUhQAeo7inOq=- za36Auiin7cuDUMbg%J>0*M+DoDzb=zg1>bS_s6ohUI=FY|9|RN-Su9**Y#e#1_E^b zx~A$?egE(K>Z`Agch3HaMB;kHLk#gSPkl1rnB|O;UZy7ga~zzPj^%0@dCSOh@@G`( zSg&H3XBi`DnFd9bR^=&WdHJfx4M71hjlVa zzG^CM&N44wwSP?I!944Zc2Yka>lywEabS9D-{^*kmm#~rA_9_lE#iw1>E3;a`?b@y z9ol}-9tZ7tAh8@aV4QIs{xB2~D^ALMJGO1`O!)xZtseG4j`5v{wf(@X#Gzstmm~)u zC8${WAPz&z?OV&^Zv}27m2*YR?`5NW8Cuq%Ndn9T`4> zx*15P5LwsYK*W}b$y0V9RYa-9{s6M@0kD{W#0<$|rc*-sqK1`-49`?rla@%Rx?F@p z>O&ovXUfQ)l6hIrO@H|M_S`Zx*sAY5jo&&;vC>)G~p#3Q_{*4 zGUk&`naV@bt7U$4YQ4;x=vW^&N?B6RW~rnNlS;k9&`KIB zC*F=U=|o~>lzr%}<1uMuy`&FHr5u?8Ds(&ZzdyGSN1xiG>MrY< z%J%Jp(`!k?GV+r_((qW)FyE%36&f~V{`qL19ZI|C3u*}RjTaKld4JebA766am+hDK zd4CeCwCWqOo~fi^zD+|bxI^1MHGAw$zH!?AiNvqjF$gnjN&WBPIJuH*=6^Xf^-3o* zOQHFF0L{x4n!g0l?AM^#@kjv8>;_HZ(EyrPD>RP>&>W=DJP|;1K!aw7v=4^9I2VvM zXxm3vqHQ1P5K7wipPDh+<4bW0C)=KXYJY}k&(FGVwAZhiP1@_b?i=m>kFZI5f2g}g zqP>5v8Kr%Gp*u(W{Htb@=hOMAa%YmK=zJK<8?M6XDsM9>q$D9Ziy3P7ns{FO3LNpC zkBsW|YnG|UNgOk(WjK_A_<$G>8A!r2>;n<|5GnZCPVa;cykC4b(tQ!tzITVCP92-^Y^xntKD*&`T-9)zR8M%N^CixaP51D2GVf^0K-1E!NL;eyl_b(pmpROM z0x{YJ85Yn5)$n2*j7)FXuuUx_;ddOV_c|49(b)K=sm=u|Up22$c6oWqV@XNj5!M27BNqr?0)6xuj8 zUL9YvVbs_V>R29KA8PD@&M4gBXY3D$&Zu8F+mLGesOSjik6(WXUPjFXm4C6Fg34$$ zL1mm>1eI~n3M%7>6;#GSD5$g%DD5XlAXo2fr_nKMWX7JXkr~ZjBQx5+MrQ1Q8kwsH z=y_|Vr|NquwpvazTzD^raR}oO=SGErk2H*qtf>x9teG6Cj*M;^J82vT)8iv+Cbv~L ztz9!ts?FFA;Qmkj#$_M!oPR;D0!MQG7$P~UF^S`#C^wIOX%HrCxmO4En0fM%Wnp#M<8meI+yk} z>#JkqQ==zMj!lU(;xFPcB`)j?WFR}|1TZ9HZl~lTfNVW{4!Bv)5osh&ro_J6qtt6q zrYp5=!|=pGf--#wNsu_bSC|Y~kMK2+wzY zCLQlg!uE`6Z%4_`0aiv6PSP=z9z@w{xEy}LcbXZJh<%7J(r?73Bq~Ho8c2mxB%mT90&pLROyC*@K`(uqE*9)KzZNPi+ z^6bi#I%kr79v zS`5T0Co*5B68B_y_DZVrP}Ac?=H>lbajQiVeHIlnoya`o*6++qnyo04fqBVD+$fSn z_n_i2Co(UI#2p(+f51)80|EVxglux{?z(I|3KI9D zhLL&7FEb+vZb$w)#Cs5zBl`Io6{W&E5N}2lVt=SqoeR;2AktdoBDEDA&#^?gJMgCi zd_T$;L(V;jJ;>|rpNUc#m?uT;j=9wLJ+c}p^MZ#<6F(kVS9^SUxMmJt9V1aZnz}zGO3ZcdWO;;L$5G0Un6O1 z)*4AuyVgjW8n#9vEXySpAC2L6WabTztmD&ZwQtDqXtOf`uuY6}5R*=xhKd?Fj){>r z`ZJEH3kRHE`R`EAwepWhdF`9-jch#-M}J=I&etMh=^Js=BC*8*pF{1WJ z8f1kI)Pv=c--kldT@sS++>mtd4@tKrBpsh+2-99(>JCF!4N12$BwZmSU4KZrw}+%# zWS~Q}Z{R{P1c#)b(7&FGDcf*^H#sk}*>L|nXXVRy%p1?xJyhZl4%9$B|!blrlH1zp1nI+iS1yJWtY*GbDT zeBhRAI5{zJmR`AL^XR&X$qn;1Z+}_0H1Wh!PwkPX9fI8F52rf@c5zKR>Uu9CCsKZ? z3rRglYEHP$iop3Gh@4CrNK?znzw80&Rr^q)6LuiwEL&K%%qh_l6{=RAfdfnEPJ8H? z_R#a~p%(y3s}=J2;~Rpk#7mi$R=OO}@Intqr%TPQMCSE~ol6!k?CkECzkg`Sl0^#_ z%%9iU-MwT<=i>R@UGo=rc8E=lgs7?7mPdHtgwG%iuaHDB{VbxT6%K;}QJ_7PR|`i0 z$`RC?%BAw@OrI?GrfPfDx}#BH*WS6-$a&F_w>LWd7$AKN^`z1wP}FAur8u!Pn$VsG z_H96NUdiNBy}3-I+?{jDRew}2p@P%ivcX)=jc6RXu*WsZSD}JaaC+O?^%LWx$?Q-v zocuc)COZKb4YtgJ%Ryi0pU@`+~2^gK$w9vU*QhR=nAufrzW z5B~Xh@Gk&v9v2ku)%yXnU{Lt_=;LOnwAiE4Vm%F;{obfE(X*g)9e>>bC;ku}t+@Fg zL!%#;nk%xO02;r9Yr0lPH5Gp~6!!!F8OVcBpD&aKQn_ThFi_0qQk87*LMFMr6$6ub zH5`Tl!+0U&A)G_b^MSuU9Q=U*c+w1~od~BEYM6rpmIXJP$oe=}php76I&qOeDX(YA zmcZkD8ho5lEYZ86uzw%=?M5^eBbr>)(2D{?KLxe7>OgY^o-F!?An1vJayCy5R(JyG z8-lQFfTa~{e(DQFVGw%QzP)Q5Xy?3+s8UDlp`(DZF_C4SY~Rvxd+0rY^4e-DmrE8? zmE~pCRO(a|)ImQ41N=PWPernp4lvti82t#926ra>*BA+Y@P8l2gWn4{*$2-Z&4m91 zm8L<_41b@4Pp3*vw>NA&`KaN36wq!KzWq}`$*y^$*Jqpv!Tq6vQ7e&#g8 zaE?kiRaV2#BP$Z*!4HO0f)?>A@sK_A7l7JNix1li{!c*pL?Gw#0qhsj82aLtlWJX= z53(6QnireNZ-2mq0*njrU*PJXb%m!;5Mt&y0K2EWj~|zS4FTT;&+~(q&2YAiaIfMO zGn5ee#_>Li4~B!^2FZSKK6sB`v#Uas9t2+*55CF_r`agoYqlz&EDN5tf_#+^j^o#? z$wAOl?4i?u@(KlqS#nvnf~;={63vHHxw>>D$2a)`QGXbO-2$w6ZjoNr<>O^r9qG+B ze8k^}p7O(Q3xTKh#Cv<1kDuaa(_g3BLr=4Z^4TIwh2`TmrA#W9En_l9yM04YSD$My z`#gK-`Swsg(1V{%?_OvRy~rN=0ek4h_Rvf0p_kf2FSCd8K`8ue`t=GkRL)hu2dQ_y zxY8+WzJD?t1AaewnM?`NjBMMEl9Iu=MvSNv5tKku|U_$sdq#yiOW;i>FaIfTl2b318tS<60ma3GR59;Ut zG&Va3`CksQOFY!6%98mYD%4N05@C>=iJiq_MBo*fOTsC!N* zd#P}V_{KxPbwe!0`qHhe%U-aZ!j;e zp#4pBj(k$ttzo&C$@EqGOQ}Ag<#Ew@9tobksdA=TgmQ(!3frEk7V_0{Y9O<$gnvKP znn=)z*MJOTh-7kdI|BLIGdI=2{9qZHmR42Mxk7qHb!DNnB2|Jd`UvD*b5wqu~%q(Fnu@XpiXXAJv3uE%h19VirTq&hQmz6SAh${@1 z(iw4A@y$?{sE`f~O7b4eXN${MSAWZycMN9mq*tlh_l`2fEX(#+8;>UK0&jyYyo%E= zYJ3JA<%iPgY@wRV4AqSBb1=lNGSc3gOqUhVVn;<6Yt$tkjIQYG0HaYW*;UzWwmJa! z0`~#jta*TmK8b(Tqz~6}Gv-N~*V7#=`-9g_Yu2NS;*V7v7!Ll>!+!dYs zF)r>krhS80HHw8&r7Ci@5h#pbm$W;&B#y$m)dTwSd(qh*3byIh&@rE(i!4~7d&u{} z)!#$-ekE%-LQcT*#aILqJ%2s54+|AjrAk%|?d91_DOE}@7d?0iXb78}n3$?=Tr)W} zCOrjY*ioX8^E0_SuvMNiw#3HmB+k`nLV5DSAS(O?<)_5Zh7^o zc6qjcd9}9WRIo~jsc;rJp`>P)IQ(%8E0HuuZ7ctf6vgy#i z0_agq<>dh%;JlIrb=5FjleVVB_gbRUmf-UsnPJ_kWts0y3DZWQ*9s6*HxB zA)gWkKNSKZ4kL1`tAU1N4iOz#&Gu2F)4-8)qjs4!NJBb%x>P8atG(RyrAn)-g<>T; zkUc?6U_#CJMOTwM3iM7f)$e!`ZCELDrOxJygB8K|bnvBK;pZrRnzz9=n;(KP>Ah~g z?~lxv6D!W+3V+zQijhHngL&-T@|ko6`(sSgQt2I$nX}6VGNt}Z6+6uAP%4MjO0mKj ztzp=k?aP*gS$9Te$mXYd%Y{-eTpUm6RhMC4$wpTz1x4F4BQu}PK9d8dwogk}s@%g> zd&N0NzR*`&RnCpf+dV5d=QP;-V5+P-%cF2(sE{}x+<;OazG{GLwKK*I}AKK zhcSV*FDtgJL!cqaKq`;j8#49qWIb17bS?Hd^lk<|$dpc%(>NcrVTCo$#Y&!RrUtUL z2dk)6v-cUix^0F&Qa8@{j^)z16;(Xojk6%J1tV7N}~5g!Ln4jzSF~D!pq=zlzkWR5#=Se2>^YXLAVT5Om=+T zFeppGNFkxpoRZ}Zd*~T}lAS&;O6F2uuh5f%UK#^?Sq$vuz_w)YUC}B=SGJ1L2V2F6|5%~6Pscg`P$r4Xj9K>zEJp&< zAb*ek5V&x5%I0ydo)>R5@j{<-6;0)!=7YF>7~I4|$~ZN%R_P-xY1q}RQ01d7QRSMJ zaQRp(8gy+-RQY&IxLnr~E}v)#m+QgBa!L9$p!iwrPHwP9eg;S`gV}tRr%shZIjs&) zH6JuoKMNL?E&e-u=ojpve{T={BB1;v2!Hl*wKLabUnx7p9aw+;-i~TBnGdqvKiI2s zBcS+Mc*~anYss2;Q>z$#xmAq5(kez@Z55-hfziP=j}g~rk~oK+i#<0ken{u=ra{hf zbL&`rqgBn@)hb5cY+d7SX_Zd5f>G;ExNZYC{456Sw*a%8VQ;sGe%o5#@7fE!(|;a% zm$kmTt&!ieSNHqYLVsY5JDT$s(S=nLAkYPD}DQXnOh; znEQCC_d*h{2B872@Mqvy7X7-+lh(+m{MA+U3Ti3eX~Zwe-F3;9AJDUe&Io_C&1>I% z?V&U6p%>djF9FofAuhESdKsX+B7Y{&U}c|q(;&CF9C&(nXGd~i`3YW>JPtx%0W|Bh z8%!qPl>pk=>VrU9I^$K=LO%o~eu-rWvT5El$VMLqkUc~!gBK@{gYX}TiN87~{-eON zs}@Dp{F<1OKL)&IS6}NW9er~CxV_Np{GnkMlTQGOpVejA>tkR)3G7VBEq@Np$5-5v zg;Fvz(AgRN1%pq451)+gs0HMFLy(VuIv)Inc<|4}gMT(2{O{tyKNk=F`FQXz0M5&> z;;r+(Y&nga<|T2v(69f4y8rLNg>{kNYx$xr@E>e}Hv)*C)j+)|2KLJ_uwRLR{b~&C z*J5D54s4uN^X8cNZ^Xp!ihqg!Ch(SP-z|XJ?X7OL7kZmL^jr4O+wGy>2GnZKxdT9& zH~PuuKLPnB&K=r?*i=3_n8!1l>Fly>rZ35-Sfk&}`mVh({@H0$^zG6Q{Dp?u^ZbiH z6b7n?patU|d+3h<<@cPkcyRy^p(Ud?`N!a3H@@z*M*hUU!S~ri|9{n5-}~)_{uEHF zfjNO^ge<4bjrP#@+CwMpp_>4;^T8>5q0{!z&GyhO_Ry_>+F5Cvz0gwucefl5|~I_2&p`S+q8b9`kv|GMuj`)Qd)VF?;^mtUt2Rh zH4z3MS93)xK6goWU4I?(I_E{c62;GL@LpF9oy?6*jV3cA_18;KqXm}@lv+N{#usBc zyOwq>Sh}z?natyKXrMP!N_H+jI@yJPG@wwsvM!c%Stp!~)N)QcB_g!P5s73gVuW#{ zxWP6cE%n`Q4?P`F4!O~-8z&~Gsw3gN7XTUFkBp_-X8}st?|)faotU25I6WnKdQ(>y zE1&wAzkoEq{VHD=j${NP}CDF@-R>jTUygq>@Y! zZ7=&Ad+53L(DUq}=L5=1t9aMa`5cMMM9M*swSO+K7k;5V^dbkkpcr_5&RKvvmr49< zNbi34PL%UaM}CWkFUt+^?LF>^c*193Du~hT`^1AE063f88TjNu zGk>3ZNi6hB9o4%!tc1TNUds=O2cH!WerP=S;egwF?CX8e>K)5wot})R`Vp~&&vt}& z+WE{I0LRb$oK4t<&xr#*5@5Z@!is(?&><&@E}wt!@KLPT;tV8%SJ*SnuS)T5sds_@ z77BFbVaIx)QHdgz8g92ne%l&(hc)sat$&fuqW4bh2-Sm=#F$MN{dSkgDeLQer5Vz38| zw&_^HH^&pcB_4chJovVF@a^&7rve_w=S~COex71J`xLkwS!);*v4`nb;vM|5YmO^03Yh+bd={-h(??j-9|vCyB6g}xyc`ZJ-?Z}d5_ z{%R=nBRDPhLw_w6`s=aKHv=7Jvm2!QH*D}d4bR*aU3=xU{1%`?oMHtje`|E%4b2m` z#S{Lmc<>*`(*53e!haeM{(oRR_(SpFzlaC_r2{wI!wK@a{|4N=5eP#6HlFVP7Z3hB zU){ZTfv9_z&m{S$aKi5ce5nuo#jsk4v3_>|d_Ta$Omso`{T=a!ZV!V0wIkki1uzJI zKur8g9QB(#A`OZ}kocFz6#p_uyruOH2At|O-<1R@|4K)Al(zRYCV%=@0Up_Nltt>b z7UCPy6SHi@EBHf!$1jBKj`JCHuLG2~BbxtfWAfjPfw#`!ohdJ?0)d&p_(3q zK0coC&&7j(K0N$nAAid|77l(bEH~~0e;xWfM7LiZ06#n)d=B8y-!ohkw-U8M!jFt6 z{EhM8Z;A(h3*aznVr90kvb@^#q(7+rZ;hwHQGkb;>w?4|9TPtnc>B(u7YluiFWNg# z2erHdX#ARe-jEFof_KJ)cg2Ixj|X26556!Syc_UvK6A0pWPf*NDD-v=OF#5kvCwCS zMqlou`7eMDGgdc4_p5yH{}W33WB~dxpz$;Ldl3A0@!DV&^;E+*P|)02i6n|tYS?9s{H8VX79b5~4pR44d+2SU^#x%f(bKR}xA_d+rLVG6 z&Y^-gK%Ljys(*MYI`XF+^5DUjb%ywkdgqcQ^BbDEb1o%%8g=)hB8t5g{r@*2RFV25 zptPj;@1TL#`&~LKg6XLA)p`7%vcAEE>}in91kAFviyWcubE*dG;Fow81IbylyevJa z(yRF(g_lHBSmC)eJXAQfV&G2Py9Bz)hS;UABDBjIIe*_8nRbv}uK%p2C?)PHv5jn# z8V0Oo=B<$<4l?-tiy+&MI>_jsDqRmGxf(~Ba0JCdy+E-n!rSZ0yT2KD{cC|9m>3yd zUmn{qH?@9hbaGAd%|oM;W9uhQdW#~-m33J_>9l2F7*QRCZ+|TG@>u9>EcEfQ&?{o0 zbFt6^p?}cmq#0ZZ)7#dr$7d3f*`Z{H9mhvo8o`&OQO#T{NM>+7eeX2>;r8^Vv9TKe zCmP?IO8C{+sA_+o?fL*KxQBKt3>6?qwZ#jGgp<~8{MSwXlXK?zTJS6-&km5~{D<8# z&wrmL^Be>+&#%(RJht^B&;K+!)5*gp_Z+O`4}U@)^L*lQlv6hU11M8Q4kYrLhcbRq zfqC*e3h4v*jL#(g*DJD#Gx&#!%1M`m5etN)HRXXh!Oc`F5aLxHY-n>m#7C%92yNUP zV$nDnuQ^lxvtt*9W)H1#=H?&oWolNPA4PEK!T*QlD$vB5nQE$QkR!ZOxZQS%)gWd) zxPOUg&O?*J|G(WFqUq6!0uDfzSgmoxUW!(THS;j{oS8=}C^S998n2F9vlPyBE+dQ6 zs%SjSQp^;bm0fz6X9rHkE{Ivm(?ZdTZg^T0dzP4*rwehiqWYLN)HT($8CP={uKg}| zF#CtbRGVQmJuC_||FGa;hG?_42D#X4Ab;+VTox;O3(Tr(Jj8ji+PB)?LF?={c*Tl) zXDSb^Efxh9lcVMiW_y?wW4l~dU+XQxP1Y3!>&Ix-ul<O=-T6qEh&`_9ic+scUPBnSUE62I29FrebfWN!is*57fC$sI}!pFNJ9SxFYsV zz7(1s<^t+3o?{flijfcV zwHRjv*>kq`I9%b8^p!HM^sRXg5=MSNQC*y@&Qo}-pp;)L6aq3^q0r86wSS(|mICck zh2Wt|5l*K{`8xF&R-R^hCB!s;q1W#a_bw#G ztIAirD{(DuX2^m)m+U@5m!gSnb%7B7v@?BLq-ecwQJ_t1nx-3fzdn(8HM&rC)Zz2b ze4VQ+Z@B2Hm%0l6c*9$I5`QK1?dcBY+`F#%V8p)V7o5c90V!7anA%a7gTTdfwzojD zhj}k`pOJ^g)W6_#FuI$}5IzZ02u-Oz!;g65PHoHES*jNJGkxYMg!P|!v3pDNpF4Vl zJO_%_l}`)PXU3+_9mmzy>}N*x-+8B;BfJILJ&RWKoYpwa$Fws~PJg9)<>c53d$f5x z8-1&JVY?gL-Jp$1vw^s@xQ40O$Yu(&*j7+n_frkAS=VhW_WWiz7 z%y%OoDSqXJJcy4~`$L3$@Y7oBe`>CZ)y_>d9$JBRx}s5NtEqO~QZ!Q4gI`Z_HSRCo z0!>Pe<3r`4QPc~BIDb(877y$Rh%7in7Dy9*g{@<)q}bm}QD-V4T7l*c+J){l5D-%J zKq;59hFVIBkk>y{h^D%^Kx>=^v6!~ZOf@MM1)3LXeyTCm6f+lSJX%p;zSGS#>cPiE{DUa0j;gw76}9NRn$eXGruFYs>gzXu$18>o3{R|*rjb0HHcQA>7g;zAg!>N##Ad1i$=80*4m;m)e5w>n0aUvW=*w`%@?{{+YQ{P zbr)!go#>^|+JBPqQq1)hXb`jN8bmA5G}S1cPXz-;n`*5+!3)uPUxR4Vg?70^yPbcl zlp^{R2frcLXah*oBe+0H+3MZX@ouk6tmYpVcqz1Zy|lANZOmyVjPvA@ZT%X3JV)uEkpuLDQN;FM1DJ?7be*gdg|Fc^tHU&h?Sr`BS diff --git a/1ano/isd/quartus-projects/MuxDemo/db/MuxDemo.rtlv_sg.cdb b/1ano/isd/quartus-projects/MuxDemo/db/MuxDemo.rtlv_sg.cdb index ce2f1dc2ea54bfe4eb41a82dfcea14ad8861aa37..8f44d08252728c8d71f0c1a73840af734986f2bc 100644 GIT binary patch delta 1419 zcmV;61$6rU4(tw)M}M&>000000062500000008X*0000000000003+U00000004La z?3ufZ-Bl3Be;eZiQSlMtA|gT2MhNaEZhRpz#A1si%Vx6}3ojN5K2Y$Dm83{vVPTQd z!qURR(m%k$!opI}RDz0Hidy74pEGBE=i%>lj=K?(JIma2et$D(zVkS*-@UuHX`0)F zp&R}qI}rb|CwjQm_m`y2<@SuOixzUN%G5eoJ+WFAZtZ#%O>;oTT_?QHvpxSdpU+`? zzEAY9BLfz_BPRqU;9V32d_ZJqE=eD@=j%lVJ2E_bcCF%RWDkjCC)pg4A-G0lxGj8G z_zTg|5=Y)5i+|FNC;}nI+%0^q@aY#`C46X4vXzlAgI&hRz40N&If|Dff(gc}YAK%; z#w)FHzR-p|llyuoY_VzZS`xDJ8nP|+8mbIZOryEl?0@Qn6q!EUjxNr1tu-yq^+;>F zx9w?XG*>o57;1gmQPW4;(IvSO;rdG5a#Gu{o=Rp`ZR-}4+J+TXo^RcfQomtMeJ>|i zTl9e``c=je<5@R&sk!7PQ-t%MJOY*Dm@;Ovj|xK%3jZwQZjtuH+wZN;RvvrlwKv~d zIqXmxf`2-mu{^fKDfjN{Z@j{bR9>htw}g?q^;5RnZf_RXQMP`m;(#+g@t^X|6Syu=k-b_YuA-xYQ{*?+9W{xze-D{uF_(Q^es;l=9GcKrG&;KscqRw%V7S&i(Lw`*9e|L)Cz3xXXxjW`B3vUW@ z211;@_dm|(vheX^vlCA}Gdp!=Yvat;Y~$4C)@0Mrc|at*uG1xga*@y?>gE-n@BH+r&2Kq6+*a{V6v1vZ^VlA+|1D z$E1wEQMlBm#?SuC==N9E&wYHX(N(>ON%HBlPd!HRrpV*9Ehw&yBhyIO0_82~XiYr<33fq$)B zY+z~!4ecMpWxRcn#73sKcc0o9Q*2@yvWa8+Jz6_MvBfs{vRKupY2yg=J2Vo;Pqi^z z#@{Y%&(al2{XBmJ?VZB@?PvXD^73<15O7_Vq__c)n-q<@$nd(01A8+2oscGf6rup@_0J?0OEnCaZ7n4ft} z?#Mj9K06TlbjUf^z*)tZ`|_c3(e1;9~ojCXKZ)O4G5Un^#gGDmOg1F@fIdDKg zK;V#okbr=YKY)OMfDj2BAprtH1Oy)H>*{)a@ZOv0X4gpe)PJg``&D)Q>gemu?Cz#% z?h=M>`H$>C{Ku~7;ZEOQkT#dwGrBIC%e5-A*1_tD)uM1~*Q;oneKPJQ;XR)1`M1er z0^9RLqK6$Bu;?8*At(XwvMAtvB13aU`mjCUEHc=U;n}lm6;C63OeEXM=7n&x@_!atEVsUmv*&mmx@r1&y!FM*(Pba2)xqRcHO_&mt_eTk`47>9#j~~2 zZEg@=kiW$bc+%DKjBgJk5Ms>z!Z!+^+rlUXBQ6FkV(m z`K&NrYK`-SHsqPyN1?E#rsp?XuO%TnuOZt~ucJ_9kbh#DnybyOPDqjIPVCqRR8FTT<#b ztf}wi1Z#^vFh#%0xLZa4#<{KW*4fuKwPFj+6*sA(oc!dGs36CcF_V5+7!!CC=UYGrlC-8n@Xh_Sa~M*P0qF1Br(lut>wzM&yY1^c`?AZ^T^82QI1BoKs~Zw6 zfPZve6kY%vshbNVkduZwg8>DxOCk{`$Y@gJWb7Q-l`}4=ThIS4(!9=bk>=HyS3`eP z`G0qc-@Wd~Ex9`;FAJ{;a|S}3y$?Ul=c4e@BjaPwy)Zs;YJK(8`grxk+WL6?^y>3_ zRF#WGnh`hVPmGYtO{+5dG$}S;N`GYMy+R{2t&P^!q}W^%n~Pq}MQ`4`sBL1K z3sD9BlKw0<__C@gs3Ep4T*su0=SzC2O^u(uSIN)S%ICprET3y(e)!Qzrp4`TS|btn z?w=nco8!{Ay`X!C;+b)Uwu@h^(f*!EZCQxn@)6@Rgv zsfg`*tud9Fn(4W!)5&4!uBj(q14aWLul_6_HRF<heCjcOD8x+XKE?dZV{%94`Ssa>(5FMrxdz@NE{WieUSEFGFgfeK72fE;e96h| zBlR~F=O=>4{o56%-5ZKJJLWHfNACKskkqbky-dsfJHfjo+!f2ambPnCe}8?orY0AC zj9v8{!W^pSM}kQ`yK>hWTd--ILzv{=x0d!BTu1IhnBNE{Ye~)1ST0mz^4<16vDG|N z%#}(^&Mb28iu2hrOox%y1b`4{g|0nYbq!fSDQ1crboBak$k|7R7{?jg9dQE!CU$+8 ZjM^IjrI*^-zGcWi00030|FfzE!T|!p;9~#) diff --git a/1ano/isd/quartus-projects/MuxDemo/db/MuxDemo.smart_action.txt b/1ano/isd/quartus-projects/MuxDemo/db/MuxDemo.smart_action.txt index c8e8a13..11b531f 100644 --- a/1ano/isd/quartus-projects/MuxDemo/db/MuxDemo.smart_action.txt +++ b/1ano/isd/quartus-projects/MuxDemo/db/MuxDemo.smart_action.txt @@ -1 +1 @@ -DONE +SOURCE diff --git a/1ano/isd/quartus-projects/MuxDemo/db/MuxDemo.sta.qmsg b/1ano/isd/quartus-projects/MuxDemo/db/MuxDemo.sta.qmsg index 18fc132..93f890b 100644 --- a/1ano/isd/quartus-projects/MuxDemo/db/MuxDemo.sta.qmsg +++ b/1ano/isd/quartus-projects/MuxDemo/db/MuxDemo.sta.qmsg @@ -1,49 +1,49 @@ -{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Design Software" 0 -1 1669918371834 ""} -{ "Info" "IQEXE_START_BANNER_PRODUCT" "Timing Analyzer Quartus Prime " "Running Quartus Prime Timing Analyzer" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 20.1.1 Build 720 11/11/2020 SJ Lite Edition " "Version 20.1.1 Build 720 11/11/2020 SJ Lite Edition" { } { } 0 0 "%1!s!" 0 0 "Design Software" 0 -1 1669918371834 ""} { "Info" "IQEXE_START_BANNER_TIME" "Thu Dec 1 18:12:51 2022 " "Processing started: Thu Dec 1 18:12:51 2022" { } { } 0 0 "Processing started: %1!s!" 0 0 "Design Software" 0 -1 1669918371834 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Timing Analyzer" 0 -1 1669918371834 ""} -{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_sta MuxDemo -c MuxDemo " "Command: quartus_sta MuxDemo -c MuxDemo" { } { } 0 0 "Command: %1!s!" 0 0 "Timing Analyzer" 0 -1 1669918371834 ""} -{ "Info" "0" "" "qsta_default_script.tcl version: #1" { } { } 0 0 "qsta_default_script.tcl version: #1" 0 0 "Timing Analyzer" 0 0 1669918371854 ""} -{ "Warning" "WQCU_PARALLEL_USER_SHOULD_SPECIFY_NUM_PROC" "" "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." { } { } 0 18236 "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." 0 0 "Timing Analyzer" 0 -1 1669918371893 ""} -{ "Info" "IQCU_PARALLEL_AUTODETECT_MULTIPLE_PROCESSORS" "4 4 " "Parallel compilation is enabled and will use 4 of the 4 processors detected" { } { } 0 20030 "Parallel compilation is enabled and will use %1!i! of the %2!i! processors detected" 0 0 "Timing Analyzer" 0 -1 1669918371893 ""} -{ "Info" "ICUT_CUT_DEFAULT_OPERATING_CONDITION" "High junction temperature 85 " "High junction temperature operating condition is not set. Assuming a default value of '85'." { } { } 0 21076 "%1!s! operating condition is not set. Assuming a default value of '%2!s!'." 0 0 "Timing Analyzer" 0 -1 1669918371932 ""} -{ "Info" "ICUT_CUT_DEFAULT_OPERATING_CONDITION" "Low junction temperature 0 " "Low junction temperature operating condition is not set. Assuming a default value of '0'." { } { } 0 21076 "%1!s! operating condition is not set. Assuming a default value of '%2!s!'." 0 0 "Timing Analyzer" 0 -1 1669918371932 ""} -{ "Critical Warning" "WSTA_SDC_NOT_FOUND" "MuxDemo.sdc " "Synopsys Design Constraints File file not found: 'MuxDemo.sdc'. A Synopsys Design Constraints File is required by the Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design." { } { } 1 332012 "Synopsys Design Constraints File file not found: '%1!s!'. A Synopsys Design Constraints File is required by the Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design." 0 0 "Timing Analyzer" 0 -1 1669918372030 ""} -{ "Info" "ISTA_NO_CLOCK_FOUND_DERIVING" "base clocks \"derive_clocks -period 1.0\" " "No user constrained base clocks found in the design. Calling \"derive_clocks -period 1.0\"" { } { } 0 332142 "No user constrained %1!s! found in the design. Calling %2!s!" 0 0 "Timing Analyzer" 0 -1 1669918372031 ""} -{ "Info" "ISTA_DERIVE_CLOCKS_FOUND_NO_CLOCKS" "" "The command derive_clocks did not find any clocks to derive. No clocks were created or changed." { } { } 0 332096 "The command derive_clocks did not find any clocks to derive. No clocks were created or changed." 0 0 "Timing Analyzer" 0 -1 1669918372031 ""} -{ "Warning" "WSTA_NO_CLOCKS_DEFINED" "" "No clocks defined in design." { } { } 0 332068 "No clocks defined in design." 0 0 "Timing Analyzer" 0 -1 1669918372031 ""} -{ "Info" "ISTA_NO_CLOCK_UNCERTAINTY_FOUND_DERIVING" "\"derive_clock_uncertainty\" " "No user constrained clock uncertainty found in the design. Calling \"derive_clock_uncertainty\"" { } { } 0 332143 "No user constrained clock uncertainty found in the design. Calling %1!s!" 0 0 "Timing Analyzer" 0 -1 1669918372031 ""} -{ "Info" "ISTA_NO_UNCERTAINTY_FOUND" "" "The derive_clock_uncertainty command did not apply clock uncertainty to any clock-to-clock transfers." { } { } 0 332154 "The derive_clock_uncertainty command did not apply clock uncertainty to any clock-to-clock transfers." 0 0 "Timing Analyzer" 0 -1 1669918372031 ""} -{ "Info" "0" "" "Found TIMING_ANALYZER_REPORT_SCRIPT_INCLUDE_DEFAULT_ANALYSIS = ON" { } { } 0 0 "Found TIMING_ANALYZER_REPORT_SCRIPT_INCLUDE_DEFAULT_ANALYSIS = ON" 0 0 "Timing Analyzer" 0 0 1669918372031 ""} -{ "Info" "ISTA_NO_CLOCKS_TO_REPORT" "" "No clocks to report" { } { } 0 332159 "No clocks to report" 0 0 "Timing Analyzer" 0 -1 1669918372033 ""} -{ "Info" "0" "" "Analyzing Slow 1200mV 85C Model" { } { } 0 0 "Analyzing Slow 1200mV 85C Model" 0 0 "Timing Analyzer" 0 0 1669918372034 ""} -{ "Info" "ISTA_NO_PATHS_TO_REPORT" "fmax " "No fmax paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Timing Analyzer" 0 -1 1669918372034 ""} -{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Setup " "No Setup paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Timing Analyzer" 0 -1 1669918372037 ""} -{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Hold " "No Hold paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Timing Analyzer" 0 -1 1669918372037 ""} -{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Recovery " "No Recovery paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Timing Analyzer" 0 -1 1669918372037 ""} -{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Removal " "No Removal paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Timing Analyzer" 0 -1 1669918372038 ""} -{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Minimum Pulse Width " "No Minimum Pulse Width paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Timing Analyzer" 0 -1 1669918372038 ""} -{ "Info" "0" "" "Analyzing Slow 1200mV 0C Model" { } { } 0 0 "Analyzing Slow 1200mV 0C Model" 0 0 "Timing Analyzer" 0 0 1669918372039 ""} -{ "Info" "ITAPI_TAPI_STARTED" "" "Started post-fitting delay annotation" { } { } 0 334003 "Started post-fitting delay annotation" 0 0 "Timing Analyzer" 0 -1 1669918372052 ""} -{ "Info" "ITAPI_TAPI_COMPLETED" "" "Delay annotation completed successfully" { } { } 0 334004 "Delay annotation completed successfully" 0 0 "Timing Analyzer" 0 -1 1669918372261 ""} -{ "Info" "ISTA_NO_CLOCK_FOUND_DERIVING" "base clocks \"derive_clocks -period 1.0\" " "No user constrained base clocks found in the design. Calling \"derive_clocks -period 1.0\"" { } { } 0 332142 "No user constrained %1!s! found in the design. Calling %2!s!" 0 0 "Timing Analyzer" 0 -1 1669918372272 ""} -{ "Info" "ISTA_DERIVE_CLOCKS_FOUND_NO_CLOCKS" "" "The command derive_clocks did not find any clocks to derive. No clocks were created or changed." { } { } 0 332096 "The command derive_clocks did not find any clocks to derive. No clocks were created or changed." 0 0 "Timing Analyzer" 0 -1 1669918372272 ""} -{ "Warning" "WSTA_NO_CLOCKS_DEFINED" "" "No clocks defined in design." { } { } 0 332068 "No clocks defined in design." 0 0 "Timing Analyzer" 0 -1 1669918372272 ""} -{ "Info" "ISTA_NO_UNCERTAINTY_FOUND" "" "The derive_clock_uncertainty command did not apply clock uncertainty to any clock-to-clock transfers." { } { } 0 332154 "The derive_clock_uncertainty command did not apply clock uncertainty to any clock-to-clock transfers." 0 0 "Timing Analyzer" 0 -1 1669918372272 ""} -{ "Info" "ISTA_NO_PATHS_TO_REPORT" "fmax " "No fmax paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Timing Analyzer" 0 -1 1669918372272 ""} -{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Setup " "No Setup paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Timing Analyzer" 0 -1 1669918372273 ""} -{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Hold " "No Hold paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Timing Analyzer" 0 -1 1669918372273 ""} -{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Recovery " "No Recovery paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Timing Analyzer" 0 -1 1669918372274 ""} -{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Removal " "No Removal paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Timing Analyzer" 0 -1 1669918372274 ""} -{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Minimum Pulse Width " "No Minimum Pulse Width paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Timing Analyzer" 0 -1 1669918372274 ""} -{ "Info" "0" "" "Analyzing Fast 1200mV 0C Model" { } { } 0 0 "Analyzing Fast 1200mV 0C Model" 0 0 "Timing Analyzer" 0 0 1669918372275 ""} -{ "Info" "ISTA_NO_CLOCK_FOUND_DERIVING" "base clocks \"derive_clocks -period 1.0\" " "No user constrained base clocks found in the design. Calling \"derive_clocks -period 1.0\"" { } { } 0 332142 "No user constrained %1!s! found in the design. Calling %2!s!" 0 0 "Timing Analyzer" 0 -1 1669918372312 ""} -{ "Info" "ISTA_DERIVE_CLOCKS_FOUND_NO_CLOCKS" "" "The command derive_clocks did not find any clocks to derive. No clocks were created or changed." { } { } 0 332096 "The command derive_clocks did not find any clocks to derive. No clocks were created or changed." 0 0 "Timing Analyzer" 0 -1 1669918372312 ""} -{ "Warning" "WSTA_NO_CLOCKS_DEFINED" "" "No clocks defined in design." { } { } 0 332068 "No clocks defined in design." 0 0 "Timing Analyzer" 0 -1 1669918372312 ""} -{ "Info" "ISTA_NO_UNCERTAINTY_FOUND" "" "The derive_clock_uncertainty command did not apply clock uncertainty to any clock-to-clock transfers." { } { } 0 332154 "The derive_clock_uncertainty command did not apply clock uncertainty to any clock-to-clock transfers." 0 0 "Timing Analyzer" 0 -1 1669918372312 ""} -{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Setup " "No Setup paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Timing Analyzer" 0 -1 1669918372313 ""} -{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Hold " "No Hold paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Timing Analyzer" 0 -1 1669918372313 ""} -{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Recovery " "No Recovery paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Timing Analyzer" 0 -1 1669918372314 ""} -{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Removal " "No Removal paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Timing Analyzer" 0 -1 1669918372314 ""} -{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Minimum Pulse Width " "No Minimum Pulse Width paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Timing Analyzer" 0 -1 1669918372314 ""} -{ "Info" "ISTA_UCP_NOT_CONSTRAINED" "setup " "Design is not fully constrained for setup requirements" { } { } 0 332102 "Design is not fully constrained for %1!s! requirements" 0 0 "Timing Analyzer" 0 -1 1669918372513 ""} -{ "Info" "ISTA_UCP_NOT_CONSTRAINED" "hold " "Design is not fully constrained for hold requirements" { } { } 0 332102 "Design is not fully constrained for %1!s! requirements" 0 0 "Timing Analyzer" 0 -1 1669918372513 ""} -{ "Info" "IQEXE_ERROR_COUNT" "Timing Analyzer 0 s 5 s Quartus Prime " "Quartus Prime Timing Analyzer was successful. 0 errors, 5 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "465 " "Peak virtual memory: 465 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Design Software" 0 -1 1669918372520 ""} { "Info" "IQEXE_END_BANNER_TIME" "Thu Dec 1 18:12:52 2022 " "Processing ended: Thu Dec 1 18:12:52 2022" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Design Software" 0 -1 1669918372520 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:01 " "Elapsed time: 00:00:01" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Design Software" 0 -1 1669918372520 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:01 " "Total CPU time (on all processors): 00:00:01" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Design Software" 0 -1 1669918372520 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Timing Analyzer" 0 -1 1669918372520 ""} +{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Design Software" 0 -1 1674690116458 ""} +{ "Info" "IQEXE_START_BANNER_PRODUCT" "Timing Analyzer Quartus Prime " "Running Quartus Prime Timing Analyzer" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 20.1.1 Build 720 11/11/2020 SJ Lite Edition " "Version 20.1.1 Build 720 11/11/2020 SJ Lite Edition" { } { } 0 0 "%1!s!" 0 0 "Design Software" 0 -1 1674690116458 ""} { "Info" "IQEXE_START_BANNER_TIME" "Wed Jan 25 23:41:56 2023 " "Processing started: Wed Jan 25 23:41:56 2023" { } { } 0 0 "Processing started: %1!s!" 0 0 "Design Software" 0 -1 1674690116458 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Timing Analyzer" 0 -1 1674690116458 ""} +{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_sta MuxDemo -c MuxDemo " "Command: quartus_sta MuxDemo -c MuxDemo" { } { } 0 0 "Command: %1!s!" 0 0 "Timing Analyzer" 0 -1 1674690116459 ""} +{ "Info" "0" "" "qsta_default_script.tcl version: #1" { } { } 0 0 "qsta_default_script.tcl version: #1" 0 0 "Timing Analyzer" 0 0 1674690116478 ""} +{ "Warning" "WQCU_PARALLEL_USER_SHOULD_SPECIFY_NUM_PROC" "" "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." { } { } 0 18236 "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." 0 0 "Timing Analyzer" 0 -1 1674690116519 ""} +{ "Info" "IQCU_PARALLEL_AUTODETECT_MULTIPLE_PROCESSORS" "4 4 " "Parallel compilation is enabled and will use 4 of the 4 processors detected" { } { } 0 20030 "Parallel compilation is enabled and will use %1!i! of the %2!i! processors detected" 0 0 "Timing Analyzer" 0 -1 1674690116519 ""} +{ "Info" "ICUT_CUT_DEFAULT_OPERATING_CONDITION" "High junction temperature 85 " "High junction temperature operating condition is not set. Assuming a default value of '85'." { } { } 0 21076 "%1!s! operating condition is not set. Assuming a default value of '%2!s!'." 0 0 "Timing Analyzer" 0 -1 1674690116566 ""} +{ "Info" "ICUT_CUT_DEFAULT_OPERATING_CONDITION" "Low junction temperature 0 " "Low junction temperature operating condition is not set. Assuming a default value of '0'." { } { } 0 21076 "%1!s! operating condition is not set. Assuming a default value of '%2!s!'." 0 0 "Timing Analyzer" 0 -1 1674690116566 ""} +{ "Critical Warning" "WSTA_SDC_NOT_FOUND" "MuxDemo.sdc " "Synopsys Design Constraints File file not found: 'MuxDemo.sdc'. A Synopsys Design Constraints File is required by the Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design." { } { } 1 332012 "Synopsys Design Constraints File file not found: '%1!s!'. A Synopsys Design Constraints File is required by the Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design." 0 0 "Timing Analyzer" 0 -1 1674690116670 ""} +{ "Info" "ISTA_NO_CLOCK_FOUND_DERIVING" "base clocks \"derive_clocks -period 1.0\" " "No user constrained base clocks found in the design. Calling \"derive_clocks -period 1.0\"" { } { } 0 332142 "No user constrained %1!s! found in the design. Calling %2!s!" 0 0 "Timing Analyzer" 0 -1 1674690116670 ""} +{ "Info" "ISTA_DERIVE_CLOCKS_FOUND_NO_CLOCKS" "" "The command derive_clocks did not find any clocks to derive. No clocks were created or changed." { } { } 0 332096 "The command derive_clocks did not find any clocks to derive. No clocks were created or changed." 0 0 "Timing Analyzer" 0 -1 1674690116670 ""} +{ "Warning" "WSTA_NO_CLOCKS_DEFINED" "" "No clocks defined in design." { } { } 0 332068 "No clocks defined in design." 0 0 "Timing Analyzer" 0 -1 1674690116670 ""} +{ "Info" "ISTA_NO_CLOCK_UNCERTAINTY_FOUND_DERIVING" "\"derive_clock_uncertainty\" " "No user constrained clock uncertainty found in the design. Calling \"derive_clock_uncertainty\"" { } { } 0 332143 "No user constrained clock uncertainty found in the design. Calling %1!s!" 0 0 "Timing Analyzer" 0 -1 1674690116671 ""} +{ "Info" "ISTA_NO_UNCERTAINTY_FOUND" "" "The derive_clock_uncertainty command did not apply clock uncertainty to any clock-to-clock transfers." { } { } 0 332154 "The derive_clock_uncertainty command did not apply clock uncertainty to any clock-to-clock transfers." 0 0 "Timing Analyzer" 0 -1 1674690116671 ""} +{ "Info" "0" "" "Found TIMING_ANALYZER_REPORT_SCRIPT_INCLUDE_DEFAULT_ANALYSIS = ON" { } { } 0 0 "Found TIMING_ANALYZER_REPORT_SCRIPT_INCLUDE_DEFAULT_ANALYSIS = ON" 0 0 "Timing Analyzer" 0 0 1674690116671 ""} +{ "Info" "ISTA_NO_CLOCKS_TO_REPORT" "" "No clocks to report" { } { } 0 332159 "No clocks to report" 0 0 "Timing Analyzer" 0 -1 1674690116673 ""} +{ "Info" "0" "" "Analyzing Slow 1200mV 85C Model" { } { } 0 0 "Analyzing Slow 1200mV 85C Model" 0 0 "Timing Analyzer" 0 0 1674690116673 ""} +{ "Info" "ISTA_NO_PATHS_TO_REPORT" "fmax " "No fmax paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Timing Analyzer" 0 -1 1674690116674 ""} +{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Setup " "No Setup paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Timing Analyzer" 0 -1 1674690116675 ""} +{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Hold " "No Hold paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Timing Analyzer" 0 -1 1674690116675 ""} +{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Recovery " "No Recovery paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Timing Analyzer" 0 -1 1674690116676 ""} +{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Removal " "No Removal paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Timing Analyzer" 0 -1 1674690116676 ""} +{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Minimum Pulse Width " "No Minimum Pulse Width paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Timing Analyzer" 0 -1 1674690116676 ""} +{ "Info" "0" "" "Analyzing Slow 1200mV 0C Model" { } { } 0 0 "Analyzing Slow 1200mV 0C Model" 0 0 "Timing Analyzer" 0 0 1674690116677 ""} +{ "Info" "ITAPI_TAPI_STARTED" "" "Started post-fitting delay annotation" { } { } 0 334003 "Started post-fitting delay annotation" 0 0 "Timing Analyzer" 0 -1 1674690116691 ""} +{ "Info" "ITAPI_TAPI_COMPLETED" "" "Delay annotation completed successfully" { } { } 0 334004 "Delay annotation completed successfully" 0 0 "Timing Analyzer" 0 -1 1674690116901 ""} +{ "Info" "ISTA_NO_CLOCK_FOUND_DERIVING" "base clocks \"derive_clocks -period 1.0\" " "No user constrained base clocks found in the design. Calling \"derive_clocks -period 1.0\"" { } { } 0 332142 "No user constrained %1!s! found in the design. Calling %2!s!" 0 0 "Timing Analyzer" 0 -1 1674690116912 ""} +{ "Info" "ISTA_DERIVE_CLOCKS_FOUND_NO_CLOCKS" "" "The command derive_clocks did not find any clocks to derive. No clocks were created or changed." { } { } 0 332096 "The command derive_clocks did not find any clocks to derive. No clocks were created or changed." 0 0 "Timing Analyzer" 0 -1 1674690116913 ""} +{ "Warning" "WSTA_NO_CLOCKS_DEFINED" "" "No clocks defined in design." { } { } 0 332068 "No clocks defined in design." 0 0 "Timing Analyzer" 0 -1 1674690116913 ""} +{ "Info" "ISTA_NO_UNCERTAINTY_FOUND" "" "The derive_clock_uncertainty command did not apply clock uncertainty to any clock-to-clock transfers." { } { } 0 332154 "The derive_clock_uncertainty command did not apply clock uncertainty to any clock-to-clock transfers." 0 0 "Timing Analyzer" 0 -1 1674690116913 ""} +{ "Info" "ISTA_NO_PATHS_TO_REPORT" "fmax " "No fmax paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Timing Analyzer" 0 -1 1674690116913 ""} +{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Setup " "No Setup paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Timing Analyzer" 0 -1 1674690116914 ""} +{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Hold " "No Hold paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Timing Analyzer" 0 -1 1674690116914 ""} +{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Recovery " "No Recovery paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Timing Analyzer" 0 -1 1674690116914 ""} +{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Removal " "No Removal paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Timing Analyzer" 0 -1 1674690116915 ""} +{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Minimum Pulse Width " "No Minimum Pulse Width paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Timing Analyzer" 0 -1 1674690116915 ""} +{ "Info" "0" "" "Analyzing Fast 1200mV 0C Model" { } { } 0 0 "Analyzing Fast 1200mV 0C Model" 0 0 "Timing Analyzer" 0 0 1674690116917 ""} +{ "Info" "ISTA_NO_CLOCK_FOUND_DERIVING" "base clocks \"derive_clocks -period 1.0\" " "No user constrained base clocks found in the design. Calling \"derive_clocks -period 1.0\"" { } { } 0 332142 "No user constrained %1!s! found in the design. Calling %2!s!" 0 0 "Timing Analyzer" 0 -1 1674690116956 ""} +{ "Info" "ISTA_DERIVE_CLOCKS_FOUND_NO_CLOCKS" "" "The command derive_clocks did not find any clocks to derive. No clocks were created or changed." { } { } 0 332096 "The command derive_clocks did not find any clocks to derive. No clocks were created or changed." 0 0 "Timing Analyzer" 0 -1 1674690116956 ""} +{ "Warning" "WSTA_NO_CLOCKS_DEFINED" "" "No clocks defined in design." { } { } 0 332068 "No clocks defined in design." 0 0 "Timing Analyzer" 0 -1 1674690116956 ""} +{ "Info" "ISTA_NO_UNCERTAINTY_FOUND" "" "The derive_clock_uncertainty command did not apply clock uncertainty to any clock-to-clock transfers." { } { } 0 332154 "The derive_clock_uncertainty command did not apply clock uncertainty to any clock-to-clock transfers." 0 0 "Timing Analyzer" 0 -1 1674690116956 ""} +{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Setup " "No Setup paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Timing Analyzer" 0 -1 1674690116956 ""} +{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Hold " "No Hold paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Timing Analyzer" 0 -1 1674690116957 ""} +{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Recovery " "No Recovery paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Timing Analyzer" 0 -1 1674690116957 ""} +{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Removal " "No Removal paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Timing Analyzer" 0 -1 1674690116957 ""} +{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Minimum Pulse Width " "No Minimum Pulse Width paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Timing Analyzer" 0 -1 1674690116958 ""} +{ "Info" "ISTA_UCP_NOT_CONSTRAINED" "setup " "Design is not fully constrained for setup requirements" { } { } 0 332102 "Design is not fully constrained for %1!s! requirements" 0 0 "Timing Analyzer" 0 -1 1674690117163 ""} +{ "Info" "ISTA_UCP_NOT_CONSTRAINED" "hold " "Design is not fully constrained for hold requirements" { } { } 0 332102 "Design is not fully constrained for %1!s! requirements" 0 0 "Timing Analyzer" 0 -1 1674690117164 ""} +{ "Info" "IQEXE_ERROR_COUNT" "Timing Analyzer 0 s 5 s Quartus Prime " "Quartus Prime Timing Analyzer was successful. 0 errors, 5 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "465 " "Peak virtual memory: 465 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Design Software" 0 -1 1674690117172 ""} { "Info" "IQEXE_END_BANNER_TIME" "Wed Jan 25 23:41:57 2023 " "Processing ended: Wed Jan 25 23:41:57 2023" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Design Software" 0 -1 1674690117172 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:01 " "Elapsed time: 00:00:01" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Design Software" 0 -1 1674690117172 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:01 " "Total CPU time (on all processors): 00:00:01" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Design Software" 0 -1 1674690117172 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Timing Analyzer" 0 -1 1674690117172 ""} diff --git a/1ano/isd/quartus-projects/MuxDemo/db/MuxDemo.sta.rdb b/1ano/isd/quartus-projects/MuxDemo/db/MuxDemo.sta.rdb index 10c78a7477f6c71328d01e3e6be1827ba6c41c52..dfd833d48161ad204a0fdbe08494af6721679ff4 100644 GIT binary patch delta 5674 zcmV+_7S-v~FwZcMM}Loa00000008V300000002P(0000000000002)I00000004La z>|OhR+sJVj(@Pt%uQZoyn?BNwbdKyh5q#)n$+r5@>Ctmy`&3Eie6DFf`alFo;tT>b z07};BQ2SGSevZ#S;`1-~5MTUZ9j{p*e|RtO`4K*#$J=Li z+jPYXKXRHjZVOAPwDV_kbGv@;GH}|RNZj9jAR4tsO^BynWV>S54|;xJMvm_pV#jrb z1PeuAhjwsoTYpCR8^0fjerOB7HQZ(?6qX%2ZBInL?}p35_1jKUwEA9?C__;;Jxlme z#|}j3x1tL(um!6$I4@1_QuNFq@&Kcnmy`C(5||KvKkD@((Q;fn6s^GT%68xhxJAnk z?6yzgm+w1X)9qWlw(N6AM)U%|9hhCI8y{>q-M%Y3tbdR_NNJSKF!Y;_8QGSw{ARyv zdy#@fJI4c+uDWF}>^D6KDReJI*gyN$ZbqUU`I4K+4!WUayy<(EqXeNjRZ>4xEQx2} z&x2^o$NjUg88|({ik)^~+oZiL(Wxf?*@uYwp*RejuAMYYLCchb0zEkVj~(&!umDJ) zA4(Z!uYcz{P4mpP1-{8d*-XhMGF%oHPSkm6_zFr?D zrR*gudZk*WlTdU`uirA8Q9ppJ&o0Y~bD9HiutxWVf{!4~KDY>+@2D6ji~{GZANfIO z2yy7zCYTTG7R*O0Ak(>|Q{)kL8AwyK?8tOzu774!?nF^9+^SYvy|x*fsNryM7)`%h zwP7qq8DmQiynn#uz(5zr{chI`F0%uWL%12(Fs0K73VYqR!{{{3!vB&a`^yl6PId`6|o;2;2lV z9DiMO+LRAPrDuA!d+M1OOnLZHi4u9?3k?aIQcaCgBC}5baqRjRqTZ<0x-Z4UwO#St zw`>>ng{35Q9sBZ8-?|T^pT%Aqi~KLpViZ!=XAmElVHC4H9x=r^3b97I2$1J}7eUAm z=)$vuBZO}#7#wJkloG)iC3a3@czUul-J(2sDV z4aU@vr;g8|%)pX0o~r^(Z(~#?**p4zIHYS9m@Y`O6DNV`h45WDdp$|XODjB$Vkl7w z?Wo@ql@8rxC9s?RxgA{cs_UO49mw%EKqAA}&p-j0LxFpusz;C+S@-?vOt(Y02NIq zX!e~5U1OL>ch5^M7PFZ3pU@lKB!1^J@=D1Aii%>3Hbjp&4~@mazs9E&P5HW}rh#Ug`xnGQHq{ zTgHbeBtOa||40kXj$LH1;yDr=vu)=%09aB=%wnJS-|WHoj4(2d*WIxFW7PdUKFCrR z@RjvsiJue)UGq(mYxoUX=>93oZvik4ocUgkN1W+2MGMvSD9=KJ|0Mu)_kS^JLgXa^ z>h!zF!z@##Z~!PQrP47H`p2E9>ux{p*rv7p*mb;DBCy>jOJQ`0gtcSa(URyONqMq# z=C}LdmaI3LVYnos%bxvYDYD;0Re4|CeynoA?2~WJb5qqWi7;qBS$Z8bw}Rfq>(dZX zEn*^Pd>bxpKdvgM?Q)F7HGjQ!A4+_(km6WSs23#BO!an>c|%6+wrk&atYr~){0l-Z zi;jcL30DuqRe3(nkd3w-?YlBz{o?Yeg(jabRaEn(2gsxl6uvxp{!Ba(_eVE*0P}&Q z3}kmb*Sr)@o`?mEGkf8I`1gdY*h+YLbRGR6WK&aKSO87M$fNSxa(^mah?o3kOp7zF424GT4Fy*2)L}IZDUV^k7gy@*JG*O-AS~UJltbMqJQ|HW z#%^xO0e7X4EVf#$M<9)-8FT_XWF%UzL6+iexzhlDEBLJ9vwxO{p|}CkTNYJ)?Yp=lo<*O5%+n9*1)Jhm{(ENdhPv?&~@g-UUqSFk_K`of-x zX|CB~*u+E{Qy#MiFUQH$na!y&KtoKTps5~u7+Xo*?ur`!u#;tCmfhe)3DaB&t}3oz zbJBunZ?4%3VSkbFl~l6s_w{hYR+uru-G}@G6CP4FfYR!UYquh4WzxQ4=!!H=)~o8c zhl%SM`3uL2I$NS%t3ivnj~!7)^QLL? zNuqZ^3O?4~c=ooeF&bxPAkpd|v8Bf4qj@y)#GJv_} z%74|jE%6vkc*3!sEHKU?%Xn<6WO89~VH??55XpuTY@5gs2oiM2SWsm$W5b zERvP0f}sOGd(@Ux1ux#F@Fqr}l!1lpSS=h=I-dQAF@f30nF~|QHQDM=VB!k8O6Zyl zTb{T|#Ix~1|*hkcalP?Dpl+l;e@ccDMs+l|dbM;L9$dHj$82Js@+pt)k=_PdZldA@RCpS^M- z23XWowC%6eqyY~+Y?F@)8Uc`#stO<)KuQtMJ~1UB6Hk_69XTEh)kfp;0p!O z3N#&$-3CYRw7=rS?}-D=(IMxHgMb5~hDWQrKJ&fFrvsV*J0yGmc23n&+0tibXz1YLX7zyQTwbk+4AU z|8*1o3zis1v7aL}3HSAp+&_0byu0g)!@diL@H^&~MVdGp-;-|d;wEcJ*ASefN1u=G z5#B>#+3XDNe=jPusuyUgm&3X_*c+J8^IQY1@(ka<0&cja@X~8`0^h?z0~Rhj@W}%U zWL%psmF5VZRd)RHVB}KX)0Fo~mhuYmX4oFPk~ipd5`-gt<=5bJjMEw8D~oAN!u3e; zX5AS0Ov*8?#xQ-or%ZUxQkaD0K|hlq9CTg@&$#&ge|WaX`^k}%CSm_ma8hW{NBUJt zftUQ|Cftwlk{RZwc9OMGykFz8&?G4)uErS8wLUWG!!`6K(Zy%rr%)G#PAusLF8GRP zG7p*Z{%##4{Z7%_Rq>Af&UhM=NS=PD5M$OW046C@`JJ&8CSjR=rx4*x{LYOiO~O9? z&IqJAI)9Kz92e92otw~^gm?O#LY&w5fowmf@jH{!o1{PVJH_~md_%S;H}g9;$DQGt zL-HnxncRp|n)_FiBMl*c4(`@?SNC_w3q^}Hfn50!^-z-U+n;*eASw5g$hB~R*V*<= zl+UdKC0f9svhOMfg!01^2s)T6+5l-1$8b2 zrGLg(!S;ynV0b22E}t z;zz6huW>08#`i$71zK=k?8_y>KV{oD1e`f>QW6 zoHAzx=kaXU@1F6vj{^HUd>uKVT|K~=Of^_FK6Yn+-{V;i4a(C_I7{5bF&*rbQq8$2 z@uJ^7iw~f4MMT|WEJrw}jP0fnQrE=ZV^7P8j_jdoP8B>i-^h&?pzmMAvb_Nx-=uHdV<|}-o@D?7d-;;N; zn_T`-cT{QoDoWK*ar&*Ys-UYYcx6Jgb8|F+-=#a>j=A$qKA8ImU+}Hd-%vJt^1wd# z7~10G#c_%Zl9}e_e`O=GcIap)Kt=Ol<#B|6LkM^?q0rLBzQBfGal+k!;+gHWqmFvS zwTkmF&fLTgc?wfL#Ev4jf>V{c z5fzZuTmFR?KaqorUD#FV33*W1BYLdNlOcZd&xiX%5wd;0JM1NE2N{1#h_;mvKB>77NFR>jNBMY@C91cWMBq`F}|6)%Z;O7@I{*`oDt=HiOyd>expn?N* z07KzG=Zj1f^3^cb1a~Q-&`s2kUdWp{3b`h}tmf|zl6y9myPg#|(N@$Y@%TMk;~951 zHN|m=Qx-TXYH=?!jI!RVnu_buHx&DSA9kLd>>ur%?(IJN?H^QwJLyd41_yu~hA$%315$Weuc?~>GiH#)&neVI zFI3NmDmdlAC^#!70_lGdE-#AagRl5@U)HA0!L zu}+ewYkVuVH>PA;i)>}e=2B$0veIdFEh$esykz5P`>bsAcCDw?wW2+(z*XyMEu1M; z9HMuQ_r5ya+d0{(7l)c5hc;BfJUGU7@NDP!%Toj{e2zy1uOS3O70hvCjAX4gEo+?B zU`mC=Ji5ds3J3JaNf7Bi#cv9K@h8gU#7R4KCPCUjGnZVx&M(D|Z!^4ntCqJitGBf1 zhNhOi{JFq%wvdm{+Q7~$})_SKm+N^Fc59vxsRi4I6Bc(3D6L= zJj`g03T2+1I+3FRASLoF(8mDEN__ZPD)8*HPtopLdEW#$>0B0={V$UCAWV_4voIX$ z1+pFuQpgR5Ve$NvgQ_Nfw1>*wa4y;~RvPgfjw^1f#_FnFscpt}8Ya2t+rJ7HQyS~Z z1edN7i%D?{dT9Wxti%;W+b}kP7CE!#gLNxLy^*aWZ{Nh5`f@kl8%A$7r_CuLcT?2S z6=QX+KqC)h@x~fiPnD)rP+v`8v`#h?ohh9>%*kKXt!+-PlMj@C)vQ)V&y{nE$lVla zWzATxU#ArcljEe;*jSA<6|1G5uc+15;|l6>)!4{tfQZ&H-m-P%?&c%q17($+NWyOM zqG~}rzYF$y0q`!^tL!QpCqIQr-39w3$c}Yl8%BK{PHZD~KibhH?kI6$s~bsO97+Z& z>bZEoYOLhq2r;dH8g&G+GzXZ>i+!lPW`oP1APsBeR5h7e){GC5)vQ+DRmk5|iP)f4aygX@`Rl96SkR;8;h>&Yk_wKDHDd+I zL^?89w_)5S6Z=qkrE%Q_{T-3WVAOg*GRfobohQ(DLH{m)=wk#8ylu$EliZC8F6&89 zpkrw$kDbg770gEBvf|*f@^EnCvYxC0#BMFAU?U(NbYcx-eWHoW_kq)FXqi6X5B9VH zIn@Srl2ZUf%RtgqU(2-sKyt4%z5Z}mBlU@*{fEzIRv*)c`g}iEj5Q`;i8R%KOCmpo zDcYUODj-)2gwVtK(w)3m^ZWa%$61xywfsUT1<4( zGFw`F<&&1#()wje2v@VE#TS8TnJq1m62jGNY5nRw(pq?rv=-kZtxw-0ElzRLGF#jD zbxH_Vv!%tu0%@5otxr-yxSB03uDYjXwzT+KH!ZWJ#Ul!t4GD_uP*(D@lpS1g4==J3 zb>>DmGt}%%ad*|!@E4` Q_A>mF&6EBQv+NdU0W9AF*#H0l delta 5707 zcmV-R7PRTlFw-!QM}Mz)00000008h700000002P(0000000000002`M00000004La z>|OhR+eUI1uetWbzOI)`-@Wch@ySj^@S&F_+v-Qrqw$^XsFLFQq}P7*fe27U3<5L& zN>=po{o(y3{eE{BFA@|*%517oU~t-z=ZI7QMVU~mgCx?Xa#;pwgXSVEn0qH zpZgSk`M%>d-JZp3%f5hQL^try1G6J_MC=LUsVw?SHyX(>!x+fp0QVHdC^R441{F6SX0GKy@4?402^g;wrWa2m0^>Nk8;~uiJx3 zDSOF^Ua3~;BorOf>$S{g)C(Z%v#YY=oaO)=tkHd;;3EjL2QC8VJ1WKrqrf@qMSc(( zLL9ob3FZU41@qAg$aF606nTVQ2GSHQJ2D-btA81l+fmdFx2n}v_uPz4)NnXBjHchI z+AtQQjIpH$-rwP}Z=j3gUZ-OQSJ?r`A>0gXn9^wkg}v_CVRRbi;YvRPP>Fc&>(3N# zq}Ko$mhVxL4$%o6nt|!M(j9cVjv5^jvf!T*g)v1&Z;85}Gp!!F6)JHo_Zz*Qy#ulqC{T!LPNr)R8ymr$m|n99J~Ids5ff0&P(xdZC5<^ zE!#zXVJS&n$G&{nx9$V!XR+5tBL6eA7>1Pf8N>%>7{zRlMoe)IL#&Z50_1tmMG*1> zy727a2;mzF1_xRsrG)S_S0bHw5cGdf{(mqbqAxVZALDk%x-h*a+{x4GSDNK4^dsD8 zgE2MaspE4fGq7Zh=ehvX+Za_z_Kvd>i8E(2Xegik;w4%3s6Aj&}MgvEd0;${78-%ak9I6jDNeN ztl~<`CTccAa1>dL5S7)Sk_V$mJED&Z8KtXh!XvWvl^23%}Qd8R(FbmwEw?OfNX# zmhoW<$&WJ0?`fggv5O2=JV%0Kp4&MN0G5;zv)JdoH+wKXBaBSrbtgRkDeC?fA7rTu z_{w^+#7~NYj`^m@HT)JWbpMp)w*VLi&U`ONBhGZ1qJ`?ZlxLyA{|W%Q`+pcUA@ULd zb^0CTVU{UVH~^j~n5!mjNr7*fe!rHd&Xi2n@q&!(V z^Ur(XmaI3LVYnostFHZIDYD;0Re4|CeynoA?2~WJ3scoDi7;qBS$Z8bw}S5F>(dZX zEn*^Pd>bxpKdvgM?Q)F7HGjSH9+dcGA;qzvP%lWLndo+QKsGVug$2-5j65p8Eq|xdg?PztR*q2K z!gjfQ=37_dT9oHoz86(mX2)@_wuCGTD$CtP${H*zi=DtR-DUBm?OxajpC%-YSO5nf zI4$%~whxi>>efO$>_(_RGn=o@1Hb24m8S3d!IrpeJCThiNJ7sYF98S5+M{yyv-vYW zux!AkrQUtRMBA~%;(x}$=H3^Zk3Or4>Ssbh3QR(}Fd0mO?9f|^#HAm=p5+AcvTb_; zHs-r9@^iy5KC71JyQak%SB65P`-TE5x9hN)hLp!3--|2t^_|_dM-Z0oNy?$_6dnyn z9%DDRiCXKIq zeq{Hd(I9gCVP1s6619s#deF3wkLyULa?EHf79Lv|WtKG*1lkl1)Iz1W&MVj-W_@AT z#5C7zF>GQYjVX`Wg_q-G>dfZU7@#30QP5NuJ&diSZg)hDf7r<~G0SdnqJ(L#1XmT; zusLZ#v^Ur6hJUcg_)02S_xonJK`YD{;qF8Jfe8;N8$fAw#kE_Jv@&U5F?2O#jGd>dBay`b&?nKz?@FN=(*Dq$^8BS^MeQw7uhpPM+{cb6qj}Rb z`6SUhAO#=mZ#;Wj)){4JGVX%v7uIyRH$6u#B$86FyV39O(x?DR%kmnMgl&tH+DR@{v5L}OtBN@P4 zbARRP+m?6?COqL-PZk*GkYzkJRWiA-xUh}vEQn-73ARmS2m}c_w9j5S z5d$o0D%$qfYSMuF9-fnq3K{``lc@?I8bnGF&pt6FArnuQVjVdi4An;C^5gEdIB8>( z;|eq#m)!h{K)>hwyvmmPML48{Lym_wqJtNjDH2r$?U; z?-AZZVcF~q?td>Tw5k_qs+Yq$IoMm6(DPgat?~@tzXoo&rSQsYwgcb8LIV~q+wjSK z3uIiIFO}v9o>g}I@?hvv-qV!#ahCE5@n+Z_xsuoKbP|L^edX8SbcE9x;VX-2jKlR% z@n+o^_)N+%u0}9@v!_gW&QchM<$gbtAnbQu3D3Cr!+&VDNBha4l*VEIQ*csf&`0`J zN`aUB<~H1q@RAwkCw7vxVZ7hqvd|B9~5#?i%R;HOX*g-$H#1}^xD zXFLy?^8RieB>hg&+g0(7{my6_<4B%BD z{mu}iIe&kUNE{cF`kmX*8HacJokE;9_NpkLXu%08j|_ymFu=885z zn#3_24t5~%CHHy22`!Shz-dm!1alj!NSqs*N~O|2;j3W#OVK*P-M7+k+nr=2I}YAY z#T+(p_7|m!@435NrJQmnI$lm>0q@>xxj~Z~i1^X!|4UrTgz-I)Y=IVBUKL-%EKb}{ zCw~(cqSjO~@c~*S<(&pX8erB2rg2@G5gA9ULjt9oI1lktd8>K2HZz;s3jD`on~8tW z7uvtw>}=93f97n)@Pt2JtI;#Fe}FLZ$%x9)5AnwS9S7x4Kx**h1ic-{uzd3W?*={3 z70#Ww3tSKCf5c^8JzuFqW>e6QB*}kbmw%d53F>ntW>mj=nc30Y|Ecljbc35%JwF&b z;^YWZ0!+=gM6ib~a#z^7`!Q-G^YRq$woV;BImHPk?7h~&vI_e)ScM}Z+<_#U^BC3E zkfs&+rcb=6?#R#eAFwz4lnp|0eQ)=xXQ#D$Z~yx(36mW?++u!ynL&yJB?&_dsDB5K z@e$B0M+!`k0u%bUH`&(Wc0A2Q^lFsaAYc?O`sEL!zQpMzoLiMorGM2GIB0Ctjk@@v zhfS_x1BZ_5^(y|#^T)@(#rah1r`}fwWL4vzN zgyX*CL%hHyI@RoF_|nHyR0ZMo)PJ$y1GzI_Rdf2fdj;F|aEA7!*jKeY9HW6#l&su8 zT;1JY-)}T_*A*nuYQE&^s}AmT-v#p5yME`4$9)vo-{9-W3GM0u z&Sa{=s`0Tq`yS7FXi%PZ!dc=bj_F{hlxogJi5I=jS$qJUD!Y(Wq)ipg^)TX z_8xm$PIP1sRdcG~x%ozJv;ckoGNwfs22Yf94Klr=2zRhKhQ|hYI7#AFJlgl6Kjw+2`MX4GpPQO)F6?An4uS|$` zZjJ`<`*i2qF?YVn2Xi0c3%+&o8_H%+9@ys|LtC7@I8Kp4GLzi=k8DKN4jt_TsAwLn zJdSV(0dFQ0TDsU5*zhY(xI0ihv%T}EtsZf0Bl}2Tf9y>Fy8{3mf`1ajF?QBskKvI* zOhL=)J*S0JmUtb4GdJ-=p2Cz5v7^YX;8dkvgz3FG+YasNet{z)(0V>N=i0bghRZ`D++!g1Z$_IF4#a zGvtjNuQl;yHGhAQ+_SOV{j9+0M=I))c>J!d@r=5gnBpkJDGMAOwYZlVMp^GwO~v)_ z8;bvrJI_w`k9JP?cAx$3kE+2;dSgq^+ui?aCOv6B!_95r$$tpPPB&1mRW@sad5et4 z%0T&GvsS6s;0Tb*@P(v$KnhRmHFeWx#tfGDS%sSDhU)o11;^d7?KUQC&I8a-Hz#bY zX&3vi0Zs=hByF8)jooi0wMj{9&UJz_HgU;0-yWe%)>tRYlQq5*+Zz+ItwpvnVRI?6 zyIARW_FX7XI)A(*+mrTL+34L`PpWH0ds2a`){|N|Q>!>c?;P)ab-K56vQsY(H3ME@ zpn~~ujP2mr&heM02weCa4+&la2nH&c>&6(#T5VF+IJ?2r3JH32g-a9;=%JG#(tV2G z7UEBp$qAHp>P&*Pfo3kbjGbSS9o=Sd8CNZHWma)%(SHq1Eqnd11LV@C+27vCw#i-( z?l#a%S{d5xop#xoOEEw>)ABZ?X{{r|GEGu#43jHtob@w4qZXy$A!D?9$Sq~Z5l$=P zPy&AR%N8&FHhwEh$X^yqs1`uEgHR;I=9u^od*}+xg$EzrL(3zq_3#KAIFM77xD&UB zmYK%@?|=MJqrQ}75G8^7(w9LX+Q4%kN7`_NqNx&~0cv@e;T#ppJUfLVM}0s_a;ImZV*=L`k-Hr0T4RF%AEHL|DB<+5fB4KA?IMfSdJshNvo6+-74z8Neo+@|4 z1%QUJ(un7BT!C9PR#)vxZ8NUZFv&gXRj|0ySbt9@x^$T}Bf&y%4S-HK6fWb4S=H}NLF+|3V$(c8^!b4tkF6m@jPSY0d7$irB?u}0QYr70EER}&bm zlg&hDN+%C<@>g|ho0IG017$UNf&Vs(mva<2=Q<&5& z=tBtd?|&qrMJjwh_A_?eG$}lsL21jifFPDg71oT-;wZR&w!#m{yHC0$Q32 zjOWchR9>?|X85vpP8GSE;vloZWNfHj;(yTJsP%`GjhoZ4Rijprjx}eGECv!ywvyr&2IDg2j zJnWyytS74iv3pA@*a(RGomsL*O(UVkQs#{XK0!PPKlW^DtY{*NQ5#xFS%Dtu*M3j8l9@zHVo zJRyE(SW)0E^t4Qu78iQcGF@6+oKDMhX)y^&%XDe+^-Nl(OY2uDAzV$D7JpybrDeLb zL`n!()1~$6_eg8uJ<2NZGTuql2H~6Pzy0kt?3E^tGw74>! zmg&;sE7-J5mlpSmq-DCaxZystQ9+R%$Vz^ZvV$w`?L}6i&g7^(8X92H47c~F? diff --git a/1ano/isd/quartus-projects/MuxDemo/db/MuxDemo.tiscmp.fast_1200mv_0c.ddb b/1ano/isd/quartus-projects/MuxDemo/db/MuxDemo.tiscmp.fast_1200mv_0c.ddb index f12b99871765d165ef74cb5338f0f640f050490a..cab43128dfba6481a5b233fe8a5f8f963940ca44 100644 GIT binary patch delta 108857 zcmZ6yWl$Z#7A*`365NABaEIUy!7aG!0TSFfxJ`n)9zt+}ySqE#Ud>b&FZHkpk`@E}GlC?*ezkXhPNNZk zv$2AaS-i^<}e;g<+yMT8Wq*T`}dzs#Tv{|0K7XlgRp76iU z>C8^h94-h~RxaHOQEH}Kr?v{M8t7V?v*t2KW!$d_lve6E&1|=u8iUA9ba#^upv#5q z$0Y9C6ly8p2KHU@gGqKn-IEn}!Pz46*ACd-Zaqu_XeqaSdQTFoS14Ltsnb=$a-(Ru zVbsDe93tR}Xb$@zE}il|Z2Z~9+PGpcLFaOp?nm1{UZ2M|AeO89Rr_@#pW${2{eMYH z0G?Ka(#=!338~-JrcXf^Nx^iYBoCP4xf#Z>a3EwLTBi~IBQ;Z0OPez5su6jJPK7uz zF!f>^@Z3AU@bCC*Yc*vgS>h>5+*DpwdOGH_9VD)5J?~ZMe^TZ1xK`B!IxY`}RgH|v z&DYn}3GFvLGZK@NXp7U2_b2h(L#GaolUfI{s4L_tOD%Us4VJy+!+$6>rF=KDxgL(- zv+8mJ%MIU%R4l0{GO)Aq+vB8|=rp;jHu69KP@H=^C!>t%xI-+1xQhGEqy?=onrl&C zs$DkDlDaE}>dAl#TeVT)^7YrniP6-Cg{`&Xd)JCqU znS~;lr?a!1LKhOhbG><#UfK7r!PAjS;P zqMlEttNOwNj)>~RYnI$mXT?$iOj0g}zn-$%=5UEUE|l$;{Zw$h5{y%Vk!0_u!=%rx z3=vLDxe{*)^D z+HF3b&Q7AeTI%xrsm!V;Rp~`$L@PbzZoD9lQxiEvNw-VO4#=nxIL(ORCKd#yR>-3< z*q=@kMaH{tL4)J>gY_)4+wBbc4>2+pom|I3ZMLHFw?Rs}9eO2kV4EQsgNZaHU!;oC zi*2N3qp~~(>k;bg3sm8m3-IB#cUCZ!V^>dMO^1WKo%4`;!!zydN(%GnSSQ!+(EFNS zkC{sR`g$es=;g*Om%O%PcZa}JLGx6_-*vPpF&Tqxa3y6Do=wS}LuH3&fPNLZ|BFV) zYv`}1ccb$KF@#&V5_u0>>{PVK@^Z0PA*3(^m5k^Ik_V%*Rdxvx5j>(C`A18fn zDY0JHgEj86XdDeQWvkxV6}mxTFDgt2ryc#SPHwV9vKy1)BCvuB(K}!OPjP!zvTG?E z@up{FUnpbfAATZ%Vr98@*Ik~Ft5ZQqi`34a*t;B)eLrD&XnC@O_cvHAu6~)_c;ze& z8~Ag_%F%bi1DgiEtKd7{TlZzY=4p>B)`OeVR#(C*xreiiD@EiE4Gve?Cl-9cQKuBE z)2C(j5bzSvwrSl62`H|uQvjYK;X4&Q9UPD#3qfJ%@pUwgcQ;+Q{1 z7L&R%ee!U|sS)ruRwylbQkuW4M>EMikR#qk{7a#jB<`Hmq%CI|;-oDV=~$+++Ll1U z7PCfBUToz!LNBCNxOlomLKE$?l56oVi;`!dZRUmLGzN2z{0l=Pi)!zYEq1J`nUizV*MYzh5M3GV>Z~`$n-=voK;`=W)SW4dq+kLIZR-RL`x?m&8k8dkxiNSH^R>6 zvVpic>ObiQYZ{JSqx0prdR7MK=eZQ`Rw@~WRmp;eRjm$kzh9DfMSYdT^E$+~SA~LU zYYh@7*fIR?OQMD=Re8%}69ae^{q_Qo3t?)7bZm&UinqU2Go2d?h{)bfJY0sp?0w5c&Yaz`0Z^$d&Y40rN9R;0F*=oG@eg{4#>$`_KL+G1sr zFJx-yF`r1>DWs{hx*c&R^MK@L4{y;#99% zNl`uIkd{+=qz$nz-c^OsN$YZ$z!;1_+E}fW*zOlB#3rB(hivLLWQN|>hujv-Tr)(3 zI%Xp7Q_u)(6t@2T))>@iSbRJ&Th_=u?N{$w*%*XJa5%O5(0&Cq-m!i-y*RD!^w;S! zAl?CJyB@}hDh&NT+AZ!&tvW7)kAD~t@4>QmpI|WlhX!}n2iwDrS@(uOtIs@^mq?z% z+KbEcNrpNT9*t%v{GckMyKTSov$pK2C1Ec|+~oP{8;rUJ41GWA#og9|rb?04;83BvYAb50J{xMR^4xqCmi1Q zPUOW|+xXrxTr?@CT`a=3b+X!Ykc|1Jv+Kn?*UKGdGhcMsqATpH~GQVU`< zqG8KlFN4{~D!Ym_Nhww~$Dq`GNJC8=50VyH7Razil!wMe>#W8vrxY-c?aI1_OukiW zUQx5YeLFF|7WWz~7Q4y-CyUiO5KuLDB{t-J(G(zT^#Z$a%xH5@m2&H?%fcX2n#j8I zK3pc9Sh@Za?`a4UW4d5?bUkB!T6iz$^Su%I$8G1;k@9ZIexM#nzzsviQ!7$ETg#zg zg|6f2{Y9G@$KcTDwejPWdsbxC(@~N%;r;I`hQohTEFk-*>tiPa%AXe{FaU^qV2nVa zRx&@^o?i`bS~~3U>K&OFT09PL8ngOS@M6r-uO#LL_}!wZ4(^J3dsd+H*}o*(l0NUX zJAhtJM_?AG8QeASE-@aBQ?XBrKW-=D8!N`AD~2*p26tD*ZrdBK_U0FV%)czdC|8s3 z5U>7pZRf#~XZKh6)+VZ&0E(s#Q=xQRdkz&F2Xj0)2^Pg;MhOg=ejG!exmlz zx1iaVrrJdz$2QT^4t{3-td9aF$JZ}9v7+<+2pjNaVIU)3rNgzTU5Dk9_0x?o%1rJA z^VB8?%Yqwr4msUgT1@G>Cs z@tWy5SM7Xp+P-&RA~K4)|LqUdsx7Kd%VibpR?G<70|lE?urHNA8;&EiUtg6w!={y4 z$Ge=XA<&JTui5jg0q_vKkNkIbyCKiV-?&2z*Arw@fG-Z@5`$X`o9R|Z*9!GHtPd8+Z{qyj ztG{3{w9aM-YyZa3*r>%k;emPc*+0Od``VMX5m=}kQXN0vH9{^sxZaw$qtFxTal|EpVw?cm8*o6pu*loGKrWL)*QRFbF4@*F3i3)uJZ z4)Hq1$=fjOBEtc>^)N?(epHaO!rSREV=WahXGgz=;SYkYTzVY`N)P$Y_U93jEdJOf z;A6m9Ro(_=Q^SyI<$Yi9Zks1*mU#Qt3Up&gL`U$ZZ;{!zNi_a;JIFga)OV>)`tde9 zw7=-2-PzA;Hz(L}>*(&EPN#aD+;ZrG9w}y-n$YcZ@`e?b5ySJd)yNP4^!A@niXT8@ zQV0fr-us^Y%iPFwoM(6*GBg}`id*0X{%$u$;r*~(-!^2q0IrrJmDp?Sw_#S|%8#{1 znLb3V9qV@!4<_ZKoG&BEi_aHY7QKJ0a2HpzGMrY$SGe3>NbU``AB$GY>c{VmwtM|S z%6kE~6%iBujmCZhFqtNOGn|gK$S~s;g~=}af2kAzK||Qci?ek5ggElhA_xFJ8Tt2< zC#@J+414vG$PG9>+5EjtCkRNLyZO^m8VN@JUti5=2iuCZUoO< zD;>2FClM(=>od3~9aBDuntQ!2+DM^i|Vc-GM zgO&|CM`CQsnu~IjiFKk6OdYl3zrD)N%lg48L=;$a&I9>J8(rHs94~+YLl-dL7|X6Z z$&}t6u;0ENeJmVPI+*S6uHm;<8a6b0t`FkCg5Ir!@=uA2OTEcK~FCTd0;PCGH>4 zg!@+;j&QN;7r7|vO9A4t=Z3g_21%b4b-9US{*R56jg#SCw`665sxM~eUgHnU5#m*? zqN|4 zmnny%mY-90Z!Ua>9vlCi^bT^QsK#z?^G9zsv6B!xJ>?}tu!G8N?B{&CD79iuJZhlr zO_*iTUf%MPzzsTR*;;V1nU0yC{BAN*ccRhRVl+aPp=LUum;#U)H`?q{Ek3{`ijyvF ze2Ki5$nU`m>j$xkTeZ(b1Z`MN9A@wR`{y8=k|0iu&Cw~_b$i62^|(pcrRp}%bu85= z=v3g90II@!*{I&$95dl|pFVYv@?7YKKOYi5rWE%A@*A=RWEGy27*)HNH0i_Y+uZJ}=&FkL_4(A-Odz;5>iyu*xRYyM|%hjHp$DsU8 z#owVrLKqYLoqF_H$GPg~2c#HL0Pox==*J3m_HI_*)omFQePi3#i`%BE7b-EQrJr7> zy9^``;dG3!$p z%D0cjT}M&2*sDE`^S9AiC{1>_ED^7Q>mvy>d>g!ew}N!o+h|mvgJOxRv6w~5l;u?8 z9p)Fe=Ql6dtIpj&y&h(bM6nFP3AZhBgM&24?AWSV^-9Wwd)|wIWkcDH4{ctP5zkS$wuY>yIdQCd5g&sK9Gq5;9ugmHDqtUi85n3&|homY_EN}az9Is&ukLU+uE;+y6bwik!WXcVN zfoOnR_wc#Q4m%y356@3@x1RqA(I&WPl>x;3%4@Ie zgEsi-Mb!jNN6AnoC@D)z1;Wn%4qpthh;-R5o&IatTvX-ZbfL-gY7X6_08F26lNmgs zRQ+5|ypo%g0Sr<#Eb+GWP^i07r-AoY@abl!Bu>Xs&}sa32N*l4iarw0(Lw4ua{EVdz6M4bSXEgP6Wim=WB8tQt>zD0 zc~wd<3{{b>z8ClTS&|pS!S8}W#;?8Tv|Pht^Cj%bt>?BxqHTcGQP}BGabWJ_;xN4l zg&{-i>5^xMSDiY|<}+bM>fS%z3f;B3!$w2KmA!+f4dK~Tj!w^%Wx&pdx{|~9%eGgN zXKdWiYQ5y+nadCis-|k^U+*LG;>R#Fv)V9lLt%D_Kz8N17pOEeTji|w7=-seieK1) zGR(2AgQ9BbFXzV<&l6;p)y~8Q&s&RLr|Zb3+x0i+G2*LCS?%j0yh69&&IYG)zm{9O zRJi`X)${LXy}n~x;d>?#(Pn0l+(zwX-}F!B&#C%5n8 zB;}aVODBqP#4)(D+U@aKr7Jf3?(fF=KT`7j--g?lF&m)r0L%7^;ln{)`+pAx`je_; zBC73+!I>LzC#h_mH_sKe7S%7opfQS<#|hH@muH_JUWY(=5&-EiD0&t{#wn22-_2VH zfSMQD*QM`-Q?58w3fxP)2;3J%6eNRO;wxV1`G81@|M50tlV&zmJnN$9Vu=6b>ovT% z_-c+=05trz^`(A@A)wi-eJj$EqIQI;g<(zF|S^ z@R#faw(1ojpxHP^L8%if{x1ruGSO~wH@kI{=tIf50ujAFebG8uI0hyEjS^KC+`kA* ztOYrwad1>2)YMCrj>ukA7zy64mbzXqj_3w71?evWin|2~6k=bzEK){5hAx={?<>k( zCc(qEVv9I~8NMDA@3R~4kj@*jS;+uNuB2VXkeFS&FZR+{Isll)gmKNBErI(s097GN*)?^{OpREw7#d*=TWGkzR|b%Z^3 zT;%{URkq|V7se6oZU*^M7IFplk`}5YvwQLEzB9iTi!sTw7G-K1_*cu0+hFI!58U~? zT%i_f6L^P^g2h_AA>5_W$@^ZYi#b@d{$YWl%|;4DciXv4X{qMyiBQ@$`?eVDSQq5wC27Yn!+ zmeResv02Hp|2|n&S#!l&%mUXbqTtH#JB;?)Fb3`|*d1L=wG$0Ah7YnsFxCkjaMcO@ zGzz^|nE29tY)sOVn#=}qR9K50xFLa0PwvR}01fIPxac&V1jVxEO{U%1bN;QAT^_}I38nmhwQ;P&QJX@w$ z-Xvj!I#kaO8df&SlzIS9VIp>UI_5H6wp;Q9HjN$>pE z%KCPeY3X?r-6se}aR=xMrwIv+r0Wxs*aFkO?ZnjV4v#Wr86lL7J zR~u(vK>Zz3A10;m8Pz7{<3L*9j}@Pz_07quK0Y#~_!*XpKAl)y116J_h6;x{K2oR7 zyZ7QGb@OR`*Cd{|bIVx7p55?!GR$2IFY#s$$=V*P{x@d*%L;Qo1Lar#O_o&vswn)9G1%j zD)=SN(syr&A_JbSp?0b$W?JvQn?};J5Zie;iodC4XWd{XP3UAO$(l?;kzzECGrht7 zUV-{>mXI4xB-mEan@9D=`5S*u+Zvy)!S9o_Ndg*v(P|pv8c2v_NKUn*U>{x*Bh4ow zOe9HBxNjn=#n>*tr<*a+sW_2|nCBK9P{{PM6)wpg4!f~&dZt@FBp8pHD{DUCnd97- z-||u+v~5ce!Z3mZNqeRb0(#Q6$P7O_qJ0sSy(8;>KfK=wx8^S07zqc#r4J`}>wqNX zkTL>IwV9*S$NgC!9)Fmdm#&vVqi&|cnxa_&MN#Q{eCcKAJO~8s-VGr6 zE<092I@S+D5!-AURnF^bzfN~|BdScDXnp~uHQIFX_PW=8e021-+KC~wmYQ%LYK>N! zjky+|Qs9->)sfsLiy)#r18?Xq|4gX!e?LJJl|H8+84*%T7OkJX+l+yix4?3Ej7QW3 zDGQzyvVG&q>8O^rm;)!OUmAWk_(oeuX7QPf#PEN{SHS8T};1>Y>MEz)yJF#owHo;ifr1SJn`hC1t z-jT-aLJF{0I*X8|!a5WK`GN8`e1UPL7yHeCX-+3uGA@5rJc9=Q}U zauSuH)(H9fZsZtJ1pTR@qo~g`&DS>+o6e8R6Nl%KrDGI~nvX#G;TJi;nnMmb9P(2#~Nhe=dW)B4VN1F@yc!Bl)hGG^>b_**(4 zHIyD`<(X+XDk|I`mH0?t7>=INr$+Jt&-X-)K7WztnOQQI z)^BnpVyfmun~b*+9;$}(+DnUX4LEdaR&`_Pgk@&#<@+K(U~mN1d%i>YH^Lc|(Op8u z{aGB}e$iRu#^-Zv6^=BMc_!Mc#rOHdTeQlmi*@TrWXpB*la?<~;>&O6V>5dDHnaCE zET|^G*jny?&#jF2We_R9#K{~~$^C=&PQC?irbN(^RVwmI;}K)u80K6;^qFxh>2@&V ztMq*)yZ*uRPfk@uGDt`*CnS>(kuKhqsa5w+)J)QB2}pK9n7$`NWNV}?NJP1cq;P7W zhuSS}`4m_i3}qgWBhf)9Iof;c@SSY8k`ta$*oXUO!uZw`NQ>k|V4C~l3KDzuqtigh z03ou4Ty}Ho(Viy1K#GmIEXd=sP=zliO8iGM_dSnE$}c+(6=L56Ui8;Bym~xb&qc}6 ze|RTdjud~6PVoM{9ZiwER-nD#boRk{a1PP03V>hMOy+V7CX*HvVEC8dTGpB(^9d`% zVfRkIvX)Qd210jo5}ZmN5-3Uf;nV1{K9S@X9^~WaV(AR80ZC5xfTkPz+@- zpe8|C;;V?e`*@vh_rBKycRNS&jEKffl{mfDs@&fSq2*S7Su?SmWu=Ay%}dxXo#<@Y zH;}}`Jv$dYA%mjA*-D>PT1_}3jfmRfYRqdU>>~<{Mb-qJp+_YFt!P$_)Fz<89JpKN|0}C+Lwo zScm1!mum@&n`IgGA7+O@UmH{~s5YvXsq-tL3ED~cLS@Kt6rle8xrrRl z-h!{U7#rDev?o{%i9oQ2)~gM@lu3FSKL{0BBv8VlD=mn$$v@M)3SqpDF-pg5h$lXj zPy%|K-2H2}1GgCLONWo-OBdKidV~l63&F6aDP__4mvdxRPY!SsV2s^+#Jmes9V~)x z1HT|ayb5R4Z>-7GGL=z$CgezD5O`zuec2EhWW?MjWWM1&#^_0pZ-1q6SJmH0JH3%i zk`h4r$Dhxd(H}w@f{LPZhNCO2m{zZjy54hOXAW;jS{=6O!b_B@hsb>2fG^{>_EZqDe%-NF0hXbz#C8~*E_R@Ypg^ik7e#NNL zVWN~4OAiZHHB?G{p&9l;-No2zQwSvE==)R-MJDQ+e845 z1e6~Q72X7(NBJ#1VV=x5n>pXaqP3|;G;WGC4El~-lP7&JB&8~bm}0;k)iEi-&QR%! zaN)icHJiu*`K$0%bnL9p(E@tZF~86cXs^^mbQSbYEcvMeaRO_;(rkr(HpZmwA;+6{ z#J{}W>(M6A%0vqa1)JS4nWf-q**j0@UuU@9tI_K!sl&lF(GLx`ARhVmK-u5%jke;) zI;U$_=j;;9fuTlM>D=hy%Fb?F5V`CCJrxQ-bR50O)&j0&%9Sr(D#b760a)wV4x~1Nr zkR&3KK088Dn)uEwujLB*4(N&h?i&4v8?)aubg6n%{+&+TEucihUJtjvDq|2KsWl?B2WWM=RRu6l72LQ_irG zzhqYLQ;RWhnzkSNN7bQs5yFe8<3l(S=3h@Uhw-H&=4AxMzZ=%}i|SU_HdjAHMVX{Y zLoV=~!ncNN_aHdCM*iE+3M8lDP-ctE>7H*4UM;{IhH2a(wVSqYB}s7%BmnZZ$x2_1 z)8l(skGJdi&e~`A_Y z*?VW{?7Y6=B2#wmhN8=Dy^2qaB5BEkx{W43eQ$)~&w-MNQ|f~NReo}H({Hjbny*5G z`YJSqK}d@bQ7hwSRqOVBKy`}D)i`<(vZ$ku9R25M;R|$W;Y+X)Oy!}zEt|e^f=jYE zk!cJL#~htA+afrg@`!%QD(~^{2kD(Mt9ARcB|4@=%EDXiiLi8&ugB3} zJ@)Vqi|iw{_vOwR`>C-vg$E5rrvq2c6I_wEa>D#x#SxByQIKa0Xvwh8KVeeJC|XbX zxj^pmXI4#I@7sH|SqDDJKNikn;O@-ynoGm})R^El;{RVtG9z9BBLIG&Euo@BWi? zkogJo0EWcn()f}_9}!-U4-z9h5&KVDp{IsQd``aFTn{IIT_zqXn~8@PGi$#|hMlsg zPJvUZfRCAD#CKp*rLNh~e535WV9Nhh1jauA!^}5>s4uGg6T~Q*WTM_~^az*8N5S;K z-o;$cS+P=_?iru4q&!NCu$xQb+rI1*nk5qeC>%!}4j9q-u8e-TqYbLrRMZLzN#L-; z(OX_h$brqOpO0)&#jLxhJAMn@Wb^jqw<;=ALY{`vO+;04Pj}T`6{?j7OHj}EKip1# zg_?z48#E=@VozwgL)$wa+mSQ)>c;?UeJ@S==7YCkrb&`L)=)`1{H>KQSkGS+)%dn* zZH=B1dGBU18%<@th{$ekh7zF*P%`oF-G2(MTenEpweK4#bT**8%lQ##nNzQTXf1-Q zo@BuM3HW8R14S7R>E@h+vo}_>NIypsaQrxxYz5wYix?v5GPw3lQ3@;UHMCyUWzK_i z7f$thpxNXzQvLeY=n`?b-O17~h*=xcUDhFsRR`SkK#gnO7P>gx=yxo|T(fb3)5}or zUMhRfO-!auTucuQN2BYIDrxPMmz{|P?N6e~K+UN%%hO&|^AQ@c#gV3kO5qan%ezvJ zgF481)AZw=8S#U~k?&h_I%e29AU@t#1j~tU)*LT0VLK@vm~jG`%aF)Wt#o*l^*ShVEUK ze$&9cVTV7;@nhOggjalz>1`$UR#8RSV5m3am(4hD7)~JOcgbF|+vcF!Rpe-TqQO#wG)Mp#Re+l0 z#(k44C;KB-Hzu^x+rl9sNs|>95OhE@&*01?B}dH=Xhch!K7{nzW#$|&cRKqzsS26u zwd7DUgp;s~N}0IyPQ*T$Bkl>b^3#1Ko`T$%g-+eQQ5!&}`@8=3m2I;fMv2HWBEw3S z%T`lU0%=GooHKqJ{z2=s^@G9$kbi17{}uSc$|%TdWBV2yS}5rGe)L@rp#KpccZbJn za<;jObQhcFKi~ogbz$!XEpWG&)Fe&esE8C_v06P&EByy4&iqMe=Kp{WxRJ8&qcsUj zaRi@8zaSAS;IBP>l3!-|NrE@4L9dM`Of^^MYE})}>HT2w@eLmwMB^jRPvaYls|;MI zKnF3L)R_dJaGCR3ez*=hB@PNI#=j0?+|x%3yHV6RlT17isyQcCe)U-acP&xJm{k&z zoAoO@^>{eZY+ZBb{>shX&pG0s{)-@GrLOeud{A^o0GUziexWSd)IX(@o`WFL4o9ZN9oB5Lkrr(C*(`zB7)y$Oe z!0TR=u26j*!2x2hFW&<#JJ&&>lupdV2+1xSNQedvF5}hc8(3LTHH;FF!k1>M62)%y z*W@>P!RqgxCzdrnk2plKjBCU3-1Wo~p(yslx7us@B?gq^?IVtqx%(2kujk3X+inHh zQLCkQn#Xi8&xYC#rz*|ij|=A=1&W$;YT|tYDH1pGC!WTHHFNhbUTN0SV=ZFt2XJsY zXb8NP`>LB3beY~d@HTa^Ed3#IDxKm%W?)ZRVSCz3BIlq0ko+Bf{+w7Tok&%bB~B@9 z1q)C1VnQ?dQ_6=2JmknOAun+(LHwkzQi(AthP{6Iwb2`6{PCx@v2a^0WF!@O@eR;Gwy}8r&+) z7IZu%qx-v_N9R`b{+D>0c8ugqr|d4rigU%hTQ}8j=hB^DF=o}w!`9lsl1XTP=GT?= zTSUcCgQgq9+LiIEu?B1lC8E;kaA|MrV9)@cum)2qo!Wk}zVLA9Yh@6*G` z?0f#F7Hai*^>Za3(sP@x-~AFV(vaOiSv+p>40RO_GC8`m)PeP^lW8X#{xM9j50hmA z@&fE?{IvyK!Rgv3M25A6wx*yj-@|e6^Q@fh>u>^T3SwTfHrm!%S?M!b+i}U}nm;y6 znla#S92o)c;8c`bef93s$Pez)NXa8fFL{+1{l~J-{4&3jUi0cM*00(9+^z@dU?M6< zmT1U2|6PgVeppiY{N*hsb1jx2ev}d;Ffgn?!-YL-1WMtu=cij$0->ZpT#}n zb?!?qwb>}3o%uVc&l-(!wf@emkc-4L23rvHTD>vO;3H&HZj9j3V#k592h8WJ@#r5Ku1#$+zQ?w>RwB{vwRx~w0UD+*n zF1+7i2osv3k@fkG1S&sn$q3iXAHnm*+1Y%R$Wko5-gos@w0BSt!4B;|1m{l(epf8$ z4k&K-Lze5UqJP>hMVcp@`i_?%w0&qsvKA>M+5L8gll7)L$dx$x457fLq=_vrk-49O zG)j=RWazTbrqDy8I`s`zQx#yp@im8t#afenkqo4)oif+ao~P|7!BJw!Ua~eP2GUU0 z{vTFs&R3{E6_O7Hy#$oDeb_H#{*AeW8^ihq6^WAvYX;~eJ&N|U0*$dV82LI$>@wc6 z5?o=JB5%8jBCDz~&w>ZBhKpY7$hSOwmpIAeU*S&n)0Ue7Mf^2)9(jxJ=WqR0ir8HS z5!+InHr9-w#WE-WO6)I2d{M-W(x6)L0Kqmct*mwewAuaapk=0;Bb3@f!R{oLr8nIr z5e`z*@2T^GT=iFv9Bx2LR~wARF#eXM72}fvvBGoMyZ|zSE@-;xCsoD5(hCu)aB%d# z8#`Ct+YjL&9eq&*-9b&$%oUZJfDHCR8zC zk~Z<}Vfy5YW#Rq%i(pq3IwP(;W%vj4EH$Y7!|+j}uv7ZNlkxnnW%5?P1Jd=%iy5K7 z&Gk{>#+C7jW(&8p*I$a@5kVfo{0u4ndp_P^ZBRYnBq!Tq^~&$pA^s;U)_ub*H>SFf zsiH;1fg{uB<{w=4PPD60GcCv0NQZrvGlo~3dB3ae%9{MNq2G|9owN~e+tzvJ#*4<9 zYa0$KgtEOY=GLc70gJkW3Qk*D$htk^B2r`wMz9UC#mgtzld?V~*cO`ylg_ufER2<) zPgDRObC8_{J1diw2bP&3q8V4P>qip%U{lulccQx=AzL)NuBO38y}Dp}O5X@9s=hX!6nPk{X*XNldEw6Vzj0Id z3Kna6ku-CB(bgzDNw*Y{zQ6Pt9bMvbo%IHkSs8Ut!fO_CaaK7Qf7K}_F5|rBWIir< zrs%}@^(;3Qs0Cc!?CFIfQ-&@!2H);jEocL(+ujys@1cR_dwt8qETxG-^J7gT;}KvW{B%ROJP&3Iexd_W4Pf&ptH z7m7u1%aI^1XRa)W?k8>Z1n)MD(@^rs=zqu%$ZO7bcG~92?HJ8b{6VSLLTnb2$n=*8@u9Vn@9TJYEp2enaU0dyYs2$rJWNIv}nh1*KUyFagsOzPj-PPD1 z;9MoE=aWUXv#c`CXz~r97+o8kM;?bb38KcXdr@MhviegH4_b`zlN+!xHDG`&FU*+o zBqsRl=w=v&S~;2E#ckd$3O3`wa-X|OXplSjYW9bFHNX9hLY?dn*OGsduAJV4rF{)g z7GR+&ll9I+URh7SXP6vPbZpYKNK+i1x}PWox`ZG2`r)&HJMaqxHC>&lY*E&gK3TsM zQ9%BC$2L7L3cpHqn?nl-tbK=ILZ=AAxGakYmms9v{_89_+NANWugjGc%Kz}9hEdZMgy}^R>psRVpyS%RAk<~)3i&CG8F10B^j-2{+?>3UBTn&e zy>`8ad(eu-OY!(#SLH}30H$xtfg}VsA1CHiow<>P9#grE`7aO)fB*E`-y{vkXB^(x ztt3dJfO0gwx3H0tk!++%tOw;pILLKE`C3L|z9{Pc-SvKVT9$vZUTVPQ4|48Y^csq= z1aipXN!g-iFz}i<;&GqB7piBwY?8d(-=vu;6IF-k_l9|G__TBtAFQT(n|4PRn@}JSB+syK4hnt)Ss50s2i~L&7~jAkv;qBvOpU=6hfDOyH?WVXKS?Y-Yi#)w=AwE!)&PD}DV2=`->P|`>h*8JKi z2eKbTngZ^dt$)u&B~pTrSPvg%=s&*Tw{*8C@$&v0?JoiyEU4SjQw3EyAbE5f_1$m- zvUPPCz}8u@M+*gQVu3^Sl%?Tk&i$vgQ8wol90Bk6SK;iDIR@qpuU+RTR-9v^P>NqLd_=FD$^HuY=cHR^gr4ku2@Uh0h7(T<)85~#E zzR-RY%<3Hm2Mw9p$7Btft}801crkX&khr(|z@(>-klaBogar&sBZ-ol$?ZJD`=4_zZK(rB8_$Z~U`bM^^Z}SdC;7fWmk?=n=ZmERpY-9P%;LOrq#vzSL1Pj7 z&`$X@Y)hIHx8x~gT+XNAi|yR&?;id0*cDZ{6fBdg5_ajTzayo7{BsG_;3fS$#V)C( z30(VyLsJSGIFw+z2?m(MZ|oHt`O$WZsbt^Zx@*9EzBet&Tch+Jn3>pHcT~=b2Z4Tc z>z5dZ#yo$m3KO^XbXXk8i=NK5%pR!eQd)i3_f&CfEM^GL9+NxN?hw#CuxfF5yFg^8 zdS#%MUwJMdcd-3j$yzYz%O+9sJ-Bb!8R(orkbZ;EPitsZa8jW1I|R;7F+ND+^etgV z-A^m|{D9ypn>Vo_C)LHqG~!_T$XQz0j3yR+q?6CjL|$xSuepNZRc6)lDh( zM^NY4XQ`CDFIw3}|JW(B*#e$eawWQ=WEI)h8`ulC&w`HM&?2TEsI$^}`N$pc<;B*e z3NTgVu>JVsDN!QycxV*03l3$-YX>1%2A_Yj(Ph zE8n&z_U3>ex^nYgzx1yZR*0&u%*+|;Zk%=A2L#6%XYyZBhkA%1YykrC1t`4vS2*~b z8E`I1-^=eBK$P9C1-c^P^8|G+2Gg-wV#fDmaiucH49?YUFk`U$!2#@{-qh`PnAER@G}Q`t4I1+ zBF2H@kNuOKp!5xPz6#X9kX(g%*=Pq^2&QKWV8%!gz;5`amMf5 zWxF%-{#5tH;s9zJ?Cf!=fIoeMMB5I);?=+l$!=LSRm$5E`{f`kvgsowS^qqWdOnWu zOLNY>p}WWUjqdyF0So}I>{35IPU(s?*Of{RZ6D9oh0Ezkk~h2``(h--FZsX-3;7V7 z!i=bw(WD^3PWfmqpAw*ZwEXAm(8aIdThVk42A_b!Yak11rf+b{?))gKT)_!8RTA1) z%4f&%^S~BJK_wGG92-6(meu-0H)W+$g+y}|Lr7`>jC|g+y+z0N+rjnQ@=vDI7-ltI z$^N^rY84GxGMk@FGReRqeZx|3GQTM$92)&eca1Tm&G}D?JKu|@7*j4*P>?qyN)z%5 zogO0?;LD%$&yp!_OKmKnSel;s<23rhoNOYUF7_u^y}=Rh zXX189{e$qBUY>u{vuNaLw#`qnAK#R*9ei*Cp7S8$hALe7lB)56S|3Fjt)FrB zA%!RT6OMBlfCWJ}Pb;E-QDwE1%gUg(L*#yl!Eo_))y(tOHqY2+lp$F%z7=Vb*kK?N z)Q}<78{sI+!jUKF(jRDC$CjSk!g$L9;*fp4}*3iEbE9o85f9E?Oj|)=J z7dM(yriym8TSGxO=y9y#6+=mY=4W3ypFMP{NZNjE5Pv0K4(LNo9hGH?D)T|gUTR*4 zstv0hzG(O^3LG#ZE{&i0o+sGoAMaH>u{pg$|9Y1eyZLFi-}7xJJ{-+#7l~iVF*rEU z|8ND;9P{DL>;AC%d}Yc|=zN7Tv&@^G4_3P$lZ+mp9I+`ozjN2s;_V`2GG<`C zRDA>m>;+!6>{zF*Ha5PxN=HUEg&6L?{<>Nt(sbY4E2+CG!{f0e!(1{!1?H*PUleA? zTyR*%<#IzxezjY%Y67X&;nxOO_#D!u1rzQ{c-3mKi&TU+M$!Ux6U-_3ODod9n%|aX zI?byeONMFeIG5+0cyRdE6THB9L5WCdb)$X*6KA4Wl=rSW!A#!fL#2khPAUA6jk0c; z?Z$tuaF%kO`N=bOUB6?)P=wrfp)#m~BRCODD-aDd7a{2hQ7${K=}v-cvDQd>KT|dd zzv$T6BW*Yp@`K7zcYl=}?UV=wBN`y&%h`K|Ycnuq_i zVj-RMb>I)`>#x17Nlh9`%ptrUXi(lf`hA#~`l@mslCwmG`F?;&f#db&{(O_3eH(A< z%8oRwI#d1wuf37@$F5uSOV*eYCNNtr`?iGv;jt87Yxz|<(~wBC4{EU!;A#*90%(qA zWl^f|uK+EvDR!L;C`8Xkcrt)FG2Io`#(F6vUsjK5D3nZJoTl<@aoHbzyy$H!x~ z0vbg-%95#PylG#|dHFo~#s265Mafs1sRWo~roYvRHf7nw=9oyN;4(zVo^(7;Ia@O? zPl$i)Vu7&UhId})iN7U3Ys}%7ppA;jxf1iVP~lCLzD2oQ+NIkx7g=?0$y$NA#Fvw2 z)z2EPF0|Zd_E5|7N{a0>ZG?7CY$gBmmF3vMyfy{@JKTi~who5=&FPWA`9==IRuF}f zDECCKm#0T`dOGw1(z)7M^=VpI3kzN$?)rSJyoTfnQc-r<|P&23VPXxtrImS zs2cpzZ7&?(&Fe))(-V?{VRW=Y-f2=`EaT_yb)Aa; zJzcehl>jXm`!^fYfz9_Z4)_O`0q-pxg*vI*2Aaou#HwZ>xYoITs9G69*;&I-gpDk} z)cYfac$k^tE>B9O)~$n)(ve)i_*sg~E!Xb~Lori}ZGe*U=xw4^6k?NW^Zea)^m%S=j0Iwe)Dgh3Et!JNu75UPJF`x3~Xn2^U|#1rvki!|;ITf=v$e zf7?o*C`o!lJ{qJ?mE+PFTcID+zUII1+)WBJz87mhH%>_P%}W*69qH#&*x|5$pLvfj&UpIHrlBqsqoWER`m(XXlT!PA2j>5RJ#(!u_ayj(VRT<gbdJpw&m;P_^YcW00W$%Bl7${~XvYK0S$qMNw z0q@%YQZXVP$DN5adKoa%_ny&+o~|WG+~*d*1vvh!Pu2?w<5l&J>l>qd#@w5qOs=?G zhGRv~bsm+C$Ock`5}dtwT#5(v49GrS%Zq+}>U0iuk167ZsrTrQ*`xK&>tqXYFoVJrfb3`pIXhD&}`{n+jcuqTF%zxaYrqOAeoWoQx3;j}6p;uoB!2D|0A4 zdQIq1(IhjVVUwoiF{d_Ru_>iHhp)Tf74B1W7eowg_-I+yG6t5AtUdGhD%=$6|61J< zbaO&dbf?7$#j|O%Ihv~EoA1I-OOH!#St@PXbRojWyf^M zpcXFU&Yv#I8-x#1>_$MG*4}UUINk6Ripl2qplMsVn1R2>wu>$nSK25_0zTkr?iBf! zttR?LkH<_rA|~Vr57oe7r41lYlN-}_rdex)DWDWTocKMfaJlkm_aQjIT{ITbY`GP$ z-b$PFV0TuQpzVtLbM`4C(~L;-n9tg#MQ|WTXmn$Gd$DAYZ;}E6zm0?h#Dw~mo|#yK zb6!O&uyXAb&D0P6nKP?6GveP?a$l@xq%3b54qBt~LXT{SNvMom3!0>+jDdosNN``K zzs4g-Gn3kINL4=o{%pOA$o}gHJ^JTH{dsZ49^{$4SmZLRZ5 z>@2senN^@t3V#R0h7`0)&6gOH_$YSnUrWSe0`G_P4pHY0(Gf4Eht`@6t~`o?vgzD6 zt{gtYpH_D*mFJFPi6!c-H2<=ppZzRzg$c{1sL@uaGz@=H9b=q1^WN3&?i60OZ|F|l zl3SZBxuS0{p=(ejGz-AQ*EAZsOie9E9S1xtpZo>6e0JUmxqjPsb6~9Wga~N9qC)=e1LW z2#DlAasWcY&I)3Gwh?`wQ{#iZsb|lb_}L}^-Cu_(Qj!sFINp&`E&;NLB4;#mmUp#Z zkQ1*yOdGXT|G=$OxEW`Njq2kXGP$qaY{EQ62gF9eeB{x;ya&SdChNbv;l`f*`-^Wt zDfC3=k8)?6s@ea>*oe|9(~qRN%a8sz8Q+Pxs`i42Qv7@cU{G)L`KYz1TP%QOr~pfN z16X*_?sflNK0I~(6XwOL;^&ISF_2F!x=h5r4>58q)&-V*>i>kQ;#D<1z@uq7{v!#` z$oac+fVpVpeCNmvTF+XmzMpTa=%TQ{N-Kc{)k9YR?XKH_ZtLCB&8a2RpR4*DK?I&P z-$JqvF($tP=@G|WE6N%0e&~lh?pn}BC?jFcA&9nWBhKlOZuYhr^__Z!pWav) z0do;MSc8g9GncdYw((<0H1{Vg#Oe|(k0xPOJlSv1Hzcj^7}g&EG2CmI;?_eR@I_{o zvCxv@H)oWsrHMb@{>Qp52F)MIp9-uuv#OoipGk12(K|LL{wqrj`yO;)4+Gxv7N4;zl)T3!J|-XNHLq)Squ z|4lE01efxx7)(HB;(WiGpaA0FQQ=-+;?k8epZ?4H$uf(1@ir&7Ri#B|2&W;25@@L( zurEcfh>`my4;Fe}D{{x7XHtAwOzLNgCcmzu?8?i%($KcCb2jNE*HIZU=^tz7hDMQMFSe>7Gxz7DJ)*G~9$Zkk z9uTA<8~2^pQ0}T)f4zoC^Dk<)f(kYd{`-DD0+JBYI93h@;VmUgwFZh1vbAjRGn|Lcy#$#lVQC(#aaecdwlhV+1!Cexma!E9)k z!^UBUG#Az7$U$~@%VutKp2iw8KBzI1PrfnmZiam+RX;K6z^me4J0XbWmPmNkmZRT> z0&tB1sP8M8Ur>{Uyij)8pI@Y4Ao3wo4+zo!5pm;#5_XTSo$+SjGVEaowg_gz%KX?* zH*xGdcZ(q+<|`tCPjwqynM;C2lw%a`jL?FzM)|w~O*B-;F$d6QKHqPSd zU51X{c8GtAHys1X=DTb?_<^6R#fYD!W3K z;VVdx^=s!`ypO=g6DS-4WXY59)m5KTA*($wQD5{k@wtHlF-CCoG{;=S_F!1eyM*?? z({(q1YVk0Yaz=0Bzx1Rz1WSWPcZa?A5ji*(uoCr(opo;SG{nRvr_v@7?J_`a=u7O22&pUYQ%No#C4C&fa02f;@O*B~xb-<51S?eEC|U#^@7 zJ1*lp{Z?_WpzyrL^@>U=x@gf^^1ZLGOW+CA6;j&`79rE=aoJPaV<}iOVBtDvozWkV zfd68O;U=rta3p-3oic|j+re=GsqO`hHwqZ`oR&9*D6i6Nr9V?)%^l4qR>9%LU9vYH)e>h z>O#u)U(Y3t2+xSRBy$%4lS~zDcyPU_k{T?tAuR*cjM8hTJmcykMkDaTQf zSfk08*diVm*ItCBK-sNaFxD;!r$<6QsBD>(W-dMQrQr5+Z=5pe;8n_c0EzQ5 z`XxQ@Yqn;vBR`3L5qq{C5zfIIrA7xL;^V6>a3A!_C^1+$e3qoml z)gCy?F5r38)QSQ8m?Z-HKOgY(xS;FCE)dMtR$Q-9P5vdqJo!2*(pp%;ttaSKVp@c? zNU}A-eN>pq*6*X0dJKKeVn*dnFtTngfbcu z<;vy{N_Aa{_H2K-Y-Nw7QklsMXO_ar3joF-B-(A_yf}6>V%Tltyao7+35)4uq6U9Y zp_(e_*Unk%)RA~?s?8GM#)_TuR0sOpmh6;{m;M4vlNf&UTTFHeh%b-UK zc8Kp{R~TtSB5++Jo4>C;l3l6?`SPQavoAWbI$*h|@bBNqFE6QRD38+{J6P-awVhu2bKQjYZd1>UP4J}>dx z3%ZIL#Yc76y^8@1@L*QR2S7vjTlyaiF=z)*-a9+@uO%1wsLG@YMLqqef*ygx4j=2& z!cku*jJi8&E_`WXC0-XF;uO8~aXUW>*ZlE0_3M5<_4O}r zUF^dthO=UB%2-gw_KZC8F!Rl=73lMhXh2oG2vos40~kAFe0 z%=H+`78-p%O}C>H&x>7>(p7}XbXlUn{xcZ{t+$ks`Pmm_~5eRTD7Pabpy`Q1jOF|)K%69!0n&dTHP>ZU4 zd|Ex9;$%fDI|>-S5wfi z(tCU1iZbt6H=_*X`9=sokOgjobSzA`mi6@@?qtX14bz1A`^A4`fy$}+lU7gqK&Ipz zD~k`DH&`u`&NmDR_o$`TB)-JpI>S@;zk6)sS>`mFm9(Ws8lWe0EMIB)i>-Az`04D?RiU`PLn3FJ2mhvgyB(_k__0l_1P~e=b*pRMsK>SGv-Spe% zWEfli+txmmTP%}7%gez6GoEv9GM5J^Y$ZrIMm`Zwt2gGpM+=?6ZpG++J}eUb?4l5R z8ZR!Z*U&uG(Rn7y4~p@pSo7E|7HNWrX}})7<3H22`%j=dL|#1Ty1`q=q<7Q?K-xv>S z^y@Qu<_q(mPrN*r0XN;he+VR?UG@I(5Qs4iMI4bFGuVWZ>uR^L>@A{gA?6lki8Qxz zvR}@!_i#FdUU^%ETH{n;x8`*5;myyz50OsaD?!m@6kNv!&K5s}$!azAojrPEsM*e& z^54KUQ9!H~q}G0kCvuFMx{9YQlsg=`kGZ$`yuc997Zjql_lg)c2M~1|yExC;X}-cz z?@>TKVsJM$NVDUg=d?loMSNCJY;u&9|LOMm=H*9@a-M{%8{X1Xbq%wapduD5O_`H8 zhRi%K8~Z-Q$KgWGUbSO1{5N}6up^l**A2Cukx$aLaQ0^B@HZh(fdGd>MHT)m3(=q8 zC(Lc;JzDzj;E_b6udj1#XIMl%nydw|NqslO`V);h)p?w;%03EmGg+8!M8{{as{}E% z+50`hROht2Xs?xhTLo>;(8(8YokvsYIn-=PH=)r^A5Ewjr-H3#k})=6&DNnBJH-4; zF~zPyO5G%NM@18JyoS61jHTQ6kK>Z9XxPk)B5!)oBYQE1yR6hoK{8~AYi*4 z87%K)Cv^1JpHLPO7o7iz3BFdt)5OB*u}M9ya{W`dPz89A*c$h(MGIzyH*veHt-5!Q zqjqYhbdMeU^ z1%CKNfosNTz`}Z06Vc6pxCCtNIpd0FZ1upY@9AeVqDSu#i zfsZGeI3b7qE6rs&w=)lUS#jUxKj|zLD`{}*k{w(>z9CHZM77L*A4X z1dol*KVwQ%66oh|f5^8JRHq=~tA4+E&$XT$6(pdZo9{z#H6 zGY!eyqB$nU3_K$T?3Cr33ieY(o5_nIVLu5q?_f&+t;jucGV(IRGv{iHIUCXLK zxb3|NN_jof_4>N8^uwC+u2s9Q+gSX}hxNETlBfv*l1e@~f?{J8{TdECD>geJ!SWUw zV}~GP5Q2FzOJXbr6bzuzkAS?~4g?1jZ<6I zU+b)ZW~GC-u^i$i`bqQ+|Jroa2a04X1NG|r%#EZSre6KN+@8n(DF$iCJF!#QJvVm1 z!d8zk@2|amkjxg}K3TS?$0dD~|2~)Jd**)sAarn6g9LUkzr)$V@ zF&G$s0@^P(Aj7QR0SjWXz4iv@a&OB?$KN2WV9WAZLtegvw!$ zz(rI3=grY6^c738<~?VLQ0}tdv+`i@j+|RyFo$nuzEq0G6GHcA%}K}Px|Iu4D9k%i ztX<8f;XpX%%e<_fSLLVeg*Qh69f-hn79-V(z|&E*5FY#vkG1V{C=jbScE0X~fg4I( zz==pu$?_GO!Ko^JcKmmd5iygucOSK0Vd8pA){XEOW;eorc!>F2>mtZY0ig_pwk$HK z4-1SAagfO%;-z$b$X7KYErNfTl#XyBE-l8URA>>ig?01U6kb%-!+F&f6@;YT05 z$*eKKtxF$#9iqvjwKO4X5zUE|P$L5K5SodG*d>l$M8PntJ(6tesZx9{Lhs+ptZ_jI z`LLyzLLPskYnder{ziSaaSzgWWb7AvK2m%$Blz()UzWBurYLA+rR|R3ZiT5Z@^B=Y zeA!t^F3pau=I-XzMz!iw!GXsU#3;ROYQFQ=4@8wKYVjkbEMv%X8{W0}h{M{*KLDZY zR|(GtJ+N5d7ert0yNM#3)jLKire-)0rc|!FM z%a}P#^E@(Q90l&yoCHRg_OJ znb>qeaK!ijf`0s<`t!HP=~_hRlXS>nX`Ws%*~_`&GJ_M8?Tf2k^we4I1=?!Y07GZr{m0hyKw*?s2kk} z(3(1VWQue-^a>)n>8We?NvONCaY`6-jS>9PIGa&ExDeGPJ2xuNLQBy^bF6@q40^%A zHWJ!YZZbLVbXu829jJ$q%@c&w#FSd1(te=b(L0VVz7zote{JOEHG$5z@^&P^Q zU`S33zC6Ev<{KTOgu#8&^kZ*FhLV!!KT3S!2?372QxdJUVxHp8Aw(ZdeTmC!_-{@s z`k6}qQ~sKj3%2u>BjRKBGM-@32?!5i$csqbsInf>k>4z2ci4-t3opQY4$mevBsJ#- zucPo{HFeY0f56U$Kd!QcY3}s|!p5yBvE?*gerp(0f*MfX1I;3Y=(Q%_#sJ{)3(QlT zo+pZW4y7#-4cJo{0V&%`Oh4EXQ?9TH0B9bhEAFJ7-YoCE;t*%S?uB558{Zx#zr}+Kg?Oj^9fEDf6w(jn5$~%? z1aHD36FmaI*iI$m3*qkzT91epqG%!i9ncZ9@D@#l_p)!!7X61Z%>lsL|W-KPQ zQwppe;2YrsQGRa*ZReRKz$&{P=Td2>+U(;sYB5hhWyn>nTicyb$3r{ybjJGR3LXNv- zR0h@;3)Kb+ zT~HEF~LHLWXWnTJqmtz%MUewv-)%6!rc58iOvjIG2DSz!jw6SY6=Xc z1EKjBqIo5y9IpH|dPS)X?B~Uwp9s_z@D*V>IQqs8?a_{DuMo#e`b}Mw9`^`V@*{B0UXLm%^ zx$Z~uLYk+ay#Gy?%wL&Pk2mAZ+KjxJui66%m8b|kTUtfu3Er@zF3WykCiBvqf^?5Ag?K*?pN692W(vKm92}9j;}*tUoWl z{z|x6Cs^}J3t~?Jin-)Y+cJDN=cd#Exx#M%4joA^1V0NjnwXYjeG@v96YQ62HJZ(c z*F{RTPoP^b%h5*MTm7Jdg>|2@0Or}$EqRBpsb1Df62LemX3a-tl!HlpNX(@lwLH|V zj)SmMAwIJ{ehW1J!ZO0f2z(hln-(Vc<^DtEFDrK2ebDRfwjTeBcO?ala~p&4ki0QZ zalZ>;yk*-IK3_a>cY4F~uQ@uI7v2m=R3>>)PkOf_nD6PsW_HaQP7ck((Ldk0eDGP* zSDCm57K2~GLzUxKAVi-E0ujW4xO`q}u3%3!SM#FXMcED~c%?&-<2S^}=*(#X>$N_yjb@RcXZ zJI;gf)IibG>9l6W$3IiY)hfKWGeNKSKGYQZ(TA|;Qs|c3bL*~-1eVzwRbhdUQWWy> z6Gyhr?gumSUx(*}O5!pe@?n{WM|=;6OAEbq3dLplJnrR!Rvv!TF(|h3PKxE=;3rl$ ze*WG>n~k4;B%F#XcJMU~-iz9=`L;{qmI{5543rdruS5@tIB;yuInzU(xgltW_lf2` zDXKLqN=0)bTJP~o)iYB`Ag{>tmDb5jW7zhNMBt1_gD+3&?g)OV0_*1YgynXIMczNi z*9l#^Vg7P=46*T8WhoPlVXZ#x)NvpsVEsOR>j*%99Q)>AB=SBcX4sR1D}PlmoVEbU z?DdxKB6XQi2G{>#&h*t(IUd9u&iEZPms$aA7N=_k!ywlP_RW(ReXw-8=JW5P?wg4- z91ET1ud9npcBrSRb?soZirG9Uv8Lp|yV_HWx;)}>&99k8T_{}>0Vr2w1T|OQ>yZau zFP7s=Tr}V^{F5W5X@YP>C^J{GFHz-3SHB8&4&E}}uv`hnEXenG81QulUq0s( zi*DUuzXrD(@yr^UdLXOFRobJ%Be~nFK}$kfI0BfppZ?wN&md6RB-h+p$8M z-HIzpoi{sB;N=g=aR@+_={yfmnhNfDE}48C488u-3G_1wYIw&P!y7w7Z$z||V>4RaQ4fednK;ckxTHZG;p+TplF8iAzbeuMUK{G zr7pHl%j5nOqA&_<33+K&1dZW}&Hb0g=KVvQ8~OAK?DBZ)E{LcM3QiMbc(ZbejGP`> zic87G*Oml(6rNfQ+%*J{uT{$jv#0?6C0dkU@*d$BIo}-Qe%>A0ow@&)vwM4JSHCv9 z+YA;=V>(%r2xbO*k}gymueP6z>2#>p zA!7Uwf3ND*>g>#cBE0NarNL?El3WJj;cIi%&SVd;y@=Jm;nmc|6u2)|a&|qr`&~{LMD2lP8kpe-=yh$7xUx>+jg{%ZdUm!tj!v zt$)9QaFGZ+>cw@kW1a0tX5T|aPnUgm9lyKFG6JUPNHBj6>-Kj!`}!xw1YyA`1=p8q zDf@U2l<^+GQ2;$CgR;_AJsSKdgkNyB#;`L~r6*){u~ROig5>V_jESr`Zvp(FraJ-> zU%$OBNNRLn^M7jh=P#Q${A+Xf)){I(LF-`6(pV|Dw3z(n;-e?4P-uv)8TRwK?PCs` zG`w>vjLmY-(`RBjeOtegSB_p53Tjk(?nhV&LuHP7(7F>r|5BoO%u+SxY$iV zQ0bDLw16Yb?a$x9)Top(^qV%4SQT7}h#5f30PlF>w;^ZJ*Kh~)OzQQ4DP*Jp%H8AC z^VC+E??|q&^GF4R$q^DeatVmKK;EXV<;IIA@2Y91Gfokvthr08E?-&Ycj}G(eQIZy zt(pb*ss(Fr1|sZklVI_0xm9lmx|yj?cpmtUpi3~g)?|{;FWdxGRh<{HefZ?@`ObE? zTv`{k6~i}-1)rTg4^xH$A;sO*7S7$fmJ7@TnG<^DK7xB)o!%Y%;h!|2ss|~u>vLbp z%$ir_apf6raxaoR=~E?Tr4*D)(SjD2_3O^mn)(;qr~}o_DPwPyx)c)b#7*ODZdRtH z%r3=#?9Yd+7o3q!016tS)jKRo$>Xe=L)(EM;kO^ywsY-UkX4lsghb#b3G1=bW--@a z)fQ?yXZVtBCCJ45;%aE(!>_r@Wt>&veHl(YVgH8)<9im$K!+L~p(<#u(eFZZhjFRI zJh?(vcq9DhR^4%y@0c6*n!-c#tc%6iGtXiKr`u_%UUQ|;!)kU8cdm&iRFBA}%I}8% zNQFEg9!adWJj4-&(A-`HOvP+G$Ao!#HhHQNPlTAm2l|02&sv|74Ig{bQ_M!hp1mkW zWEopu)@e51YOybUExLSV67%R6`y2RT4BMqU*Z2D8f9D&3o+ko}U-;s2w=5dKd?a%iX8E@V+kbgg56*(N0Gs2i< z`rn+kCtx()HIiJFf?GIm6RBgi90rkZ*2R*6U!Y)~1f&;j^O{yRVqSxF`R*bM8>^gA zi(ID^-m6OxIB$Xxrx*9)Vphl%AR$<8?Kse!@?4Bwku_P2N-xzBI-JnsO_T(_libp} zAR<~%nU|vHaMuJVc?SPtt*VH=woLtDY=_!C8#cD~Yw-n>-Au_AOcXXsX#hZ|C_d+xlF*S?yL=m}^sx!8PTBEMNDED;oz_TlE zevUvy($5Q?GGyzcJR&P@^^bh!2hH%1`14b4gPDP%x7@edmE>2|WO?fFgY+wNav{)B z0JQP6^0s6g39b+{UQxJ_dbSoTBfR#~i+6Wan~Ji!pfNIlzBpE>LH*u)#kf>yPnc(# z7cqHuy%qqS2vL{Kk>k$&zBk&*Z9sZqHwV!LD7le*_kYulW>&QS{J!E%-W zoumM$bYwEFu?EHt>3+UezU`1ck~a6fVXhPkt~xY0#ZOfNX8C-yBfqU}QPuk7{39uH zzyw^lrW`K#`@JKphpHEf?y&UxqHXeT2pA=K*|innF^n1wZqi>YQO8heAeXvq`yutu zF1d2AVz-_OR5I~Y7Ifh{aG50qizyhdXa-l0?we^}3@Xp6C!;=48c@IHe3DWrREySF zKO4ZSH|nLD{k0sHjvcnqqkyu~1O50)8q?NR$%vMx)R$keH^BP}#Hc(3<2ekT+8#^U zaMbcsbkOiG^Yu3P@m2NB#QZ|FZ3CCz;!G8h8^1i(43XFi1W%|X86LLZW<}kQW|SFIi_(N`n`P zoQeAP+_q_|v|UIYHa}{x&e{ucEbvZ!*)D!D^I=R{P>@8r>JYBk2JN7$irG5glgYHc zS)(0=v{Tph+bT`&@ihK}7*q(BavJ-NH~R;lYKy(8z3T(BuDj{M5&en!;$9()1~9?( zWqsyo4OK6?WIdKJ%ADlh8AK_HP}?oZazmNUS$U@gr6J@cr4W+7ge(x#?9ny`WvJS@ z*%cKV1X-z@fhYV$q;QGN{Q4~eTb(zPns!4hEVh>2zqb^0J2t)N%$Hr$z*7 zew!#MOK1r(UF{j$G|N{V%Bg_lVo$rJw!nX$rTcap%PE6a(ogR zn6&?1EM?584PzR3L}wN}$#(TzYYr%K`YurG2WOasZI=0@YO;n~X|9x+ILh9`f! zO17)q>*v#kcoJeEpVVY{lB&N|pDc>qd~&WXi!%M@K1gi%hAy?Bd0bL>yqJ>HRP_Fi z3MP2E*)U8XaG2U3ozw|)-V$Rj>2Gr=AmpFz93DU$pep7^0F>hN{G!N)VO|bzKRPk7 zR97-5{ct>b?NR2-JpkE|37oNIAH@gRkb>12Tf!5AW=hAYA1Q`wM z`R|`Bs`bWWhePCuhI0>AP-MP8wn@r^(J~5kj+&ZqG;jX7UBm=q!f5lgDe|P9y=mh=E zf5qs_m(UqjU2oA4y}Lx@5R0I#_!DD`FQNe^(`tKj>SFIAEkfere~aGF`!T~oVQ978 zEWqq=+GU5d;Aa(6|Dt+um32v)!2Zy-KHNxGh^!3K=Ta5lDY_P`O(%KtcZ`&Efqb;4 zJ@;-_cF#L)G);Z@`ux=ni68!U}o7b%jBIK$DU167`>cmC^up>|oHML^QUaa^_`N`X!cfvOWZU`c%+_JO@#RJRYdk*sbk%|Tk3Uew!5^6; z$kj+75TAx%PWY1u1i+vhlLa^ob`xsT;#{P+N30QNpDkIvKKVB%p;2u@pi@%sP^*(bi~ zzu3(N!sk8>MRUAhAuG4I_=R1kZWG4YhW19fS;#xm+vTv}T@wYJl2=uCZZ+B&6U6fU z(u51qbdscOe@j4Z_v~;>iIn$K$9W0sRYNTzZWQAB-RMWQzOsq5+sn0|BOA}kQdl7G zw~*^e$BPKmNyfCy>GovmE?T_oNqsH5Si_4gA2WezPQJ3eV$0agSv7C;S)>?ehrTZS zxoXZfNcCuR#(oX?_LAK*M!@+;TH;cBXSasVxs8;M5GR6B{8E0Fv_nL4HAwH6tp6 zya?DhsV`;^t{p}EILyrPw;x=wmFFE+!NMiVTA-Zc?YO*-RWKYQLkD)8F) z>{9Q-n5dgCUl<4#RV)Xe)VR zp%H~6p$ALag?j2o02{4f;pY%_8&>5+JQcN}_w?yQRX_9i8iJ2OVvim91n1O4`q6Q- zV3_g8KssHr@balM#q$d?ShF-{muu5AJCj2q!lQXZHm-vfl5}4(oNOyW9 z)dTkkm^sPnBe+yL4ZiERQxt|iFnC%5TM}fs7aXma5CJ@pdTlN6yNQwoZM??x^tH9Y z(Fr%&*oM~(&Pgf>8Qx~yxqC`3xkg;izg2`BxhGD@I;q-qGO8hIOXOi`8lTS#h<)@c~Q6oRNvo)7@N{C|9coa9z-=eed3^@ z8^wF?if?fD+@S00f1&5Nx7UiG4eAk)#DG|Wylq>1zv!O+8+mcb)S`ehc&S?oGvB^alKQ?%(Xguk?gY8hN^rxIPw0N*>Y{SK$D6ZngFA%>e1R_mrT>$g{ z8K+AfllpA;js^#C{XosGIa16_`#F?cxGebjy19j`qs&=Zmj8liwG`(Uir4>G0jjn{ z{^~`SUv6YZR(nz9!wiVdK|sVi{qd%HkK5qm5Xe%@W?7<|=l=4W|Y%|JDf2Ri=q3TC6n(JfnYpFE!WjJLej^I|5KekCJW{iZAHdPANO2t|YRNVC# zW^i9SL6%8=i6>8K%`c0Y84VOiHKr690{{wqQzIee)*p>m!!{{GKF0vVI2)jM`$k)t zK}oAm<){D&)$icI*?JYJ{9Y$J+1unzh;jV{G1jck?!#66NYlbO&pPN&VvOEdg69s8 z9~MJJ7W}#7T_tj=q2C9bFE5SE^{}o{!B-{7V=#TgGNx`4WpA$qfvxTLsJ051# z9uM>~FpjQS+c&8{rR^cl({5;a5U7zS5<)PFI4n2~cep&ubZ8JXHzsVT8e8{=AWT!E z-8m|4(n-w*aO2`v{H;|RfJ(;ongkGe2LXXXsig9NKRhY!FWn*+*nC`+Q4e+-zLAfH zJUI${N^9O?)-gm79PG<_uZE+%sb)9ONMawGn^Um;hRB`Ww^RM*!e}AX<4k$jwfo@6Y;m^PdBS8o-jYhtB-7v($r2NnB6Q zrt;*8fJuKaE%?T4m9YWT&$I1A@ooeZ=E&0e)$kBo52RSH->{xqga^-3^@Q?X7eZBfM!qrw7vK$k92oard zwi%hK9j?;Sn7D~e7oGT`{X}0eYlvRF(md;6A)i!I{UA7O$*27{0SrW`V~O76NVM|Em~QC5$48C4!Q=QHwjIXHYFZjj2ewNZw7v9 zE{0kipcvHs2x8&7zwaS+A~DQw_NPxkDR(g)p$ks*m+N?yWL~msGS4#eclD@rIn!4c zG!iJRrbfP2t~9DP&gqLE?XSu*CV9A_SBe`|dS2a&BUN>E0NsoQ!}TN2hobeV7~`we zQe(``W{i_Me;+%??uJD+jweZ$?HMwWfy7z=I1pY1HL_Ob+1NqC7~AxRjL7}fLyZrO z**M5s;+L}TM+|)*`c`%r2#4qa;4PnjBO5guqPxg>)H%zjk(oRVhz&zN3pY)WDLD8< zYHSYE2fWc1h9>bH&07c4R-#JpV zaRlo=3nV+Rx!eN0Nv9rVw~;tHP^vt9Q(#NDFXLi&4S=u)(Qpx7X)M1>Uk6uyU&Pb1 zLATA0WqL246(^phub;7ZLA6T)m*yL)si&sd#E0H3?b#L0#Apmm!Orr%&Dz_H5m2EO!Qw_ zuUW!@fX&}1+m1BKbXZ^dyssJoM36)_IlA$ICA+Lcc-d}--FMq}6S(_QKtkVCRqB_^ z5Qs6Wp{~e@EoY(Vbc>~?tLd{AcDtk8dYHv34juRM!cr}mnB^l%zznL1ZagPNgb)70 zzPS*PQ(Nv_8z~0VU9|c^r_(~60ra#W<)ajeyQe!nPw>U=+_TTt?OmBNT!#F3g`^00 zNJ;>16CDZXCZ;$#3!mS-#!r;M;SI#>gtcbkcur=$XX z4}uoL-)`fB2pgnPmIY5t5W%xv=?_0&Nx#Eb!hQJo^$QTQEabIFQulP^@HvurIZm4u zvaY7|Gmu^!UjkPih0I5lJ(GWl!mZ#BMA$x z>U=!!R|gH{`0*Yh(%er)&Bvmz`+1^mw2pd&M)O!bEI?bD6>+tuG)R)P80~e!6?Y;D3<@fFitS zhCG?cjPHDC`uv)vrPqdXT_m&VTF%;ABiXh0nCEjayAs6H`o!bRh&p^pcN!Wj`U&?I zmc8w6RyEkfXxmoX8kV6Le<{j~`I+>y25Jw|vzl3n zq%!^AF{OvT(m6-;u)3FwlC57&PnnxVbNNqFJl9`xn-zamLk$@R-9Ox7yvL?lTfYFS@&<%($E1r2a4M$1P` zz9A>se%Ot0O>INICo^L+!~a%_oX0iI#Y!eS=-G5%X;U3G4(=hTeR3DfQ3c$ATYMq< zi>P2<`)_KnAuz22K7g!kZy zuxyJK!(Hrr6a)ScA4AQkmquD$d!zHksXP6K>odX2sjy}v8n|WZJa1sLjLf2RI!^@QIQ;27-i_j#aev zI_E`$D;X5YUL9U^lpk7ErSpF^#FBJI@louf-LtA6p#75_$B;3sUYTnuh*waX^Wkur zWOzLJ+TM~*@Xt`A3gPcZ;l*HQRh?3qv{n=hZLM({b4r1sN!?2=->1bN5++S4_gjMY z_d^?XOa+og;-mSrKqdjf?H;7~e8R-41*Gp`3y4ThoOGxkFap&tYWCDveKtzl;4ODo zO2QtL*xruA2*!?Yv}{Jn_6Q;HHg52aC3xsZi=hOu^H6(Zt{(T>h0G6>y*_WeDfrc# zf_U$jN6|!ME`my~aQM6~znIa=+|Krx@rJA8*Zm({nHa+=q^3&v%$2QB)(t6Z4_9rI?$!*ztj!&Q2%~KARS-Y%rn>FvqLCA z=>OIA$Gdz+bOk}C#JdslyWQE<&c1YvHu;Dy_E=`L|Eu`zMmddWU2h-IM#K4*AI3m< zYQ5v*^+z|xacvDtg@u>iQ9H&o9W6s!4tVz`{6pzq!M~r+jIW(R=iUuzqpNzeKjL|) z1+;h%Q#{->7lnkqmW+mbV`~?^pJ_}HlKOcNMTp;!j+>Q^oDGh=xh9E|YG~{Gjc>l7 z)8xD9XP-geZ@a>Ju9M#e`@4uIf8>0-Y6+S~*#G{rAm42LbW9GK^p-gDpYtIg zmyC&)MV~1i!bP|;l#Y%_4{z8<*0_Z5)*Ie3(qRq#X0O)s5am!q_#G{Wu&K@xNTm?J zWi_L7q(1ih zctHL(ho_;9h??DXv$c5{!ixEk%2Wc(^5-R5^s(X#rZ?qVW}LMWm$#~W=IeK3*uJ#1 zL7WdNha*R5;E31amhOfz1M}*4XV`)cdm-!Lb>QY2BM;~+!*y1rwTf0S*7haG09kS4 zuZL(LH}}X4O;@r|pkA8w}NU5siFb z3-?6SGnc()E_?jPsu){kK#A3FMPrXHw5l~O<~4b2b^KKqfnMJSGgZ#EJAdbanzp4D z@f>>0$+W+8K=7}>PO0=MJTnt95XGO!m}|s`_(h6l=?y0lSiBi7AD$!mtNT8S}G87`;?lHHpQ0`Hj(pW9`xy&3p%B@H|10w^}WXe)>MWR)Adgt-bH zD%VHX;_fmOrmbh2>n!ewMZ@%mjaXQJNe)3KpB~xXRn+3Cn@K=hTW*DP2%${xLlh`6 zfbS3elE3P-`B4=#1EmqVEUy9=rPHz3TyL# z=h&E(U-Q+@=>x72LEm*HxNzGgHNAiTt!*IWsXc(ICR^vkw<@47GKNM9j_X^K!0*wc9_ zBZ0qcn9wP)@@Yb^BA4^U{$0k`=YQu9kC=H|S9O9Hme6yt92Uk68n(mbfQM*_YNFT= zuev7kKfnG(PQLP@($7->!5D|#7f6DhM;3wY)wxoDk2-s3$2zwurvLgdhPM&QQ*2wq zGv{bPh*>FX>c8`OcIshi!BM`uNk^(-2S8YBT1aA3ktVyLB+X!GSxaYbm>3^XiH(U6 zqF94w_Bbd6tQLpoqPN(ZZAi>t>aT3g!ceP<${nRbCB|?^$W8xk$75$Z&ry?ZJ>v|7 zWW0jBIzQK1w%`0uiGy=HHOJ!;9KmvJvGC14ji*%CV;toU6GEt*{w%qi_TI`{(v46z zqDmm{$5Ju$lv=S&Oa%`BF3UB4on(ZeAJ7;uo{KF%Q6Wa|I~)Zz$o74^&_aMR@-~$V z#a@tLI~W~P+IwQIqibCQwG^ny7f~jvGTX^abem2oz6JVEyp-LAYbqQ+XnkW{5fU-a zO*k)^0z)aMvUXm}t^qGWyVZD}#1G_5bxsKTevkUgt}rq@gBPsmD%J68)*mQY0|HaSQqo5UaZ8yhOGm}c)d6(OAf2ccm( zu4%`ZQ<|wPj@fOj=R5k#(>s;TY(0M2JcxIUjYCM?)@Os~N^Yh$pSG;1LyP@*>Nwc0Q4Y@k(g@xv;^jAC$a)0MvUcSuaqVwuc zx1IBzwR!=G{*ZUfVArW&m)_9olpf#Sr4H16>1Y1OG<_l}By@}Gzm8qc2c>?7e`&z6 zUMa8!J){I?qtNbp4E|y8s5?yHHR{oys^uE4yrZyOr)Q%$_q{JA0rf@dbkJv&bN4!b zIS=osh%K}v3M8O@udF*T%)2V(C&vGVAJ#5Kizm%rikejEsoB>0CwWq`qnoV!@TKh3 z9!P?*d!p|UI)_H?|1{X`q#MAkL|t_aL7^yiUm3G1@|vV97H*Dn9;(e2wcgg|;qC_i z+Z*Ol4y$_$kRu;fO8#w+Ak!+q!XNmHc3D-=$ENG=Pe0flh|v71KBT2mLtrQ`vYGnz z7lp^(S0-^#2^X?)Y&;7M{!wlGhs@Z;9h3z|lxHqq>O-Hu7HLmRs&1;R+zu%2dayRw zK#(XhflJ@M)^?(!TjvK8(gTGaT0l507M(Jjb;UsVX%d#5_=ffg|LkzLAUs^Y2jlRF zB7pfh@jt@MyP#_JF0Q0f9A_N%v%>rEE*sv4E^KNc5wpA-F(@BXSrAy3Xk47G1~oZ? zT(?8NBVkmUXvorCMp{ddc)_gmNI{#opAaQ}{(iR?sFI)DO^=zeKfUT#F$?e(N3U;H z65_(&w5+{eM250AY(wTrbN;l1XPS-=4mG{3# zN*mcYNo(`iO;MazL~0(l+l{kI!`d{hn;~=`6yR0 z(@y|~t`Nn*e>uSL$#KClguP-^=$M-Jf}}OFE`oDIrS8OdPdDBD0rn)$r!XlAjmrMu z^~@){xOF0ftT^Xq60$gMy7x1~!GhS9pE<$M-0hwvdl@$1do$#c>E!auc2{;cLu>#$ z-ds_3CliL}qw#zeE@UtWqfSJ^z&b~NTZ#b*7%a^~Y{$^%AF<5++L&^5jxiQUv=ryr z()x8p)Hcu!3%s3agO(o#95>KEx~*TZgd3&$t@GDQX~vgSC%qrf`KC$3=(Sryx};jVu2mh?-09p;|_0s6SMSi|deadYsh@Wyk2 zcls0sSEBqrU-8qMJU#M~T)&G5Q0cJA@wH35LWqpeey@M~7geGJ&(Z9(+Yn|EzD4-N zfd1=I_ng#!=}hs#j`}T*WeiJ}TT$I(ix~-TNHxLf!|P{3Z)?RD=IE&#GmE-l1x zi-O>de{lus-W_C-=O(J#QQb=H3v)Ip^kq+H9fw$A3{vc)xe?+P3)Q{rOBbEKu`#DJI{fD)F7>>MXv?^MAi^UaAM0W;XoDm0dbFb2~oD z*SgYe?G5Q9onQv#?(bWt?@=Y>&T^+L!fgI_X85*O7&5yEUB;eHiL+8obmYvgO5|e; z`Z|5hZTPJF3Mg>hy(TA1O)H_0^Ie?Od=yEAX1f{!kHinWe&IST{x7drcI`%yy4Xbn zlBS=68-KWH?n=a4tKB)nKQugM1 z!CtPB_2!5iG%Nk_)L;hTfz|2EZAx80@7uNehAe93>Ajwq+W2Yh!#UJgvzbuC7h&dG z6T=0rP@UMsO8$p(oa-iq=O*(P)@kY@$`y8A)bp7m_!B5fx~T+DN^LFQU<=;*!uSqa zwk3x)*4SF7%wgU0>nTv1pbR_u({3|v=<|3R@l2i2$z+SmdN zlFUGThDO>b-0h{tyrWdLqjP?I?fIV#loA!j@VCM4@o-0_kg(^8v<~=9=a$&(-awco zLYCBn!D*+{*W1>|uNlf-({@+u&iP#M3LS;akl7Qe8I8d``5vuUBcL3p&H3@j7D_IT z|1sabvg+0pjr5xEg!3~9{NxC|StCkVP_Iq>=OWiabq&>Om)(8^joQhI@QLyoC&HUV38PudmM`h8WpwY^6+M}HBr2cv z&C+b~Hz2K8uB8Yni<=~{7wMtLF@mGX96bf{)7x>-2iL*bF@EEKj*`_Gk?j{V034@;85;+9`GPm;f3iD!P0IN6p~bMq&L_6Vhyef)M1EA3r`C2 zx6RAqn+KvrzE7;H&mkLrj@f^t<{m#x3i@czz@Ez^QGa;_UicGwa}TGTLO*;(C%dlU zJs17k-Jb1`zD#gE`o>?EMr94*1t-yI+qL+C3A=9$MnCj{8ej7JQ>&AB>ZoP$J*NqC zQmxxz03F$w`iJT=7(2S{9na%4bL&8dE{~P6#-$Zu(1+0q%3A>Gq z{VQ1}Jf^!_6u%f*r{mT83re|^kdUu~NXc{g*+<@rztvsZ;wFIexp|$B(xetU8?a(2 z_xb4SVT(+f(lIijH)cPIU+Zk!mQrm@>(6ZwJ0FmoRoo9n0!yyN+pd-%gP0m4X*Lg@ z|JsT8`UP$Fb>h2;x&ydqs^n!MXH8w2N5My+ghgRKLfu z;9XQ;eZvdmH?pLFrzPg(6NcX!Y*tr0wsS+nmxo=kl}V_x4}ycEGMk_AdERS#+8TOj zpb7TOX!ruiS}qc}wfmlz)C+mYtSfXH+^QC z!`mf??3rN%(fmfvLnnzX?%t8Un=UruQMeLPWSf}gFTYjZ!<%D>_?@3Vq~o5KvU3l3 zP!FeuU_s9rUlxkvqb9B96~mzS8Cf46n;5)W*{^i(2P61AOj*h0a)VFl;x62!jEkZ? zR$Ms>ps^|_lQ6|ge@-~jBecFEFGZJ(N5Cb6tbZ#4kJ-OgsFj?Ua6@%NRH-KI0-nXr zl|#efs#K0I+lPlQMJvM4>Iu{LgK0+iW+x|(3==T~t8fKwtft-ZB>5!1oqLVSP08O& z@EYzn_e|8v^&TblEKv9PS5>Ay)wX+7_}|=H@x~xwa-vN08|qAfzNSPHI}3rnF>x=pZvepU56xz6g~jvL|=y)=M@~$4ONleOMk$9jSSQ^<_RF>+ZNN3IkeK?*w72m=jfYrHx>=#%E)(1cN<#&Rn)LV_5^#fG1ujl{nB$WDApjo{cq zNX|AV`c^5+{8r~OriA~q0z&t8w+1$A(6+;?@XM(q9wbd4x}cg;^4yE|A2Pn5RPfn; zYGwhPvybAGeq)w3=L56f?bq=?fK-Z?6tdz6G~g$RZe&2tf9Aa2mx(gXr8I@BznhV- z(pOC)uXN~ks@*-zidyNMe*@HW3h@v=?V1~=kZ-I^)*)9i;b#vf@qHmJv%kiZ53mC0 z55SQ}p5vob@3WBLy1Odvv&!3-ba&NqkIcdZg$+bUHXny~%x@c0CVRdmWfdL;MR}5 ztAXEsQj=`bh`mMZrtjByY*)*?pNBqJJNQ8gsDE}F5&RxO1)R-d7EZbl8`kid8XGaN zV_UG>OZeQc(=^YhZP4zM$y&cRjSj9HFf_2MzfF5^jC|W0Lw1~I*YmO=-3uU7vyP<+ ze)vfHiL9~i8$jEqnge{24iZtcZGITDn&6h7{8#Z_iPN}3HmFso#5j1CZ8Ta+bR|2S zJuz9$E)tNQrr44ta*S!2DzCqeU#4eI4#^R^;n@4OT*{1v)%m=`=k6+@dHCP&pJRFQ zmw&_i>y|zyH|E?zKO2CmCDn;*Pd|WsV_{0C+4sQeLq4)lx5QisnRdAbtY6yU1$}=U zx9)D&fBwy;!h(cu9j}F-D0YK|=o!5n8QxKtE1(7Wb@*_uV>bpW5icsWewz}^Z;WQ} zz{#!|7;;v^4_Kf5-2UC^l8(M_~aHNv+-$gs?wy1+6^A2gY_Xr(HVoSiFt@jUrQ?rn4}l}h-^ zG0dHY$()~j(Yoc$Z=up_C{@gS59Jp*;D=lGw#|8>ic5=CB&o*x)|IOtbcO{{AC_rz zL)a~zQ~vzrRdFJB+6}w8_vY1@$>u&?d*`S~3G1}}tSLlLRFL4szn{%WXW@9csB@D` z&5V@cv-B=Ec#1BCUiy1V3}d$`u2V&~jytu}Rg&xYq1@H`#w($=#f~o)q5ET2LBEUZ zTc)9LT8vT4dPX{>8yud6AqGD(WFfJn3>ji<)VrDTG(~}bysFzO6yX!+!?($8dHl?; zqwnwjg{bPV0)tGT$~(y`dD2(Dj6-yI0LX(s|GLr$g!x`%bDHn^Gv%FTYV2J$CQE)T z&X?bAFHQIcZ2#i%Uy;jt-c#aWxzwEPyRKWXt=f*blnl$)K&KYz%B=KYV;{_2G88rB z8k6^4TTZAe+GqgpW(Id(jc2`$wS3UYLauT4Ap8K6CS~WqJ7fPt3TSfYT4!dQQ{4S# zHvXj0Kbw@7w^Uh(Ip%fX*q|wJaQ(KUYsojPh~srxOZ}rrogmo1An`_XHA^`tJhRN5rE?lD;QD z6TtZAGF(c!)UNMQxT4Jq*V;~Zn!rqpt49gxi4j|$xsg0$stNi(Hd$q?VY6zp|=o-MR8`W#1C^JdDa_9hJpiDcu?LfRklOIu@>CE<$_ zxOF{FxdgFGEtM}DHt|pD3+0#83)NB!bpZVfTh!TA1U_TtTNz;`6}xrhCCMa;l=ZJ} zt9(l?|I7^1!a8zk_7#=9$E5?xS18XDgBQj~Ph4L!Fl(~c0=uK1+9ojA02 z!=&*mUJ9vMh^Zuu$m%^~GdJ6{8~ zLM1y{ze0Zc35?@-QpIBww;p|;1gc>U-`{?Fm;!+2SoWWDgW^(gn(~)!PlkRtD4H9a zO5X*|^8x-LWCjWt5_1hMuLsST#_*sk&04?=QcT#YF65sqn!p3(7;wP(E_%4-QP5fu zN3LXXaBMR=wsk=^G=*mWV*FnP5wh)p>7-EXs=~-(aaXnF^|I(PA%2cepD2*|ug_tI zA}rojsCvMLc1cG%ZP37weGj?bT9QfdKr$IPiu!+EX{N*T1* zk3rUSY*2Lb7!h<6KVDK!~+i_9?Fd?}A$Ks5P)R2p$Fe79k7JfH06X%h)~buN;R zt=xWZ!RSF^cM~w_8v;4b=s9EUc^zh+Twbz#oYu^&@~O8A7h3B52uTIBtDSpGmTQ07 z`LFUdATQPro1cbEjuQ_jr9Fy5#COF)Q+;*6%A;TJwxCcfyz1I)SpCq{qDgj`R7^B1 zwIV|C&PNyqSDRd>ulxW~54&km92#C$t&*zu_MuGu$1S>l0D98hJH#{=CV2eNB@Fns zu@MnQ(8QKv`9xrZjAz-H&kPmq7&aVHXx)bwHd=6pKC}&8sbNux6l^moedB6gN zzLyIlJ&~$ZN_s(T1Ez6C5>ZUI!HLZ>OrP)cBUcYiQFQN(hg640EOA6qsevea!?i z@=(rH9#ka*a_Fz~0oa4))}OO?C(>`BU1U+wfDLF1wd!L#G#T(MVoo@w^Zu9_k2sJ* z;bSpgIwYky+`Ff7U{OmuuQbhFzvlEOFv7%@w&NPzwB6Ql*t)UeS`=!Ar_sliBf&pY zsPCRjuGL_x{+7t$^)@trMzbl0bjs22-=<`? ztdvSSjbj+Sr{OZT0zrt9_kLbkxqggU^HarsAF~vY_yiQ7mFa)2bm;4j{=r_>(K9+8 zLDD8V%BzuOf9)U7IQI69^VFh?BkD#T)SGU6JPtm-WV-20Jhd#H#yK&99l-0eFH;g7 zw6dfEn`qqh{U=I~RZlgzECrd(e3_3QzFe6SBK( zIB+R!1qTkCrq(cv^)qWqKzT86GRSBfZ)ULm3fK3?9~$MuvIc*uXrkvMrsXS4A+e7z zDlL< zMAeebrdLsA+K|D90Nd_0^+F(q7|){|uV44_sD7IGwW3WR!1t)$xxrAK(ze=C-Zrs# zUpRJL;P?+LZEEo5KlE={TH)vF`)IX_a-p!hHDFQ!waN#s&v< ziMD3WWqTWS2i!dfOMCvz?fB=i*su}<$}a$Cho13G-w$2>`(REWa(XQ@vUy3z07)@I z{p*U%M*mhYYeR;9`tC=N&HMVDhBRD%*5;FE+*cb4W3+t)Lo@C(RZ3cV4hx)~+M6fb?nbFxpK3Jikj-i)6Jq82$2aqeWjdu<9~7C*TFp_mudE;MI?g z=-bECQmRYI=op)FUCgwpz-m&LlU9VavFRz$a1WtXJxA^IdYG-A9}Xb>HGiHMzv82; z0Q#nm^8*lqb71%_kMhYifxuNEInbT?<%t+hS~E#GA~T- z0E7=%sCZKq(I&_^W5#pCl&6NV@{V1i}4vM@p6u%^=8@IMclo%wTz$u`Z<~v3{ zZiFyb^cS#ZVWSBz!hFEsvULgXN4IKvcDH|!g;hd{v;-rfu5I54#>-TWLxJu<{=-b; zZ{{&xpc*iX3H!ttPXc`eRAGL9`U3^nPA`TfX4EOI_L0yUA9iUkk~o(I<@${wCKT9KG#q6DJ5urHUd ztMeEoXXvRiYy(I-EEI#-5_gxh{?eP&`niuFxJnsNZDv^=S-!DX! zVNLJUFQ*1@m+GTSa!~8SrO9O*;!+M`AAN&0$iMCTE3Z}3qrBpnHI2hMb>j-M$<{-6 zpAtyfn=(C5@ZVU-goK@R7CaiXaVIkQ#bUFFA2>5#Kns*!XHAiBxK2L3m4wIa=NBGk z0cb_bH|WW2Sw6>{UH;JBVu7X2|tbEowdUvE`q-?r81?$b8WaA4H{4 z?0W#&+WV4eu;sjFfzgq&QPXl=bbQ^mQQBJPEpTFj zrH7eQnfuts&)S~osI@v7WomHI;?9lmTChQgUFt!xYzye$}$84I$Y ztJ~F)zBTCUX|X$gRhR1`6qAY})kh6o7X8&Aocc#ma7^#9?XI9iBOxekW(q3Nl)(6KEJfJw{HQccSSU_YqCV80QwYCg+h539*27%Xr}~o) zJ>jF}W#j*cTQJhs_;@hv(mEchcl;I@E2JbJ{mO^C4){O6!>Iw*c}e|s{gzdPVi=NU zC;&{~Aa*fV^$s8D0ssb@mav9ej{d09ej9I@Wqm-cOY)Q~v8`7?xHimuhWpRhO=uqzludLDZ#a5g3Z+$FBg!trj7rpLr=Gz}T%sR(GG;&cAIH zm92~VU49?X@#FM!r~3Z1-4%{S*FU5W4#K4Ro^PZXoHjMq*zV0oc^}SUV%%j1mLMJD zD_zmIW1dx?4lfQWpStLL;UA%L(V8VFBn7#_y$CtBc^lH|Va(m4>4A|>vQ8wl`_SGT z8ov-^|fLdXWfKJ3GSMBZjmu)WdRIZXKtI8I|(IG zJ`$lgv_7D>a%T$gb%RIUBd#GigUal2baohd;>(8d7a#?HqVAqbD{je6D9zaA2()LX zvNVX>_O`~J&m7St0ydF9T33dB+A}fy#9+LEZ8NHw@LS!>MuMu_jg=g5HU2xds+yqX zSc&@lr6oh$TU;9}bwJf=Un?K^lAjuL^%bv@#t|Osrf@L4sZ#cFrO@D*obCUjEjC7j zo?~;ylKc8>9Su0P{VrBtx9gz{j#Z4~f!_(f%GPY^raD2avp_3cdtK{E{Hs$n`l3=! z=8xSa*Gnkch;UC_Qhb?}G5NNJK!1^+31A?)u`H^SH0?P5_l;^QRQzXv|JmxJS~$op zP;d4D|2&xEbMbwD+*gbJV~l{^aKVLTWL2$Nl1|`%4JL_ukN(;L$W1M*xl<5e-VqDR zMLc8bEUW0Nd@*T@ro{HFWw8%mqe932oSKf3}I#x8rv49`2j4)Nb%a`j-Har ztM!4qj=pY&^~-4yj3^yw;$3zuKfS1LL|KTkUFupbv)!&@&2kK_#KDUJ1p!`W7r|0f z6fq{mExou>U+=VQ8!Re-&rh*>9A3?Vmd z;!}p$*!%50`I3hHn;bv5R-7APP>&wrY0gD1l7~@AeM3CuBG-Hx>l`laQV9&vCrTGT zR~i08@X6C0&wqgiDQSpo~#7dqye5Z%>pDYz!tlrwxEjY5C3S5Kq?qR_?cYjje{@+5@(={6mI2CAmwp(cd%l zStK|!N6{X2)$Md57XM`CGh4^(7HBHpaUZcbez^=JDEOIO-^2P||63HAw%qCc`jHKJ z8<6AQ`8u0{1dLl%ueChZ2r*NMwC>G9zs|uVIbwtUghUG@?95O{I|2C|CxRXz=xFW2 zJfv(Ekw5E^KdYZV>)oZ41ke5jlp^@%jfenn`U`N@i!+~rjPj5NZa*qwYL;j7b2OfL z50{XWBMLJk0_=dXDG&fjNS9>+_GG+5|4~8=ZTq*4>hn^w-H?^JeW7&64K(Q1e_jdJ z4B*P%A3Uo6LLk#fatRAmRcG;Z<1gkQA3J%+y25wp40g)^>#I425g;^sP9Rd1c}Y7C zy@x@lm@NIhjHCFM(?z@F;`5vUNxJe-2Affr3xt@%X$W%z8!G`n3Tu7-v`2+3~!xPvIDiv-F>a$PGmv3!Gf1sQRC$%OZuyu}e^D0@{$Z-V!gUO5wx|J-J+9ukuG{~R0I09(~rG+gA0ow37w(RwOq;)8Q$4CIujE78>^$OP{op19}>7>i&3Zg)Q8S=lM9i>}apYvz&L9)&r}I|BnRb5@XbJ zhHhrUMZTf0@v*#u{X2P^o{Zw0>FGnr6My%%U_T>^&%oL|A#dtI!MGzZv0cB2kteMS@7!c@9RNr~o1w zNL;;+aGOl!aH%kd)}{n$%HB;I!roJIA?DXeAo{b}-^K`AV|EXVgsiA{+q<1%gA3A{ ze~`-D=U3wNvPJP+30694j!rreCVhR+=l1NH7l`sd8tmwX6ZEa`i*S^r7lcx2YUu6V zCwRw%8xiE+(~Z>VQtlV#z?-T+)|e@oHvi}_3CXuOe&ad{*6?`d+HRy{MGr~Nclqf1 zs}wD{@l6yzye|SCe!EIs$gz#FVI$sn?%bqm>ppmyqa&+)1!J-WPh8t=(^b~EIncV- zqnk_Rj8EcsG?rb8o$64h#ZxRl-XkA~wWD9wcqhXua8l7`B3$BNYsEA`tRoj#wtWG%*yfi#KbL9m8Vki+h7&tXl`xTK5lN+B}(BwH{FVhLB~OlhurQ)zvu-G2eIV|Xkz4CTXA*wBQKhAd^Xga(~Ny|7i7iOnAG z6V87L8?0{hVXMY)Ep^LUF$=%utBep6K>XKf9N%x1Sj?Av847E30W%lu}zxoyez*EMYKHfk!ha z%)&hN^u9=pm~1cj%IC&c`s+3!G=i9M^6;uA$fIRLQ_b9G^A-gw-8b00jg?)6wuP2w z6zN)j%Jj?)*`VPJy1T`CD87qjeO;C2p79lo(+lPB1ucdyKPJT-2r_^7SVw)!ZE;r$EAVswttm18Ww)`}77Y z(kgc?`W4J$FoV~C~*Mna4)@$Pj|Hh_Ui{I4#Lgu;aDXT5%S-1Hv_RxtMwvO{xxGKAvlt=BIGFgE;mQilgg zZu|(N5nUDJR%9iwwdTW$&*(=%jT*Xf5tefa}{f?}BL-Yi6D~+p{T_An8 zVjzh+KL;)hsr(#Dbxf#O8go-?FG4Ls2c@NKUV%$I6VIEg|MBv~arK>|#5I!0JKn&= zL9;>_+SAwjW^0-h_EY#xB=9iP*`W@PlRz;5LWuKhljF7NRU>GvS=}Kn@iqPoaKq+U zCc*h$su>G=fMoZZOwtSena^MOMCmeIJqg4`J^iFy09}7xtlR=dR1$^5Zjt$cs3Xx+ zn(&S-vfUA@XqHO6!lgO|BLOIR=!#KD_G>hZH27BG`qciqtBhsV(a7EXhqVZuc` zja_a;mc+?IE7bkm8g6p_V_CJG*F#`v#9wt9twjCM>Wz;;a9i#@8uh}ECG~P0^>Ha+ zWHyfJy`3L&xB0&BKgd{Z65a{9n()>WPa{K(+I$W6>frp~d!e*A^AI)1k40gsPSKai zTa;{LyPsjLJL=j>ZH)HXd}Tm=8#_iM9=u%kl*-TQ4oV{AyJ*biZ28+J$fa0(O`E_-23_! z^S=yP!2uhb>nYze5|24(|wKrBj62BvGV9=F|16vp4Xe*5(f_D6B zb|UO8)OM4~s@b)JxwQU)_ zL#+>EJ#(cN-Yi4tSbgM0gdBi1k;3;T+W6Qk+;T1o>YQy)-Cl)X9E&_9TNpKYUg;5X zN}ZTy>^pxwUvB)MldzC;v@2TE#YC+l?s1b1B-FBveN{B~Gr?X!lb^1P3i@<7bZupAG>2G zXC9$e8CLS+akHW*v#|HB*bn>f2Ruk(Mw~0PptU!CKt?)LKNL}W#4vW{Y*N0mobFB> zic(wSv7*B%|W%Hj;&}eSxYvcDwMAL zT_OV54rk8<_p@&@4_PKI^-4EH zI=GIxNCt_EL+<-$HB^Hi3i!`GpPP1YZChz@RZGq0_=G2;iToC?H(OnSnod1$84mt% zpx&T$&w8*Tib>JXrn9LMI7_y@L~j9ogcxqd>(00MT7_9^tZB$%UPz|wgO%-!UnK;I z(#1NMaPwYL`H`39<)a9E{h7*8ZK;E6Bo!S-s5JY&wbK{Wcf1PWM9IO`vj(=glpmS( zCO%8{s}|Zi>!^fF={|J1)H~+w9ud_;E|rxja2~CC@Ly*zT%jv_-Tu<{3NFm4rK=?q zgpLSFJy-M^sxR^jWx7}859S)J4+oQI?InYB?JO><7q+V=fQb;DhR6wW+B2Ov!#0C! zTgXP2dkdl5vsKX0ck0`!d0{ql^PC-Y{E2)NG(F|lelqmN{cIKD@A9weW1GHG?%wE% zt7JeNMdh4qc9S9ngfua*;K2aIVbv^1mee_P&lwy64aL~w08*zOIf-q2+Z?67eT!n^ zEmX)8^7^ZlPqA_tN@w@kb~w+S7ZnC^>7J)1l{r0hF*7MHb_Qb$bxNM*B z@Mp&;^~_bcNs_l(VzNx4rURD%Ch=44a`m25AB@EHQ)0OE5;H_NYTLy!qZ8OPrV)i9 z`zb+0GqJ3~Gs_9YvL?#Y?VS7Fv|ZJe!)?NI-TxLURL1RsprOd|FG+)j0|Sal;%?G@ znA}KAZLEXKFe3!Mkq#UD9hd$8%cD_&9wufSD;x*%G(4gEoBp8V(#f3)Y^*H(clj#v-iuf@u{C=eFI0nWFN#Z;{uc73NI}zO8W$ zQ;>2U(4t9~dj$&k@i!gC%-=DE11opjk7dAp8;0&7imAsJ!{K%i^tUDff0X9?-YgZf zf{_LMo}FPIArpNQY3^KqM>h6{y_-6Z#$QCK0N0Hl-Cahs{%t?IO+!sUi`UbRj`+-6 z{qht!B`804^k;By*iy?|Od$32J^2Rrre&obC9R+D?e?s=@iZzg7>|FI22Nm(t`g=R zr0&`Mv<9y88>w@_+iuwTho-!T4||Jo$!r2KmoP=T(<$g82=o4G!;CkUEV`t#cWBVN zG=yIEx9ML1?_$Tn!ZU)E6RFMXRGC4bcZ>YldZEE0hbNg3I6%CFMq{!Mq8tr@yI6`t zix;bT5~iq`{8RjgrTBf;d9aRMyOO!%%g-N9+l+esZPEt6RUhZYjC!tF4>D2 z$#PxS(H|M?w>=gKfmi;Y;JJ;gA*l3pL<*OmrsO+VtuN#z@>R!@%hg+5%4-=gVu@s& zE=cZZFrhPP5-cH((ycH8q6_2;vcr5W_Utq*#vIwkx^;Ic;A_yNwc2c>^6iRDvj@+| zp8=^jxHnN!gz$IjVzW`eq0w_f2I@0Y1&fc94$2>%OkQ5Ll4Z3QGbPB(K1U(xrs^NU=`6;$I|;%6c}+%n;`rceiwk+KUbZprN3 zGE@@D$=cn#mpj*x^uEH$PrAkEo=EXK_jD|T-b_yDzJ@-wY;?KN2_4ZR?(bVRZX4}e zE(J8!qBsXAwFSS-olDSl`{G)g7pbcl8Zm;Lw?6F$Dh$SPd?D>EV76`+sgzPa{89xb zPu{L|8a1`-axEp0RSkBInQFvl-?J-dP6venc;vIOBKT|c9nwBf)M-&M^WeXfasmZ# z{;|L$nm=hoGo}o7K70OOj7bk9_HVPS2#g&R^Jw4TzjV*AZIl+ejX0689E+Ia42M#Vom)?#_j=4?H*R75u70g-2sTqgUUYzhZ}QMwXZdBuKN7xwRieQ{#2^ z8ebidoJ4ibk_(u}$cK6Y&n_$p%M0UjWGCDB$nKI{S?9W@zP2NssL|AwBRvdCgR)UTFi3A^mA*2|=zmnF$TyFcl#D;1ph3g4Hn zZ56*-*Ll4Av0(D-k^2*9mULoZ$x2{uNzh7(&$Z-I{s%vFdk|}u(Cgdkhf9+dv^xPx zv=sBT`X-HQe(1r^PKyU(71lv68Hmi8J+$XyYi*>{euh5k*G_Yu>{sc7R{I+-+`Gvb z-Z{_3g*|a6(Fs5F9Mgms*^65zyBaStpR6}uRVEFe|CR75drWo-%{pbk-lPzznxRGv z7%5Inn=+-&9=kzyEDj`7R1np{E^O|2zyAIl-|Ymv*6guekB=e$eMsQ0G*ZC(w6&Hk zIO)a}@m~@CAM#O7Mfvy}*HW7qCyO}#(vguUQkgLOb0egs<{+2SX&u@~|Y7p-Yd#(fv}FE67~ z%6%wMwS?}ugqgx@joTja`fT0K-HnbX%Xz(i=K{=#%T-vd2=bk3QU~?aUjh`k+YcWJ zR{@iB-2_lHBdRiEt`D0v7;;>)M`_<;5J5}TA0}*H$Ls4(4H`!PwDLzSV6_}bp%;*jqQJj@wTl`ew-Nd1ANGc5 zWE$%0K@PAtIYx7iWruTuAWz1j`x;k)9@~n}emSi|D_2J6*>loNN|GT=4~ypMQtw9| zV78<__^AzT9DEfLHjsOXk@o~K!1UWLo{ZB@e62{e-XdZRZf$PA#7>@n*WVNUfiwP{ zTHueRV{HFemf!QeQ+DDB@7;vfcd)|5rjBrVg%lS}NO z=wEbp!qJGOT&T%d&y~DDJ9P&jT8JZnl7wh1k6)EpidDnmM{;SAsY~ z5uc!mBg1Z&yS)@*d_!&*I`gB{4hb?69Pn#AU}2!@3A=;t>ItF>IzGAn_;vGW%OhFo zYWmOG*#pj*LxOEXYn|ky_IK?>5KVwt)7@*?SQz|jJUWq;*2}oZ;0tQ<46d~;JI?&e zipX6-zuvyKDe|uPHi)Vkt(aB;>MPM7BB433$oS_Lu2?HYz9%vRWYJ_O)|f=i;7o%c zt7<0$spHK_73$f3Cne#LSGDGGb2ZXt#7+8AXz~n|GxM z2#-)yYynpJ@VQx)mj)?lKj&{)W9*4K^)1O7v_LDWFy3ce1~DvOidFBv7VEJOib4sF zNo&xVgXVr!V>YVwHM4#V#haJ@MSn5F$U*UeGx_K2Z)TmM4NhK8sT4o@nxs9DZi&Ul zHE86VQqHJ!Q1_{z*(cP~q(^oY%H>KYlPr&X5>u--BTnGTP7Vn3KIxuna4BdoyoaHl zm%b9cTGnMxd3#aM@xUNz%?F?H&s*sI`<}@53-DC?$EsG|zpu_I$@EIG$hi)WYH=~U z05Mq(u+t9sS^AO#Hlk-42;mB$5>%j4U#h8^*S*bL3R|VjG%PI(`G^a)A$w<)+fSbv z90myB@hje00zgSn^n0cu8R&@es+Y#or_)35Ki}u>%l=|E@$uqZ$$q~^s zZ_fOHO4lgKn$d;83R0G;U7#D@-n2zQRpR!N@S#$?Evh~_QpS4KZE;UO2=Sh6bAF53 z=fN6I0RQ$5nzXFAQw31gLxCFIm(^CJR>o4th%jms52puFR(i~{;)aa(FwR#AV|gi$ zL{x=i^~0#E5$5B(>Ugq2Km7vWd-A%u4?nUZ#?o0YLIgd-f_8WN-071L!I+MC&r+bt zLX)%xI8z4g3-lyI;{aa%onb$0`0evw8fjj5YFwyVqlGzI3VkWIm&u%he6Hnera*Bn z%61>_Zj;9ENWjA7icfp|d*Dc-{765_*sCYD93SHw&`{`K#hA*EbJK4$ED%((+q5)= z=hlf0T%v3PQGf;$7GDJ}8r%OYS#*58w|Wz@DB5n7+A&5)19Y+%( zkBZGSVZENVO?UTAVBB}zF%7$kf1t-h#C)$qG`Zp_S>}avZi71K(IdmZDllWzMtJjsrrsxejnkeMO6*_I_#Q?5ii2%$M=;!RI9ajm0fP|Bmgg zlhEnqnlu?@D?QHQU@({#q#5$(B66MBXStq_<%W$AOg9m*Cv@A=u|2P`_T;R2kz@X| z@mFmKbSEhDaFKqO`qSs?MV>L95RT%DKtWLylf!I4=7r?L_x$d^>s+8@#G^l5yze5R ze~Pg5NckJR2@xC&jY`{~MvuqW3N)X%^GYn+#nhCR z>BBp?Kfj(ax_ZK!wa`_iIzLK$wizv0kpT}~9 zIh<9fNM=9p=}3i~jXhy{nBDTzBL;4;@rA?P9#}~~lBP7R0&>163@;i}nQ|8&8dg}X ze)u~2NC04G_4<;Q@q&OJP4BXq-WrRG;g%Hp*bOMSjDgfH>4!S?xY;{THnAFFdN_C$U z!<0(Rn-qjn0ta8RZ`x|OE5Z}@JU`LTwlUy;iU?xLNZ9+KwP0CjD|esRCK`I}TzX}V z@{d)0A<41N&CS6?^Dq$#8wa`c^KpHVY}How*oxxgFW^!+c?+^trDoKYOi!iJO`+M^ zzrV_=pQ$~cT|&#BFDwP^2cDrk3caT5W~>6h0kY7h#*-kqA*M%0ae=AFdP|L|Mx)cnlY@iPk5CXp>HH#nD%jSyuM zp0{QE{bPwfu17*qQCYZckDxoS`7xcn#b%=$bH+@NE*n}_Z%yioaTa#7sCD9GBHbJ8 z)hY%ZM8(uL%2Olg2_2ZM`d8n1_)SEG*c6?P;7PBpl)ASLvWDt~jwpEjI zLRIr8qc;O3ezi@WKDb+}U1t_n4jg>PU~E(OmoOfUyel>jKP*3HqolRm4S-Etr)Nx; z57X@g2{r1|v$cE;JpH=n#Y?p&>8acQ(y4LBVG2NGwcx%v9jC(yHDf>Y>Rk=jcpEfK~h&Rt~-7B)G~;qOzj%`b6Xpw9+E!Rizu6H>gZ;YM}*w^x7O;_$f&_*s06BL+d8 z1?ApHgY~#=gEk1m5-jAo2aWR(gzk+_>8qPJR?gS!6nirQuAXKpT{1A!55A^Y2-Zk{ z>TdDs)Aez|rkWfghbPmRLp7UKEW!&V08YRZT=fu`z0^6Ls{QDcV+W2Sl4F--kD|Ne zfJv(o6_w%y2(6i<2^r>>OmYgzB;4t@4u*3y(wErgVdf|^Y*Tip5?L6(ky0YyRfVfg zRZ1*!hSUx=tr5PlSeK1m$fsDwA}ZCM?zANdfrWgcUF2l2V+&viH#xo?9B_lGdSW4- zVx;X0h@I^+z2Z*5CXLc~;^m|s>Jgptn2kmK^jon_SkBaBZ&M;DK%Lb;=1AZkWbxbI z!)k~9cx`Z?Ajs@Z8CQQ+e06`HK4`%?+UM>Dr6WG5@{qf>Y+*|1Vc``FJfU^HN_+4D$8Tc^wDI|~n?Q1Y($Cd+PJ#4}Pod%Ah?03@j zHlBWzp{$Pkn7Rm-4q66MEC_7u?Pv>U;T7G08;GR5qO4gEf(y4=wE>__$6vwOdgz6ECgpb*_BAdG zL0Xe$aJu$f32xe;FyIEF9FouX^{)b(mW_v;)ml%UeCtHIs3&Ka3E?DuMjY`4wpZMQ zvTaNgAT_nmr-*~{?K{Gt8e3y8i!}%aNf`VPl zmp2h6X9n4%$L`~^(CdL5OjiM!(i|epnnPAhF#E1k#5_ZO3ebdTV!P2BD{Zg+4Ry3c~@M0u@1JM z6lfiFWq+t##eHI|;AXpvZ5uT11mPWO(LG`EUjgS+#er9c*qu-5!P0K>!c?^CjUMm@ zQ`-Pz{XTr?;PI$Agf;S%G%-eWmB3#L9vh=?LB0)9<~%o9JK8pnU!UG|p!?sTX)lnY zqHrR*rbgKGvXUu4O$ch#x-T-EO<}OLKK;1Ks-eC0l_!Mb4IwAjpe0F?Qq8yDbEWJ$ zvEUyhJJ-JmkME0b;onqCQAW%mZevm8dnwS!IJ9Zi>yxxCbO)2)fCNF-m%s)uoVJ80 zW1|evp%TW_?um)yDdvDhL|mbMZkT*;H`WuN^DNxXcEAQPg#W-n-lEBK)i%A*#>b)w zL4Aa|IHpGPNpY}tm;oVCGS#Mj^y}%+{$&56#a8CgRlYld{mMahnBTsH`(fKMr#n<) zJ+=flp@D@%RrI&Hv`Dc)7d-Vj+hXIu+48WPs))IOE3RiW^IT%FaNC(dD_ozTh<;q{ zk%+73FRxHzt=V8Q8@A${u2aYBaT5t?&&ss~0!;2#lvv)1J=9@+Bl~;rl6-NV`Mv(H)^oudOEK?@_he9iIuReAP1|12J~d@ z0~t8xbzrPGLV;#tM1m{U@e{7?vzsp`{5w39lX2haur6HL+V>-J4|&MGE2Mk=8jcUN zkr5ftTKyXmMzob0rsQj7-|brZ8w3#`bs8N13zAT$i$xPh@AFP{MKa(ss%k@5;&ZeY;p7Bk8YF`mvX2ms00Z z56#h%cen1;H9|;NVRQ_ipcXzYmmJq#Blf02VuQly*I_qhU*h++7^az|f0=yWf@K}6 zqX7d=;Wl?B@3TdSZ_DDVjNvfBm;^(IDVq`a@Zr!9DORHfzzn|?9z zs=@QWeNG}HL}q9w^5VStv%#E1#!@u?Kx)-EtXv%|$4?fvx+!pXv6i$SFoFtH|4Y79 zfoY`z-~KJKxpJj>>lG(W=7=Wkt&0hN#y~@$qR@QVkDZ)oZ0gPjJRMuINPDP-Uou~R ztR?RA_ZROjX^iXej@F<_l32L=V@1EDK?+4q*XG?HV6}ozom?%P+c8Ud5H6f-kH6_# zHX%(CY|-RLFpSa$_{wyrWe%cm5rw|87Y?oZ;OFl@o_@Y$uTv2tZMnc}=ZWht zdCyI79T{LUe)#*1Ulc5);DxVp2*kO)Yw2OTVkY`G1RYkJ@Nya|?{V$ggej0CldXM6 zafv|`G#A)Y!omv+cV)&d23}W$Hbxph%X2=#F^lj9KQ0ioliP+HZzX(*2vQ!~t7md7 z%`>S^-m7`nNJ{$*Kb7nw+=Jka)Oyl~_NPr1v{>giOBRQevlU|oYbV7|#>KE6lA3RY zV1In>@J-b0X|p3+_TVF{$kS7rZBq1QZsoVZ&_u0|>h<`2b!?XUtnGZMvrFtyBPe$$ zR+oG&@KNxe#EMLZA1)t*!kw?H?$TN-|6NxgVLF*VI06*`fXx(;mo(uRzkyE^_I`~2O(8a8|Qtw zb)16z9WM=WF1|%9M3RUf;6ll;imwb`KENx2ze?{v8mP?^&v?D^6pgvvT` z(|&C91)C@u_f1p}=$6m*J?TwMiDULi$-+f87VqqA#j_~|R+(&E51RBDvI~C>DW#Ka zFIVQC@9oFi=`M0%R-{pvhjFSK9#}`0WU>{n#1K(S+2cJR2J5qzNhs)Lp4GqvYLX1x zdQCB~!?hy8^)-?AYsRQ-Z`9tz{A`?^WSgx|wkB zne4tOCLq6)&c2$6`4F(Xqzhgcwr4(mN@!VrE-q0~@bbX6NlG1E4d71XdGKSIFnGH! zM{V7oZRA6!cs9PdaEbRAk~_)^g=QQ_{Y*ZQ3B7<<1mcxaK*=T?o}Se05D`R3y0MPE z_8}{=D^A72RKmz+w82%7cAFNuLaE(Va$6?kK?||N89FsI#Oh%uFDbGtJem7qQP0@I zDnBs9ktb%~T_}E%#qPsSsBHB}At3B}atA|~MXx3`+#kN0;RiO7ezYv_pgXX(I~AQh z1YX#eR}Zp7IYb4)QQuqL5pDt{A8Vt&Ey7%L$ZD{#^}#b|}=G}ol8(w;WjTK)j+*?R#*(|tp>#$wpzBUT~07kBe_Hpib{z+SyU4BVxsfBGsS#{v_Qdj-nC-tm3+uXUjePp( zBLIvGO!$Vnb#=gJTr7j^-6J?K+9xLc2xmuh5J3*bJ)-+ z%z>_{h3WcQUVhZhEh9wXqdWsbZmK6UNyNU}@MDXpsC$8?HBb7BUkLNmuZ^PG%#^!u z>@T6LIWB&D?*c9H+iA6NGP(k@7qDPV6vv>#IwKzlzzK)HA-7G^&iRHN zimk`bu$D$hA$22Sc% z2^z!KC!_Rp3Ut>b07x7UngPJN97z%QVsPQ?z9nTvI1p7>JOO`r^bL5Lmo;aNQ6%n< z95*>jMcOJFXP-Pn{oJV#Xws2s0jIXZ6}P8A^OUfGRo_p?iqTMX*D(H7GlGMy$k**3 zp*4u@q|`&PFLd!ovE0L=HM@ymS{nsse-2oBBqL7}kpNC=0(5R8u<(uky=i*A&_lp2go5W^cPY*mAwC!HKCN-0C9-!PZ8 zC0vv%kNdqxcC(y^_OnG5^hG=?=>(CLY(K+;Qs6AZa&+g^FFA8{=fa4<1PFXjT^7c9 zYe{5|x`gcKz7h`@=O*pd=0(c~{KVcOyn$4p{Kwg=|L7|P&kFjsobWANI))BgF?^X! zo+`TyV|F!jw)O|T2Dk5ws0OyLQ5sYBJo{<&pnUs&$Q{vE}9y7NC3+_rHM?U5Ze#ojfyVUsi_nJ zrOE#)E8|muNtbyBF|69k?XJUBe$WyyJ^!?a@mefajiAcdLZ)}$12QM5T#$W!Bj=F( zBG#mGP3<>i89bTi963HgEb#XzGEn93cfKB(wsv*!x{&DKqA&n6_R}f5a)G|l9aO(S1Q0kyGnc>#3{FC#yKo<2LFDx=& zU#5Y!dtclB={{NyX~NL~TG||mx0`BTcypz1{eG>zn(s5S=;i3okxw;s)wy`~@EWYmx z0{j6w${aikX^()%a~qULOw~$C-0m3lUwj*GDq!Im&6@fwNzlbdRhbb&w)N7nq9Sa>Qku>J3FQw-WWFqT2K~ygSw&Uv z4Uibm80xLu;Fmu5#36UDSt3ue!#vroi)ieB`U5ic2ioEF7(-x>H^zj}T^@*~^|-Tz z%aJa7L@e_jf3n){R%Ec{6Qfb7k7ZB#Y~a@VM=O4+=QQBv8H=@VpTCU8eVXN5CkcHI z{n%2PWZz=0l(17?E54el@(%j!AKXR|CF5eX4C|~F^2M8x$!9mRu!z^1xi4N3kNjq( z;<|+aWy0|rzy>|S7mcdBwM;@$hI*uK2Zy<~k{M7vCC1O6CmG^_4K&q176uBR~sWCeoh~`WoO;aUpgR)^1_cy`S zEaGo}Ng$UI;aVCmWDF?C9;?0=++8?Z>MYleF?pJ4oVqh~aY0>Ki+$ws6h+-l`6C_^ zE@;uscIs3U*dSoV0CSEuB@EXjgj4*9Wae*;p(flWE}pxg5CpN1gU3^hZxkvc!_(fA zNkuDi!+(S3ewp>2I5Tp_LUFG!S>BABpJ}uu6eXTN!Jq{@-(SsYBe6km#8_GyB|tY- zhbP&bFEIzqv?itfA4V%8osypL)5S?Jt(lazv^lSiU%C{rFHi_8Ml+dtS@j!->BQ@k zRd?`(33h*nSQ{LM{xTASZ&5A>x|6J?ZrJL>uw`3)SSSOHTk33rpsO{9FDanepcW%J z^inTsyEuQOG(Xn#RautcX+~&$Z(0UM1BR#82 zN3g2c_2OS9oeq^g-+3XPVtQ}zH1C)BH;PwV;-ofTbu=cv$C@e#!}T*wgVr}x!7s|U zYjTPm(@R*`cT!Aeq2|!JuF_?apcg2L_grN)RokeSJ>)9O!7*rJ_#^8V-0D9I8ZEp| z$-s|?Y}v84lEt3=w8xY^F}F1jcO~p>Z$>l3qxl1P_U^wYyoLwuk{g8QB)na@xcUe~ zcSTD?n>ZKXJp2AHMq__h_Y?Q5Tqd6*aLAvA#4c%B!Ow73$Ka%O38{B zhFA2(Xyjq~&hFAd2-%MLswF4#qkUnt<&?cC7R1+G)90%PfOzxoQe&A7PM2;w7He=H zoDL9BZmlp6&<5@vlp%=V^YYuoc9-rjZkz)bO#DLtI?x=o6*z?#@Yp$bhbFRPki(tz zYW0UFfSQc0w4IRu? zI$(w^6<1(B7^c*y#ANcEe*g#^Kyv=DHHwFn{*qq!hvXDUrI@B7cA267|7JZMM*vlH zed=aQw=*-aewW9sG5wOYJHpcYaDQf?fQ5IwDsiOZcU9T1{|%p+o22Nzy60K5eJ+yQ zo_zh4m>{fiY&=!PXlIe#OcxbsL_Fh`POeh3ZDmb%x+y}u@O)Y|Fa#e#_ZVq%d-bDG zN(>DxC2VTFa7QYl8wzH+>mi6XHHU4wph@1B*}z`uYYEqx@q~->-%N~`-TH&!&ZggigUE_3XKVJ8-MXW zf?am#Yyy)A6d1SvRbG|&B+He0ii|C$3CiXd{14MM&RA|Cmq{3WZz2~+BKi+g+Bzbn z|91-`A~Rs(68PdvKa%82fw{J-`J|cH0{J=}n${_V$qtQGWX(2Hm!6oy8=JP8_HSZ+ zfv?Lg-|bgsv_%iYt_iz6)UHn5{F;9M-w*gvXZnpL|7=y_gn$XO=Q~97=g#UjK|epkMsHJ2?`KauJldZjX>NTDYa-xO5u%z>lhaGKt`3=2}>2nO> zhI==Mxg!8Ew*aNf`V76AA7^^8Tl@VO$(ZjDx28xen2ot!RjXbz8rJ2IlYtwR#*9yY z`;KEkAV0=KCcb+iZ-hQH{GThHIE5RV9$)18=-Iig+^C90V+N|;EaCi+2AToM`cS}j zx@L4R@rnCUIt9a0G=882ZcHNhhdUTmx0j(=che(xs8=)rv_h~fQtG7RH7?s%mvW}C zC^R9`_ID}S@86YJ8g{i|wfoSgy-#Ku=z)Aa9c-2Ywze>caJ87^MzZ8N3(L!J!K&!s z^LHfme#!f3twsgm_&})1Xk)8@f_vffe%LY|v=kGim#65|z`4&jeMxZ>T1MFQtd?i( z*IhBxJZ~<0<+Q0A(95#B%$5L-Dlt!}mlQ78B(VZk-G)-E@+;lZW>eV}geBfW#fpfK z#B0KW$)t^3E?w3<9*|NS%JWAqmxfAH8^UZnE;5f5x`5O zvrMkTzy6f=J(xAuaM5C|cTl43My^Ha3%dJo11gWpFB;z^whs7!rU;z>`p=n#v1m43 zGYL>vnhEp{trnDJL~nDO@UYPG!-vm0hb~QyX#}WC0Z2H&FyoL@fcho9t2)E>x1B*E zh_PyBUHsF{`(92i!}=X)+&RIr(6MnrsmqC7(vSeP)r)*b?zhS1jw1d#SKR;6LsJFb zKjOzveytG(?Y-P+^z6_P-sl3go<|>OfelobO@!ql?ow_JjS=2)+Y=uPEow9r*D{Lf zDQOdF?ju|wB}U(>c7$r6lVVD&9D_kPezrj9`mBc{P^}h?g#JwvRUK#*0a3Um% zYiE{%3itza_wjJCKn;1sATUM-hrN(;XBIi&#o$Mtm6RL_gY9=qO`dDiVbK&B8wtgJc`Qd0+v> zf2hymE~3kE*~I}a5`#8O3tGCk9@u=_*!BYT z#d%Grrcp6LX+v6n`F5cBr?{NzYO1BqnhN0VSPkcwnt{00ni%nS80Vz$k<^-kZIjN^ z`skUD{v8tlzapr?(iXSVHU=z!$Wy;NQEGpvelfK`;T9YXv#r~5Oj(d#R9>uU<=mXQ zaR8us4GLDNRfUY|yfFOLo8Xl8ufP|s2IT%?gVeW%Rd`CmyN|fuNk~ zKAY(udh?^v?J8GLn;s^D*VzXSx=+JT?w$1)Uwt~RosF7*cE@Vob4g|4RkayKh!6D% z_hm>+jK?CZ(3QRR6N=c{0aq>GR}g(3Isi;IJ{hno40%^MK1ovL+P;Ww2SnDzJu)8m7F? z%|UN8!Hu*Pu@q+_pqTCw)jQ|TE0Qe^d^)BNtv@u^wXHN9yJ`t8T&$m@%<$)E#zKqn z#zY(kZ~NDENN(u~w>(~V6;T~Xxg+L28!c~IJ8EWU4oaWxEi+FLBiO$~UF4(es$E`M zeZbF8sI28&`q2)UpivI35B%47cLbk28UhT!X#}5vm8Kr8 zcrYGaGAfA$V+Of0pvaA(@!pk2Qf^sh2Bz-BQ)bs{m58R&dzNJM?^{@jSGDpt8-hl< z8dWQ1;bd@?#iaHBajo=CpTPRU7n`i7b`FyVhM|kpc7|s-yFq(4PnY0oGWIQ-!b9fb zSu2<(?5zq$m`+JkL{(*L1#4b%vZM$`r2k2cFpBjPBN)X$WWiU&)|-EJY-!t$A-W7Z zBwXl!X<`kkf}+oVU}>z;{u6FA!HRt$7>RZd@`Uh!ZTeyZ`vUs;F=1&bD{~(;7D(cm zdgzGwQbTozyNDUVVEA$zHk|!en2Nxx38pSwE}96Q3I6GqidEzcCCrKu=d#eWx0fpP z;GQ+Am8Iji>Qre}empV^nz>4WBV?lRlAAU3)l3FF;6Di6CMwGE({ z_?Xq{lz%K)g)Tg=ciztifO2E$iRG%Qs4|&8abBM(F7c45w|q(R0>Z(2YZ275w}COE zt*1Cyqy1Y5`>=x|{8*CHH`mnC7m~u>)|e&RXY#HTokhQZ3|Ic`pm$_RhAM>rAVdz_ z2u&lrU>5op+u|&GM2_IxpJ$*Q=S^S!vkm&`a#?pC!1< zZfKIax)5 zMO!w^DsWTcu`zd?5WsCy;*a}&x;DaDb%pOYzN(ci9G*cV(wHHm!%tGQgDvZflsn4> zS~W0C2|5Zh7Rz>ugW@UNJntmxqZ7Pg;&4ZtEtCbfb%mYP&)P3i`r!O@Mx&Tfd5!UI zOMm?kwZEke*!s?N3SAKNQk-12!@4ZMDhW^rnlOo1KYjk#zI&utr}L+v0ieHe-t$%* z6*&L&_bpVATaz38D$Dd3CQ{#XW6g7dE>F?e+7{TWQzi-!X#CZ^2i)^I`tWB)Ti$Kb z3&WaIboood^I~91Ssir{;dZ4fKeO-TSjUL9Uq5ynX7c6v#z$*QUkEkGUo-kNx#&#C zwE)-d+XjrEBf4b=-pTfzh=m0vfQm%lPj@pyxjP2UPL@*DqDI5Zrg@6>U&lWPHV+o{ zsUGbfMgirP4hjF_VYc1IT~@n0k2B3P3yRB|nBjPzCjJwr=}xvNfQ}is7Z&#Bnl)%? zCn`JE`78W}cKlK;F=MbSZS-;9s@T@3k9|Lg-p-hh1ZB8VD}U5AM?|jPCnsT-KmjeV zR+WXu+bR8ruUSk>#Eh;Ey~=(NqhFO+P-=1a>Nx&);4aaM2WP?clfeO=3G+t_!#wp{t$_3Hv*g!>y9n-7+Lj3Rr9g`=(CM6v}4X;EDU0Js= z_hKh$r;oPvUe4qAg^l}*S=_;Pd?}psX%>$;!#t5aV2FmSmSPkbZM6=Ca>3V!B>W&} zPO4sS3X}T2%CPBy%h~5qd0esc!Its8movQ?QoWTlh=rAUVkLwJP8$d|3zafNclL>%# zT0d$=(03BrxdG&e9ZIE@A2Awp!CsjygAfFf?}9 zp+HB02`Y32DP~bVidTyK>DthBvcnKJx{>&i4)7sN2`ZfrlC%RA2o^D@x;3knw2@VWLdjkfbC4~KYJy-8F+mW2dzRqB{ zz7ON-3iz#o zv-_JQ#f5VYgRR~Yk|FQCBMx1rC;%C?q9#ge0UDv-tK~?xFqHEOLRiD>=-bRAu*fM{ zppP>v`l&8~x|@I2$osIwPUb;UPXNwpAY0JX-26w`G%!jjf80#zxzZ{{EqfLVRrf@3 zyDQ=c89M4}5mX?gG9n{Qmig_bQ!u>?-at7k$1(zXd?AmZ(n=2W4&zcgG!*&$AlAs$vMfy8 z-q)?0x(_f2yH2&h&j_W#QOmJNs)YFg_KQ3dBH+{?-)vVn`67nK=BOvfJBI{*H2*cX z(}pc?s?=GrG~UtEW!>?CBa-f#o7-KZ&|r!TC9@jYkPz3qhp|WnWgpV>VdzuFDNHqi z9mRoo$Nmh38|~cYc+e8}paenpU7(bfVhkvo1Jc0_m!?3p!)o7e*u9Q7PIJof1(U1< z`ID9O2K022ft{gs3$5R4D<6P@vT*Ul(}nJiUqls)fCfhiY9#AXOG;kn8LD2aN{hL3 z?2BNXp3hEa@0Am{OSL5gptkmJOT4bd_u4Br-Fx^a;EMyY9S| z35xRUv;lWO1zxdtOWm3Dsk;JF2J=Pw2}z`HW-q&=qWU4zHYHYj!Vv`dauWN1G9(Ym z`a3VC=j;;b^OA^vuxNmQZY+lHk7qJnAyUVdURCqIm%<=V(mug1raW)(em%qsa~W$C z`@zA5ID6+Xs7;4Ul0>7PhZVGf&5Gc4d%v* zFc+gH@v9ksW4g7qB$?a#tP$-qfQd7#Jng~;N%YS#C8W{{QS!o$ndv6^8Bn$L%dY84 z4V-0*Egx-ul;^WA=ZxQXzsaLJpd?vY>L$}N`8mKYb@>N?@bgy1*m^nx2Da#Y?sM@K z?4VNNO2bdFmPL>W{Q`bhm-tXQ?Dg`n_vU3;LrIH%P5yG5ORl#jMRD=6e zM8Lf*(G_{8Hm3#?N)SE*!p^i!xyvzF9KX?yKP3SpVa7a z4QlnGUU&N`Aa^yu8cq*;jtQdG2-r#vQrYy9cL0*SoXO3#`iBH;wQm(nK{I11+oPRg(PVH{@4!oETGN@Xv%Idlv7{ocQMi?R6|6G_BRQee zNcEt`*H4&$@{}ETy{k>MB$=)~|Iv*Is^S#tI&;%p+99|Gbz087u04bkvD&WR@$JvZ zHgCA_rk)x)HNJ`RJo-C%F3S^p+Lo}dyrFmpD^t=#l#+zEDyTdhj3RLV8eAzi=K2T( zd0`e|tsU3^f}qW^1Kq#%c}o9s!;Y6H3-=vO0dh0wNIbQh@`VAQ)i;O;@#1F1D6z90 z9$COf7lvuWu9HRBA&t~_N=HO0G5 zn`=^|M7lHdY`qU=UQN=9A?{#rsj>D$OxyvegJSU;#XnXjtD{;XKZc{&p50&F0ga(doBt4R=5*# z%zON7zSR!ms`habL{Ve|4VFDsZO5+FhgnN6dbHmQz50?bmoSKo zI5FI-r7j>FWkptNX1l~awOnW_y4ANM%{uB1{1K<@Ah7avrJbYK$yJkkV%tGZDSL7r z?%KNahh6^RtNTZN*GF!18$*YGdP!-{6^KaHen?&D=dM&|(sHoP7@QYzySQy7KsjsN z*n>>2g*Mbpf_vf|YMyQ!Qu3}-QRv{&qP3EOaY^4Hz4y(>xJGqwUkuZ~7L@CeNUf69 zJ9da-c$7tz4-cBG$`KcAViRFl>K>b&sIyj@&{3+4`Ed}Y@#HIhWzUt3q(BmfJw+$) zx^Yx~;C7Os`B?ea>W5!{Z{wN62%z7-Ts51ts=`s!Q*~d$zs8Y|(q3XB<-nAA7v9(S$1U`?V3&urR3!}CBK@RljEDC-o2Hi5R5W8hUSK8-#6qz z68{+|WnbM;<00XXqIwladpH8gKE`(|2llL{Ybj^G0+tYM`zJC>>N9ca0;jVlm%>}< zfUuW+m@5fA#ge&dTLT`ji;;bv++hxJ8JsPyf@;{!8+Y#_hT$3+8?yM9QtM z4B3vuO@uNr7edqlYJkq=>vQBFFN{sK6o$@>UZvQJ6$I}<*$k&3x?2mWwY31ww9j`D zEf09`WZ<{po6&QMF*(^&Jzc>y`Sp@wKVtcTP}AoP_i=>f5G|j74I{4u zqU`sAuQ09oE?+8eh8cTVW-!o8#2dOP{BgF2a(Mf{+x}UgD?{Gl?0qHR#j-0|E=q2^ zFuT*N?c&5xt0&xN=f{sJ|M)^wj9tFHe{_$8lv8YD!U?jA>)ikMv~OxcSbS0zw9R~;nZ&}#?;A1Y1k5Yz_E=Z3fo{(is$Pp`%VU6cnXFP>w zay-kcC_Dpf?<pxT>mF*6j2}g9mC?Ne05KK^5ld5gP!bbi7A>~O z9~*@D6h%y+*X|OZhX7La+{sS~I);^g-CF$uSo8q=P}kau7eE2Jvb$G|4l1@ByyF zt(^1P7gt{z@+C7MXuhyya2p7KePi8f8D@8WEA#)j`s=W$-tP+-77;;_5~V{#LFsM= z5fPLU6#?n)?mQ9#(n#kZAl=Pkv(i?d2{?d7F>XAI{0{;tZy@7H2NX6A8$^OR6L# zd)!eX8Nu8*KIZ?_E-+3@Jw9t5V|8DBL1VJKDq0vOgQq)Q3v7&1mWl(^uF{?3NoCU9 z9Sw5%K?#69h}1=gTKjwydnRIk_UJu$_o7oZo;d=>af|-`L%kgA`}+B31*of0Gm=3+ zzueINY?TT=AXXYFPI8|lh%*OZTsm)98C&3+G&5mtP zXa?38Vy5a<7ET`2&QE}baCJ@9Vfq`ucXN;96!76K`WbT6Cyf;1`BuTaMh*T2qBfK` zbD~D#M>=Raqcir!wODCsT)-a6lVE0ON%A=wqydk!CE0ww6W948^z=q4SQl$BzhoM5 z_7-tY2Iu_9Kt?QMKKJfe1*9#EGT_SNmKo9&Vlqja3k-Cu0*=JXVVgnOqre3gH<*-4 z@f*?}Qwlvx@MDhaLf1iDv8Uj{4m?bv{jL=c9PZa?ISPi~JH5RHE7uHKe9OBW6pre4 zyL*RNV9+LU26=DFlC>#K_kjjUwXNJ9A&_E>l_-Fo5&W0!V02llgRrl`)3YxG;D232 zSbhrmOEW$^G|_A-?LfKp*t~q5s8#7GkaI9;0B!ty+a2sVk$sS>R7kt)^!|pH@F+iJ zAF0x0I9sJp4?$mv#NjX`dV>;SqwOrl)y~Q~SlzaML)t~`b4d00^=xIXZP+D1H2L(f z@^b&*GR#eYJA(}CLq5!alL@!HUiTsAH1ChPq(JhV5?JMF_qJqi(^M+@dvn(0N5J?a zV7`4;tGji>X5S}iyhb(Xo>7L&8?sIy&r0|(;nrED5L}sRecQ~E9-_(%B(s3zJzb^RqwmS99azwo(3fgW0-SMT{ zRU^^ym{0OhdZql)i?;Tq#7nogiN9Oc?~Xs#ra8X9pnEA&;%_cD%!N1y{y_`bA9@G7 zkuW~&)STJ*iYDR6p7T6rWi)!%rxJ?bslnfhoU7pgsks!FTyYt=;Nn1aj4||AVZRQP zY^XH4PA%cEmB#ytkwIRB9|`MIvH(`$SFlM_mB=~j=ZBq@G&MxYv2-+2;nggcyV(gE zd6V$B;wQ!=$eq7saPD>B>11k|QK@zNvQ6-qvZk0+$`>237;m#ukG!cg% z^^@dCRa#m_8j7j>l4d1F#c#+vD}QR?ejrf-5PIJU=DhVHtu#>7^QO8mk8*raX{_1f z?6$wnL>%i=u^W>Mq1|{b`9EV+Z@@?ml>`6s)Z*?DkzUvA=kC0J~DMN(QG+UAf zILOQA{Bf;uf8L1X+k3s`&yjB>T`Sj|mVSKIFmBX&#fxHFcjNHs`Ei{2Y-Amej0u@7O1HpL-+qLHKDW< z$N6OCfonZ~#aLS?{*Q0{s-CZtAaZMY+AnI$d%lQY&p7JirWvGwUtZ#;kXe?Ft$}=~QZ20Z0UAja3!r!Xz)-H(=Mr(~!TOVPsPez8*X@DL zkZh1llaFnJRO9(VofD?6+u@DY}13YccG& zkswu=ZZ@T`7M^}qxC{X+ZGK6(=;GCa2mguuU>TMWo|U=L*3(8jd9(WJWpAG(%leD5brx|ETFE-p#Jq5GuN)C{Sw0%{cA*P%EJ^V5B0e@=FBb~in zf=Q(Y3fK=*4Y~?@6Q}1Nlr~FPh&q4_vNrFL-wD4fCMxV*08g$2*YiLU{HOnYj9Qxe zyji3&9l8IiXFXvUd(IH&g`RVhoias8rHQ!Hb2Pm*w_fW!C-zJ1@ovXYG!4pXTn#$8 z4qI7uUR9P|;?zuU;b~aE{#>8`s!&p|g8|_{azYpkCfC9wCpN+DK_dQusr}T=nF5Mp zSr~wpj=RWGjdx{&q8~jx_RlDQzxMA`iMOKcvrF%@;s;xD$DgB3G0)d~rQk0m?4m~(k{3_27w96`G5q*dHD=Lv^G;<)-dt z*>a_y(SKB5O_#%MpsIi%VDK19)%hli|H)9MC?uk3&|tIwzr;1DIEPL}v&eSo;U#AR z*V^*k4IAf(=zzlom~`GQOL`)=^P zr&rmf>{%7X7DK z0%@-zB^OjY4;4haf_1)V;m$6Z|92%#M0b|_6{t|LykBQxgxs7R%6f{SQ;4!Dp=6x{ePjohFk`u}B-w@tEf6$bJ% z_fVv_jt2yb&UWTvK4UVokw3-6;zskJ1?f`D+SQGM%qrc1z&>Cd{|_c(d;Ul_2R&z+ zl^JnYVr<%FxcM_zRbtS+?;bpgS%Z}1BN&mhx&yV3@JD1mvKyb%L2u9!J`Tt~ahAT` zV$TA8m-A8RpH%M_zzLMJ=>8=iPi}ugQvk}f{peoK8@PbjJ4-{#sRXQ5!ou}4eYxuM z^NQif*qV1PfMCa`yyiHL078u6wg`@Bp<2J`VNb|AwGh30+nV{r=Y~8{px6d#u9ZXf z6Cb;SD>`pC2{^Y`0n=z8v3#@sz!fW(qL8A<%m#0Ndt`}|9;Tk6b1K%tjf_zSAX??llD6wDf z-`+mZS4hx%P;^K0g?xidf7w2TQ|6s*Grc#TN;H~)%u01B)N*yL#mxC&{d+_szNdQ5 zBO#CsSP&Rl7W8O*#E7|`cZ5>5<3Mr2ylL0Z>9ymkF3NFNO)0)!OyHZ~YAqiQIvIk+ zmaxZNdCe%V-y3;6MWvf9Ur)vG$C~Oz_q$akIhl528tL9(K*a*dcF-4a1HRl#1v|E* zg{{^dFI#t}Hf35*IaqUgcSK2!9(5eRn}>iE5)i)?YRUJ8J=Slm4xk%+vOteHvgOZF z;=L&s>Iv?1`1HHy3|XZPG~EG$hIa-BiJ5y)8LxSPd5FPPI7n`FN zhj6ha(Iw@s!P zB?Xu%o_@y1siPYWhfwt1&H->-6%0{P~j|{1Ya={P~ls{_c+1vi=CNym1FS zXKO-%)!l(KuD4YD^Yr>u#xa!s4yg*I7}yW*mjY1^rWEv{ys#~{4d4jV%p4(%T6ny! zY98bFtdM@gr6P!bwotSkch9y@wj#+rLb>H}xunuBo&nrvdom{}3B_W~`(Djifo0t>Q)&sZ}W4hGY!uq)276a6Uu4mR5vaHfO`xlv{y5$l;Xp|sa zcRTg-J|oXwRFV{v7myRw9xyPpKk9GzHcL)9-r^fYs&f0`_KWqpoARqmL2i;^&w3bq zSsCVaRW;ak2jk1f?o56aPMt4b<5b3&+>%T!aPy6+q`0CC*ChmzP`eeCUWnN-`Q#<( zgQ{A7b#oCX-AoDA7nAA2MMQQ(4M)$PltneUuZ`B~^lm6)egL<2)_L>yrcTNJO@+#c z`%>rjN7Lm=;8&Lq1Ho4EH&ojjDYySH9W6VA z^|7u&JMfbfu@oU}=S{P=50;Mq2dOkbNJa9w=>HHEYCmzN{U?*vgSDE)kf`aMIkr~$ z(J-9UtGaOAd?SkQi}5($cuV@3D&k?c-+6T`p?-82UruyrAhW3>SsRqD$ET>u3*$TZ z`4J9-)*X|^N%hGfleXf{^m3nu++YP%Wa-I={Zeo$Oh}nDD-3nzf7^CX!l?<#siLxk zw<}kyUoFLk#4V=xHxTBCW0&Zg$hN=#9}q(n?7fjTg*n}$y;$mneJFo%SL)g;M8t7(rHGT#GvcSb9=-Xj8;^JZ=tey`TTdPI~|K1ko)X5jV< z2(&yo3Lm0qs|>yc%H8zwPbaGsRL}qWSh&geGL1^-#zq0Lm?wv3;2XUh=5Uq@>-CB{ z+tV=-Y#J!OMzLjIr|B7Px}U5Xm_5%hKLEC#_%efyB+KqK*mtY`cYF$9>1D^+j~>-9zxL3(IEeTT3A4I5N0F{X$Q}9r{K4Ac8JEn=LZiPIFB9}|i@@9m-H?&?0S0^OqN%@WKH zpn^A{l#u%+M?H`B9?+EC+Sv-_SkYdPNWS)I%$@v1plR@y((vb*UgX@MzWemMO^K74 zu{#Lc;Og>7nKjyiwe7n>IA@(@ART7AS%zdB)@J3gr|a=kRv34Yjvv>^ZN@)Z_vSfu zQu~_S(Dl{-EER-qm;g5J&<&}J-BeQDfR^1m<}5QHc%!I$ZB)5E`)_1snIWJhLvN!( zG2jQDvS#jV!8H;8hWJrPd#JOa@)n9nQIg-4Mc$ht@_!Y3+uRf9G~o1u*~&ru+s^m@ z@;%-rB|EdkN{~~vwEW?`bwzs{?44_YvFsW*(RVy~`({`}($iwGE={`u%naRlSp+IS zi3ZNe;Be^BQrMIekbZ0I4*+>aj3;()As24Bkn&QXCBkcolfDO{A zjyajPir=+qD07G*>cg@w=I#T2C^l(*Ym(Z$;U%W{{PHZiHgq49MhjyzhJ+SCP7>1# z&}o$WBmy@5n7;|9l;d^&=kbr?wgAMN>JZ4|WwU|0TYz?{AjrVS3O8#!Q;|GOcnNL9 zaruN=cqEgve1pO7LLQ4#ZpLU@>GKrIJvCm(uD;KnwSu*^0ISB(XbHqq&ZE6(V>X65u@0xMY$K568h)9hquutyryygp{DAl ztGYi$YEpA*2R$qv1GF#q0t!N@R3vh8-%iCv! zp)3#6SOc{VWd3k6rO+%XjYt=KCybiDvsMlAT5|82eC;_&K2kSbu9Pmwy)Ox*O=kOj zk<{*t>fE?~(Ru8!?te$VdX64cztg4{W@W}FM(p<2AbU!|YS@cP?iqmwxN*(4iR6d9exVGW?m-6}Wr?qrWORs}cNHzIXg#plb7b zYj;agRnhY?Nt1CETb9x@4B3^L-Id!zs+}K~nXb?LVNyHHh&gekw)IoezdWm{F8^#|O=^q5PnB21i`^4I#Ww z&}=fxy&m@Q<;TFf$LUnbIF8B28wvat+oT7nHt{Ssf&2;53MEBZP2mj>hC~9m&JYY zZ)UAg(6J%l0-n9he=IZxfaQp>56=e!q9gzy?h%&TLAa=V?#I<7ak89~m&NJbfS2?9 zzu#W&wS2rzG9qBxSAF{HYk_d8To+pw=bz<=IAdO4{nWw}Vl0(tgOM8kW58*Ck6QKbV)u(db8#$T;OvY_WrSQ?7$vpw=|66l-pwi z&qmEbHWG?D!-dtrH&KJf*X}uo-PAK??=K%uQeXNu;V-Xa_j_^i06Wvww_hPUgc_iR z?p!M8IJoN_+ilQe%|o8LCk4=du=#NXn3eZ)s{3$!FGvi(=E;xwlfmGs3aHU+=vrkF zukosl=c_oKn8=;-KLW!i9@UX)DkY8!$45;3ygCMKel}N8$=*%Uu8vTSqHby5 znwPwFP)z6M`eN~VrG@B^8E}KV`n>>2+>sD@ld!g$ect%!V3)=1NIQlUv(6I5Tb_j) z0}?N5<0eSDvjAsBko#%DnESoFj`RJcszFhYC{bddpUI3^luH$u_g)@hqH5I`U$!K^ zo+S4w{J}M^?3ExFFWP!>JJqa3B=L;x0z%~7;iF02c8tTFd4D*llc zrw1geICt{<%g`}0h*-P8|T`-CfJ z&68klS=oP_Z74%z$;xiM7aMoE{4)2ms+@YsMw{Z(EVVaM$d4$lp36Hf+YKsu?^|jv zfRXnri1w!e-u3GTuZZj^Xr{z1smI7pB7x@j_q}gR_PiN*)b)7C>v^H{dsY6YSuuBd z{Dm|%q5Kxqlxn?<$_PFNK1I)*lsm%XQ+K{Aa_^#OBfm0q{!>IV&vlPWR4HbqYlPh| znI9AziH~-pC4-HZa_9e^f2Dix66ALu-W$FsS9Sq1)HB@c4{Nh-&K(z@@BLjfxDWuw z!$vsL-pJ`CQdS4CfE&nHdn)EfY|g4pbHCpk&2(Szu#Ob>4Z=5U{og8I@6Q^O1rb$} z3|R4JJDb5O>*OTWulKXsbk6Q`*|>rDsTN_BIcf4EU18p@!{J`eKstI9Axib@-1sBN zaa|72lBZeX`!IC6=0dsZ^B08e)PT7bpCTMIb0`o(4Tkofxn4HCrE-*Pk3L;nq{g!P zRyU&-6U!qaceJj7ccFT;%WXZ-0rF$>hfFk2jC#F<$orY z#pmyREmO7eH&AY14XmS7P1x?P)Q*~YLb82t*KS6pSdr`o%ijtCJ=n~nh6zeG(HS1u zljbW2U_L_9beGA3(nY8#I=&GzUE(Y{Zt%#!ww}+Q$?9=7Gx1!yjRY0+9JA8GXyufs z`ebB@k$O#HE_6y!>VsebU>_90q*?!F+q~=rW6oDAuG}xx<0!c&SnDW=r*mQbI#(~3ed?7asO@430p*`Le|=hl5E^J2+}|90VS12mmhaMYFQCS%_> zXXnnGy!>Ok$cvq>Z+2QIFPu9rKQ`@=gt!^!x5n<*@ya(N&XYskATFIj&a(wH6LrP3 z?hHGl`Gio8(&%U4tRH(bs;C`oiQL)-efru}*rVmD2Il@#p2!`$|NA~8#5JpiHl}|t zSG9%NBa*Yf83Hjdkzn(QNQrr)o{8wYb)^}Uj%ZU*?vrl{`A-Sek|5#qosC|dmh9IWHrapkQiB1qBN_Re3t)FF#pi&vEF|Yo0ofKd0C1TbtM%&1N4r z8Y%^{Qd{iTI+G*^!@Ef5wTrB7*Jkywzl#Ig*ph#A-oJjpI}jgu>$kmW3Q{T8)vmfp zpg=`~9Q@+21_@=RvDOB>4mmxTS!mi77MjxAWm1pNEaq5m{P+3QnPJ{Ky~OfJ6Y;Uh z&b?u-a2?vCv}q}33AdGOsu;bIs~}U~m#-~>PaP@Ft)qO9uwsZpJUi!`**ATc&w~B^ zznf<(WKldCs!4njg*`3QTtZrYkHs7NRzFwFnP|BYgJvr6RogaK!{r zv^o2)>jT&ghCgo+-48TX#%BxF1hmdOkFSBJ>Y!3MueXl96P+I*i5^0qGY>pKhhoN1 ztgF!*3D%$I!oZ6CTVC57ziMWXs+Hwqu}(8BWE5;kHDb`8IF5-6@14HBrt>m%rUTJZr?$Fo|ud zb|{ne#QISjIHzx8TH1U zcIZX5$@sCfE9EoM1*(C1;7XBld*eYf!%UGfLi!E3FFUGL{I*FKNEFR}v`mhWbWhRZA*$lgZU4T`(6dIRz!I|UvYR~|=9e(u!J6@g$HP}{ zv_eof@IoC?$FpXQ>U3r7YzJPvf8aGY%qzEeC?!6b z`qAlfxpW_v*ifYj-Wqpva|)#%Mi75&%+jFbb68d4g#p^{KuyScuN@^__#9Md-27NK zz5GW^aGsVkRlmDYp6H@-h%FCEU1$UOj0MZRSkJRY$?CZkwzvoMP z?GrvS!&jTa4rxj#uMi!P%(#SQE$Kzubp+_w8>&g^<&QutjdHz@@2-oheJ^JlUPlHu zsedo8N+@qAc5>$b?!l*dhO4)Hf~x6+ih(pyKqFHxpOt^8LJ%KEdy1>~@m;``zr+MC zE}LGFP4<@t$T>Lnwk%l9plQxinmkt!#Vbn|$c14{$gkzoOK=VJe}|@lUC>pQ*9cso zCE&k1GZ=B4xM@^il;m|>M{KMfz35DHCHe`L5&~f_f_)*XGuZd4CMMkQQNdX$rz#lnTu zJn`P}`l_PB_SDlu-A}O?XJ40AlzpfV)+%&jGGHwNYjB#~17KC$FV%I^w;wXSg}^$y z-MCnO+{X%m>F~{UTyK}kB$}P13Xky-TbMXePxa6nOeFKsroQggjc%ehdER#2&5r9c$2uBu3GO>LsGh|!#-*G4y5 z(3LOGCBuPoOiX#YDFm(uX<}m=8* zUP=&CnU5dqrj&OJOI3n&XVn^S$U?YBAmi|EK;Yqp41lm4e%NIpu~Jv4*0;v5Hn1ZU z_`3zGoBlGzYnzGnP#e*}Sq`(_v+%x(I#{;o07fz9(DE{&Lh>s6tuvu3tsbLww^-QN z$J*o8FF&6bwr70tdTE?}$tY(gLkhu9Mvuw}W1YYGw_fbZJv(e)GzBlEb=1`J!Yrx( zVpjU#hEU$>NzczQbKUE28EY%E+htiB5?yYvr76&IFb3wZ*-=I{-VoYbw}nOO3ugkl z4M-<2cA%R!Xa9B)A65@E9Np#aF_Xrxt+p>kd23-enP(wF6XQQ`p zI2d(CnykQ|deL3i7g3$yGQ0zIqObRwcCdP`Wqjqb27}ja`%Y^)Z&rE={w1d4T{@F_ z-D`3px9Ze2LXM1jFXZ~S0m2r}BlyBL6SU65@4=k0e5B%2Iz#DKnS{xzB}k)O*q3Yr zBW`&(zJ*SiBx(lTv?FWWRSu83GK7D*FQT;9M&ErXPoVxquiDSg@?u8xdv|InQVq(U ze8bScUH#0B8Jdf^dHzB+6@HYXKPcaK`#Kt?9%;7t&3KTes9WzJ;F3G}x5IEVQxw=L z@xH#+RCM*7Hps2%{ntSHF=PTz+KBbiAqZ-!o`Bk6z8&0_8Fft)q{e#ohmb2xU#V6h zUw1S{`mW*d=Ep4fj(*Jv(M|LKI&a7el~MJx`&f|dJS<+hE_%Hs=9>8N~i71iG zp6&Q=Xm8SN^)ib^zj_D#qVz6t023^9EEClnWTm(26auJyWckIZuC4cyHQQOha%8a7 zz|tV6-{Y#bZ(UByqI-Xcytyp#1@uQqOe7s^`;q&kHpAogPI1O)Bbu$|%Z_2Y6wSgp zuOxo=3;Ai%#%_rxr-aKMGjk0c_KL~LPEJ#|(sero_O?D%dIdVUSf^-Dplx{-1E)=w z#%$A$bxi?|M#Ze93%LiEc<06ogiCt1U!8*P_2ZTE$rjbkIaQqK8D4+b*%dugy5eCW-9&~*J4;Xy$jREDv;h{Y*kz_;L-zdjjuyCcuT+ z_YXzvq4Lqub!6Y$!){^9a_!En)Al%vpw{uX~iHsi_B<8bpF}u zUW4Q@3p{-cGyWT{V#@3QPq^KdJ3G?JOC{asv@L*Q#m?6GJ)JVXmW)25T?{Y;fU))! zt6K37eAN#-pBq4fsqBUL|}56=PTp-t=2s) zg?TB9YI%w0(WY5P0S96^lJ=&4o$5Y8XqJZSFuQ|Z{i3VY{Uv+x47~9w&1Cm)p%6B* zUjVPHzSXZUR&GyX;8JILD#9Z!z%DFOXPbE1qpWzWo6v*Wo_xNx;W~e&KT)^qMHS=p z4WG=L=MqK~eLjA&#>BsJDu=4ah(#cdj8|Qx-kkr|1nLumthy)Hn-|4Ck;l#sh%HJd z3A%UYIeO`RZf9Sl4G_wqk(-dVCXk(tXdK7db09kU$36*(1dyL&G_D{@K-=mF=loqM zn};%%3R+!_KNdzWqUS2R-rLB=o>jOKu2k0GgaeHd*D7>AL`B12L;YpuO&Mh=z5MNd z-TV@BUSV?XQ+!@jIA#*A@!IIup}%40+R_b(ne@5tRcnvHO_I;2WG#T1xyJ*#^?S@F z;lr3P0*#{&+KhE}jQ1oYmI36KsfShE2Up`&yey=oM*dN~hh0?$QKQ@J(q-fq0v5@V9Od)A0w#t}>`kN@Kd*?PI#WGmF3@skH{i#ErK6uHV1zRN zJknVrko)KZ9T`iAr=@pX-$kt4fY_zC+v85ZlH*@q6ue#FXehjE^ip}xFJginK|9=w zZNLGiDE%ssj_{V%QJ^xBPx?6*FF?@i*Ph*u9(<(m`Xb?0|zvU9VQd*n#29w7_w|s@xP2@X2Ld8{4~Bjdt~=So*<#*Cxm> zdLa)=YS#9Pa6j>GuPEyvuKnh6Dh)Yg8@j43jt%e~K;CQM-R;q3Y!X|1n*86()hJP! zfh1!=6Y^8`3|K5&!{&0A|6N|f;Ao0ciCk|=qF`)Xt(}@|7Btl%|7<2b5GCBTSkRz_ zaD+bF^xTP3^tI0)QjSxpH$FTkw z_N3`5=|wPPko(d>47wMNA$4K=347QU!=qdeC|6mWyTZ_x^KIm&Po66@Nle2b`ZD8lX>5-zs8TIn^_$Jwp-60fHu``MX1Ciph-vjC3}Kg-gh((ck#!`@U#dPxg5~&G6;A6 z&S`+zx~qJ!xEikoIg&ea2`sMr<@5=_#&vHjDn_u{hu-i5S0b>ZkmZk+&gK0Iv8rc( z?Z7^E*I}-O$t^!-mBZ|0@nDw1H;O~-^Nz9|>Bfp)j{l?cxIFmMpvCLV5!)-nO8toTj%Fjz}tlILf;)78ZrFnzbdgD>CcH=bRaT>0r-LmV_$N$E0wkqx~vC#IxsL&OmpaHQZw>jxCJ(I>cW3R(Runej*x$*F+Dk`8>t+kK-jb%dhzM?uL-P25^=)58CPt?&;P^ zrP>)zywtvxghXMmO=!81t`7W|;q_VL?^h_uU%NDJVmvg{J05`|Ky0J+SmE1Q%l`g; zLbzNF_&Jhg{-+8n(N(<)@Cpedt(5C}m2Dz#Yt}PoS!B?jHQ9@&O%h{UmzF-57pD1v zq?otWRDt6V@@;^PVKTa7x#a`w)H8?Cv+IpB55BFZ+uS~9rq%cPAG zoiF+P=BA|`K(>u_ffReI?^SnM3BWU%&&oGU0*TFkRIsmA6FiW5b!=O>g?2v_gLC~J#O?n~J;vtP zhTxq?EL9Wv=m)5|Mp7V5$1e#rx5QgP-g!lj&DB{_eSC3t9YK?eOldcq0Kc z+~gNg`Cz72TN(u#NvLV?VVzoeykf0 zZE2g39B0`@F~bX=P>lOw{v=DS6yv3U`^;YVv%$F=Y&atZlSXpe+EWHtEb6Y~jyURE zLm2l*>_p^P%;_!n2GC+*A17mEg%a0)y*T&Oy5QaZl6D(`);&Wn<#!_gr|Vh^mKeGue4n z=p6(u6lh!O0EH#3*Wbd=h20f=my3ue2?d}iJNRk}t+uwrk!6LN!xf}#hhPzV=1lM4 z_Pfxt4<%{QWO>yXSC6m|*e51!;hu?gXysuXk-bX%<)JIMz9seoLVPeMEK~15(8e25 zL2EK+E|BVHmA$5R$StA~e7<~7jJJn_6!|Ryt|3eh;2BMf#*F!+tG}(28bC{lE2)1< zUTpji_qEbpf<}c38L+pLqFB${v2lRV$ z9m+;Pzxv-;XPhREvrO*hF){xD|x@&N~kQe?NpdONU1OH=|HFeWjpV;){XiovOw zlc??=6e8h{IdPOmc*w8ShSe0Ob0E{G;oyU`)v@Z_Q(?KUoh-!jlSnd0i{Ij>MC;+# zH~NUr;U6i&eHMg$a0HgDLth-kE6;@HA5sC9xb@p@)|DIS%q?=Oe>WDWa9-7A{oVV` z-ynqB0ldvhI%(?xT@1I5Ujji`*zy6tfZVaa-_8Ax35iJJiYhWBw_TP@;k~W2oZrJZTSfU!Zwa~!StH0lcM|)xuGUN z8XE<@Ce<5-Sqn|8GBgH(R0CFON_A2^Q~?Xr3=X5KlLmY_V_PA_~i^RF2aIL|*s`OkC+f#tn8@IgM5 z(hy^+wQMw&*a$g=(Ee=#gi_$h_l$rtU)%BWmYz*?o-9x!7+FKie82r}dHri^PqHa{ zsW}723XcH=+S{^T7EoJ%l0H@WE^E~&tmLd!byYmtmi?J^SBR_|2FimI>O3zj$Bx$A z&VyGhGziAQt))8wYndSNU(y#HF7t!#<@Irw)PB4EP1OYkE5DV}W6tHvQUSTRBJup= z*AM+g88mX?&=}9ZRP*!t=W!J=yy&StyNdnL0PfVLo*T*Gfgy*nfgc$4&6Z5JqiCZg zv(ObJSpKP_SB&_-nQ;lUzvPORi08Z9mI*>)U{gM;js+uTLO-nvco!O_{9}8vzMOjq zGh+&L+x~@D;QmQB1jW|h$pd8k4!xcPN#_ zTGqVx88fCM{>vIo)X)-B&&|HVHDIPZ=dko+$y(Zi(J%}-5qU7PGdaW|E5vM`hvn=k zf?2FPLpyoQ)(~V;UXPi7>-JrDd&w>dcw02bsm+#j+IjPD5kUhpaX{JCG7JMqU+L#X zRhjKhP+aYw}Rl8I!&Vue_cyv2M0yLzt43lj+P=z z#|*6Ha&EZkiA(~LVzWCh207Jq6|&Flca_o24J~auwTE4qTDz@si)(H(x%)qiD6KV(acK+PHBE~p8KUHA-5`)b7 zP^e`FAIWlbv*TYS_Ty9UTJz(})|ewbbz}TJ_L{MX^xWsq`GhH^b7hJ9_eRA+M-lTu z$FH^{?tX0E*we1b-h&p{k`A%YVuvdH=&Bliu6|t}$Mb0ezkM)6Eg`oqyJF~|IPE^i za3|&_*I+Bq1WiWgRaXUjPU{){z-O#@*@|UX?X`BuZJmkv!ofN#MY(x4!r#%n7B93~ z0{;*Lw2$J;1yxyu2Qwds%dN2jQxtO6=G`p zHOUshF1KsOlhEZ<&pD-v8!c0S@@SJ6l-mGMQ6SZeWn?cW&abk`op@UZiIwN0c;Ath zU+qOGDd3HrNQccn1rPzP(W0wGqdUgz-K}2kepwr-w73}jANT=uX$Uo$dtj#^{u0_- z8Qk(fh!AU@doXvfF@OBp+&Fx08>PzqhuH@{*zSGJjJ+|KBj$R8u|+#Z>dQvHhrYEB z@a4dY6&7VwF}rrKH|IrI;a=W@yq%VF=cWH2$0CIQXtl3A-fDjdD>PDIdqHlTbHiwj z@xZN%uR6w492#(=Te zC3~N-#;S$?op#^QtePUPeKYsx&8jO(V=&$*mc3< zpXjDhLVJ(wLzI>8EzNS*N3eUl|2ldrdVuMq+K15$5BoE_qy{n|PKo8!DQl#{Ep% z)9K1~;Ai8*#!PIDQmaLoJMZ8{6^28hfMH|QZCouAgN@YbBlo|}Yo#Nj z^eXUa{c!tJ6@W=+G#%rRAlZ{YQYo72s@S!(R^i`15W(H9XgAzPc`n|AARAX>r3TG9 zD9^S%DxeFeZk_U}s|BR99i;Pr`_bwbgRg};Ez>8zAPsEiZ#MYs<4xEso|zK?>+O$e z1LX{`9mWUfCLY#m4_l27Qr(^M^v2S9j{f*8*!B{9{^yicF*$T(e*^ZZ#z?u&v*J9d zvWLCV?YT;HV|&|d3PwLhKi3^-UwRd$4;JbS z-Cwh(n`9Yu6$G$;A)^Xgo9=QYTW@SQY{D>N7E-4zO>QY`Lv2mQMa=S1!~wD@1Kc zbSJ*8mMFvS_Dq#k=zYI3V>z})6|#|yO{rf0D<1o<4o9@;=V{8gxNPJ-wKr3^h2K5W zR-Hr0=w{zv)n12Nmkbx0HWd3%<#5T_sWnyNYEB}(3_Abn1aer>BC$uHb~P(qcaYlF z#j93X`<~mL6})$4k>@}kA7@ygZ$m)5p#`Ps#Z5o;#af9PxjVOgA7#roNR?lFUM3!* zju9mB{rFH}DeHVy>DO#E#p(fY>G-RW!!zGln!4>f-N`8Dxoo%oEQ<}ST`sl`p$s$| z4^m@!1gH1V$)9Qhtnoz$goaZ-jWaFxx01IHxRRUl8>kLOF)xAGAFib_vPaDUGnfZ8 z+OJoYZe#DAWPbrv`Fp&N=YfdSn{>y4IQngSR`D+yrLrTgH~cq6!bkh_xh9Ge14txi zByrF8j^p9aXr<@|*fBS2$7bZGN=X^ zx>5m`8gW%2j6IL3$!)q?K(=TUrRZ;rT**4%*QAs+LW!!aV!z(3;McfTO}k#vyLQ`R zN$r)tL8#uwj9gX3-ThQ*oa|TVZwddrboD3f41x36Iiw|a?ayPcliLW#`AF53>V%iPafa3jXnJmsxgd;M%bBkJk&1?P+cV znV$S@>prqUm;8GQZapZnBta85>S=uncEroe^s^OYcD7QlND3NtwFsd0(?f&ypQv`x ztvp&6aM$P+31JUaAH81#4*y{DFI~&a2Fq9Ix9ztY5u^+8BOi+gXE?vp|;Cw+AIH%rQj8?Gqvn)G}fcjo|DWk zpTX8#E8BmX-CaNV7f`%|nZ28W(+##h7%@d>PV0<39!mffPtvQDOPf606D z=8yLn{f!=p{6Iv)-Zw^#fm%$QaJRSc1v+7=I(oIg#2!Pp&15_?U8#+g9YW6`SRbu0 z(AWDyB6}=Jl=ac)Y_Zja_`@t7lrVodr^}VHF#W*%yYM!9gpNkj-Lc{f-fibh1f*}O zU@#M9`cxV)gQ04Pg)1Ft-%q~eo(fssK>yXO@@znI&y$Bi;gz!<)Y>mi4W2#w3Ev=9 z6~>qgS@Ge9I7S%6U3_-gdPnd&>^DZC#hZ^9H)Z=^<53GS#l82&`XIEdJx$KsP3h$_ zI#TCvQLVmIM=jA5eJ0eYu;F3+e!IapOrw+A$ywm!1pYQmavi!wn)4m_do9A?$6vWI zs&_Z4F@)6HL*B~aH@Op$1I4ffjzr1~)D`lw^R7~2qJ*-e+@evfo6&sgH}yJ$5+cHg zzcdsO&}Cw@bYKgdwhW_Jo{n~(J=ib!ZtZGB*qRnOzp52 zf1tiYJ1=^qb2s{OooFKBi-1Al%9-9IpX&TzH!YIUPLWH9&d*E}uD? zCG7wB+dcfKMtn&-YCZS*wm3m)QN~5DMEK4L{M^uLV&9Ik@A@G>%_E?2$TGe0V-qbdKrULLL$yqMX7b2l(U;JvhwdL#jWKWALJ$}M_7Xg)B-tabeUh`(-ajfYzFszsj*W!qHx+&78u z)n-G9(lJ-NHp@RQq!S#T-8n`^y72-tGdnVW&GKE$KM*NER;P-t1E)mj69cObMvMPu zp*siNZ^eV?o5#nD3h}6q6!t;#>^WF!b;8s|0x{u#Ny{{1ZH*bY9F${8`Izr>ZQs=8 zVS)2Zh+)XOT!ZNDdxkEPnDv)sUl(_=HdT=#Pj-=csvG;e(It+@IPQ1cghA}~@g1Ti z>$yQEFnOF}U=vGwK2qY)U*Bok^h&vP-kNYRxSz|Ba51pJ421bIAA{s}HRw032>j^j zRevK-Y1QzHY1Xjlyg6g`VQDXvo0vjyoG9k`c}_yRd5jtM7EbarNW9vVsdbt9`(2+P^=Hy% zzhLBx0Yip$txyiarmk1n837p)69+PR5uJh1sG5WR)`Jjt)|2vEIZNO{;w9A6TYi_BWyE*iJnHR?f3zwB@pD*K!E}N$#%3qo%g^# zmiZO$(mO%!+_<>lkeIom74EK@f&Z>cv{M%jiLmR}`>(*hAjo|XMBME5yx(L3|5jI_ zOZj>=r#pDX9R9;DRg}P2pvQ1j-NOLMC-HpQzjHo(kqx7cH=%v_x5X)Eo$)bm&7StZ zVG~`3hKRXs#_(P7rDtPfX2vYiFQ*}Bg2D;p%Jh;PdM}uCP){0i03!SHNqvd81tkC8Bi`FVh4>8_=B zEj&dVss4i>gO-<-3~c|CJ!3@qj#N?KQm<`uk%9isw%W-=OYb)>#yf1T$L@DO-`}xw z>yS2T-a%u5WjeY3*S@LL$Z%Q(NX!I%L zO(I!p_xNt?=H;!^TV+?bU-E6M{O~T{kWIY_KJ>riDGG mLmXl29?C^E2! zgYN+!JJxS_poP}@_(O$4S3e-`_$|yQ#A-*&Y*)&m^%{@;_8;h?dfn<m&^?vk4d#5QpTX&4Nka;#M-ccsSIMq~UUpc3?QK-`6 zYCk#Hz6HZde|d*>v^PQ5=NoXS_d~}yRGz8-K(+8KVFP%5X5vP|%wpi@LKssdfJbAa zqN42uVJvpa@fKyb;YDd)S7_x?h6==gw60H~Sbe7z*t&wjyDZDhbaYzSW!JbIJPS11 zXr<~u*U!19-S4c+FhJdpsNsqTKRpFW>!0}zE?jNbp7dW3J1Gzx^Gci*ax0$9BJwU9 zn$(5dxpjb8q{+3=ufzah`%g1uu}$@+r)geecWXU$Ab9>JRg?ucv49YUJ6*Ypen>TD zwY9J%8@PFjHWZiR!reT)ber-r0WJadJYk6n1!J;8r8KAF(t-lZ;n(V#Mca()3KD)E z4-khq95X@C6XeyDCJs>@A!V#TDRn-DE4saL8)keAFG!IHRRU7hUX&GD$P~q0!;A!i zZpav8GE6Ou2A3sRPiRsLXOcqtLN81S*()s$wEm23?5L}FStPF&;5eqf6N*&5gJke@ zZH(Xk7SM7@I^~jVr&h09FVhRg7#A6^dhHWW&+WbRli9+#QO(}Je^3Iz-*z?;vojIz zTRO``J*hc-HD)gkb97=`^%}0&=Mc+Vu$PV}zwEba-utDXWoUKf-S4T0_dl(jD1;yL zB6<>Y#iT*3o4?Evzr#aMU`GvKfTl)vPDsqT;v2)8Ffp!vITcb@#T95lmhmA0g+cC+ z?4wP*D2v0ybi&QpJMweD=DUKL=Fh^X>hPMbx8?2y2$oApA>XV;kjYBj-(1$WZ#r zGH$^^BMS|hZ7dcrja#2P(!_^yIZR9@c*QQ81xRXS(9fbi)`UH>J~#0pbd698q(!*o z(=(=+=CnLU(~x;zBeIyAfR1+vv0>gXNB*3+eMTqqUF@c z6-l{Qw|&VsZF(@rMi{EbRhdlz-`mIg3wyR>a2ZIrC>`~2L*H#eCvo9F*~tAp`(fC{ zCY#olw0_T4k^*7LsVDkKR7!Pb;GYB4vSI-U2=hpjD9R~z`DQ>ln02h}RvjYI^*q5G z=j_+}0GR8r{UKG`6#7PO|4T%hJE?jDou@ffofhN*!SiW9%NgD~0HNG&jX0*?{Dgh2 zt}(af#mtfDfQxQ3lpJ2$Vc0R2Rk1K5KbmSSukBiQGcgP<8_3V*cXI8$9t zo--)&sYwH^Z&wc)%%BV~XE>Ff)ql3WPD;>=f#o*aZpLuF!7cjfzw>6}W{c!HwVqHF zM=i9-`(_lvkfzePe>hyo-{#KBKr?yO2>ah2C%~L)m(9R_TZ%PG(+Y>$^ez!*jZ1n2 zvC_N&hcv2EWnA9m5Dj0|T#5Ao1pPDl`ah+ zr)k}AjLsPBOKqba)?-bfbFAwBpYsh!P-X*>1@%Dc!m2Q@&B>;l$qP(wNeifJ8Ra07#XyExDz{ zAlF;L8%0iSus_^6IxoJ9ObYvTD}W#J*^D$2XxB+HrS@3*0OhIoQ=!rx@!v5hANM$vHuPS2rGIdSRp(^0Q&OVwwn1LWC&FMfxmj^8Pc)q-M~^o88-S=SnNu<3GpKp|D2JG-?_5O zIn6zo5own~dX6?4wHP_pviMuvV<$djo!K|^GB{!=vfhcm8**f0n!X2OB48s zQ==C~gx3ey>r&q_-iU_gvzYr3ueQE$$@gpowA}xJ5o7xf1EC`flj%GzciF*6G{UVv!qGD+I@SvdJ+l3)cIy_1Zix4M-5I_( z#FMK3XW(*wVvpH(mebMPq$-ZjXv_E=jB%p9HASMg8fpv6(NMIyrGRm&mlAicK*!nsPt5QhZh%lpzT?eFKFCpuKu)V(0snmesyGXl+}S~ zHeBldAit@2+_@2n9c%U3n=VFyfjmDah5+-Xn&CZHodDONEa}PvJ32cNeyn0Z-&j0@ zeme`V0_?5%hH!%D6>qKfnb?%8BpX?ZLvzC0{HxN?X?`+*UY#d@ED9rFClWL?dbb9> zaQ&QqFjXv#@=xS(QPUfJ?}}&9<)`5;Q$^|%4}(+7iX?kh7F}Df@1chx541m_3;aIF zi9Z$>aS-&|0~{=?P@;Jmm>! z`Q~n`ZfW*r)e;GZtUssfAsr$(E^Oja1%h-vANu1~;oSBcdW7WHTzXn_T;Ow>ZeO|; znv^GE5zl?eMkC;R!-_PyS)|k{siwsZ6U>`jbdxrA_j&VSF&{b)B|vtEhzP`T1r2<- zARJ(fd`7!C@uCF`u!@&`?z$bSv;nGSb?IXTTF?$tpFZInrUp;2w(z>RL_hAJ%2f5+ zg>sE4U>&b@YI1#qC^{gtnK>53aPhf2BthA7p$rHOYqlVClK$^PI0BlXSL< z4M0)3!kra^Yz^RR%lC{R4ZUk_G3VOux%p9dY%b>A%&mD{Ft8lB=x#JmsR6E0irvlG zQ8hx3%YHDuiV?hzce`6E_dpS4kDH|^Z+2$yvs83JvB)katOWS!KE!Y| zKef{O-*-9rdA!VyYUkejMMEL9wd}ILYLNHnLi3qzPCMgsB?#rl5bJv<7kJx9txX^J z^y4SAg|!L~KhKfODS6e;)CYpKe*|VlzSv&AhkYb_*s(rB?xkIyV8bcxyhxpmu|Y)M zR`UMG31}V6Advl>aplvgWJXnZMYKM`Dv=>rlx`+v;W;>uPpsdPkPA}bkX3p^pqDPx zlhgVErfeUr>lpj-a|vtHsHd%g>3(C)Q-M1}=xObeVt2T9YO(vEFsDVpqL0sgKqznQ z5xb=USV6r*2eKC#e?E?=2RP3Wy~0nfV*`WJ{2b(!xG(+jKQ0vw1m6gPb+OEtb(A)q}Q}Uv5ce z&mvgy9fwIJqHE!I0a8{e{~xpB2Vl0O(IFZmJ(4`{J`;ybh(C07HFiyFOiHBod{ORX zm+!$S0ds-VtJi9AF<&Gf%kOl12=h~TVpNS~kzbH))e4MA+s9IaYuCk_4~9hvUt)Z- z<=}pLsQ4GCyR(LF4bW35cAJ6K!-$z6u2e>2Q;&Vv&R5xoz||C2>cMay;lUm=s>dcp zHfzbjj_OWOUnyln$`NfH-s)W_p{;6gujrCntF-Mh(j^)@{G4$kTCXkci=Lpyy_7nH z@y7A7a*-mnvV;#~NgAVPf*=&gU2hltzXu<9;R>XzIG?lhe7p5WFsn0SKTvMT&MG_~zos#N^}cSTyka^~4a$F|<9>*FEatj$p zOVS2j<&avIf)}n#T`rdjywCOP-UanV)sZqgy%P88q=!DL#xBjHCBh!Pqg#ryl)p1+ zYJbXaMDwrStz0{BsozWr&?zIe%-H`mRnHcm(IIxY%o+`<{}~C5*nN(?w4-ZX{jJez z@akWSZ{m2q9+Q23_UT&eVl{kmL0tpsxohvW`?2}}oc{X`wYLNzLE(^y<_op=-4Cfu z0S>e6kxIMb$h*_LS7gz;5?a-TQ!m%DC@&PRB0aJnjgK!nIcEn0^1?f|vbe}z&vSns zmL6vF%(RoncV6-4x;tl9XpkW7+xgNnfz*LtxX7e6v6(<$nM-3n1W5Z=&aF0R<_@!W zah!O?wGyc#L7681cgN^c6ic~!gIJs?K2s9GV8#^@iSBkgf?xK;NX>Z0-*-QAdn((Z zl86(Cr(wcd#Xt!10RPwz=cit>KHV?^g+f4+4%v$?VqImo+Z`ix-L;X0e>bm7~iS}oUw z-OlN>l{4k=Hn7gIuB%c|{{D$#w~cI1hvP)&I;q5IYIa3ip~TKtjz`RFpdvvy(a!~W zGr&gGbw!ja9M^=k&V|O)ZOey5x~+{BJk$Q<@JqHBz+o*JG@qW&A*W`UnCYtdL#7Vj z6oAPqDZv7#Xr3%?h(tw-p@{(KYKrlmy!wxjDmU1x_(Gw_$6?tb0;v8cF*HM&2TGTi zAtrg#4u=AQS&^-j1T30Lu*E&1HF<`Y-BeYFpmiA(k=eJ@4-DfsG=f$s7XymxKS2dU zC`ZDxt{jK$lgaVxg%;F~*n-;uM%Z#dME_bHJwfIop-FqFB*lXItQ`SA)^@$lHNjOW zhthGct{JaP)4bixp|2GP3|x&85Au;*anEgW@XEkughi@0m&>>HCru&TF3$+kSkf)( zaV+CBBL`f0yv?OfFmXzeV2WEg7T^hK=5OLhsT?L|5@utU?*u&m-%<1ufGP261+z4! zE<=iYMsWSd(0cuk3|I|__JLFsr4yt=5dViKpl~2XLUsb8{0SmWVrTyHqV`a>^5$dr z*bWdB!3R46<8u|4_5x4dYXj@j-T$SQ0)Qc2M*Yh?i0V3i(0eriUL97 zj7Bt#49}2n+nftM12l}#{SZaJwTjfy=eJX2*Qk#F!)hAP3T6iSuIn%ah#cd+hjc44 z(l;*LW^LD}&*tJO1M93=*iO=z*x9e`3v^hOy3LEtn`6(&;P!(ZA5d-*ts$P0UQ^p$ zrq(a@Ubc~myfz{j|B;3T_42GTL(5Bt+Dgw+5=L#xF9WB9bAq&cXkWAXQHHrI7qH=H zv~BA;ID=0J=Onruc)>ZI(s6fqxi^%9Gbo^JT6@nO4URmye7T zt^kUdikE1;PH7Q7b3fTx+Y4OXxB7jg#zXMS;h&-h*OY!mD%BbnYF_7pCwmkIox}6O zrPTGb;~f10?>T2npN9>2ySYNQoM*|3Q`6eV2D7>Xt}~*n&oFLdtxktO1^0;Po7Z_J z%3L6P$ARPti8vu~Y9z$$c)i1|eJ{CHMS#lim8;{V#b-Fg55ls}Hc`g8>#@;PAg6~U zDob{_tCP!${Mz4dDJ#@;`9t-G+wL3R;mdK+D74jw%*{rkZ=r@{EaR2V^5jqS`%S@} z+1n?2*0atvo#7EeksqPq0>@Bxxj|M3&Ol0g`C zD*GEexwH#?s4jk0nAogAA&KS+rPif%GEZg6F08`a$MBB$;ys_`nLxKR+L{?wOo5RB zCYeDqKyP|LJL#n^Phs`4=?hF}h_yv)Swbe{n{q8oFEFY1#%x@&V-M$X7{FvWza?KUCDWdks~m^ls7u7nag3GSd$t!XnXgJ+@(5h9 zSD};g9Kk|FH5k;dev;~H8Ic0!71g)Bv~?sFKN&CZ_%S!+hq@wi1xJW7p7^6_-}4%; z;V@j?%UIBz;OI7>h7h2e-)2nJ*y){SuzR`xS^a|kky2HfC#e=Vq8*ciHdC6DRUKGZ zFaEW(`z|!ta<+FaplE$m3|EuA9$n*m*}MEwZNIXAT0a2@o4~{`SRJF@g7h4nd%SFQ z-i+E@a0hx*$8G#TUSDYPIy!AZ_SO2BJR=0FeOlY4E~+WGIznr%!)ZNhMUh;%Q1d!8 zh*y2QcT^jc2~!7NwX;O^-RmNbvCv@J+3*^$zlg0HKk3egQzUNSIVrMTpF3n@Z%~AcP*e9QIdg_FA%iiHh)*ni+fsO)^U;aiojZja65@sSEd5=Xq$3Ko{4AF{NcB6P_% zM4`@c7mNxww}P;_!tZ`6PklqiA%6AnQcuqJG7z;Ks}UGEP+s&zUCI-oaby92&)UpT zKnWKi0DI#Si)R4i^!_hmJg*E2BLt(e20B;j9zO|uC}+SO?thRTDrKS9qkW9ZaXq_8 z2!Kc(om&_aqaG|DSznQFLYIbEE?v4(#*keVq%$3H>%XT0D*q}5Xh58bzSG(+YcOvf z5ae*p1+ceye{_81!WHSSFY7&NEw<1KS1fe_3O7{7&t#MbRk)ul=+TSDECpY~MOR@OV8I7ez~-E59g(YLg^+0x6;+K2XJ9ml570qJE+p*11k zJ%J(}`aLa0F7&;bC3+SDQuC>)*gZ)5CSQmO5;HpI7QJ8kWa_%W2)*aC*^6x%SPaKh zU4KKpXENLGHnvb6bLUu<`#@fdnl&8}XP?U~&@tT+<*8oR{qly#v#yZlHTV(c?BN>m z9C5AwgQ?5zAq3gKXw~-TWr^yd3-isup!#;pWO329(wpDws4HWXS8@BF1pG7iPxGSy zLA@`j!;}YGHiuZR!0t*6x!CRPq|~E8xZVijZXNU(s}Afg&)~yaow0wIw4#N@t4-wl z9SfD6hd<7(cVoEMr z_Av~onvW05Evg7{=LWBKJPlex3U#I^**j}xjzP<=L4ygar;j>ACm3iyla-5x5cQ2edU#o#DV78Mp z;#1D3U+&uf?K7|U8wgXcm))0B-A&Dz(bH}~xJ#^6$;#X-r!Q*sQ8w@zG_M^k8R)8_ znG1Ev3)b$shi8U3bF=F~A@4+Fr;ZfTB|Zm-1HM3+EfcowX5Q?17PrmfjB2A`uz^Ou z()jtF_JdX8u$jQF#RB z0xqC9h=}-6*JDP9qOaI*#MNN(5tmZf0jhQSRf3&o3eC`QMEp&W0Z%H!+Z?|3ke8Xm zB{HB;mhkh9O$CH#YLNP=D3bcM$IXC|VAkd{alV{=wA|%d#l3M^c)7`1Eo1<;HU55R z-Q94KpCsz@#jjz!|MbAK=fMU{-F0Tm+v3JgDTh|Rwz{1Mm zw}xTV5#GZgt_?(u!9NJwMao_nJQ#8r_D4ma0)f1w(QYK zXXb4(+f+XO%5s|u2{hYJf}j2vCX73i!R%=8k=ezQ3IUTr_4)Xgp6%iSxUS1MeLc#> zX`eF=zo5oO`ecu2s(*qv^L`}`h!bNeGfuPM!jA`c@XKMSW(jywohpt5F{kwIE$V;v zkqA9y=n5IQLep?yB0olxe|UPEoPv?#$-OfX6JV&sy8MciB(frzbdv6;4;<2qx>yp$ zYyk3kAnSW&pP}FS!}tt$*3cE7Y2I6e!MzmLa~qqz)wbL{^IR9}l!gehExK}1#!c zr%+!LT7+fSdG?bH+3j-0$opUR4u~I!qS zyI1yPUXK38jBN|E-UFJ2+_dm6pPEcV%+GO1GP%W9lQ=01>Uz~S9pXx+U|tXGXy*5tgI?+ZN^QWc zN%Gh(JJ1+2O;I%n8oK=2WHZ_~4ry#;S>Mcuc`EF=bqPX(Dg{|)MoTPx$9(MW;NRI4 z-hU<>xRr6e&@{h1BT7~6Bz2%}+r&(-4~=T#6=QmopNP$t`1mG3XNVO){z5q(hX)UvDjygD@(+;_O3C#7FPF4)J; zeE+7~(p>hd=!1&DWJgAa?m#D+QTqM*YR8Hn=d9+Pxia&DwebgJxVrU1qI5%RCt2q5 z0fxkBNRUr~Z6{KZ;7c3f->X#HSGC@DSl9Zv2&!Nid}aGqe?5A1r?J^un)7cNM}z`N zK|OEy_x@eI6!;(HH^xf*OV-dAP8aR7yDy^#29B`BvM(bJ9tT)jZqvS=5?}61FiXn* zn{q_JQWrDFtm|f@@+CH0COsSq^r?e#LuMK&oxCfR<)CZw?r%!?=jf=3+EKQ9^U}@x z$qAJ)uq%HaZg}5MMoMEkKD!fB43a};O<0!It0A0t;O$WEpA}v+Zf5$M>~ZgV2kDPQg!Pz!`~Al#yU%G5Duu7ORN9ru4J#rl4B_=bGyd6U-EvF z>?Oic?PzB09vVG{JpYRF*-QZQY=zkJm5k-t2vB zFn>H0o8vcDev&hS$9K~<1)1z;LXQo?UP+jlfQF2-16Y!&ky!;z@r|AqEJ{277{ojX z;>qLx+3ad~P~~#%UmrLD>P|K$eXc!mY0G+=WygT6ea+vqVhIK;JD-wPo+g!ZQ*k_X z1-WiZx1+^2Gv>3l8Fz`RhnB^&rvQ$o>NNopYd8>EEC1X8PUM{hh=ua%bpVHBj_pV# zFc0e|5QGowk4UDh3tcL*SEm_3Xd={$5Eg$p2o=FUw?I-MXm|g8KVzd$_#H;IqCUok zD~2b7i5wZvC3R>y7_Koj8oPXr>WpoYx+lBi#mDJTmik()GfOeNrOYfZ23USLdwR+M z%MtL$gZYoQS=LP@7|Ih4|C7Gxqk6bGMLD!GL~Ubuv1(a+$XVKjK9&PEOFldWOgqC+ z!P+Z?H*wB~tTOPvIkhdeBc2c!TYZ~qi4{7>Fp3SlP1VJwoMtFsIh?p7Hsx%1I+!TJ ziUk)bgja)Qb*AaD0HSc=a@H3i97t&aeU18%Q&kZD7F@PnBse!YN`2}o4 zu@fyn9VGWvAAG_gbU~hv5eL&@^Dk`gq|D?Z#(}Gbk9tnWp?&wS6&=`vsoW?+@bPU? z>lF%RR0cqXf5!RNso(ymDJuF~?^7N2WGK9rcDZTFtrlPiEN}R@gF!&~^6q4U&qV*g z%gFHdjO~9wSccD@kQ@2?I(1cK+bYwiNMel|3JaGgY5{4;)tWhDhDfK_zXwuYNAIod zQFUQ+G|E7O+%9`J7u_YIF!9*Ay06cKWH$ILKmJnDJ4B6~OyEL6e2RzD9<_HEL{SII zIT6yt(kjC=PZcRA7wqlz`3bNC`297|aCalO;&OFq?}lC8%~3>P^pgg0qCTYEbDrua z2hNt{>EI=-;A+GIcp*}wJLf9eMs@@Nw=)Y2a4SceJAFShx zHM||*hxL}|lAm(x&MS;Khe8}~OOS{pjJVzk_y`t1rcwQs0K1+*8=qSoz=N6B|c{`d#$$iz+EUQ0yc{9x24*weMRvRVI*Yn*!Cr;_r z{@!UqW#YnBX(Mv$@tiSl?!O4!mP9)Xehp_JyCxc|+OxhP%{U8j^7%e{=WNsGUuypuk@Ng&k4J#Z4k2w&the6Q3J4~JJgFhr2pb4Cw=qIq4oG>N7pe@hP!fGpq=YeH0J7U;f*3DQ}tn5VcIZaY0ZHFz)>3t^WGiCzsjEVR+W=uHIc!dR< z6$HOHSIZQ%KG0ce*sBV8Ut2Nj&`~r^k|SFCBNO_A0x4 z4Cy6j2wrW|#Sa?`3IY0F1Z6C50SSJ7T-l+g^V~i=ZSTa-m5>Ew6-z90*Rs&$WL1en zwE36r%ah$FK#IyOa@Q*Y&EgO6RVHH{+>7`^lA*bTxdbf;IDW6G&^9)L+w1k%IpaYdp^sSP$ECe-u`L4&(b#jE zuKA~=gW{94fH)J1Epbgg+A{Lz0B?AgupPo`rtkOQ)mgVmg(I?(YI!_Zw95qfg;tzc z>X9Ep%&k9tU~>x_;2tOK6e$1ON(#sYy3lzx`KK)Z_W&Ma-Mi)Z;!|m8P;~nkG^7mS zUyrbJ#$@*AyQ>G1o&~Bc>-49EwrNg%jorS=1ALt{b7Rjj!ET1M*(DuZ!^@D_{BoL62AVF_z(T%>NH-W7DnY+ zmAkZ+d@xS#1KHz{G$G{g&rE?;{p*FAEvY`?Cs611H-p463b>*L8F{nu7O$V#v`gOu zSK-$R8#RN|PHn1VwH?Fe)bl-$o;Ct?0+*P-S0teLZ*86pL8&)?Z?DTJw^(8*UuRDA z)j#e4Z50%Q0PTr3yEzv(Xi@g2cvDA*zKv!wN7y!z%@$|#p%eF{LH&;~sq}iX(cc+c zn%ug^p8>0nv%Lnh5dz8K(TnW=+?rE4vRa#sLgj2h5YJMwbzpt3)XV1VHqJ?rwDn&L z<7SGMT-!u=w*KD5-U7?!)D-O2%RT9$J)FU|=#sTSQj)*HNv5_j{DAADCf?ANzWG0d zAlx5Pc}Bl@E7iJntK&{_5t+=5e!R2%J@kK>+h^g6Wxp$bt9;Av^@^tcSQBCPm0h-H z_C0HVLGT8d-3+MD2-4Y((*Q(JKW!fRkhOMG-lPWBf?jrfGO}CO=ln?d8Tp>MWaZ>c zTBUUmPCJRFGBJ>q(5NZvAUbO9NywL zE8Ln5W`jm&e4f%Q8h6LPFcr&!^5xGL0H^*Vi}(5KOmKYX#z(Y218?;YwGjk#IvHXC zJSgSZJ4#JO;E{w5+d7)4{@prG@wQ7{{XfPv7e`VjOwSEIVaWL9&5`E#bJR#(D?h}X zZvv&iF1VkvC^HHHrRwIa@MkaYuHa)D%ZVLR;3Q`#^cJ2WGrBR}D?<{?lOCjuryXOu*JVeR({r30US0+wk5nat^{@(p2|FXH| zOcK82VoJ+JU_*Y@^xNBMf6Cy8r5?$YwinNP5|g!zN{r~D1}6-jwkWMkS@_8wG0yw4 z_>!n4a}33PUwcP1;DUxB+_5agvy2X9eflZ>11|mlN;i_4O8YKUAfx0_3*N7t8t{K)bK1Pja3XU0JB6mq!&B!-)m9)hxsQe zHo}J$eW4mZpXz9zape%^q7Wm|09!~iU(+d$Ha!VG|2&#^COR;`_F0e!rD<91(EIzp zXfyr8>jh<;MK^@FJWhj(yAd<=FhIB0x?#WXH z+*pTT4t2NHqDeAsBRi%!#7PPtpalF$$wZ|)#PvB$TkGy1R9xGtET`T{u_(L<9g0%`t+PlmXr9tO;aCmE5{qKiu25~#k zj#Ju^_{Fv#T1fj3HrKu~U{!0_JM)`M+DM}4^R|d*$<*4Z2%t4CtAu7P!|VP46Impq z!d`gXQ{>f>&RQuMD!Sqn{bI0pn>;f)pe4(M_4H&I>EG^tJ|I_2kKCjzj1@_wrZ3OT z(5?Ih>vT8{BK5?Cz;lFw#Zuz^;VI`^5xl4{#VG_%`vkS-ItlsNMC|dZH(JV<7AiDR z@fU%<7o#Qx90}K1sEFX35#lRy&o8(2|J5kr%jiQDcYJ+vrrMliLTPk=Z!@kB`*|yU z^J@8NIsQ&YgK(Y2RnU`VtAEGU(@i3jOQmfibHcl@#8P71f;S(hfMAK6_?+-S8Ok`{ zn|BsQgOGa5Qe2&Sc+ys6%k$(H9+COe?w{kV;bTB{J5!SudRyn&g862R5{y=v-h6{q zS(+l_WcHz*UvUa;>99x+D^)FMPjcHX{tvn^6@ zXD)t(V-(C)IX^iQ;aQ1)q{V7TUL=}z9o|I^iXhqJZ+@!t+rR8$+_j$XbDw&*0rwMTO*RHST$m_#E6t2NC-j_V*HN# z{r&U%J)b|$bDk&9NuHC>d7t;^wLacjT&Bbw1p`y)iwsEthGE$sfCdnpHFANhI;=kQ zzVuRL+Gx1Yy}@o?ZtxrW@50li4(>Y#A0l7$R%Eg(f*jVSz}D>&=&c}mUWCvC*?CEb zl)x)*5ut^k-hPZ$rj0nahFr~=tQu#t?DX}1d*K+XYA=|#`GX>Rag~Tz^IS9k!|IRD zS_BcT)X{f$;jm61us9?wP5q3x!TnwM8?aD`4QTVsi4Gb1jKa(7+R1rl=fT2j-|z28 zgB&TKcCbX-Y$q!?$JN`Zf>lxD8D{ZFxSu!Tajg+%2~0x3&1b6NP$aXA6K106kN{VN z;c3VBb`7XF1c7O@WL=Oc4eAawLd3=?2)bJzc~N=^*0@^H%uaqhebj-0XKaOcfm%hm zl3z`!h&fwPqyRGJ%MI{6Q$MyRR$&k*tgiU?aGv%Om)x(i(DQ&@FOol@#h*!kq4k4* zMKthjV^?s4;qdiUIA50eP6ZyfWRCwL!Yb4c)GbIo+@L`uj%t4u&ecnU74EFh=1=LG z(tfq6q^+0Pu2%6YR~7$P`lcJ5J2wkMS|#GrD7I@ePP{?(1D=o7qhl=r&b;te^o!oY zR5z#R&6kI?W%^OwTI)W``*pHoE4x|03O9*`8$4V`cb0z)GGoQ?WHlJ^@MG)IX^pw- zGpA0Vl2{h=hkP3y*YQ9U1Nw3Ihg)t%p4fcU!>4yP3^0>M-bmt!8UKS{5pQxVRma+Y zXP~peWr77+vSStB^zS0DHl(4n^c{|o&)C{euo8CxQL~r@ZWJdf>iDthJ5bF}->kdT zGyR~hX`rmCWb(kf30*m`g_t8=*n0Uo(v(Wrz#5gWA}e&NbN(Fo7d!MC|4fKAuOCwW zOYD8We9&9+v%qn!5_9VNqzR#`uWps3h(=;=P^fl)&(%Do+XCm+FCD6{?U7FNuz_Q- z9Uezeg6TMm#m4j(ZeTf%gmB+Fb)`xMTLqz9@-0idE&mCUroryyzeTI>vGb@|v<_r? z3vf!HU99c*+6`U~QQm}~dNf`Rl&B~wc6oAS;X?BXscH7Rytuq()f1G#zvA!)zV|v{ zHh>gh$_f09zG4mDg*w-HCrNBxZEovj;(^louTHY+Q zw+*M0;^l6xluiWPEitc(#hZ>-js(<^|%WH}F|;OF+Cd3#;hlU+}3sFXjMqi+?2Js#wKG?5-E+dkY)-RL<7nBwenHhca?_omG#0Gb$7oh^s# zI+y7Rr0CSr+awMra|r3k3(jVxIzhGwwz?iW_V14Ai%#+@A)W|JVITv-rHC)G zoP8zxKb7DhKS1He97^J3;tw9y0@B5_(1=H?PI)^pmo3!CFe)!-NV5P%N4O*7FrQiw zf5n5QKz~pwL~nJik)U%)Q_WzW4)L{6Kw|IV)1uZ)Db5|arK-k2m}3KycoWY%5b@Tv?ZTMOR3`4HWe;>eQAy~&Kct`NEyUbr)-6Igt;U`3fvJ_Kusb=r9(wb#pL^R{36tO6hv|5FZznQ zwQx2|*I_wBldK@`{{zgPH%CIHpq z;e7?7;oNtYg{0+P91#f#+FmQ4LL<8`%6;`J%XW_1rdvm-U||s`>vLmXhT`{V(2m3Qfp7GAa3Pu?kT(A<<-vKZ!xoTrhvW0(k-W9J9|7+26}7$n}?CRJx5fnUeC zDr{_pNH!NXF8Vhw-Y{z685_LhtKepP7F2cmuuWH=3VtfTxb}Uknil}spKHAlGgc&R z`H#ppMe1G(LuV;Za)s`WSOGn!AS!8>^y$`w(=fOjeWgtBj7u5Z(xqBFO!TtnY*Ut? z3%U`R_f(%GQW&Dh|D(ZGCw*bo@HGEXro?Auj53E;kFIV+V|>i)uo_60&o+CHuU~?M|ha%rGZ@~Y{Vof z_{LZobNg8z>r5%A@oS0;&JGPo(`bG!44Rv{>vFj4;-yTGHpaH^%&OeGdt%{P?YMNC z*Q2|)Uk_4Vjb?z@MR4}Sp`Lmne>P)Sh(m}JEE)Kto z3haQMg)Jd(*I)NFHgj6X-PPCI6RD#s@|%@0P)P}Zzfw| zo+E6l_=#$AeugPt>~q^m2U7dLG&F@ViciBC3O_x z%aLwdA9icWN2aNwmHXj@w!hC8qp5k)7vp9DIV^d(dvEQPcR`CiKDx}oa-$&iLRzGXqqvPA*T?k|Rb>e|t8)k~_hV5F}Gr*|)3X5ytPOV-C&|jLK zP367wFWc8|g7U2ILVo82P=89lRmjCh_!CcVJ(uj^>i+JGkr(u}b(`bL7*#6lhZXmC zMXebPK<7Zd%JU?^(TK0OEuZ{5O zOn+^oP=&fgHk#eawX-3ic@VM*A|kW;hfa+kDRZa+@~f}5FqT_5@{`JaLQjBa`y@v} zr$KX}f3ySF|Nr?Rbly|I;NIdkf#-~!XhY%~psJmk6- zZv0HYrImLf(Rtfiey!jwx3=$cCV-ED6C5)SO02wipUk4Cy&iv$HakO2NLsxfy_6&D zrC{~Sbn&{u|c*v(}%oL(bU%z@Pi{-`HRpWl_{))**&w!8 zC<6xD{RYX0!zBl)DG9gMx|AX$3$2NRV^Th7T$s*tz{7R8DGTnY$7j-P2UX07(shWH*u z`vQSi1cM4EW_grFHl%XbTq3E9|QQoDaCd~0V zW3n(L6P8V)cFI+L*l!s4ELP|riali|@C{4MQ;UDZw=G|YnLj_L%)EKxeFTsAI3Ls1g5`>2tH~OAo`UP?kuNrx zsk0x{RM7{jJ=H}NDVCoFW2^aIaht&7o@H2KY8zP1e(2zHnYWb9=(k(%nsOqY^-65*06>ta_AME0(;3}OPN9wTM6qrY4V&TeIw zEZH#QA}B300;`|z)05oSF8T;2bfg40FoP2at>*wVe1jYpn6(C2*Y9+-CIprfFQUbl ze2l3o8rRL&CTAwtoX+2*LpxADIq{EFe^QeC(S{C@XTpRZE94s+8BR2~TPKwL<0Zx@ z9wfSv-Kn&2uc?3($bk^;C)MUG%EJ4h+Lb#oAA77gP}ITKx21ZS+9~cwb{$bvE6y<8 z*MK4_ni@?F%pbwVozKp{*GtEQZ=pP_{zbqDLs@+=FcO;B%|^)BVf_NrX{gsW4^FS{ zTQjsrgImbYEqXOP)logsQD!$(TtG4X=Wu#^0X1WpU$^B~)6#*gNvKlV{C8(@_dgBc zdQF;$#3yTTk$|d81rLcn>z!NjA2gzXiEiE5g{o0eu?fnd{a#>F#*u$-Q?d`PjT}?+ zdc)y((BtFVNHHwG7*x7trM}gcrj(oCV;$9NK`*G-LNL-6c)9t-`Gbf}iTxz-F@N&2q%=$&&i*=Jxeg?kC^;J%X5=a%W-J?TET8RqF z{rSmynDZ4ed@j+iPvN`%v|w#`WJwTqtFearJ2Z^9$ZeZc7QpXFkX*>njZ$2Zx~$l% z$rr8Fy~xa?-QH~-5=7PPWy^Pa8vEQ?a`(0<&ucs3_O!bd0||}ojZReO0n;L4Fm5PQ zZ}>91qI|4dGGuj@Gz_@wC%tX=_8bZe@ttW=zkb}oz$NK<_s^_tuZxt zmb5QTN(vM2c1tY1t3yN_e@#`T0&$kI$#551z$LfO8(ymm%pu62LACJoh)5vVj9>Iw z0AqI)AbYcvU+83D*u>cDOw`d06}8vyT;D4U$f^ugu3bQ|d2a-_dTfDTCFvh~-gw-@ zkwaim?(y&xU25}`TVdhXa^GB8*j3%{vASACeaUvYXdo zY4KG5LF>apc2BLixikgo&|U_4z~}~@zuyBw&Sk1DdKIYZazjJvwKO9!4P%Rw#}7QP z)t)e#UWOCkGJG6i)86)r*2dU_(y#BvkDoZ3(H0Ng;KEfIgt`XQ@$3`d9Hd?zRbN_B z;iIJApDp0ckzIMt_y4`#+M;o1qVP^q_`Q_sEY_1W`6-<;z2WclrMIQ#8K10;B~UyL zB}!9@XR{W9hOJeAX0h16)?SF3%Bh|OlW+c8nYbNmkjh=#_}VOM^Wy#NaynnNhvrZ) z1%gJ1a7K8K_KvjEjmD{Hr926oIcA(+gJ7Xy@e#sObShWS3=CCcuAU#ihD;wdEj(~8 zJ^&3^#+0ia%~H*8wimU7y9Yc|LRFWXT()LyV|hvelUeBj@WYn9P4$uIok8T*p;tL> zqApv14oocnZ8eS-J2&>54tS8ZUdgpe=)atyC{GjOC)QI6>hB74CVae`q!?v#cr#F5 ztt3|O_UI~ivt$=8{J*|;id}4o+!F@fa7gG+{Tv=@fN8nX#sp&^uC$%=q;N&a8T$gt zS^coVFQzn9_R67Tn4l!MGK49Z^%VpSFZHX{h%z$W*LT?t3)lHbJ4B=o1t32O37I-R zbJVOkx9v{k7bo>`w19#!JJVkuc+o z_(KYUc&@{kVYe4{phstgYcKY{b366A5w0C*WW*2}OQUBBra`!#0M)@$*rKse0sPJV z`co@+O~8L#`JGWS*VrK6U@p*Qt_m+M9N~G@&$Mj*BPC0`w)9=xrvQ z4J#PE^d0>R%$718DS?g+2U)HLYkRT5gYf-~%RejL!!%~;%0D}wsz%)3ZdzoD@NlZc z<3JJ@^wtmo9utKBy28H-^l@Lp&S<({oU#w$*2)hF;r1t5+wJz$uF>@NxTb$1G+o$g z5(&;W8xF=|69}OD=7NKbHn@Ye z?r$fE{gw&FrJ`HM_kT0Bf%{k3UcYXFrv>I=Wc(SK&B)*TO2gj{e-=iE>+;=Y=LF7p zqtB4#c3Gww;dqzERCA)%LCS$+u;?c>>?AS|{ej0Lmy|%u%_OK4&t4&^6A!~o6^Y6{ z?ElrL#r9^Chp{p%K!w$j%mwT?Ly z+;dq5h($+lb)Om+?R0SfK*3@ru4x^Lv**duOy^;g!EtZn)2 z2Hnc71d?NfaO4aF41DX4kuA#;V;cVMh@G4Gc8rPu<-FVf+f!Ffto*YUB>TcM)bXrY zp1fW~Du}w@PW(9n*Q3-(pPhaMYxeQ@;`jG?zKP~)qjW6+SR zUHnt=LEpt)Z|6-^E;0JjjIL!X&l zZax}5`Qu^qQ4~1pQ38y)^DRMsdRInXIFS|W!dLe1_v*Isyv)VMf%%q9o@eV}I6%jr zhx{D5@e6%CHaA_~)3_9m+zOzEtC@J`qch-bPLw(dlWj$J@WLbBa4E{;bx%7c<6PJx zB~g0WE3Y&0ZD#Esn-&Sw~Z1UL26bmY08#LQhdI7u@X z^yO5na=+&$XpDfy<8R=Wj$@Za6%+lI_b*1KS%QSc8trG4vJ0MYu+t?dcvqywy;2$7 znwG#LSS#h)Q%2tk`<#A7)1DMl~ zuk!Qkh`x-&hM@#t5&7%Cbw0tfMVU!}!a~-?{}8R}>oH&Ey;+G{8vH??dd<63LC?q{1|06dk1WSMk~KNDsM)>=BQe}s?~shv?@v`yVDtFe%zM;hp&#hxg?K8Wr` z5b1qc!C;luq(ek}9=W@%bt6@c5I|L&(+wO9^PIzG3!2j#2tgJ97nMvA#=stbh2WPN z6sX0hFa}xD%H?H*VBEonvT0_5&JSoVt~_ct5>oHVmsxEU)Flq?X26788LxOnyt3p+ z${#WB8yeLjqAn00R+N*=EN=F;Z8+)CGlimn{J)?isfv2%03JABjN(tIc;c1 zf~&Q&mm-{{cTsvq)oDYVHXZ)gj!$(etJm7}GM)#&NmH{i0oCI;XrzlA9clSayC&5Q zD^p@Ke$yZOgz%R+HH`Zka?0^E!Dq{!m#GstAmQ=dGtK3>5H&So!D8(O9e#UuK50~Z%HS83O9=4cCIsB zZ;%M)yKiGfA2Of(RrAd@l(OSBtUfNS=d}Uop((bI>;m>@HB}?_O#W{Zw|AYcme3$q zb=xMHC-ufIDJg$xH1I&guwj7sTj3GG4X%!J*^#z>1-Gj_`9X&}e@q zWLRQ3N-o7<&t#)N*~wxD^j!|Kkv$6f-GoXmZFa_x)z>iAK}WS}s^gBN?r%pDCDy=- zV7X$RhF>g~278_li+`cXW~i-^$hfdC#JYLVXmJsyHP>?rc3wBXFxXFqq%k8uEPa%5 zd##ghGLXGolpHKZu2%@u1XCJ>y42nUULy8lA7;!CZATCN6(j}hYWn|KE{f(`fw>)C z!<)zMRZcnh{!{~$LP$y7J10s{^Y}z;2jCPt;T$?~?bzhtU(v88_n69l zgx@mw!|n)7jgU3g3WQ1Dt==`16zMu%_1cLo__9G$t77_h*&n2KlYytZFK5sRLFIw+ z%0nef?fYsLs!y9+g7Sy_w8UKQqyCQM*tHxDn);xS=gboWm@`RV4R{aL7g@ob0XIv! zzC3r}hsPt^G!_i({V}`UIAXL`qBe?7nMJ|h(GsNxiNfN)WC&K-GMVH|v_R#hYwkSX zH!aT<+E6k_4D}Cq0jNiK)G|AET3w))_)pXq_+&Zj!8e6}_cVOApKS9kRb?hkIl41C z)jsd-8f>h5`SIa~h%=@$`mnxuS%KJ8`kr<_(D`h=^boq_61~YT$W1mOLw22A``k9y zv{^#YWkX)89tLe**4ViHvdQ(OqS_igcq~+o;`1w7RndG`&hAe0h^>1Ada*szk!?B^ zXTdg|i^HC#Ayv8P0;OXbD$=g`p|WJBv>oSn6N@bK5^n0rj#(oLD{2?-L<4geR=_|* ei8@gZaPdGsO@@TEHNX$UTaI{IjA~AhPyHWgN~r_@ delta 108961 zcmZ6yWkB7|(>6?Laf(avQlK~#cPZ{(+~MHv9ty<@#VHgH?(S~I;o$CiaCbXEUw;4l z)BEJZW_Oe9WG6G%HJMFvcM?>KCd^&21wwQ-dPH= z2Da7g6pO!z3JQ9wZ?c2gj7w0suE}lSzpLPG^vFAX%zig5=DP9m+UFWa7de}HMs&aZ zZtbnWI?VQ3ljBOtcJ*Yf**buS@nH1ms=$hK>PB7eeV!l(2*{hIALskEiyTUoUi(As z?EL~LWV2MlEuZTpN>Al6Ux~%phNj@ev8zU%1wrIbQ(Y!g%|{q>>CDHjK!yUl(sZ2{3UGP(ijUE9Z$B-6wo-PKfenPZ+*!-OG{k)^@dIl7xf-%p7D=Wurc@Z! zxn~$KSXW}XD+wIHkA>F^pp?AS<$n&2d046GgD^ZSF`Nu;$q~>e$%ATJh z81&xtz?7+*&*k9FR}oihsF&~;E9_}*hgChEykBGn4?%pa7oI{M6^@BMP{US+Cbwsv zJl2{9fM8rI#oEPuX&tFFMt%?76FtL!`i-&V0ufa;@SQn#>s+Mx*01mBoMgsEq1EP}Jd#wB8JwP*j_JcX^L6iIeelIT@t=Nc za>Eas>*R%050=~KuUuGFB&P5I2ltT5X9{pYuzz3OJa^Q>SSU8^+@rqQ6}@Te`;nHE z5l@&}k9}!}@{?h>_8-WDWgZL95@6o+vA@Q;u8Q)p^bKA%>w(IA}(0sA@o<Nuj_2-qjBS`B&7q18vaaoM2 ztV4nO-Fm*FdUN8~IJg~PKJ1@J)|C@aHGSG!fGD5YE^6C3&V3v7q8%2>nsS8>EQ8G0 zlR+K29B*|j6?~VCuD0QuO;ybc6GbI$Gx<+gTDgzL4s8w9v{& z-1dRqAP|p^WO`-AwWpOW59TBTMYdR`N6gn@$s|c@HP%$=B+|#q#l#)B@qFACWS`t2 zre=eqzv>Q^pAqjgi3oRBnwagx(|upaCWhYEKXx_}Tf38>j8(3i#Bg5rFNEHqSpsV^ zr(|k$d?D>@FYod@2FpXB7?Zj`EtUj;NxH)hKmFn-U0$z&GK(6HUB4-y{uh(3l4dMu zavzgqQtHT>d5Dtm0jx|ic`C$YV?4?9{w${BESZ*Y&aql6m*9~i!xXMQV?pb#b3tZr zw!vPb^NQ8T(lY(a9)e-X&OPd2Cey+o$?#)^ zmU!twB2MM+Kl8-dTTlr)XDtqfHGChYQP;BIi6uzvLgc4nZDQS(@pet36?T|`jPZS$ z3FsoT?!ops7UML_Q&+Qh$p5YM_W<*K{O|a@)w>4TWFee@-9O6!OjpQ0S;?!b092um z77TP_!3OhBEJLk`Tq}}Qr3H_2eJwxBV`VsNURty8;~7hNd#moZ=@5`$>L8YQXEgGu zC!KE#ZXSoiyB8}p$|z=PSFdrF_&d0sIvpmPQlsF`9mbR79;&Zb(|Tiok@GWY=1P7U zk;0plHZcfz%`GD3;`?F--cC-1(J<>8J2;U2ovz0kE^C0xo)%N=D20fyK zS!x!;S)M=Stjc)0>5k`+L>UN z+p_OtWVU4wNfm-R8}dlb#%=s67b6&wP(o3mlO}u=&;_NKpfe4QR_WV49py(lG zEjeD2bZCnVA|ZvNd(erPx0IXE%*S(iSIftf!hHfcpkOmWCfn#&JR&sXt-3AU^zYyB zI5d9Sl}Z5bvKo5y_r3JJ&1EOp%C1>Y8egOL(jH}oEUuy;7DEV7pe{dInf|+$HC51& zrt`KvAb=kc-4zkR=YP*cwjEcMm8-Bxb4fpNmnV?wmmggV& z(|-75m10DmcG)#1#!B=W9$MXOBd#Xm1bM#sZ^!`8bxQ9Q+EB9+=&wx!A69qM&NtQ>(-Bk8@Z z%KCMG_i{2pXA;w0gbjznLm5kcb3$wbs^!H{oi(3amqo4Gfu2*p4wpOq+SsCz(A5gE z1valPN;0GUhG?^~%+d;4yC%=}@g)&|C*U#qo1t&3|N4UgNrT8{!mX<(*@SaHs$)Hc zM~vM5m*NnAU+}#YsNx|24|4(b04XuEaM>Qcy!AX`Jmr-iNTm|C7P*N%IJ(?8lI}R0 zzZmfh78vs_pLjNMYKE_6P(2h`Sgwx`SaNr^y4>h^nOXXEO;fcU8M z+~k)U|HfaI`yX5ueNW7kGR8K&d$aAyFrV$Bqft2g=R109YG;PChFcz|Z}-O1I-rNH zYYu1syfs*Z{nz6%uAUs72KMsyCXRk(Oytkrgb6WKJ!hw{myGbZRou_@8I_H++}pVW zId;Q07AL%iW7%ZMCts?d+lzapz!3_GlFq|-h$5PwhK_e%E2D451!6fj=tda2=voKx zsQ*tlCV;uF52eRBEh^31<0U^*5JBYez$ zj(TrdeiWol?6FsP@@(^#pI~kCnX?n)W2IoXb43U ztMGOoEz~umt=15cq`^nW9o=_Znr!{)tn{|;AGf;c+b!Y~yJh=FPp0eRjc7&X=@aS9 zt^U1sih#+rfL?U6$dfC;5T@)n{uJc1zkcfst0}!Z``|P{aw6?mK_Atv2j&mT{YNG?qWjGNh8MTVO2)f?uwiPJj*cE|a{~=&!}{;lYW`TP<-$!C z#R-Na)z`9>268;OvvDNl#U(t`S4fc`h03GB7gEG(vcOtAQi<2CkZn@wIIQIISlOmk(o>sm64V(Sl`$aLYzitj zx~XhyGLqiOZNAvAAf?owO9INAMu{i$dJRb`>G2X zCHSC6_E68p`T>`xup!I~15CT_aV;-H=?$du$vvt0f{MNBH%t3@{J8{$|E=ucbOKC< zTyAeQD>P0!4ZaDJ-9RC3@_?dZ8NbW^_lL_zRtGOb9@EwP??4&xzdAGXr|nA-S+5Sy z!p(<-&NTy_^Fe7wOMf?cP-8R}~eW{UHR>#Go zYwa2PqF?UE;cJG)ZrE$fwX!r+ube3%BmEFh4BXp&+l}tlC(-T{cJ`Sv3se$_W42xGT zj{!z#s9^fS%UJ%y?emen_+2JtrPi4D;jki@>TthQ`+@E_bX8l!h`et(j-7t?8Q zI3MAUxmI4H&4oD&L!Ifb_>}SQpwm-uH+~VySg}q|{%>Ohg{&=Tsr|mrdu!Q7BvOmt z?)E+zW}le2+9C1cRey5#tb&inf@&@>R-Yj%n9`kO6Gp8Yx~_Va zcaGsww7hY6TSA2yFr8Sw21ML9{Ikcatl9c>(d8Mf1PK7N0#;9bV=nfVE5~smAR_G3)rODLn>L&y8HN+x0RKa&4Oce!bliZ%h;3=+_UxOh$TJIz{xS--(}|P6)c&Vc;AKSq-*FXdCahTq2r`v_Wr6e zCgx7>CH5Xd79;Dnc-8sPkXFdqk8#(?@1nTGnz)zD?KAQNuVh&O12XUG7Mv2krs!|4 zt=BmlcQ#@VacFV?oIov>M+?;Cb!|kY zFSTI@v|>JMbE4zrb8HP1Z6oJi3sJ)Z%Fo_iUIo4k5hAReT`cD)7nTmr z=wyAK=ygCCkZ4<6qQdbGUWe>GToM!r*)`0^FiJCZi0(-9ye~%wKu%M4=z5b@d`@Q} z4D%GXms48k;70bxrown_V((aTU)eEzXvn}fciSlGPv4|pLLa~8HTPpicN)4BcuhkQ z`^LemB9m81dQl?MPj;eh8*60pIKKh7N~=T+e&9`Kx=!D5}+1e@?Wmb~f@{>S4en8PnEcGtQb zm2ug~f7#@XK5q149|wWQ>}bF4NkU-Qqj*-^N`|uXfJiXbxP?7O$+q}B7dQhvE17XJ z0JAgpmEW)mp$zEa|U+Yy$0(1cU7ImyyCeLnjHHRT% z=#*Wq&vm>;Owj9BB?I9q0WGLi1Wa)iHj#t5o$fv)bzw&F#Mj}mK6#;8+3Ew3w_W;O zxMH4>$E4Vg-A|z`&%1XC-7&jXegGQ!D4-~f^#fXh?r!bqx9>&VMFm$a)B3JFBrc?- z?PC)+GjQvD@2Y6F-e&MI3EN&tZXr>ub}Avkl-4YIw1wP!+U8Jg`&@-x)Y{Q~gj?>i zyf*t9B4E$%{r3yv+_Dg*R&{`;A?(nRq9ly5n0yUz>bsA7L))u$wuTL~#SlR&I>o#|SPGu*2yM-;fw|TmDlNvOZK%h?l>GMMAdg{VI3hkT{z;4!Y)}D6 z)}Acc@W3Pl2w(SW#Tj?|cXr7Amvy$hAO=?6BL9j!I3PHD~)oxGlJAQ)UT;Yu|k#zDhs1<^27+NiWpK zSt;&Xy?)=%K@}AAJ=-pZ&F;prJ0I_(FPvFNaaix74=TR~``q`{&udl~-q%Ca3ylo_ zfKDwFI*^X|o5E7=fG)``S7kx!7q29QEzj25;$@_kMkSv-7w95KA5T!rl1+M z?+9M_XdUDKv%gt{wl=O0O}Zw`cjB{e8G&M{ZbC z|1FqTbj~|usU0rKTSijw+E(1Rd0K`Wy+r;wTIZ#}JXmCQI6h!2PzOpjU{3-7e)E6tPhs5U$z2_00EhU~u)thI z=DkIWS1nMUk=Q<$_0j={oiCxYSIB?ai=eQWa%34rv1Gf;`{?|S_pvb|A7tbnTON5h zcxWZgbDZK0?a|Dn%CMg2+d69CItnxbo2gI;ID7fs)KWpA!n$7!y{tJfA0Cn(Y_YW3 z9p(Tdv8&p>vvG>jj*jt};Cy2rT{6DsuTtY!$~T_s4G&`!-=g`BhanBhovIJx`De5@ z!hU7+zSj|!jE!ganUEp`@=DTweZjJej@%>3$y!0$OZ>B-_Qm9K((@lB;ES>NBoPPu z(-V7(s3gc@!t2$WZND%SV@Ahq?Fej5s8%eJwBq# zE)Mlvl@&#U6H@*iVb`+I(r8ILq{g2HK#skxzT~$CSm81NQf5V3RN$dL;OW4bi(VFm6=rXSNL7hw(1Vef82PA{DVv^Dz zU@g$09np=DyUITdQP>O!z1A=L-UcO3%pZ>T$5I1EBNtsnyoR;V)ktX5B)_9b1az6kD@WcY%QJIy{e>EydNGbl5^Bv z9Qxf@MRgU0!JWyPy{HKe^;Rmyz(G_pBZ+eqhftl7}N zB1O*N&_Z2RTa&NGzi=+{3osssuqeZH#KRC{lkBTvH)YS_sPQ{R$S!*SuBTWU{j>PA z!(9Oc{E(I(NBQXxV>{9>Le~EBJ2$MNeUe`sbMZOw?cLg$79*yCTkR_5qJR)t%jxMm zo$4uJw?(f%pe@jn0Dq`M$BPt+ecSCm8zbiP!&=yJ$MkpKvsPB0IEdpdpOcj|s5#wZ z$(qjD6X!Si(GC9^1E4#^!pqDoI)I`$o+tR-B|UyD;m6LqX#0?;ru1b{rNF4dw(w`t8J+lOY=P?mcpbtjoIkfiL~fk zh@`#))s%NUF}**%335IO_A%b4s&6I~!uojFRF;hzUepqB80WyN5wBP%p)DHV3Ycye zD8k~g<^RxXAfu*n2(o==hySr@{BhC(aqcQ5>@H4+Xv5$AJwx?5XpLKq1hptGn{aNuF8jP)YQR^7U8fkm65d%lmyZ%S_5 zQ|h+rgm;yXK?7fyKjnUDO6wY8)ARG=34s{AtuvZ;DR*FxI3T4;ICItuC z!k9)({+^o?uFxkB&{uJlt~9Ot5H|x$J`s~Qld?>X9a14zp{UOguZ(-tTW%Z%073p6 z{E!D|+)~{%N0UZJ#*`bum=@&A4Pw+qbR(L;BL|7n`lH_E^J>y74+~wp&M0QV#4uIc z!usvWiXh}mM^6`haCtRh+yR3@rIiJwo>MrYL=%SEIqb)LLnUs^pP5B*)T7z6HVFir zos!-tW?+5Y9_OcRrI&7mC%!oa%Fv=3KT$Rsb5hQd_24<7hstO8y>mqK%Ukgv#xoId z3M^vSaZY6Gq;Cj?KybcRQ=*4|6}kU?{k_@l@ZA*s{EFCH*NSg+A0+v-Tb-^XZ0DsQ z<*QlJFrDMBd^x^(g+huwUCH!?OV^`bsl$x;XW4f!IDHjbT)I65-6r86z=3vbv(Sf5 zJGiEZ4)0y&+Z^NfkRW*It|J}ASH68V63ThK)daqq*_jzjYKw<)J!v^()1dh$k2Et!*gV9&WlM>J^>{ZW(zQt!ouJf&`dz$6$@WvzeZ~C+{H^ zOo5TbN=^cb*k1uT1U!5^U?q;TD)9Ho4=TaYV6xvd3-~diSYJ_oL=xj0k}^m%j+PMinTQf%XtM8z!q{E?iHg}HhT-vRhuan91W7hNBzy}YEt zf>aZaP-Bp&6+=RuRm5W4>F1mC&~e~U=h5)t=@-n{hmBKQO}=n8JF&z$4Am~P>EA1a zFJXXk%@u?s#r5^CDy1F*@rfgH9AmR zkf>R%S83(C`REWyS1hZ)1f*=H& zL(JdPB8+a{6DuKqnrp_2gywunra^TnWi9$)4AS!YB7uMaXGJRm^qIrq;tj7~&vDXy9u~YRt2!C&mzOOW8;bumm8n0{#V364&_613t2vnP)b$xkO$%G*B+RfIX_* z#~n4d$}GZC+Di4#@H$V0AU^LwLQf>`nhx6(F-MHuT+3r10IAWpNbP?TA<@DU1cT0} zLZ9J4(vG_?%{(uwnFXfkUr}#UR+2Gk-%aW{n_Q#?*W@be8+cq7lYo27*>YJ0eAH== zCb}qwAw>Sfm64OxgoRPL7dvX7D)pZf=2CoL5tcRqFL#w>^o zp7eMFh}eqWY2-&CQNq+Q#v)$vqU02WJ@M9e**oBOY1ywCAy7oCfQf~4cGd(uGL~zy ziVtk;eYY#)_aiTO%AJ<6S2Q?M5#V+om%LUL;xS6k9o+WOtEK8IwiGL&wOnsg+*DXO z4qCY-MQ6VrgsE4kVQ2>aiq-3E?V(W`t-WTmxXETxcc&-(6HFy`r#N z3ZoPkIp#Vzg7p3JPU&Um@Xr>0BD9fpFr(j}wts!!+M=u)Dx(ym*;LC!@TrJQi5Wev z=CPpTP(0<`5k3`bXo7L!V94qjdT`BWG@4WjWtUN_jCv7N1lvC8!+;Zo%K&7SZ9FiL z_VBu4~VTa$Pb+|c4Y26PGJ#~wgI;Hk&%#0J0E*o4t z4>{o9=hP$CED2R3n6jg0vO)hp<10f_S!34-Zy1u>3+TgBMz}>*?9_$SV(#!Z+@sC;`4P;d$ppML`bYk z1f!A&27LtqslgZ&%9e@J67$f+bGb24jUsVx3hsUU%^9 zd^dc9u(qTAhceby028I*%MV0TFL)5=Ko&9uJsm{)kdIa^cm6Pe>aT}_iaH6U6>A+6 z-hwEpBoTfR_1qi6=5*~l)1Sc~txySfQ?V0gGwVp=EhLb~XjM8=TvqY;mDOI+17=3< zas^hkp1^)YG%%qmlauMjBFWw(-C*3-$B4q3`Hp<`w!mo+;ERbqj)_qa)ZrJ_8S zGA3Ac4TZRT%1=a2?P>XT$0MK2Ip?5ekcj53nYxciXqunkGe*U%lZn$!G+L{2L<6*~ zzTbBE7B}Ie9tmYpqVapU<63(8xpP#SLL9ij!Y05ltZ*-I9+!{+kS1k{lTt@?kAE!c zE~RGjtR}(kLhjP8!FCZZphSw_h>o!1LG|&y-Y7vW84LN^(_95htQtmP;BMMu@>Svk z(Iu4T)4>JmB|x`V;BPyOL4@x}EW}Zzn?@P5C$3PB`9Kwq|DA$8lh(e}h2j zkP21bdA1_^7BN`@PMA1I-zLKJdFz!VHvzc9ff}=3dN_-o-+JIA=3MY|mm~Pt`O}9O zQM_CMpFkzZ?Nb(o0j4YmgjR*c66mK*SgO^)|Nq@rg~4CQqLH%Z`x63!;~D!OE27v! zQ1WZXXnP1jO@HKf_0P5v#pig2q1&qUk_m!sY4gM6CGp5^xy?5Tk)P3l*Oe-$46;uM zTCng=H+?Y94Q(pC;b#dP1P_t}d6@2WcrS9phs9R~kas;!-o$d|n!Ls=egW{&?RiWs z%Sw>xsv7flu))XAasQ_$j}OMC0r2CW6xm2WOA=0}77++REUxp~lC_hsaB=5uj5eQK z0&uj4gIinfQhV|MtLW*U3NxYM%Ps+?yL3BGz3KJ{d(iNYY&Cad=BPQn$2s84zsx#Y z8I0OG`U}r#k%p-fiC4Ie;oCzs`-#|l`u;nQvczZM5C+ioOjjVSS91V7?F{aK%3W)q z>{pa}Np-qC+MTB#&_(iO7vI2FDJwKja89&4hK4=W6i)!bT$i`&*zShHo0A~xq#LV} zuWn%A?3Q3R8{PR`_W{^Jl_*$a2P5b?b`oz*bPh;y9h=W8i<|-aa2J zQu)7To{Q>=({MK>XhybXx*f6II}6i-=)Q>N{wsc^osd`Bnf@AV56P%yS)t`zzoxat zXl9Wzg8=rWMQ^3{15VgL4kv74EVhOIORLIg%a%lx8bgT%LC9>ODeLTFgP0x(*1}`M z$dt)*g<&352tsdv5D;)00qRI$S@I{}OZIvcS!+C`*ah5v-l%i;UAn0XqB`S-UyzwG zTiAR(yO(Kx>oOrO{7RL9xQV3kyZTDpYIlh=V?e@%w89C7z`kt}1(PV6)jl@~Qa48IuI$=vM?B~j0OL&b@~yh6Jt~pkr6utix3@n z!*VPPx*Ds^_48J9x{IZ-qt<4tL!PSoBm>GFi-_2@ZSckjA7-Y<#GIu@MN(lQx!ImC zD%M@uD-g7=B4IZ?O0%*>no@xj+0#CNLsbtX;#~9udOuFRLlOvg4RcaCV`Dos-m|I2 z^cd~sI+jgyftaM*Vvu6;>~g!~A*KO7EW@D)xy5yci=^pU$OLe>9FJMK&0n+u4=w~F zPUAyE^K{sFs6NGa=zgIs<)SSjbQnl(hrmi9%*}U%C~(@h6;#vo7*ERMB!$qE7^%At@M23H%YFY za5D(bds0J00dOhUugKN|_USlyfAvFr;dGZwt)GVUIB%ut7|kf6gyr98W_?MZ4+CJf z9--N?ANkr%1Ugm+8Ok+dzF=z@%~;a+I2J!#^|0R#FWe#WV`RwkQyfHc>-Pr`!4mwB zU2S_Oy$g&9-2j8EDE~_%zP;+;@NwGAfK0CL>L`B~c=G;P^&C6aJdWJ_<=!v$ zm1^i`_Gm|iEEioNYDAZ!RY9&o>TPf-t7r>D?0CkZ``0CbYB=L8Z;tc)D0f2JC6cgW z3+OPI4?T_V>iT|C>1k)^!Ht~OXGEwOt0SFNqI#@wi&be=Vf*yIkt5*7@U|3wE2qTV z5);BFJ_WYLpn69JAM%6m+?f{CiTOeDOVqa;PD&wHRNhaickWlDS(#rj|0*T0F?|5I z?9oiYZ0RIqs9@d(G~Jn_#O|Z?tdrFaCtpWpeq(KKaY-dCE`6WSwyhtTWUxNe31>G) zxk2{NjAuRQg;xk!KPlvLdXTCTYDAV(_<4EpG1XhOI;U9>q`3t*c-b1W2>oWC63e|Y zzLYxUI`?a50!Q6=lpWkav*g z>>q#O#J(g#mOhQ&7bh76 z_t+M#FZ7=)@)b%xdx%w8?sOY>j)Z)L7{4ofOP6nK^`-T6_>hk#(bO?I8##vI?MhG>Ro9Put>MozCyrnstPue=c`~3+QnbhEuHL1Z9 zO9^pFk?GguY*8+9=vYn$*4C(Ri8qEy(RIBRQP(4jzoH=n-u$NmA8WDGUVHkt4&$qh zv;!hO2;_J*a4K@EjQbA?MS0YKWi4)y5GEnw06mKx8|Vu>M2E9EKcQy*y%NTi)1=|B zPMwo%PqE{dZC|O$C;jYKJq{32zS+a|O*?BlX^BSQAI@@{M}UXUt`cg8)`GZ#7=~1@5ZGB`x~5_FRpfj4KnV zjwK0PNVF^oYs}9F32#`*Q9t|Jr=+Z}m5f^_(6f>Nm?W=kh%h3IwRvsc;H^0Jl=4l& zkVG`5B{*6J6@_vi?iI{wGH8uWKJdxdQ@sN%BdfC@d11vwg{k*9CoHsg{YzSHdo~tH zahdSd|8OltcneRHVZIBIFsT@ck8hivC$|wsg~iS7BgD>UM}@b6*-b}e)eGrT_;$YO zUbzEod`^L9*e;+wd8G|eCIKUt{zSGx&h=2pSmU@z~Oubj%Hmg9ZELTw?p<#ffoV-5$QD3E3-SS%d=Z)=BP8 zywcxx2(C4$m$OwNRx7%8M)=VyD;7xt{lCkKi5KRZzV;&joaEqbSVZoMo08fmLIQAB zZK*zaQPuBCH8oFIuc?o^2C{+!kl~b=eSEYZQ^^h=Qc1{urd@N%)A^5PocpDJPrK#P zTHfBUP1&h4(!@Yu{#mRhbzaa;XnEV5kg|(Ns$ovyLPwCS@-x9zYgm-j)!zV8wVDV{ z?!aN8hcY_0}&;JQog-#L7P7=vST|96-U|rzxwdxN%Wn-n?rg_!}Ml1ET2ELBeJeF!-Z|TyN zAVamrw)`M7*wb&D_?C8U;O~xO;oie z)w9d7^8Bepvw+YQVS43h_WF$Sb2?seP=9T_3pWu0^dn(=mP$?Nl$42RnD2?&$IIzOtffH*FUiUPw-%s6ODXc00go`7IQZP-(i! zyW?6Vu#?gL^%YILrXuvN#^^M@ZlCoh){x6cf4uJfnu z1#TQhJ`!-`?dZWM#7)9t*+Rx73kU?jO$>V)$dfA%N=El`QPn$O1{gZF4bsr5+A!O1 zQXCowS)iaP9H=g>1&bHfFUVgJIc3iHkJM?bn`Wqt75|VgXrMPX8o`w#vKIM6=CWs> z(9+2WTPceNH;w*XyAwHv-&@QuP-*KJc4zPmMP^+JcH#^B9G2+1Z_!y0T6GwCdooBj z&$My7(or?;k6_QsH%@;1)8)~vH7kW|gknG~^yJre8=#%O?BG}w?K+$Os&d3OM5{y#Y63u z$9y}0zs+26%`|xn18cap%My#OR}6G+_y>7b$wUj~{+INV>F%7sxPXb}><5A^e><9q6bxUC6m!$u*B-EV;<| zCm$wjK&XL#YABo`QC|gTfM=LJe$uIuvJ5087Q;T1V8#izlXFZrM6JNu2nZ5APs6Jp z6{*J9=(20&;#aY6Ln?iov5h&2@ah=v%uw^$0dJPHGQnv*XKUTS2WAj;KvnD8!pwa% zqs4CDQsLKGhL9Z5mGl{7^6j|(U7h2F;L;&kZg7h^MO#x{N4<^k@Jw9jn%ka6KHufs znI~Yf9{ag#Tk4Hb)qPM1w=rYxznnb{F3g%p9M67OvdMTWcrepwAgegX!qX^Zw7 z;Ix#ys-G1^(%zimfdi+OISROu5_x=0VAuXeDo+%_V|TVY=9sP}Z1=N9l-JqDS$1;` zVl5LPFhx;Vy@W8v{kioc4_sPiVX|&bZXvhP*5|^6nojHfYTSOP60)qsE#6SJw&-$t z{q*r`+RlPj5aI6C;{6R2h*Vr%5=}k=(ajLv8|zXd8(D`)JBrH(PUtXw4MJqoNdtag zYWk`s*us)n#^YPn3lr9UcTMh3F=kA!;LwcU4pRk(ca_RrcVSNlN-pMGa zqq27L=*&FAndBE;dcEdu2nE!stb}D9zjC1**WO@du-cHr`sklcW7C!ZxGW;Y;zEQ2 z!5`ILYx2Yai*@ctDj_On-ea#SC2e>-{G@yNV@Dt3TLID|3E1` zUEfb;U+}y!bC|}D^F?k~o|1J7Ky%kr{_FWAfDQ%2i!wjq60a{iil~H*XQyA`)e|LV z`SbK?cjy^Pe&DYYMwYv*aV<9-$web# z+TYIBPsY!$AN(@FI5(I$DirV7>$c6#yb5w&Ec>q2(oj(Q|9e zzuR#Vl_dIcyMuKUS!geirDf)8@f7B+yh*|mCSLT8R_4aLyR@&(u+OlZtmA1(|93Dq zW5uBkxiSU7XE?f8HMEH$EG|8fq@r|L{YPP0@WYb~K>ufDh zG?Y@^Q<*43**#7~WpBh~l=~V{(puOdaS_+Jn)bLDI|vKUT9!;n>M?izGWw)dmBi2 zs@kx1InPn#0xJmbED}P%TVDT{KDU3ETfgo7T}Wj?R1tkWc+RJ`Bm7xS>Y}5_?)$Xv z|Ax>eQQ8uGcAjH930es$c!jHi?Y8SACs`t`Zkn-Lr`Edbr2i1?zplkI)a1nH3gESt zjGUb4AMyWG6o&jG+ON8+dsv(fS6JxgUcE^z6gkuMx#FbP^u|OzksYUTnZ?<3p2f)z zM3`8;%a^5NUz*lbrL9 z4}dU^x!)&dOAZvsQ7n)+pYlw#!bu|bk8-Ou8)xh5*S}+=;KMHJ49@}G>pc8HT*NU%9|cwwd3c;Czcl|2TKj9Dx65cYKh`Rqb3B zKJy4~`D4PeWwS$AL~!p?wFDU>;*u#T7J(3PB(Gxoy!Guo-%05k3fed%xGddFSX=4W zylB~~(U|NugiTSD0C;LO5#%!wsr8>?e9)O|8n=+%SZ?=%qP|V?Z+W|N^eGG`n>qk2 zY0p2O084`c6|D1atQFo;>ib(ADWuzl#@bZdxi4zdz~NHGOq}7W?ekrtvQ&My+ek7W zg;4Og_m7RXj*X|N!fdq|l)+_=)|$lBGuL^R{53ge_V;%*&_5NCN_E2Dth}%{1A~ea zi7(PIy%VmTo$35YWfcF7PV=el73u?jYUgIONlUnI%8^tLRo`5Ite=fAY2|X3=Bf%V z`q-5W+;ECn+qAzO&Knwh@JoyNL$iog?uc)1xf*d92Tof0*+QCDtCkjrYcG>`i_K55 zI~!eWl58W7V<}$Oq3=~D10a>#3sW*;==Tl~iOt%5QEWw4Rb08EWH9sj9)wa!6%l8Jt8-}w2QPwx2xd}@`~o*;Uz zIa?IDQ7K+9`d*rXD7C1lLJi=qdFXKXLm#>7GvcDijj&S}U!-@agpED80EJg>_4I*O zj^M#ghs)nZ?hRehl7^NIg1Fi{ese6;4+E&>S|8sN;rb=EboZzpu1ASV2VZe~57wg^ z95VlotR<5*^(cL9E1vkc05wyna;__&FYe(}DU%9RO-0{+ zhfNY$n9HRuc_LlyS+M#!Abc+68#aY~byfNz3n#;k)RQ zdb2V*{}g@kYF|&Kp5@MQ^j5uQUiLDpm_vGAnAdS(fsecEjYp*t&XOr$aoY7MJG-7- znjdf@t`HVVtCeeYvOIJ7%`5q_vOR?mB`Z|xmq9}r-IthaP>5af9c84~RZD8dsI8*C z4K1d0@w^~uc_whVg|&45!sio+7V(;2&CuiGCNsg43D^|R$B>c60+S3biS2dz{GUZ8@V6I_A$$lH9VZRGZT3Z1jVz*cSNOe%vegZ;qKRU3-CUssMz16O`{)xm^ zYRavYw$wjeSTKHFv@~M~k5p>Ax`SJMn}~^B$V_SpHr7gfV$~qYnW2(EK;9+-lhGT- zeTX*z#S%%7h`-BS>6Wcz504S|%!dzyi#}<>_=*nJTWuEO|F`G7;Z8HyDbGCmv6bdr zVNb?n_Z?td!0~ycNen(SwV`05c%TDs%JP$f3dpYv;YA}eIYby)AsO}C8)P*?P>?Ka@|a(u zkJ0(Xyc^ZeeWorExzRuHSv()52m;ZEPI2BKC@BJ+o3oO6MOUtN^N$?a%1bJLKPPc1 z%OwpxwtCVM6{D90U>i)+==ImWI~z`26R216fhICZX|($xtLmCU~3qmVBiSO<^RwbT4tGB3;RB)u8{o)#i=r^6=`(T+bQW zt=U7-d*Ub;zTElIS5FEjLPvU@4?Q$^4m9L1a52dVW92?)?ZbMkYh zXvU$TuHZSKUh(8?6iB?Z9M)ysT%82&)<`2fNW)(yIvv#*R-Ix~RzT>D0q@N@-k8Ao z25aU|JBLxqncyRO5pCbP)nt679>ajQRPNd<`-suRzLy#>UmD4M6ZJtEng!SiyA6Ex zSd1+Wj#10>@v!z!;4zEBvp2bTd{DIXY{xFHMH#w{QA6^%^3LBaTs9-z3#XU6N%P1v%R_jlrakhWcn_@jy8&NE2!KxG2I2?a2S%!b; zbY+0YBvGPY&7{0@$eS)3dM)V61OfwAfUDmBnKg}vc@w>RJyWFN{$L6-YkVv;D^l%l;$B&evG|Nx*l_Z38ZA0;=bX{H0tB( zg?6EJsXUJK-~(QHEH2#>mQ?=M#a2ztc*JkXq*G&iGs5udkSp7WOcpH2yoa;+Up0k& zP?z4O#?nLZR4WNs9tSFqt{NJeH?f-wXHF8EXW2mKZcqB8tASlMf047n#PApm(2>qm zZ%#LM!gddv|3+!FzPyEU{W@`+n;84Rqhk1dVs5!ixl}FyUa2f4FMUxHN&>?X4ZBH+ zP_``o&AxDHoIiX{uh)89Hve;xO)yDA%|nX;T4o8GC|GMDA1Rlq^cmmj3iiKOy1r2> z)Komdi(asK5*h$%J;;9JUf3$wru=nc@VVjmB6$3$#QOJ)o8e6N-^WyjaGfDv0pL?#vh_pr zzuj#QqkT2BVS0ZOkIa}vGRJFfd$e$^xaQ9Z;z^sC3%+^B4pkpfQwp> zCx1iue-HUtolCglqW(?uSX1Z+d&mSrf*XH+qKy{0EL4#diZj&@#)^iUvhE65&B2gI z2w%Y?$MqohLHmx?Jl9p}D4_Z+t$G$L;FCJNHaGFnCq!E9RCG5dRodn&IRf!{(2UT$ zPRQX<`gPCf!cO1%%DlMQ;opdeJ^AN<({h6)x$qA#m^JP^PBq6d7g;(RZ4s952@3}}_Nu2uEonu#+roZTB4VEuLTrXg|&SEj7v%q5UMSP~A-JW@c zwsmvKG+Q<4iz)g2lwzhXQt3i`WC$@@&2C?jXV<79t|r#DlnrQed0*rBGr_h%uTkzgGH62ihSYzAt|baO){ zBYRa+rJYUlvBL6u8-@AO`IR)sz5eXZA4?c*#ie^i6ea$RZt^*XO?f>JCsq<-Pk7PI z6Cyx?1PSI+o^do~XE639*0qTw=)Gd^+N9Rh$brIl*v8RpE>L9wL@;JBY!l`sm$u{a@ulwFZLQGW#rMN~Ne06` z1GK6)v11dm@?}2uGA2U{*^Q4VwkEMOc*bWoX3g8WL@<5PFox7u7GM5?XFB@&dOeLC zmLvzQ$U(#@F+i(eabgS!EL0{#s%ikkKIJc=FrT>E3ZW@?H?swnZkcSQXV7mOot)Lb zQqpuqDz$7MN0)?CMeOpF=1Xh#-XKtdqfEidxNFBsqjN}?mDq}E5LrE8K_dP0-gUHM z>gnuP_9U%+B+ep^z0#4x;aS!on@g)sk-$SOMozsRHgIwiDlhAGAf^x}rle?itUg)O zitI@75I8ON)Z469mBA)pS8E>4CzI$s{E58@1Y#(n>#&eL_WiKG4trq-9eG%3sHqRt zq@@E`guk~&ib*MiIh}-0a3Eg2(!phD#9qjfqWeJaPtc5WI4i4G&f5K97&<=@#J~3p zU0daNAE;Fyu zK+&R6;qE$0#YmV{$R%RUdH3dtvrGZcgjj@uG*C zn+d#(uaU1n69$H#@*wjKSQVHiBji}g0283sP@ zM^AL4%I^glia;X)vy7uo%a zVVv{ezJ3ws=-zm;$`zu0W`l_P9GWw2u-CV8{a~Gs4>SFJ2oP2Mj`(6C5~%VDgC*8z zd^=)PaX3yyQ3cK#erIh^DP@FZT>i4usf8yE2}OI^c-3Mb&cC$D50m(EIBd*t-g(T1+B09@_e7vo z5}1g(VxN9{GY5bP38e=Ql;tq0=|)!s=(F#yV3O?UyvK{G;Wv($Vmn+WJ;{ z>zto4jf}29k3BM5*g^ClTVR4$jr(q{cRUQ60@)ntzC#D2m3Or`Zhe<}Rzf|XlW;F^ zx4WksW_81oJ1z>O*4&ZCFjGTD2Db7pD3%)u3*5h=DemOCq^v~V;ieND;=Lr4K|@JE zgRq^@Fayas6D2|0PA>{@Qit_(^2--HV7sb%7*(*jMB6KN-FhXt4v%7@SuF&M6Wmne z<5!k9ZAI=rvsJhjb<8npp%y8`jWW{SM?{aSq^MJ=m->Lmg?Y=X7e2AsF&gqj&iWPw z!|!1~34F|ZtYxytD3^nkdUruF@FP~KZVP{8;4y4EuKOxG^%o;Jc5sYBJW$5ED5vF+ zu4PRw;y&bKH-?2=7WwXAl1mP`%hrI9psLEitQ z3d%`+UT$e39}C}8m$h#Bby_%DMSO7&ZHP}0>a16uBCfg@61DcEW3bU>u=x`kd|WXj z!d}a}IM+x>%l%A%^7fcEc!@kq$-x&iNhlW(GX=O2qv-+w7xK^er!kJMPOdpwdQ7>b;{^>O#GUUB2;p-B8L+5P1y7G*Rn|KFD1UCLj+7rLkfh`O_ zmZjA%K52b$C-C@}6EESQ5ST)t^!t&*ED&A91eV{k)I;kaC8n>1KJmoT=YzKZ+&{4& z_YRzBCrcl9m2^+|c<+@ze^%?E36a@T*?&O|*0WcPK_@Z0<hXmO)54W2ll)oW?7CzL4!q9O&v&wj6AW@?;_~|d@(hW$2LA!havD&+{@n# zh^>SZwRVmN9;k&P9bo2Ncf~R3$!w=kEiB)c(veDjZP|=j_%6`^A}9lk5X-1t5~$_R z^Hls)DMN`xG5mJ}&wz({{kexBaIK!s(z?|n(ZbyL%96g`D|u`>L@eyrc-rMvoa`R> ztCD;Nh1)M&enMYC{9^yu-Ao-vH&MvYKbTdfz^JTZt)?En=*la+UFP?GZ(IsvkKheS zlxbhm=%g=xWK+I&!0f6Xka{oBxko9Dx7~FeAN|4vMFVp&(^HH8<%DKt2!J!q^YgsA z(?V1-PO{(vb-dB2U=~IW*Q497ed+1>8XR*m*Elt^Zaa`GHeEAkDqZ8N@6a-smuqpb za6oyn48?=NxALQ~gNg+^u_XBGJsVDJ25X_9Bi>@ig(lF-jSUH=oN*8^1CM*c|g zYH10-_u-M*x9`<3VAx#EA1K9hJiCch6K1a^$XI0yy#CA^`|f%JNKctaaQ9}sP=aH# zw4`NEEH{a0uZc4f>$A5}ZRw992mY@Gb5ruZhWfbU{leAlpG-Qby}yLIy^+?zn48>FK)8d(hTzcU^**8d^vo0*3;fnt zUHf84^HRCsqKW(3TQA&nqx#SZk8b~&Aih{zU1V@U%_WNiEy*E`dz~TTiKxq&T@(k& zxcVB-EGbg&1fj7@!MXDdjpuXt;#b$_xl9FWGCyb~$C;S{q@@ww8974V3y*Wd!HBFt zOP|L9U+Owykb0nRBtfV~sHLxTe-im<<8K8bU~jUeoy;!U5U4RQTsH=!9XlRNo+@vv*%&Iq)!%G;nhNnXf8 z!0ECKO3WzK>ravF zyG9j>DI&-3dH+0E>>^I$VD&bl;x^6I(;`S%;7|@}q8tr_mm2*d1p*GIR-BADsYq<0 zX_worh$msu#>6?j6BJPQe(re_XipITaD&8qg^CKKMz_KsnXDn?ZlrB}f%eT8cbF9w z;|?f5hOA%Q3ONn;#jx5!-qbdRN_+9&;ufha45ZOu)P>mXeN^k1TK{L$ZOZTX{oWMs zg9|hwILj(-_+0d37noxB@kqJAfo^MUr8UfvSBn6Lx|0tSs2K#W4^Sg2L7+FtK;ydX z^-;{|`9-HSGl&0FZ<{&%PWY)wyWKMJ)(2pYEjB~!>SnZ4t&ihE^p4fkIBga3zmeKB z@Tor?tQi~+wbvN^v>xgDJ019@w*J#$M}Lh`5BCVtU{z@W!KO6!>|lH0Z4oeVD2c>b$r zqn?8Bas@Fa2cxTl9~1VXY-Mz009f{hS#eZN$4MhcVa1HzJ2=nZTg8=!p8&(F6TG=< zJB;~#(4l*rw921@&14Wzli1(X#4h|XAua|Vf07{u3*k@$<{}#27|NaD5P>K$pFdIo zA+ykA1_V%zhW~nN1RqOl>u#~n9DA3Ru1*UkFs|^7yW@reX~~eZc{zYc)xbQ*@)6FM z0gqC_Qqi;EK?R4jGO&43h+?o?1JOBi8}=<6Eg*&(<3U6Pb6-4!V2(ZzH^1V-KPHaB z(B?_kCjBgna{@7t*)tbkk6{N9c!2)f#iw&eyPZJ&Olo!U?T<3quq`{Ic7K2xSn$Wu zo9{lDo>at?7kc5(jR1wPtY3CKXgwS#Jt}3PGj;3&Z)sYe1#?vIk96n!9g0Ye@x;Io z3`91~lH3VIqu!O?8)K~{#%Q8ff*Hmy|B~-_1@lHvJ;`U_jJz7tgKGItTr$8oB%(qL zAUv2grg%eXEN@p(oUcfSZ@=T%DZ%#=1+?~}5r8XyV2ww!$WT_7;WPbM zbweXRcnJEn!Dm1C4yyMzyfgnL1;fPJpJP?VRFxcfoSMdLp_84)6=HIftHVLyG(0dAKAKq+w z)y!)nz2ha6Wo8t}aX#4Do%7Tm+i#r@S3yV2s_#_$jclKx-a>UHvaANgiz%2V=z|M( z@{$prSY(b*ax0RggzCm5y`H8fTs_4^ZTP(nzC(P6ocb^+-RE6 zp`+hl8-Mhr@g6um@a5@{zthYSww@AfH%PHt3BDEj^j64$XTL=73JdJk!t_3MqH zY2Edu08$Oh*p*DwQ#oOH8Omn=xpgRY=_Qf($R9iC(iDa#lM72LDBfLPF%#17uN9^eMmL(5*|#)P54Rm zQ`_f56muP^mNyS`T3b|Dg3M-J=d`3{N3Vf}K?1PNX=eG9dxXd!#rJGRk$yo{L3>Hq z>Y$D1zvs+B=fNQMpaeK*y(zp0`uDi!3!ig&2Lwf7+!oW-gyvg$re{$)!9Ga=6=7Y_%m>UDs8egaF+QmP4$@e?_e!lo`CRF++K3dMgCO%#2 ztFj|6udv<&@!~>rvtftAbkC$R|>wf zo=z4~=J&G7>fWxlBPOa`7b1whySLPFJq@!%?`h`ur+J6Pb?K5KvA$1jH`D~gx2;Xt zp@;u1O-Pupo&899Z~&a1Ae2iJGdcub$BNeUEXM~ufZ$t|`Y`x=nvr>|MU$`ce#y>z zu9K})r)j>S7wD>RWNRnDt_N{jN9w`uE*O0eZ;SIBtTx<_X_|G)_g%g4MJ!7AkMlv^ zxj$kxOW&AQ7J}TO$=gy@PHyb|AGe*jeWe~^7AF0!y6oWc{lKy2{(oO1q;*Mk-I9si zQFX-FrH;|aSF4kHdYUS%27Yvs@9hqt&}!FFp(Gk6Hui*K0>o^d*i7K#70C@DDha#$ zz`Qu$@7TsZQtuXCc!IMpZ+d-ky;T1t38y#mlgGbR1RCPke|~2nB!3Z42Eus0JCGr| zKNb*C=A8eQs)j-DuDVTOHF~g%LiTo^aL$U=;UgLNXU3dXO$<+$|GS1aHa?k123-X$ z`hJhabuWM~9$0mbS*hkq`U`Hl3xn<8t0O|od}CtGgIoNy`UBklyy!SKp~UT8WiPC% zz*DuCUI?oyV89=;llr{&`$ge`Gt2LfS81Ri@#g>h()wkiZb23&MSRs zmPc{j{71%nzTWk`y*q|f=bhHyD+4StpRPh~T4U-EvB1(PzwH*DtbX#8h3EOwFT>xY ziZq8}0(k;rNKpQy;Rk<2zlMJFgWKbr+-RaeOmR-yqFJX+#Tr|GE*xpfg5n$iA~S2r7u@xkZFhSzM;P2dve%I>3>d>iktcLYczU38m<#Ua5miv1#;R2Msa0z+m7kcz?- zE;{m}uwNO$zjEy<+&+ISJrGI!URRKA7{IlG3OCIuvhPR@iVj07C@0i<2XZ(!b=X+E zJq*C!XGTgR?Z+?kE@S=kF4%TSrEed7j5X-rkAO5tD+{;fBUD{=^JQQJ586Iu_5g$Z zzryzxDV&Do6>@u0g8tFJ4fk6;MQk`*b0(+lovY(VVzRpk8C5DSR&hgj~+DMZb`lwD*R-Z7bP+uDjgv-uR}~E7nMQuHk*uA`5Td)zjT2 z=jK7vAu+na>+1{I5U;u`bTnS%%wJS(9pM`P;OpUIiu4m86g1wbVctw2=E8dRpte#`r07*NE*86yxb@o)$d;|3Kt zaia+@QE;dEkYT|Yw*aP7a|Tq6DzRm9!d^cJf^KAYI$8DUp|5)J-ol&;V}eWj|5nu@ ztvb803Jra}_a1gGmx)k#@V|$?krn@~#QNB3b|OF@ceeh!(1NU%F9O4AOGU`i-|XJo z)RP;!E3K(eWH^DkeD}GtBYXm>CFtG{z01SfcP<-|2X1?S{*gH$6hog4XGTib*Lzu6 z?%+5*?Q3~R{o1jXnagN`5J^O&BZ3azUpba`r!r((Km|XGX1X_`GKpRP!1@<%JYFN( zu`2cyI~|<0p}*dT_3(@sR`Mw;WvneVAxC6B@5e;+Qc2zoydNph*_^P@L_zMbA+k%> zZEjWom;&zp(bvnYqH2Wv6cP!IdZm4ZMy^9)pm>ZB7gCMv{r5J7t zh@lf#^d`rdC=c;L@yA`K1A?L^&{nhZ#PF)GaCjm6m{2P8tV8tS_l&+|XAbnn8n+l? z;Z))nn2jfcU0t)1E7Yt=4$wgrAOk;MWr13+XXSS|=BFu8Eug;*yI;V~!oX3J59nFa z8jurTa>7Z>epf^0e~?fKHpHkW`EBh*&K;`p9Sjx2hBaG?*W0y_FNL7hK6CYe)d8R6 zkz#MvZ*Fs8p0FcpfB!qr)2!SeKxRfP(oTio4A_{yf7PoR0H+Ng$e92Va`ei5hoC|) zz43{?Ej{uwap``oIPwyD-?)nU$D)K!bO*o}l;hV%eo$l}X0fX*JPq(ECj z!9|uRb<(}OqP~dF;fD`5wL13Uo&91 za<8{?FT2wF_f6}*VkhhuCpw+)QR*svyP=OSx0$0y;kmm%(*+QBUi=XJD-SIz3kQ0R zHvEJIRrRk<{R!>P^P}W zovZ-_;%a?n@8|zo3^;5oY&qn>!AKD%CX&YkVAvMFw#N?x=X3Sz4a~e!0%J-2$eUPo zHfla94UMAO1*C>1-_gEI3pv5X<+XwcWXwY>Rl4?9cPP%TzCB4kU4^{Kc~^zZ@SGcw zjmOPz7XQpQj6KoDJGjD;^j`u&P6cW#gQ5=;{luz>w`B@mbwS?hpwHX$@Rz{nAchdW z@>fz%eE#5d?Em;o?(vE!64oQrpNg;tzs%PkSY60~o7e>Z6Vhm|pqp3321ve)&ypyR z6^|6yWL)nsrO`BB#ierYoFW#LBoX_4G(1AL>K!dHk0!z=6 z>KmJb?mvGLSbS~!q&Bz4JI^q%Wh=nK#^Y*i7vsVkE02c8iS8ZiX9|hL#N?&7D=|d4 zq%~Qu2epg?DIleN238%B{3;^_M_%3K+_!*AZ23h(?u$DfR2u*F!uQYp(R*WQVNd5% zySqSZ{$`}9b$5YCT$8bMqMq#aEZt4gna)vCLyDgNQ0OIhM3`r`ALNl-H_=mKr#hlX z9;PWqeM|Q*SKCPCE1i2SttQK!ZWrY=w!X1Or!W3QO z*LtDn-QQN|{T25Ccj%BF5i*m;$#wNf2cyg*^O<5E+yq>Zcxp@?@)J4s>>(rbsj$QB zgWO*i=T^e&W;QoSfT)AaQ1Hqp1Vr+^eNS$q6ryB}(~vQJW7)THiLtefw;s|nRNZI> zJV7m=IKIl%tX5@48a8EiY9YFOC_;+F5zC<{6=ZJlnzCPS9n>WN1!#T4K zoiaq*pe8Ei!0F-Yr_c!*spcK6&yFN1UNEC~Qmsn(nQ$+I$o-`0zt|fR13(jEiB#=1 zl|ADqMv0|FK34y19o;se3i;cTvW!#0$j7+#BX70oUsXB5 z?tDW`V{@?v&Y0M!d#=O_?R+DUl3myo4)U*!7nUHigzB3dg%w*g_sC#;|*0HnSPm)J(h&%uGJq_TTcfCL{1zTG;dU zp59|Ew1v8AdLq+HU;<_QRfV7!N#1yWTN5r0Ojqi+BP+;N*Q^3ugj){4aeTfqh;P196@3i6&Hwj}_BnbIa2eoma_HPwQ*$loWP&Npsyu_LcmcLx9v6 znM%kToQRhrG}-ydgGGhHj=HQB+E$P&P~&DueIqWx_yeE3s8BewtvSLe@fXKz)!-eq zRwDC?8EYQuVBwhOryt2NB)6!@NZvf&DfIhpN6Y5r=rvzIRtr!#fD;B0vV?2DS zrc$w4`#Q}AtE4rdvRr2xp{JOhreD)mE2?|;PC~JnbB_Fo+dvmUf@fyD0MUI%y$ zPiMt7-3i+1e2X`pRhFuZ4khSw)48;y;bgIz&6nnKuXM5&twN5ay2FgtR@DrsXIn<@ z8o(kWEkH?Th$3F#nAM(QG&czyR01TCZ>VX1cWYrSG|%PMFuI`D$HCLpPbML8^^0~CQh6}*eesg8`tu8UBq1mtz$Y&GEOYf-`A0|WS}9{JGR2WL!N{EO zCg{>UepADE%1*lK7`+P8mGy4M`;DjOuqK4=6W}+IbnhB(*!P|$VCeheaw zo*d9Xz*1F`E}SX0S(6C7T9GO9EwOcebA$yS$%$B|&5n$TMFL{ppWwwKsn8*A`na{@ z&!}IEm+AwSBz}kz=_`p6r7skFUJiacE83f-q|D@V;;p?O8}glS6pnsTQ~~QwFf&t_ z_7u{C{AN(3*;;gy-@_X%J?E3z8ZX3W{01O%(Xp14f3|RNef=!{npodcH(?>O z>D2vXw1TGl+Mggk4ifes^WRAuI&;pEnn!LnDWJBRH2#ieF$Xtk% z#XiQJ^-g3v&gZSLUMzVB%LvK}B?=<3)(xitS1nmhvn7m-epnR?e6l!x_pglg2XBo{ zIgz@Ij4Vnx_SeB5STeHcy0OfX%;mZCVID5%q~qnQiu}fCM15~8eG z01-d@@GBe%8YkkAZ=6GO7_p~vWflDB5=2^c<>A)jYFOL%JaT2rN_kVqh3fk$g_Wq9 z`HH>4t?3ve4343t(yG+&r z?i_dPBu~3;@%xm+iQ__3Oi12wU8I-b1;Fd-Zb=Q2Ld70t{6s|A$AFQfL9iS3kI#WT zR@jFiN-_Ha<_NXGOWo7dH+7uvxe0xuR-^^qOx9OuU~PeKqM#XiXz4noKU^lTeM~&t z!y~JucE*@}LZ&M9Gv15L_vOE?tg~kF;0%Z&0%aU}I|OuAV^c_Qb?aAt=iE(@2UsX? zq~bTvDPjXR$QogG!UiMN7Gq7)VX|i#eu`7n z-FTbZfU~+F+-zTLB9Du}%dl&C+gC+0ND?hOrt18aQHNwWkGM1OJ>ewD_B2ZT&ma8}+@UE@zjgg;^LFFS+BxyqNjYr6PAkK~UHK_G2sp>=*BK7R zthj3;IrZQ_?aTbKzl&wTwK=iXinV=;Rb+KVI`vU|5wsfSEjBA}W=CaJ0;9a~^~|bI zHC^Ky-kYoqhpTp4Q2VGdLb6yOLKQI?lbNAn#x^ZG+d+>~!mbT3OR3eT+3Z2I@TXLm{8dzvP1QM~C%PR8Vj@0yMkLI$8a5-LnJxlt(pMAE z6Q>V|X(mXUt~EmkOe~V-xKDPjsSS<}G>X6eK={o(#s-Y5H`hv_$_rE+QpE?8K40rf%x?M(;q4tJmUQ-C5%lvEXpDpS) z-mCUQ`?*Pda=^&V2`*x7vM9OS(_1Su_dMQk2((7oT@k95!0pETn0 z9({{VQ=8UXKD$BecNJVZlrTUv$3pKtul~f4Nr*T6(o_)yEpGBT{UF(AjGCkS`F>A& zsmf~sW75n^J(+nDeA&j8qL6v@*@t^u?6GIkF`1v#ni&#Xj*Vy2d)@dgvPorld%wT} zKUR7vp}#o+(_R69W`XNB&Ofh=XPlrL`GK?2&Y?!%PAGY26;!2}gc--Ev~%dS@<5x}-Jr{A$8ixdoHjkdpZ{hyb(i9Vt*{Yu0B!XCL}Xz5h<_&9Rr} z61?7g%LlLG_oFu*ZpQv{;y%WGE%0wtS|*)LPxb zbA-xU)B_6Z)ygs-fP6Htlfo)#0XHv(8Kha4V_rJu1jRx3sy0le8>@5_z)hN?YNFp; z+9*I;Q-oG-0|tH3o{p}QnJ?90#f=Xwr&}EH5@Jz{!)`I zRek$==E!c;u@rAz&YCS^SVL6|a**y8WLdW$p4${T>1dl+?JS0Yixp zz~m`Y`PPAYOZ)>@w9v3Z+pOBY^QW0@qRqOFwpWlN)LhTEju3yuY<&L!?MIj^z$G*9 zGV$2GH}XsbZJ+Osu=6o$156gevP!=tMYqi$Q+sXJwF@12MyX0^$e0V_u=oBb8=`nd z+$n0y9C||872KIBV05D;;eBVso4fKOL6rL-9DbAxw_)a}Vpg%AiT_fZM{Ixh6*^XW%GR2NDa z$KE$whiL54-3Y}BogV*@o%NUS)&Uyl9v~CJZ*-rbWO=X;XZ>8Fra%ZZA-hm|n6^{= zSPy*sq$1F{9iUansHBr6lz&!LT}INWDxpLet(lj$9*0$H`xS33FzKeYOA9VoLs_+P zQ7L6R-rIsKct@c0GFZIMpy~rQzgh6UrjAgtKsZ{87!TR^s=^bvS(R$g}gL+Zak?FkLb)Ef}V))m!^At z_pH03MrvC_;nu~VYFD70!df5P(lIu<{ef+qTB(_6i6ZFgs)41lSGSK(Hd+m8w~2dm z9*iX~Af7IhTmwn26^uI2cY()q`%#~*<~uWa%>lIndhJ@8-k>I9Wub_$v|`FOcP%@Q$rz$6I#5^!YI1SNCBCX2op+A?i=FCoEH`6ENA_wl}b5l8K@jK z1i8q=05}|E0{{r4&PabL2vm|YS@PM&>S5q!Maan?O~E4F4_7wF6Evi$R?uOjF4BbW zn!|Cdao@<^<`+$HQ>1O5_zsd?C18(O_$z-S?y$uV*M#@d#81!es%)fozrLASB(^wy z{)_x$6x18p&%otMHHM8aIh`?k3Io{*pak>0L$We(p`N@0-m5)elO9M)rI~pUm}a zz)WsRx5ZpGL~W3?C4Nv;j-dXMv9a!q?uDOLf7C+lCov9twN)6RpP)kFaXiD1l#J?! zkx=Sp44`DrLz7ctQ{KFuV3F45c}Q?{M`-VL>rM7Gs3h*Vkf^JV@d&TW_@^1O#p?A2 z(W|&!OyvjLwCH~mQ@sMJW;t>}-_kQD7%hl4gkV0jB6)~&nIw5DnJGCzMatUg;Ka#o z@2gzC>{xTqV1Zky+>%7;QGK=c(Sxh4m!69_HDFa)Smm4e{ue`3Q+tD*fQ(u7M3rN! z>O~Sx&EDgB;#DB)ajE7~PZY22w$jBz;#KpTV>Mc)<71=jCbfY@?9Q=Xh~W7jXfiSh z=jvNr7df7jTD95XIU`+meZDBMCDT_Jsoh4<#Me1kf;N-iS-k(~qP}&@Etwadu5DFV z7~s)qI@bGRfHJE&J_gq9splSiC-;j!*c^pIZyvfnrcBA>#InB3-PXsb#%1IEdkvoz z3GfDrKSDax*`QuzKe9E3Y(#(2C1rX>MUtpH=P)`WZ)4=Ou;Afyo}UhIlrIaeU`r-| zQnYz6{J{y^Llz=R*vh|}y}^RnsW(Rh#UE9nDdZ4t8_rqX!CVH?(zTd4A1${YH6`N? zxfOUEHO3&L-Ch>RO4A1(_0TCjXWK~{D{bZI zvXa~SjnsrLOT#xllpdyI@-9`MDw2Fg3$d&Sg zvcBD?dv@%s^0xR%0`e) zae0PA$hARSzt5YGC-D>8Cy5a(tsm>^&Z~aA)>pu3ValAS43p+YjqQ%Fm!(}>3eI=} zYpf=G?X8x7zapk5V+U_etT|8uO?4%`>_{(a7MHMGyKv(F5W7$^KVQ+JEuQE!*DH^W ze*(1*4&tjZ@n&l8vxy>OqrIs5*P}fCx*lG4*=Ro*1G3?-vT4IAEm_L!ei5UnwJsA? zcY_p_x?95FZ)!Qo)`K(28ws-tU@>Kk3V(m{Q(j(as)9D1*I#*q^{#=ugiWfOombx7 z;b#x^ovAuAw^pnte@;Z=1Yn8h?u%xl?S~U)Y~0_nTC*HN5W24*>$2Q*Me>O{#6KJe zqI4|NQ^%`!`?Zc-16wN^%9T03lwg5AAD_$D18QU{8E$I} z8aFk=mY?%3GARc2wURWx2vBv5+au@`8WAir2reGn>@8Sw08X)iZh&M%gp7&5Qq} zmv-c)vj(><6mUQMN%8SfRe3ahm#NVb#8@?Fm4{^^M=LMvgLnn7i<8?2!F^TL3ZYwZ zLNOs)(-p#9VLo`NaZ#*A1A3{n(!z$IO)2IINZNQ72ud-VOqNIFdd=n6;a(b#*B;o4$xp zDfxC2y43qokrUX+&Gnn|mN6Quvwz&QTO<#MFCHF4CiP@4v~&C6oA1pR#oy~RcbiGl zF;7$EVf=aiGM2)_`Y(>c6@bB$+llcmF!J}>`Iof>i~7*19|khsXH7|a)}1#g`EcP* z3sA0JvAgGuuCSFsi+L1*2``iEU!ee9hEQ2??+mB;)disR1DedmP0%_1S~b_|Oh}T^ z;^`=9Hu|>Q+gn4-0WTCr3`Q5QO;V#@@wZ8n32+57gn$X!q#-L1LmZ(@hLr0lIqAId znFrESH5*UUO>W-MM6A{+l>Af2qmyQcI+>I^=n-)EYKsS3MwgikphhgAW)Csn1|g!) zYdg150|CT^WT(Q1?^>d+Ji1N&2dRt$7+FGXe#z}7Q5&FNS)Uer`b__pR9Y9WpYLjj zAOkLWfoSJlhm-gqq)EA%jOd+L>F+qMzp%X02n{5wf^VyG%0)Tj?p9;1ZhUONoFlKm z(Paev9G|YDq=@F$TfdGTfNUi97riR0!Qw&11$KHNB_~-TMYNww)krUD@45ADLo-%ov`^&xwLM@}lc;A;0P3 zxA&J1$(_Q{!uH;5PJBH*v3Rd~u^8|?TXVCvN`-1QM~$>)RDF~5g7$N++68I+1?yO> zDPa5PzqEWBE3JBZYVgINltA*J7Q!5(K-JEf7-O~c8vZWjT?+bFTqa>{1OW=V%*BFP zha4dteZ1yF2C@M*Pw~jR49-Y{kJkj*e*E!Fa{d2w_k>fFF-1)mw)3C)7QE2ZcZ@P*FW8+XzE_yOqKWv zPgcsfyiu2h=j4e*6feEk`V7*QCz+Iw#QyuQN8ld4_S`*bXFYO!_?&Y1Kf`*J;t(IV>GqFWAi<6o@tn!4)D_I);An!1iOSHIQy8BCore(y!vN@<+}E3d*?78s)D?6=P81D_Y= zQCf(e6R+cjND7R1w;!Ij-B|&2@majIU6pW)ce#2Ln8{L8Bea!6GzCasd!fyv@nhoD zL%k0@o9V9j%&2;v{73c~>0>r#n0ya9THlT6ggqOyseLH-HSzFeKHM1#6!YVHmw1E) z!Sg!VAr#F==B-q;&B`EV%%w~^AvhsgezyDcqi0X(MVo=dXSz$Wkb5A{;YGnV&Uw2J zgld+P*=@{D(k2~s3{$Qc`*$Mw5-d)Hnu}XTOJdJqNy_{8Ehemk!lEg-Co5~qmJK4h z6p%@)0ovEjhqpv)tgfIHC@|gSc>Y!Q$B37{wxYd7i|&=XtX50XIT8qPyH{A@s0#79 z)dG?2`k@xZT^ziN*95vm*dIJkw`MsIcAJS}lDQRW2 z?pw|^;$1k@yVz*%Q8LPYh3zTlt~sWQwv5&gnFNlM5fwZy9$14g-x)XUyJ%U?emx|k zJ2{>7xu(M+jLV9}TUOSxy?J$vj&Y*?V4v%W^2pI=-c^kyQDkzw2tt0|@-SJkCXI5H zk@?ee47!ytQ2?HH05R*Lx`pGs^KZYd>Al?4`cn$i(orL{5sLplsN{vpa?S7bG*9-y zznF1N<)1+^3h_n-n(jLVY&s&*l;=C%E2ciZ_E=}K(tfVjVC|;#K;qaO-*zP8hEdLj zg7ave6EJT_wtQp`?c~G%5Gq6WY=P!XZ9T9NzbgE%J3w~^d+Gz1`n*fUifi3x zU>uAok_ESZofh7}(LPC;r9)kk=*H^$P6CsGK>R$~1W1jcG;ZH`DqhaDw#b5hmWJL} z#O|&GXrXrcP^s3ly?9A?glbY`I1@oqEYf=sbe^phP$I4bj#3UV1#wD!f6vrd^7xN( zNKbmQsXFk=lE1xn7f2W;dsw=jhEfSLtzKIPQT8x)yrhzPZ~3N*R+84*TwPP(jH{!R zp#+0ySWsvSTCQXV-k9`u$YNMH9`Y@|U1Y=oCVGR}GCluDqvksmp%Qug)}ClV$S0TJ z6l*RU0(fGhapd}vb?XRS5+8~4^DrgiHc2`>9AoWgCD zJzAIa!bOft>RDa>R#7eNb0cjl?NyW7U zLJ54~BQRscyZh_MOhIP3Fw%_4q91O%L1Jy5u}*e|E%Vkg)j3|{K8Izb9Rtt+|Q=0 ze^F6o4)tDYswaRomZgt%oI8cwJUjTVDs{FWu(OQs*`s^;37?nfMjf%{n zS)^G=KHQ9LlCL$UuA=mH?16JJl63n#uY_;&)|)Rh)i((|Cl<G{^iWyqx$GteoO~rKQ1T&0$9OSNaRr7AY}Da)c>Hl&<^H*SMNk zX+{L4C!ahj&Z_&LntmmldM})kUHdDgFj6@IF};q+xGld@^Z+nglzKBen&YSQAvyP; zSFMk2E$uP_LtAHQp$3#{VM$Q`)?_6g{jK=sIwU)Tz9ew-^2kTb_rPCV$-gQ3 zd2i3`2Zi4n6Xls7|7SdqQl2FGYW^xmG%4MZirp1$&EqSF)n>!2c#ZOQUT|WZpvKy>kSRn&MLFgp7v*L&)17|CHg zQ2Ksba%tgXjm?u(u4e;MMKAHaSBDmqz8PMP)#eJ~w!GNYV3)h5Vc4)WLN_J)MXnSx z@!U9uADz>(zRzp$;L1KP;S{pymb$9JuX1e6t>xP-Fry@?3boTqj@$8k^#Er_$;pd+i}L<3cL?brO6yY-w7%h zhXXpJKR;kUt9^Z=lY1lq`1UF69@^UC%Fo_p=;~7aZQCh+t84|)zp9-lNM-@aJM=+X zSt3>LY`Hs@k{iunf6fwWXjQ9TDkh|0LLv=L*UWn1z_sIRf$!g~$bHd`!p8^omfKKs zhngp*9+}sPslJtT{e1K`ZZ#GZUnOr@x#^d~H!V76(UAsabySdmlD%T}7cpA%KehLV z?DpD&tp&h?R{-Y0&5^UA(7Jb9?TSPZbWu;3M=iH0u3$(NfD9cCU6^Ej`ub+YYN~Rg zqwkmlu;h7rd8qHbj)Ia>f^m$)F-?@vTLx&!+N3O=N1i*mRZ?eej!IaVOQ=1_>8m`9 z{iSaF;nh$ZPKvF2NRAFa&5R$An`7-tuFG7rg#+gzFE~rPCN5E-h1 z_z!fwnaD4qv06G+?`;^L)T~;dHd4&^0TsQis|Pa3z-7M#v(=WSw!=YAZ&EzS zS>qpd*q7uo=<@>th*_7o9Lwa@?qb`b7K84~H!qwZ)?45wFnpk_c`Qr{(;g4xiYQE*5|r zKa7;?1E}3-`t}fX$&{|!w--BFXj^~a)kBv~`guslaQFQ*^ycY9+>J z-ft@{hHsFmOm)J=e@UM6#6*ohsgj!HC+aSeL5}L**e>DdxI=OQv0svxg%W(um3~RK zwyes?V}goQ4nFON>b|Py`z4v>5*!a)3C_U!uILDO2X)GKocH#6aYepqqIG-m7XFI= zVzyuxbNLokJ+tq=2{!Q0*k;|*Df1=5+l#h_le4y{`_CxO{s;%TE4b1HUvkGU79h{Q zghy263t8Nk#iTW5@4q1krAreHcfS^g8QC_7yl#+DPvvXy&$4^c@ctW+EZ)0t{T1xf zuCYu1ZNhqDQN$fO>{GOeCcSgLZin+VP1TUkiL(*BT<$M>A>qI>SJiyD4}bAT%(JHk ztD=K4(9gr>=NW9CO^Cq~KH}z(nj3N4;)#)wqCi&4X#+C)CmRCceUG+WZ9=7m#!BEz zUN&W$O?jqcajWzM?4No{bLluH?#Z#sq|vGZOG$GN`AMn^2U5vLkf_dnu)e6o*S|`1 z0zSi**t&Zk$)mA9gJ)Je$4j>EAhO*4Ay!hpL`mnBA~Quk6&`pc6MXNUWxUp|z4w>w z1At&t2yY)f5{jN+e19~K_nIPUP)*L-35%bat#z)2HZ(s?G{p zVaaVfk@rpVk-%X@nxB~+%Al;}`if7Q|F#r^V#$@>!?OU}oB}10*Bc5h0Fb(_WbDFt z?w4VPTxzrORIr%SFGmTZZXQ1P+YC{r2HbLOgb(fN0!d>>qzqls_OV-;ff~vBG09p6 z2~pui1aOM2)o40b^D@A>JzztXzc6=G!JgmPngfRF`tK`fB)FvLmocRyvgPoKy&hfxQSxn{_pz07gJH+NZlIQ&jjn0|lPZcgV6r5UTAacuFG z}s)J+}MTuC+|kDA@H_>kqa+X z-_)q-#?hxZxEaE*M31lSiK#n)e@FFjgyK<+g%8){M4RSchmT1ErN}x#jspoX)gJo@ z6*dXml3$!v9^nZlfdL*(|ANf85RjKqv%k=$dQ7h_ke|AtU34Qh4oXC zH3SLKMPKX=;*Ab^o*>eH^+5_5F)kw)@J#S>Og#5eepHdLJ>4T=Lbam!aCaSJAo?6j zbmRVf6H;}WagLt8>ZlozZ~MBs!HKcqZW6j$FGHjCrCjZs!w+l^3&r)Jl4$+Vi0As* z1%G9RdmFRKz`z*>d`pWOW52oLW0{kNv=dn2BM3#5m_%oVo!^`)vA3&;%NF1Wak#2c zzMHX`#G`i59}Jb>8fUq>sa-)Ef_TGb~A#$tuIR8K%FHCPP*j2R3>eKt0tlT>6~TziNf-Wi$oUpN;A^dN1g+Vc zLt!)+G5UQWIAE;aKN(jrGl8{z)xLx27FH%SBd& z6Xb%8C2p!Er+D>IJKJod+%)Jc#RU$x9ZE3OYBzNZl-Gp5+Kcvk-HB6yrd-{6K`%cA z;P<>Bi99jEwf`VDX3NMi@$Lg5=7e6A&Ath~s(Rzro*R+L@Q45D>+)Zb5 zKAaiFw!|3}itV+tSvd6VW%*2!?oblZ)#b>FTc1Ld%)D+&5(NEmze|DxFIu6$pXnB0k zDUe%u*yOI=n2BRmO>fZ6uWI#AS%mGzo{5c?H|C0+C3Ht!DP6o`;r9@DP@ekpekBMn z6l!L@P!p5pMq(%T0GiZcrdPpC@9bQ_w(-5jOA6??8)eb#LN7N;^009_C@+W6QMRaQ z#pAg0_k!F_(o+OhXZ@Pe37ga$YuD1o&M~^@dtS*YEldXYjvt?E9vC5D&S#gOnOua{ zR7u3~QuODy{S0X*YTpFl*xMw}Gs|HY4hGPc#Lu2T=}tdKMF@9h?#ZdS#pRbesr8)O zT&k>by}PLO>nj#E8Shl(a+gd|VS^6V$4#!)0N?A%6a0-^zAey-(Mp3ygrwU2RTEjs0Mss6HNZc(+2-0>uuX}V@yXuCu95KY^phLi;(&^gdxoVpeXLywN0P>8 zxLE;<5mjuB>@h)opC{B@tA1aik7GgiA%XeJDTUC^fvBG=c(&eiJCa7PmQoV`@>G{v zozr~S)d+p=4;Ls5%v{(T{Ht5c>56~0x`bg(gfM9W$$av#*w^jHQCy#(ypStut+VoZ%Y4uu>Dk^K-3+apAc~&{uiXT-SyYPOhOo!~30= zHbw*d(Tk)^pBD<|HBXh)jJTrzbr&Bx(a#yXO7hP=9Wohv60d1m*E~jwaQot!?AJBG zG2!3>phDovo1_pbKV|CqnDs?mTfqyCu%khup0NbM$wPLjwHviDWa-dMAIDvwaCG6r zMiZ|PCD6r@RNwX?C=_x<_CrYe9+ z!^T4bJW9O4r1QFZ?E|69#gGqjyFs!kV}FOV@OzP(N_4wqRLPtZF!1XfKJ(Z%`Uq%k zS2J;vFVM%uT#vOGki2;Yo4$%-WrcNJG0-$5PojL8e-bZemD_2b30MC&>VR_S4+EaY zXAk>A;ypz+om_a8U*8_M1(qPd!`g7s2T3e(Hu#newLjVzS<^FbEYc+`2YH&{O%_86 z{?6A|J_D(uPe@rw`56|%9siz+2&lY!V6gw7!yZy6>V7N2hS@SQWMw;|*J)(1dmtXd z6c>=;kVPdAmNEX$8w-G$oa-|&h+2GUw!g?V8~EUo@88rwWN_) zh(^N?7iMrpR0pURCw)P}7)-cI*R#0hrCn-Uz7Vf^it0|@PM7YosR#pp{z8#o1=}H# zM906KJA!3FUmTZ&(%Rr-X!TYng|T>Egm<)59u~`p$_`*rxpQ*+tI*8L14c(})U;KW zv)K}^;dT_oWqkxk#^{S5GBJO(I*tdvLf+jFj#lFW1s=#hgoZa|T>J8ExmHxQL9IK8 zHXU^4nPz zT8~$JAg>+{ENpM@2DsaO3bXeUHz(eF*d{=^}F6tx~p-iHB3>&fo(QiYTAu; zc>8tULUP`M4Nx4S2a9b?MlCWug~hI#cFfLgq*|%fC(RRh79Vs8ah_LZquFh4K7pR0Qn!%43PigpLr27F;v>A zR@)&C%vV&oaom=)ZDA2*JC!%&nWGnVFDGq`6c8=@66KNa#aP^`-MFWamUlx3{9@Jg zbjbkvV>!Bht`_&kXG57o4k7E=4Zy1lq{l=CRZbKsOpBg&q zWDOqUOml#Sz3Ya1$C~i#izp3{0#h>-yG|j>?)j1$wfgQEPl?c_>^zN~2Hy#a(d*F` zaYfTt)yZ>5k<%}9iKkC&cU5qOVwL$Gs7XK;>K|>@)L7RQ;Tizt-vYypqF_YdFlEPm zcvgJYpzev=@S(wes)tS(Cd7txKvftDE8%l76gb2+#qNAQE=B%G=|pJ|fBqSQ49oBh z`Lne`(9UMql>{XR{}O`@QS)j#DyQry-}lJoH=Fv(shyYGEA(r9LdU;6P}R?72Aq9D zpBtD8q_hTL6|+D_EkLZtnX!QMhM7IEN7VLYq&2(IcRHEjRzvS?_hp(eo0K4aRGy7P zla+r<537P%VCOg6nbCthBV<1&i5c!#!cr2H2stql^YqlWBeW$z%!EMRE66_WUD4&W z1c>p;o7(64z?b!!Z&JI?1cB$lak@?4RN@XZ-%>=%fUUS~Z0DL@4%>N7HV^E=d2lx-&Sw zBIwS#yGT5ZaLE{FE5l|opt8sLDU8L;xo^NnXCK;%+!__au8F#m#(}`Mz|!Pi#AknG zfJ3dy6A#$NLxHR8U^!QKDcxj8g@LCeecaz&4QY_DG$xpVr6*r2IthN!o0!dP;UO~g zj$%d9n{1^fyZt1%XX4n~Dgja(H%0IF{q|c&lvR*ObZgvB{U~_qmQRhRoM5jNXOWyg zAvj_ME`*7VTLsL;0McW4phfYS_@VvB>qKkR)bHlm6!1{v<%Ug;xyNVBC~>z=%zzb*Wp06n}KX zdk?{zq_~_Uu)o-(xu*~Y8DrXX(Fk|u(qi?^RL_Eg;tk0{n81mYw=KF^2j4@O znP55eCA9)tl0{m_9hy)DIqe+ zx^j!33}*t@cQw#hZbnD8^sBQY@DcE-6cqy6xd%a_yU7Q=O8EQa4K~j1ZwXNF-d99; z&-Kmtzz#m8$G*8ndizw0;wJ|aNwbp=Ue1cQANFiCUo@wBda}8FZHTkstlNby2F%p; zt(o5LTpP``e!B0q8)PBkdH@$n8msIF4)`xD;ylIf^ew4_&rNiM5(h<1t_6H>Spg;q zZGCHE0vG+I(rm{$PR`$h4b!1MWh$QAfpTb5ij9cjZqdRekl{-LEZ$?)qL@qDGQP3I@rQ!}mb( zgtV=5w>ftLW04zNjyy7l`h~6o>E6|zOZ!X%EHCXz$%HyzTj|eQMbI&w;4tF;vw^WB zDn7LE@q$M`tq;eECPdwDR#JI~{n(yp8y%3SiGs@3PTE*?&K5^X^7-TBV&+qysi}`c zhUw=?XJ8?1z@?RD+yyTk{ALl#|0AaPj*i_V$y{T_!a<)qk>}SVsWzZn`to#NmJVsC zHg5F|d41{3IeEoetgwo44=XY&_L9FTr)6%y8tXzv?4_}+s@nZW{~_SCd36l7?b2Fu zhu z{(U;?734sAB#Jb@C+A5UY(x@$O?k#~PWIpV5{!4mCdKIqxc?{xU%`F_>BRC(RuQ3@ zc4VjlzoNN7a$3!PH8tv{kZI*rbw^i_jpvlc6$)oM{ediSfoxW^P(!z(j&3M79XBv$QN5_VcTlg5Hs!G(B#5lXM&g6Bxc_TMRd=OM zCAa6IDm>YlC2}XS@w% zTXEU6v&Qp-M{>BC;*f=|ccV&za?ZbHFO=GYd%y3(sSve`l=@dh-~$tIMHyDJv(q2ylrBoq}nDFRP0mm$RzMCc;mQfK$KI=R^goZ(o#@ zdX(O+%FiSeiSd<`Qg(PulrnHv*eRh~ z_0dwdkJwf$-}n38*^RoP5pG&^KlR9e?{fLqD|~Ru{O?JYGpc`>lWWyQ2efo?UdOm0 zXZv~?VJ0C$le`NI|3bz(p9C!x08F>9A4ku3KX@G2*e-^iVqJfzjPQc8)&(!yS ze2%8+?dxt(uVqc@cDTm^=0De;=&psB7hAtsh8@bA`2Q~c-ZTq~eMA$ns-dG+y2a#L z7#P%^DhiEB{G7{7mYP_a%So#m`D8yHs-Q+s1XMxdAaN}BJ`_C(RbxB;ipyjR4Om5R zEZ4l@wb=;g$~8@mDREheH#4Js9Nrw}x%oxpQWsh4N5$y&a4C&wR$(At*zz3;NRA{++Ng{ya!kg zWxos0Qa`EdIarA-kSlYB$YV~+&pi9qgyYp(DJg{edAGMAjv2PY8tP1oq5z0oXRup@ zdsiE_6l_gn%CEQZ>h3G|Lt^Gd85%!8_*~OGM(A)f?LVH)A4T#aGN#PMTp}oYZlA;( zEs)&}Ia%>VaQvLu=M@*ES=5s}l0y4-R5SCe3{#pu#buaWWIC!AvQZq`u+^&6<$SN} zj0ir%I9?qo=3)oesrFTK;CWxSK&Df5*e9RZV*`P);|9}Ivea5yo)|ZKPIWL{-+x{@ z13E}nMvo47&8vThb3CEjJ0rTmy{_dgNAZs1Av

{T{-j z(&va3+Pu*%B^US5%VuN8PiyQSafYV0PF%jMUOS+EFSGDkq)n!9B3;29vcg2!0$w0K zue3FB^`6hNP#>2Dvsk>~m6pn&x^2vh?}g$kN`;Ckg=(+H`%p#QJw=dnT8_0bdVH~C z=VF2gGPnHK`mTJTlGE4!fWmQK^F=9d-ko;spcseUk&*AgeJ;i^!+nCEE9(R?e!BZ> z5ImKCelp-Q7$ksyjKB1ESEK`e2T-l}Dzl3mIpBKSP>&G~Rw+cGoxLB$f5lp#tZy!s zrLXg&AA0pD`sxW1&&Zt}S%ilfpNT;wWd9IqOwqyoy#g0T%6GkFIR2iB3P-TLqO_TVV zSC9NqUr15wm{N9Fmq**>LKFwO#p`CkJCGP)xjK1d_rMlP{p;55BJ1_&!vQSrEt0$6)k_3rl*R7`mMf3XH$}>q;F=Kv4&0` zdX+HS^sUtQ~L)O;lV->VspKia~v*Igs_}{X1H5v-r}5mbQxB zoQx*;b>k8c4@8X@JrOK$8y9ysL^Nxj!AA=s1ph;ro&Tg9XneOr#lyqLGF50$3#0bG z>p4xlI&P`9f8Wd1jNnt5m%VCMEWT6vfxiPmRkpRU_I`YxD&a_qSgO91WoT^i6k7TQ z{4WzAgPoP>D2;Z`L7S+7C&_!qm|w*r!k=GM&%_u!(%OhqF)i@xV)ptgQ@J}%XXQ6qh*h=U_hGU(UBoH!wY z5=}9%n&#IrI}7^D@69Zd}1GbyBEri53 zjJVP*=6h28e_Pf0VS>U)-w5GDD|Hzf<8fU1PAm{fVwg(Zd%hGLpto}K-2-t~mYl|9 zZ!gpKcTVjSE)|GHF`mVJ!Pv%$)(^)nE4t(a)^>$b%jCXloz85?qfMcDcNfU=Lg}8o zEYXRbuhL81RLW`)NuW0&KswSF?%hhQ&#`+4|= z1v5ZmC{H_(j^gg`Jvv+ag7+;k&mO6}HkKJIY|5{d9!Y|#=wxURqwe8q%Q&e`YzTwe zq}i)v)9)6YjNXc>@0Zn!%JKQF?9VfWO4IcyKm@JYUSvSrrpoP4W&9VrmWj0l6^J;#m z5IxQHI%dh?>e%&@rDwLIqhIu-%UWKeeFNvl$ELVFLk~;1;w|?zH(jrfhKlJxbAVEqs4ro<4Zp<_phl=dHzcgW&T=8Yy9kf=X1)JVBi;`@ ze3ZaNXRUQmy?f^$+~rfy(8qNLhpOk#sVqaWpPt{5O7OuL@R_V-+`$~P%I<}D`R2Hg zFS^x=Aj28)E9A%C@#9QvQA)Y<>S9NE-SWyI3Z@V22Fm|TDxMObK~hc$WG_w3ztyaI zJmD_Ry>uSVIi_B-~g<*{3{OESLUNH%o+ zNj%d<8fq(XM?TfG#!ZcXs?b!ty2Acnbg5eF`5}!z0^3VFA#m>XZ>9p?2e8ipd%amB z;je?o{b@s%EmE+wx8yeK2JVV^k~P$pUu;eN8zPpWEUz$svFvQ=bR8IBtCemwcNr)n zn}N{ov`u-0Z_lP`8KF)kR`e{E{oCInciX?Q7uXH zkXRp^hBcnD1##_8e(la&ZME+UCU@>9=-S<-0iLjh#7jA{+dh?PRvM{U%aJ;zoY>av z3_E*05XOQG3r`P|PFmdwGgb>5y`qxfc=n0Mb-3oziJB{hFX;C`3{#N`VEuQxPaL!x zFvPaN^Q|MAMalsqX8}#DLUfCem+3Fp4E0&G$%#Y~ZZ#HhYe;bWGVT2*g1Xg0! zO+44*MhOI|6lZ?gC#1d~PkbE`Mf~hTZ)BEaoLTrT?;Ab*%gQC>;y-(y@fRdRQr4-9@<7X%Q z9rvwf0o3GAP1`KF=&zNyl$)iRY!kFN{vw&P@b(kvcai(J%=vevyQ!-5$>b4ztvYJB zUbb(`>jKhwg6j(+O5_|iu+x%!@z0RO?G=&hG}frZPgRxJh5j(LlX~9r0)~lr9+tww z&fm5pC}!cNTZq@`MQoDfo+KeNVs}U2>`_NUS#1K)&@HzWp7>_DnML{6 zmiifrb9%$dUW{7Q>h63zClxFx^m(ZoD$2isOLIt`*yFgP5KsrHWHwLF6K`$i*2xEU znG;&$;V9|h#rH(Ct#ul&3*N;ADISQO6v}@(O-^bA7bVpy)hJ)xZB!M$h9`9-0mP%_=L$cEeKf_Ixbm(qLm8QM{ z6q0P^W4dIcYoHqTTO?fyAjw&d^0R>V#Ax%q#^xO=CfZ` zHMWaHu`?v!lCpI=DmWqEk($TsJUBo?B#Kt87QrcMJYc+1%SXpe=}~rdaqMk!DlvA z4oDz|Tw1W^N=+M!&)=OCSU!~rOtaHBMR2KpuTB=d<$1EL_(xyem$gOED`PvwN-q+h z!6N*6R#``3c89;AY)yyIopQ*X;IZChz6)`+=%$dbg&8Bc=+bwnjBjz^ByUh$@V|S) zRI~AxJn7fSEg<7JgV*qp%JlouPAakglEnua%t!e$_5VvI zGHwGk5zJE_?hyOo3u*N!FVXF*x6YOL;aW1i2OLq-q}dMsBhRPa&i>=LAr0Fn?&7mw zV{pxo7>;;PbJPXOJQpPAZs0yfce`jH2mXE}??)u?o_vu=KpfbX99U3)bhX!z16}a!j|ou6zJvdfPlVn06FIO1X=2U z=@Kiqw$@`Y_462>qW2qi2TO8VJsV10Tvf%(wyt#l7)U=?LcV`!3401_dQOuEA~?`C z*jz0l?4^O2xPup`$pmCz?Ip+YA-Fx)8LfXlCzTuvBi3#K%NTqUx93_zOpi|JzVmLtnY&8BbbQGW21= z;}Cm=x&@Oj1z<(Is5!F*_=GrGq+BlAZO3eC-<%NrFKolc#SP~d<2R^?FW-Y~hWntq zp)?xKGtMN(Ecz?6R*eilIq?$@K^_QiHS z28cNaggKk1d|X`uGbgr&j>%&-KBC-k8Er!ZPxA@aNgD}TStAz0?cKj0dxi1SdM;@N zOnC+)XkY4{1ZSbVdA;*uHb&(9Zc;8$uX($2q~{vQmDm|5&~`VCzuj9%`e~3)R1ni? z%g+GD>c&$YQNGGM_6gnMwyuh-3GCq4|@~w zM;wpjP8b|n*lD+XV#h_^HkN@D-`~L#io09l0xQ(1hZci^kgVaA{=!V@Fns`WCXkUM zP&dw7?BTG>GooeL^t0hD9dvN3ziM%uFdFRrdRCBkw`s6RA%OfC%T%nQQizohzpP;> z?OmxZ=xs)PdSx$gSxtz#G9fUlIc`>~(Pf42&;axYjayE#Y58t}w&cAb>%7&JqVf~% zze+3p9qa-KHzl7NC)V+Kv_Q8+&>LauXuA}i;GtK;Sq`{516$V_N-gb^4_>iSECa5^fbTc!Bm`^$(cm78+`FEZ-TCf3e^9eMO zgcaR)T%Ba>0lOe(b>_Bs6@MA_v5b_I#HPxx$o%a))-$=FFDSDLum#%=nJ>%rxQPTX z&s_YnJp$>?0NL=2Fh1*IqP!jl|GyZ4(#s+b?WWQp?+9!!MD_ACRQ>Jbnz;r`&SP@B}%#N2W9zp1w4!4@Jf6BBx+G$!2Zjb1s7BYHSwwVxUE~$t0@Ny;~EC z%1xpSca+;6+_44*8T#*MhGABEUJpQUay!>(WmiBznl{${WG^o}hDwOWMAT3(A;i5y zqOzcQD^1R7F7C*j1#OlR{zBAN2O9JpzOwo}N$-S0o-^(6XEn1%Ym!t(a?pmupm76B z_&xWn3NI_riXxEq3(ltOBQHjSjo?f@g-7hl?a2D^uK;F9j7X-Iv)p-)T(y>5)M96@bK8e>pP$V_qU(8lqUc8q<8g zYWQTjPnTIp5j2OT0zPdt?VQ zy%rGtdF9I%BF;t!@^^n-mGW*Ix_@b51XB0%qFrYVaWR1md>@{8VQrDMoO$79uW|zH zyZ%xaL|?{PGvb28tLmE~$8k>`6s|>EI&bb9Qvh*OP~KxZ`4p@5wtubzG>e zSejpLaCw|d);kw`2KX_*uS^swpgglad#c-d#B28zYxoP5^q<0-BG)?=YA(uPmIpq8 zb>z-?k%4XnaDEDQBGN6*Gwd{cV;2=!f<#2WImtbu9jmk|LBtCQ946cS1_C}`tj)!C z(s4WrgrD96!?2u^F=I6ixX09xO+D%F0ww!PE@>#JeXsGREpn~5`EN>6 zvlW~r=daP;@O5w_!q~LHp-+5f0ujB*vd`14N!b39|7|?RJHs3FrXkUF&4yV=^=1Ca z$pSnynT*+i()*0#0N@7>=9!|`+>lgBx3z7!yk}$+eM+FwnEUP7Y`(cAy@FIK^w(vJ zn$M)Se($5UQwu#N7gS#W8}bm8+|>2NE(?K5x<|Grz7zG&X5rUdwi1f<34MYU{3kau z6EYUZrz{wBI-MKFoEnvqf{rMH)dXG`&ey4FN?obFr|jba=+>_I_6L7GSTB2a58Sdv54{j$KVVV7#S@ z>yy3%s-k#E`KmEP(l0q78rO+z+PnjKNpixyOHoq9XvCb92|o*y@Vq=uciEZq?;leT zuJC;G{AU!fSV}MnRVy3_QC^wu?!9SIzij>E@ox(5f1NUJ@2DuM(n?b2gl2ap#;B$| zJh{ZT8a``?{L{rULU+@nzrlDv%Js2TPRDq~)qF$#aD|*VVeWxZwAH+I@T8!T=j<4@fe2a#qu&2gl!VdA~x@9BKXZA#FoAxMRb@9@*|16a%_J6~? zE?vd^jx;-by`WE(!P;Q=ZvHYQDz{`nxm0OZ@fKE6>$}Hfq6J7r*0V32d6Bn&F#-9? zr|qfI4|x14zNvyX?7oZ;j~gfWXW##Q77d?-m@{!uWrVN4_o3tA1TDbZX^sI}+(Nn@ zMNB}gv3Nh5BI5^tGIITY!+l$QkU4>q+fN3%xhc`!=}lsVlIYj(=0lrlCxpOOMoIgF zlhNlV+t=}@pIOmZA3fN=P|)+O@7#7a$V;!m%y#J^US*EE6T%XY7zenL@|yhOIfhIc zHfE~87%|&80zI|n0@DLN*LCf9#)L3F;2qOtW{heXt4`I)j%PrvGt!AOK zn~`ypZOEN8D|LKPel&PW&9~G-Vt7iP>2;H&yrmZA;g-hl2*1?4Tiy0^8BGuR&uB(_ zF;)7c2o8;*L%u$_gGe~`ZlECTfGE&MArtiH(d=L5!Kx(cJ!Y!&48rN2fJ0?cRA}Gfyld?bMgD$;ISu0!WC6$u4 zT#J>JsHO^$g8K!eS@sZcfM=n^sN67HWnj*<<1IKcwVx)V6#9=(5KQg#xBC%L(TP67@zyXyJN#0f-(4W-c0n;}VVoTR(6B?{{ZmeCf{l_rb} zwJB~mSo*`?vcQ|~``GL(n;AAm=Krk?ZlG6lHm>+#;LDX0Y*vXT?+t{M*ExdM-P*6B zS4YfRii{MD?R>37zEy5&LG0Y^@9lSlxj(z#E?4a*=+ge1?Teij^ZKgvrb*Ya`KrnJ zaFx+iPS3GCW&6Of_46qPQhcs+{`4Ow4OVc{!`dSf05ztW;d+g-%At|xJIgZa+Fc5v zN;+}+(3y4YMf|z;>AG!XoYf7XH|pMf;(d~FJXobqNJDv%d+9zj_Xx?sNwYRFhIi^OLaEondVJuoV8bvv+}aL$;4{%-#HwBb3mhZKJagl2$snjWqRQ7 zG^q)~2Z0$sJ|PP};JX+^j$ajqW87#;#`OW>5e8*u6kJ8>bcD8ohtVM=^*NOi3gm#@bjKl-H{gYDRMvIFjQV+R5XGawd9}k zP&sShKc!Kmq|IiAwxN|28F18Kn^3z-wu- zR%d4Dnm%W|N*mwB1W!^B|9zp-sOfMy!o+oC4I?)aNVu4m1a-Ry+R&Kbq{RMi4vOA& zj{XfFw#4;r(C~PKH;*of@U&eMA&zueko#Om{+KWwqr7a+V(18M9cJw%@gmh}r) ze8QZz{hdo8x04k0gCp<_U!GJBe%`1#5>dhE98wrI*l~5k#x!t;{6*8pc_+ntjnfkl zYUksLXD{!H=+R>#3# zftguS^qW4POnwrAVj=zWg-~gSLqWKSR(i0z2ZpOcrEJX32%&W|kCv|5FLpkwLU2si9W4?F0@dz;< z2%M!K6tq^<4&b(bDA*n~_EA%Ln^PZ(_kxy44T1`CSbk>iI+s6LD&~Kf`pU2<{`YHA zmTpkGlg{1@}2C1b(1VNXOZbZ7f1OZ72X;|rQg#Y;cy?CBCd+oKu z?hG@ZxbJh$eQrOHsQmYWSS)5O9``*i-y@oFkaC6FF`EKTcFfYpJ+031bWuh0yuMP! zrjnFo()QdnE#StB{=sft49$G$lQ(es67*Gwd5v>8x3^w`U|=gLYKxBF~| za+2S70d|!4>0J8Bw#lM-{_%#_H7xz{e(9G_ejDH!8}|ye(LbbJ9{`r`WW81*CDI>z z`^C%-dIAg0wY{F{iY9GzX|K||(m9`^$-Jmc&WC>UCMX6zlj+5f3LV_`3O!z)7^(J3 z?zO803#H#qNyn}wc$6*9AyHZ$kE_I_g(gQ|*TQBF(ha}MvN2z4)kj&%?C&7=*=13p zJ0KOya|R+o%o*z7PW08ehOd`ua(%rkoT1|z;NH06wJP_fB4K{}k#kKPE3lDnVL`LV z2Ee0le80?27KK|)48GvLJHNlXCB9ZNU(Tc)nCjg{T!X*(P!<+)gD76ssw){p64!Cc z!XjbIj-lhD2H`TtYFnH_XmGg3KELLJ55`wvIXvb$FX?@m2$x$Q-Zszw-+Bp8di`Aj z!k)Z|Z@4$YnN;%q0Yt!XZ?)O2IX-FVJ1g*q8;nn}eiTi`fEAjd>~XEOMDW!=RfLDW zkWG(F;ggxq7qGC4<}fFNo{PI@m&o^}b(ClihqXMX0oEst2R?*4VB_sf$d#ctnt z9{S-+_E6B^>y=VM8|CD02%4kQS9%$x2_@>d@gr*-u1Jr(K4?_EpXHjVL+y+~02Hf; z#BGuH7Jzky_4HTUrF=B3@~K;E!IUX= zlhdGTosqu)Gz*&2{r$ZabF+}8B|Ks$0pXKZ-rx3sM86N6{?F&ubaAdvzV$#Bj2u0H zx1XP*vpzYR;MPHKRg+io1!w89a2!~jef;TfD8JReeqikYS~$Z*W^~2(r>l-1ksXxe zX0!Tg-o%%zmO2ot$}t{w*QfW{K%NsTyYqA-qSwfJXP{&|ja+7*DM^lfzu$*Cm{O9x z6yV)MFfxA=m>hphU9o*}lgh$eCF?K<^x3c1`@eY(x&L7e>Yu+`5GmS+dO$uL@K0`e zJd3jozk3?mHD;{{oqrLCJZb1>32=VpejS(S>?3wsD45^xllj;hmzhKN$7cSSC0Hao zT!eNU(O8LjLj+5(kZPN$G`jrZqT`@<=u3I}_p3qEl?_UmTj|m?eNBGXO?1SGzWRmsC|@rL7yD-IJFOV5dZza` zv)gRVATnM?P+xm@hgFDc;IcVi7xPboYX0o+{v3kLcJdBNeu_dR5=}$4J8i=P$~9V? zOS+lM*tL>olRt>;r!zY+bHfw=maaVvpaZm`YIPmECaZoWuEh2B>(&>;&)VvFwnO~y zNGa@!B-E#3vb>)T>i;f~lPb1J2lH8IG)g1mprLyvd;304eF7fnp*om!g`}_2r`|;<#NUs=P#lU6un3yP^#dk@@ZYXswY()yx zOKHmmd-UWk6$VCbZEoag{V6;HDnCUx1^t45n|LqQ33aXI1O;I3WCKrsTG3rY{kPW9 z8cpP5GA92itz25C?!}qB0nv{)+ofaEs;B!j7g@cP$Fpi(sER}A{%rx=p^o%q_aw53 z#67Bo>h$#Tlab*PXp*)ss?Yz`wBny{m9J_Cez9atoT6Ys?9PWmAO`3p7gqhFr%q3s zozlbE5owC%-K1p4PKR$a%M8ECc%=70gVf>W6H@69kY=sk+=N1c=<-occ~Dz_s3=+z(WvG@F~7un3@VY70)wvh&&ed z)^7Ov{eu_|2}OMfKydkI_2R+QML}0lsL%X$u`U5g=5arCn;z2W zc_lP#QnE3ecMQcZyz*bLv>z&3uz9h&dK0lA*sA~Z)dBY8=ygv^(d$b;ClmRP_P^EW zm~^1=LaL?WuhtUti1$z483&+1FZ(xdd^^d_B$rJ|bD=UxtE2aU)8C-tZW3X-_)2wjB)WE^>s%R_mtKlh{Kd8Jfam1;RFq*I&s zv{IyXaf}pKt7Cyu#f$^eBp0RE?$@Q7UJmg`K;I?jSwe|@r2m@)4`#Gg_oYK*e9ac9 zTO3uzan(;`z>+?fM5bfn#$_g(8C*ulfD};N*7C0FoqI7@oMObK%p4P~f|cC=G4gvO zhfE1ci&k?V*B4UwFkWKV71_b2;?aYq*c}U>UwP}I1;EFLJn_zk$CVEzLsx$ec3|UQ z6>ik&$qwGX3!z2O&E)>8tFnLBMDl)7P$^Ii8m|HR6QoQVpGZ_D9SV0!$TKHu&4H)x zhq_YsQ7{K?F~Se$lwQZGth~mOQc&E}n}eOUT(=6dqi-8sjYhmPJ@#ay*Uqsf)fWg2 z53O{8!6P0s#oo>1$4B(^fA$muHM=?oChOw+(K}u(%C&+8QX&4gQ`Zv9ErP!|`2=lSw6e4?lywg; zjje{ohrgXm9OB!}>#t=yF5WWGWTY+b+zl&+&}smI7@p{Z5Sp{enUmEb`W2kBq{!ai%(@2zStkN zksPiR>jTlU={HIbY4k>WvHsI)Eqq~38>yc(GF;Nzp(q`h%wOzR|)2_4LyB&!J_ z%*mwq30~k5*a^U&cb%NLlk#nOxWeP{v*qDi%>k;YjZJGky9kq|DKn?@ruJ>krY?qm;FN#8!Priy~sA5hQ1gFPn0~$*OH^+t}(PQP$A(M|44GO&N-8nSAB#% zI$Z_j%al`b8x%PN-&S&d*guP76D>@wGM7FIW!{W`D-IdqxqGBn+0&{4o$MQ`eqmH= zdRjRTSM=X`do*eCemY$(!C+MUK}a6ZvnK@`4HL@jn(`nTp(;pSL$5#yrCrnpxoAyB{j+ggym z-l>n8u4^m7JfVbCRlI+HWj`WV76&a=WeDJGebmCQ*SJhnD)L9(B@ze{1)mx-VK~|y z_v7ixDQ(m`NIzJM3D&R!m8U=gi>cO9Y0Sug9eTXqM8~8!@%^$8&raxQ7=zVXG?^6{ zH>#L?r!v7n{F_E%{#e}CHRzS>AscCwg>`OR?7biYn^INCIk#PzQVG5Sly!xpI57Q? z2@|C}%%USV$TMxW<`jlOs|ynPm`t`995~gb2TUC-*K=J|xrr6Za3GF7n-Yx@wdhY(7 zdw7198yy~rf*)G&0kjU42e(pnRc$pT%g`aWZ=I^vm<8oKL9gfGUiPXkv8|UJ>uPS6 zGt0h1?n%Z4uhmzW>?H;2wsy*P4(K=rt`zm+HZ=zR{EiD@uy*>Br_gC8qrxNa|3)bo zO0C=X_GOcRzGQAi+??ec#!}*iol>R0ir`c*!hfpoi9h^P5dd}R*)flq{IpYE$|xEZ zLl7jOjV~Fc&@cnRzmUvAq9Pz0Vs)Z`e8K-M5v%oJ#Y&; zFic`&Hm>&||GHRKl6eEh$gB%Bg3#-gxSKd3W!2o=i&f$x8!(>6oTf5=pNiX)RoO+4 z+nWE*i$=Kq0&1TUc2l!$cx7R;viw@@ve>^se;G9st2O-FEK zH1(>YyS5F+w8ilYP<{_O$#sSAA!ufrBErgvc*a^10hwsm>PyUP8U^k5rwPFtw0H*U z(gj%*4dE>F=mVjng%GvOcxr#ThVqDyf!tKkn;%?90m)%YhhvgVVI$|svIkxBbgT@@ zo2`Lg;!k!=4&u2O>0(YH%h2RF&glZj>0596AS)m9_|Mxxb!!w{HjyUYYKJIGPe{qK`8FZqR!hY^D6u3_Q3Y;(i z4)1-t$w`h!y7_h4uAINYX`vf#=q@P5^J4xI>Bn>AGihYoAigz}Jx}NLLeC}EFds{5 zO7Tv?>O;cdMsa09-w|iec7FB^K4!9&3-O0-`|Uwq6*PNrijYEZ^905N4tl!RWpthM z5g~*1EsNnSck#0$4>WS$;?!W?#|yX^1?3?9(98oT73(zkU0I?xEM%!@(1BBRblVg9 zFc}|<8Aq32Lr2+!8DU}CZ+FGP)33B{-&o|jEUV*e!cTMxs|@cn+MI14dmyb^i!U)9TUI-HrYIX z;ez&`DT<+g9$szHfKM~k4m6|$N0+=3XT0V zxN+qT0thq)K874&>)@qRHqX?(G+-TIZ` z<7)1qi=2GeiFyYMtU|;FI%!A7oP1B*Zqp^<0ef_h8LrZK(t~G;F(EMkTb`Rr1)K$n z;h6uOIUr)p4c&oA0QCXn8IL1=?xMbfvjsj|A&${^u=~nTk>z`R#3sF{NOaFrT%}o& z@pt0`N8~h1m`L-Nu|q}|YNR+_m44FLW7U3oSa^6Wv)gJ@at%LQ$C8#WD9i6jI$)3( z5Wjns&YD*G4Q)ItbHfPyKrB4Dx`}2{m5Q{A-TDQj7GKP2ad5WHu6e>R8dS*p62f!1D!vNluI2?rIAgu4(oi!-EGn|2SD zNku^e{4$zOLPLZ}V5~t^n?!1zzE4`bTj;4FSM;N%s!R`_DL_Yr8owl`Vgmx_?%I^D$#(vO;u$lR@C|_p8AhvRT&Da7BM?Yf6<>!)c1p zfG!yxM<3PdW<`beF##B>Tqb;R$@Rfv=8wylnZ6xns(_GrMg!Qr34?kRrNiD9=Z_Hv z4`^LBjHB%QQXh+H2)>_cH}B#u`}z1SR*8Z%L)IAeH*BxrB2U#Hop9DaXHV0`CiRMc z^}jKzoeL*;9ion>F)WEw{mETT(Ykbgir}5!tt;O}1gvgUcWt6jb4& zab=vcrMBA}5BBKo7oRQOmH-?TCAQlGIiC4ZpQwBvAlL10Z;NfW8v>R>8hS2th`m&e z>&Rg|63ZB4&)~-1c3Mn5tK6~kG;_8tm6yA+B#l-`__2Ueq|~m}Z5wtgQ{y5n?^s;v z{kvdg@+BmVJH1GEGc6Q=WEJPMf5qX$a^|>(a$J5?3|c%j3O`Av2(Ni&HY~Hb`XMM+ z43CP8aFhfozr}@cA-;($a?T_yn!kw0;+&Z&o2`~%lFYRCph}%4xp>PaE`O4#g`n@M zZ#mjZ6_g6LB1T>w#3*feU>#mkNR>So8dhC+Ki0)^-}>xXg6b8JV^uJYUc-boUz7E1 zbF7FyN?+8H63x_#Ae}3ior$q?{Qf3TXhu@h=_Hhu?51WkWMJj45?)a^ysLjnS>B6e z<^5L5I}qNVoa2+)6Mi#(_IUPmKSjJao&w#%AYT!F?AGZES%yWiSiCq2)XL->RwZZN zDO{2pN48!0ewYWGd3EU~3r<|9NJCx~EX;-75d9IpKWt=I33>qOfSVQ_^%M(ql*Qw( z8v*ilKh0-V!+F~~p3Y@sfw_b}jbG3I1>q^dlYQ>aPd02#k}8P7j zyK8^@91d94<<1%*KQc(5M*P$>#~+QMaaN8U*G2Wi5I`z?HM_vlM2;&r+M`6G$wQ6Z zHk}Fr!MYeCb>3=-uCn?8zkMkgToiij|dX*Xy)3{#4i1j_1N_ZM&|ITxYt{{!sfX9HcXmo6Eh5 zt6q3w7C3fSdslT3N=Cxs+Hia~7w6+Qujm6fEF)`Pz2jtQf9y0fcAE0EHn(|Pjo%!U zKWd2obRux9(jU@#lj%pLSn7z$fV0-2ylLW^rUVakH9_o!D~HKBFj&G#20lF@=bQ<5 zV|Y%t;wVq{Ogld5DNS6`&JJ0MIAgNSTl`I(VWgx^A0Cyq(fPY~jKAr;m{aE;e|lpK ze7&AAb@f~{{8Vzr?XuDBj`Nqmqp`b66}A6G^kjmL=#JXQZ$;EjL$~m&w(&{% z-MvLm&wYI|23ABc{kVLEP$tDlZ&t< zPUqQms@|`S0&MUZMjH}c3r_^>yubnSGd+#~MV6si)8$?GCP=s)5A&Nsy?IB0>&TW~ zx4Pk9sZ|*)=(ZGYY!hCHe^J82-8j4UciQcacCTT*;064WW!NVta?h4|B2V`&oCJpi zn)fUL?6x;?FsRhSTT@8~OykFn99}`Akn$PK;y4p5&B*p2p{o)&-nwB+&P^ztE7@1U za?CJErM26`0ajKEdFWjpl&N<&wnDxgn}zk6wetZ2&inIIO=!+b(XE(s$fIo*zkZg? zN5lb2lX>T-5`OC2DBl)Pv&7{<>o7KbpxQ!b=Ma40!!V+MOXvm!MjpLuEwAdI2kRx&fWnlo$Lixmd_ ze|h0;oy^<-i>7%RqPBM;S&X<6TZLA?Jb!{ti`+drlHn;>kK>JFqjO*X(Lo%;!DPAT zS*R;Op)L8z)(wXlr&|UmCK8P@c@I$eEBN?y|J2M~agTXzD$~J7D19EASO(1qa%-7_ zycKa~$c9gaJ-e9*S$?(s4-$Bx_EspOP83tTe9@UkNiljOW02@CrU?z4G>uf6KT{j#UR^wqA<3u^7j4e-D%r zJ?uRRp*zQ2z9<(IJ-jSbeWDy=E__Y$wfK&6P}0QuluCr&*jn5#ReaCF`tK$1cD5eL zEbohc`>D$F4N4I6Y4`I$uDOKRSL!lEZMjE?xUskuo2mLpmdvc1Tf1G}ez1{3{vXaX zW^G=u!uT~PHwS3J-XGM^#=5HS3P2ES{6JkVMN$99Qi94Jgdqn>%$M$=$ zJQ=&WF=|ZFr&41Y$qldVvKp2Qb$*xCVLwCi>|T{==m9J_S#bxB&S_yYyz(7s^Prij zQd?JHqTR)gvz57e!6oxGYmCvg|M3KIvl>;o^91x1j_|-qX{S6 zeYFa|G>eD^0~WYZ8f$oK^fmKp#%1d&(|}V$;&pG7g$r!inoz*}`&e%j-3J+ntqhHQ zIr&AdI}rmdjYt|i(n)>{uq+UEnN4s`-9h`OdKBY&wuN5FP@vZMMfgXriMR1@@^bJ&E|U!k7; zd#C;Uf-UIb1iCUM6eypD+7bWlvpAvgVQRKFtn4|!NK zwKEPj3q7K^Zzm!-kz(#7lO)LQb9_92?2rEM&)*S4?B8waI(NhP$gSUHKo=isct`Z( zx1c;WrM|190IixXnf~ELLmtxAvwJm&kH$ciQBjK8y#A6}99siw!*#S}c$op_LdwcPRmUlT(efN9c z5_jYQ*e}V}7)gVEF*Gxbox93ssNxJ;7Mnvl52Z}ipYby!xQKEzkul9(nUkNZjOOD~ z{|ijoI8b9bbeFH+v5U|WJ;%r-(~a{w{fWC6mM&bo(9`g)aD#$m#K_O{9VOP8mBBOm zEFGRiglj@x*%K(zlW7Hif9%5Z^@YMW)(cO-O?P%JLB)UHxlYGAP4`IsP6JFl)ckHE zVcnFq`rj=wTSdO0%s4tTbZ;js_XU%z9?P4LH@potW1ccige@1{@o7e)b(z*AT?^Y_ zsdrB#;$Mn8WSguWKYc%ZE%Zvx@ca?vC=5IH*aDa+k@sQx`QIW)kL>yDTnTis0b*dY-%OfIWyjT}f)Sx`Y3F42*8#&3=GQ=c4eNig@U8wv6y3GS=JzWVOWeb2UK8Q}TSV>6{@xZva6|Fz93rGY!tSKw(yfW5RuIAD2<^LqQ?lp9NZ_@v1XCYQ;rk{9vPeI`wgZZ0PV za$j^HO{)_31~Sqq4Pvd!qKPXMFWm_bgc zL9-7(CKh3oBzv$_&xvGq++_@xH zS&?`}2j4*?;!PE`aDZ7a(Et2hAO_y2lbXMJ72}($e<|c}wHMn0YfUa75IUm1y+?qtXZBc0 zQrr=YT8a{K8L{TRXn(`W81cAEAggodlVp z^h?qe4|T&advTHO;@iv_rM%x;jOp}d4MCypq9c`9R4|lIrqNI4uq9}KaZi+FXc{8i zbkhFa^!);Dp?=DNVvB7{u|y-l(llqp~5 zmR0DOTExH_&jyrys|fTCGU|A6)i}&*p=@k#Jvq`5#~j}Nm%@P>8qnIP9h0iLU$u3G zjR<<*r+_oYZd08)h~>FckzamqnIb5_>ztboZE*Hv_Rfa!2lV4cmYpZT zA=a+Z=N)`jUInyaOSxEUxyFVXq@=OA7=4_CTr3T+c7aJUNZEbWfta$+6&Dv&GQ~Fc zGP5h$MYu9pA5^wgNbw@}jtfZ>*9XZ{$eP=58QKd*9<#{A7gc+tG$zF2=!J#J6-F(`UnvcJ- znnAL8OsxA(YWbp$C~IjRP5%#D*@>S6?#oT0>HmQ%;MvA*zcB45!7W_sPeRB4!ye9v zeID7d#+<&K1yt(d$wh`9YYSeS1Q1raKI#o+t@p&V+)T2erj>;HGMyIvhAbJ6x{ zKA-V)-}-INL)EEgOkH7qZw|`0SM}p4!9(uO6J9kSZrM0HPggVFUsk#Df!-^?B4e-6 zpvg;s1+t)^sY9Y(=7%&DPd`@Yx9GEh6Acvm1xQZW*7G4e%tQ|{nV{wvVFr_@ZkEo^ zfDI#BcbmiYPGDnKvtr#j0Oc1wJi&iesCbapbx>Bx#5!L(p6X67@h$(&^0EvTqFR>c zDfRii4hDD9d*J09`8QgNk?;{-&r5Evg2Ks+1ChT6_ms2W){&g`MHuRs=9_5bi!rX? zYXWJy(|vjVJR){KN-N?uGZ5mFB(9M_1G8@+h^Fu7i*$H1yE7!B^>+^>nqx5il{R`f zEr|5N?@+zUEsqz)6JCUVh835`Yc6CrX%ttg912BW5{SzYf75zrFx}_Hzmc;x@O<6J z-jaE`CUqpnE1G{syb7(Gh9H#pDOa;({`m3VjdF=?)E6y+x>!hFZnh5nMXUlm+oam7AX1J z(?0Ia8&1NwX=G&7>4R*K%f1J@itOotyi^tZGtuaO@Wtlvo7QLv!ugo+uDdg-#94}h zXHK?6DmB-;yaNB2Il$b<>UM8L!uJ;``| z^u1t&%*9S}dq?o0q*jqTv9e37tvcAe?ThS}P=xP?WQ0I8V8O`NX}$fu*p~Qjg;|Fx zn!Y3)9L5Sh=GDcDp4BDo8TV)kr8|3=2kLYeLy%Ur^SBZ>21-HCafK?bFisHNO92zxf;9i_n8IE#q!VXxEQjiJ6b?mMk){i{k#Wv*UaM#Nh@{?$O5P6UU6DAORDzcBB!JaC#*WCLD zFF3Tb9mJ|Q&Ad0qwkO;PdFG40i_+J$i_eY$#!{Z>MM=1SsO-OBxL-tpPLGnxOZ>sk z+((`^=l+%Q{%OdyHQG z^<-G7{se9Fo?s{^&1qBrsq$7(-M~-HCl%LJ->zQWE~n^a%wSP2hi&W^0MzzpYio+`h9aO6;{A`+M<3(p<%QtYKxyhY%vae`tki&A2N;eOUV0RCa)s`P-A+9in zj9R?4j!*bl-7dO${l7@*=^8d@!_<0>w@3U9+r?H00`+G7>Op%%8~m&etp|%4X`(71 zTxQ}q3k_fcNc*uf$*L(^x(fE&c6ac9?el(Q>U<0`&GhnWMERmja$(QL_A9(4Gi~l( z?@u?_(@RG0pyLe^@_tbI+*PuA43UgqtkF>VQku1*R42~s_P`~uFZlSY2xd!>C9&^_ zsQ~2^|4NO1)1u=rCrIALS>>Nv{Q+@`)wAZ4kNChOPaNm5AK%x( z`pHk6ZmX!}B_2ZJKOJTa{nG0QaSLQrUz`CCKnw9??yp(h7Mg@)(Y(o$-?&<(8h(Le zmaz&S`?VtEY*D`JptQ((YW?f$z2KSuGIW;Hw%q~qA^kv!YlQjW;;Ivr`C-W({a>MF zUg`i&b8R%oJQXxx`AJx9v6y{+KH&SP>PgSkiHOLU}0nX_&OoV|gEn z@zd2~%lWF{One>6=0U)d=nUyR#$+-+DHn6s{b?&9>tDJwUM)?89`ib%#!{VDddZJk zjqsN})5HK7wljEYYtFR?D>n1;xZZ+M@aXV=jIl8qB+0XQLx2Tq?&y z+DVMrhr|tw^{B`%cWkTy|MLEo7L{SMYkdNSzr4ho9^#k1nArZdRvnT!z*+1ao7oP1 z>cSB9;1blL_Wt?z)_1bO>!@8OD(#1z_CEZN-LEIyyP99jTm3J+HgZX2 z_SyB#0Cx!gRY&Cqc_~rr@gF5(87y_p{)U}HmMA+jl+9ZbRdppLX#WVOo*WYvw~}WP zMvR<8f)5G*gmgjM(g3Gw(GQ^TA_(lh<6KxPCFJfktaXi!K*jHIJ}HY9CnQ#^uT6M( zgnAj-?c0@oOW|uPh_-0#w+EY~bf}&ea!Tg}#uFIoBv8*#em$=N$xaWc^$+PebsW|` zK2dnOVFyQQxP|glyv^l_oQcyilW9skiPPYUHb2r^WirQFP>3bqr1v=(-q z@cl_-Kd^lxQ{GPQ`_`{ENq(4}Cxf(|-K>u%`rkB7xWu?!e5e~-?AWJr7>dzLu>y1kc3n$=^OXyltSb}siIY0ROOeO3Rjf+J3>n~t>BY-_# z%7e$I%{tH>{AM)KNBrA;QS^9SN&A@fBQSar$q1_PIZ(wp9tse%{#B(Yani?)Duam3 z_yV zG_w{A9Pd6Ri7TW!lkUzmuh-xJMRx=`_R(i|O4mM)Ie-v1U+vBzhSjISCuduORz zWG-YRoxnC+CMWThF!Fe#%cMAuI(l*)f*_LN zrQb!jbUybN-_TAs6<=7ORakhDp$I&)n1IuU(BrWC!UqaZu1lj_*}zQgre!r^UhbQZ zi_@S)28-pI&o`dyEm~lpxM6B3>8Vw@4g?m0wSI3wngnnRUve|4PTf;1eJe65&M|!U z3SDWXa3Ibnx{SfR&sZ>0%vBSF#2EhlEMa`0IPWe3wkJ!Or#E>?(XhVlkAF;h94lqb zb{7%7NOwp!&lw&WD$_$dY)tt?Oilc5u6mwKzW}s;b?-u(`Kpigk3<=3 zKvIpsl>K8vLcdAZ))F1mAmsgbsWr=jC=8;oI_m~tu@gYdYPxZwGDdN+z z-{r@p?x1ZgP@^9#=I$_5rI8_&`^NNYFjQ)U$M-{d`It6u?$-kMMZS6PgBjIOhv6OC zUF1&G`?BGx;D_@$Td)!s;27}KKV-t!_$w*a(eTcX`jRSVeI}}+Q$kob(oQF{$6c0!DeZ8-;*`7?+&>uZmB1#O(F&f6?O((L}-$gW0sYW8fvDPDDM~j z46J~LEYkCqV<6b`PjnZwsuW3)*_70?@R&TaI6kk~?AGEacEEp!ZN-B+@0zl|@5Q)D z6Vs{HX(q#1KVH-=SCvAXEtzZ=yisM6`IG>1^^6APaXGRiO)*L4yrX5T9OybZnzSiu zE_pt|SKNDPq0zxA=}mHI_Suu^y+@kPWFMfvpkHu<9R@ku-Ms2en6-I)RQYhXgx!b^ z+2dU6zPHV8(o&65ykMSpLYVOm@Z5VjlEBp2HxLeY!Q~hy6O{l%fdZwPV)j3i2>)_E ztJKoFsU|%bhGXdEO$ro9WH~o{O8RIp6Om4m9s8lvyj4Ac)&G7UT8(ov^Wku_32^BO zLCb@dPt1NY*-|ir{*_@=JO=}~Mjac!?|I#}%eiu6yD~)g+=Rfv<#0BH#o<3nw@(Jy zU2UD2=-y+4`l3S{>}6*OF%PlTyA@Z&kv8-bGIRaMv#b?N^e#LaObI_G9~*Vnd5I4? z!}CM8n?XQ{79_|9!k8t1Bd#7dg(UG2X7=QMT-@Y-+lVcOU<>txX8h;h;@Hhx^Mwyp zDTb%7NuAPe+XbnnswMwG4!R0E*$;!{Zi>p2#5^op4Lw+X#Vrlm@`jW2FUthGwWhT??Y`Ckb*jf)`i>} zG!lgMT-53$pExLV>57A)EL0k$c+i8u@7rA^yV2vpU5#-7ckW?4*C6&22PyJe(Y_Ct zAO2GC9~UJJe0n=UAL7Gz@Mv?@=bnw(ZJ=I}oNUw(3$GcYoE#KL>p5VusSgLPUzEmd zEwLq^X0G;%tqw5-3hP;_CJ0ilb>P?lt)aP@N(tWNnVICnpjA6Yr?26L-HX_yWT#vo zDA7v5TN1}^zpi!?XaG<>pZ~S0oRKAf>E1ozRKDAz-=T%A3JLqGC{l9afg*)e%Vv`Uec!Qv ziv>Z4o0?W*z06tJeRpE|2Avq(tkDMg{1BfO>{ne4)dx6VS`8A9xoM=yL$7%nQW}65 z+7;KapBd-R67F(+{x1AWT5i@mze%tVpR>a=*-@Y~yoyNX-gqnvOMW1#@U)eL}vlZKBRluAH9A1%c_eU3)$ z@=8+Ob8J7&2)rgdXx7={Q?T(%4~>!5H`;A*pxPY-sx zt)^ih5$zwgn_OJz60$HJBSYwJ0yfop(dUqRhpskA4xWwGgcScRJO7)XZmID$OD(HJ z(M`ZlAcW~#V+V^p-xXpwhsG<;-hb=7X{NjMOIp)`RPGiRQ+U^3`g!l-SNZN(g>*lo z8oL@QEKUWj`$f%s_b@r!w4fHE+2K9cp-GYoYcZ)SGM%RJx$%mg^9296EO3kno|gd5T1xMRsH_(aUF}(AvHz?z z3-2w5%j#_gEd`aTyqT!Nlgs@(=T7VX=8NbbeWBu$ol4zo?ZAEKjudNwt2$`*^I>|T z%a`{=0v6Q#pQF8_9igzPHx+!(!#yB}W=S7~aWN@{!VqzJsV<5Mtf;{;(@=4LJayS% z7KelrONdAVoP9m4YYgkklQq?QHtef7lm^-R(GwSw1sESsy7@)3sQrl7ql+urfn11W znxu)sVWY~)Sp3t;>~?BFkLIZj6={{uuGi46?YX2~&!(5ZbTS4rYky$cyCI-n^{~G* zO_~wZtTvoUZCIK3F?u*pSoMLZ@3gQPdyN@J)mZOBey#CB?LkV+s*n+_$e1dFB`AZ1 zNor>TrL)_=9GL6wmpbgbg{3=Ju=91CxvA}Xg$9r<@ds3y`Do+DSGogK=gy4dM|DX9 zZ+UCXs}&nBT#8%b2AB~fj_k-;myI2HA5{Ql-1zVJ7Zj*?iengBU7%ihI zGhc%_Pl*n^Vf6Wx#Sb_3SQtr&&&Yewv3nYbrF2v9G05#C)C~*rdiYPGPxyUs9{V^u zSR?d05#&^-DeYXZG_IO>b4;SA9cpX`-`%qbXkCRE7@8!NnxFpWV(LXpb^2z5i;pZP z%2({gxfH*OB_?QmT>pl3iA0UPj!J@PnVO)(uaA8BlD;Vc?(v}$8yamv^T5j_RY4 ziuMmH^ubvcTJc~x^ldxZ>|I&=V;iK#2(B9Ku6HB5}A8p35yW6n_=wI)s z6m?ap`tXgR>Di}fy!RaM7|lW)nuVAJaz@jldyIu4jyG_C>>uk_S1C*a<0 z>^yC5y`pA(9v2Zw?)Z=wAyJncYL@cY=Jn~@1fSN@in25>J73v5_`EnW>QlenA?xZj z2>wtNzePY-_5OPK+aW(ux{Mb1r!EBUTHOJ(u%}xv1bFw7^xjc%hhV_DGo?7z4UkV7 zgI}!1ByYwTKdxs}f&h~f9}89m12Qv||mxK;(b(p`-`9^frJah2XW_K6poVh?qo zg~nPaUaqWx6A}b8sn-Q>tv2+O1soT(><7(@UU8%6{(K@g$dmaDmt_wKR3|Hi%FVmo zPgbes`s))%2FzG$8Jcmt7{b;4l?S4+OtwLAR)71fa7pTlezL=QPP27dXUJC`kW=iR zO)OJ9xzhrapD4DUKl}LItHWy^-Yj8W{wl$NocaYZrsRNBZe9&#p@Li+^8)*QJFTP1 zSP*UgDelSNnlAV7s1byCA1dJv(#Q6#&;>V3X}(y!asJM2&B3!*0yD*BwSvZsSgg;7 zp_Kgh82yw{#fiTymiswFD^!a^kCP%+$#$c8q1ynn-Xz>|b3Uo6N#D!Oh3g_>p2ik4 z(N;!*Yg*eW((q(g>2w9x@b@#ay|5)lzJ`w*3S)@J!3e=0d7;NT8tF&}jZfk9dd-2# z&~w)Qbq;5vU<4_;4uX#Cz7$?7$>iiY^UYuvi(iRj(x!EAyW>Aa1b!z!0i|NiuD(>P zu>eeXLjxIMVU8fN;XNACBw$Fiq{d>39Kj9skTwg^JT2qGy%X0QGnu-bEDs;i3y_JK zqrXWfe#{iD0@v#XrOa&Voi@>jcYs?>y);M9Z`L>ilSdm8 zPZm~bME#cW!IGeKb{x&G0Sx+RNCzBpkRqiDkw7e}NefEax$X1eNJ7-28$~Jsaph_i zYpq>y@$FHnw?2`XAndTVlg&0>2goOzQ`*v>Op)L;q_WHlUfFb}71y7}x-GO7{!oT1 z8S+wUFXTD;!_};7o{55iKh#zZQ{9VT0ptB_l_eyD?5b!dJ@ef-u_mEiuG6yGl~A|1 z4m1cl=}EKQ=f;C}G(l*;$pD!8z8(fbNWM}!~I;4~398uEjlJKq%A~tSrd{%aaT<+#?IfZEm)XcI8}(XbwZvBex!hc2$Yj7Wn*5 z*1*p=?T~4Qt*$>Te)v49%MMxqO6lsjBqM9|K6_Zc)sn z!>>lSSN=*cVG2NCx`CmZvU_>_7dMLI+ZLy`Y~Ch=t4WNYPi@6G+ACc~=#_Gb_3#3E zAWA%d59vVdAdE6m)>&)UfN{^078d5ZAHe24YVXs9LyKGgKvL7Z9yURALlj?V^J9~$ zNaE;J8F4zt4Ix&QuD}q-LehIeu`yH~%U*5MAzaFhfSm`lKJyZ^o`Lg=mFvu`L;i=q>RLW+(8f5h|?hXwN_J-S%aB*Yk59+Qrmr(Y|D1N&#mz9MtZQCfV;)+ zaMHd8_?5p$BeqPkC)~D*=*81avf=oi;y9VH%DN9)Gi%-xR6sjkn{%r7EWBl*Mb+--! zCVT&W;u3QDw;O$Ay&)z8c2kE%EVanPJ7j-5c?W+uF7~56fZ}Zm-kqb(0Fpq`MOeT4 z$YmiN52kS+yh+EU)9J2zY<(wOTDKv%LT~B(P&!QE7S+_3iea`;?_-j1%TP*C2#Do& z_Z?(WMad#si{45WVeiCCY{`ea5SRVv_-#8QPw96B4=G7HrS`fn>&z}Hfe`*b*FVlxJ(s;2tk{ra9F9 z%Ju2rg7%UkcAlqM_4%<(ZD@%+ul#g@l0`uecSe!8xkTOMKK0{-A`h1sfcQ@K*uab*oKLzllp!=OXu9=I8XJD5ytj^q|QCdCeZ^; z1H9R$4kyjhICq1qppEoZhX$mpS z<=5T^l9D~VCEn}t9^Wr^PjS5zyck>LVSL~1@rXB}-;!p*XXAp+3(U zH2CQQ5#9QjASIQ3+9ICf zNggbYp#QoD== z4b!fPSpl5ys{DPke<^0{)+&PZ(7!)_5xW8pJcC`=l*Kt^YdmSxpUvpwjw&Lj6y;)u z0@iK_uZM9SOt#cB)|TIF_F(B1)B)F5&c6N5+|)k2Myo2!edm~V{j4lW(j+?$$pgD3 zlAOu)3cW=(!cU6w`uRpTrO3(J^?|d&vUen} z_L7w`8Hq-f`=!wNkB4f=2lGPPtQl7MevszWi&h&N5)<8{api~7p05V|hsWjIo!>6P zA*xFE%CG9}H}5wV{=M^_sWEtKcJ#A2sxP@wC*u*1L&;j)-w99-^uXKW!TdQEa6HIe=)x=a^PMO@4_Whg`ANbs5nyjt$j)4c~X^t-nf6a_8ZBf zBORBh0h6p4(NL^TvF}TZk|Vf=Ic4}-sp;-3Ix$DLc@ql&osnIYFw;*LDVMp(B7LLd z=Lg%tg3k#vUVq7TmbVyk+tO~;mtV3p<>DQ`qCOJNJ;cJjwAh<3z0(W+y29Y5L6)A7 zk=HGHtq~MVzTGkXmbOinVAc>^z@EfRy&PrZj@F-yGR3E509wvYoT;jcno{1irB*gJ zvWdao!1h}pb^{^*{@A!&ez&w!+W01uTYdgYynB_w{9SU^yXaRD(!TfZBpq>XIUr+x zgVos%{JWyW_H*g=GqeJSTuFSloSn>k-NFN>`{%yeN3aQO7p4xc>lpUx+1LTU32!J&pZ*ad>x?o{ zFO5C&*a=*HecZC~+6S$bqNTsm$F!wujEMXw$9*-rDff|7J*=+c9k*PgNnpr) zb_B5OqI!v*obOJaJOujUpm9_$V$e93C{P+$lO8HxARKbmV2fWOJ$vq z2?3l2Hc+Um_r@dt%QfvsH(TD4&nox+$Z)*x5w+~aeeM2lPL=(Kgm!{`@adFMyy2Gk z_ChW?0WL39!+;CUQ9$W?rS#{oqsbvnS^M&RK>>&Ha8-f{zM!69NeQHkz;UNFRQ+ z+do@KEk4NXBX6)D7s>1IM#OXL?cb@8`KN4l!F$Q*3ODtKqul&yFUxD}5~PGZ0I8=W z`1a0l=+95;WFaR|m#C&s7`SmJ5~UaUm;Pslqx1l<{-KF7Z!1mJ&GV~q+y1^%_+DeK zWufVQ`jrkyKd#v732pQ!b+Tfn=S`i>aLJl!X+L4B1uI(CZofrY z7f{%kG7bE|-P?^}FZ0H#}}6>N&n7>?Mz}rK6mV-XBkYa*T&NGwz(E zPo_+Lni3rN;Wr&u0gM6O6~9~JBZPT!bk)kps> zcVdGQokOwA%kADZ%ub)cuV+Y_9iv>&2_?>)mXB`H?*ANy=mATzW;L1)wN7Szy-(m> zo*wYsb5dVcyIW?NEz-4<{!O?4=#lR3g^F?9p?2$SeboocSL8wjE*CKdmts6LMu{@F zbgAwC2w}KG%GT=SZAl&ZKs5sH_F$x1dTP8Yu&Z*{f}1VDrNm!cSre_HEk0;?Y3ZUUTl$#=7b~#Yg?+8)5IRf-E->TbfpoQ zCca6kpwI0^WF!RbbXke=W0KKn%RhE3tO%`CZ)admACLOR zZ3DA^ZoT-C4l8rqy8lKx?i3kP_%Gah?U~x6|mLo zrZHc!ZMh6VwaSme+{{h6KC?vsqF4SQ^0cirLEn8g0eZWedolL1G{2l~jLj{GBE|m) zF$^8-q$Y@YCCZhDQgYZcQP9%!cOQdp$lvR^I_6mUM>L}?AI*@hl%{T)y%0fCa<(lC?Rr2)_HDC)l3&J{>!LvAx6W&_?-((lorP#q2yl66CF7J1Jf8~^1ZhB~r{ zMZ~JPJaD?*CU7el7d={o8y5bBxI_KL8kn^>NA{gQKESoXx#e|P^p{BLm)9JA(!b(7 zoOP)A^FcoOJbD4FH7H%?a+S>IMhZe*JiW5_ZAdA(8CzO>x^ z;W^exDW~{ymMn~`@fq6TR%uP$#_yj!`>TaW2KYS+YZF?(HhPVA=UbNvOJ%jzBUf^0DZZLLD16}@lsNGfQ}`g=;RZ_XzEHE8^!&OnE&G0TsItmy|KK|&|HyP4 z7xX_c1tZygSpE7v<*#e6T_&nexrBOx9^QoW!WDUYsyk3Gma(ir&QoDcnYCLF%`8mz zt}f~8CFx6ecy_o`@0v2Vt^9UcDxIMv1u-qyJ3KVYv?LkSSmGp%a!C>ai3b*7+=I^k z9R41EQpyDeyK-uG)ucaZR^0KqZK_jIG6NkSDQlF;ufstnE}1~&MaTubje9XR*NnY8 zr37N!5nV9}rhf;B>VKyrh}Y`g*OJ+Rk%A8hh6mLB_=g;%;jPFNs8Vvb=Xy50_LX*v zrZm7ut%VXbI^S?D{(k7bdA0mGcT*`S;4{>PkG5YyTLtoX$oJZFcbw<~g*CevjEeaW zL+j@-^1*_)_(}v6$3TH!?$*L|`d*9@{bK6ljSDZwuAjfLBrY`TzXc<9jt6 z8j_><_+bCl;W_JU4#|CUys=ky|M*m4Q{HU8&F=c{PN#*`FDDZLwb^3P0W$~%?10?#EW(-NKFY-vY$-4)f1L zyLqH|V1J{cE1(A~!o_|-C(etXKz_wH*VeeH9!IJBi~IJ`EB^H1+`LJr6=|Ne#xc^v zt-2NJrt)8jtIXc|R1Lx}>N3e;^x{in=m?Mz@Il6yC<5(1{~ov!*>Nf#Y*i}$4+M!B z%lLBhGmfv=EPT?JII!$%|3|FsNouy$iSbCQsIOj!bIFe^*}4nFIfFH{;@Ug&fVzkZIJi##%ai9e1 zI)OEgXac;Cl{CP16T$%OgWV$Z)~0unIY6Mb}FHu8GC_%l}uGc+Y75a`E$a!9Rc|%$1JpWt+WdWU9JD{I4((hb{?|2U)e> zpR*a(n4YKp>7*>Fz?`P+UP??Ls2#y=nkKutY_zEVIH$ApRvYQ z0gu=bAJ4vA@;+G0i&LxzDX?saKa@PX=vxb?(wgom6GPjM!R7SlvYw~dn{9RcgXY8Z z6ItEf5)XkgklrL)f@b%ERYRck^NZ(_@`e%v`G*Uj;ey^Ur$34#$q*snpBHFNw_MHg z6dU+Zs@8$jLyeVR;L@8&yzjS_zSFw^PvJw89W2jA9p>q+7pt!`SM-iIb1v3@HLgIv z(k)#C92aM|Wc*V6>a{*0hU49r#UF_$L?HtPbT_)79-HRiKO4?DDMiIuUW;TSJ)mow z?L-vedO1B#nfS$RkN+}#F1>tfh|&}q(siBq^waIdM-RuYkR)J47rj`0S~DsD(=@2l zDNcbu3r99>D5Kx^h@Oj@e09ih=M1g+KfG{0)be4LErE!@@kMOLAA*RyU9kt<7deT` z%}R&&Uw8Q*4(`{gceod5PAXMgXd4lWZXUH>kxc^+3BQx>0Ps1!r*5Y!#kZ2jQHpDK zD%74S()`5hrg;scA4LNBj;Fo)LnrmFZV&3yPh#o~q;ij7#l6`owYV>nFE{hFt=qTx zAN_H9K=_U~dRMF*2ycUlaB?v1N9@Rx3ytk-9v3b*^I$57mE>>3K;q%pYWPE=>9rLt=IYFc#ZEI z-&O!+Ua{bfsRyHh4*x_m6Bdt?ug}Xi8@uAi4M!KI}mwngc zd})0JNaW~*NGt;w2h`2Wz;2mWb(d=on;pF}%(VBviHc3y=S@rQ%1r;kn%-B;Tx zDj;2RWG0ip5>35>IGlFt;sc%~$DDez$JUTw`dY0ik&9};fH-#QT^5^o1B%V=8@>}K z+ae6qyvndlHnS-|6(U`G`A-cQ<_F~`>kbpA-gRqw6F*D#@J~ZqX2Re*=z}Hqz>8cT z6YrpmoX|-Xscs+ha@`DADq+j%6wz>@7u1rBwN-)STtYlv^l3YY5bpphyG?=RMx?g6 z552Lh=dtJ{A@C^syr_#gMU!X6M{Hm`7%*upa9x%06=NrI7d{nCJeBj+#CJ)N+yxaH z)efU>W^HvTZlvU;c507Xdiyjrr3`Sx4parjbgVsL`$-_=LKJ33Z4kMMeBd2e^E0reSLu0kXKVQmkAF!97J!g&IH;G&(Udnj9 z`L^9o=1R$^9*6Yb_V7LH^g&}?;86nc-Sek^)%-7w^n{nIdXXHoqI0SOTF`OI73_~! zYWKiIPU98*rw0x-l#bMbZmN&Kgso8a0H2KWUiSkBlzc}4N>4M*GuSH*N}NihyDoIQ zZ657xv-cR@=m0MLa;cgs`+)y-viz#P?Vk+sPud_(s-+AnV)#j8NKs!7uo0;_(P>~G z6zNuLA$(!eS{l2IKUW^H?4esN6ya00sr*@ za|0t?X%B>3PY4W-$sI{i{{(&}N}@>jrFE&BbXaf=`u=~48c3B!*Zt|uClV&9a_XO% z*)v{78b?Py(y8OCq|ZwSO6SAHL+KGPl=q$4-EL`lROpI?$@BABL2OEfZcgS$!Mdz9 z*5G=pTdgEjNq9x)>W9Y%T7&gE@5){NA%IY`E74*R(y3-@8kW#4gIYEecPzKW3F$@GG;^xiQjE!&H8D}ar1wN^h3!F3*Q6B+)E$)f>=`NtTLE8195MYa3l2e^>fT` z#hXmHpL5UHn#2E9@&eX3Z{aWxEJ$I}ayQ@()Zr`5Cnpr;+%YF-5pVF?5uLKOh7*1^ z@ucsF9+e)?sCtLS}z`Ubn5*T>WK=OxM*mLzikiYEh+h(0X9(B)7 zW%vzEKJN?rn-zf^ANz`1=i6er6=bwKV`VSfNs21k60|AvG2^N=TOs13W?OeOV+%>u zp6U%Xl_R#SWmf6g{u-%$>rI;8hvBbS_EN_9$fZ5!G8mtm^`Cuzys-#RT>s^R5%l*JoS0d%=VF@C4-?8Pmw4ylx*SrMNo$gj35jt~=is!5p93X_PLp*;oxUbX zwjA!N?$La&I(f_{1=5=ZbYc;D`9xA#VG%K@a~sQ|loF%A_}*ZbC&Tq_M)=!W@~Vd@ z`!?+f`CvD>bX59T)N&`o4y_G1b?>$>C)Kp9vKZ;8o|O~Fn=o(cc&N4t6f$NZu*H)I zC^HbLK-k_;K;1+>Icx>2qD*|4nszl!cuw5c;&Uzby9B2M`wicT)PK9yE0#OX<-f-? ziR2JiR|`p0mAM$dxU0<9-_jx=wZM`eFVSY9?UvJxH!mY}7QzpgToEK~CC(vhc|W7Q zCu|$Bzza@$(HMP?y*cD}vvw@YO&B79wv_KqGy!;TV)W-$W2o)^vj{Dc{)5Jk<~!*d z;%DE{k~LO*obYPPK#|0qm<63Mk{%$@4nD{HuLW#BOS}hFne;Y(GRstUI`PNvtm6-L z4UQ+~ygmALs~g~khxZJHU}lNue$~ek1xW}@<6WGZG^r1die0}6#nQ-n)!0FxY}G@O z{tw(p`OzJ6{$OQ*8CKQHHgo^J=@rxqZTcT6C235DMl5CU*@bw<&SOd(r|mVh^kOlw zghXhcHo+M#@Ss-L9=bfRuwKy_-V$G%*pDxI#ztqNxvxxuTGQpvq-SCktUcr5vKMi- z`&$)hSHlBBnL#k_hTr=UO!LOhOy!hl;EX(C(>AG;Fq!&CsKvM+HL;j!d)&?qMsULG ztUj*+fdM8VXGtEdulwX)m(aykQSR48+hTs0z=sC_=7sq;uhAr5@zMK{X*T6!Q369} zq%lLw+a%j4O=f`Q3?bNyzuPBu5@$^b7F*9WwdkPQ-)Vh<5QpKPNQBa=T~!)EjwUUy<^uL>lwyGtxT`d(MOo5pF)S+%_Vjd&%3 zp!ZmR?V_B<{ z%<3IIn7n6queON?L+EIL05O<0L>mW+D-sg>wZwLyLm>U2>L_~C?`^e5Qf#&TIZzwnG zPvioASHcqz6|Q^f5x~nYP_k2TfNY<*WXZQlbt7~VfGVbKzx8wIsW0|Tp!GApNoj{76im*y%%>8`rZoch`H(8;cwx0yqZs=cGtW;1JggcO^$(rhCf4p?#lxBat<;ARD zRp;`Gcn1olr!C{Xqa$4;9@A~7GJepOGw5VOwD`U>H?dxrz9QY?i9nL4lVVj7-m6V$ zMmVX*T*VsNwAxOr@9F<3my(8&BryX zNu}pPq-P7v!qd^s@)^dp7bCuktRU@RUMW>1X8qgS390U~J(ncCw`S{LX4HFDv5&~S zlR74(9RW_w0imu>KV?DZLtad5zGEOd-oD723gp635ap1|D**Q09A~G*tE4x>)M1l! zqw7z21*&i{!w!GJa~AAM3m2@nHK={x?Us%P{0*9FW;xAiCcF1Gde|2mG8b|={Zfq; z?s#BN%k*x@LUxlBH2URb$bN%&0Spb%9cL*sL)M*z`7TJ(@ks7D1p4UT8P%bXtCpsn ze;T9wjdJ&h2L`yF3g~uXalZHCN3(Y>M{%f?n*U(?O4MTWZEU|r-S{agXki55B(-Rr z)P8nl%J)8}7X;dmIK+F5ITC)e%wMQ8?`|%b-C;6U4ss1dd7=k;W!r22 zcv)3*3`84-%DHLp9$cEr@+I)~3RTl^!bQQ`o7-PelCAKqvK7WBk^6E_F&|E*X(U%p z-E-VC^R)kBCF1f1dwTu8KezVm=?G~2q?1=EhEbT2EEx@6NK=Grq(U-PXa81+?BZJO zAJQ-0%qswde#YoXr9=ck`geS0{AyE;xL5+J}BgSkz zjZISQ+Lp{~wWm$J=p?<|$>eV$UMK!z*Q;Bl?;euRdXG;QH#w;$Cc27@QkcAGD%)E# zD)9|+b>B!b9>$ppsWwmBAdAgX_D$@_s#VhoCkp`cDPR+Kf^s+c7EqyVLgbk{9zT3? z=NP`+sGO#zR*@hJIv7gDbFwWGg>7$Q|M+goHL9CLmy_geyj2WWXx3r~YeLudWDiuD z)QNt6kq%;tKM+6s^eQ=a7K-|o>toiHP}oOT4o?;KW~myE!gCZ3Zk~-b=hB2ZOttn0 z&H{3rJLc#R8R$6}E6M5aVz zw^|DN@{GFbRLx)EgMhc8bGNLavNe@>&Rt>krz$h*j_F~48GIL^lG#v~&^lqrMfS() zt?KK{b4Cq^Q)^!o%H-OO9!>MT7dUCE3K0YvjgQC&X3WkXP2ECAxH~^D^{qv;0DADx z`=p_gfx*qWa`oS|LtZT(ZhjItBq{b><=7b5^9fw28L^1OG;L0+00S+jc&~0YH&t^h zIrPbI5vM?vO1Yi6o$igMNyo?)g=X=Fqm0BBRHy7rGcWCNqLB!pT4kG|A1%;~=2I zwPx-uF~rYIgG!*EChYO0i>O1l3^MY}!MMjkX_c-*gM!`piUMy^LBNa2;%rGO`myI_ zGFF8(cwHkFg#G#DrV<14Rp)C{D}o9PDD%S)9G3!q@U%<14xQ9G?_CHlX8Z_mAJU=B zyrLDkVupMrBW=QteCzt6Yv?qqdd~UXe#BeL!(NDKpxN$^D~D5DGqSrCsTnwCDYM?8 zBbh0*-&vGTi${Yj{2pW-q=XZr(tAlXE0pUDHSF70`8zID|eAt1;3N z5`(fskN2%2IgXyp?iZ6?za%+b6E=;io`BU$hI7obLdhDiey3rsLjEwojA(7;q?h&+=vfl3`BvBP?5MDto_K^7oKmJ6qpOr zOw>V~aAdaS^6jWGjQP`M{E5E&}uNF9&R-=?Zyn2#(fgbKpjvL&fXXq)T#3nGomaF8%mdk^K^r?67og*i#fF+B%Ub2{ zfMA!iwe@1ihr?I3L0s6DW@45(ui{s-U~$MoZg8V^KyFgYhb4u1_?FeI|+V>ZpXBKduKNv?PhV=+GV2n(H86sG{J-Qh0@ z?K5RKHh+5LPmycyJ_dZ9&VpUHY4}UNopG&Q0@Qu}#&!}@1YNIE7P0mk6{@=Gi-!ZUi_iLACoE+V+4c=IoR9**-eJ| zODl>X`Ot;p=SlWK#hPY?!qZ^A9*z-~$ipeUxHwMKyQcNck3@q#NEl}=7IJC#$&r3I z^aV_>*>8dWjYUq{Xmo|NL}kvrr%m{b3Cl{H>lWYqtle=<%JaSCt8Ky(BA<&i z{K)(mR&MqbdcU?m?zXeC{7RK|*6v%0E8Va`G9Ml=LbQTjcQoq{^G6?AGIm|Da;Q{} z<P#@mKtcWEH+DQcI>Vtdo*sHGH-rmR4fL_9p%RPSBG; z2Ytnp)?xp@$&I?4Wk0cTTJjrGY3I9<1^rIZLV^Xt{UPSD%_7ljT@jChKKdX+4xbQD z5;^blo2ATHy__1V>xMcf-y=(FI*yxuFG-MyqpJA=&6>BoIu%R>?c^jspfNcgD_|T{9Q6 z4&{n8)+htvgx(>=MWWO+?qK;e6NVv%O`}0&C&bs{0tdToaNS@`&8T*>;Bc1qLzDrT zQBX4Nr&h{@An}jK08nL4V{%1!VRw6XVGBqC3NM6AiAU9a+F07KJ5CUd5S3x%%q3fSVQ4f?kZ)Tr^Pmu#TvcDRPW}^v?aJk5K%-#RavTwNGZU zDkCRf_@7*qkMOAkvgB|O@|iXWD* z>7v}GpZgtGrVN@Tw8zCykv$iO^g}v=^KQ6W`I|+e=OxG8M?0m4vainfPNb6H>6#rp*#xmqIC>=1A&-0#wiKpxiM*C0fKWZT_ zADVERvSgwJSVytq>>R(oKB4tL;oE2pC=w$hDEA|+cE=t5(iz>}aihPRhgh=-x;h_{ zheRGdmQjoOW0!}MUc!Hp%y7bhH^>^A*X%q)TbU#mzeCV(PT+watcf4UlWKkl?tLL69J7>*oYq?y6oK0&@!P*uQJZS?h`gX@V6AbfnE5;akGERdV}4CPZD9)NIfTJeVyM&3pVBXc zIL_S$tui$d>Nqng(f0cXvW=zS^d^YOcRkd|kf!v14=xH`7a{g??ed73@cGf!LC)(XrGxA9-H^DMPE3Pc0DyXD z!}1YTM3q(T`K{1&lFI7w8lt4}v&_Hp#c`5pD37C;9U&ehgX%RM~}XCS0&M zeAWdN4#;8WR4@-oS4`j+=Fa5u11*l~#A*KJaoYb~^)>xE1_6maR`sl?QQ7&(S z%kssrkI&o)ZOe@SJ5>XW)kRkR8HV1xc|g_xFc_!dx_B(vF_^Ut0GJ!XS|c%lKu2w+H>jT{rWI2uK}mEJBHpN<;5#_P3!(W^u)mFOi2;9t#I`e0Bgj?>@^O- z)F$Gk3VPeW)n=9ctlL@C6+!MH85JG-1JSb}*S*c{&qTSY@ntKY*_SXfY%}vLub}RJ z^V&rPj$^N!NfjTp_)Jan;S%=^=3c$vU@nesI@emhx= z^JHL(OZPT0;N1OLsG|91-E!g3cy`w!EwxS@1y>N)-%FG7Y#H4&F#hk4U1`svj79q5 zaKa+?w4-~if7fi)Ycigw5k9YkbiG?$XEmOKj)r+4JykIn# z0d}zk`vHx+j`LqxPuaX)lPhks*iw6mu42!b&yE{$)ESeacgol4THU&+Hlf0QT`z0} zTNYF$V_*BM)b-bl+*S=BzOlJwLoa(5!u0B0)X)xF?wnUiL$xVdJ4~3yf9EfF{Dk3i zYH-kS3Ny~V2!?2WIxi6Qb*Lped z#@VS3X0h{jd?nJQxOXx(kThG)A)yWPg=EW{NIs99XP zNVf%9UBKO`Xe7b9D8GO>;h5fUnQ_&&$gK^TYo3>9Ci}fM5_~XOpz7o7-7!kp3BJz< zdrGqGS+u9e9+zpn*>PXm-Mq%dQP&C}5k_Tlu^R@{^4j;-7RP^tnR6Pn=G`ylXm(A8 z#qGEqD2X?h%9_8sL&okn3cDkSoM^H0a?c>!1wzkPs*e!ggHZldnHe7dK)e4*z#kX3 zGz2V)e<3+%01=hHd)v=vF9mOk>K8*laukRl(`|kKX~D?AX6GqkpCSdB6$k(GMTbfj z{H~8}%gJ6}y}rA6u<3cS_XS7s%gOU$I#^+t9sQL6gGGA4cMa5;4&3r2>J{~Q-Ny+= zU$2oOM~;CPojVIE*Rp|V+Uwp3Zj{j8V7p=vedMAju&Ziqc?I*f zy7}ovRm1L>i>&43kw4Ifc0Ot@9<}3qH6x2So$ax`uxl(>82t(~4qP}r(&iLbPvr?$ zTmoTMHcr$qJ~cp*^=(%O-NoCf`3370_@DNo!M}7>Vt3?_Biv8{#jSRsxE7KcYE=7t z0IduYG(P@Wv>61GNcQFHM`y$Yl+<`pt*h)3l!WQ^n^LmNe92`V1rml+5k|ZK3R?fQ zYCdmZ(~7sX-ZwBHJt3LpJnCX-VGf4u!H$$+9F?V>0qg;VI|u;-O=v@<{bzTX_+yxgkc}^B`@|W zYTKnu$-MeBaL6K0844@&SGsW6MiRvOfBB!#S!G_bTw=#~Hd7fT!Y=hWUd|3XWo-6J0WFb-S^h1fB+GU5gM|cO1bo7($bBvWN2saF z51&ePQO)2DZ0|unUht>_WWpYJ)>6Fh=mm2X)7<21$6Yxec4^W{W>*6DA#|WGUhK+! zh2M6)ePNNQRJCXFgb>7x(aOlMhL<{9HgGP_FqQ5A@6(6g&p;!}-`8}RnVyLYw4<_y zQ5W6GC0;RW&@sTWIeL3E$K9n3_W;>}-Xwe@6uLCO>i4)4x;v)QsXSP2RZeOuwx9#O zMzlY;UVr$Sr=={9UO|0w_4G1bsGB`d(w0eGH(YidiF@>os%{=Wt@bNTFyIeDVWkR| zB{FAOVtH_^3>dt_M(rDcweGA4^&k5i%^wGcQ@V*D=9ghljko}~fX5PUG-|<9GKkcWnar$e|8Y(>hW#5^ zb;ep34sq&KeI8{l3k}=atD6E7olFRy9v41-ue*6o{*4?`tG^B+-*Mdcxk^}U0yz%dMF&PQ2AGzW-T*?j zmCa&t$PV!A-vic9ie}au0^g?JR-Zq-fIr4g-SRZyNvBnw;WZIncLiKy{eGpV@9;;- zKN#d1e6c{O9S+9%Shz?Z?C{+_6Z?pHIK|O1KlWEF#@Xu2R(n9*^W;|qH+ngU=RvnJ z2lCsgCp7ye9%4fX`$T`XZ*#nlqygNCwI8Q+g3VQE{1nU^{6y^LqwenNerYl+gE1hR zKd!lZL|KD_)~gpRY6xtDj-R``;l5$Hzcz_Zc1s!JmaD#MuNADO}^31^{t;ri^m_KFu3IK1C8I%>9 zX22SyV$`C69~JnWQ(;pu3UY;5_zyJ>qd?vr^3^Y0w<#31B7QpS1nlx!3CvLK9=8Na zS*0;HSd?Kto2$|I+215&S_wPS|8bZHsn2-+Qq(BR{tQjJy>&!*hModfUW^`-m2S!- zH(!4_c!u@K=tFkReD<-2as%BjWLmp8A+ybF(1vL;DNmXvK6q4;DiWlNYylIZ zZ6!4QrRWgS;W9`&W1<2~vF*N3!9HsGIea}lw~`Yw^P zz4qNmX%9bzbxsUg z1YQa;lrIh^y-Sx+JlF3(%)IDj8h!jK4z$rS@{2kiP1Hp#-=e9wXJ)url(7JqZg&jX zY35-Cr19%RCwrtEaXXj5HfKl$wWuaByNH~O4=oti4%T0Up$7*?h|m@oVkXKuuQ5O| zV6q)YV8!0Hdq9iEMTr_ag$Vl&x&BeRZ^*>z;xY%Fy5qRPXR$gZ#~KIkw4w z1ehFWvzz%Nbq06o%)+Muoq;bj0Nuz}voMIgDo*>9eJFuEf**Q`j%V$G9bIfcyzOMxX|Eba?Fr zL^ffr4(0a~>ZlFRrd+VMgKc0MM{Nmu?f&AvQttlLHaN|K27l|%gEc&fJFBs!O_(@R zKXGocgTcLYamSQ3Ni#3)b;=uDLbNBpISieP=?#3?Sl-1NM8FfhIeCEWB&0X{^N%i) zF6=ArE`CBQjR-(ni-k&kU_s)f-u``Ef%MExj z$hw+xb#CKYbx0k226@Qsb8 ztkfn=Qw@^AQ1K3(v5bN}+{t5yXbnfmjEv^_sM4?cD2=^ZA8@aM-RW-AiOf6h1o{@z zX-WB`TjHlubAYYoX9H_mt^Q~2|KxdVv&!GpH6i>~9|VW=^r$(;qO?1_j^~y&I;~ix zE}z~+6e85q5dz2N;d)Y|0s2QnvXc})I*AKmLGk5^5;Ws~xIx0mDeW#3872=dhRsj& zZyml6^V~pf$wcoro%n^J-Nm_*Y4s+FaWs^VJ#k59Sas-%8Zc;IEB4^+oLy~tV(sTO zW$&?P<)B|U#l)B0KYj4nfPW=0Ma#!sK{lA~#Ltn4)=W`bZhc$>d#2uMo}8%W)34wA zmu~eqcRRyWdSKQxyUj>>kMx2l$T^-$OyS&s8lL0Q19HNz4x=s#O85?4bYOzdrbIqs zPc7z~9E;Xp1(07yk!ic;EGy5~8yA;Co31X=`Pmv=bPV_=@EGnOo6*wBEn3Z;2BU4+ zgTp9yOUXN*ty#t%Ob4Tsr*5ofL~seYt`lJK9w3s4N}O#--tA2j6e5}!%L#fz#H7Z; zjzI4=xRf+!OE_QjaqNnYDDMVq%&%O6dX@>x6M(y}G-^l*T+Qz}r-u>qVJRu&;fvtJ zk;)@%=P|-cjea8Ab2Qzbwk;>@l(=9k#gI@TgV+@D} zw@d{y8YZ`EE#(E-_Fb2E3TA`9x345HjrVuztN*a-{bny4XDI$t>c8FJ9lhuLa_-Mv zSD*l4*ERGSC}e68;1{^-X9_MHV4i4eCYR@KxPtdP2oEJB>)U);%U(BHs9`Z%`U~Vf zUBTZ$uYYe$Cv25dEW(rrkA|2umW~$-1n75%9O^K3z{|g*mnv$*SNYMxj>NTwdxj#L zZNG+pNyM)DMV4_7_ciMc8WnHebFDdj07N~R>@;!77R*MU=xdKcM$=iZ3HE8tvJ#rq zyROy@SA(&t4@rp5>ZcawJ1TbRKyU%??4Rti6m=3#vpLY2k-uB@7g5TX{5ICb!PXMn zWoHt{IU1rI4OxW{%apDDBe?h`rKc$#b>U84~o}M?3Ma7k~F2HJcPDt|<^;MblMHv! zdM*F10eJxdSdAnNFc@ghVg5-M^m*QScjS*oY++;kdY18y*SOMBuA^R|pw%hu`CqH? zJ-f{YguG#RhQy0EK{(qLM#wt+p=bp!RXH5t%g<|&Ci zd1+8Ik<`8_eGr9a)?IxqKpcrn8W|B@-l+Y8qeuJ7MJO~~DbkvmII)E8f;K&K`+UU+ z|E3`bf#+jW;R!QIkD?n_xo@Ev7Or#nRZkfi5YO7jv)V0!s@m!Y$2AuevySJEFeeZ+ zk6u@9zU3QoKwwz%csR*yMUAYq2^o606 zvd#taA0JHRu1>0xXSdE!g{bXrxRtqYllU(i$4ajX3?6?-#@|&o`32RBYq!c3R6Qf(4V=OG=@JzLpF0S1xiz zJ0Alo|2{l3ter5*jgQ=Mjij@VnE>)KyFDWojt60`grz(tcSMHNtbvwdNFgLZA*2?P zeI|zagFvpUZg_Mxm-;;KC|k9({Uw;|MpV|HTGQRJGpPcWx%^Ksb7C{oSlm^aGodf4DQ&oV# zd46c8{;vbC{i^cm*b8(>KwOYTbf`7&1~HU&9M+8As_w3~X7Al4#UHY^&7*Zqi{H4) z42%*CXvg25n|z!j6}R76Q`xQiZXsntP6JHyZT`v8IVl)F@k93_1X)r13<=YP{aM_; z0h7xwqh?fto(7drk|6lc>&a`B&X3yxzv9h0w(wD9c=+t5o=q+?hI{p1B+cd_aau}qyz7q-5IZjmAOYN72Qs; z^+R8l7@W)1E&*3NJhf|L`QWdDTyvtmWhfOjB@dZ8QFaTzv4m%92YzK=0qd_v2$nL8 zPagfa4Fl&+ypGbZZvuP<=b*zhGATZp)H}gHHiLImgW|wEW6YAiS4b1-g4G~Fw}aKK zlnsG_DWT&+8<4U`9{jxE?Q%p!xft^Mp9zBg8?8vkwvgtSm*8vqNW!QY$Np2tgZons zoV(vr+SF4^sHOwIm|4xh6KEt&I$+N=RUC;>(7#3s`=Lev`rbv`yRN#qhKA~qTGZ@z z)0(9Zf|p_)nCqy63o!>=cCJm*hM~L7t-$04x%&4(ht!Y=BEA~75RLpwj zY75#ITiCE>qfl<^j{JsIsbleEsXdWB)Yi4_v)e@mJBd42W&w*;-tGL{9sO;^*@SVG zXgAwkT#^*gZ;~z-ltTC^%>~yYjf_l-?lij+@<%<~l96_Jdj6Q`|84^Qur)g8n(F#} z_5T(it1WJ2b2|$r>?iF9J97;`+;-Gq#^7&I8a2Gai_$bx5UWXFwFg|h9(;@YCFl!c zCDGC2+(5tVv)@^0Kg0P^6&S8J(<`O3+HZ$%ZYrn%@vqyFC8s{{w(F0fvz2v% z>)>a#=hQH3B*o;dD2hS)oVCp5_>>=)a!lR`{b;vB-#_m$)cpPGxxO>& za=p(bD{s>}I)bRj2aorYd_B(@On(iRs_-ODa)QtjyF)`RM!uS@6>1-YkPqw!4cejI zCmEOBFKc6Z6!O(}!~fmBjvsMemYHd4u?WskgEg{AySxgV9-I_8^}#ND^}|)-!0XGh z8BNYdd4MEa%@j}!DD$pX-vb?nKK5Z~#qrRPvy%~T`)2+^@>UzQCZrbUPxA#vy%uK$ z{S!-uk8&>?IAJs`|2Z`jt+5s=Ba}#OaqSdu@{I`S^xx}4)xKPJpR`Iba8_l zrcSiM8siu5qDo}6t18CqJ`px~XkEie6mBD5P>y1~PwqigEN%<8^DElKJ`4FcNk z>_S5ntdI<@w#`v&r|&m)X#p+S7R_!_C({$g7}e-c&hX-1%j#C|Wq#A>x4t;b;-$7l z(EZkS_na!!QlvIhhQ_uE-jW;?%jFZ63V7#(-?AKUIG}QF9JC%H+&!_g?gN@pi0Y`h z9cqB7SIIbJ=}nfblEmHB6=&`Fk}@F3_u1wN8NQ%9zyhSQR^Y`o)YBIIm$J9eEA9v$ zzKsg%gYi*vi>hYNP*QHfn^3djHeD^7I`040HjDTYwQNk&Z!H$t2>)e0;ye+{NA$_6 z_?U7LcW%fk5&YJB=dlUbEcvxezVuV3@($bRh^b%172oI5S3`wajIDV-1>gea^M?Z~ zC5CltvyEji!KBP~mi@v?%ax$fE+9<%$DNxeLsOo){Iw>{1mz#7+nkd@ z(mmyVBdQ?mufwp`u@t8FX$1nk4%RV<;#xqz%w#IJLJEywf+ulcY&OUE8{!Yt@@<;5 z`$fua_h1+5-#p8jdyCUpw}bO^Ekm*gkHo9Z>|Pj!^R~9!5Wq%5q=XtwFw5~S%EXHH z)Z)ss_9KkmRtDRY)aECL7xPstX34b19u0TYqPB9qL}mm#ax2><)UomMg3yxB&sPdxF;r`LVVD1L119%QS0#8kUGe? zNW(*nOMI`8Z>T%vB#s*!#OeIrQOVCK78a1PexdY=-O!xdwmOpH6W&Ur8+qmd0mFdC1lvLc55_ z_wQo8WnW0MFS7n&OWDt4u5kDew2y7!aFrAU=Qg^f?~&EBPWC86YF{a{iwwyGQ-M16 z2^D^P`_}o0n-B+Xt_J<5n_uNKXCkkd^HDtrVi)E^1V2)H%+8NYg_za+pvK`6i5^PX zs(<70|8u~X7)LZ>Rs-|e)b=LB)h>>=-w>=hiM)B3I2Zmeah9T*y`TUJWTu7dz(Q|? z+d^2FHe|qL4g8MEN*#Z$42+8`TX;t_du)}+b<8ao{A|?5eAJLPl@AjNFWKOH)7LsKcfo@TQ&aQAeTCh> zy0tFGHV#}FxIh@L#Yau5N{k88>|0+8Y<;j<1<>IR^@J*ww`4_$=#Ba0a$8A4|Qy<+A)$R!% zyfJtK*S-(WBM1iE`S^;>EE{t5zR86Ep1nJ^%ZVqu%Ul;5&y-ks!-QswVd{ig8bhP# zMUUUH1>*{8>a@c%(vmrk2)B{0tJ9J|^UXn`GY8o)s%&ZRNJ%p#0at%uSrgod{KK|z z=*$7GgE>;3$aSwyt*()h@On`9#AW0t^d8z`i01n9%e+PGv5^l zZ#n=bEXI^XA|p7&w6lr{!T6{2?f?IcN2bkrjWdaU+c=S-h0HJ;8}V8d~`P4<v_jaBmgly)vUWZcQnasoN|aEuj4wE9L4rxUfZx= z2~KH}gA9(H^evI~Tm7oAZ#k0_7LnTMmhQ0|);w1(TQh`)HHxGz`nAHFw%sd~NS76h zmX!#bBfkcf(2_%LZmC|eNwDG_aIY6hsk%(fwF3XV=Y1ph?wNUN4Ss3c_3Y>$fZ@8` zk#&T&Hyv6+;bcmeCf2+fP2o-1FK0Q$ zmX{|HQgn39AxKzJLYSK8nvKn) zrQKSYyh~5%9mvOs6!TK~4iXemy!wW`6)dQYusg<_>dqE{S)t;s*H=(4_lccl)CeMGf3+$_ynl=2bn2?(=*1Zo9@_01RH zCr8Be|1xsw*IPPf9k%;ElxOlXsszW7SLYNldOsc{YX&swZVU%NJN6=Bavnln8)t#2 zDNAY0;H2dH73tz}QKu~CLwERzkYfH5sdaTH;Tdb`Wq>@B8n!QRt)-b5wyY~8d#%E?ygwCPb-)lM^(lEl7uJZq7L$au%lSdr{@2<2 z&t~ZdghUztx@ngN(ztq`aO_Nn2Eq zMhjSA=#|9>hA5zI;&krdu8+M=iI%J@O%BXg@8HsCK24&Y-6)FjlVS-TZa*3`SS$l* zcRp5({LB_h;h{4G;U^o-POpXBAzk>$J8hQ#7!oaMc#O_?7e-!in~64xi#?{hidxeg zmJ+VKh|ZcAeR?#U&w}sl>J5B*)OX3}s=#i?BSBsYSJagovdHhqhH?c)*uCdc19y$Z z>yFwraZA8wm4n*=J6eIe%5PYT__Fg04?Bc26-xd(-g5Ykma%_wk=WP=$sXeH$fnWb zn`^>w$LMddbGvpjEOAD{xHmrY5!t_T^v}O#$B~zbBJJTBs)YLu{bFvIngRo10NuBe zkFhqfOpW$)6KZ-<>)l>rq3r%;%fqrZF5A(CugljbRzI>V@oP|_xB7{|Np-goU4Oal z75wsX*}b#D+>ki$<1__S?oHYZO2&pJ)S7(WzMec=__nY6^5o~@t-O}?4+v#`Nwzw; zL&}Y(aWR~(+hOx}#3-TRWhhTG&=22wZPLmtWQ*m{S&9`8R)dDOrr;ubIi4Y%YXg<) zV!KWU)SJNFgKF$^X_ikjW&9a1!aTX9GHP5b7*%XD%B?5TwUPN<@TAtKz(1?a&4#Bo zsjB{k>vrv&7Ru9CgX{2Oo4mV5;1L6V*TbK@otK0&68h9HdYz&+;uC;1VXuobe2UwU z<9P~AXA=09*8dk}es1qOT{fio2{Y7~J7;hSw_D%NFlGs5^ZDdFb%QNWB!FgTa;SfQ zfjjJRzho_TY^yA;OF0YSqa{Pt!wNy9Pat;vl*_^;JSDs!t@ldbW+E|k#xf=MmnJE2 za@eDL@qJKZpd#E4sOCenR(v1)XT$S?_G?RSb@}UC4F$e$yL?$X-m}(uXdox!uv|$@lQwV`HUZ4Ycy-$ zk($s+cGS!jh6|`o5(blc^%=gV1P$-d{zoU*$-Es2&9TCP7~5wf#fCNmYH2G6ei_I| znkhPtncOb(mfjMgRaeThSta9hhH`i;MLm4~grT?dy}LN_rJxzH_6p&$dxk*^%&ny8 zw2`~4aTehI&mnkD&;nj(lD<&`7q3t1T56NNa(ybi@A})h*rEh#ihm$}z3%}E=U-|j z_dUII%|gHLCqdDr-70=t>~Def%uz^AinhObbr2!9GYP4x))9b%hjsz^ZtW$D%iH{H z$X;Nc-Wwmg0o_@PPVteGN+t)q?6Z}v@5|xu7XdX5q{rT{=iZm{Bk+yCR`qjDJT*7o8qt1j-9? z-IHw(>-M-X{p=$Ln`@?VbaeMMcb1!8T8Re9y>TZ;dd6?M|95*>!kXBO-(wl|UtUD_ z#vj1pvzG3R6|?*O==gP)5~Q{SWr}>?#<1!MOF4IQ9FrzKQwT7a(ddS8H@jW_wtX>D z9houvp*M>_Z48h^oI<<^7TnI)GmO-g^6YK9nsRMMpSB@5+DwwR7hP&~k==Iv0}e~~ za7sopg21d`4?}gs@u;1>QA-$325kUzMbvdw=Wg=_PX)a}tdgEagW+pG9`-lI%#2=2 z?;nDXXGm?(Y+0bSCK3dHjXW3D9zOiHTB*!3>=DFfTH&B`&Vx=ILGwx^>rKiXU%Vj) zDcZgu_qNf^tA21ND*F5+%#s<>TA>gVq(u_TsWoa^P$Q@+;IbeiqHUvUHbCeVjm-p) zeD1FC`xrTqA>7_8;#d;&n+?X0Z;Z>(vstFsCd-st%8)ZD@%AeZ)u*%Tw7EIkX0*&q zpJyuhAg205kc5kT%D*Ez9U6}tQb+~q78!z@_pUfG_hU<0So^`pY z-mZ6?tCJZyy9n#Pky)5MY*<94QrXhLdiRmmu~ zce6+TA&tiigKlBiak;9*&%^)BvH?KuC!7n*079#rrR)ai+Y)?l}oL-b0JqWR-;)*46K3rBSAm~-Z{MH4Dn$) zcTKJ`v8r8GgqGB?`$8r#6(oIuP)m0QrX{yz^M7j3wYk%@CTNAz#+6aQZ- zIv-kNDeh}i42qRlSi6RO_p&ninsh(dPmaFPwycZk=V9D(k3A8>fweiwP;^HtL(u1A zz z8v)PC#bZ_`-kUmw?qhz577EO)Fd0$z+vy$G{B{#QdwP3`Cbp>hcIvt(r7D+4b6bXT z6X=}jeOQ;w6`od{qL#^FrtYFZOm9~e^@Y1Xh@}`f3@*1q9e8m3Sljvp#Yt<+Pyh!R zNL%|NeiEmtfk>H*%k75_ToF50T>rzpUG^O`K@@%;b2`r8BJoxGz5_;EnDr8JS(Nn+ zk}bk2_&ZUG@>WLS`vGqst{^Cf~33cBcWpMICR@!@qNf?G{12*$sj zDlAF#;oE>}egzYI!+v2mfcUgwv7+Fg;J3_c^MAHtD(&`N24x-}KkBDDaN%(!)6pG$ ziuTlXY<)`Sy;A|6G_n9)R0GA6XMt;y#?PH4biZn`m!x_$RgVH^b|6ZcVv#ZUsr zAq+aoufiO1iP@Qu@>vu%;M4!RDbtXS^S`a6oj3OW{pKxn;|RDD5T{a8^_<)qlIG78 z%0Cr^4fRgaHXe}k-STb*ssuc8w|Mkdh{aE7ARG~PPF|F~1Q|GFf9sao_tc3ccj#A8 zO=*X?r|F#|?iYpmvPlkB`4&oaIKnu)|A;j_GXdutni3us-Ug2jD###hhIb{YlD`ki z5RJXO>jwK5i4j11o=6XCA!*R8nrH^Rg>I+^40~K~|Gg^JmdTnH_dfRfR zsJ-7Vv0VJ)6;+R5X_$U0 z!#dq6rdUkB`(vVTPS-{Db$G5@s%rA*h|##^d(C5+#9G_D{?p|hJ@@DT=z1y+o?%s5 zvzY3WzW|hsG3`X_!-`3Pxi~g1cd>k-qx%7bs_s(SSoye~Rn%w~&whxx9zQAXs5$<1 zxIun{bP;C3d>ils>Tp}HE;@rY_e=`i(dcDCYKqHMB!c1)ZkSLJkO?L&Ub&K!Ui@2t zjxsa}F#jyo^jYc~PTn)V!*=q0W*5UHE^YGykhWYQx}@(I+Ei`5$r2yb-7HRp%3yRB z*SReNa>%YGw3Dso;V+nU%i9ivvEdr@1`-ro^CS4mqp=w|Y=eT!KU|-ib6*cr7$*UT z%947Bpwz}OOZz5bn=CFIdx10ek6>LhxHU`gL$9(+KQbEeo4`#wz0kdas5~571|$2a zik`wGM+%H0a{=x2tyBf9AR1wBRG9Dr2)S@@Z9JA+28$Pf(OCU0{?*ST{UCDsoFPF+ zH=xwpdW7LqG}U<*GYlxMTrsl*aK43MWm$JOWD_Od_Gy&2{Z8$Gw`li}J!HG1L#xZ* zW?**WJP{Z0^zZEx;zzF$qlwV@m;SHF`3PcKkJ;eDktLMsGBNG7|H;A1#|d}d*XJU2 zp|aFfb_L<6@J8+M&6TK~2eqP7!Nlh(3=vkNz$UMA@{T*!@O!$)e#AMz;(PkL!Tal` zOgO|TlvQPwUNQ8oFKS0Kg4AQyo65IsYE{wi%!vQ?eGG;C_Un{lPx&_6J{!Kqes?TH zRKv1gZbnvlK6I9IzIk~7FCFAo%*hFl*~5K;M2D03ps@FJshX}vdZWfLTK#DnL3sF@ zM09V2TD1N05D&Hf-7;|ey_M$JwDu)lF8H1BJ0s33ZsLAt zoP6@-0Ho0vy63tI=BJKFVmRCPagAb;jikW@mz{V$q$zUSW})Dx-2L=J2+?L#VG9~O` zq2Ma9zEiCxuZe~|d;)|d>Tl@$)G^b;HH(glF;lv+MH^0e{i`w%xi+@?>JDm|$L|Ob zQ}s84MxVo0va>oq4_Cdebn;zTnKq<^ATc+9lC&WSMjdu@)ytZY56tT_P5fnmhS1Fs ziRnH#DWJ zXC-TIzb(AN*s9VeT{)n#bjwlufD>A`<3x%-M?Z{jLZ`v73UB)d;o6)rNR>DlxDW0l zoA{YX+azw(`lWi1jtr+Xu4y$BUard`#lX|+CBIcNkk5K_sbv1TnuLf#zZSI#c4k6;0wf)?E+_G^vTu6fh&N6<`EMcj(KL; zvOMvdWnBiuOZf6+H3Lw+9jZ6fWQL)Oz|oKct1>8aEXWQ`)IkhC)a^q~t;L>$;EVW`|8{{6-x#c9ryY#WKBw$qDyG^WKtM*SVI# zgEQFbi{pLlwfUmh2%m~NNK|s)D=xpNP*F4yS;0No)81#n44Z z^W8vewKw3v!Zjn7uk&E_nyAb;pU=BEx3od)4qzbaTC;KWS5boFg9Y1s^3BoD)Khgc z?l5xbTl)+ivCZy%zrO{}FH{2*%TdTt!2v?g`p0g|g427)qmfx$f+t`K}#NwJ9r+^QR5;rOY`av#-F80FO9Ly}0v+lWj`>Y}WW|X(oy5ps0qQ@b~(; zHtB@0y2245`GYQGtOk%cP;|<}erqigxEFrKvv25JP>w45CjL=^16z+VPX9lrN$g(z(tq;YQgZXO0$f;c4fJs;BwMsJr9yvr_4_99hfkwIfWf3K2 zWxGK?r6ko1w&&0uTaN^TH3xb2jq>F%aWlCySEDK~WUGpPqkPdj`2IVHOGCA~zhJjn zG+686f_P}=4S(rJa_AJ)f$cLf!Rv=Dut~T=o2~0g67hOgn!DFQ*)cmFchg6BceF*V zo@8^&=c&}6Wjs891qeewcTb)UerADR`4&=m@WVi8tDf6kwu^-vs}|U&`uEE(h;Oz{ z%tQ?I8sy~CV6dj#GQeYBVzDkCol92-Gp^rkfL8=hA1(p=6#R8`6SuA}o5}#{iOz{l zw}@iy0UC!D3SdiSLX)pP5v_`)yk}-o;f8k20dpGF1&K}cHu~He$Pc5zp zvKe3gj4rP2N)P|^(p(S+k4ThG1?3J#pXDGRHPKUgN#v}jSI6~FfZJX-Oa)C&Q1EH} zyXSJc&B2L!N)5n26XfB2wx1FH7v+k+|1aHhvF~>VxY#IU=92(n4x?*d-7=2Em z*{D9k5mPB7MRze@P@`o*dN^{GlKUj&0=?|a4{o_q=Q5%rjw%AArc}00e@ipt<4@z& zrWJ2{VX10sX5bG*cpzFfEJB&jcJ~asLqPHfoKHxjt{Qy51*u33qYv@!m#Q6HG1_rh z*Ze{Sm$3AIu%G7s;NjT~uCtN;kNSl|fdp5=d*1#3u5Jo^8riwB5}U~S^}YW@)%m@| z-TwX)Y%u`4yT9YAG@!WBz*8S7c+TF<9IoLy73LxF{hOIbClWCA`W`^~s|1ZM&Y zsyAsP#_8=yKiUXNaCnB)x2%IPFJjg0F&L_O4~h{}qv0{_xK zhGky=DxG~?wwrNZ*zHC)wF7*HxsXVl17Yd^*_;GrBPhov>Tj`(zm|teRGBPUF~ zbEYl}|Ef#fa7dDw*=}$lQ!OA(1E{`E=qdV7OL44qTJ~LP_#$0&rmg`FS(0=s%HH{u zu_wOCyWjTka4uewtJ|>mUJTxRyA*;rwSS3CsXXS)zFO?%aXW$SSZCu7d=}+{?He^U zO&WYTz-)dJhbf3r|8}_FIxtmPio3P^oHIO^@IipIV8sXTWMP@tj_|?&@`Rl7{HN6E zm9#3g+pDIlT=P|LQu;D#04zP9(YErl^RYqy<{J{LBixPa0as<66z zxPpflRceLBD>Hj>>8wVwX~c(U)ltUvGixfPxY%G13l^m@NXy;4BEW;o=c}1+h`%?j za@Gqp9^ghcB7LnqrLMYdGsUuFz}9}`?1!-UebY?OC{K}!b!qKfnB`1Y>g@=zt<;6| z9mbLI)nm()i~->2830R+KpFHyE9GPK8%DY3fbl$eH4LD{I2?bKLS%A`XAlKBKtCjt z*BM%9do{YHJ6)J|-yME3L<}3sJHJS}Oq}nS{WNn9MEn~}yGavi4LKW<25}Z{uvS+K zh=DX*olHadS<#-g;-v4%?s^_QoP?J0MzuvuAtb)YEISHVhRmIvR5=v$vtl7ZA`Olh znRo+v;)ixs5nmZk*09h>M4LH?fk#IgjX#S~j6& zpxBqObRLGRyWk0&2=*Swcnb001nwkOffJNTOyPh_VHG$)FNpjozuPok6e5}U2L+pW z(a#GoV8ug@JkFx5oR6$y*vp*bF~o1EwCT$#ZO~RA1f4fgbMHkWn7Oy{G!!>>)Xu-);A8l=Wtt!o4=54M7jrcN$pL3Uh~C%y0;~ z%G~hU72r#Xlzy|mH*gY0S<>H$29+&|7%_Dw*wQic687cLXTTZE~rjGM7lhd*p!8U zgd1!zSi#5ftVLCEU+4dHW4hSjkBy)XC(_tkruBT&%_U+~aGCPr z%9HvHMH#5?`EUQd>T*CHMt?+FeCvN{C~e8bWt}vBJ|Ldq@Q=(mCfOE7F|Rks6kt;k zi|mHOR$wG<&iA%<60BCufbR6xU#-|VYi^2)U_>?JGt;QM`!kFq#1ANg+rE!l`?ORpnw4d{IC4ZI<;8mpKRPzLc@Yu^R?2joVRL3ZRKNs+h+v@=fA;O$VqO&9n2dEG1` z{x*p&1UJ-RX&RMq_hOPa!LNcJo0o_^U7|MES12HwT$vJ?Dep$qxz)_~S<#Tn3&oUw z_adl+kO$ePUo(YgJXTlehbenlyUhulPWMm{(MPmf&q6hT2fI_0OmQN#*^;C(SA<*b zFNCB(Tmga%s+<7T=4IHsi}M_M;oARLulXT&4EY8D2{qhMSF^#oWC<{IO5}X~^A};L zWi!4Is0{^bKc?0SRr^PeUN`r$7=OXa0tv|BZ*>oxMhu)^_?vG4s zIupsSA*0DFba`!z{*g4IG5KGUnXj^UHxR(%=X40<-Zmz)%`tOX{>6||QJ;*F{WyWS zZO5*8y}i#~uDO-6Z=m-wtzjN!!yt>n@)qb&5u#|LYADlTXX$i1Dwei?roe6Wj`{NX zK=x0Qj1z3e*^r9=n2r@DR1{=~VTyp9FicTaIz;X~gTM8m?5BqtJIiNo*PR76LKH*+ zg}Ey~*X!TJ+gQM4H49D!eQ*KWooV13cX%6oscjfipEa2Nwrm3moYuXjJ@>k=mWpX? zC~B;Y`)v6z4p#XZs!H`g<;y$YWQw^GU;7@&RkF)lX>X)hz#2sFxwOETH*eRS7+P$c zizo^oim)tUPpub;uT7WEXM6!Drb*n`quxb`S-nBNf+ni1_KA7ydGq?LbP58QHai)! z^@Xnue2LhQ);vE~J6(WqBE7Mt7qmC4WKx6c1FEXd@c&9jx3!oXxSw`s^5QOGSsczu z#3oevEt>UV|4c|Mgeo*7@tZ2rU^Q57L-RuU-JprJ>La(TP&)=6fe~It$b6GErZ?W@ zvZFF%E6=hd^h5aGQqcJTZ@CT+J3^+l_XWqKMx5TxeafpRKBW>v%4r?Yh0$f|sGggu z$^PX*TkRj~S`+S=@Io62{HT6o#KE2Un8>WhMR0Bx7nH zXzK<4^tZ3wFAMr~I9%h2`$7;66oQ9<5B8lNw>PE=7k#r2cHU;nk9*p>di&Mt4h0t) z8lGPcYDn#>Jv}>auA^8BcDs`^)1BAcj6~{S|J92FXwQwcEdg zGDVy%Fd$x7fFcg}u_2mRkJ^EkT_PeZ>*^s)q04`qF9lfrggX>m=@)HloZrkI-cCbo zMz+$Y`7F4NuItcJAC+*(-EU&vsk&DY8D+K4Qe-QSO;QHgj?62UcCz$iFFBgww^Lsv zdDkQZ{yXse{JuKO>pGyRI=e;t|A&t#@re~bX3m9e&{D_{+EN{3{2jwbz42;~% z&PN+u&Yihb?t@m>`^pXo9GpAaIzfTrAons=`}mS^Z9lSF-)wxI+!g{~Xq=}Uhfl?R z0ha_m`FA$wx#5a1hhS2=RU3qSWjWwSmQjKkhi5E2BJhcN5$9+8C(~y_G%LNB~W~)ux7tQWV6@9f^qG0(vZ>#y?P!_tP9Ou;MVFAIZ~ta}ZuFOn z?w$U)U~o@WDuPc-3O)8D7RtO^z4rO}a)PuMIHY!2HFVD@9hBuSmm9fuhOMj1 zj)$!)4WlK*#IJ>2TJb|Mj@1A469bW5ZbZ!%)W)xPkEWAIgWbwjIV|yc#UlE@uPd;1c_BiLBUG%%R z*=t*-R&LxCA;IzlzRZT6!uHzo;0sf)*?%J_I-n=w{KT-mf7=$CNg2d|g|jUo*d1|d zq`m$%J}+{87NCH0YS(Jhu?221la^yDjVq-rn*jflU6b{M{uAVk@SWVUs9RSAConuKzMM4j=ET)sfuX)or{$|dHZa!qKtCfN41L46oou;yez3@?@orC%Fc36rxi4xe zxGJuK@}mC*2~ipbzXXB-Ql)wATx}2`zGyCZeLPbAAY%2 z3w@c66~qp4z_qdWIN@s8cbsrT02^?UVdPF0QW<+|8?RcaP%f)3cb-z4)+>0DwjI=J zFMqhfm5MajVE)8SUM4Ie{srPn<59c_Fc>O=kxk@YJTuAc& zXTk&EqPlmgM(_mh3yoOS#pl@&swgY#Ke72O%wYij~5 zZ_Ir_%7vz<(^Y~&4|B#>`j9~B>KNDWo$IRaSm%3QLst$$qap0)UafBi=S_B+-NaP3 zpG~i*oM?UpvR5bcr><#{*d1>hC5OX~=y-{SmRaUBN#Q17u_^U_A@F%h$E_tNmuwy68$S3rP$5EDh8n%=HtG=B{u{eEZq z@HWIz@|cOrzxZycL&q7xei})>esHQA|vR$nb`kaiowp%TqkRl$gdjQX2Id#l{>D!s>UW6{<>dtX!UfI6>&t1`( zKpEEdcH`uOBcv7 zt}#$-me zMzY)|vSk^`z9!pa31b-yMq`&PdN7Qc$vR=IgPAdg-}U{U^FQx%=A3)ZJ@=k7_ulvC z{eHb(@7JfTL8px(P`p@s!cXo*hL+E**ItTW9+!>0<(fpM0AW{r6y*0`1;@+ubRVmN zQ;$<6;(7jmx7DY1cG0t*c^t60v50WO^l=hWz@7o{FmxA{S&R2hsYlPXd=7woQb*W{ zy1_6_>8n9cB3U*GbM`pIHFU&WOJqQ?9;QU!tkmDHH_+>Iv(%*RRX_K3b=Z8i?Bb3c z#^j*lrbo%9{JZ%ula@k%E-XxjakH@IgFjtjt>RYD(xw!6m|!N8Ew^{9g}eN#4|2#6 z2bjquFXX44e3tQVz=2PO?CX0KcosV?N$$wGq zLNZL&U%<+IKmbYi4tPmO-(AblEv|qU?113}GesVIQm)Lb+}sOT)3XKZ8@>~%<{buq z3~tShXx{CoBnU(YD99OIelAxTkzQ$I0A!@B_E{W_cvj&Y;$rw<)dkV zIpdx@#GG-K7m~KJ?);h}c6I%i!4A)$@~S<8`_GT>@(QezRYVSrT>Nuf5g*}Ml}}X5 zv#K>df^OBXs1~cLXVELtc$p3o1b+{KKf0pP!7ckPbI1wv7Mb)_+oUwKGPZC;btOy@ z)jBZ!TiMQ55|ri}O6(}&46gu)Dmy$fc2nsbI5EZ9T5xeTKWCHkT?gOwrYn_8t3%rj zb-bHG9yUo}LFQ6AR8HW~Tec+H2FvvY-d{4$!XFR)X5bE1ictf|=&+SQlCRLut(Q;e zCyD|efC7NJ<(Pk2abAu#+tKMTs%42op#4gP8ziCGCdYnNw+!p50n~8UvDb8|H)JYp zgHDExn}Ewui9pCU&J71zlY#}_M>SvHAjXKu66WI)1X>w!t`XeIPv7@^hZ*kea<~_= zO9%dOpEng|Wvv>>aqjEt-SEZdt&^WTDwYPf@jRl@EM~!0WNxu6Gm`VLPCd+U<}sCj z1t`P2hI5k40Lm$qxU>r1u*gs_stuNvMZ{ert^P6Z4H+FEUQ$f$v_6r1`|XXwpC!7i zmm?1G95FBZVpH*Gej%FEs z;p1-CI{%y1aByu^`>6QYUu@~OWYu&dxvs`ZAqjcFyo2*NxXo5}(sd27@88CFumTN7 z{caPvd=JWm5(n9}p6AOa;4zjY!*U&m3U?jq1(~6tyLFqKw9UcP=KqyW5S*Dz<3ZOi zB`E*Q+e`^`%0z8lZIkx59gs1%fdq-%w~32KF0zI9)nrDq{YSg~g5!m@C(_F`VjXNj z{fqo1%~#$Njun4v-5Sg&e!h)myc)>68fkKqiPOE+hKqkFxc|cum`~7|&i(ZbFkCl2 z4AOEG!{9Sz_R^czwIXK@vet**%W3ZUm`o-F4y#VTamxV+v3O8)mW}rd^)RGb;?P$R zadv?hH1w)Km53z%j=eJ%KU88`5(q`9yF(>#^v~_|^IC*7kv5gz>O1fCIS@@N21f#K zU$L)0PcW{^Renu(BE<-gAfJ_OwhdQK3av@T$P5 z>Q&BJ|*Q6&a2~bZ~`zbf5 zkj}TCmVV-PoLEBVm%gO}y(ZLf8;@hH_{Z)K0j94pS6oUJHy0#}5SNi*!!c=|rm(0+1kel&(|%zo28q`E4L3{Y4;Mr0sWY2C3JJ#7a^s#}C@F<`y`qd9~N=!0i?rJ96c*NwT=y}-|MJ>y_!RsH^v3MVjV7B2EZ*o0S^+=_a0YUsy3J4iu!^0BOB{Aw zCZa&9ua6=z--I-CYt8;iz2vWfi_~)+``7WZ(d>s+fJ_0+KhSsy{(d{eb`$$KV2$7X zoIQwI-9Q~AZ<@Y3Tqpb$iEz+Rh3bt1rBnhYq)c;CN#ZI|zgY1a(SMhoxay}*_mS~( z1XAemCv>r*CW9)!XtrV1Xf)gS2O()*RBDmi9@ED`h{tP%su@(KZmKv0=)y2z>2wnu zTDmB>RtzOu*!<0c^fHlNqpy0XwIkldXGX)X&U>_PVy`ShyL~BKXknBUhgB|q-h_m{ zwMI)^rRn?U*fsGrSyY{i3O2232cqCt@#qeDfqs?_tEXER#P(w!%e;C3yDDjN*;MH0 z#zt`~mLajnT2cR+y2s2LKN2?hX(Ve$dw&4$lfwP3+xgb8NDv})QR=amZJcurwVq-% zrVxw}RJu(TC^rd4Bx!2+_SZPfP2e1IM5TwIY6}ym-ETwp zqcLpJX1RT8OyUq>W~f$)1MK%X*x#k0fQ@rh<#N4($Ra4#RJ9NB z7w;=IE=!t4ct*=-Fl7i}M(+-FCm!(SmqZ#(z(j`d&z_ zv1j|6&d_F-Q&Z}HY+v}%L80$>+cKqM#lA;49_#?yLAEW$8&A9X_8NaWdK6im*T?yA z4k^MHD<%S@h}KkEQ$cf`If)(0R0}6?SRofz`TJ>0*xu0cS&1k0Tr}4#BB+{#EM|1> zjcQ?5(&(3ao1{=9@Pc>ZBTDXMeFcF*^~&eg3T8)wjdBw<`JG88 zIeef4G@G4(>^5I1Xt4Pv7NpO4-5u>;&{hXbx}C~rEpaN+2#2Gx8?U0rVT&+SiC;g1 z@l&xzmN0VKNZppRG97NXtMpc`uoMVb5l{O3Zr}Ckrsort9c=d%4fd5>ngwQGxEndhq=hql>$F)8Bxp(Eeg=*GmEiR+l~g>8)< zz3P@^?&|By?56&@N(mk~WNmtrse-eHG#Xab*E9szz^q_uSX^0zH@aTf9v8DfB~ ze79G+Uw@lyHvitC(0ihn0kFk?>>RvD#ku7rHvk*0p-eWc^}IVc18#QMaY68<9jko( z^jTk|r(99fvypEGRBoImcFc&AW^P&7U?D|y?QOcWY}`)=iuBZr^?It_STC3QB}+NN zS%S*Aa5Et^pJUpR)QjaSt=GJ2n^a!cai`r7h5=8+#V?8hHVa)$HPzC1 ztRnNvrChYzIN|+#U3Fd(V`zIO5&qEO(pwu*FDWbAR*3 zqFmY<{oiwiwSc_UKl91%Vjr24u$7yhI>UZQf~98ynh{Wu`0ZgIP5m7zr0KyV#bb=V zu2y)5o^>;TtTPFi9V)>k(g>D@=cz}EGz_b%T4SeLlr0>z$K+9n_dPFIE_hoL*Y5pe zx6is8uam1w3a0}(&bl70S`Y8TDn$x~g+r`i(_GE~J%`ZnPjfnMBNSCFi#miA%o%Nk zzVSaDjYj5=;Sg6Buzx4qBP+e*xb&aR+QsCCOLy(&jtO8NX{p zQT=+v(O>GGFEOK1M6Hf7zJ7}Z|>+=1+s4>^v z?)C^zj20fbM?q|>GjS2;wA}xs)!UqhW~WxKB00<9A*R7S>@q#XdQ~1W-U7UphQNdU zCTj-7{f|ueIpWNt1l8SPv&LG0Uu6rZ%5&KF6IKuLa^FcU9hCUm4Ac)*C7sMXOA-845DhOd*?x*8l)W06r?ej}WL~iLTh>Qn#?U2!>C2eUMWVPtK zge?8-J*Zm9x~g(R)l?d;j=IWHtG+i^7qW5kc}RRss?7FCig#*kzOq{U4Al{UEtRR- zupiQ$LF0}=n=#p(w~(Fu|4vS12!xUH|?I^thACM^nm~B|-7hC7&bg*ZFs!FeZYT zNP&Ll^8i%_R0= zW6(lMi4pWx^KhTwn`vpmkWf67YhSMqW1C>ai25daR7zDo^)=c6Y#Ah=2CY=Acn`gUE{Xtan9(kM)8^ zub4vSm+qqX9!@R{?a_CV(T}~n?P7IeL)7qMhN$^d?JN87^x>BdufNf| zM3ojSuqPq3kx1|?%N-py=_*;nKU-O>prMU)b@i)oqpX)%{%H_l^EWA-kyrna*I@AW z9Q4We8B%-HHU|{n2^I9hxfRuo}NZeRr&p*`*lKHC}(_!#xlIdEo6I7ZMuOh~7HcC=2IR_0su zV5p-@>%lBpN8E|rvu+?9?O*7uAn?_YkN&;jBGzR(^8_0@II2(D_b!LquY@X^ZyIp5 z#`g-RPLBh;A)=r}i(P4m#tNn?%KKT*_;&;uED|4`>?qNSV`0AZK|8PzyuZh7-o>JH z_V5b*am9Z58SlJvPYRp+-@_|d@FYttd0(%Pd-~k$5u~fEKi1+&4*IC zk((K(R(n7(*0$Q#j$>F?KE5nT12_XebB?tIF}V(5!%Dj{UeL(oMKnMvd$=CQYq@3>O3M56q30CbSyEiOWX0k<8S zTRx@j+HFaUSx)JE8hM56ON3Cq{6P^J>O2&!ixbyHXO+67K$-&+czB!aa>y)++Dms3 zS->Z-XLN;8-UPC2>mw?!)rgpP2}nV^&8I%Kggg)SLaf{NX#bHaynP%8@Cu7GqZH>~ zlx=6SGQ;<{04w%e_wBrc!sm2aUfx0Yex#z7g>zZx!C_SM(BRc6TkKWO!S82jd&2v( zGI8Vn%0XY-r7u@ExaR)(UFH;&ymqBqEu~=yIuxd-8nk&EzW)~nZ#J7XRNf_$p4&34 z@UuTxlzYK|IYAjP(#ZvDaPG@A>a~EKqtKelAOMnIJ1>LoY~#I?GrA_v*jr_XhXiOcG^-AzVQK=bDT{j33TURv^6YCqbDO`VvaH z5VGc}-!RlqpIBEY~7d z=3I6rem0cZaz_dne3=QEZ4Q}oh;O6_Fd{KVS>s6BZq>mz!wHKzqV(XhKf>nV-e7?2 z56wSmIeFPZ9=0B}Jzy|gE=7g^ZW{*?<)2%_;$b7C1MV zaO-8Z(B}j~HvQ zP7Q|#w!Qj5V}d@Bx-+@!!Xr6js&75@Q81MkIOmhUt6izfoPrI-dkf88Kn!>GKzM;~ zVyr4fc?a-a?N|ASvIsd)NYUaI*pRw>Yk+oCDs!puewpXq5?jAV$5;V)%(`UZ)k}89 z{I|USwb1u6WigdX#;}fb1`O`3?0pNmGOOvFMd{c>^KTE{9TK7sIebhnSA#Mjhp|=% zzou^eS>9^603 z6k$X5rcEwaLJS%0K)ANZ+>24D4bE(Ud1lpzkUk_DkE`?&r$!%XnxLkACmoBz8$~CN zc-&a@ygA1fLLHXc9b@u#mfdooPNASF68|m~WDpE+tFDQj=breh{z{%K-c|<_Pdw*0 zswY=o$uFtb$%l}6AxfR37^RhR+oRmR;*>USosUBt_>gNim3tO?V?r@SP>xMfE?BMkF;-S#lX#%G{Y!-$GF8A;1;Zd%t(wX*8k)4k2^` z(7p1qC00NT{Qd;?RMqy~yhE-o*ivh}bVO^$m&w0df4BC|X(Ku5s(0JGA%Dwv75-1| z$11a{ytd9>C(4^{Nl-6~;eMioDcW?VvKB`}b*d=OR$G%b3I3KI!a4EK` zQa)txmJXL<1AF=@v^)q61p>EGho}O6AQY6?n1YkCLmY%J#*vhk{<#!70WlW#QxYm2 z(E7dk7iT6+e;J>EZ%p*$u~$8f=0*8%5I(1MsrZJR{(n@*D${i^q7w9S+ntH=5ke9a z$0BT}M!F(>@O)LX&$=St?qq4|Ipn05mdx3bcMQvroOyZoN^ndL z$9`bgbu~{U*_LI7TX4c?3sebkSj8^Fb}*(;X|GqL$bx1-YV1i+#<_I*!*itW}rW=(S#=#Lgn-623o71a%Aa&GGdpomKj0H zR#h?`)+u)S8bu*`1FM~ZkAL`(*oAgw)$yz|<|T4;7-lq`{t`DOR-`C1ZimsmmQ5bs zbik>+#E73pb1K%2ve>`OVCqQa0!0+!6-oFS5hywwG&ZtNtWGJ|}x>|&JQFMK*BlTLnP z`0|dGy_pqSSXsy!E`8bmtTYbbv2 zpBM5qhBb^H@kzM~hix3dXaTYrtNIx!3pB&6>$&uNq)DlpcwJdogIJ!op;*za5bIa* z;2aw>NM`fJ3sg8;e#g*PJolMpZ!8iIhQY}d=ncda&8AC}*Q{~(wo0-zFs?$}c5qo&;* zlw(q+92l)?IYgOQJtoeNH;PTZjEH_&)BAm=HX+#VAfPOGohvQ(IQbvJu>j|UN4U>? zou4N{E~z`g@*Fbc(lFom1hZ7+6;BY!?l!n7QVFfnAsheKNk`H1>P5YXAMQY%kkrU=-&8J)rVz_ zA87MDp)n1c5?+3F&jy&K=nrpq)oc5nX?Sx)+Ln_&kS@5q)eP+sEQ-2C`M zde`e0^SOtM%_cj(>#fZyBA;Jiod;H zf<{a?sjJIJ=MuOv9Pp}#BQW4}h!ASI#74ju94*bJ`dGx~CrO0hUuzX-Hn^^e^HaKZ zm#54btp&9rY(PS}=-DWu;fv4DMwIP6o8`G?J=G8%+z`r0=`ritbT?m!=5NaStdPYx z;!Q>S%CQ&B*8g0@5ytjo55&XExhztHqnp&)`Dbr*J&ny5)D4lxT=aK3?|ULkgV{&+ z4%4GCvLDm=XyiYB>i;>9g6v5bGe24bxMM+SzT!}Z1%63Wi(hJU+WPUe-=P+JV}&*6 z6mRBKkt?`rg=1O`cjA6i4kL;-r*vyZvoqw#+&z5Hqn&rkMbsWsbTIWZb9R4u=Z&KnOeZ#Vz*sIqKQTVpV}_@c93H-Nq~xP*lIOjEqoH;5l=c4Z94 z8aILYMnH&SGHdOcr8f}B^v?0DbzfW1%qG0OkNpsInt5Tfuz=z#X3OCo?c3D*0QM{47Hz zZ8@!#zx<-GgY%CKle2k-)U;t;Nj7irE8tz|pOZrbHU6sE=FrA?i_u_-X@TcJw({)XA43qwF9_#@5-T$Gkj{ndy6gh4EHuujQ}KfV{g9j){vDvdI~iWx1Ln z|8+fno=~w9yWuO>4D66RUHiJLc2C6-bjcBPv@9T01rGM#s}|;eAOG7>)&2ituHa`{FrN7R^O?$0+(2c@I~*K44dh5WWHsT2|={Nq@R_-EaP zX^Z<0W#P`cflLMj$9l8KQy2EQtNuHy*c?!H`QdA?wl*xFPWM)0e2hDXWc*;fx|l~m zI2XD)-7G*@t)c_T!F1kPT&E?BnRuZZ$NF5GQMJ`5*?to|{@0Any-=l3d#n~!g^7d7 z>U<{3)YW+if2ACnVqO-V>5yD!_L)UsJU?gwUvKldEMe^dCUCFj$01Gri0p*(g8}eD zC9vH32rG$4*tPS`19nLx0LF)PQU~mFE9`by~ z_A7~{`sS?5d|tYVChf{cy7lOrkviJKlbzV%15dgO&ul?@Z|>Vl_TA}AMsBTaD@ai% zbD=(7wpC4fWtX7&#*H%(e`T`mc>>s;OEgo&93dd(doW#>k0lSbJY3=2{EQnOK3DC; z*b`%@L|}En{7t<{z3h=<2xq701;z1c+k&3_Q-mbrNxhROigOkL&B)-6wRjVY`OeG=U4 zU?pk?olKIlr^aJB#YjA>lzuE{3x*$)Yh>m*fc;z|^TiR?w*8U@jg(`gHH=W{$Fyob z8>jq~f7zfF#}7%C-s~aE4tyLhy7yeyZd_x(5zS-DnLk0MefJh;uU)IGe+Eli@3V(X z(X3fZA8pVKZIQJq|K2Va6aNOOzE@j0zY;ZT9uatvhd$^U4>6iv3tv;w-@;A3e8l5RSpEZ*9q$MU^CDic&TFS6&#e7R;j zgt%CjZw@oHEBl*6a1*4)o-|faM-yI7%+$HGM0$eF8ke#XuqJFO`rT&2>MidED=p?t zVG3<_TdGyOY|85^ftsOU^#skwjFdX&)`|O`gSp`vx_KrGmivA6d=q-D{330J^W1#( z1WT{{1k$KNQn`2%9frf|L+ZPHio==Ns5$jWRn6xv?|StSstj^ zO;0}G)TVI-LZ{tR$@{&veD-OeRhCv-+ zo3RLE!r>j9?Cr0oClayNV0XIoqD7l~xJ(^;hB#Lv}@;3uJbcR!UfKBhY z1&|trRC%~^V5Sq~nqtO#hC&7PYGQ80xnh>}mOF7OdR?Lzuen!7pXq=qE%ft@Hc1P? zQKnVVJ5qF5Boe6R7;l_`YMqf>tk7ur=#i#2!Yz{#eFS9?2RNC@j-{e)y-C?}lkZS6L@8VZ}wX z;o5<;%fzNi++n^!jKTSM1iVi+;@EcK;+xs1UFxIKRzj&EOW6>#XeD zgXi;z{W*urpr+-@x$Qq$1<@tDtj`$1SSw6JRXeUM`Q(RX;wrRQBq&RsNTAU}%Ip}8 zLa}03sdYNRa4)nPb+Hzf#XKf}#_PyWYyNG$=hJ^xuXntN`JF&k1jJKaXN`I%((~vh zx4$4g>iUpE-+I_w`IGMnBnI(m>UVK{oHOZ;Tml@rL-JwDBm56bGD5#gzc+}OWyDy- zXL#xQ`IGP9dP#MXveH;_Ils)TMAd`dYd(xI-2U}O;2~1+yk9)$=YPkSGw-N0$LgCA z$=em$U8MDzamk z?{*Tf)}3#c;7N9WNAmp8mZ`*=^f>emvB`!}N%-(|ss#UvxNO#i>2k)rm2=hfp<@ls zHL>9#fU$!db5*)}4BO-R^@0htuZO zP*dGA?_wXK$W8g5@Ysf~cm7`vz*$lL;RzTl`<2zEZn)R|{64mCN z>QaHjM)3$=zSS8koBSb)=}r^IsL zajg4feMPViub!aiV{T{E!>X&Mg7epP9DXWV7j@57YP1~~Yjy_je~gOvQxv^7)t%o7 zCp$NJuWG4ZIWIc*tl`%Gj!P}eU}d0J-cBuFfuAPqwjXlSQodX@1|HhOWMXZ;%^6)G z9Ris+nJ$ka5^Ag5?t&_B-s(J(Cu|?Gi^PU@*y4|3;(>jq|zs?jkZ{td+otV<`WRTZJ4!LHc-emJv#r^Z)400VW zrPtnq*1p9}7v0yh?bV--Fob%0JYLU~%Ho=>xg3Qs`T~v1)e^Kdy$&cNN+gG zpO+iWxqWmu8Qzj@zh6SGW`1X&$g=-q3(-=cz-tlE$Xw!{%nV}ra7i{u{dm4xkpKvu z@iS;`5-<=agLt_~y&r07cfSs1q7dHsTy4U9#m+cPc+a3W~#bw9Lk=xVIZmNw&eSS0Z?a{&E zgeFt)o9j!}T z6oAp=(%<^-Qrc6$eCl1VZ3NUWtF~X(m>~O{7|}U}W}S6!+x5j|P-DQP9~gYErtR3O zQ~bs`2bSRGJl21eU;4q2Vby-KJIL2YO8N34RMLjD%(VBwQXH`^#hrTDM9Ew2=o6dBhW&sQQJYt-L4UO3^_Z5D^`d%G#u%kv|4PBZw3cxyhU9*@!= zq>eV)=llG*XC7Tkrf*jL$Oc|`8R$B^9__9wJ2&{UTd!lz-uIWCfZCTtt0M{AzBZ}- zgwtb=lrrz*2kMlThxcWnE8gEcxSg%z>sJ`1ywOKB4>!wBY%ag^m~UfxkCsblv{GiY zmZNPh`F?0jZnNnBaNk@~G*`5CyG$&wyba1tec)-ZxmmQin0oCi3nQlP_EtK^aj z5qo#l^IUFE?1$jX1VD3d%hd&$u*Yt)NIZwXU!JCxs=Mh#dtG4C@%bEvudd`PLAy@c zyqqyEy_q$q9rL9FVrYd@p)MJ2-IW$L{MTdKxBN0^_~&L+)d zt(l9tHLD{qVYsc_S7#Dez7_=E2;ChywC@yZJ3c}$f=Q4r0sR|@(X=Jco4C*XcGdU% zM(+iKLn{XG0J=9{+W%O;JC3%e*r=BR3JdoB8Mamfn!7q5g(F;sb>q3kgu*QbF}XVo zRUb3DCzVrjDo9-HGhe<%vGwN?S zy0(dwE4tNW} z@tfU!xoqX6JQaHwunTJ0wDaf_)3!B#y%xyb!AfMl$G=f>=HiTH^_p5uzrVj!4CiYlEi|Rb;f7Bt|#N@lTsz;{K@J@ug!mHK%{$ajsclCHW{s_oy z8S++Np)K}%+`eeM_N4t8prz(X`q!6&<}meVKnsV@bFlrYs**mWu*^M(#3s}GVX$%h z+T3%u*=67o$%B>h z+vVN(6`-;@QQXTox2bJsWYKm_xx2$x{_as|Ip4iFK<)iv>UoG$ex)TnKsSYI@fZ|T zi4$eB$&~Q|*u9>e&L{mXUyND^CwwLHO-!wK+uZccFdvP~X(!8$#4cuN zq86jfNL3}o$NB3gwXfeU!r5BiUtQ-`*|;1XzG{(JfA6q(9a>zrOy8L3ivv!8Lg z^2SR;@BDX$aTWX_RRqd@2dF3Qyh)`uL}+2gIE;~o^8{M`+1g2`c!B|OOpHXnT)SErYngq=Kx z$5+>3+RD>V?%E97EluZ^!*Y?oS(dbxT8T%*ti20nN%7q8gx&SQr>Tyu(Fv@~QRbu|9PJnlZxhp)nH{+TAt z_B`rv?bc~SuWVRJzgmXj)}r*wXpQ3L@HUDV-NoRg8${E#UtQ{2j*O}VPTC&dJj}RX z`o?fJS_W=^3!miMk0$A>jq2G*1AIUl zBfUuLY(X-?ld8s}u3Ia+J5k@)e`UX1fAeEG^eF7>Y<(VcEzFe-emFK-;P;rBWmbDZ zW9ziK*AcvF`SX#$qvCZ_bmceKu*SHGDmsZGduO6}UzxiQ@kh9HtrF?e<0LHcA0 z@TH{Ad@6MXUYqMKQayCJO=|<6%@J(>nJ^6d2rZ#$cTplFAB>WzDSdL<3@wm8-+X3$->qldw805qEaL*YfM2@Lv zjkX^xQrhUeKf|+8Orh64o$cQtNB;G~`Vz@XWx!E~ zkYAzUuQP+@{S2iIf!cS_(CSBH=2usnwwOejFvlWG&k-`E`!v)-p_Vf>KkHsxM^`4S z;e`!NAW_4)SdXc}M10Z+X*4UNaFOD7BY|=-IiEfbRV$G)uOXKEPi6^XPN`1$ep-s& z@zp$>n@WN*sl-_;$wU-^x2m_7d*j`&OJNg(ZB9pw>_F{P5Zey7X^l3_Vc3B73+x2U z*@NU4KAWf;ww_A_%;$fUX&+2Bw>D{ojm#z@0P$Kmt50aN(e)Jd9e;@8bTcs^uumQv zDxR!QuZEo-!ea+cKl9^F5X zU9d|@8zxGp5$ZO32dPM#rmfpv3!9F@nIwbw&W{~1gmuoDMh&&~Y_ zYw7;wgP}U)Y9bcPP@U2UQ+9&0VHC0jn%Q}$KlQkL4DiL9=oCfUd~IDunuC+T6hwo9 zZD)N+eVBgt7|M$C|2ZNN`qK2l2nhcT&B^3TxaL$8!p0XQO3E3QRK{YprvFkyN3#Qs z7gG_Z^!xY}Hdm;AjaFEUVzQ{=?z17b=nU9$A6ycgH6pZQXVySX0;lt5ce5nwT)=e>|@>CGU67ieGKtIo0 z;e9MIC1-NtswRgmRFDe4S_KeyAQch5rwf{lL0GybdoSYmdlq{IcbOI6a=G!RH4D~v zxJBQ?6Z^2R>$d(0u7;6WTS14F;$c-1|K;*HCsij`t?` ztfkk?t_MCXLG#sxf(Zc&3FCRfHU1GEne|(mZ#k8ZVQS4yEhU3IbzIz6d?esY3#=)8 z)Q_w<-hdZ{v{8`B!S`p36S66PQa4^toSH9f}o^(UQ00&#uOn7 z)|8%R8_`3CG08hj0wKB&lnZN`)GvdtDZ(E|Dva_}J8^71GM)W5a5LS@M?&SNAtoYu zUZ`Hum%wh@;kc-+nl7;s%R)c^_1>CKIG$2AFZ@ZmgGWgv0rnb6j~{WRyHbKpDY29@ z*>R-Iy26K}R)qpvlH*7#$*p9gK8CR!ug;V{jHd}iX`(WFJh)u?FNBtFmYh{#5R?`m zT!qvH#hWa}p*X$v4x5tB9&~w$ENdH(5N|_K9rCW05iz4kPgue=FkV+-6rZ`mL_A zOtA94I0Fkfqj;e4WC$$9^sQZHH^}sK_#tYFN8y<>jyimP_MRE;B|Qya=_^NAR1klX zLg~L0IpP*8NQSKUNEU0p?ke6vB+@UmZiN;e1E$q7awQT%DjL;MB?&xVNcg>uP&aa1?SLGKrH zv+XhRaP2CC)T2W13UZn*y%h-}vK^6X$5SKErmw}ezOY(>MUVn<36Sw~)vp@^3Xf71 zfo`l!V9KBYYWp&)puxwtq8`=v;`6<-3Y*LVt6qv_w$3$P#dh|+466Ia4V9HrF_5Q= zwx}u!U1>$^gqvJJ2q_OA5!3UzLIF%lrgT=MN;jg+SHQb_cn@clqnm08RzQfKnEV|q z2@OUvr?KY-rCiAtVA(&V*fq-=NUpI<6E8R01s(7E#Qbj$zAzeU1Qd1=7A{u@GK3vH z0~HP@DX{0;!q<=gGZ>7(gToz!Yr&)q2$u_|G@Dyt+R&E_zn7P0a{6s1r3*>eBMdBX zjW31Z38|G`c;@lCML!=1tWf(yK27C^S$A@+68$7w2Bfb_vD=E*vH61cZE+;!>@jQO z5NS9<`)RVuLFfIJ1|S4ziAh5w5~$MNtNBb6;W7te)2E%ZhHx<*{w*g3vm z!0OQQJQ@GOh0-}5(e!0-fkKY&3^`@9Y0i=Z@YlvC1v^;#a9C&*1L2bmC`lmyFy1*3 zO<&Ba01aWS?);~MSTA1t*d>LwSt$2{)| zoTS2-wO})eMi+Qvv^u0uN9~aq$tV@>Csor>0t+Hnjkb$5ffD_?g2b79YzIHzW-U0C z6$b~y-4u4RXh2AXXLXbmJ|KzdYF|1>S(QTGfI62F{}V%EomteqWB2(fAuO1%X&&cJ zKRh)1(Es^AJq@$+1!qFb;ESB&t?@->^S{eSW()&Zg{r~5jy68x;Ehm(8+zo>NM3-! zX?nWQ*j8q?KfL4UC_+|rYM5{|6vqp*drg4RX6X1;A}x7_Yy5vi%e|t6%}p8qD$vzwchiQIr)m$3Pn5 z;QTUPhS}rHxSu6hg-zlpg_Bz2Q08R-+59L@pcreO*l93|_0Rc{_16~HJv6{_GH)09 zLzvOMZY|xY1I5RMo#mG>{KL5Gg-{aYTWAbcuSAvNUQeV;pn%$Jz_+_7^5prV%z8d} zsP-Kar!}tGHbP%G4yZ$8Z-Uk?lzU%nT3tpXDS1X6!SOSYLuxIThRDBo_oRB4l4XibpOkHCWWJL0uH>5C~p8J!uWTuj56VfU`m9)bj1Hrox3tnBLYT_Rn1JY4nx0| zPc^Z*@tah6&sfx%VDaZB=hqEMD@EFgn6qB}R%DTt`kWWsNY8tNo^Sb>C^r6%ZWu>6 zN@&&(k|anAECH7IqEvIaZSW{vx#i4*tpTgd!WC*C2f~pYWnhT`Dev>5j2@)6N1m0C zCu7=h0o~dmTNltTKlv%LE0$JA&no2Dl}EeB=;bTSnx%fW%BuOR!qTz!cq$4NWeOc~ z@|JjaD0dPRCh6<8fKz|gA_e$$tlhne&gh7Rnhdxem{3Bs@X!J9T|2NN0$?JBL-LNKsFL=^_PIOmA|f6woyeF^p4z!tx~Ki z%&N|;6R1yN@JJ% zb`r#!1S#B|!Z8+JrZ>;HFGOK7&=7?lPD@CMoz1HdjaD36n+Q!tZZc;?G+`l!S&j@^(T@beBE5<>{FL(6y)152x9#BaMtUTYq7tWBi1zykg%{ zI1?h=s&460ed42^#Rr9-hvNVZ6d#q~=DcNfOk=Pfi1nIqBCl)~dh_*8BUOyQWO z{syi%%3rQFZ4}z2$*t!QQa@K`Y((kBM73^qx8u?wUToOu4N^`!r!_9P+AMb%d;<(E zNFd|Cm4WlWS&sO!lnD;oeF3XNf2jxDkz7gb^xj?iy1$vR8_AIur{Zgq=_RMG2g8cO z4#Y-8<1n&tL_@kr?YZY>m5P0JR+e+3LsUsa;F1Y(=Md<}xZob0P`pPpVys7p0<*QS z4^LC;TEHqh^VRJwlh}rBRD(j`yac)?6pc$Zll1s4eRJc?@;(34DFdz?to;o$(I>+Z zHaXF9VO&X+La?QPnt~T=QVr&Xn!c&?ByfsdehF8sE|rl6NGB|RQJb^-o8mfns zdRe++(@C~2szR78W4}{{N(KW~=3uobt-%^u63PF!B2p+Pd_~F?1 zMv@SlV$dP3fDk;ONngoRzG#yPe}i(yJDzxEDL@TDwNxuHpf>V=JQeBmD8xasVBCMb zL9;#kVKlwoppX#~pT9D?45k0=|Aea>4DAL@6|;EIHVIP;kTS?ozopQaPQRwp*BX_xBDK*Wdt9}tI=^vp_A#B3ehH8!@!4A$k)j;e3S5A!-4v*8XEjaD7%r4mY zK8Cp~I$d=+K+tL$J@iR}fp6QjVK9H}dsb2=wgVg&*qUT>*n{mEUT3qD?M7NH2fHGF ztFEyTPa1dd1c!triuXRG&@M5^@2x!wwCvj=ApD0f`OE1XA+b>Uw2fH-vojDY+qs!$nu2+FFYm)#bc zU;Lj_-yO$^XZuX0lC3a!b^Rkfq9~c#2Vs-T((lb&_>e3!Mf{`VD96E2^{MnDKW&0D zGDRQ_3ssP;TBArWQqnH2erfW~_L` zri|E`99t9P*w3^(W1>Cl;s003)x_p2t(P69l^gl7iPA~d4b19=!9wRopwyK_OgV6L z-TxE#h$dW;=*DU~sr;b%3B>K%FW=%GQW9%f8upRH`glrRl9jHU62MY!x1cG5X zr`34xF3Wd7Q?ip8!r;NxAH~5G+kDTxB@1NZVHVu{*%R0C!8p@-SR~QoX7y z$SU-HuYh=hoQ$1xU6UAUi*tW1%`vGh&|u5tW0*KN`unoN<)Pm#Ps98oL6ZF5hPTEvp4Q$$W-M&LMVr`dbvh;!%2M6B_fb+ccl>l%~r zuO;vhi1-wcw``*eKstG=wk@xM@syJvtV!=^g?0xLeQJ-Hm!Y2|yb44<5*7b0hrj*Ql4g?G-kLN?EtFxy znJK{( zu?e_|fG&n5FiS0XBfKjpcI#g}BQ?oaKE9gS>;|@fXQz6z8H`vzrK(~5nWHOqouT3| z4%~{JgUJPYCym2}!f?r0vVzHKzYObd%TE<+P}(-kUk=2z4d=-Ezo@L1-pl~R&V1v^ zuVG8{`kQ!1?5+jJo_Sm=wx};6XR;5~ljW!;hZ(+FQ&(O-KkkRU`_l%Ie{^JcKYJ~} z9)1qMHsSn{h|q`*oyf8)Yc;^9H&oL1rDt5NvULKgCufbuOM-tR4~}p!(Y5xfh@8a{ zwwO(jbh!AF)5qW{{f$AP@q2)6{^bfpe`*Wm+#%O^y2-NJh#*c4|5SH!!mtk5SR~Y$ zBMy8~;L+{KUxjZEbl{f%DM?DZa574?J9-fG9aquASbr#q#vFGoSQ3E+k79n85W%ab zGElYKfa=@s8!^R!EXU|F#C3xsXKy~mi8+6qelT|OOK^%vPFx9w)jI#|0bB?!u(~9c ztniNvxR~%;Lq#UUE!sRpReX(3dyj@8dJ{7fsObtysQ4dd@`UV&Rum%BU&3~EdzLjZDnyNA&bgZ%YuY4XqC$Xo+O!2|{^HNtsY zP93n3&w_)1_is7qZFwNPyW5VtWQE~lq75B?x$3V$-IimU{}nSQLUSD_6$3;4OG3pOQL_x@bhb2d2&m?AjdBq9m<;zNf8ri`ttn$D(}A<~y@aOW+#SEl-Q?)WA(#dy2?AK!=TREI69MJJu-=KR zAYGX-I^${Wq1%GZq3$>8tp%4TDEzd0jjs;4cC(b{-ei~Mb0KD)qqR?$ z57I8jR`B5$3~5)1WX=@TNI4t;xYV8}V~|!fy)o67qnv0x4U*;xzP@zgjeFVd6dFss zyxsP`TZ!#Dy;fhX`3`s-)6(QOt&2bvKry|7gWWFq*7`WRbf+d790A?VY=@}U3f(Rl zd+4H{$)JGCQu#KKO!u^|UQa(#Ykx9XQWQ0DU_F_@%o@UIBK4Dt`mF#7viiu!V0?FK z1h2vYv@u(-tvh3@yVJyLlCrQ$YP_`*3{njGH^C_|%_Td@HQBC#U-r=}qOc8aZ7F8AMskiTer8~h`_0x-qgM35)`N@E}0T7Aej+CvPQ;5qv)ODOhi2w zi~qX-4yqf3QD0J=u@oR*WIXAh{??K7oxSTGwmIj9l*E<@Go2nM*94LAt}#33=KOxL zeEyO-RQ3VxSH=)lnI5jBC5k4Otf@UXCzmHBb?u>;p6!bCzZDv$R^+O&GfjC_Ib*fp zExp`D8d$n<0aO}(aeP6JSp*8i=cp~cgk-37N@~RrGwrDWJZ+%2*#4J&Y}53v7#430 zBWMZ62y=vafUy=OH3T$`Lax{m{Mox!^&1nzXxRSObV#(P$x^Jyr(6~jrRJ30!)Egp z3X1B(t)3;j1Tu2@(Vg8It1NVH<5!H(9F>SS2<1l0x7IH;j1V*@k5f5>)2hbdEiI@L z=|lD(;oz@Y0{&a#W+aZ05Fr;esHkl*xV0!1^o}QuN^khO2!(`S{wn%ie8+4s_H%DI z5DnVlv>N{guTe|Nt?{SZX*Fe?m1hZe-hPFiI>;BgUx}Ec$@H=(+3VnEK?gWYTyIq*Ni{sv!?Yz~%G^_{t$Tg8-@K&~Df@Pw9lP5Pc@Y>ow)N{PbwG6F3F zeO>L#+FvtXJRE~>;C2cA^ug=|12|95hl2m@*W+3_r!%x(axHPL2kOLM457)}RrP!2#3 z&G=$BFS$(EnWlw9CEF1i;4pcp>oSt=;aBF3T$P8`2xe=pyNa?QXjrE}_d34d{86uj z6*&{oIlOm&VUKmkaMw8T%t6%D(z~f2SSM=mVL)+0YJQS|*mAoR914EG6p<|Yy z;hTXaLt%+`Anb-fP3xR~IH{j-h#D{>6cvWOn%f=_XUVPxbZ(5@o0sFtYy(syt9?>i)XwLFd{wS%4jN390zDiv&8 z03}Rr_x~E-&&7gv30`~PbGcw>4qV7gK1|d#PI{d~=V!Y@0(tW;oO=v{pM7&xRvMh; zY7%e$yLWj!Fw5h1oVu(mu5+gv#qNJ-yUBl zB{v%xR@~sK%9X958pMl`R4`Cv*Gk5&qdEtbx7ecTvMW=lR*pphl;|Ud$(AiOQh)mM z&A<;-hs4ixU0`JRrU@Eq0$k$xjD>NU@lNHg^{ax;p75(yQpDXD_qPz&L}4cwto$eJ zKG#LjNS&+Z-Ppdgaol;@WRu;^=Xl%9PlDImK2w6R&mY@xIiA#_qn|wCpFF61Vnt$b zvmx%}md(}SIYdR|2)5DV0jD@0VmIQ&E#(rQccjmBa8 z*VG&e6wx$!so2&=dt3$m$lEPumDVn|@L{?k#IEPVM$+FR;8?bfaMT`$4)MfpkeiWmb_Q^^l5e&CLIy#?v_;QI zHjCL&=m&Bi6pSSuBWr{d;R=k@D_qFSlbTO&Hvpgana;4uN4AsEK*zN%`1hhKl4c!- z-Em5TkR3+?ug#hgAoLbe{4j?_M(z6 zs^X96!|c|a& z9bk+_X_Wp}hp`daTh;z6ooUl~K!yl~aWm1_f5L$uOY100Ne|{Gzsk%C27Yj-RQ8we z%Xrq@90&2r-6Keoq+HaJF`{u zf#8y7i)m#BgCJMpTxZRdhLQ7WPtkfcE<8_X0pr8-HPSPsQ_4C+p$A)y1BW~U5}BrD zsN`*xA#q>Q#PU#YUrP+T-I~ed?fG5}-N}Eh(}6dQ+ZR>Jlffy1qsirLr;)%Cz2V+v zSSTiYZGBh9_Eiqjp-u0)UTFp@OF#mXhRp&&wa(DcCKd**t+9m3b zIs`_x6nLD5ns9OK4?X8IJu3ep?SDg^)KUt!t)JiN{P;9T{~u!}?&aGH zFpN<6-Pvc?p_NGIrHT?B=ZEL@U26mC%~Njyh2c-*M(Lft3azcB5jqO}M(49ncvvEx z+y<3b?A{1M(?nN#F;A(mmR4Mgee!aM%^SUe$`ZqKt!~1*F}USR^U(QM_0?$c^c3Ax2#R`b!wo7} z6t;PYYPLFk;GzkyKQdpbU0uX2nj?ASc)XABV~* zlehN{-iP*`lU$|m_}}(bF*?15JVtRSBCUq^;ew(b&lhXGQ-r?@)ob5F{qYlj)A6-J z4zxG%hag>oixz*ojr*NH*P6k%a<@v9-KQjdVv-?J*O6R=h-uO6Ala=Xxs9jrs2uS3 zOK0>7g#`~6fmN`=W&U@4{Ok5E;3>gYE)tSA<{5{e8wLj@zbFSeQh{qS4qeIi2g~Y* zrv<(kX6=*dy0DX&{sj8|Tn@WH!a`~0n~1M&%NDef@-ByR^{1bnD24<1n#r{bQ68UO zD}T+IBZTTp%)e9oE|cedIc&Zd=Bg4gm!s`Z&C+!vqOU!885m+kSbm7*$xFs>z$sf^jorFc1WrT)gQ zc)4hD^l|Tby=#c>V}s-<8^x&LGJeH0_;CK5Jqb9jc*dq$`MlTO!A>~=T zg|p#`lq3#vD2PpL4=z*XWU3?fil-nR{$U_eMakc|HKeTXS_CS}ky(=t5&ZUr~bFh2NDS}bFUEMscX0Fjwg?J7pH`Y z8$W;HHwVPzmgk;Gyh5%5M}_07;FtJ?-&50p6n72eqp&r0bp!l}u=bzn?{y)M8XX&v ziyo+jRWnNi2dLYF5$^mHtykbw_xr{k<1RZE93)rQl-7y)c4@WwGF`(GG0qylFgWG8 z7D(ZQ36ez1qh?VTeiZ7t?s9l~jCO8M3_>e*@kXYJ3oq*y%w(^Ju0otZ&e$ipgRUq0 z!|4R3-Cl83HeJ!FzLp<4M{V<{)R?!Tod3e}Cy&!40`TIQh-sOz;ELhoh`^j1pCj0) zwpgiHEa(VO11DGLynW5T!F~;n-{+fQ}_rVMHqPeJHT8)f*;)-h!@jU(_6geTSUY zA6K5eAT|{`8|cseTkYGA#lCMaBput6@3HLbg;B{qOV3F}j^)$M9HnJgD))3IA#pG@ zuq=hY2;~~(k;lslw8P}qt0!EH+D{|m&)w64fZ06f+>C|%=b*)%I&bmtxta??R}#y- zif<(HxdUWn>FnKsk^~2L;&p>0>a#Q(nu4c^?XXekv`g(tosQm%yv(d#i+idx%%|F! zx7Fn(cREAY_jIIQcOI=S^e?@W8@QIcBIeGY`TBeE;O4n;k=~J1=0(Yiu$X!X!kHEX za4v;0<@5f2HAJ>@oO%A+TtdV@)|ROUZNHG%!6(N{Io=gAXZuy(x!*F4tll4M_WYS8 zszl-rjok(zt@tKnj&HUF&2FW~u7CKbjpHMaiAZv~!0emb)an+ENGapbKqWw#m>hu% z*qirVkfDsCnh*LOxuAi7FAgCRPlX5$pcm6}eBZTGIZ21K{zOM^UBO?Rp$JOk(NJ{f zS}?<4g}G$*_SA(NI4X0@@5l{%UAZGB6Cn7)hP~&^j53NR%ns>%7NeCN75-O03se zI@JO>Uf~~C(I->I;PGyv731$fi!Wj)ET0$))E{e_*5IPN3ul`cj?Y_2b)6w`k|=Rd zCT!pqG9}%TpPnohtjoa2P6l@Br#TdE+(q}x24tyO$2%xYu-StylPtJ~U5U^*9c&-QjxSSn^ODEgVMQ`a-u zuG-4|3F~;^!ENQh!Cxb_HR2hDb-chPBx6=;8ax!7ILO49SZ1Gs^8|*t_UL~GCh5@a z?JB9zrjaQ0sIybU*nRT){2991VCX#EZtU$xsw5?lC|RtR;dRsz$5xv|pm{A*qF^5J z1T}&6WpMLh?itUAb;rm_o}ZPF z8z6f6*0z9NjKD)x4h+KMfAGp;66(kQR24d%=jN3}V(7=f&;S07eHA_p59)JHHn4!) zpRqc;uGbFLRLU?5*h&UXZS5`K(VX!akB}SBvBkuBp(nhwR8>f9H;y-x)gPbtnl+Tm z*@{*vQV)z5+vVArfr?V?vg~3kX2am-5d?5;JR|kY87s(FKwmum|KsQ?{F?mws1nj4 zDcxOyfTKnV1EfKum2jjq2y8qw(hY)x(Tymf5+fv(91Ws`ba#$=_j~_>X@i}@V$DIr6Wfb+iDQ;hY(pW?R%Yl z@zY$R&S858e|-0wWl|0APK$*i86ILGiR106``|~rhjem{aB|!efu5KNsm=o7NqI6r!vDB!!xb$Qq7p%2CyRH+2L~h64eOkI%2%DtQ|V zJ2nZ~sInntz{Ay31FeLD_bM_jGsS*~*s4}eFp6>ikBPjY=$+5HOBZq_TD#eMJ=nU3 zCUSy@&Q$%i*)b92^Z@4RK<)G(I|b&Fs5mfnrk4u`hgX>`595MWC0OPHGJJGdCKR#i zPmO>h{NU*$1V!;x|IEYclg5kBLSmhqi|VINHj0hLAtKAl>E^xL>y5!bu*emYe{cAa zsB&8Y0U7$I+;A%+h5OB#AT3XH#WE>&&x;s=?l!V~>DB}T5+Oxd#Hmxo6L#~=h7|;@ z=KQDC9Zlq&0n)t2Zx@srvfTS z0{lsRY)9Z^cw23lD0i}!xY&gbPyN-fpE0_<;Q7MY2)*CXb^y|FrVa}cqPqTcTEm)Q z2<0nTdu0o7p;7lPCs^v0B_Dz30>l>W+;2+KTj`aCer#%{6UPf!CN$8UoS>$28g7fA zT@W{6kGBs&7DR}3yy1S&p>a>$0% zr!S|QyK~Rx2uWbSyWrewg>0w=Ml4E zX2vHi?BW{K+B!! zNThGWwr-GP1@xYqHi8q$+GM&2sr-~`0yiOM=vVG?-gz7`-|*8R=efwW**5_-k(9cN z2xKrGtwj0rA7b1}r8VG`92Hq5Hv0`bLuihT~uVe-x_KJi0D>;aemM| zk6bVs*WZpKO;b>31B`y9whTS*G`>(cZCt^h_tg((I*j3uXL- zs2Vt^DLp^XNMAW;0QzFK)|NN7Ca-kFOT!B~Fzl)gRwbmKRxt{F_1 z3bulwK@)Nm@Gh#Nr(;exd1(wflqEo~;T^a{Aaliy$+)HHCCb$fTwSA89ap9MPSai8 zgWg8UQlf4HCY3$-lNDjk>G|e5`u`X>JZhZ3*G{|t;*$+gJe^1XBvK$ydEmWME#>ww z;6@O@u&v?8J#&`3eooD9ex;W*QeP29jF4dv6o^9&PCZ7Gem8Eb-bxdE?r?A@M1eQs zmhO~=-bQ9LTfKvpOvss3hW;p<5qKt}?Oqx0Xi~|m+_SJ$Y3RmoFTznijEx~YulSGz z!yz!gu+Ed^KKVQBP?4of#x!=-p@R9uU)&8~)M%6^?aQyr;OVmR&ngTR5UQDXrJBWH z8sj$MWBZ3IpM|-amy^pXC$(y@S;qXKH7(~Np&GVhVLAhDOpTYxkA~BjiBwuq*ULY6 zNH;7_zFe+^U+Bq3?tML{##{*1K~5in?#?9RC)ly%C*k%-kpwqO?R3}+c1D|DGr&c{ z$U3WDVESJZd&#bKaOm=a?_}T>n$_p4HSoq^!}Y{0@ssP7+Lq8*@+fJdB08QXugA;N z9FI-DtE2BAKy3nUL}7d220v0>dw5$&@k!=*9a1ycKk|FyIH#l2NEJak+>S@?+~2qO z3zUAop*(sR_-{x;SA9yY0L>Gx1gr}qUgNBLb*_tsQU}N8FE`$^)8|m`^1DfRRMQ(b z>U?M1B{-=S=4`6$8=s$}qi@Au?niHo!k-)s>t84l*W*{VnV^$ONJnT~VyoBd1$4=e zd3wHs(b*)`l3T9w>u(c{nRQ@#<99g8X+k9WxY?#JmpNW1g#L)qCKhaR0pR)hm=CtW zRGR8hJ|%m!b<)(v^(1CBVa`eEu`=UXLDaV{cog>?GW)`!^{b@*#pNnD$uU=U=EU&1?=eI;;c)m z=ht_pc*pR?#Ez1ZSBnb_g{W->g&ym1#C?6B0RAA=1RLS=kf^&AzS#Le=pzl1kftQU zUb-EIE{s=#hI8FWy40&ErrxGkr2jf zq2PDU6>IBY=T3i|WU__@J)5K;yQ4#qG{P{~e4iBVsFAE2$2$AvhHb?_y`pmal3(eI z)D_arabd=*PkvR2!?Ux_v|Wb|VX}0m;R5B++)r7^B8Fh^sq*MegA^b4)`i+r*=d^Ku zC5AP95wT$NwYpNG@!~K0b?^sh7bVgKHCk(RH&CBs*#6MEm%a;BV6CO_e9$d7W7fKR zMoU|q>?cJV$CBgIG_j~u0EdhhWrXFystfRH(>&zgO4wCCTz)1D!Gt&p(T zHp7vhwO39PX1|TiuNFo)RfIy5h+!$KXzPh=IQhpXaF!H)H%PJd^Y7W=|KyY2M-0hV z`oWVmXh(TLYe*MvEyi$|1-|8K6DK|HKaKAv za84fHW72SGC403zgQBotwP_|WU2HVSJgbo6RH>+apI9O{u`#(i^59|^k}%1zFU4u%3;xp1#3b1pu-rnM zsrH*imQV17{cqKxlstdYe@7|DWET@Xo91SpWi6~LjK(a8NMj~it^?i8{cFzi|8-6# z7nNv9&BQ&gasTCNvpA`q-S*j0DnJR?5V-d`K|^?&3Gqgc?)2$Azv~qJ2swlCiSN^W zfPtSD*uE9Rt!bx04_FG?!}sPENKPR)PCU<4L^k)+r0yw)fz9j57*yQv#WgRtup^X8 zCWQ0ACxa=b{+B(3Yp;f*&`z6tX1ot6lOaQ^m)hH^t*7`mii8Gnk!sf=6x@I~wB)R0 zm+;z_@EOf%ue2`r?S9(jg1+dmLt*J4!Mk#NRKW-4p-v@8x?KQ8!ic?ey8s6o9aUXa>3HXbsMP6}`J~h6zHh^?}&=jou{2*JcJ-IVF&nREy zEt85rKI+|6px$VXx>*!H8-_}7Xb>w9FW-if#Y`w~{(YdY?*uP>YFg$WhWbrjQ@kA9@DB|92rUf1}dyPb1%MDXn>&h{`u6l@6o(~6YA<1G39bie&j?@v#%-U<(EQ2 z98q+QaVt>biajrS`vPepT&)@qV9JRz(tsLxLwTt7DFAQWnGVeAGV*G1?kE#2bf5!ft-UZa<;Mjx# z`is>olbjT6^>?n?(R*!DM@lQe=uw62AG`HcTzPK00GWZ^UwNt-Nq@cH$?uw0fk;DH zIOg`aUb0>Pq}G2-9;tqv< zBaslMS!Mj;bVq4N(RktJuQ;`Kpb>`O`Y4g5k@kHh8*uPkQkVMD%;^{=JxfhT&cuI# zo?~GBH^EB_2q5Vz^yjgm%R6shZ-Vi!_3?Ot65?|}LhVs!>3f~YPZ~jpO(r{5Zo{tD zpvEIP`?Wi-8H5vS&l^21a33n8AXEhi(sBSPt7Usf5X3f$%vPt3|YJE0fa%jfgG_&4zYn7uq0fJHDTHEzT zW+q^7Lh#doFPV3VU$#5Zt%OpAZU{e=x_KE;3I2ZUy8OZ2VK#YhI@(yv(88;Aq`vaf zrc^*{w%?x)L_zZ2@_1MK`A*JQmPt&1n z%sGqp%-c72r`5M8=5N_{H{SU(arz=>r2dW-#ZGA3PJ2B4;_}X{QriTnv#G3Y(KWnx zB)Yy?efl6zI51WxUM^w@QYLqdoowK?1@A=hi#)T3=Vip37OLpy8}ImDFK>E(f4Vga z=-10qUl>=|yt~>qN3IPSZYYQ21J#MON*!#P8N@!5aB5#&5+Ytw-jz>31 zDfAT;28pqDgC-7G7PPEAxDVd z4XX;U2|`Ysrd3;;qxYoq?|}RGRL%zQu>=lti#he!@KNGMB^=tD+S=#f4%|e;Tkq&6N>-d}pfva03ui(C0=Ey`T7g0_4~TDRqFq1sXFmZB*RI9_kQ=$JYnhe!7; zk6)B+Rn~bpOcy5O$FXnO7}u$e9OMsgz1UR zg3P~-df^1(5R-95@-Z{T;O;FVPA53*IqsLuqQ#44abH7Fpkx9wtpwdfzqw0I&E8SW z(*|}tMpe#92*MZ$ZP>dt%C>YKR);dZh*XlB(ywUg&LxxgbzF8t@heQ?SU-2jd%nYn z_|nj1=luzjUNZhR${!k^M{*EoS7Sr#?fOYML$93~WAlGjLA+AS3@qCzX`#Z;)rjJcRzzH39NEA;|_Hb)xsS!`SF_|LiSr=Xrl6 z2sdIK)Tofd8a_v!8*xu;b0zGm+Yj2lxqWfgw!KmlZ2ekyL(8Lw`9rw{N6qB3raavE zn`!x!>gOl?xfreKIZ9l!lec)gGcBMj{rDzIY$zxP9rr%)is5#^8vT@!=D;-Qc2^Lq|PO%k%MNj)RunPAoA7D3ok10%MgN?&* z0$|u;R~M*`va~rlhlMh>>}prI%R`Faa1FG;Jb*HE6t^ogBJamf$6@g(F~wO*j5+gN zF;)SBzo*aEauf2HqDejsBR=1fVHlPiJubH4Wj`Xv0nBh)Ns%n?`%lUDsDCGqpdd{x zVe1$-!II#E>$f?@32CRnAqiaVozpj%@0p4NAMZx)Y7W&oj}_M~D@Ek5MuOz?ax-K* zfbfwZL2H-TiTw{ExqtXwP$-QO-#7wsL^h>yLmZF#jP^5;Ym`{vdbp$8zT=!2|3Gid zL}DS``DY0q>Bg6X%UpNS@JTTH1KQnIqTvt~+0=in%vSfqNFh?>n;Nmlb$kjDX+vfG zmpo!?RqiE^b<-~V0_;5X`M8b7gV!|hfkGO;u1AE-8P&X&zdUX=q2b{u&;!QzJB@iX zprg4Z0zBQkmOkzJjacvrr$;x zE0(D9_g|9+j*|rA&UJol<2Fj5r~i0F>7a)}_d#k6#R2KCXc&4`AWc(1w|MUi@YsOY z(Gc);X>5fDxgkh(zlv4X!E9FQ(Z7Y?536U!Hz#i3`bFuj?>uQx#w=DmDz1bN)3!Zw z(HBN65HbHYEN=hIgzlTjmF{YNv<;Cr_02rQy~;;;Hq6KP>AFIS&kokI&F|*^R1l&f z{VF;(nUNfu{gG1DXV;{C-cTfES=X06Ns}`OV@8uT7h$?SdTgFaGUVX97 ztebym4D@lh#ODhJahY$RP{-Yg*|2Y76vOk_?y})cLs4Yg_w{FSFb(}}iU|0c*7Su5 zQu<~Q?RDc%)_ALqRbP)#Nf(N*yQ-eGe2<;Yw;JaU5Sos1%#B8;;>IxegA-T7m?)qeuK^eXp~ zDB9AY%WBRxwWXJN9Wo=^KO6FF)Fxaxx32xgH%WF1dwdhoZPwe;3e6VdX|2rze5&cQ zi5RvlXD14kn}P#@`FY@Tg`)|lhwRUFc#`)<9|nJO6>Jd*^_-`(KHoTs0y|Y@R<%@raxzR=Lq*1+xXzZ46ZARYS-Q|NEql z&Tr|K1O5>dU$n1~$ROAT>Lo>Cw?%xubnwrn1PPR0rb<3FfDLP46>8J>5$Z%Vkf2rX%l%X|Z)FAm%W6yVz(D#Olfh|HOiX`JK zkFfilW*`tGF>z|v2l;6fcTa^+Y0&2(*1REtYsAVt(d8h?^l&32q;h>}MIny2?u?W8 zk&zX1;bH`B^yP#NW2=2e$4{|;SqKMv0AF(JVrIPzG`;H6+0+^vh>96K-`C4uy`9- z=sV5*+;-zUbvaxaWRb};7QpII&yC=w5J(g#!6_LHAfWEZ9DWy+lh)bCRdwv{>~Hy^Xv>%U z_s>k$z`|uhw5^s~C$~=&d_$3vPs96hvK>C{R33tq9NsXU1~yY7T>ST|HfsMlDDAzJ z1jt1}4?7EUcf(Qw$=n;%6^DgMKb{H{u(*_;N<`(WluU3q1<*pD)t1iLh*<#Zka(RF zH}_G$#6zD_cgAmhgDCmls2&;LM+$!IUapY1b}hjg4OyJZ+n%cL5kKUtEOZK&@*_Pwp6dZd+ICt4zls3SwvTSv2*$cVs@Z z>+Xb!hNdLBY+80jTSk|~e;sgs0(_E&xy#2DPtQL}pA$6gNm8Gk6vP_U*)__sCy!#A z`$kO-Y`{kP*!+_reIV$>n^B2Jy_taCr>Vo-<6-f>P0=g|$I1Cwxp^ zlidjsG)TJ>lA{VTi)yb%UG`I&|Hi4Zyt6y!2u+Jdw_2;|d<;r?FW)T>M|D=o-52IK>@g!!CqitKRRG=3aeXQZI2Ku5wc$?S5#kBrnix%%4ZwDp=n+vC@b| zXkaB${*~VNLNHNi`=#>g-8y>f?ybXSN}#cR!kN7T%H2WRJ)Nxn;&ZB-kMbR#+RmaC z_Yz<3TkeSb!n^+eD=80RK?p>666{I2=D{UUwaC@(j`}zPCF)#%8kUs4SG#fCTA%5# z{^`J}c;zml60an_J7k*tW(p(!7Hy35Ox~xMO4#GI zX6cn6O%sGa{xHG(tX2h!0`_(YpaqM%UU0Aty+A+Y_mek>a+u^^em=7^F(R#itd?Jo=>-hQ1%B$EF-vwg<>Cfa{6C>m*>C zWD~1O)eF8{G9;E+U|mf@?DV3^Fh?KPJNYl?C8$;Cb^`)IT2`CN8&^~l8lDoyE$=`D z3fZREj8xPXzp`%wL4p5aL^X;i+Kk~!m}e)P&xH3ORb9#?Qq%Cgp(>ueW~T;5`;*W# zB@9dlzd)(5ZTbW9936cVE`9}QP^U()82*0yAK$WCZ<4AdAxT6$Jn9`t>ow2Y;~1LT zaRNTo#)jyki8yTA>i&c%myGs&YmuCASCzOjBeGh`hr{M12qQcs*5WV;tw(hPXf3*OdaQunm3L%wUQ}~?0H3Hq?_^1)l&~)S>8_8@ZWl_8 zcKuQ4u|+3e52-LRQ<)Hhe!CTfRZb(i>c?MT_L_iI(1m$s73-59&e`t-Fs4Ir9z;V}-1G zpETK4j@6#NA<(*sk`}QVwjkbo7dzF{r1op}eBIUmYwu~Hl-1|(r24s`w&9|-BMu8- zZ^>xaBRk2c=hY^1B<{|7jO&1+UBKEvsEbsKl`#ULLohZ}{S`#i-@ zD{g9H?-e%QDUxtCCcS3^+J`2_Cf9{i0SDFeK#Peb!PJc6E$ashgC@d zFcnNS?+L@ca>M-4vg-afA~+p89Ms4|&o?rzDq76NMaYkpX#1a|x+qJ6Bek_Y6M8r% zB9Vro(7%a951 zxDcMS#A#U6MGqwg39)TE1W4FRh>Qg<(T_k+Xy@EdcB)UqKYmAK$Oe+I{lEf9_a@vW zphmIyEzLWKIz}G*SH^q;~}#?u@VV)BGY_U|}M z9CmG+Xva1y0?_nYeRn#ZS$x_8@%xMYh|NC<6Vtzwc^hjT@yp)Tq|PfJ&OR$nJi`Rk zBtcd`3kTZvr0IJ5Vy$GD-Q<+UHt>E5l{PKPDOJ_+yW`k&qo!X^fq4sSP)`kvc27@f zV1+pZ6AH*-gko(rcOBd$vI4QQn8`yO?s=?4B($F^SdtmA(35g!#jT~T_ffB<7iTs~ zO$+QT0+mxs=hpvfKt5Sjt6ZU$-c1BwAXNJb7D%IE%$!8Qf^}#;rC{i|c?fDvdE-tc z=+t?7KaOzWZyZ~oVqY8{2GFp+ayD!~Skm7HLi2>10nw8H1IYQ^PXV}@RzvPC>Z~Lk zw&eAq7MtlQ99gHP_^FocGRe~#C~%5(`2u^R)nw*lCcauu%oU$8 z6-j5f+Pv{|Ufxi;5)5L@S#QsOiWPKWxz4d92RLe9S!$pYXTIr8}jOvyNsYTRQc9=SN7ZnkYA4{?0Dtk9W#zFq}m)$@ErwM0En8|qih zabvS^VoJNTPc0$HeKZ#c|IeO+emMJrB@>MT-$poZ3M^jX?2&z zS51QJdHn>zN@4JZ;6t!tFdhBFwtGX&RH^B)eyQQsQEthkSnnY*L@l-*7$JJZ-k`NL zaZ?&yK?3=;`RZb&NqaKaIJbpM`o_rjEd`nXA&3DO65D&^B{Te>tfhiC#oiuLCf2{O znYb@mbuzV<^5gxQ;p?wnA{V!NmqU4Omup5Mk=r+idQW1@Qll03$u>#uE- z2v--w?Tf{fO{JkvsC6j~0p^m8vzF<$@66BxgGzrd$<}+~FxTPT*}GYX(~5va2C$J$ z%IMn&!IE|5@fSBc_Ol{hi%Plm)6148z^2~h_$#19R! z`{hld_|rMKPfeS)+o#Ne=IYNed0EFTbLa5d>3T)vx(wP3opUzpvR{v8otm2P+I!** z|Mts}xQugb-IPp*t2Ic=@|d+~DyXCUl<#%x-si`GBlfSZT}H0QOd7}~-Y;xUvYUHS z3?Ql2hpGraUuqP@0OSi>PyF|8x_=7^7^kUZx3|ywPQR7i>j^9vd_CTINPxsfthqQ_e`=%$(?vGLj0qB_a!C{J*31h5u`X?Jks!ql{nX25v+ z>o|9$I1m=VCezit^7IAar!%46E;NUEE)^^-UEAHXKg&`SciA4TQ1h~ZPbe$bi&{S7x7ry^WpLr&om2Cd+M5E=u{nQ{k|>nvo-A!lSlCp)`@!lG)4XI6_Ow3}a^$b4PbQhT zOw^b48-6on_9%MF{a$iVXwp<%4tn8!VHJl9qAC>q^S%aiYhfyXPH61q_)ta0l&&>Nr59fg-HvL5+h>a5)mK+s+h(d) z;lBDyhf#jM>ccj{1kP_QO>;MVUnS70&i~Ajs%?xW(ONwYv{7w7Vnu!0X6^u~@-90l zcd5BNFjJekb!94dWv&>+AEmgz{&rEG%wq%)1@I9rA!xr4F8&NMhkgB!MTQhLmnd1t ziIyYK_VBvt&iQlVnsNV&!o z?jwT~Rq{aBbr0f!N4M>7Y$(8G_mCG2Tm91op@O4Xc$`bId6Uh7LYg(wj=|WI(xMgt zc}&%lNFz--O=a(u}b2w1K;XZL#fb8bp{QhK|@ST+Je? ziK<#>&^;^jg?GNDw*g-`&F_AY1mVM@HucbAp+&#lxL@-2r3o|J`&!FB90MDD~Pebz{cz zBMlm~oHrSX1C3dubY>n6P_Y@v@w~D#m>QR|De3ue9yQj)s->a*y;zXht`)CVIOIhs zpP-rUgV81;oqlbO7-=FnkSc!5FqlDl>LemfyHmtduBom6hM~AM=MO1IGw;OTPbMZ` zp11fp>@&i`1GrKO+Qu&5r`8JL@MhN_4 z>?X>f&2X)%eun#b0 zt4MIz1k|cY-WzWI)I4D}EOqZ{hs2mE6n=&tGohZ0Hq+Kf+fL(8L_BVP2(k;vCBiti z>M!pGEIi&W($CNZxH;xz?T!DwT*>|f;aRjt2Z zDFBc1$e&&8^g=gX$A=kPchxEFG)AN4VQsd)!*L;zhYV-1BsE!INZkA zfBiZ`fSVCN6WtuYosexqP*m~6g00Uy?ZMH|jwg)9o-#h=Fz~W=ILLAv;`4NI5i?n6 zk>xV}_jhH@$#O^K(|haFVY&PGh&dcKPAiLYFG(jr*FixV($25XV{U!0)r!O&t0h3N z;Id`BIDT!-cVbWFMrLdiN!uP2oku#_7kb=%w!IXbZB~OO`lsnOZdRBmY`CRhH#85#`?;%~`&#p8BbGwZ`1j7#3eqf~E^}`8(6IbFWE<90+4bW%R;iT1sKL zhw!Y|CR2>PGJI9U3e%mJF@%_gHCSgR#~h}hSUV|JSJW1-JwkjMiK12K?W%@_z)6WBwHxiuxYUC>X2^n!exC)AN1oiv_*clV>S$8f zW3T3JHoQ#@d_gBb2?JHa_=Zrsy%$N{At5xja@o@O{61`5Kvee;V^%nF^;gv;DR5pRiQteziiBX05feX0ar0;P?^$c%zC zDv8lY&a5>S369b*O)O2p59_h-cIAh+mJV7DpqZ`%UL+CjQp4YU>n?4g>*4&rDwjQN-i z8H&a18>t+5Uh;XTEd5*xoi_8DVr(}R=lYATUt`V%4%=IH04(*zoFYe^e1#Mhis+~f zZw2L^-X~Eu=udb@H713dc&zp**V;sNEtgkdzsu|CpW*q{+pPm&UV*DfIgkH=h=S$P zu=4&AspFGca>_rdP={qO(4w2LQoQ;sqV6k!SsLvsm4nWkHs?5&drcj`LcjO=etV@_ z2=7ssTK^r6ZdYylppa7@74ffbGYkY6 zG4W!w-vL>krw@vNMsH398Fi6=Q?G6rX98>=N-0umEV!f;IoV2 z{yH>kK4O$4RU`rVM`50w2;}EHH*;vxd-2?O?Gb*J%g`B6^Ey50GV)&qUvG-BGw92s znzRzVLfUXJl?~3kC8j$Nb>B-?94t#WWbYgQiL?v$^$m=51z|BmjC$v6W#2r?e{7Euf0@a zqQ3$$M+R3;5>?h*?GubSG@Pi~WFr|0>&4h2wyuB@S6cxN>NqL2lbQ~hQGzfZvpE8n zQM(hTLWNL@J)C!2{8MQqXhOK+if!TOXR&D3(QaFDl;lL%r#zFtv^?vqk=B-lZoHbv zN1;G~t&9I5>0-q@a3N`({X)E<6?mUNY<2L>WtHds_3r|CRpwpH(K~r z-$T0L>bA4a+HX?dK-X&Ti`K}}PH|6Ty60-G6dJ&z6IUnId91LBGAXhg_gXpf6a}fy zE@}l~AoE2Ai(Uck?kqeikHI3QFV5huD<3!>oGueDg=L%EAw){`BY#=f=fCEZ53uL^ zyd{;LWWE4W^eu)L_QlL7(q2HmezXq&EQ70fBn2X567RUjXm|4Bx9s2f?GyOjy71?U z-sux%&@NeL%4Fm)z3HPa&`_W}lIjHrDL3n5;LgM6(F_4s-$dh{;g?h1lmn}7 zmMX#6Lm||lan)}C?|Ib*%#pz`v{^er@nx?!mqkh z9|w$s(o+4=`lZxy>e@65#k~D|ZGh&(e;+Q5+BN&;za8*OiNmRSZhH@ODywa}o>q)8 zP9Jx=N* zHNm0~SoW@RmvA=&OWv5LYbCDUSLLrE=80h*x|VJ>+ujNr-n0k5nafW)l7Sp_LdUw- z;_<`$K%n#pp(~kZ-7vHBL!(I4(d&wP%u(b)y%#k2S(}@uZ8z1M#-vg@sM}(ZagI5& z@G1*wNyl%zi;Z&MA%f|SjkkXp*AqIR@D4Kwdu6T*|GFlT2@yxm%j+(Xmt4z1w#{`} zLy{T@O=q-Bei9c<-|rH!1dvO~3!qzdWn3h{NjS)8)y)Q>fONx(=2`Ixs9C3RZ0453 zz0R`(@)5qhQbg;!jJEI@Dejz?e+MdhHC)no(WaaUlTMGtI!%t<;;5IkXWqRV(lBM3 zf=%Irb^jrF=IIw-S?aU+POKQ$8S*U16ld&E23QclKPTkSU$}}I1N~i>J_`QL%W+f2 z@H`tm3b1WFFImoh^s2>wYjn_aM?oqG!4wg%+R%JmSwT+Zr&~N4EH41%1hzKa(@KJ@ zGLnL6QX%U`@&XYMlqkCD0*}0MHiB=S@D<5&F7uC+C*oBe=W;JovfMMKzn}3b^1!|h)>yBiGsPFW zrF-cv3B+%~_4mHZ;#_fq&VrqjCmZ{hHaj(T%ldYfr#uLc#Z+{uYvvaJWEljc=Vb~e zR+jM6eWPZaWXbl{K7}SXHl+QUEMH5tDPpLkv`os~0PGkv4&w#)#2lwX8$faoHhIN(4JxcdxAD#=h>@Up~=NCPg;K%xx79mtjlUxixIR;H} zCZjM=U3DI#rwJMY`q(L*ENrAIIzk4=Z?)(Hd(<&R>NG*@5FUB@H6YJhQbM!Q5O?sgwE_%y=HT`$%X@eo6) zFLE#WV`x%fZhpc5BZuN?hs=}OV!~AB)D5$2Ei5yB?6R+76c@g1hm=moS#Rpl_*pFp zG{PR!^KU=vSXbyRU95k;wuQ((C4;1?QYo-Y^}Ncfwfhg((|3>2xd9;E>31}lSFuW~ zZYl%o?GlNC;v-@hrgT%}A^(1r5W(^|)RIMm*HeB=cLeH`QXu2#eJDsP)W;E%8F?i8 zSDzRmg_Syd6TY=FT)?O=`17aMhk%f9nBo)DI>ta3xuJ2% zEvwz8AW%G)R?<%U;~JcgDZ0&0GkA?$$x!kw`VZ)z`aqcIJ{4s9qjH+ZIR)xcV!wVI zVWfV|GL~E8mh9LC3IfCSH6+-8TQexpk)TdeB7UoyZI$a#None}(&>Zzu}OGdy51j( z=>_7~)+FU`#}&OL1b1p}tlPLNOig**a>CN9c*8epQ?)3$Gm_PiM3m0DS6*7HTtFGFyy?D(fZ9Apxfx9? zkh#~G&ysDhH>GrKw9TgKDT5Z0C}k$DRx<1KUgG;1 zDXrl{c2>tOHhU{e4$Yo5>jX}#=we=5(W#N#vTNOq%ho(zPAmSi`#8MyBsd(juBKJu zPo6S*!4@tI@OOf5si(zxWtp#`+*RvitF6+9NOR;%?6u)w3RN@ykRe z()Aw35so$+aEQfp-#RI_ZI#p+63}dT_ne zCtuN0qC2Y8jTQY;>Tg=zWE-HG?*Y>*r~o-a_~P<87N=K_{?r2*34;Z5A6Fjrm^==mS>O;)o6;j#F+Zw0 zyPu*|ox(f8Pg->B$)-jb)o|}Es!0ei4P41gaCBq-5cFV4?n>6LxjJw`8amBe*2kDk z1)hhAmx7goPSw|+hEC1Rzh=vEMg(4>ww@#VQfDKAoZ@Le~#{guRDolVX2SFL%zG4ZVHM)TY@nEiNlFPlN;iNqf<%~1hG z@2SstWErIGEqTJrC8midk7~F-tiBz;1ZzB2qV~6(;9^C!>f~B}{yOzlBI8_h&6Csd ztI!%=We-m!m3l;qRnGhMVHgfx9NI11(5z&5$8a9}#S^$aTUn{1J1Uy|@y0H3LVLLe zf$~bI9kn6xv<|yQ%EDVcmAu;?^0e$$9cB2bRUF!-cOqW@Q7ZT7w>ZJ8MfbIu{UqNa zcy#-!mQN8CF{mift`23=KR`r-BPZ4Z?x70w5GIkp;3EnOYkX+lalmoEb-KQgR2dR6 zO>EqJ`qF<-3s6wpo(ttFb$PXni+heMn(G;fO8U^0f!<(?ki=DXdUV_*jq@ajO~+v@5+@e#{wcZqs70S$!pQESb<7DJ7NT_)o zSXHcEN*`q{!c)z>rwu%NYQ7cWwNmBB8DkPCyiHalyT$BN3%G$fblDy>()vCj(BWBy z&B4l`BEz?aMP~8~>oTM+etv#sJbyUFe@bT}ve8sYilVZX&A`wpjo4l7qK}1hv=rLp z(o*-#giM<62`c@>WUmP2ZfK4)EsCv^Ch5b5gm)jbz?VC^+e`a@gVXpSa#@+ACbI=K z1gc(bS)Cb2l>TGF+>C)`OQy-mln{Lg--|%j)5L>nxw{-)$lz}Q(Z2>J&h`0Uy`{#y zncdvfx49|@H%8-MFn^1jQL<4WmEvpTZ89BomtmmqjJ;`p(qR&Of`Wc1W=TNp{Y(NN zd9f&U_={NckLWUj36@9XtTYJ(>fv{s=AK8`iNn*JNNkD{o+d!%X@b0Fn%Ab9l@10& z#3mJEmVbMvl~}fKyUkVf+S0vkBuIx6GL~S1Ghx3 zB)DJ?tVMHJSdbE8iiNk5^NCd!1@y6f73XVL@LDd5@*u;6hpXX}FUcm4Wcu{sn?ObD zZ}2R?puLRmiYTe`OB`qUcb1PMp~j zkEk2DMEQ~zefvI@iuEo$d4Fx0`E9t8dseNZg8LIWd&zk~w<=z1snNubIK^*|PP ziC2H2u_se)?KF2H)nnrG$fU}bFW!7fhNmCy!|LB%#gzTISlX~8Tz6VGBoHN8B>#rV z%$q!Yv$yR#<0bAG)yOg0xh%c9YONT9C4PeT113Q52s=6ggP?!7ko&m?ketrZ^;fLh zX>2xqRO7_kw(78JlS#5~uxO_p+|`b1{0MBw!L29guN0$VoYc7ZQ>8CmYb#Hz;kBUD zqlcPk$pEHwjE+g*L`kl&LB+#9`-Kzzy@lfy-Wk(MLi>uV>-D?p*S8C2N4wUmiiu_t zJyg6J<8Ar#6&#KN+L$9*h+|#3wf736EG^yVZDwnNXiupyHmw@SL{W$+%*9?NI-!+U-k-<2~hrSk9>H0`ca;SJEIZ zvpA-o&uU>SUz>k`Zh`Mg8ir^#OvYBfiFzhj`$C2-1Kcnvw_~EvB-oJc*^x!gRs3R( zN0p!aP_>D?ow&A~BE=NJ%=U&|o`>o{z}31_PrM8oAq&pAaI6?z)G7v>$t?r}^=}q-vB9)9;t8De+kK4Mov{m0@k{z0x zd)T29mtCua!)i$hV76#U1!pRCHlN)TWZrCHnt!IJN7xPB<~_JuY$~>7X_>*EQLqdA zQ%%TMJA7jxAvE#IY~(|r-skqViaSP!a){3xEU#Bo`iYt3V=`#Lqvs9Aho8Sv@$yJW zF{x4XBxFBLSdEO$phD>5+Uec^{fPN~KAj|aMP~lE|3sBF-7b#kpj*S+Y9l*-A5*Ci^n4aUKOt{c5GEm057X z#bJe1xu*W#9iGQh1KD$VdZ_u58@>0=u>8$qU1uy@c~=47Fs`2b=zY&~hFy@-jD2D- zR{aTxq1`^hhiO2-{^@`rA{N3P6dbS3l&4mu_|%loTQU9Hi@}tVHN44acegm$}?Uhupedw7=^+c+uDzZj%U)1GaBjsK)3lGFG=9YN_F8~ z6}t!ZmwJUp!>=2D{hBH``|Q7Ddy_HA>tMGO4~gQ90aJV)Q0Av{Pij;=W8wwmM~Hzu z!|pwo!OMdr{rGB`$sUuk+tn>>l2BDH2VVFmek&kTr^#9DTvcl(gK|jvgtzX+-lJ!5!wbu*h}uVS7gqd@^sv zzBSR^yag=Jc~x=raa-Y=^|j?8_hFXLdrV!&p8-o4HK87~UTARyeB#542nVaDdf~y`)VRtR#Z>X24(Hya)Rd zAgM!~thy&+-1FnnlfeQ&2&2d+rf#TTOjs49N?IhE<{+5x&dZN)%%8PTX!12xI8OZw zWa^K{GUdhj70;ImCz)uM1ebRB+Q7)V>4ryFV{$W!#q?NkE#HVSvi%eM_N4bhVUv>a z!b?K`oBFs6XW;k?$9IvaWa8swU(AG+Z-3BzUi^E%y3a@|{PZROCOTMg`3cnfdn37R zEGp}t1%sU`n6rt++)z%c3-QtFn=KtcO4f{e=xQ^Q4M$H1JaxOqE?G+cvQD^M`@pTjVZxzu_VN0EQFO4UGoL1 zs-qMez!FUirgwM~I_T2rg8I4bd|0B*_4=n}sB=KZ!w#ja9?4ov7(1|QvScKyX!b$$ za6^)(eeY@3=uY&E(yQfS=V!d{UJUre`(HmPm&G&xj<8hIE&=DK(c)T*v?;mI zMf{&lCuMVduKWql#{PZtltZ=7-DXGDM3VTf6vY-wy~hSl7RR5!*Z6Dq&F8|zW^`C# zVtW3N^iz-&wU3yi%VX`WXpisZi^J1gq+ALKk-;1**g7xmsntfEjbeX&gnagG>uXs; z1SgUaP}w2$gBhEJXt5E9X@F(eyPe6qqRtO~apW|6!WiIJatjYhUSp+y);EzU5e5bP z(it%Tb~S`?f#t^7sV*QiFzhnTr#Ql^Zvg^ybU!RAK4oRc-8In?pc*)Hx7ss^v-i^k zu2LC~s=dL-k+%{_i_DBRKKaKMyFl-j_5AM5fw*Jv&c7B9i9uh5O*$BF{3P8xr2*Bg zbmEWb**9=_=)WrcU3@WElr=+@J*9tEP%^?XIlh>=*pzQgT}jQFtcq*+tj(Yz&3WXE zYjF06G?l4s%F3n2BC#t@+tbCGawu?y6;Bqojd{NM>z2T&L{wT=vUVjWwqi zd&Ef=g&K+B?Te%aIJt$yFwuFI!%pjsRmt7VlV&*g-lrYivdp7LQ`=ltR0^GLpLCEx zXD*ePv`2NunWu9}R6A_jw^WTM)!ang4Wxjgb4xT|N8ZlBDoS51264zyljArd%L^`? z8#q0v9~PfLSo10sy=oM$OWfh14*n(Lusd0R=95EqK6T!17a={ry*qVIJFzQTXK7lq zi-Ui$uj({kc|9%6{XutiR5(!J7=1Q*34m;ub>n}sB=B&}4`QuP6WO8;?I*QUt(^Qd z$u=3o&rIlEEpfAXz4PM-v8<;i^RCx`R)c73@^Z~GyapFFMG?qB*V1vjBmlzr$$GBq ztkH3Ax&^vx-mBR7%;DHz3PVtj=8~3+f&0*a6@7adeYk&%mOt~68ac=8D>lymiDzgv?1Zlw&$plDcI>kfHv&FB`hK@GNeikiKx9kkIa z3$j=?`m3x=q)vegB&O-K_w5@3C;&! zdv>XCR#=usd=@VbZN%OH-6s0;^=}*PUiFVBfU$SdI`ahJy21hn6V9XdY31+VqWLC> zy8Stp+P!JnGygT@|2~!^bg9tSvu_<4x?LEVCV5V<`QaC=bN|1k=fc##va_g{(cTq( zT)()mrXFE9hItn9!&m0MOmT4;T<@dP;AH_?Y#!qDKr?(J5V!HS^Y&qzj%REqC3u7o zWlV5*PiL2~GvK}BfSruq{?mAOB(ANq}1Pr351)me<&c)IE(v&a{GKU!@@Jc%}O zPuP{4b@kPrIKNgV1RV*dPlUfFl-P~amEl|f+i7Ps3FS21p~n1vvf^%ZZFO*GKudpC zN>j$=N5@07<6fOg>h08ZRj#Ey4g}&Ucq0V^fQ8sr0gM zG;^zb`v;uuWlzIVL@kPp+ipl<_^WEvMO%ni zleROoh_M@D3cp)Q{Y`6jBIXoW@lPigE4W{ttfX!ioOM|HC5lDlI2Dd_9>*zpq|{fP z&5_f^D-~g;W(=xyseiNL{mcF;zbkn=9)z3>`kM*FQORD8nNyDSa!y(FWdEsNSDuK`{ZQ*Oe*01Ht!U-gs zUpwDhjFXS7kav?C7vhKd5H`rk!_ndL2)ef>X><-B`Sj(Lu=;?;?D%@+wMjZ%*r24v zfw0!?piy0Qh;2>an))CG3@qDLw6l_`8<;Qut3(c0`^k|PS_C=YVW?1YtR_gty;10F zTd{zf6P;5OG(4WzB%HKIYKGyH6R@A4V}Y0+gKCx>=VFbUOqEN(|0lSzAKOh{ zX?@ZrV@ba`Dh9_si*zU8m=|)-@8((J98j+Gmng{7u_QsA;eQoR^q`2sIMYZE2x zj`4dt1XHh3jci*E&h7$#p!ppfau!%wXxjQk%=?F6fXot?;mL2459L4`Y6H}ra613+ z&dHK#{pAnw%Qy96xTiq&q9E5RRF)ORI`)Zwn=6?~aFakI%c9lrm$XOx>H}Ktg50+4@cOJD?&-%(KUfkjXJ>%> z;g$#Cf7QHdJJPtwr}fL6%Qiyxl`(i9p0 zbS4RcVPEFYRF8Ph@4pv9e@|M3@@!N{=JkK&l`ANY6}98}aC>GCp7I2#j&~V)`w`bp zpo(8{q3&x8vi3@I-uMudkX2l;n|y)n6}FwHQCbe zl6<|ROK-9IBTG7VgDj4N-Bfpjt;c30r0>o^s>zP_|I(LQ?D&8h8c~tRfm5lm`WGHx z__D--Srw zWxTcA+esKVgXy$4ysdym`dD$pJjeAybg*^Bdl;_pl5FCXKw{0QAcr}KX_X0oVEFNA zU1fTmSXL>%(syRdKt>y42EL_+_c<;(AiWQV}ygN(I;zD2I2+V$ygwca8y z1TPWp&_7zuVm{I5X}eJx?{a5?AafbzVx37|veW4q+NF1v%Z1`2u-}PSZp!lQDua_PqXNJ`%w&lQEz9`MX z7MZB*Jy6Y1OPJcGLKE!uONWDPr;AHrGYtcD2S?)1az7wB(2=gq_16xa4O$?{xM7rq zP#HWYM5VAO3!5PQkIGAokX;n%J?!LA3vX@5+GS-?T8u)(H(T?7IGn~8ZSz;Zo2TsV zZ5JPn7Q%*2Rhw)p%5C^VuyB{mayguW@xN(_6E%Mtr$f_hM?mSXd(=U-YPZ|3gZ#s) zKL(kV_1`7F4!5l@C)NrIRs~Ip3C~_z`hs>O+l;Z!R((?+eIw0pA3eq8u9xS)76`6A zd1O%pH)o`2ha#c39+)4!-Byb5+_bg8Sz)~esE=6SmMXw>IFbn)xY(y$r{go%{k!v< z8}A)nIIrzaGBV`d>-Wi`rFkIFV9UKjY!htdC*=U)sgY+XwCMfDhZE(pST)T&_v(YZ zV8vUHV;o{6FTKa1nSeGyf~S`)T^@(n`+q!mUZ8;{VG9;q8XaV$Ty<0`GO0#C_s9-ZVpWqBqp@{!en-KF7tcYg6{f z%2L{iz&8<2l=c0!l2(Vxob;yZTbU2Xc4Z+~N)?vuJ5x;XT>HJzX-UR;5(9`5PX+KO z@#Q=K!pDIV&su%M?|KXIG7Vr~>gcNqVWv`y%K^E}WNb9HjTO(EIgbE8hKWFI@ZBx` z31h;r>b~>#W=)p}C(=!epR6=TPXlk~j2JYcr*$mDrE0Ft9E~1HXzTAayophbUIinw_31vlOF$qt4^ab~fK2GecXO_UN|0CR?Mra{H(AVzi5vuIPCf$dWV| z4kijdeeSnHs~qz83+aPD)XE{w@J{KUVNW4XWEsZV>ED?6vX-EoVB#6ZZ$Ce^6#w5Z zmq?)Fqox;6{(IF-e|~pq%MVA+{N18u3OBRdm^S6XQsNmP_vrYBhl_G` zVU8uuOwj4ac0n1@EfcW^{<8Tra0yJaC{*ZeIybbk2b7k zO!jU*UuSD$dNnW-g{zzpBX3_$Wa7tpb=ZCOI)t65!SXiPIjpAjR-`zy&vgN#Y3CO} zD`Ms8m*PGD;Km6g9JXLzqUo@L<=K3widMQ~`4i;G<@IQJ-vOjcp=|-463o?rzqt#o z&~~Pdod$;6t^RwO1R52&6|92q*{S|e4!8yehh&=#LLI=L_Ii|0zWa$*IrkX1?Dk+B zmm16d`((kdXd%oLNZrEmMBP4J)}rlDu>?Wunka4At3O;gcayu7uS02i{ESAK!*2c` zX}|if@VfU>ejh%n*$4{YAK8it>20qSORfe;ZT(Sc7CXKQ{zM|Xz@%pB!9VlAho`}C z0xl~uR6~a-p3#TUi06}^Jv7abpkMx+34eEiI|!g~sQHwIpRA<>C0hA!xq9eM!>O_UTt6(B@po^{)9IZ?$BD+r*K&8OO<2_!;c2*RLp*Q{!BFRheIPLbH@G*Pe z_ZAoFOiz)eM$Wvk_kUi;foqRj9lj&;Lh$^;#r;SB2CgJf+9Z65d&Vyg2J6#0u&^* z4xWW7=Ayy~JiQjH8=5$!%#X)S{O?mjco?6yo@qLsucGSx|O?+Q2j; zUqitK`8m2P?mx%}LXh-4yoQkg10y)QuVW3r26y)yL$#MK6$;Lu$p!<&klA!-#*`}& z2{Pa%DT0dda1mux*Y9r_;zDZx2a&P6R}?Ip5Xhk%{at=1ZP_fv2jBbq;(pVw^KJ~~ zAag?9lGLh#tT(lrB@0)@GIa=!Dx|>Gg&2I=*)HjL%3C16cg3#*@OsaQ?cfF9eTWx* zy}Hu*aJ?6yVq5XG=dK}JG$C&U3Z?d>v%L_pum94oIe|~4zmc+(boe6RnZuT1(Ohdi|moI8FlOEboVZ@)ol?$mJO5x9RrR$nX$6tgywvO5$V~io%U)^6iElF|U^4o@Otzv9=kb_W3EpOF8f$qkaB2!ko)fG9% zB<4F~NV$Kf#_*95E)gPxBw4GOlz4@x7y(n|X8FlueV#4w#PRAPDw+wC_luX7hY_z}uT~=WEZSxcSm0Q`p!1_TjpZkio z!mMA4G{mZJ_gwcwA6Cvrz+R3IqyDjx~-OF?1kp5*2FrGilRHK1Mw9VW&`N_Ji zJov*%9#h3mLk|JiP1me<^clxt*F)fDW)7K0m08|99T9`APYS8|Ca;(!P#;5SC!8Aq zK8h)Id?15^Z=zK0?p7-HA6J}7AWbtQUma6(@{U#f1>qx2iHe-25`tN)u#9+l>iLgMn|D?lP1=gJ};FY@d0~5 za7HLAOfc9=bWn0m2O~J_9Ps4mRmV_-uu~5U4u5(+TlP z4$2`^1hKyC6;TCV2G-6(t``W|HP``t~%8)#9uCyhb=q4o7*e6hwyvCer%EA^XM zJFrzlE>RKAXxQ*&RHI_QJ4Gks$AkG59B(?}n8{SPXiU@?6aINP_Bi>teodhXK*v_N z)E5eH`btb3gUZLb(G4mkjio^T{m;4>mTvw?ub84u5cMnSj6Un#HNW|})$~#p-4DXoSvb;;*YaSiE z!6@Dc!dp@P5yI(}^c_>;N$A(9F6ch*bIu@d&wFb1{r*Z_pYnHZN!}k9y~?Iu6t1?T{pxR z1PCN>-5ENavm*G2p6?0FZb_x5T`vUn^|Uj>z&CqfkN2Wjoax}E=JL>^jxgCiL5tX7 z*3oi#&=Pm-75uf63jPKt#a(I897Qp1%{lb-K7p>QtX83BlzFOp9cAw{dfbza&5i{kxcV}h_l*4Ud z1)fDMQIpB~8AM1$G_|EN{zG>(i$uF1o;QYu@rHf_uG!Eq{=3c;(-#hjts9gy6m3kT zxkxvN4Zg#rxJQB_qG<4%HwL(_WF5;`o?@nS#bb&FhUKBnMbe8J0^ZZi@k}mL^9n#T z6fgJA;Z*!ZQsv4Vq14Q>bc(GjVx>9eJsveEX5pI%KK!4Rl)ZkLrpM;c=Q0gODf#=! z37i*!Q&HBCw163??QIeN2oC#QR$>!;ap^70kjMN7R3wQDOx;$vQyKHc@9WG!0KZMX z`vrE-^zMYgp86BptCWXT4bsQ&YI+2BWQdM+P%omd4gv%$W5B5W0U1MSlsp;gY16X_m$qt)}*oobt5T$K@YL&RT(Nhgv+ za6$IDQ{8ZqD);)!C+y~~(yKZnuxMbGghL{eIUoE*#-Uy9-P~Wp;&23% z-RX6J1#%kZ*t=^twC7kiy45i%k_=TN6Mv^(M7n0=4m9TuBdB2v8^#j*ccBsQsfBW- zzb$X>`}qaa&vOSknWz)my$x~vSY3H3x%y({t7Equ%#NI7=?4>4#+Yfw_d7-ZiKgql zx$-#U!C4?^PlQE1dykFOipG8;=s6OC5+>ArIIv@VPl#TxwHEZ{ z3vr=L8hOJs{NvOG_hyIBg?g1hc{}dCRt8nqRJEM2ze^=8>?jv$)_3z18+6j)gDg$} zPqZl8&9%UGhdXB5_fc>v=ebwkvD;$ruHf&eL7#Oi{&+ZkoNy^9l!)rIu6y%t^l71p zkeKLieY_3$YsS$nY^n&~ZX)2Qcr|XceS5HiYjeQOXoQDG)yulfWANu+sm4mEr!+HF zsKoh>&0x8VoUKx6xB!uz54r3Qx0 zfAgm22`I(7ekk^({pRhf^5&?sM5*`yDjfV`_v_6Y&DJ|^5Yp=))qM??kMvcO#^1F1 zU_^gDzy0Hm9=bexd=EE!#3z9|1Jdqdd1?_7YFEac@=2Y$oFpbvp;6C6qV7Fd`M}BQ z#Adne=}b!vB80OA-xY6X5O2Tj;wMK-?ftKEX1|V;b<5Np`o6R=-0}6HwWTOv5I4Ce zw`EFuso;0FhPyY77izK#0yFLs3cSl7#Qmu%b$SF%AVD>FFrAL_XEoPgx_6fIKZjWK!(Ma%#pP)!`+pYX;% z_SHi;g0xmO2Pmghe4h|E%%0_0GL>tQoyil54=xfM^76|8RAl~aV;)!hM&h#HMU74* z&xi0wfzsrD=ya``eLCg=F&@JV})Pjngn+Fp*2 z*6W(bAJ>-L|L(u`H*7uDi%C<{TOn$Y_b=vms9Jt2q0PY~CrB3=;xtXQ39MozE(_0s z^8^_%1D)54v9T5y%Ma~IgDkmck@=Wn*>!YS#w}<>GVXo%83Fc$lK`A~oODe*$nTL) zhPW~)d&V41sD(U9+Y9$vlNOO4Lr0tk$F;&ztpwtlt(z`=fqc0oqLXAot6({^!1sm8 z!3$I+(Lg4z&}nUW^m!=#u=}9n^gADceGx4<)?WJMLQDu6}=Tt z2S_S?A|}uH#-5rB66dPs9~gCtiCVdgdg|6B@4nCv{;>VguPX)(l#)VWq3g$d{j|x3 zl?CXBXMo3q?2u&@O@@l4p@g~oc4o;hw{TTuCS_BLe^8Ixk^^m|%bmmJdQgf;+910V z#@9!QJyk1|OC83yN`yawk-q?2MyJ_Or`A%ox4TiJO$R7*HM&T%AX;K2VWP5-2|A*lRssB+wtaJSD9K_)A z6tcR2W!XtDn1L;Wmj|h{{*%y&YcquIcJ@`)&lWV1O{f-fr0VY}Y!3wKI}XHTkfY6? zNY^st0nXeG$_jk!##^qJYr9G%nRG?H>tAL}R_pn-%*wP1jaNPEusf>U4S}SG-XcFA z_Epo=5SkiZ&Q>=@_1t^QZ3{^&nv@qRZ9BJD08n# z8v*OuJwNT=6bpB*2@Os&yoj*jh>P~}9oorHSndvV;BZ|xz>ZuLhD<>p5{(c^exlXJ zy({dr-RXg!bem0T8o^j|UsEp7B#9M>6zW#Z#Va+Fw)4LsX^-t2lcMlwtBK2~R(@s}3>oz2f^CFCVM>ly7uqDC}(NZ1vXA*B-$&)%q@3&x~U9m$%%DzEWkK zwT%u`u9>hLT7Ff_;AK`Vt*P^kUL`^bR}Jz_P-j=cr!k@rTsp}Q#{^Lfhn)@G>M(}4 z1|J#1KHte=rZlj@6nHv0B#_jqKAzVbGvR2A(h%H(hqa5C+_Neb0}=UK@v3Nh(XU-i z5i0kS5n@gSEp!?|TO!(1Bj3I{)r)m!C{t8fhBNWM%yqsSd6!oo`h*;?wjt#;%}gQP1_MgqzM}=ZeOaKxf@YFl~;37il0B_W1+v1=~}y^K^n&|05*yH^wRB% zy3p3~rYR#BvFo(^;Gk#6Va^7w*!#QgixJJqR>r$KN}C9$MvKt^B8$pKh^DQ&lqB8S z9OSQIcxVt^m_e0htu=^!)urjWBq3;Lagw!{1v$z3Ugn#VKm9zF?L4gb-z>s7e4Yf8 zleO{J4#vRs^#fO(1NV29444>bT2_+p{PmKcv%WRivW|sXR_bOWtt zQi~sq_E(4%c#X@>GQ1(LsS>yZRI#$NT65}#=1aX@bA?!GS~}^Wb*ix9p-P^+MT9x7 z>w+EN`mL63$TtEzX#Li|kkug5&E!PI-CUk(8rbx$nPD$%b*;o&Nr>v<^ecO!-qV0u z`~fJkYMr-Ev_0@XY94-eR(EP-iNm51cMdgPd|Sg7O5~(GzhN=$t?C(P4cHoYyv~yF zs+p`=tsQGD$+cL;OHL`DsLciiDOvhw*BFY1Dd>4_WfO78d&zcs#vt-Y5TN1azwR-0 zM2Ox7!H(NGi+&7r{aY`^-5eGuPDiPaTM4+FdrcvE;XX!XiSXMxhOTys&JHB#%pck# z1~l1DP|hJq(tQ3_)6S^tsdL;o{HM%Z;O?lP@4chD4(1&6vwksFa`UaD*@M&VTC>qo z<6IbptMgi}3gPpoh`!Q|0MWr(9Z&7ZY1qr?BL+_WSN<%>YQ!#>L_(Tc{Ic;)wa@ue z2;-ES$@sqCG8{VuuEw*53GnD*aIzS)$RYjBAgb3#x9hk13dH`Id_ys1RId|BNRx{H z%+4vF-1iyZx0|Rhv4MbrtjIjep6eVu_`Mvnap+_2@$h@&aWEbc<2kpu>tFbAyj^VX zZoX7(Fq`&MnKbbN{GlJfJXx3GyalnoA8!9LF~k^GE%uKdH8O>VUT!jCRvZIB%0zkE6F zrnMA~f#+TlS8%3fqD^O-xvs?T73aJAzoaYaf<|q0;7KHVSd=EB`H&exLjQJTFDjrJ zMw(s*|86Rf)N51TIe&NDMO{D_(y7`g8J&PuZ|4VbZVESN(}iecKl;e|N*Oxi1d<{@ z_8sUzSbTF?c^l$kO5Uz{sRUHyCZhsmn~K%&$c1mC$S6)hoIlXJ{FtEU*-35MCD08K z!`UsLLb4qB>v5oUNrZ zs}^TX=KKuo#By4up7-wSSiTXSEziRSyQaw`EHB}GcUmIFB6tYwJ?X`cfI+?n25#E} z5u1WLTn+vF56&Eg?Rct{xm77vhu)|d)~P+2*m3tb`7qAr>pD27SEi@78gx$<|57_@^*_8~LbjAIFhCTc zNvM3HH3RnhfQ=SIRd78|R?sFg5na3ju25 zRaVW%l6JM2ANObM)sUnLfL>Z`ha*bMDMq zB-nF2K)f$PMg-(IqTWzDSg4!-DHm{Bu^FFzA?5b3Xp7NVcUND1z#pzXdf_zw@W<;o zK!GR~6FiwjHR`v1gFANA|4NitbITetp>^=&^kisd1tGp2@D&3!y~jUBxUF_lus`kQ zJzmaL*PYu4W$wLueV@@TTquvnm_NlR3ZQfqiS`M13>6>(TI{)Tw2whYcwBw)pL#-efdExxJtw>fqWAc4?Eh*U*e?n< z2N_=yZxCGErD}26j2U!Ct!+kHx5E&BeIKSjPokAix>X84>3O^8on~oo5^#v1@l7s( z&3QQV^1RFA3$Gzg5~vMf74QAcvJv9;kg776Psm3|y|`jJDZL>2Mms4%Oec{T)kWYz z886vB1GM4}CEfuk$_c!c=pn3>2}pWZ#ju{5FAI0rcp%LAg#*{JJA1V7#BlP=PL5#125jFnh@)i_kB2$5mzcu+b}X|(pnpBu7qG&Hz&MF7cJkj9 zGdH4Rlj5>BUwVeZI0-NC2gupudi1R~NXT{gv7|&=!{}oNwl>27zlN>ZnwG>3)l~lx zI9uU!M_^3mGS}KQksVl>*iPH=%=>a6Rd<)C1#lW9iNvilDHq;SEeWhsXOES?4f&!_ z#_$vI=^mT@&D+!=r@g$bM@!*iI=O^2#|!RcPG*C%$@e=^%t~2jcLpc*-7s}&z*fIXa|D$Eq>Zo_gFMO&$2Sx|eaS zyW79WeFtzTd&WU>%#n5Nd%6VE5XFnAN$#8nX{h?S>7w`3zboh1$dg2HB%4d{XrO7D z6*-|F=u|au<%2+Tc4N$dUKDI2#D{#-_&8}nhI}m|;{-CX=S#Q=^U+$S>8xB#ru}N?PAo8g!;7J`8U+4o*ktd+Gs`LE88o2jTJS*NuYnl6=X> zKNqU+R@uW!$@R zQsQSK(6JA8pj0i9lFjW}8*%#=+ET(s*r2g&pmekyUp&W@g6$MkZxyhsS!FkrtHk%j z6f08k6RQHc^UM=jIIb3v-M4S3mF-G~QYT?=_R{2q&X89Dwh@RTw@Zo|UjRFoC$Pjx zFZ`IuUp+-OHJES0-B3Ar)0UFG1^CrRe+Faq^;7tqokJB_* zotWfgC-4g*apwy`UNI!r#=;?3Vvs?0oSfTKTRra`D0-E8ZGfUF>1wBVyIBnwo%CNW zZ&?K&R&m-57vO=G!7f$T&f}9H)+31HMs&lH?PrbH^IsU4L;iPwzsg2wTsYDi={qCM z`0S9R-4MTq*PshQ=vMsp13pEx_{9^r*r+VEvBtE7{nwScTK}QKzx+9f!i~Sj z#cks`nO0a7pSRC%WwWBtpsd-v-s_X{Ryab9F@GZ#HHeWDPr1Y($#s$$i`&MQ z%|4uU?Rqzp;z`=G3pdNd)&0`HAunVq?m9d3_ABilsU#G?ldYL=47S(Jj;l)#gugJ> zTSeURKS5MQ0&)THT6IS~XS(^t|A^u!flq4A|GlZukXT?TBB3a-A*Vs22KK_#$kJbw zy@PEG6drVYMj~$)7+S(^UK%eza!i845Fyd_F-`>t#&>z#0lE~pOz-U(`X!U<{$#Tp-j0 zuo-n?@kQdZ42rfl(|!*VYWB(FENG49DgNL4K;Ea+yucd@ycU=${PbmpD@V|3e!J%v zkt6L)s;T9Mcxkz@0-Z9(+=)Hj!>tlP5x}b-9L-yBY(4OqKV_iefR6TQAZ=!BumK8O z0RwRan|19U9fiiRAV%?YlvRIcfIlJFLCX~AWM>9zv`uGT1YksLL{^X?V*ikg)q9r2$l2? zR2fl%w)fUrLqksPoQC}Su)%QnBcXxMp zGt|%_DBURvQqmzYFm!i=Lw75vh!O(QI0zCF0z(bmo$r2sZ@u;YIcrUwb1s~F&u8z? z{_F#=K5KZKr3Wpj$YxhIrVTo#@dOwnf4rQ4sex;f?H|i}3z?+7A=Iq2sTy zQWS|FYCdV4GtbxXKCV9#dhD`X3tyZOy`3$iaP`&k9?F?mBrs2_{Iv zWlSJdg`o!(d$|e)p{&9(W#F>HWlZ&>Nj^-%EzVG)>QqWVgtVRqd;lYHpe$Qd# zEhpSCD006Nhosy;ybY!PR!D9OE$62S?xv8ObKf5rZOQdF{O{+_W!|$WjFjN`WH%k)KVDpu~LwBlsPU$pXM#YjqMbN==>l=U4$DpJ6 zpNp6#dh|_NFZ48F3&qL)bkQ<3Wcra*r-rm=5qG^>Km!yybqh$ zumcRkyzd&UrV=qgyuF76vN{vA#oniCW5$t%Ix^5h=UM1NC2Y?jeLW(rnf1y6WQCSG z`;`vaYOi8?78Qx&=d;&M_aeg|I>))*q|jQ~TK3(F zT6}*Nl#_1Ha5qKQX2ftOX4ij)ukALzj5IYLTe}FQ?P2sp?Z#qX3{EVl0671Jt1|}@ z2B^#2zfnmdpJh@IJ6!*pg}0Aj8d8h_Wit#V8(w8w>QiLfvHomxIEbf9!06LNavP*1 z{#l%I$CX70$vP>i%TEryEkV^C$Bv3nu0N+0Y}$&hL2p9wc~}!tbFYfXRDO+4(D{gU zb_kFx|4P`x^L^Gz&#CWUlv|EG&TS=gNe1+1Y#(1m3fR+Y96NaM>x;GsJO2l&`dMo5 zgd9g6t5Gsem`g4BS4nIbfY4qZt7ua#=Qy=wds%7VK22Yi>~mKWUBo`q#l4O~WLv;((lit`&0WL^8Xgc8;1W4P+4 z*RN^s#DLfG8s}^wkQ;pd_dvVID~}S@q?wp>j#sWe4SH>f>4PkAnQrHG-q$ z^AbR1zhD(m^t*GW2*?_3`NyGdusGkeqcXtSWz{;>C#U1GeankZ0m|79#ox;kh@2bK z;2uD(;m_}|#jA6cAt)*(+{zsj1R_4ABCWXn2A@iG-W24FtSLHb-UKTtb+arIG^@U?xPfN80h*G1qVja*hG<_b^7rkoe z%1r1j?+n2Qi)rg3>+^)3WX}OFmU9JOl`zWlkHZI%vm80<8UBV%0y!M=gbN2+Q+c+`kN+SQ#(O4J`5=4G)6BsariGmsT*~|GtC|=EE5HP_e#hO-lf@W0EUNj8xEZBS8MrajVsvS?-gENqbri3*8aS*#P!Gf>j4l z;x_pEIq+p4O2+orsaxJut_oQVCS6Xo%V&-ZF?{epAfrC)iskLpRPSp2m&n(W zStp?}HD2Xsz0fZhPeGu+9sFEpf1H*(Kc~Up6F&@fst5N?{c37LC@8ekkaoKhB7e=u zdi0a4JkY`4zxQ7P_XnMwy39D)^m;8rm*kySQzgQRm^R?v1Xte>ok1@BKx2hnGQY>L z%+X(GYf)|X{vO(;ON{)AHsXaPg62!Ry812Y46$=#RYv!VdA;*1QP-wJx)m#RP2bzq z7W;sgwPm#40j-FMvg`>A#m>!Qo`u*IVW=_QP9>igRpuoQ1@H2Db33+Fyleb14<#RcIAz*Q;b2}GG-IxdRK1|xeV~hvl>jEn^g9k*L zVUB4&C5^Y>Y}?yG2jEWoc4$+&bl2&fL(M zw?(Jvhf;ezf|aPq>J3P1ncH?NP;OAXz?S7oUvo98420Z+(_dlB z>HG})jeK2tc4dzV$16S4E}eK?Isvqt;o{t@t0a`dg|x_b;b-r{JCz2u z96Cs{)03DT`DU!V1qOAV4cx;Zi)&iqv*zQhsh(z=ufO{!;w|30h6eh>5|{w0q^o zS=ysQ{h*25{XrT3RiHDW+CGWk7z$?9X^88Vf8|cH_@50WB!Ar_TaC}g8%CURgyY6K zrXmCuq$p(Ip2Yqy1({Pn*a12-fCAQSujTc=v>BxU2D7QKkL8NKhJ58aR;o{uFlR!x z_WChxLgp@CEohNWz1kD`e*e7^{5y~@)t09LoRp=%@ecPY?>s`{JS` zaVW>Y9YtYRu#~vN>yZ9?qv&M~(mA(yo15fn=U>@7?GM74&SuUtJ!l<2I)Jlv*<>t) zwgkUnVkJoCZBw4oKuya{k>*Am!M3@}YtE2`%*5@h$ciU9qDlG8B)U_EkFGB+&^|&E z`XM>;00CWo6S-OSj+ABmEap`E+!?65=OknMk}dRI3P9+0F~O`Sdn&(j#N`r)Zj@-J zmLrHI4gR@W?9vwl6?JXTtMsE7D0W}6t(5G6)c&%CQg=E+B@vAjh0puRQONH6)mAX= zF2rjzhHji6roL013h%s~Nk1E?_@9914Wk~9ccm=`I6(@{^G3avcU-urVoUX$HPE(p z+VVCfVgkp$aaFbTiRU6~r}4?nkRic_z_g2NLnk1`kzwXp9hqQhrA&dqQ5DZ`7J50A z*!%&8S`hS7%2GA=ztT~-Nom>EzexhkM1G}Zve7XgSw4qb(fWZi8~hvL;}TI`M!@#b zP;`Z(m14~}feC&1Y3#p0(-Laj&F5ABn&@Ed^V4yl80=OBm`Orp4tRWF!g!<*>$IR4 zPN*`-8jLQ_-&4FOJ75G>vyxo7bWC?a>6L_DeiNUZOx`u_UhB zp7F`N54Em|cyu-dq+Y?!;swIp!okK6BC=3pP!5EQG2JaOQQzXV0Hx4&g+g4Wwg^xc zRENR=QL54-+B%iwIuxFWf=@T-8>&`IXe=cLg(h=%OOaemYE=|ZGV~wIBjMF z+b-$Mt=Hgx6dx3-St;F4yVi414oek#K;B<71#U5yE=f`y)(%gnjnHAXEHZX_*oG=L zlnXmrN36}=Pldy*(LdIHT`zc2A6uSz=dZ_Gur*=+x0LzlzF1S~$n=49&%IoiD;Zum z8k|`-vo^$>vfT#o2+#Mkan!c~enH9kf)m-tQlf+H7+@Pu^1@$*XKkv>1$o4_P8GB& zpk}>tQs_?hG~{r1!+O1w5wt?|aIA<0n75!$WdAGWOb`q|k2XQT#$!R55Ygk__Jk|d z64Qd*o6J$nj^FJGWZaXXY&xuk#?1Q$XxCB*f-Q=I%`9kF48*TZ=+**A;Gh74iDoY8Un~ zDKyBhK#a<4*Gt2wMEuDxmjg-J;Ujj%wR-Vl?Cel>B#amHL|wAI?QqRpNubCVHs2zB zVt%0BF^6E@X2J?4kEG&A$sgTrfBTaVWTK#r&KDOiuWLFG5*^+433XTeI{L>-T@b;> zu(>NInD)K7w4jcxIJ^)KE$!sGF~{WFZ>avpJL6OI!ZU=Jz3Z`JD9YURDjc09$C=e& z`kH6a2hLWz@}A@{!H(Yh2O~`NFMhjdzJMSZo&{YK8X8d*X!pZMmBR2eEji8g# zv(kU4gDMB&GuK4w3B@$9I=BWIg$YhEl=JbLg!MtlFFgluX;;vRVXDWoF6EJa@-k|> zMaJ*bt8kDcAEsB0b2{0^#-(=iI14Vw~DctFwb&F6&;)K#b!w@nLk7dxxKn8d925IP0ZR&v)4M|fnV#`Wb%V;yKkYAjYYoVO zPxG;R!SVrb=C)oAQA2ANfvY={7vR1<1AeAH|(&>egZ5)_7&s9snm<`7u!rDbG4%O22 z6XfuP=zekLQ3HXD&G_AhpF!ob>W|?d;`Yq*?+zdJNRj9~wk7N^GblOS6$9ZtY(@&t zOE}|<_T@s{N3-;B<8=GT^6OkJ4*?aigtj4zcjg`?Lg0WpxqRDS^(9;_{rfnY;xP0! zd%vhob?yd9@BE3hgo*apwSGt8quk%>%==Mu)3o3Bl6i3A2HceUf_hX6LGLIxA)MTM zUzxo~emJ=*g|OGuLpK0{MjyZ2Xu-0qWqjEP%?}F#7`B2I`HPs(xH2;oPo)ZTv^?iEG`d8Dw8_v)SXp-&)yv{Ec z<)ouMJo-bVkB8v{M=FoXQ3TUBq17ERDkRnH?-t|i(IhHKr~%HH19RXH3#V5U5I0s{$tv}e zikmX2I4x1OA4;c4`DTV9s>S#JM8CxHAvdJl;fxHxm-uN9u_Y@Z+Yl}kE(9G-J`%Cu zl;R=uFq!XMON@5xZYad#;suQKcUYfEEjv8WOj;%?1v4lq2tv|nKW#? zUL2gQTaOp8_>{{(uegP_E%E!ct2x-mpYIj%6_43g*lB1QIl$z2oS?b z8<988CNn%LU5nPZAcRggC_gf9e%)k)YyGUlXaW(J;-R{~RU(6ru|=w?9kRe3-`hC| zLd(B(maetx-tiVTre`_W*j4J{tislf4J`$t-SKyFvP0uYMrn;q6z)Wk41G50ie?++ z>H=zER@>Gi78`=sM47(L8w{?mJ=Hl6@bUSI2yKVSZU}bT944I_H}cCTK$82m$90u3 zRPzyb%+z5rb<1tKkIp68wt5;s#5C3cJ?>wkV{Oz7a#GLqw15;-DQJ)f!QD>PvelIVoGPB-AeS1tSgc)+MSKkmS$tA zwoH{T$hORWQEMR7xYeJw4wMG6(=NX8Jsnr&tu`9ot9G_zS!PPAL-@yNntZ~8)@4#A zwwgIjQ@fNY1iyIaHHey&05dNkugv6)VNTrF1!KWqfP2{CErQq4UhWyPmUe18U-Q9v z8KIp7?~3>>J^?HIQfm{UvYL^oXcT;+h55+1-+O+-D1suXm6wrNmq-|Ds_(x)@Rn5$h`Ud`WorQLS1EOxBJctT3h4--B@P zPkA=0#-~uci02qu#E6HB(9@a3?zQ<~`_c%xTE32yYcDwlC5*RJ4(H3=DZ+wfHpcsmK)4j;K$6fd<4yM8RE6F~3B{H+P z7KM?DPfj;@j;*J?Z1g~-S*2E z6|+w%?Tb%;9RqjtA8&eeppYcd3f+@1ZVyV)&L@GQy9kxA4(>al@Pk*2FLN^pNsqQf z$X-&?&nIXr5+%n*EOWZa=;GK;$*Ljg`MHY8Hn!OORerPHPm0tY{k|Qoqo6UuZXtBa z_a8Qf376$k4;zPD*_f?=bkGv`^g~CjS3~1|-pmcmEj}*FzJ+G( z|AAWR&HnypS0kmM{e()|g!vRT7bBv6=Hj9H&pYX!#BNbJ0)_9NSr2$|goU59^65)) zjrxl;JAayFnbgR7Dw6AG z;nff2aLL=2A0IFTplEI!cc7p5K)5-%cr78t5l}(!d24Aaj|NoB|r zB}lKue@6QkMJLafWh1^-4veq2j^RB`H?^ndpTEm-r3EXn<{N4mzItT^lUF|#fQHb38d4VpjQ8@D- zR#rWt^?kjs0dame%zIx~?L|+mFOdTYDv{s`QbAzkv+?vXb^QfRut_31MN=0@W zurd)KX&9eDoeCrAPw5$ zm!b#d6D}0O%NLvEaMPB-AYaB1=1Fb_tQ*iTwM|8+_iNjCK#(80U_Qah#=i#~{?You zFi6D0Gtq7ZMRM4l0$+EN7?fpD-|I_0d|gW6uKmPwFlT(2GJnZ^Nd78;(01^~cCFL- z#9bxi_+(M7+*0e0#2j}tzyC0M+_v=3zEfG^FX7wo(%HKFHY%@Ysrss9NeM5#c5+}g z+YEhBRP}$AZYmdl-ZrNl^dJHlr=^*NuD1_OejsK=v1Q>LyaW^8mkXCZq@oPzC9XpC-^}s6LG8do^(bO12Gvz zUYioi{rThM7?VK(==p8WC+?YOSj_{M5=l6D-**XH8C^~!6@>3bc$fU)TYB@|=&ssd zSlTer({o2!2MZJ;G?s6gn!QfVx5Cg7nG%w2%8+LX^)^nOnj#iw875b}YcnA#;(`lJ zg*EC&xG$0v+8q0sjJX7ilDXs09aU_(U{#kNorF1aYs3)o<{m6n*ulo9Hrxs6BwtdE z15sflLNyDl>5VxNOz5@e%nH+yvN|4P>AW2F<%fFAbo`3fBV;rd0p$5xjDnWe66rAfQXkw&i_KH`mL;c=PDe^V($zK4sM3q?;Wf@@R%%`i;>zWb4&J?$X`Nf< z(XmBJD2#tzTU`8_B_A9DtYh9Ga=swclq`&?<5SSn&^o8j+w9GBV^6ut%uN$wfb-B~ z#iQRX!5M}lDMgzcde`<64pRUirh~K|QO7jFsWH6qty&AmvoF=e88Z5gdE`^1?t#sN zrJn$4Oy@jxYCXHEAdDccud^2ho;4RGXju*0w1R9!K|=}1^hTs%u!45?$>%c2Yt7S? z7_HeG_2>KrC6INo(-fZ#j_548>%p|FlWk_Sq2F0#JGRgk103tIP}?W4)UL~xKWnFg zAp-MWB)UTE9i|#HJM$3f4U5NXl+pQDe;bC0H!&lGj0&^XCG+A)E>^R3vdnJ8#aCUGK|<&*6tH}BxUwIq^7Gekz6J3 zNRe51U?}U7L1pSR^dDefufW>w%Gt%uQ34If#a*csE4w%Qs%6JzPAgI?jMPu_FDP#$ zzX=dXF{< zy<4Sfo7LdIo7alMI0vTrxqsGJj=}1<&LI?9&nS|{lV*m)Z$Nt}m-h{9c|=k5ZFsIO zfX9hv=bFa5`{Qbb93^!fdt}QL(|El(0>{RhnY2+9BUN=_i}XfTZ8bs<=V;KKAl%p| zYy+Kz#ecD^d(9!;M6-m^Fm>RWLjUY!vNrp|hqmFf#Pg|1nMCSjQ8ME7Hf@8b{6sEt3^$CBKSFKCdEV1C3tk&b)HGx!R<2uFF$q5?;#AH3A{_!q zv|=_)^+RK>z$4H%aQkmf2lO?FTXKxi&iJ4TbH=-l;9$n-tG3qX!}XCoMSDqz(db`1 zf~nj|g3LgL5~;o3HFc0mo)~HZQFDSXwVqO442M_!7cu*Cd4$I)h7ftM9(4H6tJA6tcxu9ZvnE&#Xi7_irS30$ z%C|^e&~(N9WAFAh_bRwK8ZNtCQ?Z!IcS0rGvD$MF+*8Ffx_6fZ1s^NZj*T#sRIWAt z<0<(NIY!pfK`RV?gEwFDdl<<2DAiLNHnMJ8dH*^2%bKX~nJ+$mf(CZ@U|~i+YY&m5 z$7qmZkfloP8Tu?oGzisTO@5Y?$QM3y(cPAXER+ZCB|Msdn0a8mW0P)!_IlU*7_iHC=96PkHpbQQ*ev1x<} zA}=u6Nr}@*KHQ>1Nf>~gTL&_wlbtF@92@n|*_W?~U**(!0@O@eC73bR^S#7);0FnLhr%u;zhUNtqK6^)TwO z?7)P(l|ku%fBgG0xsBuP^LX6a`rDT8aKdzwQsQN(T1js4f*b|Zh`1WQ349PIWM991mCl&>(Xq%t4{{APwD6S- z)~VB5yah2@dYE@-Qd6btKM^l{mLMeFeL2&vo_W%^TlFgt!)HbL);Cn;N4^tL9fncDdy?uk$xxfd-F2x|@b8LWW_d9pgzE^u zkM(0{!o#juQ7^C5YE)ItBHkMWVjE=fYLr>YojTE8O zP*%>_uZWr@EFrcZ1M)(v43SV7ZR6!zpZLct2}90dZA>THqVH>$RD<2&GexF31kXyHR&m5fHTxqhE0? z-g`ly95t?Ig!;-peJ5Y2fRPp;{{hC&7>rX}^d2eEMwY5Sn+ne(Oinh^NvyxA^0@%x z0Y7+H`Zs)(!+<^Wie`FFsQV){J!^ZW7S01|x9B%Zs5Z|&nE9gkx7AZAnCZ`#p6q6w z?h{1=BuCL0p+2f0;#wP32|M`HO{|d&(bdUNTddK1PxAW{p9ZebztR9E1qMMbZPC;G zixI*>SKyPW?rtY%VY7imX|6*}y*%1tkAyQ`MSR?}Mv`O14(*VYH`a)^;oh?MTa;*TADlCLt;OqnHwi(DA8u=)O(oAv zcsSZCR0>_rDWKz~2v58hch<(|)_zzn5n22DrcDm;>!GTaG@TRKu6zTkKI(GGbHh7x zoTHhD$EDaDn08y}GE>v8F`Fhr3Yh0Zsp9So%fs#0jfNa~DEZ!c^#u9hRA18$EA(0yc#b$EoTL8z zW0tG_YW&Lq>(C0o&l!* zTn%8G2Yhgq5*BKGNDnTN+GH##J4jEkQm}!`y4~UTdwo{zF%-MZ}pCsWglVUNdJvj&BBcAT`Q`zmyz2|Gt{J4p#+TLN|U#1#{d|09ZleQ_~% z#Vdx{AT#dC27|5`mSkT=1SG}p5p8E_5jsCE^)cYFShwqRLj+z@-FjGqA#)t0D_(f` z$rG`GID6d+BqLfUV53Om)(KYCc_-aXU$J>72cA25x$9)-$%uaK_dWyd!*YHbO$lv+ zp)vE%d-_nYQ6bf-aB*ykbZz)lnpL1r{4kZ}J84#VsK5L3*))LaO|6{nh-Eo~CQ*E) znW^#TrUFtEnTC>8c6Ez1sMz|ee+3+sNKkN5q2NBFzMBA1yMK?DF;}S-?E}M=2i3)A zla%(dIU+T9_Wd=5bi1-*YrdYSxTq`2KH!{tj4X=RG}|UmpKtwWlYH^rqCZfF+FM9e zw+`u;`8e9Jcv0OPMWzh{76cp6{KFsEGt(bxihE~d%zRLS#^Q#pB*@flZfs9;RdJmZ zBd;IR>y^%P-G;5cR0STWEw8mL73tw1H7$5;H*K%J915p>AN~_Fpq3k7u>#lJAUMr! z&uo`BxQ-o0$K;6Kj62Q6$8(E5-cfO~!PQ!B?W(Q=9`AnuJWFg2z**?2_3u2vV}gmJ zoO4GV55jE0T;ERHFxnZl21>_lIC|OCwZ2oi*;th4|3baC&kkoOTAUMU5EM)`hpOAR z+)<^rmoL+6UeOW({&DQ!9=2h7V`8w%#cJ<=0UtciblB;LZ;H8`gXA-2+HipSszez!qn^V zOHXQF!QLmhPj>_N&PaEjZ|#R1Lh*|g{KW3Eqo)@>;;bs>{_E(bUd`J+5Z?1T7GD7VLJk$U`WU!s$c?`T7&dW&sHqkG=3 zxQMXk00n#o=rS^QF8cKLigOrhnkFt<8Zodp5fi#_Y1M7?QFG1y#IhRT#aB4?-sWJ+ z;Am_@iTt&2#wZ~RkBg}{mg%V|U{+vm>}m`d@9x%le$IJB!R|jsyjD0;!1PorKOfd4yip z-h~luO<lUPu?`J zOs3a{XQ|#keL>D{KP?iuvEt!&rjozrH?UD2UxXPI8HqEcoBUNPfpA5hhJ@nz7%vP!QQp@bNXI7n%&l2c|qSr6;vYF)w-mLezsTQ)M#hPp4LCFKhs>$w2Z3q4KA8I~4eBNXOb z3BnFw-3UsJ*H!#iW{>* zv&@>T4HTSKh0mbdoISJD0191H%CcJA3h)i&`0>^jBB=nQO;?UI8PP$$Y;6hD{%YHPy?#P5bD|QE)!)# zI?wN@b8w-_9k}0=`|IqD_{hUdk6qpqd$r|itwy}O48r||4eGT`%O%UPZeo@!vza=! zGG^oo_a#Th{0w5^BOisb?aeipPm>jhk4C>AChykEGP{vP#m-8(;o{`G{`3p`tA^-% znB9NOV9G`VSQ19L5H}8q zK0e~jJbAtTVR~M6VV?EjDes@cIQRjo=>7|EwH8`2|D4Hd$?IWYouc>jw7FFO(9@SC zsq#ZwEhNAbq2MHP8%>5E7nE(Z>Y8qG&;U4wK_yZ{J7V3R=rJ(?iocnkcdg3p1drjN z+;TPg0~gJ}%PC=DFSWp#zfiUqor$~MH~l2D+u`Wh@>H|$ z0RSxtHchWx?MgHSR^`B}5FNz{J|O-Zv^k{D zAB!_BZa-K1Hr}^M`wr-2X=rOB$dpPCAeBwdp(N^;)!oZL_8`AIz`cyT^gWE5xWs4# zyuvgJ_T?)**dl2{zCmZWVU8=61<%tWdL&m&cwcc9`R+4ps zrjj@osmhjUwX%e&S*(k|1@PSx6=;awv`DgQ5)IVM4K+HO3eGj{fe*56Z6Nz(rymtb zOZ-QMxTeeyFF*penzc_G>DsAN)&;8Lcm$$ffwSN=WH8+RX)A8PLMSa>>y+=lN-2sb zo+_`KP}^K0=NT%};r+{rdrnJR7B^_xWbl#m=g><~$)B&%l4?cx6iN|BBVmEb0QC%Js6&w$_Kt~l z54r1FRJp^%*-fL^2F%;d1%y9H8jX=ZGROYIbfa?S=CQ0 z#0nN`Ak602brL|ImAQzQHS?sz1B9TkziLU0wR^t|&O^H9)PdYMlUZb)KL0Ci0?-+Dt&pc50>b2etA{O{` zF7rqE*$PDocK1pW!~-IZUxIiZNRBA3_8xOuQ3aq&-;)s%` z7%R!ZsZ&dej(v*%>Ibl-q5pL(uiWv2($B&|oka3^H@bDwK(c{o2}TgHOJHP}lyA_B zorKX9?qQFQ&%Ly8RwlwSQNcL^=V~JbZuUsn{yL12tS{`NKZ2xf(iwDfEVdbZ0U8BhUX2+-`Djw|b4IwU_I&~_j z7RccLywG5`GvWmG@3(Iwl@w^xQ%9+-EYpT&RAcq{@<&j_t)8SO$nWX zMn4>iG>oq(nPz5t{ziEHJ?*}f+Bti-H288Vj~(1#*!f5|#!NytW5r8#<)r%tRKlug z%{xk$0O6An*c9N9d1|C7be*wUZLx z1lQv7O_tUi@yUjhAccXw&OS5sTsFQh;%Suo&x{pW2v;;gaSmG|ggx5E@;)J*r?d%i z28`;(9hfKcS@LZR%=q^Iv@j1>8|5wrtanHS!)odlNESE=a+;%498?2k+^R^bifKU6=O=oT_EZS4>Hl$_L6-ty(WMLZh{X8p!@~r-k6WRsHh! zwZ$NfgZH=$^5rUrXW#pTQrFXw*AddZet8#Tf9KGJD;5mdOMIJu-kGivGdu_r%!u`0 z&AxACVUHW%Uk(=HH+ddTRI1Gg5S7Ez2A)1J`KRxpqu{qMz`phimA1*m&H+F z!3$ooAU`Aq4t@FuSak5{NYQ7|8Bj39_OH|1)`VvvoLOZH$x)uYu1O`2ys|IyrCaeD z9zX0+CE8n0uWy06RPR@jrpO2Y1of0ii>XFH8)vM>3 z##O^lt95bA|K%$45LU9l9^h+wuQuH6U@|yDCzr9?_Osx|8kSLTK9OEzmN=zZ9{W(s zE`XRN+0kVDH8~FDny6h@pI+$Q&T^7%)5!B4*5o0+tVr$9bd2Kv=&+~B=zF)cDFm%C zJCki@yfqkFdi7BI+Jo>NbIW9_hRu1caXsoBWW27BXU%I;SmU@KB=qm1RHCl&<(Okh6dF|A_-VeRk`F~*I4~=fBZyG{DgSJ#H@vw z)$>V3#>rto(fBOeX!K(wNkE$M2fA86r#ZIjoMT0nFQ~x;Tze?mEz%l0O5(6}oIW?~ z+=)cNiauzS0?kI7HyEW9YrdaUgVo0Lw|tc-qX8bnVBE#LaR~GUL-Fe;sI+#{3+EKQ z>X+G@Bzd+Y!g%zt$6_fVMzU{6K~+1Q zljQPtQ+)RbkR*IE2+SUSLtBWjw)Uc$kN4`<>OOHVzr|D5Wd-N`Nsf``rF^v`N;wa{ z%~$2?XkR7oLsm3KpAGfBg0h)W-$ozfzSyj8Y{O^BkWQZ~(gr0tGHxk1Exyvr>;6b( zprGE7Ak#vrz&EgWIy}V6CZwQ@H6YMpf6#9i6F8(;9Mjk4rzOy=LlrN2?igLFu4;UP&0ElLj<1XPMb?yKoOn}#0JarV!MrK zY$c1sbl2-O0E3nFF~RuJ@z-mElxB2*llPa-b>LHdjI$FDlKYP)uyVYc2T27w52FT z6;-u2wKpY>qV}v2JFQW*_uhLpslBCU?3f86^2_V}`TgSuC+~;|&`+8p2 zb>D#*`P2wb{DJlRN%OnD;0wM1dczp*y3Fi->yJINz=WS|Mv*(nAOxRRMWU_owOW+P z)|or|A3*@kw%oH$8d@W@J&G8kewbDBre`A>lz45B;~oh}0=-~hU% zj})x-8*QR4vf2;kC%F<}L($#-5_(vEv+#SS9os)riT3OlkAn?3(RH75>o>#I z=X;*$bEq~|FDkz1#ha2-y@krEPRohnIub{L}X;%9X(VKc?vabyY{o{}!ef-Pz%YFhSN>j5g3Z9M~&#oEN zCRs`GK@BzorTyV5qwSgj?TQJOx_hc}G4QBbw3T7*C*ioLpu4&$dj+;M{|R~W)ucC; zC0eT`NJ>j?ebb3#Qy*6-_jX=QUekV9Xx~gs`X6Jy@PEog@u;e7%9k!*Cn#^b0sXQe zdm5H}%nw!%eJ2mrg>=N+`Y!^auzjK?+s8O_miwWOmqLhU!L^fPvtqT6lz!zALpk&G9J3 z9m4hV-8Scyw1>5#amakmmBgWCaxhJay2KhN>-3i1mn53_Cz?MOaUCqt1ia_cMl1vT z&HG%H7Ekr?dna9b#ecFo<9@k#?qYqqP;2wOd-E#<8BQ^$B$vT^r7XyJ8q(rhX}zA8 zu{TvPr)0s&*1?@`*$bB+Ks#i0f+krI#wYz;UWIk!-vb>;SyxSk{!&*F)&~OgoUs=V zSL}33#_Gwb^Cx7H>~uLxGTW2zyI}8asL>+e-7%JO=qB>_S#~-hCcR{ zFM@yQ(!sHdXYi&{!9slO?FrYiG2597tKimz2S~bg#r%_?OKP?Xoi{xx0N;HnTIx`4 zcGr)BH(t7`{)&0^HEEu^Q=L|h>qfWkS;-i^YlgY^*O=d*q`!kG-Tu&1KhW%R)7pPR z5Q(4E3P|(H#>ZOD`XX+T&PLXZ!Th#U21Zc(QH@fHK7%KGQpOs%i5YF#D@3|<%@u`Z z1&Ijd`%RxSXzrPCwR)FID1bdz;4a3J=O)2ea5oc$o|wQ3#>0H5*XhhC)nj^lN-yzb z?$U2C?YvK3>Wxum(FSrB-Hp3%84F4rbh?G4O`v$WqU+SwF+&#zZx~~&B6+dcMNF8A z)lkLaRKH1IB$4hPvxF(JA1|HxEVzV9l>GFGdb>l8%-J~RZo?wJ11nGYkzWa3Vfc&N zLs^ekt>`x%hFTwK_C*tjvKosCEAtss6>+i(jcW;m7>UI=*FdD>+2^d0qqppaJjHd9 zQI?kh-E%qTW`eMgH>Sy}Fkr#l#oW}ZoDfZd=h=qB5-5@EBo~s}N6kT*qkZQAik@^BocEPDFyljL8YxnNW{B*a?CdgHG;zVt9L+f`zG(|*gSH8HdCdKrj z`RWy2u;)>#aF!p0aykK-i*-%0RofUg4c=BRxai@V0UDIy_ZGu*hffC5R> z7c2p+<0}^>lN%^2v?l0(Xwe6|yw@P}rx;kZt&+bzOi+Uhmi({&Jf9mttli0~2Phsc zbuo0EC)7_;6)1?9XT$_(=A(Y=Uqq6aKd@aI7xif z6?TawT@n8sWg**St-+VZyL*M$$Df`)lOTgp+m~2h$(Kj?vygj`=@5)ncYb^ho4Xb4 zyQX^iv{yn)I!KyfKz=UVjcrwK{|-#~8^&^t$y=Yzj2EWtKs!B$m}k)a^R(qfbMKM_ zlr9_VM{V=EhASS9Ibn0hHV&-Fq|O{ccAtCY^w`!6k=jrl_&_t$M_q--XpjUwY_@@@ zbwU!G`6R4$;mV*F34m5lMt4rsKPj9yNppb2SVT;_kO^vN;niidZ4Yr&+D;Z53k{;} zUmhSjl1< zrnr->D7}udeybHD`Bt~#z8lR7>T>KBik+8Uo*!(g)}@!Mm9(RhSaVXeF2G#!bvZmp zLFJ!(@XI)X_f6lC3@pDGS~Lsf#m2+&1zr!2nt~pj*wEMih#ws8y~rw5(>njpxRl3l z$>mNrw5nhUT&KED^P09LyP}_r8?Wd83^?gb*?h>#+xo+v@6JZb1x`2Bic-1Ym6yzalyrzdE-PYL}*pd4$N z5x0T+ml1n!rAgm+VBh7g8XTawLGjv9JB1D6g+{QFa}4-lxURcf7jLMG(l$AAJ}sO5 zp*x`e)0_8MdW3Z};A~Ef zl|ykM*)@=$R3l5N#nP@*u8Px4#TfE40K=2I<)Bv*V|fI^7#&reRs6lBh=+e5R#7_Q zbFTOsq=bFzfmQe1x6iqz+p`b>`wzykzfF`SuS8b_OUAo+`$l|DJR-_d;>PLMm;M8& zJ@}K2^i*T?Kbd8XpZYboQS4p2Wq&zN%yi+8quV~v{g3+cY`*=Q?fsjf0W=WkxOyJ& zsc`(hYT|u0h9;gY!8yy;``Y}igyk8upy;eFEza*1XXs0Cdr?C9?)T4)*_6EE%J~_0 zi;TV$oczm}{>!eC=Q*Gq_ut8roEjO<41zqTOO1LxLETN+57N6HA{ofT)yt5!x5c8J ze(6T|L}A(4TMJHO)rN5c^T74{Ba!cQH@B~7Q-l^h{2U01^1f_s+e-04C#xy{H`B)? zUp;Ruv7|g%yRFn)AR9M`qS)r5w1v9c$|bH0-#5XhFgw0=SvgCg*Q>9T;NUKCpF56E zqaK3o3C(ieK+#h}gl0F!dw#Sx6{?CPX7Uai*3Ld@?JMA=Y9SPJERbyNixSe%+G^`- zmQ!4s2Y-1S|c7*42Q{6AyJ_o-h$Ah zZdYoNc4X95=rg%s*Xf^W$Ih$^NvE0Q!MNA#c9)APwAUG}#4Cq6x5K3k+gNO`^}7Wk z;9^!i_=G-ZE|@wkkdL7fk2DAr!}e3_`UfhvIV=4M>DjA!f2v5BtY$0P|EJmf{Ytv| za7yj^Rr|1qX57!#E0*C~2rkt*IK#!Wx==sRxPBx}ybx!F+sprWD%s+`j0B;G`1oNN zy~i&49RG75L;A^)ypupjdxje{H@w|6an(P3z3Q&9B8mM<(JosJ7 zi)+XN|5DRl4bzc*^UiikK-s@VG-isE@p6m%4PelvvJjqbAoL59Ko$QOguH9z$4@Uj zwF$IDi^B*!TYKR%H_Zu^Ue<7JaP%>ZMae5v@~DeIvR%k=Sl)or!s2wvk%Ggr8+Q_E zeaq*+Jp!u_%f{3=o{Ijqx^!f!^H6>4!oxZ8E?0udU25Ayu)zL9<;gI8c!>zbVa5`>H7dBD;FKbUuGR}Mr%=o|im@KkDpF^ahL0}bFrd;B} zHEY*o8SD{#_R6yc-KFWd07#x^{SJU|ybCTya2m$GBdz&Kts8SYWUKo}E+rI)83@$A zPZiyllUH^89Zh<#0z(JmGQhyk51;g!_lEn^t7vOwsZ^o!b9|esB|^ROO6jKVUFsf3 zNe3edykOWHGTXmTrf1$DsfqyJYXUPUo*8F0J$R$)T1LG8Ccz^-Q$j`Pn7T@Dn-*Lj z7t!7g8M-8yJ2Z=ZEi50p8(kG$+-D*3lLL%W5YGv2!>83`RG>GsoMYRs-b|CNG(5Z6 zYAuL#6~#9N4I?$kkygbW?XPrAdB}#RT1O{=ymz=dB5iQ`@Nx?U7!~^xbzjFN-dfbqxbAYsr9M*ZaA2Gp~!TSu`)Hb%AV?S(Wuds~*(v zFuzZsu5?qTj-og_)7rVPOzbIm+|?`ie2=B|X^F)Llk%E4%OooNYZed_Qa9)>LBQ?v zlx*clHN~~nYbT*T9dP$a06E55Q^-c!y}u`E6xBX?=u^UKXEUfr{|J0%<7LjAG$cc> zFSfpp|7$qm)()+o^DJlxO^|?EX259b34H|zNP23#bfifvzYT`PIRSE)8vQJ&U7FHX zqAP8$7c2ov(~t26;j4ji7jXKq zyF)BJZvgoV_@De0xpjp!r?dfi5@^l-rLK^8I`3!6C1We7@U_S5pB{!6;@_lA)gjKz zM9jeM=rjEGLoo3%bYTc+yfVj|v$|jOc+fQVZXTz*eZh-LaZW;p))YwJ^IZnXq}%${ z^gmy3~ZAB}clld}FfegKFb~p2HrF zOBFjro>0dZyPKOVI9O$6dxK+l2fi}eh~|LXgtd=A#s-qH0g^m4NVzTfACKfmND^uAOPgO-M}cyK z6xZt)RsXVf>-@%T8FDS6t)FNkr4mn3HmWvAEP1$7BS?EmP1l;jbbZIJm#!eJ6i`bQ zA^1MIj)vY@2&Rc&+Wm{V0pm*c&#uEk?HX7Rnm>Y-`IxL|#>3rG)s?h+wCF-FTKQIA zxC|DrZ`?|W%S}3^w0`UGgM&n$gs_%YBPe{62q0L4f{jiSBa#Smq}sePSRD;Wjg|hp z@XY8ZK{R9YJ?E#AgWYT6$?h$G;b4KA(&6u58xAK_0UM_`iX|QP)T?JL<_kEe`oqh* z0$LrvF8?UD>eCkHh&D}th_Mp!Qh^woRxPs9;lFfE7hgbZSc!P39)d85VaC!P;4T&u zMMyd;5g%LK5X*p90?fW^oRbIEayLJ^!E?pv;OC=mmSt7QGAj`)Q7q(=@Nm;M0m8^e z^x~#M9>jo+=mAk4@S4-pmQCmT@_&2NP?s&}txkOm|*c$r=t#DJZM3y`v}ZNflX zr?D~{zXI6}h8ej|uagY(Oi8nkH5wWAGk>eozkjQhnHqqTUmF{qsw&)=vpeVEzj|0;2WVy?&%&5U!%7s@uRw@SrF&LZ20V zW>~dU}?1z^ilA|J+c?0bX6Ndg_f!Q9^ry$B*U?@2vtJqA$xI zn`T1-yq+IV$w&D{29!j68>~>BUM%*Qu#iJ~vmQ^4M8MzA1@SmOIsQ%n+20A@O;Dx% z((rpb_gwmJ7gUA6_dd%G!hc8~#chg{yx4L2=IK=9bvOlof9D`CAR`quqIVt(u>?0| z3?ti(B;bSv)`(tBcuK7^D`1pKn=BHfD^{3VqNT&$z&uJDVi4jm8_V{xBJAXKu}6n- z^2tNFn*n!@n&b=*?X#*h1C)+jnXIrMeF(-Ce+m#+07y+s8W8;a(3sf7j;WjXx1>T# zC!HVh)Mnvbn1ybp2-{GHdILg$!0imy&r_zUb?X;(w&=S_iySX>zH(PTAYj8N*>A(C`%#N!*7w{@f*gH? zR;~;oTin%rChfpNyrPoPmteWV|3En`?*BmfNNV!^hv2#Qvak19?X_NYjv9us7UFV5 z%i#S3m=@J6zXBnYw=ezF(yR(u6G^yRPCWXP5z{LRf(16P5Qx2ab~G0AesUATC$!9) zRhken4*5{b6L;T>>c1X0UzOe@vl_MQcf-h?d4R>4w`XWpsrd236o*+fryt}%`jU6? z)nz4mMccsyIx=k*-~81gLyu4NmjEs7wN;huprPak{{I;2MC#>L@kiOk3&1+`fx!r0 zF9E7vuudtp(od?_46;t;zWx%qsOg5pBZYT!&oc6f(^k*m-GGu&^V$~ZDS?C!tDyl3 zv98PR5Bo~g=T=2;RT)_3wr(5|1cdre*B`N_`rrR{_#y!6+URKT{`nfX+uX(PiNL+v zwETwmw;#J3PSUzi*)~@9sSoDIt7|0)TaVCx>zhY^*>Ag5WW7yD+Y?L-i1C;x%V_%= zV#cwI7m#b{ht@7v**3t@*V3P>GRdofn<=cVy!{pAwxq#Z4>Y)NwBM)jD$8#zma#t7 z@VVsRa7IMFQeZA~4|yz{F0_Wapw9|Tbw$w`Vz3we8A^9+<>_EaULV{0p=-^%Zm-TO z2p1Zm#Qw7&Y5WenyK~$yhU06S&O5y{4L+o{cgg=i1V|yD;}Kl20XrjFmw2FMFzRy^ z4}rBkPNXj^UKbkf>3QLDiegRVUtxQlC%?fl*j$k}PfF-Wx(mL+H_kUjdT_5~{Xl&D z8uIincj*3(zbw)FA?7}^w_!gTI_+m3~O9Y5X3 zCZzVs34bAS3rs$SX*>(7SI}mEL3^r`F?}vFEW1lTg{bSHRDTqGB>2nYpRpIcgK`|?oP*o^GvhfUV>=+`dWyV;9| z|HHqgs2HdZk5*ev&@{@#`{SE#FC&N_pTF%?<F25Qat_W+i18U9F780I0j10Vyy-Q(7TKA&pVLATMN9WOYnzOY zH#C-;p)sWR`!~Y2lP&+)ZYm=)F4^Ayi)c+5h6<3|>hS}$0^%%!_eFjkBl|x0L?*O@ zQWSV&hP_!$G$h%^KCX`|HYN{q*3UXk(xY}o)L*M_tb9%w(g9LT<- z64zHM9!3C+{S@l#0Vo*QB=qD*V5?BR2zv)I_&?l<9IC~|*Ig+i(6J0B!WoyYZ}o+a z?HBORIDd?%4bU+Ds2GQ6B%Edzv8~}R$~7dFD1_p%mG~2D1LG^CaBK@{zWoBi+m~%S z>XmJxAK!t9Tm2Ua*vb1vMghQee)Zb!RB?9j{CozWCBEC;aTbFi54R3+Po1FLII8t2WFObpoKY-PfJ{&h-`?K>2 zan`4HQ%e17&&2;)0O;$a<0AB*-DlPG<0WO+J2>7s6|3B7Jsohy#*$y zRjtsmxIeUcPGxGTv9v~|lo$pN>LJ>PaS_xu_sSX#EpZ3BTy`FLUnkHg*pm3>hc(-v z+1vkIO6LmaBWipBWt#xW2V^I6f~zrG47w?$@7{fLHKdEC8bAH_$p#g0EC9d$eEl2)E_;&l!qa)}E6NI45zBv!@h zE-El|sR_HjzJYXZuk!rwgD>;$QFgCAis=zDkLPQQ?L&?L+LNli_E;q9HG65-^INH; z`Xs6@HOkY8Q7q-!YYRi3n`y_TO#S91?K#rooTmOab(609m?(OC2|B&-Ny!7`Op{K! z%Q=1d?QP`q_2qH6$6(nQ+@tbJ?89=p+zDIbpXnLdCKt9m8tx;4Hyk;$fv&=`jnByX zy;&fQCR!{R&ZPhHPvSonNJunL&jWqjU?eu z&-8~w9x}djT87hTUA(d-Nd)^Ut3WQaaaYZNm0n_Cps{nT@HOEyBSO=Jp^Tu_yS(sf z>ichy_<|>t8|$$3^&_Z*ox+ZLdHbN^r1EO|?N<0gR@6iv$k=rjWN1TgREor!S6>y) zBVN+5hOd;`!i=F*!5vX=NvQEXc8$Jg?dET+io~<1IEQ!|nV{-WZN$g#t$?%m_#xj; zrpQ}qMtYdoy$XRh_bcp{p{`FEN50xr>7Ntg5V~9JkL21=bWj>v&TG>9@U;0Xi(!CH zCefAJSM#oo3_j!3Kp<1eT3X<9s)tNS>H2>*=IvhO*GA`?zr&K~UJrhoyZ<}v#PU*M ztbjRvg%HLU`0S0scQpmP4FEYcNZjiQ5L?kk&RCB)zJcychx%N-Rk{RrWk=6R62vPM|wvQ!I(Eov?M`-E8qn5a#E zG$OTTD{B!f?DP^WUh?ezy%zgz4K=XVBh6~1Fia4%$eC3u-(yZt1KJ@}LPU3O4tTN- zH>oREs0XNR^J)4L!~U4jPSbuQEQYcO%COgj+_6xrImuU7WyY}{j3qfLe!NJlfMpEi zm#5t`C)M)2BR}K*`3G_nEm<|$iCbmb80$c9FK7E_ncHXg? zk|%e6e&olRl8zXCtK@R&nz{a3w;1}m`W*j&k(L_mdSX_6&I)ddZXe`%1QuT1+pX_h zl7_AYD{}!qWt>@8Fv(@6CvgLrnwIO%-iC733AoRcM$6w`YD@VG@`-%*G zdH(WUqx9c#@aB=9&{X$sqg`9ZDd+pQey|tQ36Z*`CwT_BEXcRI`4)rz5j!L-%x3&y zKZKbg%?MF4SPCA&7yL~skW1OoXRQl_Fbi5|2I*nd-l02CGW!y(>^u5vi?_xLly|!a z-1;Zx++4GP)UdT9`wB#MiyK;U$hW$+O_U?fLqpm)Uz|a4_Q6Lo3-cbTCBhX~EJ8|P8TQiAh{97)`Jfsfd+--!f* z`y4T6nS-SEVF zoP=O*9=o^SbAZ(`XMFefPD)P_C+k;m_BC30mOyIy?)&Hnq@ugw?tQ{73}d5l_Qb(j zts;E@Xih|&%Ue5EIZtR3T?@^DYkin`>5s|D<~eDu7#~i-md$|SfY7P z6Je5lG`UjYYdZRUU^v#HsCTpe3B31QPWwpuF2K4}6yiC}>u|HTb+m4Dhh5r3K#H5C z21x#g?ssBNpL1=T>zhfULcB>R;ZdFD?oG$Pk~p!^P&{YpJ72SQJ-9XnyaeHE@Wisa!QG;t5uOwtDtH$UWwkd=%r z5+6Ww)Q-M^&S0pK%w14Y3RMpS-Zy_yqI$-baXd z@o+|2Hc9v@zk{50v-+e-^m^xvCRkSw8b&Swg_22W5@IL4-|;#+cSl`$K=2GtlZ9nM z?nA12l7o^-nZE#)o3OL?NZhwOo9e1CIw*NKkr~5EFO9T6qzkIFz#m3c;?q!*ZwaCd(=)m#e zwWCb*8@&AX;_DvxUC4weksI*J1T!@H*D_^AmL5i3>+BGyrh~q}tZ-${UxoQ8vmw=c z0S!Gd?!I8@JGJP(xL5Xkd|T)>b00Qbt3X1fm8V1Dr;p80;Y|`YIh@Nc9}o2>Q%KX8s6O|r#52CgF_B}$ zxmNGA{2mxH1T}EQ-_V*gWr}+|0#_;O5nzN7Z2mbr*%Cz+7$~9Fru2okTS#w77obGEUEq`*ueR9{-uj~8_EM6=(;m+oBVGYNcPM7* z?c^kcfp2*D9CtH{m_;_m zM1j-p>7}^Dfp3Feet0ZL`Sw5q>mmBD<<7Ic1qK?KfTB&?FXnZ(%nX&w zYz)SVfi3~?$N;Ibi%*}}?@yR9l0`nF;Llmu5*h0kiE;T_|G6yssG(N;x%BxDcYlfD1 z78xE9)PEB(tU&MNZfuiu!9ecoPb}2$=Tb+!dh(Oy{>78n6S+XL9B91UN=Z(=LaAQ{ z&dg)5*IzYMbHEmLWc|l01MPoOh~lG%*W|z#jssZ%$JXN;+pfX$cQ3bWW3=%ZD*Ix% zW5IGu$YUEQA3ueSig%}RHpwL=yCXz!Erc;g^HNPQbOvJssT#>;lj@N2`y)1dDx-7p zDqKC!q>^g$ouWdgu|C*%VWj=|W82{y4XFJT#e}Kz#up^!ez=Vv1Gr-P{koJ;{Zf7y zGr`qaXl~fs6pKeZ1Pr-m-?kiFoivUPwhf7lnC36QGB_f7G!3#4?+O#g91CED@y8xu z8Y)*jTXp2ctkfC%a-N`yLDVsF>XX^cu;T&TvFI&d_6znlmFo*A3*3sM0RH1bRl~CN z2&2@wTtue z#HtiQw55pXfe)cg!au+op~k;3FdEs5VDtf554gxfqr|lpD}VRlPIXv+5e20i>9UsC zJVq&PHyAVHQ>#~F{B*5;{#T#yZe3>%xnY-8NlDp1%rtfNm0RczXMI+ z)0eg$@UqHtp?-SQk#{aa3i~3JcetM3Di8ei{D+ejkP-2Zre-3*j-SP-<Qohj@%Z^ zez6`>&-9qv@e;#>=QjV&OMalHp6QpIUq}}9Y|nT0F`T#HmF#@Vvu1x}*cNjCujQ28 zZosIG#j@d|WYFOjaifPnP5=!bE5{s@*9U8Ux)neFZmPn`PEtI_vThf+h5jb^w@tPl z0Qxx`#0D5M8REp2?%JPtd!nqL(y z?3{N*+;)g|(Qg&5{ka zPQLAFtC3tS<|lFQ>{%eI`5bdo3_d>01d8!Co*Nh*c%-c1AP1Ppj{l4YH^f?ZV>Om+Qx|Ve;B^nvy`tyD6Rg9 zIX@`vCq`sj$o)8R6Lp@TAm${=A2^LAPRG~9GCHwd;993e(4=|^5PQ_$W5(k{4i`6k z1G%3|>;1uXeg~@5>*!whTj=9!kX6s^38@^b{Yh2_oYNwik&RwUnPa>g!1`y;)z3+% zW#-9eCOn7}x*e?0CT&}UGv}T4=V}Yz7rp~Bn$-D{7(!5<&>eZYQ1@t|n7|f4Zi)2=L24(lp8b6-mewUj# zFq(=jQs_ygKVs*!o)I_&TmuJrgQ%oF*w)sFcg*LrC?pfrxWB#U-V)Q-jW*;L8()(v zn~{@$nsbL^VfU+SJn5mgj)K?h*kw%P#VqgngH6?XUUyUF;zkUPQB}+eionTx}5kRe| zy7|HDbVeKWtn4}t_z_|>TL7ncn8YXYfMyj-a{L)@Y_r-i(%F8_5g|s6v*R=!8)z2V z+TcF4!THeb7Fv|DZsQ%97sAVI*8NH5xm4rl+}JqXG6&s`)4N>s%ifuFWKU+gkunc# ziwf?w4U=Azf?zaW_s=y@=c2ww&m+&fl*uY*O7X&G`#<%80Ti{Jy7?&cegq*kcS3Q& zOPJtD%xf4*YxHi34Oz~QjQt=pKHvQjlgbN?Sj%I=piyEyf2<_}UGzYMg%za?PiGy@ zm_gED4@+C>juy*y74YW9pzD!Di?HcJ3%)#f-8oRC`n1RB@p%v8bO+rpe$_QF>KgAI z-@}fYLj&w2XTzIc9&m_*ATxVXvH<6c0N(cs=UstcIw1jXIV#If64m9lq!QOq;>9Bb zyMLOt@g_;j1F*vVk=UU_+|B)*$7e6D8}(_DlO*0cJryk7U0kcLA1MveFv1QxN+hmj z42ER(i)wRLkd%%rZb{0WpHy0AJ6$z*+xRpc9szo1LGfCXANSU`8BYV_-K&K}Mh^mM zaFxr<`)?NgTbM3x9BmKC_Z#|r4n#<5xhnUw^XN1YK~LPoVrUxiR5PYI&v6%#94={% zM?}%6>mYp z34nlZU%Q}yRKOpfS#`DRlybBQ?won5PkpT*aP$CC z$85m})2*x85nm+~9Bu-b=x49YP4HH}FrkYx2qc zuD1Ah?HT0d$%K&-U@yN$g~{$zcP$GMz=8kkWVc_-c|0Tw3unGL9O2UeRA}O|M?^Js z9k)+#(okKwLa*61=247|iu|r`8iL5c&Ov(b&9|5Rvsa_a{!h5yg>bZ!e*q3Te!uOk zl%zx7+#{2}flcUk4flWW94yRvqJGFBx3pYdI3)Tdz|i|kJbk(ChTkr-_Vqp+(JipT&&?c(j$T12s`_vx zJJR#mgzjZ+r+4-NwHP>{-ha|TIB&NU*IhFGI2NJ?yE-5?`Cr z#I?A$pDfsSLKx{(QQT2n^TKu%6Y}R^ya&_x3Di&89^vD&vtnNva9^Z?dtoOtG8$5_ zeRvjIkf>b1G1B`9pn^*s>PY~Wa3?H~Fcb=2EHvZo;N*1PmI#oysV;0V%JOcr^_JGR zB*BT4uUS^c8k)_9kk`ND@bF*yaig>TJ)(>Kz(oi2T%l8PjJWcu<8jBhtuMVJsAa0* z@CbKmHyz(o&{MmsSkn~0Vw~%~)XqBPlOxFk2}ZyPKWTa6Mcmuu~$K|`}J7`@lhos1p(AMZ`9)5x0keS z&n2l3Z-EXD7fTrhsj@kjTa1(QQ@{E))0F0o%29Qgc2r7tbi{=axD_dOlRR{I`Lyt} z*^V>jN<4hor&Ild?lxnv0P|yh^Bd&R>siTlm3a&iFY}9MLHF^NCUBz^Ymp_tLEAj?r>MsX_vV z8SBgb7+N;dkPZXa2R`1|A8du(36qfB$(}UZTTH#hhoL<|EL1<5;G-h6uY8L0L!2r0 zW`fJK_9VMnmziUUpu0;w{f~|{=^dFZ^ne1xpp7Mduhx)Rnp=jDk2RRrhKw~d?U`rK z&o?~s?JKZ87n0aN7X@?JRr%XLfw4b<$g%J}DPOja_St@6Uc#4BBRDx&nE-3Rou(jO zU+2B?&M(>Jb@HMO6=z>WD-7MVgqBATw!d)B?aqHZrjW5@yVftDSK!&5P4b-?YX|0MftXfUVp}@;3Sgiq!wc zGU+K|5fs<7R|Mlo*bb3-v7o^U><`bzM_RXd^q24WHNPDI>V0glrnbni>{}TKt_e4B zENj}rr$bge)wsWcB}tcmm&w1Y2}A#voAjKJiguBN31jt3ZuT#vP92G}slj@Xa@oLP zrxEL`8t}@L@A1^(ReRY!T{@su;5ZnNDaxH#>L+fq?(o-*>Z;N-f$J~OmjK6zA1<5_ z%+MwSu7eq}Bt6V5`Ix2~9k`^bt3bxN7w&VhNMVGU<-Prj=veI-`K^S1dS(R@uUZ_^ zyeFCt=0BH-(=|WcYNE&Lu8BP0A-{ZWsk}H)1&RfKYt?6Y`4a22pFV;2-G)TgNm(x; zxf~u`E@dn+ot($kuVDd|etKWx))2%<82HtI8a7m+t`O$qp*GJ@8ju`$RnB^wYJ9WmVH2rzxx9L7|kyCxdTb0Z2nHZAy4x$U$774y%#Fg2iSu zB<6V*ri=_LGI98k75^_YK8dkYfp>v)w!>qQC?pm>;kRr;*n-?rtN@uWG*>U<9@m`} zggz5vzsxso*-|ORZOPVsjjJcml?zCKh1n^#ub|#H-<@_|_MXr)R%tm)9rp^U!*c9T zYkT<>d{OtRjjl5^;ajq!T)T4>QB@$>I_CX3-*DRZ{`MEAXwOU+z52~>=N#Fy?%Bd+ zj*EE{FZ-JnxB`GfqyD(lt`RZTz_}kD4BPKuMrgw9pUdcCznO8JaABI_e>%xC)QE-=xT+7y#SdnLes=k@xS-f&?ldOBg`Ey3 zPd9cF6p)WwtG|S2wr_o*%*l=b-a5SWucBtnhC^c?0|@t>2fQkRxKd zt`3AiXsxb0VTcd9mItq}MC+GYPM+;1)SlK76`=%$Wq5lzRw#V%uWGKQQcY$sAx&Zl`c&c669hDu&>+uNP&A!T5v52$F^XroZI*Y7raT@ z3HR1I+C?DuXN#n&-96ps(=E8F)`o9jmfF0z%R9K41JH+-?EvGMU7T=5!xXk&6XIva zw=0MA;7-Cpj*0`dM6Zv;@OfQN{YKfHqS&rOJL=-|=vnF(j4Q*;TUVZ6m6?wK;jw`1 z0Cj^TF}5~kW%hY0^|s-KHK%#x6*x~m-Dao3g!9s>shZPT1B0z^YOVQbf(qF5Xe*Ev z81Uz$bN5Tz>0|rW_F(Yq$^rrwP|tE9i^5u$p0(R*lCqLdw^=TTXE(NPxm<-`A6&Dk z=0L)nplr=_mu%h)qXh}fv$Dia9e_FKK%C@5V%dCAsO_h(2ZLD9X5R^Fo>Uq=m`FUk z)#fru#Ku{+CO1Ot=z{e%x!S3vc0v4TXSFM^WC)b*JdL4S^+U_B?_l$%C@vLj5z7z* zo~a&2q7#zyI|w`IiF{@874(0xo|mq^{n3 zb?6!7oZPUgpTEC|v1ndNNK!>2;XBFBrJzT?aO?dLl#Ty9lYYqR$dM3iNbiEJ&Ov}R z+0$9aVPq-8r)7g8he zBI@JGFe6JGmh0*ti);YKX70Bk_Mf$Bmu3LwsY3Sv?Fo0l+95^S0(!E4#ly7%yalU8 zcY<;Qg)wA*c! ztG!7n(zEuSt(c?R>HjvEbtz1#Yf;Ft_Q^SeBf*8;w+e@B0e^nrX`m>*`ph=i{Ze0` z6!GsQSmKTGw98smyQ7aW-L_-g=4|7T@6BohY~ECJ;nJCQ%Jzc_m%>&K!>dri60}`w zL0@`}Sb#7Kvn^omxUGh9TUNngrgjJ0omsS=1awzxwB~@!n>uzj^Xdf$UY;k%>Mza- zQt%8z{$S=NXI5Q!I)13bxsEB?soR&m)2HJ>df^NHFSYD9dqOawu|+f6Pf@clf3reeW(O{c)l7>b0~)qQlIOS858Eo1^r)x$kO0Ny4v==G2<%)>e(2r#rx4`F zvLB5@C3|L%9b5{afzh!3Hjh}HC0L-0)n4l8f}<(x_I%{hJ1?0*2tQ_`#M!iEgKELQ zHDj?X$+*b);_mh(oSNji;|tH> zJ^sDO1Xik+N-&M0U&8Kw)`e1YWHlppQTzjz?{XZsarxLq;Z!9>62auMAwDg-TyIeW5156ag@_?h64>|XC#Cb>0`?a7zam@6 z`ryb)^;`H`_*h1KI;%wwk2K}(%b2|4nU3ro8#{G{&9aQZo)0DpXg?{Z+fd9W7wGQ` zv7JA=pA>4gkpUsXxHpWY{jwE#Fb);vSr98U@_YHrw%3G0Lq^#SA0a4(0NDK+~Cmq}Dy*RD> zg()6KGyR3!zbDR$dv^hgt-_fF(rvxlT=k-rjQK(*cdY{wMwJ4$){SX#?=8RJL0y$Ro*FkVvjb6?(c zznM1j*6fGE(^K{@_dEj^uV1>XNaZ}g{@gJJl#SahqbHDo6%{$j+jrWSJq7z}o2p)B zW;u)hYWY+tL`m!fh=JW@Ib8{UGw3<2+X;R617My z3s^GFM&In2r$>cga9MP;vs{xugV+`Kbb4PvF|(xbf7p%M+4!{aRcQ<9h0X2j=-Ked zpIJ3!?*cleHbq@14$6t@p|z+Ox{ts5@CMH{DIf!OmMP7`=R1_xplO|1XS4Gk!lOgy z-#W$+oz;LNjLGf}rAK682#oyg*}JQ3>_p(~!WvFW>@NZ(dn1Co3?KOavGnEfPiGK4rbmp%96dTS%!qNPqwUMvX-4NmKn0kFc@Yq zhTlA&@9*{gXU_aFuk${0?sM+@y081bz~SC%2&l*H1RqyK?9JAq7Led$geYP+t66#_ z>dtFg4EjvAg+ggk;@Jc3;k<-neC|*;C6SAdu5Gkj%Zg{yBtI|AH0D+YKH6D(z7CI- zez*a)ZQ8PeSYUliks@~h^Aj%M4aomYDE<5GXH2%rKt!b z)o47LH4~kF;O*jl!qGd)KcCJLkbD@+I0`BlO}%B|u?uqUG8XaVAE7yZ#J9cmpKRI9 zFo$=~(D)Q?!(Rr(&xEp0#o^gdX4h1#D~;O!J|Skk4FV`tM&mz2_ZqY1@h!cfsSwZe zBb453s{G!W<3Ek&^8yFel+NjeJnznmR8`)d%o_oZwUF6e4soizKXV!T!>RCS>mh6+ zha%GIuR+UWHRRB^*y7$OB5vwyT@cE;UK&NUhK_er(e-7fD-qOpJWgU3jeP#ul3%qU z7G2}_fy&pjgx@&_=yoTBh4fl#RO9QW>%6zm1aQBA2U|3W?;NR5sw?t1YmpJJz^zZF zYPQw4BvUVvqZld+9pjIv9*|d2Y89VEy9~&65(C=Zp5b68r+h>w@lw7ZImctsa?zsj)R*w{CbaLp=>*tyJvW zo}ZrB8lTO}l?5agq6WCKC-&%4-nk?XcJka)tYXN*6qx%#KVH8+vp zCy*%z#&k2+7|Qj(LCv@Sl6e@4?Bq(&J>Yq$t!P>+j$^)xBLz+}vjGJ!E0)ace>JD; z<8!9>V(9NFpFf zQ|Su1#?dfeWOnqd&)ZGdL1Vc&>@Y5&UP0MEGJ`rb@aon07WW!_5S#5M`epgt*X6~u zHHQJ)P0P;MDcY;FWE}E=~9}nc=pfK z347ksY(&84aMRVICU==5mcE9h$OaWL6>Yw(Xch+h3{4`T>?T4>!EG$RDQl%Uq}uhq z5Iqq(l$yM4UwrHMubx16Nu8b8v!-=O*nBN%GY(T_Zooh28kEO%*!OzTjvX#P`@%W% zK?C~Slxd|<)NU1^&Dpu*e#{NYoD4;!Az@P4I;UUG43 zh<&RSZN?9icV6aH*(O1Vs^Iph)6s8lA49~F<7Z~|)4=;r!55Y<Fo_+gL=WmC-9<9%G{<`bDr8+ll8&o^0Xd^dzr)(dwJl~(?a^YlX#VFfE%n`l%3@Zc)x{SxPgFM(pErMDWJF3k4 z?^gUn7Gqe5K)&y357gD+(>n#lGPRxi=^;IlchnZXto==IdqfQ0H_bcrBk}LX%V!To zUVA4*aR>J9xiS7xhDR%3Vn;tLZB(oPjqUYQkb6fw@nmo|f*&D@OQ)wI!jQO+qJm?4 z@glCKoZLs};@kk;Be|E@(*_n%l#(R6EhTat*WmYKfZth*L& z4Nf%`zf*H(N<-ZdSZ>BzXpBqTv+$-(j{9gTVB)lh-EF;8ht(Z(zDfOp*p2aG^=UiA_d9{k z7wA@PHaYateC^_?gq~0*WQ(T%-Ku3lgoKBl$-G^{2+!Ilcgoh}_f;*2Rx?iF-WrZ=r=V_=1XV7#%Lcw6C?G zL@{6Q8wE~ihf@`^PcMzB#^5=yulAi@k?E)O0SS?V-f%Hb!#g!m`{xg){OJ*zt<&e1H7!x(h>?77e^sl2O5}}(6$|_= z-+mT5{4K9+LlE}&%4;N>qh`OssLJTpH~UY0TokPz2C2gzR*b2O+n;;LtWzKH!IQZW zeb)q4>iTH`d7(sa&1gWa_Jh)i7}f91;O!+Pi^$36Z!Vqj8$P1qO5e=*E}g0FKCsE! z|D|q{1!obtZLxElfrXXqCH>UE3(ZXr&t{MO(4;!jb#lbq8Kmt}D{UY-X!u+T2cp%> zC#3wOr^TSNJpEPS1696d_D|Zk*VkV5Up`*>4rZQ77~yZ)CSPx?Te0&rqeEDRjhToc9MF&%h+B#jwHbZ#&u%8O&G9BJDM zLF0?1`UDT_0Dz97PL!+i&3_Y`)Q`~WQO;O~NOs#`U*7_k3aC4Xv8~gsp!cy7@elXv zBP)QtgDyD7%D+)3qu>f-m6!-NvBQ2)iwzf3D0;&M5Va7}DSf5^ZqpOHEQ*~cNuC0f zOM&9el2eT7u4jV3Mgk;PR_LZ+Rdt=f_&Z4XUtHK0drjrB;Esw;p#MX-jngLBDJV#t z*eY**kkWGbIN)!jEo~ch+!=YyZtc{3Sv%;U1qTo)GnC)QotH<>BciL|E8)nWC5PMJ zS3H3~0?C#dM;BKp<_r`Hyluy${VjPidPeB*{2^*rc~5+=Kx;pCUv|M{lWQ+GdtY-; zY9VIoRyf%5AU;kA??oVs`6YDy6lM=qA&!sF&F{B3b>&XDfa8$XSaR+p|NlPtj4~9v z7n|z_V4Aae$SRZjnH&zhF-LJn0S*BB*wa0`yR-O#QW|12?z`%sBh;=w zYKRxcL60MYnSQtEi{BaO^}By3B)4IWj|N0jpi`7fzuK1d=(8;+eph87Hk;oSTw=iX z9}UP=VRg@Z22X*&~~pB zfuA$a#TF2~FYY@*T$)XU*H{KZg?sc%&vxY+=EonO>m7f>p;{@tOuk++J;S)sS%`>p zy_co5pdGQO?DPR27q>jd@tGs1%*XYL*4+0Hp>kKE7F(tPH)D0RT)g%zWL#iaOvYF3)IPV75u|Wx&J}#dwX3hz^)p`kL-|9|kfL*;2@nSdKr)f$?D=IJqlEEf zn|g=8k6PCwU3N~iMuP89a~T9Cayxk{+~VMns;ePib6a?7;@8Otq(3Q+s#-boprIyx zBEiMCQ@5;1>)Jg%jH_jEfQTU3_LF%HbOeyAeu zJgD>m3d9xx9}g%KA^AYkE-kGZ40LoiDvkUR&McaW`EO~BNqV?I$-oxKhiG`Sd(oQg z2B6OlV^(jjO1>-W_MgvBc>V-5ns{BBpB+W$_rOyFB)euaKtpI1edwfi4S;zQcKFTiA+$4}R4VS3nv`aEZ$?a%Ll zf5^Ad-Hn{pK~3_zy$3=}DHEfgji)g-h`Ejt$mhVQy{Nf67K;u1uq&7xn|Zu@Va5T zcelT)Nkdtbqa^;hvoReJoh`LW)Ft(vqUz&po;9e)OKxH?!Qp5ZQB$L3U6RIY5Z;g3 zFgyeQ7`Jq7Yz0mOUai!Kr(Sym*>=oo zwSPNewF)_v&^o*+^VH&pA!}d&q_QX6z(NqEb{7Amy%H1%({=Wy=`{3*-FqqG9dys3 zDc-&3Rw(J-2%F}XEqytF>ewMF-gyqx@5cl{9LQ{dYo_4JYZY9=Y4}z^EaI}DxN|-u zYV*)%fsXvib-8SS_BK8;h=pD2AF>jr#iCv|?VIdSOLFIuh7EA=>}mY;hu%;Y6G49G ze^4BGLF(W!cmfys6mTULy-NxQw{BGXvh|X$vInYSYSw8h{%fZL4JAbU*^{9+&0U&4 zHH|YHl^U(y$6dS`kXruwna{K$En7(Jc&68ZX6Mxc+SLMA zk20X2%3O9!Hoy&fONS*}62Z!Jy9nOM1e*8ZXdb@{jP_y1sCps{1ryh~7{6=}M11%u zBnDeK2}kZ-!z6Gnt%4-*XH0|AA%=W1*;4fM&y;PVykOWHT+tJ9bo(cSo0Y&59!^GO z#C^1?hDrFo_!?`oS!C_#Lg76WA&~Owonp5Sd)++9Ri8@^BYS@yd+bZ_ zp1oN5u6f{@mzX>An0sOf+^P1_j>ox!q0q<7d`P!8t;a{|cyf1$n0)v$FJ=Aqlt(U= zrj=fv!oV-9W($P)dW%zSuQ?sZFjB_oG9b`_B1%wQ-WjHFKB$RW|r=V<+px-T|-5_gsNkS$4BR#T_*AS4=H(Y?Aw9K>l$u z%&~yc=!9?hB&%j~a)(*Cj-u)w+vo};Q*NY=%O7pI`xSuzMj}Ur>J?PH8qrd8*)}jL zFDvpc@rM9%Gwjb0Hb8r=vaE*BW$xv?ej1;~xkl4UVpsYvB-G+EpJEIuH8cZ7@(KW2 z+)FyNQjsr28cTpx&V9Pu{K?Hz{YtMmUJ*RVyf9h%tH{?K@YrM(-* z@@`KIMG%l&o!&qiwA()LNSv41L+XmG6YvS865@%b4W z;#Jnc&0YK&pI^H^5p@q>m4yzu`^Wa>x-Qkt0zJ1JTAsOZY5oEI-E*8#%-MBX2~g?H z%=JCB(Ch|7?(%b#`qxDiYNV}F4Q!UQ67Wu_Y*&_3i|d|0h^|dip4|-f z94re@rM$|;hAyO zZrkG95vm^$Vt5|?t%b5(Dh8dPB!Z6y{v9tcK02+7B@X(iPz@c)zMF_`rb+rLt(jw` zegXm7s+ZPHzGjBL`};r}ziU%vW`;%t8*jNMbGS2lrz{fyQ;=~+`%%LLv{l%Y!|0i{fw)97P2(Q z>@K$%U~l%%xrBW$tA24{Jp_t%AYJ&Q0W%1PD(PXzCay(o{lUBm@%rE~UPtgc7-~sU z$;a>`8rZ;mYH?xwrOq{s$itp2O}PeMSTPQFQ$mGp zHP*EiTE|Q9sgz;59tin|ij1}2ZA==Fz9)Qr>atgNmu}pYb=Td8f-d2LGo0jrsC~<} z+BgQs;VJ6(ExbEjdrtPG74|nW#_(+rJ+hgVnEx(V6)*m6>WZPtwquH0$^sgEroCo|TKcK@ zzvfuHzHApJMyr(wkWL=#MQN4drrTaJisKnv^p5pvuxXu7-8jkn?$}8zKg`#;*(A-S zm;jBDx0@WyiL!tOJ+v#5xb`crRzAw<$E5PyNWnjfqX&wGPuk5&<=9_&P#8u<#rH$Xt2#JH-~iR&C-*QT>Ui0d0W{%wY7sy ztux5}+ZqeOE4G4MjMC$PPuMTV6Ygs9j5{+FuNv$c{Y^+J?BqzK&PdTZi^!Qpxaprr zR-xbDffvEx88Oa-0D-zk`#0xM1^@VFG)#fH4%ist=rvJQdFEHuTDws__o0HxO78-Q zGaY+TO3A(v=ff%`29k)e_t^vX|G&ewd}eI*(qp^_v42~X-vQz19GZuSGK;ulUR}`? zxX~f9?b{!)=`Q9XGv%I;A9iD+;A5OD5H+J4ryc?^A$%9dY<;UAMR+{{Xw-nJ zz|O`$*h(n$a_s1mO%U-*C><4470XkfISg2y6}jbSkxiRy_~W?Hk?}NkASyI%_=y3> zLl*x_Z@#++Cqz+HzxxVf+|-q*!cO%G1wxr{(nM^m%kV}{+uQ;+Emi=*D>(-#_+4%W zl;?tP5{{?j7(d)ZeJd4s8+w6^-wMnQD^FBu7Ii%U2ilnM z?TU~~gXA?K4w;Jlv(>bY#KUVd0$xYrip_rG^TVDEei`1SzB~^NzcziTGfgvJjYZbT zy~wIz^&;3LfZoX3&Zh-ueXw}Y5S4j;OSV-%yOX8$u9)X^vfFaJ29PAgc%tH02Sn^I_*+S#ZZ5oct`{7&Wd-8|5KX_kU3G-EOQ`c&|G%q`Ff#P@gL+;PF zs*$Q{xp_TN?n2EdU@NuDw0-o!nFF3qcvaP|1n+IE|4j>*rjB5Wnp)@79{Owa*2Q_* z&Z*LX)?oCrnExBJ$Ep(KJ>7i9{j(1UkgQ0+N{i9`alOcY*oR38h)p<}nBhfkcmvj} zs@!yk;2Gr9hZq&8Xa%LkW9QKh-lii27_$=LkSn$vE0oWx{$VJftjm4pKt zv;p?v*PvG8Ei=$nI2Pw$Kw*h|&_K!9SVuV;axcT0Wbb;0hYW$^9SvYyxN_-;Dsaxm z6Xz9yE*;+Fx_XHAl|rxmJD3`w)t6`pAXv?V{1vA09(_CR>>VWM3TZYy*Tk7 zi&tBtGrQ+H_Lv8HAF9-#C;F${H`b*a2o{@?=WDwgKvHww{OQZR%?p2&Yl>u^(DyK=5Ygw}~v$;e)Y^HDl5+6gy# zT`e%Y!(rn&5+ap=WMDCb z*Ft{#<~1kQ`Pe$AaWVs2PgY9PI2V~9R6kd#(9{ma}Z~(9A=8uApu{8T7N*Y#06dn zjE01s%e;oqY3~>4CA(+b!~;arAUVasd3)!g(_j(TyE%w45OLWM?*~B(kb2tB@{=Ao zqxncO?I7D$(0y)%|M`GSCWYsqULNNJu5Njw`KIYjIT!cKf6}AV*yTl@akDWyv9+}B z9C52>F4KFzK&5|Hh41&`_fl?aq3dvHj|{X(3i<90es#Xd)&1%gz+yXNKmeQ?7MTzd z_*s*F_yzi8=2?DD_O1OU5Spx`1ZY`Fb(2h*1xc&_G1X?8=SaWX%)vxLNqh@9&4B5XTn%dBA2x+hSxj%Z8>X2 zFqRE5QUp2Epm@k&%2u(!nOYe|M1oe7nTz_$MJ^^1BfN3u^9rIDSJfXl26#n*VsF0BQb_ zcpV*wv$ba?*0kc8nNE!ed>%^VK4}CcVnhNy#ppFFaNC0 zkp2n~u^{Q-TFgoFsO$%%T=rD@s$5&xMqJI#EiG=%*&UJQ)LL(&BITUPj~{pLqnpM- z-2!WC$?Ept#<(T5dMP)j9+G8I&2jh+RPzVB+M#9ITcBACUh&=^Z+n6&e)Nn!Ha{JDYvd2#7~dYK zV^~rIY4Q8u^4jI!$4!W)J_<$a=sI4rJ?COCeWh>MFrhNxh=~X}6HB|EAIKVz?2YP9 zU@W=S7VlUTdHH6139FRr89C}8o+8rNzXoYIU*_+{a51r($C)?rYw4;hX_nGEKxX(3 zy?M~z$T@*iB^ob@+Q*OkwwxN#y1r^75r~EK3)P*ey))t{o1Q?4`5%lXfj`-9et{c= zn!Q7l2+w+oO5Zk#MwbXW$QwC4?fiRpTixwJ!zdmkV(7_dbx>wb8VJ_?)v$lvSu;c(k`c~0H2jI|4p?pw@o|G0!MQ zRQ6T7RfNlihtGxwfVVTU8r3xpv1(MeY+Th#Gxn&KV@u&gUKr$Xszh!z58zGuk8%c6 zoaNOD^e;Chd6Ahk6vxWQIQYqHB~GMgybjHFA5i^&BOSo#*Wq(0$;CcH?{C<9b_oJRTgWNo7DG zb@pnlD%HF>ryA>D!5en3X1jQD1`1HhCGjHdW9NHE%C6uUrbUYcGZ>H2a{Ab_7sm5i zvqDQ`#k56SBTuZ=Cs(%YXt^U}pmyT3cX zWOInj>}%dT@;KQTEm*jm;Fs_ZMPvJv-%Q{N7>KWzA2~#686q9*Ds{Dd*c&u^Xgp6` zi9tlcbqm4jU-PFuFUR8CTGDh&s2*6^I`ecSazk$l}}?kS=y% z`DHkA3GI&R=^6`0>z_U9xm{{?6n?Ay=+4S%ZDaI@KPG2LO!`GQK9Y?>5fI}iJujpK zxsaKwR6bmb0qFx1w=VsCkpM+iI998Th_7gR@}6(lwd%B(Wy(O={0Ud&UcUBZ!ehQv z&sadvN7o!><7*>PP&^RQZvXaM_L$cyA&cc*73YnVeytjlG;^xrU!)C=wm2uOYutR- z@S(KUrw>Z^G#+7x5vR0)GugytgBdg+@>~nnv=SMRfiCg`vq3hS-*~Sf&$h;2vw5PF zGUiioC%t?oOzX>(Dw15E&2OwXa&fJBa>PbEE%Y($*#JKuu^xRPx{+Wq-%7 z)m=4b9}WTBj4tns;v_p$4S*(v1qu|b>jq-BGdLpI!IymB`^NkGBcw618)9iLCd*Zt z=y{D+Pz3$iEQM|A!sH2VSDgIBOpgngt`uig<|+ZM!VeNUfd2JE$m=6>nIc7!PyXtk zfvkAl<6ggw$Z7SXK=Mk3VtY2NX(l-mWai`^d5jwv3}{_*a#I41w4d&YDRu?G-03aK zf9;jjKbbC%N~RC2R=5y*cA)CSS(Er${CLTBaNS`AdAXYN0E$?$lhkbB(_B{kcb;@q z%19~RplmlMJ9RyXqR@PgL|+Ka1v_U|c;yRI*ej6>aeYh)vR|Ql_Ipu1Xv?bL6DySU zaAcfDtHMROo51G7!GXC{F2_I#Y}S7n5?yR^KYxQbl6CJz(+YL$TklJz&W)bkP~^ChV`$8md8eS*dCEXD;Tt-b)Y0XnNsa1ifKJ{l zWSlQ_&?$N}AsSj(H3#m

$V0a#_T>?Sz|$%I%W@$WT>+s<0+J7Xp^1`r^#52A)$~ z*l)S;&hNM}^Q2(N6$LEROCHzn(tu#q$h2F{sR=S_P)gs>LLn0HbXK~Lb3x;H=VeKD z@}HmzgkHZh8p6feM7ATptSXc$@)#r@V&4|#lRtA!F8lryhPLd^N>)!%t8~rs5bG9A z7zIv|vd$_dyUf+*VRcmXezt~*-FCKKth#TW7G*t3B&5ye_Jhdx`syD zXUAvL>9V>4elUM-6=b+%9L#QU-ysDS}C12S^c7LrEw`+k; z_2c|lf@&$Ds-Lgqmviq+rxT3H=nA$;{h~9I(5d%1abje=n_cF;!{X#X#iQ`EpSLc2 z?8v@5HmsL+iqY9)?pX9)M4*S>@}aunab+%;>chfIxZ)2N^0=_mgM0;YOOv4nlqf{yEcITteK z{a2+AcpRGks(zb6$}?)OVhq_b?~(X$#-7U;(l>OCmN6D&f!=^2r!Jisnwdu;eSE!x z?>OZ7;ac6HYz0TSoUQ<#4f!fgcLUS(p($@`I2?SWW+O&@SSQYWZ`Om8F~D7-njHV$ zi%+Y!b3)6DDe@1rpy%dq(}j!48cxt~6w){H%tB`pb!-1RbKY)S59eVs4>S&*gZ)rR zUq+Pk!BtElW9^G>@a9B7Y4CY1{M_8nPh8FeyJ*@)-L!^j$45oAJ8L}uDWZM|a?vkZnWnc& z@(>NN*Q|*w;-N-Jh|RU+B?V2cI4YuRDAKw4UAJEZTJ#u+UjxYCck~F-)3iaCbL7x> zbZ>)ro^|qfpX*YKyx7duisbsfg$F@2t;NRT7PpsNes|`^=LLSFqrg6aH}hFmQ2TsX zowVjCvkRn)^z|Kz|9_~=UPme~53$uQkf;-@zsW_N6v>QCr_W0GY|iYB4A4*h?Rrb% zh4=zPw=SCk0u7{R)hEje&s?MlEDFBP?YXZfu$-4Wvq!*&V=f<#s~tZR+qLMdnk6{x z^#*)fu48(K%Y7;mfn_y9e%Fa@^OiO08d^5!HuZfNJLAXlWtTf)c z`5!(yLX!O<|EG=F1tXo-7%gNaE!OF_T~Y2!Mj^x7fIs>|pn}9AzM>li$QTngx)SVY zFzIZqPwD#W4n+Emj_lYaD_HLSnO!8WHb6US= zzMIZ2W2>O@?-ueKBP(YnUk`7@Kc01G66<;qgvSR^ODf=y@*5rPAD7qJ~Tj*XE9 z0fc3LbkTRQvMM`WUFL?34oB*0uf%Wo46WJu;eh|1{VkB5K{VpykvoV{o=It zKiz)Qk`dt6Ini5HYK<$Zr75_u zHPs8g@0yPGhzm8H6XowstNWEck?OLM-aD$M5&Wa*SN2K)O=gywNBk+>l` z8Y!#w_dYSIL;X9(ZV1a4qwf5u@#$-)Wz3gw)e!zR(QV|87Eh}lQI%%+PVHvF_s{~8 z$@wO}rq}hQ3te^`#HjCA`O;VqM#o7kARg^WU^5?a2Y%t`ldGDj|Ct6zZ?)$LCvyeQ zAb%c2(2w*u)IO>|h|RYL4e6%A=pUnkQ>~}k8ZZS6KjMUtqLgZ-V)&hD7h#P$WvcCV zmE(F{1zFr@+LlxBuxOK^PH#GVX$~|p*lUgRW0U{&8G!{lv*6E~R_tB{#e^99_k;nV ziC)34seY3jjc$TW*+ztJ=*-jsmm(=eoqZyzDfieNCW>=<-k^F;KSzrczKwMmNEE121F#^HuPTY!rX;1(=D;%iq39BCt*M zKnW%QrNP%|TR1U7GB%8HwNimK5CDvu04&>en7ui6__hq(8Oy*bj_eupk(in zxN*e$%&K!)3z9#MDoK^W9i`xDtf9OZ4pxU~{8yBS4hdkLO2z|^P$I^pE|ix6=>hJn zWMDYNfuH^!0v2aZNL2Mt3<{C7s+{_xw!KAswdEd(IaNs}gQH-N(?rQ}6HO?RuI4;4 z@d(@Zp?22yjbA?Qd-kmzaTf%AiBS0fUwOxG7C)bXm;ltY{_PSEZ-E`_pidm#m$`>V zu$|c>QSx&DksAXbjlUC$+oa0>QJ2tjRm)`ouZ99E$X9C#P8D0+>pM`eGYBYLKm73p zI@v!E7ZO;Vyr1!CAU*|pbk=ERYnzn#Xx}^;iWc4_J%pzA@3{1t{zuoJ{fjM;(s z#4u+4C+RwTiM%{eEye`WGFsa5@+V(f=J)mj`b5LQw^@-2=ue1pF%j?8?8tbC{Sm2T z7_=-86zSKCc1N3GVXvwM@EXod zE-NHAF^Iq=_GS*eTzmOHFbC%~m4jygz;pkB_@5z*Vb+xpS4Q)vIAWZ06Qr*<4~m49#4S9XjIt z>Y_3B=q6dys7_!c4``Ne25eJmrw3}YBv%U+DyRj^S`NeOZT>PscDeg`iV!>kP|T%kiy+JVEWDu&lqfSklCX2ZZlA_g0&m8KBPv@L z2H;vOOvepoBnv%rtfCm13k*37jlW8p-#=uKd+h-^-_Eem|Hb<#?S*3L5_p9%k~Ma`20&^?RgK zxF*exK&U!?J_}h{|GIFQEAWIOen=~O#NGpYccl61YST=rz{jHqW!c^RnXBZiTNUl{ z@(&u|8QvaW=lRC+0NSAZ5C0G>O(<$LJtM&`dK@Xg+mf`JbDqiMF)?;PI=J_|G^Hgj z1pH@B1O7^^4%v2%O;khw>s?*%RmT5VXi@%#?SA>3+3x>KNTZ5qc$L6us%kxHt1hqc z7M@b!q<<^8djrjPz{XqfTaLHPLbT!DN}T|P!a9|JUqu1UnRpLWa~l2zsu_*1W21{> zd^nf3brwGk_zV~|>}S*oW$ZcJ@Hvw@9%mY6^NW&xrXZbvef#S#e8EJ^`%i)HssUFS~qhQ9smXCIUgaZn`RL3x>#jvlUQe%dpM4^R{RixCvcBv_!qr-&m>j#X`;K&mwhO zWe10jbn~yuh57^D;DNFImmb?-Bj9TYsKI^@$ud^bz)4C=>{##Dl4|~}JfIn+rv?yh zoJBA;%v}90a&z#3#;`)^Xv5G~lfbItU1+vGLgWsMKH6mlLuaGx(*~|cE)~XJ@2e@E z`hn%0ko&N0>{%k4Q7**Tm+(MO6+A94>sHYgUwu0EmGNtrZrK1fCi2)3e{OrDXG62Z z?Mg>2i+{x1&)so!=y)PqU{_M0477OGkf5w+{k??r)9&4>dyF>&mc|CD>{>sdHz?6` z26yCuC3Opq?MwPI&%!27mwKCEVQhV{*BVO|;cvn_J90c%QDZuddHpGNm3^}9PLX>M+Jxpp zsQa=2-9A+e5n;?(omVMLQYqZLVf2%6uDDi&C#Fn;lzgMFL(Rz*L*|LhzFkmhRruM@ zVlNq=Jt3_0tSGDIn41Co8%US#cX@vK_m(F6<2C8-#%4{9+pt~dTcl@Vv2V$O&6u$h zRC4=~gMxP3KU@5kFD`1I+zF4n;{MU&;eFG0xgMfvNTQ7UlL7pVbnRJw9;8;{H-7wh zG~%%WX-JaL#io(O%mv`I1DVxLvNWU6pe7%JSABsJM5-}ol9d6F>{o?tJsjzuhj8ma z%zHWB(@UTtB{rrx`?~%6Swya%yI&nW8OQr){)Zu)|W4vF9NBF)U!Bp+QpobK()bF8-s63fKL)bHP-sdh&$zxV#f%)x4@n324Y%%rD zhjVV+GT=X1n?kYUnt?2Pyn=_FuW$*!$qFaWlGwJFEZO%&BrGzgyOfv&-NfUNx|kWh zxq+SdM8IOI-nB1{tnkxoGgK$1?zZpyOS=PIDs}-&@>wpN;@Y5A1={R}pwIY9qf51S z!u{F(ZIK)Grr70<`F}K>7ef%68oG!hSZPp6Z*>z9B)=vP3k?eT{QNTFm2!GHxlebuS-`wXFEh{;pFm4qg z^rTyws-RCrAnj4r*4LNoJ;G2>V9mN*(4l>*MuxYTP~_GnhMFQH8opgLh8Daipd5U2 z!wW?{(J%|+U3rNa>cC`2cQl*b$d>h=i1gnI&D7DxV!4L3;(n3tb`>cq!23GA0ti7_)ROW`xG-Lphwf5~Q zZFen!`?R?Ahzh+5A=74W-(Livp=;puOj^i()myR&6DHPwsD6CAv_(FByu1#Wo&T2U z^{;*qYvAik-mlgrn7OwPY?;O|A6&l_)f|V^fobE+nXSlh=8}Q0AVudxv5?4geU{0f zbgz%0nFG%nf_WQd9NaH3k}QkDTyLcu_3mrVK^o+r)>0b59Ah87X@LMZdHiA}oVBg_ ze4>)iIoOrCxKBKMz{p0|C(XF;5xcRTr$KS)g}9}PCqxB<6|rhE-~j*=zn{4_!jZ{(r^@3ZO!!Pg8}d6xs~%68ycTG~*g_mA7w-TVwoAwF*mtz#2?TVC# z6bJRnYvjk>PHR`_H4d0E-SNyX!K-{MWe0-p`~?+k6>(X%UBwm8);HE<(V>rltzEsJ z(r)luNA))JxOMGT_J<#PjD5eD9G>?bwQAtFDrJ`0Ar_B6WWImK;EQMdH*oqmeTm3# zw>IAT-0#jy)ED$ox_7a{++Ntveno#aE>T}{yg$!MHQ|nM(1o~IejzBb6S*WEd_DNI zpT%@z+OVWJs~4w?g7?55pAtrt7CN@e`fjiIkWF#I*gBma58WV0C>Woq})7{w5r?R*eachhu7?Yw3KZ)-{&>WU@wl9cRozO*(SF|*i}{>Ud#KXE5LzMl zIzR>GNd{EWE@}S5e3EH5<D9AO;+wStgu^lzbBMstJ4HPrK>0)h*+N1X1r(GZr$fs`Xgu@I7 zgeK_0BymXMRYK?cjU~MXU@EHY+UkZ>Z7L+_;`Z4eBHt3jRksN`p+$a!ASp5>fXmXkKIfjF?d(984k)ti+nuP* zM7(lr-#W<*k(ty5SxkUhqy%AI1(+S+k|LCw2Gv8R_#161Wuwag$wh)<=k{1?Q_yX) zlx3HBsf(FWkm`up2mkM6HY2%BX=VOAi3_4z5tJ^^xP5LwGi~=GUWZ6rq7rBf$*HIV-VAw&)JV5Hp_#c7!Rn zAMp6cQ$F2b0~b`QcbPW&-V3j=JSdLM?=Zq9+K!?Hc;FW(;!BK6w~2aA1=Z57{crWs z7}58q-w@mNb5=bNgLdf0x?>FDfy>n;JB(rBSsVnPU>v?|9 zyQ6s##W`GK)DpvSs(;Sz+IETGDi+8;=dQUJx#X~PQ#DJ?6BDu0JN1iB(Ow;(ZFC#U zDasL7T0~6!9h}~HUGL=x=*BY}IT!Glsi|Lc#dF2Mh!yEt@!b(h zLkjb#Y2mHpwjs@uBx_OKvyU(T`U`4dlKd>QCR58h>PyNXr$WIyLdt6Uw*w0(}&U%D9e#1xej`c;AoCWL7xx>1H^%hS~IvT{wg+9X6 z1|k3OYjtVd;g{-+IeP%)gUoEd)4wg`cBD&%#J4k*MRm!W8hqRA@ zaKAgYF+KztN9W14gEh}96tfRrcReA;o7L-bQC64Km1TIFDYwSFZ;XM_qHC6n_aI3S zFe!MKAw1jPvBrNr7uRGnklH)#Iti6Pbn5o~OtX&}5BC=JX95PXxNkPlt{vWE=+d(t zSvJc1YjKk(umLIucn)9rw6j^N^&{-uxLY)oNBHqn{C?|-L?RlsHNibAmXgpe#gbHd z-P{^XzkJ~)0d{8W1G4El{{DEudY!no0(sf?yEwA2=9jpDuBweXCxjE?p@{u+as1wS z(R@7r|oNk6#4!6=@2f`y? zb{u1gLFqVWpYIdj$p?+oZdsruvk5znP`jpI#t7M2F1Z&mV<$ezqv`X**Y_>?YHG}u zQ}~BD;Nr_cCHV7o57>lmX$7NC)}NP0E7RsPMBkH-H~h-SO$Q~WLW0Oic78Amj!HN@ zs8G}1kT;s*Xs{?R{*d>n?RyafcEOW`@MClO?#>M?cYn zqgVgmcebavuOdr6c>fAq<+Q&xo=^Kv!G${k4Glf>7J}H&S9~yQrBcnJ@9bsUkK)(& zRXluy2E_RfE@i{INH>`MQ0dxM<*TI`DZDv?`bwc%e>?tU+mA43|HZ)Eyp!EF4Rwfu z#3%>mT=2}8=I~QcRskbtrX(&@%!s_vj9kaM;Nu80=0C{^eUwPQJx>E^9I6)zu7A{e z#e79Chwx=ZB$eQkR?WlqUB7?r4ox#dysYm4fwy50+^Y3XWLecto?*UpXWQIfrqKWS zf%$Wt_4HSaENw;+%()ew4fFtMx5esD)Ztg56wh`Hhf4;I9|b~L*)}sSjx&DgWP)Y6 ziWDJY50Xw!v1D+|g?MK%^vnQ;SQ*BuHY|w+tsMsmxi9$qMN!37UXS)c4*XM40n@TV zXH%QqK~<&QIF|t``ch>vjbe2Qr5!;|GxO;TnkA?r6Ost>xV_$*SJY-Ngj;&3n|nJ* z8yS)Wz;#!6TV{*iAoFbr^U_#>GKf9~3xEm>NH;$6bU5A&9EJmF2c!Dbt-BYxE=t;* zJpZ@Nr^L*7JChsH`>SZ>u2XCzCUxZ;fLu}g_aAtPDu$H?T&JT-<7T$BEL4Sy3LZwD z_>OlVPD#@7G{t}%eI1e9T#AML2Ynsq!kEY(4PJ{=#qSD5l}Gf|)~1qDe0Oy}$?lR6 z0sPkSa|OUs17zC$()f%)m%>5#si|*1z@&1+DM`FRrvmwtF(~^|w6$j)O1`>@*p*=` z$GQh~4XIk92Opb<8rxZ-9f}8}!SoUQ!bl@{Ine{sClhQ3l%3ne4|pDMu>O_R2XoJf z==GLsBCBO5nz>XAG*BHFKFsa!8%C$-e=3J?0iFS7E@V%a;q1_;YlP75aM|z=?mB0{ z{sq+v?}=yRLS(ZdUc2K@yV%YU$ubmJ-7i8eV)9i7;~J$cJvgKc^oSmK3Z9JLKK|k{ zfs-}gKIm^V5M#A=d3&)UopGSD7dx6HqY(?)cm_Xcn>H($<7Ci%u z?FbRQ4~$mo)yKX<%I4Zof;lyzlcb;N!MyZcSDP8k+e*VWGRP{h;1osjmZ1w?i z0`_+aJ_VsROcv`cGi&!z0m9OPxt2OjsKHiMp@JV~5*k(U8b^n2?RB<|`Y=YKZ+r(s zq0>HhB8nRqT>}SIP@;!=f0hItfOP9xzum*`zX5x?GVROoL*m_R$9})bviZfV7(lN97;222n5O-O?1JQ3c5xsZ5EsI?3OFY*1=$KYv*_Oz zhdI|MPL~uM3sr4S((jHoux6}Gc(&_E6Q+B~r-z6EEX%8DrFJj!>^_2@0$JEbttRO9U6WHix~mT|{8K9^ws05dWc_SysUSNsR4O%pFwfog&Yh_hdh-KsCn z{Jmv34{Ql~2j^$Q->t3Wy@EJz`C-C43ml;e!sbcr`^rjFcB=MIm&dp4>$kUGx=Mox zgyF9)WL?v~)l#*V77|d%!GE-%BK*E_z9CH3tQT@RnywzyL94pW>s>dbI~$vRf^Bu< zLU$`ak+kF*D6c}-uM!BTT_kul4)gW;T}LSJd8s%DUHzkGLH(>NqqTbRnBU8yW%()R zMqTtf!Sxo4l9(Bv7)X(=Ief$~ouOC4VsPlhf(BMw_2SKLUe9(SCpUAj3ezIvPa2q( zo=`g7=5N5mv@qYmxw*$bO%3 zA+xhXrDuCNbCo8XH)v0AqgE|k%)i+`YoM|QxZ2_b9#Pta#m92E?F6eXwrOyr0@4PA zedZNY|NXbhU{8GTGU^kM&CPz!qgPs6?DF$C)x=;=KAS%BFdL{pK5n~2Broxe`aqUBXYXM?TTU61UB{_w(;6f|1LZdPt;Z( zhL-&bNYsqVO)o4@YRH!RY60q{yJevUy~h^&*Gep8)gKs`swaY%=s1Y|G6JJtD}_#9 zBd5a}Wd`o%cUqdoZ1)2dT`LF4%pCBQBK!C$eaOr`2@Fe`B*5}+*@S1wLquLSWG}gK z$_lP|bm~U!CJ(uA!)D|+`c@8phqSg-BM9Q{jYT%;e5IW^;UiM_yrY7A-aQPf`6qR& zxI&+6G)?_Q#`7XVHk+e6|IX`(IEpQ`^4 z|0ecBvFyYWeanN+pWHx~>%1L9^_WkA_P^pb=-%Y-r8{l9nOE|EXoy?(YZ8?#d)%N! zGhlYcDQff!;3dl2K!CmVcKqC|WxIns6Lhxp$sm7|daKj)q-A`V7gOAa`EsyUD4Rb5 zsolSL+u(c}TrHn?ZPtt)JH?@=&J%duV(OrEzyt9H7I4K(lHUFK_P>}R-uedaPYm6n zi$w-e@w=qTzbSmWo>&dx_~--Dak(*y0?jv)J$4&m!;}G$<5a91s_!}zQ*9)ooQRI+LGuyN9TnJ5~?uNaE&*y zN_>E9sXY0uvCs?rv&p#(jw@unWXC&maRgG_mOzJ(cAlB1xVTQb5^TUnkAgeZmbLh# zw-&JY;3U#6|La1Q2w#s3Y9C=qaaW8=balexF+U^M~JpSWf0g4aMlOe`W{T z-X!4-QkyKQT(2Ip!1~Qks=$cX_O(BvCHn#IX7>2!2|?nu{?b&7{nQ+^Tqk}dR5WE2SyHG#q;NVW~N&ellNjYd}X+vQ}8Lmg3)dhlsF30wkxEM!EL*Y#!&vQ!MJKf z*-Xg2r0R*C4h-@H&5D~$4Id*Qc#2-37liacmLZpprmmi>&!p9+dMHt*e=NJvczSht&P+oTCiL;p7k9 zJvC1Tce8vQ^Kc#PimJXvvhJRc2~Pmkzr6RDc9gxGt0JpTmgxmlxQqF!Jv`Tl`q^s# z#7lwtk~*r+S#{oR^=E_TMnKPFH)!vBA?EICUf)E^k=?~KcgwvKCa0+Wp05U4^IPFi zY4OS2kBl(4vA$MIzgzv$S2pCr;2JlQ2Zge8$ie)GTCwGB45!OW$DwRFJ*hpz3s&FOLww`3-#L)cKGQnKFH$&cr4G#$VuWlvw|3Q0sDJpayoh2LZX&Y&OuE z3`=VB>>wAugyq`Et`D|qL@ls{613DGbnSdI3H(WCd_nU?^-eUJ-j~N&_d4%-XHIb2 z&E|>j3sNDGW~)OtHr;xUafJjLA;$e#O9ws|*&po<1YCfa6+mvB75#7pC}w+D-uW?A zG1ql?fY-gvu9A-F!sK1Q+M~;kXFoCb+IHnBTG>pBzfvd`)8!wn+Ld+SsV?z+i*1iJp#AVNC1@Nnv*I zau5P|6IAzzBgJ!*H)ivu2Nf!?gjeXQ6eAwxW5E#luw`cxqAch-Byjb8>7%bTx zEdPt8RTm~j0Mh{fv*-~_08MRIe(~lbk_4?!}S4Z?1Jq5nj&-`hN_Q%7E?M5uz~ZtK$q%g9|1~Z z9cbV7F0&b}B)y9{vlh10Ws&CHYs2dUdP_M`$RyxBvlqeAL_?UfYncUh_?U$br0?>! z_^7_-y%;cUC>W6}d*_ z%Q&uzSs0)VhLCO{Z=;=T0{=);tCJm8UWK~1e;1=2?ry#`Rj_m`0Bpm`vH9#Evuu!y zdFoi1^FZesLGltFpR!Jlc5bJYoHOWpxh*Ccq<*M)BgOBb}4ylZ)pfaO~6yfIy`Q)e9-vRpaWl!PAy zO(JlJP)2f|-M5fi8qJJ^70NwPe8R(A=njy3j?J>E;<0&*i3V@i`bTspiZ=%OAW&{- z+(zwq%vM|#diMa)I~^<#pu^m(e$cJmt!!BN&pBX6Vu9cy62y_dwA<1GkIr1NIb7Cz zb;6Cd^ZWd0qFJojB=i;y9srqq}D|AsJmPtT9!3;ImC5^R3lJ#&);K~tpy%4g z;o|hcb*&S?d|YC+r+0Up5Kj3CRsb1Q80^+_m!O5Rx(G6dQG9tyIC8I&bbQ-_6ElTc z@uKaT4t}3;%y#c;o1UeYO%13Y znrnBxTa-lweF-%X0LfziN(QqWof#??aNEmsW2i&pcKg>PkZ1#Dz>aZMznJPt#Fap^ z7G+wYG)^axRT~SMBa|s7wgg{$N6HB>U}DS3ms!^50Vn2Kc|5fju-RI%&xwOC8@Id}0*W4|@7ja(TB)kZE8 zF-?QUteb)W<6Thc@)fK0%mw!AC7Qh|;kByb*X4p0D}9Qoc^#8mLdv~uKw)=K({Okk(OZ`PiaI|aBY$=suBPF)UBawE? zkCCanx~293^fMuig(D3FPW>yjMM4T{q%%D}8BaI-jxhf{ps6$G1g{!!VK1sw(T1f` zP_hFrWgA%Xp+lKQ1F9}p!=55kG=uJYo@(!!`iW}mv|V-^(5`#R`$bzK)G}iubIO14 zxaf7DbX3Kc(0PWoyED8DzgMNvSLk4l4f!iQptJ!MR*UaHJcU#SFrlNRuCDHKspH>4 z);wH%*5RcdI@5DRp%h0m|B#`Ugoppo_-9QI?UCaU^%XE2%an-}$BY*m7tot^8W!UT zLmS2(8r+!kq-P4Skg5z8Ej(-ymuCfhu*Uk=Y2?{pkim5t_&hLvw?wPh@bTJa3k!70 zt>_Lasp(^n?MC-u9=d$Y#9l4*2->Ty3=E~4y+b;@=AJb;BWz6;87@1Pa};!Q#nrprRRatlJy4g* zhE0oSXgexK)qHtX6&a4%;zX6nJ@kJZVUb#Gzie!Qz0jE$#}};~uFnI!%KTCO{-l1; zEn9}lsoC+rVP^Y3!$+l8P>SjHdls96S1^TZV8rtu?upO(*?pSkatjG5Kj7_2l}iU6 zu;8k$;gsiW3-iHm$~Iowc0f=9w>DRSF!S86ChK8LD3Nh`UxXRVOq>PUm<))b9|SN>Azu z{lw0ZS7CE;hD~1aRfFw8E8rWuD$xFIy@EcH3SX4#oBCc?q?ppMCpmI|2a#_b-Lg=H zH0KYiiAIp!`hm6|n%NhYZD>$rez~w59;0<AR z$bCIM6gD(_-2O#Mox#6xB%s8ErD7h_ydx8K=G&SQOuVoha^sk6(oHYb_R zRazcXxMpHaNlEHCS+W_u%ewfP=fcT>kHSZ`Iuovo%wD zcKY=8{GOiP+R_8)$^+JVZkG?TG`~M&rF=P$ z@vU!OWktH`2XmOmXrY`dM` zZzSz{ezS2cE?9xn>qp_LYWVhp(zyxr5}Z$;{PgQOhvs%<3jR>2bi4+p7pgCoPYYPh z+?80CU9!1Wu6H(QJ6xta#ULGxk&4gHl{Nxv1cCKqk72nwcT0HR$6K5Z1KG5@h)n>g*I(Jad%KX!!kE1u&)TBX!!o#ttH zc_hw`swN&zvb0X|lj*Ay-kY+Um!~?M9eez}SnnsR;Cz_JCcf0fUqyBw_(s%HzP}7e zmt3LMt-l_0N($4DZQfYDJOV5A+bJb}<@02r;=7Ywt9}SpU#TA#X7zG5`0YBED}h9d zVNv){t2NGZ*o+1H(Kf4@36>(v(|5VL-8b%vug5Q``PVSn6K&asvlCm>e&DI6TVK%h zao9lSbv9>>{?HU)>N_oRKFSAI>k{$WVjv{KXK4fiXaf433;b2KC0$p2Ueo)JS0 z{ELBE$2FTPSbvhTQ&Sbl(geH}QIuRofb83#{b64^)NnV@FoDMk{HB-krh;4DpH${Y zPsY7zyMFoep_?b<$s@KHbr@iDYjO#z;e5Vo>g%qaxp5+xrX6L0ZyhOx6qAYk;x8R;D|VwaMyHk-$Rj zd~H|Ne2gAXqZ$sKr)0&C@r_Xyk*kD?%O=SNmkmQ9x)#1SUFkD!>4o^`qoGqat&3|i zVK+0s#px02LQQ+QefS7!QSY{SKbPAp#mAQOCb@l_bIV&&9sgq z%&|18hD5+m|5((?HH*7A+R7em&sh>iI3sJHiX@h;m(jyiUncYPp~^3M^$ zJs(py0`l<{{58^(a%w2Qzb(Mb`VAwRC#iPn$yo1O2@rQ3snhkb;{9+G_*2GW$XsY+ zPVFkxQi4CLM8i32`{RzT?m638*r_;VIgX5qGc`!dR{T1OVWp){S-zy)Q9&!CuI)#v zeu=5l;P61XQL|Wmxa3JBu>(7pJ!R%W(9RN73K-Y>yqIz)wqcazpFr1C%Hm9Jt@6Y# z)l#&Xutq5BGxKsK1ph}mU?U-XRY|B^_L4^)TAd(1noa)hLajG%&k7?{1 z@iIar`nGmrKgT@}V?zkDtR)qpVz0R0QBWP-z~*<4g?m~b96O-tap}UVbCvh>oG9BY z9YEp*(qnxcSeyFC57avqX^op=h-#4EYRL*#!8>{ zfz!2DEL{s&=PjT(<1FP0=4%3Z~Ht23N-zQ@i?ji*an15p}n zO37n-R~5jw-d5Cto)``j;Y%TeGI1|Cj)U@^9N z5;tE`r2(-^%RXU--q?-K_hlzm$#dS8#_JE_d1j-R<@B_$lsE0Nj+P%z6XLT^qg9E^ zMD9#*N~KEpgf2^7-wx_+M%OtxaA^kcQ2KQYd0pB;h;dy(^IvAnbXeQ!v^ti&6-qL| zSN;pEFn{;aIILD~q^WQ@Z7qDJU#eFMaXiW@Zalru5Er|_VKJHYvz}-o8z&g0OBG3P zLHBi3Y6))Sj7NDZuw9^h-3_>vcIU@RapShg?Q@O^UZj!TFe{r$(XY@2(%;LYs7gm*C*<~NGZ+71FLX;eq+~p`C#PFm zsg$ucyTe)tj*^2f`rNKA?=zzEh}7$Bqts-#L6=xxDBfy!zu?@gmQS|6;-4fb zklIR*n5`tZYI+!eX4GLSw7B>Gkx7H+q%b_EOuI%?&YH$He4hZ{=5Ey2r}i$7UbL&+ zQDFkQ>GQ1jyCaUnZT)*3mpP*(<|)3`+0B{KP+P@x^9QX$^D_|P*4Hkv$iuq+kV0E= zdws3v>Es#E9jKfb>C^!XZJmtoZT~u>gmgp02GVYT^EninalBe`mCm`-E4g{&f}nPZ zZ5k2plX~}ZZUbg6)ho5R4~@anQz)i5U2T#t3w|&|`RCdUcAh<_;xUzsyq!BsB-^_; zUYG6eXDRh`H{B&oKo@f@=rz#osA&L?=eEs(_Ut`HyBmpR-+ba2tLo{k`e6`FE$sfu zNq2~XogRVC*S(Lua7zH5_VgvlQeev8d~&1D*cG&O3Dkkgu^1k&J2ANb`B?c!Nj>9s z30p?Y4=+ly0cjslMujriQE^JEH=)8GQBu6A( zFnu(r;MAuPGaBEq8IOfe7@?~ke*c?EG;iHvYWu`wk73|T(==7lFjkYFoL#1^vsSf> z*@_)Y3SdR+?g743S5)+8{hCsH0_pM!l*&F7E49W8zmz+@$F>fXSe{5 zRn&v}`x^I~+lJq7-Uqmu)wXhEf%}Wz%NF1SKm&ZweI%Dd4rkn|8Y;u|x&3r}9!Ri; zo^5%_soM54L@;!<&gLE(Q-%iaK@LJM-KIr0SFnB8(_I8ulU*SGOBR|J_OrGfyRW(e zEBE3nhXH8dB=Q^#f@(Xi4etr%gxZ`p0}p!lid zzCWK|;CKD*Bjj_xF5cg@^zMEM5@36Eo(T}!xEjqw2b^cqF4JNX!Eds~q`dDEJbn^< za=NVi567P1VsUz8Bz@b7f|CeLzOz<%?+M>jYJ2%R-ovB(Z32#Uvd*^+2(URKh&+$y z0hZ*vWLC~Dn-vr?UPbHabB%P3Xa$Jj;63D=+&$m^RGo--zk?CmK(N=DX`dqYW+QOE zeH>S3J59ZK*|!wSexYqLU_hW!aZ}g2N1j~98m{EoMpX*i*WZsuZ5#7m8NQ@HrRbMt z@buw5>jsgzY3A7+EUY~R?Rnzk&b)w3qix@wIjkvM4_rMO+cnh~c_{!FC*Wnn)O>&- zijSZFNO;zdV8h-cTlol$pmjXp63?9$KZoBcTV#Xc`a6RB%aA=-TFf5f9h?I*6!BWG zsMPp^yL_eACL1HX8uFxJsV<_oBB6ad^-#7x8Qvsde69g9a%s7#yORMlJ^xyE?M2vy zb!DGlc}$V04@hqqzC4}L08!Z~NCK6QjjGz|(t$B{es_^tfX&G zW7(Gv$qnFr4n58i(DS0{;(5(I{5m3BCAPhrRgTAE+tWbK#0E>A_A3lHM}wvwK(Au9 zyi8E%x~|wwc&NQh@mfQeBS6&HJo6ZR7<*U}Xd2kh@p2Lh{n5<~Oh&d+cDmdO4AoV* z>%Ape0$CStHS?RL%LOwCUZ28L>;(NjzkNWp@Xkn+b0+UT@=H1vl%0 zV6ZO%0UC(^=Kj*YYgvG*?P}}f;cBdP`O-AwN2`u}u?yp*(`3v%V*|=;WM7COq`rMbKwipCRHIU?M7cX33Y$ zggx|>M*#Sm2+WS&Hqt2uj zy1o@<=eehu&$K@nd>+Hi^)~O-B|oB9v;bS|BrW6qv)0Ew*}4|ojvu$K&aX4Kw0mhT z7m1~F9Q!bpKYCf3l79QT=pv45X#qk@KiA(2+RMoKzUtElHbw&uJBp^A`ki6e8K#_f zfxXE_+-09xE#HLNWRja^YnHtqRc|@e=dmah(M6nLE^{zXb?7lzq;OnyBKS-KGTc)CEdAg_7olv z6J-rBG-Wo1ZFwGSGK~v2(XdT=ExnDeRxAiW$p(F*oY%3OC7(6$vHD@0#{^!@r%cF!C z84vn8PxeVZ=wnBYc=<@Zr|gGFDE+XeuG_}uMW%P=Tax5l9gi%wZH|R?nzzws$Co`P z9<`C`WIUE*u1jZ*b^I8;YoZx?DOQe~{ZW;jg&_FAf0ScaP#PFPZ9t zbToXi7yapI&QmEvI@kg(L;7GMkPfQ6)-9s=2EXrFgnhZ=naeaz_gRn4_* zdXGCym-=d5OH|sfn+=!YAU;%(r$)kQ`#hFuR4F>Hqtu6)7+@lD9|Vr|=x-<N5kB zv?I=F035A+-nrC=NG$oC_T*Ezz4$MS2P|JX2(uZ@@;sKwzy}6I5ZilsZG%X_EbjfX@v0Q|LaDxNGyR5rAR2f-r-c~*?yOk~`>5-R zc5!I3>OIB-I44pc)JEWNTR!*!Ui=%HF+vC6PiK!=HUTdu54Y}>F`(@0dXGoZs?v*~ zaj`XbwKc!_q|1uBtRFec=0-~F&)9=0$<};Se%7lA+i(k9^cx2mPD*!p6{ZF$G=sWW zP3xDF&F{kM{nmP>FHl!K*Y_QjQ5+9@=a;CVjf1|xKJzu%0aDvo+hf1S)oRnA@m>p| z-c}qAb!)EA%%ycd10FXuev?v{$LfbMi9Pf@zMjf2duGK0tCN1RK9?l(T&z14E(hC} z;ro>+1g#4~mj?~wt?z}ws@TPN^xV5Is@cu9JJfAio_Ev)IW@}6iy(8-zY>!<(GmB& zelEaEjx&G*v`y3hZVw&A$yjf=?SE*1B8V19dk<*#-R!{7MI9NwAB1~58t`bCKZ~5? z-Yk*AzFG5qkh~m)ppQ|1D8P;Jo<22xHRqx4d>eKKBfUw1my69NF4Us#p1+CZB~l*y zBx+CS^d>f(vJhwcC`-4$=THrDRHPl$t^gT`wYT|eO88a>oqR4jK2OZrgRhJDXu!+A zkgx0NDc|R~KmuHE;Cb_Y9z0*4c6p>a=#}H5yRp~b86fs&hP;+ln)iSBWu3nL^?`*3 zPYr9#t0-cV$nv+jRNl9LUBRl*v;+jVGryW`ZO#i2eTUL&udus*4`mAIO`uDy4X4FpYJxt z>Fya)BG2mxOiQm%b}0s5LuA5+>=mK`-n)8{6ahS9Yg@wIWR#M2_4^|{d*yTnz2mxp z?I9$_XZGi93FbmobFL!K3F{&DcVG@2yGO>r$r|S_2P)S})UKAjS?U_=%uLj=TV^Kf z*i0vQ<`Zx_Q+k&dIB(kZt0VU=Yf2}Ff7-%z@RA~GJ)hp9!iImYTXT}9KF`$zpNO_D5o`aBwGopNv5ArD-ecd+> z1e)cnEhoZ-nze0lF`T8jfw&@c(cA(>l(pEdf-AJY!Ttsc(`#R;p^{m#=jz)i%;(3b zIDW?NOJ43tR}qE=JCa+I34NmQh)>wdeN;jkA#JcR@2eCK;34}w^*}MAs!E5V9|ydr z)Iv#hwI)@l2Bv?xBXp~X=Mm&5tpQnceorj}ft@FjE|cgGip!EKfQQJ#2u`<|%B`^Z zTL0@G+-Omone(;6GleBofqiPDmCye!UIOR^EIAz;%Bj|WFo*myRv+b;LHgAjm5yp& zm3*4L!OnCw^|{p4{$=K%1yXnF;`^?{P`5y;`8&VYw_Wzi_g9UWW{V)5Ur9$!sj~rME#dkrA;PHQ)vG3@O=F+T+Llc@aJACbUEbWj@W3c zkZfXBJVcWWr-es9()58Y89Y(*YLKh-cu^3NgGE`=^FQ=zQ2(Gv_Y7vZ1nMU|aP$>FIXGD_@pl-RCv0 zZ}F^Qu~R&H<@CZAi5FS1;PC(g@m$sFtZUV-?UwjVu6Mz>P?thO)Or~Tkbpzx%sUKD zq)@65(T?&!k z6V;&((vaQla--TIZ)tR}oi?&y-|iZ(4_5Y^FE(DhO;; z%4ST}aOJos(U2)@pBMj-Gs?1I*eZEoc?P0=3-?nxC3vYgpkQ$osG)!@j+KwRdWL_2 zREERP0)*7ZAZ$F+{1$Nsd`ddPx(`ckc(@2Bbn>*$c_m1MB@7S{m(DPZPW;iD*rC2C z#~@;$j^VvA%?hrk9Ub2A=&p%0E$e7bA*)pHMfnlER#xj|R{uI6O>WYt=oJ+X_A_o5 z@`3Wk`5F~aLG5pnQF~oS#iU4+KugM$@ufPcHh};a3czi3uyBg$%}Yq_twCR8-c92Y z>XI@1vIU1i3g>9h2=-g~LW#TLEX^9iM}aNTHBbO0 zVKk!1$vS34;a1t3H;mf*`$cn~m1FlHRycn>%h&&FGKC2yJXt}wVd|HV9*5zCn9bU5 z@sX2a!LKNHb^;NeVwf33Hyat8@{o+dav;vEP~>>nb%ia&0DXn^TVycNR{mgPSetCqMxf1dp1VJKA9w;!TI*D$5{Z&A< z$cQL)Q1f~BTS}tfm!Df9c|wFxB;#j7L1WIONu$j6ngi~B!2|fQ2uADlML05LJRb( zwu>6@U=(B&7*aPPTS$y@FmcZM6x*JOOziz{QCe#;>mXA=C?m?GR}nUkV?L$&pxsk8 zDM1OAU~$;TJJXqe$fz2^DfF$cXEuScsU{o)RYn&@ZpOfhAZ_TVLO^_7YQw&)5fj{r z+Q^+zBhv^}mdTR|0tr-E-`ji&auAL)5&Mv+A-OcV3A?_+*Pao(i`^exNF=y-C9Grp%TE98aqny7R4nA`oK$R3D|g$m&#EA zb0kE`?Fl{c=SabUh9DNZyqZFe$O@0DkZWyh)gkN^Yll^;92QK(G@qM5chonw@R&Io zBqfvs2w#8r{Ys|KlwUg1p3UiBc=%-pz6YR3rs9r1qXvYj>7)XV3Xo6zbE3KOWoGx-8w{sIT0}#2fiLZ!0R%;-F0f|YYJywlan@~%(B_j0!iK~p{cIG<3QU=wO zCBgL$JDnsZNSaf1nJ#LcsxDEV^*dqOo-ck+jVWhN9SNQXGNm}>gw(d?m&!Fr<0?$6H z1V7Y3NUoe@noA&dnd+2fSO{TU8>Ubcp)C~? z$OMDa-jbqCS7jG!+zVLlq*p7%v$Z?daK*2g-inwVy?2)X1$!cP640G@t@w2=P4R1R z&tDM-_dwq-goz63C#R+%H0W~EPK?(PiPl>5Xv5J|5y)^o17fN6Qn0tq2RfViNBi2h ztA62B&_y`aS0W>(BZAgwyu7C3JqjZj`HrK13>?&Y((}Gla|Sq7lYPZk`;-My>Z}^D za2*GYDky6Fq$k-U83)LJJo!yX?>?+J7OrIpJmutOvsJ#u9pn)I7X(T;6372=8?fus zKYtewZJQ|MQT_Nsqq_mA5eEx;bu^l&2OoOz7m|EI?^>9p zkgrx&B#_5&bk1Dt$S}%c!eqZ)yJN-(7npL4C}7os;aJL0fJ8Pp%SD#)3uK=hiN_x! zNu^Wwq^3kLF#={pi<8(<(0FriRwG#X%%&!e9A*E*vKxVK-Kf()7)ectwtYpVy4)qPs!VErx|`Q?eWcw?=0H} zzgZKR|0c}IBIuDDre&;Oz>2{>#Ye>+GP7~TLb}WBc;)Aoi6hyS<{s&MFX#O4{2cO@p%5AeLntLbhA%3_5`%MgCy@ zGl_9`@krv3GNN2Q>{$q`_g`8wfDs9mM|CPhhcjPJ)bJC9(K7!w`lmXx$??gNWW}*J z0}i=6PLvyQ!tiN4l-#6RK7j+luK%?Wt4_c;p~P0x>Kg|mgu);U*>vltMnI`i8>`yr zZZS<)xGfYau=T@Xl*G)@MS!!7GJ7l=K71y>W}kF$;RPdUOesS8&en1}eWOB}2w|jI zBMk|pF7ybK&^{+}AhuIqG#`-#20i>rB3v<&RDI6DH;+_gN%SS(0-oc=R5?xo^Gy z8Eg~V=vIdSP?R;-Ti!*Z)#7rb1u|9jaolG~?5@7VxK$zzoNO1mB6u+_vnWu|7X3NQ zy9UZjuxWI7<<+vwo(nC;La!fhl=osSja-VxI>Xrwf})%7mCYLQNla?po{I7Oek=*V zA?1k`u|jx+m4rRg@&=t4xTih6wqGDKTbg=V@Muo~%s(v*1Yb`%j2&Rp=kWh>3#_>i zS(}sA=~C!t)>1)F#k}Z+i4qRFM>eFEKvjTAOcDPH!adGqVSScUs5MXjztH5A?_*$U zfN+~yP!Q1gg|9Gc!!9&s!pQI3ot2?@qy3N;71W0P|7AGM909V1c@et#K=eBZxr@99 zm^F-m<<5^nt}l&Ze0BPDIt}eBeA*p_?(?hc?P*eod6^+awhPQnRS*#c{|-s?Ul!tY zaXO_lYC!S_c{_lvno*!-6GF9g6h_O+S?6JzN7lbr$^FCk(r!b|+49i!TRUTG*kRUtYk9GT8B~uzwk3^u$EP{68mIgnc!B@Xu0O^T zoaZ-Uw?Tr<8@D(G7t-ICB-8ws@fuNObzd?BtkplCsyuXpD{k_6UTS$v&^zU$Jn?qz z9>f*ar?Z3MyNb;wk>EfKJ|LWfCC{QGp8}pIhpp$}bNTDe$qp3t{prarpolBR1B4={N6hy36A6(9^f`)?;l&%_hrB5LopevfQ{E5uDt(_(3Gg&cog|T8*w&g@QA_*kImtYZB z1F+hNAN+S2#z@s9R;JfI^lI@r`{O6Bp8|?}A0qTTPootP89+YnLU!gOs7|c~M9Az@ zZ23eTY9oUq57!PAHXmwqr*i))!&X5GuC}fl-c@G1*x zVuc^#U$rwiaAZyH&RlK@Lg_3EFrHRtAO<@TkQe(`&Y0ZycD2KdGx!eVR+3j76H>)r_Yg#` zz|NrYrzsaTrM}W`Dh$`x#H`PR6+!v>f?s#%y+E3ot5q~R=kZgzK) zIt7ceq2)(8Df1r*r;8ZoCr*qyi$+LYIPC!X91@{;z z+{`!au|J0KCEOA8D-g1q1tlx^6YZmC1b>bN_di^e>b${X?M$hW&|nkD*(qdFjBc ze*QcNbM_0&5t zHfNy(IOLOyqVlnSF}<7h8Ji87W+8~xWAK%fw3KzUA{W)u)|ikfd%3Wf-DHS)nakfO z;Ts8h`e<3;T9ws`P?bA!;W*kuly(7w9a6{Qp%{AJTISRx7*os<)rh#iNBQwdo4;MvbV zZ8jp1_638qLC4NnPjspRFiX9^g+Do1O|AF!F4!ncE_{$lr?^5>$k4hm$o!bP*-qQJ zP8P0FtReGlbR;iVkYDv5Ed5HGgINyO?-KiNL18zNP#?dqg`8YvTF~3+md8RNwnaPM zhBSupy;Z0QCea9uJ>Rr38iCRWVH9cVqD=O&0L@Rj|GTC(g{)2~} zB~}d=fHv@?ZhY(fQ&!abgZM2PlRc=3;kTJJ!dI3&x>)?64FprI2VQvt8?e_ET zh&h}vKG~z)o3f;FoA!ZTD|U-aOIy(%Lks6hVjPZShdPP&6e1#tN|=}4Yqzx;Y9roL z!x_F@rC#!W57pgVTdjk;YI3iU-F3Q2H2@3Et#C|ZSjJnDIIq%&Cp79=|Nt({j-TpL5jOUqV_#o`Q`)YO+tV?V>8 z*N|R9w1MZACyQmfY8#G9*>gNim(G;U+iNvl=fAU&j5ss~oPGp)dj2Xe%RAB2YQMh^7!hOr2KoT(XWi zhkmBXpDn^x{fX#X%#`^c1G?E@RRA*^h}D>Arg+rXY%e*kWy0D(u*NAgMin#aAzJWj z54EHl;R&L)>lS&pLa9QvUK)ckK$$qqyv%BvR(T~o3o&L+L{bxohnUGZjn`Nk?5^rP z7RK!4zR)ul-UG|^>fCK*&AaHs)p5c90&<>2KO_Y$a8g zw@qrVxC(F-a-X|PChi(_i}|Gm^A2-_Un@SyqA_D>-xhadV}G&7`q~6wS}Ez%9obhr zaphvlc|-i~4~F6lvF4}(RR=96eztT1`$|YAnu=Gi#pS zA)09!Dh*NNows8u9)T}^88sqPZR9NvyEywVubPlVZ(Xr8;v?<~;(}aL9UOC8RyF$A zqSSJeE5GT_@nJ~X3%}ubV z43=(7=VJ+c|0xFR)<&#ksyXN=AXHi)b3bZ7ig=H|wLD7}dKUJXWhA}mulUT?Npp@R z>NL;K6{(i6XOke}vjh~8bZVNnLuRXpjrlDt7x6VLtv>^RHWe1ruSkXdPs{-lqVR+X zb3S#J;Jdu=@7-tWBGk1ajD&0krcfkJO4*J1exc$DTP*)O&!b7VET^kap8h|BRn^Kg zU3HJMxEo$cn(0Trxn;i3px{eENr!F-s*1#78pPm9g@_iaS@YIDwcfIjIH&&xt56#b z96-PUqBa(@&QP&_S|@{6{e|?R2ZByLhTma&qp%-Wx}N^D6uM|Ts~GLe%u>(ON%Wg) zzqIkiS+y%spOf8n!}p;mJZ5$K;K`l)55-RkOBvuiV^?5`ZoA9XR~}%9aE)0-4AyVG zqkO5=UeuF=ua@8C^s~g`d_HpXXECpZ`vzeDj#wrCy7Ena$Z$z7wK7ef$Cv^cHf>Ux zMQERkPy~ycQgx}Poyx{))qkzV;}|V+vy+ALddjvov9tD`wZy78uKP!ftyjdxaZ1x`V>kxbU^wpAR;8V>W!-ll z<_|>he|%}?9$Ha^R7F*vx%@}d9eD5~A^~Wry*9@dAc|u!8J-;8_}B0n)A`$rrku^Nj33`Cc6WM;fnFy{Ac&v1UughmC>1l zo_8YJ8d0trLS+^INsQTL^k3?>b=M^6Dm>WPf=&^&mw(JH<2Sa-WneKI`1`!(`!{~j zP}Lj#zaCiJu&h_D2VCSjxZYd!t&93aYIzpPyqxZ7Xlz|z^Sf;#NrN|1;oDD8>}SQJ zGcx%Xz+$vb!1gmDcv{*Hm|F=EIZA|F_4%cAEV@?_@X1k`FLqsEF}($w-{W~Qh!q00 zMD#B?yGY5EeC5RH6Y1&IZx#J-XzZ#5h$Of;e{Ko*BnsrN3uqbwhs2LD4%bE42@13C z9sVu!=^jeaIh9G9p4ePZKUw5Wp7Z(E^XqL!0w|mS##1?HadCemMb|fRBvAMdZrOGd zk|)3gF<`EJb^Fj4`nr{&DIm=olc&Y-++HSF@W#-?P7vZ`-V8yu^fgxE^`%a_aL!xL zyZ*RIQ0R9_`WypqgoQ7QA~x-U(|%$=Nn$Tg!A04(&rp6u4T=k1Zcp_@QXM&s$Y6z4 z050zZQq$dR!`tC)X{d zTx{X3`CsZn>WQm>Yq5Z9BPw%+0HoJ8GQ)X_eYtP(NP%@LDSjQ_i>ZB+&vkJt0Q4W( z(ww;l)P;AC3!&{hx0r>LYg{U)Pg;{7`eRI`zE^&0jIWyDH4sR7YySDt)bh0;6s;tJ zPxI6ZWwJC*Ywp^i@X6CQE_Kn;y|2G9X5ku+KL<5EisUhf5X){y`SdBwdR_wWY`~)M z?v8h)zM76tX~=6~%B#IvBijR}!geB0Yptd}W;Vg-Pmc+Z>{Dd($Xi=~XF7ZbjLeP@ zX)5hk2DUe+))0}_D=s{>HR;G(e0w;`;S-qiQkX*O!T6d<^>q8=rK=ZVAc$#j6bl zN-<_1V?24^BL+Myrbr0~pQaQYa1mu~9eB3zg%Qh1!pb-CyXM2-$0I!*5IFo6rj!!y zC!vfR>fGkX-&4L)ElKxt;b#DJi2T*8zt4f=!V~^X>?QpCA%3*dabc#vxdZ=QyHp8E z39dS~ACbS*G1e1sC@JgU98$!fcC4_)o1>G z$w;e0mwIS22jbh#R1_p)dq*ySWc%D!;9;YdEoz)SX<=x}XDx)%l{`@j?IXZLFD{0A zuezNdA7b73X8L~5@pM5ZONuT0%#+X5g3y3Ahnc*J^9uAzpvn63OTEgP*Sh|=3d_BO z`1T5if1a+yP4&=kCtPJ8D6gp+;!;xg@}*FsDBK8WO>50}JxOmoZlG#pq}dcXTGaEl ze2rf0B5wH8?J2rxpl38X^JME^7yA(*aeBv;qJibJn5SMiRhV(y6CZXw;zuutf5QcC zi*Db(6tnmwdQF3cX?T%lD!rA~xv)nGj+ckp#pfD{2AIh6X#UbAwoZa&tfwID_~9p9 zzfdp`sJH602!%(GEY_k@Aer>7uDiiRF<8@A?Tc9jCE)Sl0;gn-t?~pc5H+_1Egk>&It7Q%_`*eI%lAZj<{AS)c}_ zC|M8(7bYAq-nvNPYg1 zbRZ7)TISTc=8pO{lU{p6jbUr$p)xhJmp^Cz<*GIIOk21li7;7774rr8H<8pdKCPko4_<%| zH?o%-o-jxdlv$7KJKR!{E!8eQAI2xi?_-TG1VMuR2&q*xINVJ?EEgZ}BQW2@p4gbf?ie zK!44=hm3Bos^va3SXJ1_nf4N>;t)Oh5+ijy{bi_YGGQQ8gY4@Eo7rMwlmcZOp~Opu zznE+LJLh)~j}A44D9OK$G|6W_^Nxnk_tuNuQXYO2QwN9-d-ZOg9q=!oMv$E8@-7DrGB*hZbZ!Jn$4m@DKD+5Znu zUl|r<^TsPBAtfmxOE)4ZxpYhSN+^P~bT2$~clnY_cPOEBh&1fd2-4lXbe#R4>s;s4 ze%g8Fnz`?pU)(c;9>7;LRLVr0=|4V-ou%2j)c^0Ly$+@CXPMbm`8^&db)J3l^02l$ z%R^s(-b>+fnzkFFoQzXOl4{l+!cNnxnk*&`q`77bp!45L7dy3%kMW~}($|zV&+F2# zTwPd;M3P!qUwgf>@cblg6t=)+(D=99#l`cbh~JCsm|&5_p1OG?wwa$$xuk@>jep#1 za35G01X0m$cNmE6Rx01L)v%w9Ir>)Qsp!|B9iJ>j9l(GlTN?0Zz&mgpgxHNA6T6b?==?LR3 zkx4fjLefbN1Fo#ta`P2T0HPxOdV2e98C|pI23l>pUD(i8*fR+_FNi4A zJ9ba~l#`Y@Td1=qDnkxd__SX*MVgW*OAfT3rjfXnq_98N3JSf{ct`=Mp3*U$Yp1v zW7>xvdd)T&(YGCg+wq>HT?cG*lfHI*=2cW&`yq_uX7!5*}kZb2BEeIT=K~&K+&*zcc(~qQkiF6CKb{ba}JR@LItWJoqYKy<}{K{ zqiE}d9`cmj67-=qL`9R*HC{=s2w+j5Lx%mUtpHc4amjabc zQ3NA!WnmmJ0o@AYlkR!a7Cqz~R|Hte$FKRYW$>TBoz9_|1F$QWtt`pxo-Pp4H}Zzj zW6~s_&idc?Jr4){;y0w)?t2Maikj=^5QJ=DGr{Wd)_l6j_q#vN7^~(clgf)nqtpM8 zDRkRox!XlI8Kg?pARAoFnvo0*V;r#2@!Onn>{47&s>qFc*os`u-svZQBi|z7;{2DL zuO@1~l`L5f4*_m1+WToX&0o*+Neh@4!)^R)XSwA3wraJ%H-Bhsq9M9Gi9mt#iJUM>8Ut=8=BkDKP#94xvu}J8wp{wI>m(rV3PBf zz5mV2EL~CqPRf)QlLlGteAY3;`7Wa%KKL8x({_>-btC(#qvRv0QErC*o9unqvhIY| z{tJQ>Aw>|NHjvyp`o3H5T5_{x*>a4tu3+Ql7ukO^4^F6VvI`mPoD(vQkt20fb8Y-( zv{ro>G{W)h6)DeB^X!VajVkSa^!&+|ugNNDGgorrKiMiMQLN#v$}`1Lw4-E1n7v^s zTO!j-+&arhyWBS!V3ui2$vbnIN8SkHwLQ&Q!64}xM zj+*#qJmAw6C;PGd{ME1xPDx3ej93)_##>4Ak)jr+9J8fPV{>#G#!wA1=*BIXb!eg% z=}G>%hlPI&t`C`WBEE(Z=tLSleul^!$@s(|B`XD_t6pd%1MS<;H+{+S-+IqMooCX4i;5YZH*MEdQ-=i} z2C5%QRj17xI6PIg;S*V$Opvq+6|K|OrV2e89Ah<{iu2H_*SefrOqwgwFFMmo@o{th zHFG;!Y4wC(NPJK1;KJkh0e%ViXbDkqJ~brhM3l1YS46lDoWTU6rj&w*zFBEd$Pf`>(D+Z@Y9lOO`V=g48M3_p08{2MUj3ckseUro7xIcEOA{Ok zOmMjWYEKyJH&0Ti_||okQ2ME8hD_vaX{T0#Q*Pqam&Zo5!1l#1O(!XEyRfd3klY#_ z`{jCp%lW>w2BLX$AY;9cr00?|EJV0ojQ-Rt>R=_H8eO?QA5mWAPLG*nyk5b_VC&ib zq8QJ{{If$L1)IizpJenb;WM^d%UZV(`#U#`qaN!rJ#iN}{P3cYwU_TxYDOgAT zPO-|4tvZ#3sl5uSF%|*jFyWiQ2vc-6Xms9sOU$b3FU^6f--#4oyXQ$*nWkcol%=z&2Z=rKPgrUajoR zmtZ?jhDv>{!welhYhO2~-<_N>OPmTK9B-?|J-tl*J<0S8-l>;AGM71DQA8Ch;hm0k z{e|nK7u_0Z-PAFLwX14;&!2fQUTXTn&0?}Q>73wA|MZD*Qb#j1{bwRR{LpN1ckws+ zwmEv~uPz_86>b*5*^E>~M5=FahsjDMrj^oX?X``zf^$^x&mh81QDHLd73 z@8Ggmqbpt1q!akcMFGSjPZV`F_G9ZI#wo+td_gHm$h?*M7ffT*E6U4(Y|f~0e*uo+ zM>i#icc=|Q-&X0i77B8U?n=6gD8X-+(@AUTauH(0Z1e&-FI zP8uT9EN*wSt-;*F&0z3@&NCTwkZ(_-VdvPtbSKvLgV+*@T}tIxDVpb>=(l}u*&Aud zTcLr+vKqjN;xkfH$866B+(WjxL{_1{I2z!8JG%SU_@A(3o!W{#>&sJ{>^ZIU|Iwwc zWOueZ80%Zvoi@uf+_f(19N(pEjoD)G49z9msE)1g#@rOGN??n-h|wX%X*Re42!(IIPaVJ zl`eQVwNI)H|lc@0YPgEiw zhkp^G0zX|ybl=Ny_VJ4(;AX#!l{O6djHWXHrC@)tEzo@A3tO=dL$%zR3&u3V;I4fM0} zSIz1wmVB_DZgKkLxF?$qT~{uU-t5^SrCX*d{~@;CtXNb5XS?w559t$eg8o`aW^a|- zmhX+`_OlV3IES;CfL%L+ggsnZ3@EDL>4tk77Y68Zj7U1ErK}m#eMwtyXCX_cavg#T z3Eh1f{yR$VM(vV!+z?YbVlgawpz8Gd^u_bixfF%dKAN!6Tl9H`km#kOtp{F=;tn1{OwQsjrx*DG zN@k0jG)(`6G*g31OKL4Mq@|@9g}%+2K|pKOygN@akq~a?du%9ulqgpt!0V{ALMb;; z$WHxFBmiIs7w$nDMd8*IBj_VlC>5N(tIwK28xoJYyo9$j+?dDF73GMx4dU zw7AT*rsF0g0*wH@#W?i>W@Yu7K);>kHjl}}1Y-jkK705xkh){J~I51dsU!bj7Op^3q)YFDV;a8st_{5Ax zwp4q^JA@$r$!N6~RQ;s>uT40<4WKI*kbqD0jAqf9faNz-t{A3)0Q@20AqF^@{9&0} z*3GJ2Lm8D_ep(Jc7%pPtBN2DriOR2;L_i0|+&Z8a@Os}4nJeLTPeZ#9+Cw2vGxKe( z&_`^cZ-hgcoZHp83Oo6yvXtNEyX$qaT*&k2TlFQJ2V>!L2U$X&=#pbpCht z5$B z(gzg9j-E9o8ix8W5fe8Xo*3^{meGm~KV6jlsh?FJyaS3HcMor$B#hOA-gQgSI~$zY zB}F960Y8i7yq2kb=+8QBZuD~E{`%ZJBN{X^gS(2?ZEI~ZUD491t=o}DFnnh!`o|ZH z>;@#zu+|eRi#lHwAQc70-jYEX!~7jm4PA1Xln%sbofU&G#>~8Og$n=pWI}~LWw{D3 zANr2dy#z)x6PrqselNAg$vI7^&xV+WeBPKf_p*tYQq0H&U+jIImy}U<8F|8SXP5~8 zsT(A~l1Y%st4QJhi?;T&$Qad}Ry)uASm&{{6F6Y;sz-6{FLL5`gHl0VT;HpcM>ZW% zPxSp~;-m6>l|zp*!O7O%7Ga5_^)9YEKi^_p?HSNr5~)62b9EvzDc&ccTomqoWw+@! zF!HqdU35|+dNkKJc*YPo{t&>UeFYei+p39FZR{3KeRL9fnW^oxJMHfKAJ6b~9I&mt zFW38;;^~QM-0$mz_{v+@k5!CZp-eqQ^WsyK%5m(?%(4 z^aHNJ;8ZGlAa+vY-(Zy;yRr>jZXYGdgmASN@MKLo0;?BWr=j)=i&p#6x0n+oR35Xp z>Q0@)I>6cGlZ{e;>p$XhzuubFbUOVaGqTq3)+p1SVI9+oTL)Ea9!CQ4*5TId()t-1 z@46kbtXEz*y-6BgTPSns-WnI!0W5q(R@TmNM?F~xGsbLlLgPvit4n1{Pcox;tTwvE z0h@=l_Wl*`)bh8RFv;Q9f745!=Ge=Ms+z;9>M_$&J?hwE9%-s=YV(%@gxlp{dtdC8 zx8Y%++oygZ9U?sAh!;|A3+q)#hx6vThNFcehwX6}ymcsnJL z1@%-3BPJ1>yRY)v*oAd;KvVlk&li*+r2S`LM(NRzs5$~SyA7H=G_LgFOd*$g-PMEu z&c-OKn$5(Wm|ypd1D3|4MQ)8QlSIHwa!PzUhuR7e5A%u2rku{6qe1myT^ZSD`N8rq zPsxEwzn!lbYeejcT0qR6%DZqI$FMju>_T$8AWY6%bMp*nAU19BmgXH+2MuTJcXsRS zJ%FNW?b>>|U?Z1@y+Y)A>#V!2x0hixZAx*OQ7Gv?Q6_MVN=_40{F`mdgcA=!2UEj@ zNq7lwm{hhRe>j#Qo!TLS<>X~+!WylYuqqqXN22DK^N*%b;G=y5VS7aH`sB5)hraRk zm)U|utQh)yo(8xd|9B(Aj-#^8lsz+{Hv?79sMk4eWL67?#cWP)0B){XgGz$Sy2L=;I5+%qxb!O>UcwETbR9otnCIe65%apugE)j3J&qyC zj#;BZ8shZ?=&^pwtQ?;U*Jl`u7t-5%<6@0bO6W*iO(bj!7V8Tw{R3$dBgd`e1+86CWOISTX8{9UIh!%xZK{>&Q2I-Uohqt^U;^ zQpY|zqo;6&dGMsWo$}jWoO=>tCX#IC`nosKlkXi+@-!_Eb)fY%3~s8O5Z~;ErnXG3 z({g|!mPD9lI0X7`Um*PcQB+UkwykGuiYn^)`n>N5Y={3m=1;c~AXoc)hiTU!n_nKc{HUcq%oXHm|gEo-?++ zKzj?^?^K8F3cisHJ>09;BlIVvxE(pTlzG9JkJT8E?x`SxRxl-*%Qn`|Gyf)ZGYOv% zzUG3?tg~+OM2zeGJXjZT-Ah~@5z~0`+H85;H}4g8H+FVXm+}6GiKd}!8bGJ@b6rV- zZ?oh0to@6rzlY|k{`)eFLNvxpn<3)V9vMdvw zO6Zn5V!^4=`3y|(vI;n zqH4*6aBrO^J@s_hfrvw*J(n=8r5RoiI-A9JpatVAPZRAbMV}|LNrtA3mJZ!0Ahs(t zi{EObczgMLcF|&E)|~`zDPa|mdG-LWaA?!2SWO1B9#srOLfS>1Q%A-^G;wHe98Mq5 z*$|5x#m4RmAhBe96-AgKrZvawN*Y!HJ(?5E7U}^%bn8z+=S^Loj7fFC+I7hmvd+R@ zJ)O!_CH(Jy!GF1m5?o>Sv2iS!Ig*CEkzyXO>6nkB6G8G56p7U>8jHBo2SS1hiT z{he#$Qo0Af##C^3@|?|Wb5qD0GO78RDqWz7_msL_QZ3N?J99oSw}ZLKe~1-kr+|a7 z;tx3L+P~8v2B&g_dn7>nky3^p>5mw9dD$)vOHrO0D13H#v6TUNn*3LeBN^sdj#~3! zGP^@zLRE?Z;Tz5MnuTfqkpm-+Ml2;?)xev&E>|()E4+9W4{faz7bPEmn5EY(z0?DS z8SGQ@IQ^I)JI(EGz3~~)pS(oyrC>xs!{~|CZ|P^|Y|#?Ko`n z7D0_NR#w*6H~i>Mf#2bVF##^*NSW3W?K8a^f^jh-7@LGK1lSq`3M5gZF!R{A7e2{$@$~lgWv=OD=-Z$bnroXyRhY0w>ODY7Q$VJ{*G!D=4LvE5pkdRw z^A#HPLf@9=jklHTJSm$CoxMR=OwjyQNZ6-^`L{1QGPZn}ByDdQPGL}WR66Z1hRla( zNsu{4m%el0grosD+3?ewu60^5<4$_X1#7iqZg>{sd4S@o<&XV1p^74vdn_2dD8q)=&+KVe>XN4 zp%z2Pkot4}>@BJ6I_H*ivPt@J-me#X#Ylsqw|k-ZIZT^=IWywhT~u$JozE7w-fKP; zX~$^k4j-cw^=M581D7KTk&rUt?4-Q<*>Fz@>^KgE+PKMeg2g)pLHLHWj9F;0h&xOLFGO}_zFb5%SCscVT_QY zD#5|4-0ibVtgs^J@oNoo>h+Tl6)x(|6*0cFM+@bh^6s!U?eNDJCBNwKN7s%>B!96D zu3_#%YL)h7q>X%)VL6h!s>oCNIKOBYk9?xn8-Pl~XM%r7Wz80yCkTl6h#*%=saBkhvtsT4jz2Z`;o8(1p&y8YbyFJ>M^cFfEg zqNbdB2Q$Q7v-LQN!J6-N{S7E?Y5$Xh^@f7Kz3_ibl!FO{fdyLq$M}^?7H9K+N?T~! z9FUHNzZ-5z^zBZyj3ccNUM|(k6BIiJKGOc3pwiTI;}`L<^mtS`j32hsYlpMm{hg3w z6N^9LMhX1yWexvEJYu-~j|y%W$%Hksv4zO-9wLi0$xwM9a#p=6P724gh{K0N5Gklx zxRP>H$79C5mA9*k)b?g$L5*;uSs$@pS*jQ_PwiUO&UC}wzSTZ$)Q_ko;E?AQ&X)_n z(7+&?cxe2n`)mB0vLgp%n|WO9jE?J(Dc+Hw+Q($;a_`Z1?lu%+jXN&ZGx0M};Uhuy z!*n_Wnl*Dsh?i$eoz~Xc3f)7hbKOl~U0mJFXB+tOS(4@_(0VnJ(S!ex8YQPVF*u9$ zZ(})qA@^PL^Z${aq!|VR*qyhKcB)Uc+6M?VaI3FS+?1QevA+tR{TWQU)c-Mjh25iZ z%*S<)W?DFnZSxJO$fH1h(jF}g(bl{?)hspOQJV3+lt@22`LJ>kEhtua=%PmbwxL+b zPM~(1(<3NCPO20glRpkFC%K#NhllMalILkZ|C)GUpzi$ZZ}>kT+OO&{g*EB-u6t|y zj@i$h=4&a9HyYO01Fw6>K45v0yS(r4S#bz*i*G_S)pR96<0*a|F=$WfhY8*Pq8*!W zV-UFrg~S_OrOYlfc{FcFh@Jd7vJ6UzK(ys5spt45ok(0uw6}LxilcGN<<6l#90-Ph zuyIyB z`zQCJ4~(_DH>vwq>F4ZMS(rVFV|5T;?kWU35E-gI9s?swbQ-y({4qZ!e%cj%x%@GK z+z$F(_#1ICC#+|;FUT55xfZVDrR(V;j1rLNy6S#K<4bDez_aPOP;RpxN-saqJ9+y2 zym*|r5Q7bait+mT_-=xFysvmZ7??n5pC%{GCOaWd`Te2cdpIcbzCLqBK=#BKqP03$ zhim&B*p|?ImGM+;r>hUELnDJyhsjlv%uD>R8rN0E1aV{iJ6?o6YDWI;Zq>Th6kAlr zkx_U`B!4FUsUvBk}oNLnGJsAt;~ZMYWW|@Fd|Fxq9wB-nkOz{#> z3tQ-YP=Vr%BID!1x4jV&$nwrEDMvhye)c)0hVhB0?#f5F(}�{%;W&xL^0(u`vPu zk02jv37xDMUrEjXJb5bo)b+uXFK8uppK~%Z?X%u)p|U7B{>=IRM+uGJglz}IUKrbl zMc+ea=RVx*dMpjPr#blg{|j}0jf*0siq0}a=G%{cEvyx1-T&>+CmyrtyDX84fPC$_ ziajq-&AA>C;#j@{?yi5l(K!p4{E!>YKw~kpF>jsv{Tzk`N9Q19&ri^4)%khD-1Rj= zn)4#R9(rNBFDL$`z^oQ8yAd<~>G4E)RT6(C*3!~cZP~wQsbz2Cke(MXsWX6bC%} zanUqN0JHiR{(U&$Gk*^6$Hf})_M8t!=Nj7&8@iH6><~0_G;$$8+c7{Lbh5qyR!xbG#n?} z5EFih(%^&Yqq>sTSMt%VlUM4KyP7ptR@_m%E@(>8c>mw-WFwJM6epYyeVZs)lkQG} z=^CF5+CeVjhLe4V30Fkl7798~`%kHz%lX<&n7ruHM`cAhhnHBy6%5358O!e62X`gi zN^m)EEF43FdRi6r5@#y)S1wrmwc;_Cwd@Xpe>t3N@vVPI23>s0+nT+jBg+gw!3RdP zIs~%z?NxJlwu)XntSG*|E+Y~(7>Kt1G*`80*1$0MmdXF)tsA;2TGSMv3r5v7dC65K zHfN})>TgD)pYS2{*N60yZnc~*y>J?~9-e6hC(IldOH@G3$UV%T@7VHB+{kkVKL5Q* zqO%#xe{X@)Zk^gkA)SN|!x|-eQ3rV9p^6+9k8G8%65qLrP6Et-ry>I5P$iGEizqzl z`_gxTmHeA=E!>A}>E@GKX={wFpGFXr1X&F>Oa+ZEEJisZ2jae_PlCSu>Y^WFKS9Cm-|+Vh=b5n$N1^Ji!|=8x*br-7-)0&g!rv| z$aLSo>?F|WjucI?oFgt?q5vA3qM2Jn5|ZUw6FZioa+P_Qph)cmO=Z}0DEPBvp% zbmvAmAAw#(RQhvc_|)BZAk5to6OHA1tbC-w<5j_w(@7c$H#%)5Q>h4k{x1w)lv=qd zQ?b31!Krg>dG?9+)~PBq#2h~#;+tEJv`1B1JRZt4T&^n?&9KyD1M|temBmuV1~UUT zy0-rQkQdSfpbmTO&2zKp9^&F1=d?*P!CYTrUz)hF2$u?I2Mg!DMdS<=6pI|Ewx>n` zaD=y>st~HifhTpodB|F7Py9LzB^@p)2Q!Q2S7Egki$v+nc z9oQ!;#DBgHJyw9|;)yD+=oWv(Y5$cR{^?$pzi!0qJOe9op;}rKf=h zAj0BxXOvVC0?S?Zb7=wDi5_pC52G9nM9GhTVJ5$@fu}79RAR8-mO}4oaj|qrV7YAK zdleJA<-f+fb9v2MgLd`oBj|X&L{28#j4+H9*@T_nv==v=1VL2-%4HEr_V84RahsB& z)yBCNeN{!G3DF7{LI%-MgNVV0=D$6GZ(@V zx@}e9UG@l@yTP8Twyv%nn1GN+upI*3oL1|IYBuep|AO^cW^MVG8nOt(i@We|YmU<~ zA2<13!r$o35aTVTw1@5Fp7)d;9$h>*kg0TiPw_v)X)?XX!cG9)Ei*K9gOL?&aR{DOR6 zq%b>qLb6Ey6;05<44YN5Y)@UwH-u9;V@>$6-x5vvtOU-3xlOIv;Vs7MYL?%l9D>a! zSNiFAVBcJQ&oCEcx44|5aD@m1z`QuSk53}S@6@6?>f2{KQ5H_8Ez7NCl#)j#@RoWJ=*g0#^Fh50tB1Bd zyCbGt+>ZkMjU0F0JC){%phSmYU=;hG2m2hW%t0$$YKDA#H$yz7gZ&!< zR?U_H3QD?;XQQ+v$*Iwv$syJF|LCdUTdg;k%sThs8ePxw^#PsIqj!?>4D<}8L_KT> z(~6^_y@l65Y+)5{el|@p{eum&_iOPC-`4G%Te9rXRTRVBeNkrr(X~dss*@ufN36{o z@-O1TMJkIj#2Vq}6H6&O?pL9{+3Ll5=8QiW4%a%~>&kAr(lK5}Y7v5!6>mu{Mz6sdQ7Jri z_iF=KGvId21~*g5&mTU%ifuf)c-hhZ<4gC zVl|f)Yi8Oqih4pquJ4*BU;p)S!w`{xUDMms?j&24>yjuNuR5Oy!^)dPfW3<$ljHYTS@(AUg5U^xk8>MLUUF z@05q3fsZl3+vqS!8JK?%(bm3rmo-_NRnLgho93fyle#T{9=2P}ri-T@J*oIGv5c40 z4AgM%?~Ym%aJ<$`pET_X=Orj+^7HF?--Y9k=1cQ0-1w7dGj~Hl)Dl*9?jS1-%(HG?X0>{T6*m8?1 z4MyJ?a?6DJh@R8>WvBVPGv{QO2|zssQVMkKeT-tNHk?iYcMiHKx|2u#To7|PzA$E_e93@g7~ zCgmiIJ#teD9+V>3q+uaJnpb-_I-o79V6Zo*NSx()WlBe_+yv3W96QW2}&A+>yJbx3NXlr z#H40(()WnY>PN8P{a)40Pqii#^)#?wR!*l-kJ0PB`yf`{?waZIO9w#-p6(hd8~-62 zyPJUqWu(cM^tB3!Ph0z+0kIY-nBMz=4;^2&>>dRoCbHK4qe?fdbw{M?Hy>Ajh=%ur?h0b6GI* zz5;wXZu%yd`xtvLxtMy*%U38nLtTh78Cf`yq2EK2yhGtF%#+5E4GeiM;(S->v(Awa zE2R(N#%xbj*yz-uIN#;((v}UMP_uvU)R{7Glrq;`yBXKlew1{Q%V^!SL04#(m%Yi| zwu1W^Y9AOorjVa%wT#~IY)Gxyjz5Po%^Yt$L3YS2Rc#iWd_T?HTb?45noyFNuq0|3 zTN(yccVK4gRwf*G2AE45&n>HAJJCt|EC*H zMl`(G_QjLyYGOY!p1UGpD|N@)o5U70=&;%tRg6l-8r=F6`Tni>BJVz`tEx9-B5HyW zTI-Q;(p$((>_1RUU2e?{Cr@A7yHq$*OAPXxMSu0xI*YdzS_8kN_Mj_&BwXD_#|%%U zL1iZ;_7w3EsIe?V2|nc?K|C_*kYN5{`pxNuFF4~u`CFdV7137nja+`SD>NfCQTWK5 z8Nl77J@w7FGq=4(3+wJ)MFFrpxElNxOySaR}E7orcx!SVd^ z=>HXH7p<@s{SZ?KEkS36JM9t#(1J!TDTnB_S>UNV-w#mW!9kxHm(7ECp!JGal2$ zaMv2Nzt-k?^Ee0fKzdDP8F&ODmT3Z$ci9NNiR+>o-hV%)v>rz7gt!Yg28C6)Gve-2 zI0J+)!K3D9Rw7={(rPrzTz;Zez|f~-Q#LEL^w{e6B#b40?cpG!-mL!Uw|)m!v$pr{ zh_qaBlrZMluVBEYvgCJZpl#zW3JANZed)`cfGI{et|n_9bJGpXIz^6T)+V3-S@Tuy zW0{72sRq}6Gu(lIcrr)|u7v)m*8cgFn++fxqSk2o<=1ne0j^)Id8up;sh+jqW z=7g8Kkj`;7!eMJ^SPWYcf^O_mgFAP)F<(k*yy%c=)B*XPp<#CB zE2TpFW8(uKekJ8uUkE5>vV|{^C<**}FO!5pUi0<4e#rP!cETTAf&g|Vy}VU9G{7QZ zR;T5_0MGL%dYvh&uCmSRlnM=8ZvZ2Z1}!XKf9>-5G{AAL$z0#q3w~8*`3F2@W#Tk) zFZN13XYN*cCQ~rc=}W?TA$`cl*x1=&z+-70qEyg|hCN$LvDjjgwFNhDECyj4w4;f1 zQXD{eR}LtyiFfB^(+XAGIQM_Z0JjQ3oa1M~hT9Lci2;%;2d}Qn8ZwE_#q!xwZ0z%H zIjgQ0#V;%)H3+lWewtHW4UgFQYv5?wo#(c2k5#-pgN+QQ)+yR#9q{129zf$u_Rq?>;$!(=GF*G-`fS< z*0Ex0BkK9Q4;eOXHhr+mVL052m$WZlYx1-}WRqi*B{S zQR$>l91Ig&15e^`;MFkt1fF&1%nP&r`%l#;q8!$0Lvksy|K0u3`#l#OF7wC_f3YpT zSwmcYpulf@OyuN9!bIm9?S}zih?-)!itQIF`F!CiJr2o!gC#nR6J^Kqz^-qYUDcHN z%_mERb26%bkVHSrQq=#&hP&>-u0^o<_63_($p`gr{%ViMDgZwxH8HAB>)dA1PQHFs z4Fywph`CvrzR2ya3t4SJ0+v<~^}g~puoaqm2&`O4HqEB}ZGp&-NI%yWuGlXt3!}RI z(l80I3ud^D2>K*gvUN#yi$ExBr(DT3oarr6K;ylUWNW$cuR<;L+j~YaNbc4s(GCBA z`s$6w1*y_2p#Mff%hsezfHQeMo846sFG62RYw0W>kHEil zVq7#=H~&2BnAv!E8B-f22QsVJzp>l%pX+}(cs78gNccynrWK2ZyPC@}A4Gh>38Tvde-r=2f$1`g&dD7b37d+n^CVYyR!H`R}{d*vfaW zs&hzp2LV1i++WYnXS?8}F=aOyT^Tl)D{h(tl=b*Qf=qAElrFbFbi>TC_4vee4VkBp zA`7j2koNC#{Y{haIy?rBC~@;bP`KXko$=0hbNkC;fC&HHq1 z+|?{F#ap0>Hf=e?IqY9&QNZ8NZe(iz(}|!EIs)+GYfAFP7wPmw_1ffc`;%0^x=6Yv zIoX&m3d(~u@`mKTA@omn$^B}JPy78*S%XNQLP6QiTW z7zcWNKIO=jmCyTMRCMQ+ni4 z-vEmY$EMINLOuC^Se#K;bo8cBRyEMVKcV{Bp>)q&JbN#De*;{raq>zxKlVu@-AFiE zw#b`t-mR0WZKmh86L~k6X+6G$#9R7u8l;D;CleK}!46#vEtJj|86R|a>B^}2jbHv} zEeRS7NSO++Ll}ZHb=C2b<}MSjE13fGn3yE<~37{ zk<>TEGh;=>yZ&L>Lp~?yhO`f_;9ORuYPcCjRSX4ASnwz?anbM|*eHgsP?Pi!7wSye zrK|KKm)l=)=Z=ArG%nm<^dgd#B4)QZpTZqkwN}F}dc}URM&c--a{C8og!Dse3}F3C zlN;h~7;Aozzj{8r9N%vCo&pvyK74l(>7H9wRcb2PAe486lUK1=_ZjQjfyVh3ImW66 z+mR9g2%K%F%fGWW&#)Z@9PZ^Kqe_-at&tZih2U!2F{t07OXNX0E?w@_t)$O(eUl^zSWhNE@>FOYpc#wYWAav@s@1~sT zkW^jc&trL)Qr#l+@ZI{^2cCQth7p&0wxpVmSC)EgJ@tN4-_OnEc4JR#(Je#Qd=JWr zuj)q1rxxC-<>E;01tr$tj)L)by;g=gA0XP*KvHU#6<{@PXz_1wh9_5X7jz_A^H%Gf3kW%^pR5N})v zo-EbN;;J50J)>e+Gqzyh*kH+aA0bsZ4s+S#M@5-ZgQ`j%xg*)k3Jy3V3q|JQytO_MIrGyOZ zDxg)D!!H!|YeKeu!AuI@Js&^L+{3gHNWdQ8(OPCh%|>&v`xfkra%KL}&bWB5uA`Do zEffXbngY`1luWRMwl54;LfqEu?jW#z8CYc?VoSOhJA4ZI6%!16!+<3`o4ylB+jcKF zy(sAYLJ6k!kV*N+mZWIU_g|X$IoFwE3@KCk+e&}IMiF}qQ1Qgn+DDG#kL*}*)-ENb zYI|_gb49Q984)K;@ID4y7G3+$L{3ywwM-Rf{LdPwFX`W07~+0Ss@R|Tx6jZ2sJ-&c zFIu(Cn8>`!R#kj}Esr7Nlv5wFYYAG_Qq#f0RMqL}_&=hheKEolp;Hx1Q2l)t+%#$B$fh?3suY64l@i~AMOi^4>CG1!_4 zgZ!SyZ6|A(a;RcnbUi)^i`;FcYB8vIkNW&ivsCqap=sOy<+bK#%o~1tZ_DRloS`>= z5+~)afPI)K)$~g$r65HQX04oKW~zvtXL&6+W$rwoY$z0*LvB|G#?|v00t#m7%< z_D{e3%hvtlN;jmcMxR2H2y7>%{sr_aT<$;MrE8|m;q&E=*rCFU20a%&9-$viIb&r< z1KA%cq(Z8SbL49jg}&WWk7|Xj_#ViEhDD;S0X-K$rj@X$caBf_9m6dUg(B8)f0k*;x>aw-wU**P8 z+Pb@oHr)|Ea*4>{*g|hsd&;t7|8*vgg!F{?$(h7bE||k_2bgHyLZg(ymf$$__U|xY zJ7&^J$Wqo`o4E&JORCiNrz-2f&=b4b9xPJ~vXjj7)qscDebnQUZeC`3+I`}Imtufo z`ITO;_h}k}8}%u}U8NX|n8sx^7!B(=XRbnmhL+J&|CZ4WiV%M4ASn}`l5*qc%xJNd zPz^#&X(dH<+N-pui_dz?VXiRc_iurMzZgj`;oPNZ$kW6Zu{^@aYwr;w1XcpVQ{}Rb zz@^rBq5RLi)_~uvNS9-9p^52?a=#g;Bjf_;|J1>d>gT5uyB<3h5om`NxPT9x+Q)P> znZda!$A)M4uqY&MB+4U(^iaq+!q|^86GBT1x@*WM6G32s#l94mZ-z8W1IE1Q;A1{v zESMF);r2+ClXNC+@(x-#$MbXO>nv1UH$6u99F6q2wDc8zUogbvbRva-pVD0PqJjd( zm-E_gW0ic&O+{b9!0US={88)LUcq3s82nM0tQf;`BNalG2JY0^)o`7astk_o3j4Or z(XQ>RE+w=^u+wwejl!1zT&Vd%o@+xqq9J!JQN9+_|BX#kDs*d95ASUkWZOHm2XxjcOG_a4mCi z^v3;K6&WbT`rfdmcsOiTNvAZv9+-H?uc^3+FbSh3kFSwfMFl&sBc0gDIxyjJocn$z zU%F9T>-8$Ls}Lv3V5+<$@h2~92#EVqwz)5oh*Zg&cpEL02LH}3FEzwAZhUsA!f=?& z{ZLK3b%iz!LL#zb@$Q&wF)L{P7w8`9edAK^DQ@q3rHcc{@r5dUWGK>SYh`9;q>HdL1D~#XA%oJvuLf&IdF-8sMBmq&>18 zGg7oa0p$C2zY0`s^g41!8Af2}#>>O5w55{%Jq)g2sI(p+kF=wp6pvr{HZzG>Pjf$i zhM!Ze7MciA$pdM6!Sv;!%(w3YwcO^v>2iWZU#~R#0!}InXEQ_-{sziF$VxX0I|=H_ z9!Cc0l|52+bl0!ljPn&6BTy*|3i((`KAg%9mLxTOR_D%bxM{-==l!0A&d)1t!TYUG z^PK@t9D;MgaiW#Nb%JQWjvjJ?t$hodu??ewF)x0NpxP+DqWL4rro-9p78%?3^vY5O z_wp15G{y6cudYZj8B2b9v)vf=Gm$oQ0uXhS8~%#OT`SdiQ&t_kI7}=W~zU?Am=D=W%|I^V(5( zIV0tWDtfL>5uv#}K`70!_RHIe<*OeaI<~g^fs=QTd7sHkYNjX$(oyK*?uW9XV@*u1 zL_ra~1B%Y<>XnL{FLLZIxuK%b|bE{c7ehqTDpqQv!*-57> zyLf9Qz3erIYF9#Jgu;R-PEF}|(d6HBE2)tBE1rk@xq0w(JZe;#$uL8bgjt$EjLsA4 z2E-pdEs@l24bQJGG2%oA2Qm9N;29zkHJ+Nj5N@cfcKu7EHlpKiDaTrXMgU%^GMzfd zn~xP6QGraqmoDj?67y5UEa40?Deio`mb*N6pEeTQr+wZ;IOvnqDYUb4i2AKTHSJ!S zp8zjB!=m(}vnZxNyd*;m}z` z%NanHF}}ApN24t9%_?L>wK+28l#+S=a}COtO<|3dVvBBa+=Rp>7V|A+WUDjMMf!(V zoz<|Zg}^JTFU`!o4bB&v8d#g#b>~;_5z%GXqVPf|O_ZrmuLJ<}XRKHdj@v*eyM!;i zl-}cmd3UPkBDGw{g$MRpRBR`C8@DO%%SJ&h@@ZAtqJy~9DkLXqKREaC;3h9WL31$4 zRhB+Vtb$U!WYrDZ=zXIv>ePq+NHpe#jF`uK?9T3~{5I*zfj}#Exv&?j`xjf!W>yF* zDs2BYHtf03c~1pMx@x64q>P@`Ws_qUshBe1Q#m<3QCE=Ln!FvJ6b%)Za|v@vn0mv~Esy z6|Ic|E1;F=m%yg2Mie}v+({Kfk6Xrq11ZFK{4g@ZWZa1QfP+_Pv$w zHm{X6Ck~A_LwL3N)$I-QTw>huXk94xR=}e`4!zm#{dpb-UeO7~%gdG0-s_ydW#wOi zEOPq=x+0&f2cbENUXYSOni1TbCtnCCGTSLeQazV5Yw_g_+8$}C>#So=c_JJP-`4ha1T|3{5N-$plE|LC=SQT!S_Jx5!ST}wttVQ}Qp7)XbP+T=3f-CVg)>dF zg7r^nSomh8#{~1H-p21FMIXMnMn!B5AOG|L8?X+$c?A_m?vhc%MJ>iP*PH@@r-ac+ zMd?vDH-cBpg?g)P>|6yG1%{l|v>)Q!FO}CQ$I2BXF3DO@t7l7F zs_c+PG9$D~+r7}bM#M0jZsc4;e0pt=-LkGx%#>NmS>dk%uvLw_#X_1gHq>`sk;zVG z6pdk3ytK+x7FL_$IdCQ1l354JJtUYwskfquRR>RUF0~b~6>UN75y85o{1!tnroj>; zMTc~-pfm*VqjMha_7{%qG>Q+tR5tlR6p7AT3s_gxmX!)NI4XSFxn3{j-cH=iq>0gnF2vGNkRsDb&|#|vJ0 z%92ln&}|6+v+oST-=?)Fcvbe!gd1NSLrGfgZv{;r($xf|s^ovMW7R%l6)T^aXyqMZ z)CeT^^QV%;9Dm%Q|2fSCmVZ}bSEhNRrz4Q$oqxe@O>`vN$_()tmhtkJYCir~>J~pN zRgmd9Hfa|P2S9ZGWJ3lnmZ&qOE~$^Y7!eF{Q7MJszYCl>vx?mBj(fDdmE5;_9pCy& z@!ln;=~xho*S~R`+M28Ros>rTc7!?KE@>FP(=CU?G_~?rQ%gEgH(!4Fp@Y0?;^e=U zS)5gB4%29BKXSAk?pu_v?XQZFmfVp}+VNhHbJZIY3~;03L1_lh|B`%?iI2IE*{oxj z#TK;^(x}OysZ?qXcCyp0`1}QtP+y(V8s|@UJB4RP=Bw%*7n932!}X?iDxnue5ORW8 zQ9yi%iDp+TBZ(%lL4Jryh;;hg@@uj}?aYl4pyeT(AW>dPu^|<^araQ&*f`Yd_ng!a zlbQLe`5Nbp>)jU0qM@Jg6x!=3jox5wID`ivq{`s^^7^7X&)BIcfLTJp`(EJgl9}<} zl#lCEszo))RR7{6M>=TK#U7PVE7u2hyqV5uO-|^xUYRy2;%EZjR*BM@6L*_c=>(mO#88qbUu-yar z?6&{is|9U(mU6}!oQ$EsqbRF95LQG-TviZVE+a`TUQDPXl9pSCOwLA81({lUp5|xY zUn71MGO6oqhs}HCDM~orN*=_3Nk&2sI9{!S^S@5)8qj`S-*=h_*)o+=0yiSGnDl5WX#D$cx2 zmpaa2neT$cD&3*@^X^g{5Q-6(eWZt@ccyrSOIa6vpe9Oig#veJI{ro6C~%-sX=}xG zcOX^!m2;DG5l;$4b^~&DNmU=jqR94TL$Y=I9lY$b=JeJS4T>BrA?q1r4f;LF-90%( z!wJLqpsU7IAyH0zC`MF3={NQy3$T`HbQqj_pqkgzVCyuGCfT9)waP|96#T8lUJ@lz z%=Cgv z(9jO1QoKXG|L=QQ4ZA@!hJa=8R>>Hr2!r@%ZZElbC!A}A3RVO45Q1W99}P`!ydUk5 zS+CD~w9T{nvU0TA`N~*O-XLI)OYg*2y_N3-Q2IhDSBd)%ga-jw+-h_8{o_sbN>>XI zmbN)drb_Cq_muV7n*s|RcAmP$B@C~xkE^p0F^-Mkf$X<_69Qmjry?2ON>31MgVg1K zr29;ZW6s{f)aZ1MAbP9f`T`u?kHI6iGH8-;(xSMOoHcTxlyX7T$3y zHWykGjDMq-vowioW*UyP7W_WX^!sjRCq+qZ9l)BvPZK6xzW(+~`r6);`RyBrmgx|4 zM1J_alP8s;Q@bjdk99l8+uJE6>gi1mHmgs(a)D>xHl0`_rW0p*G%=@oOPRVkbzikG zP3^;40L2V31y%N2=AJXHxk{;SiNCb(eh51JSYNVv;@qZnm*n|AOufi{L@P$6P(GjL zSD=4Tox!Fe_^J8Je_S8=DLx;N$_x&XR6J~Bo~Bd~j&mDuTsicXs^8~kQ4e6~<>(F-=CsW`9w&E~=B171^SbXw zl!PyfQ?l0$O06Y}RqZ>DUjNX4%0HDD>s2Pj)8!XC^kg!zKTg3gJp#_p($i~euTcNA z_L+<#P3zx}4Nn{=q`%t?p`)Y;^V|C#WAyzwx{nG2KabGCu$CmyUsoDAv>W}R2nkst ziUqbbJU-Fd5DyG6)yyjC`B;(BUx~kZ?k< zr>~Go3%)U~B6@t;pU<*`zvt$_{iWqw*q)Bt^)UC%PidzGe{(pJg5*&)fAq zr^x@(t`*m_a2N8QVngc0CfAQYjxB#~?DH@)l|m+5`4^iBbU7<8W=1`IcT;RF*GISP z_su>h!hJ~t6Cd2w^6fmK7`titw5OFTwXtYLZSucKjoj49HPb6$bqH)Dx+3>7$p)N? zLETAXHP8PgO0?PcvqNHSSz8&WWZ136^vT5a2wx&+ij<2i%#p-OZB@d3hUpcNp@A#l z3|+;xK>888q7BPe7t-OI?I%xp(zx$$vRi|~#XhYgubH46CKG<3;9W91f7Z1dq1-I0 za&bi_!>Y zeQ<}_@;DxL1&KOm5W&EtmzYnc<|x>cQK$oA8i;)fS% z(Z`F36LVMGPI-uwHvwov8vee%jRB#1xaahTl1UcvNef|<>BcS)PPGm80fR)c6= zaTb_37)G>0s$B1h?GphkIW^?{>Cz3~{+LcHMDb+pc?-Ko=a7Fm^jHog!rZK_nD%ea z55|agD?B(A>qEX+u7f0U^AHoU9wCBNBwRbTKDxKr`~DLL5nXPQHO_-&XkICQUSe@O z6#U5#uDa)D9B6AozA2vcdB@-dXfB&a(A!ZozbLeO-cSC5vvCCg4NyrIvGzd=rN5}& z%@k6{j0!JFqKd+dV)hC9P$Any9qtr_l7q)3s7f%+qP$!TJq~gQ2UQuc{KG5bWa#?{ z>1&njWWGU59eWbN!l5x@4U^yd=!pMWdgUVY(7W{7wHx{RsGHNr)*Ka1;6_}oww?Q1 zOb;c~oD5Yq0Ag6q*6B+YM`nqoFVB`v?Gk4qva~TTI!r0u+VB#4gPo`jr#w|;AMYfE zCWtNx25yU4Vx!486Mj62L14vrVi@xNoqO(4XTws4?5`gc;HGogHM}z=8=-i-?3akf zP;i2Z5Rw3Ff@_4ZXknWawtraNRm;mBV|BdCto}~`h`65s@ri7BGxP-0_SuI7R(XH^ z*`(dZ3=xcGiE4X-6N>Y_ z?ji$99IfNFj}Cj-18NIh#tMrZq(&m|C)6F^eJqyp&T3wC6yv*cSt849-4o}#m`K?0 ziYuNc<%_lbPh{4eLNZFfj`*$kC2jsZjQb-xtH)uhUBo{qKlV@L-%;M-P+G}@mCV@6 zlHDtOBy(j|s%qhcu_Pq4-dM_#XYrgAdxkjA?!%I1jHy6h@~nEuM~yShsmo=OE;W%~ z!DC#DEK)vO1qV-xDog`765-48MVO>BCB~!C%(?Nm9z?Id*Ig(5Iec-f@*7sL6x~a% z?AK57sP7U_@iNZ0Mb9W@a=Rel^4^9_!OV^DX2F5=hs7B}cpl75mNpybbgY)wW%dKm z9Iy5pyW-=MZq+C*nivok#KQ*^T>)_RNkj68(;91u+qPfmT) zxg+8WU?MUhUpSWSAiPOUek*xC>xscHD-I zCTt{V_I?W;R&3^Fl#pY@NYi+Hd!`3ik{ZH1j;Q*&<>fuxxiorDKJ0{oU(qYzfPat` z=?ZN;xJpfj8iTpRH3sv;MV#d_X~dKfcx5cAT{OJ|W#QBiw>{V*cJ&(fw3|D?Ux*pGtq;V`Ba&Meqs~yMGHTh8kPs+ZJKTNEz%vzo`#dy)*T++#+eoLJc8vM*X#Ae;7()QR}ZM2x(dOmrQc^~1q z+@w)Bt_`oMOP~)AEUnZogHS2A?SCl@e28~(X)$VwtRaL2(YOKcTy;vt(avnvudL3L z+ukDz16^o(aEF$(DW(P*vP(Jb$98auOPfcK`f}Jdl*k>9_wR$Jc|V9}Lf3h|t`LW1 zXC`F6Wqw4){9d$MV$vb##r=egW2@YiRgq%_xHR7%KS!K0dJid2!^W3gnFZ740+dh} z#F92cd-%|N-7yXD5OnQVqH8MS{n3V$Y4s+on=8J&zL*>7w$}cgD87?Y{q~P>zVluY zfpkfZn5DQRarm=Zi2G!7Ih8c)g|J||^yFU$mB6}yufuVv@@n~lwsBZUUm8WC+hoDf zqS+rn9M`ezr`ZR6zt!oFEw6&EUQN2$Bg9P`gEGP|Eb;-UwvIC#h4p*NIm z7UCvrbrsK#(&5KW3$?{8f$)6fopkq7g z_K9*Opo#|Jvx)iNlAO;3{`{-q5IIA~){%5UR7H8f^6sb#C_D2+LLTOoF>J6hgvCn7 zClbsGcAj%v-ZwP2c@(_dcH1x0e!O3i?d&i%7e~6(p4Kgx)hD>0fw*{-R80bW41Xy za(u^_0%oz1OLRumsqx~rPVoyo`@VU*bX~gU6bs3K9=MEa9E3EKoLh62c1Hqei2t`RuLrn$|eZs|i( z9{ra?johcNO{iHw~e;=-no5P{Cuz!35RoRcbUV$p1*o|{q zEB~R2Je@W!1o~5sA`1XDdsJ67nGAU9rOXUKXl0{(RJSY{d7Pg`UQSMbb~^`kAG-ZRYhNbjxHp}iHDA3R8EaLxzT(Sy-@?E4wj?2QNkCz07fp1C;KcPHIv)|v zO$A5|8CS}5&7X0=wLv>scgoe~jwK`KXyyFHb)IPYFMbP#b-)M^*0`zj%tw5}l+!gM zCvo$)$oH;5H^%$PjO1@X)aa{Ue?-yjZ|DX{_LvvtGc$0vm}iSP}n%7}g`03!kH$!kHlj|U`+kWjx zey*-uLYwuw^ln82BK&?CgatDSJ$iKMATUvz8iO_VY4gC0{AyP{+z6qo=Yp{4MSM;} z?O@6G!xza4$I+u^`t1^q?}?AMo++RF!*YzX0s+t}0GYY@IQLkTLuvSOs6c@mi|}oI zF79L{*7h@4zRnx!y72m>N3l0CpGh+Fr$uDejq!_WYw1Y|Hjgo2rirQ3(~W5(qqY#7 zMpe4JUBDMn{?K?> zYK{{KFiiW32_Yp|w{1>EoS#cxwXj~J{DTAkkQ-K|$EM__eyA)cU_ufG$?8n??j*ZC zq9emLX*ZmYvsIeaUN1hKg#LZ2OMKOGuVtMh__y>lq?+{L*N{wBS@$+djai5tN`_9~ICPLl?lHOmF&H18LRUuDi~IbO2nVR;D>HNGHfTNk3*L%E~j z>`IGI=&v}^6jBU)vUGjF(3=^=$X>iP>co?`9j3Il!$!P?O*LrZ?9t-}tXDL}Cc5}! z%p@HBpWx_fqAj5(plCmpQ}HN+$VOaPY@9*Cg7Ie;564f^G5ASEz+I!13e3z|qXKFA z9^{h{a{W5!<)KPGmA$o*+<&P79ip-#GKnrf7!|mgp(o{~C3#!33l&%$A0hcapN!xC zuu1Vejw~W5jxTtWLnX;gTI=kw%r2^A=0c$|}9^d%*T5tYTehdLLlq|huY|V2TBIhQeLlIi(A^MZLHXzD zg`M9C5?rB=&8VG1_Km#6`zICr30gtCGfo%$EM zb`du#Mz0=UG1&Hut2n~AxfsSJ@S$YdHZZf{o9$h&VN-yBu=-Is`a7YkG*A=r zCu61MKHC97Sr;yfz2iba(HoyHn*w7yZjEm!^|O_AIgpSoK^l9PD0u>?;XcMD(bIGI zLmxypI*4j=KM!mlREIXK8|m#dKNNTuXdp#XQib0KPT$-3a~v-_=~mrqq?=@`2a%NY`HJjUKUfytBA%`@TPZF069wctRek|4^U zAJ6puD<8JIf9r@X_>_#KOvhsH;zg&qo84;jvO@D^U&sXdV(+0+Rir1m-U=^$o84*? zJOCGQqBsrer1~}z$q8UDS6!-ex8xqY`uYDq0b}4jl+UA64kY%>QRiUlhj`S1gFQ#Q zaaZ!{n=ny;63g0AV=h8pRHezolHT{4>ZW$s3HA>ig>!&FJ)f6!&Of#UpfDL z;SlN7jZO3EZEbVv_7GnsCIn;la(kqyTA2}?Dms85P4+4iQa0dFn&o^MnbCIi&3UW* zYVQZqbu(|IG&e!5)zdg#Sl~>Gvj3WphbiM$FgOs4%m=PNTDI{dqOe;ca68TPy6N(I zL})5y9dm?me-YoKduR(!`0s~7fO=z1DYb7?kjXbTjP083;;<`kErkZsK}-<|C%itN zfT68^j}RLM=d)!`*2AWU61yxve@sKAu?y7kyywwnAUQ@Ub}yPaw89Se_TubItB+0qp<5T?mIM6m9Gif+0lAj58rr?Fi$$?j6@w zBq&pOm{Ebr9Z5Feaoa`uLy~K{JLj#QI_+^%DXI-x-w5U~rZ3W;HwA{BXIs@FS{mqhI-KA{UVl92)B>2LNeyAB;)ij(q zxqHjY4mlW*dXIv9Q+;bSr6~rAUEfzslSE&Xv{iYUyu0|Lycn}V2dK;#n&7Tc=cImo7DAKCqe>&Ly+4Bnfin)?!@~cV>4Hd?`=#Nvx4>YMhOQfl4{7 zCD-Bho=0!HnH7=WePDTrG?B}&fWt^ZtqAs=`PgHNEF%%>z14#E?wo@+n*k4bkmt35 zi&ZW*Qq=~I5*IE6een=q|@v;s|_A*yLnkP*M^Yp(G-ij2^Esw}Mh!XFf4O0bE(eY(f z7~RqDEZZHp(22nT3r6?Hv1C_4rRqzrm5**dae6#)wh~}C7@A`qPJ~dc8xOKWiX(ZL zJ;!S3?6OSlNKT)D#WhS zH5dIQMmZl+vQ zfqT+o3u=$>VLiGCeO^if6H$yd9y(=81MWfBv3|IFFts3lV&<^=$%pKp7-{okqg4Si zKxW6`&8LF$3Fp4c71FnR33b>a{r8~~{dWx%fh_GqxM%KhDi!+9p4H9?ezd1{WroFk zyDpL!9#CgD>Q`Ng!nwubRSvTq;tuE$!li5V)uSJ2T+*9lKygMo^$GP++@SncB?JSp z=`m3@LaYqiI;mZfOI78_7Oa#WA-@DHh+S#5iA`gwLQhfgi3S@cDosnIiQ2jAcSW1K znx0A$6i(0fii>hOC*8vy}&Iq|VH z>Ee9j?+M-*C)iJ8>^F&7=7E2MQ~vD!gHf<=TGr3U}FZ@v_7 zr(e7cANFOAyE_IE-OnGL5Bzg~%}MGD9woA-f^F7LXl-cvd6C|FibHvDR|WqE=duK1 zS)NPlt@<=6o9pzygCCHbUO?&dPn+3TceE!65bP-4WhaqzUR=E|Of6@dATJ;cYPRv%UxK=Ky_t0cP(s~FQli;T#P^=w{$*?1s zGIuA))969n;yDEFQ!Wm+WXJjPQr+-UZe(wET>!mFo+(O*8D$+;GF`PTIs!iXEiJ?8 z*I>F!94gJ-UVWAPJAlEK+uzf7pE?^X#NR}+oY z!98wYQY?^?)cF>|qiFrsZq$#~0Z1Pxs^BY&w1ma9NAlbslMOwiY1MFW9$aG9(wn9u z$fj+TOpS-vJ~-#{e}5>GZ$bbbDp|416ISy2e4ZfUnw%Da1iYtYgdbrvy;PB<$(b;( z+3G?qOaTtNKM!^hBo^(oX@MaL;m2BBOqC^Ewd#p!B)U3xLbFgp-p=;$HiEH#%G_Af zP`Yvg!}ja39_%NZMAg)atU%*tOV6lv zaWo3%@y9C(A~uF)WPW?;!L~HC6bYT!2~H5k!td6CpGl}z4Jb$XAvxj~O{<0w1Z~Np zbhuvv&NtdnE!O-$%0fN9bBbv)8PWKdDc@l6O^VIt3iwNkv4?b&E83Lqc`uX0QI}ioAb#H!x(0kfh30n%vFHD2`{sjoeqrmBOY; z7S~og*n+5B?{N?G3$cyD8)rshDKl#?&sqzt)}ip|x6y`emM>lZ<-1K#Lj62wxMKbA zJEl#ZW3_G1*<`A_Aoy0r+T%Q0R9vsG=I7rb1+$*<*K&d~)$Lm9LA7nJ?tOtTw$O zsThO0j+tVEum2&|MLX|5L7<^9N?Q5&1>dh8(yR=Ink4c%0zlpF&7zL_-teD}w-_lDScpePvq9T zI~xCDB0fN8W5lHMb9y6l>zgN)Xu*~E&4?X=Fsk?G z&8pVBpwk^TCP?+l5e>hsuSESxB_XzXk6eIB@fahdk`rH05eK^q>3HlPi7ud;B}wo ziYw+30XTMmrZt(WZr_+6DVY%ktHE!GW36471njI=`!}&Z{*pQ zs#x0dD&3O^K7k*;x$v68J^kay%^aMh%>6`RrVn=}LlJE<8O$`TQi?Q|57~x12?n(V z#8w0xUW@~QqJUa+7*k>^@&HpN^+BwUfhEZ?XV?hkKm02aIg0dG=h(7 zECg5G{sKSTq5Aa&WJY0~FU#AdvSzTTqTLA=$?q}DVJx#b;_z+uv7Dvx+VpxA^k-@! zq?w^0T*MkQKUKv@G7{naeD!5&U~|d!CzVRsMC|!P_c<)FQY>6!=k>7q62}QBf-gSZ z_{)P|fx+1QpB+N1+-56PIZ%4^5-T?Nis0X<({gL)<(e@4h@el=dtolpzh2-+@;^+C@N|*V#zpgn~ z3V>z@Z0FVWecK*3{+9gp*S*>&7&#CjA3$G^Jv}K7?t_mcR%}1R>1e8t!hjTYlF$;% zyTxJ+O;Y#VE?bHNL4}+szZJ)z$CGE~LwjGY%}gsO3f9~4v3i*s!yWC7N6}8uI??}e z#+`EQL9c$*=NP{`yfxVTz2U2pR76lcK#+-w%sCX!Q}qYFy7A?s3sbQqF?k2=i-OWr zEJ;OE^4SJXVZXrh%Ak@PY^@yqo}xC~r7xm_pVDw>Ck)y{SDgH!&++~}fkp+U)ul-Fy>Tis@5h%w;4RM}DF}OO8N8+RbF*EY%e;EF<`M6cGk17jx9|R)~ zSbtq-<0Kt@di@RZOn%*b+zqG>F`KNEaXu5&iD)G%l8n|N+xran2bn2sd{LHFtC#cL z2wibZ+k|&yZoW_~(v{G~zhHNL#?cy1{ZOc}0m&To!hK%s0~xlO_f&=Ik|izhCsqgK zJ$+&&=+%qsR-d<@%yjn`}|OJ1GU=|v%j?ty@TPnFw#%@>-G|5g3B*(IhS7uykAsGoUp@kQ7^bU*~+;7u0=Md`kZLv1|tj zN-43YBvQT5tvK>#Ld{zMGk;gHA6@uou`unAu>v;!)U_FEk`JOq~!=|BCtIV?=kwkDfv2@b|#L zv9dxcdZW@A1;sO@7= zSvtLJ)Sb!dSb1mS42q$$f3`}`$&B=F02(}gm^8jC5Ya*ze%X6FO}nX;ed5S$KHne0 zhB}?AV#cQ6s0;O7oG7lA8KDIyJRjC{z?ZaZ^EgRz@dj63@*AKEusn0Fsb z&HR>Fdc2c@(`iKDE=hhLS+o1)qJ(HbdaM@@YWjstoFr;n{}=o@0)}&Ze`|J13LwUd zM>`g&gNInOEIPABepg&7yf(62Iq3Hg9d=!JN~~PZa6&O_t@#BnYYJIYv%b*b{zgqP z8psdb>JBk#Cp?`aWRndu@*!qLO=u+qaycz=nSR(TH?A@Ms$%U@$<9OgJh@tkkCw4# z#{j(D6BLb*jp-)Rv2pQD$R`$mvmoC^d9% zMV?KX{XGn7SZAfFsQ@1HuB#2}^-QMU#AD~rxh`=+jvMv>L+=pQ{Q*JRsBJ0zrP<3ls} zZwQez2ACoJmo7ACVQnSFwL3U6FD4nQvh5++zJ*FMG*~W9|xq(7a(H z;VAQSk)1qlGf>D1!zY2@_?zkIa9ls=;=xN>-la z<{>BL(jptfB6(sXu_a0;Ru!%O62KqpRiX#WKg(!bO%}hO({NURg1}dA-;a<>hHPZ} zH6H%e#Qe#9Gvg@Leq$22FTmkCR|Jj;;-2PZ_qcS!PIdW$7Z1Rn@z_AHDrOL$ZY=UO zVkz8WNC&l)hOH7G?TTYS=+8>4BUnLL84_@6LRt`bddLTRKE&(Tqih(OW|AstdWl)F z8L1L0?rPm@ki1^##4bo0@d~`-30n2`Bd+0?>~?96ws{ifj1x`2r&Ec;Z**4fLLxo! zKypiKAjK0Pwx5{BWZ&`XCdx3#AoQ_SJbjz=vPe6pTly<#ho3^;v4lfV;KSX5Lw)~zI@0V!6)1=OKC0q(ZP6Qjom zdLm*-Su#lFemc)M%=_a;B1E)}0v)RYsHmljrRg)Hx^VLE{KQ!Q<16Bc_~hp%QdZuP zNj3Y__H-IR6yWY^gfB0+WR%*l*)5<}|CwSmm;$RBI3U<;`Ak1s*RfdnXG!~aevW?I zy|yk~>qg34J-&>kuY;hQ(q5mQ-%7>tYNh6kI&Xh`F@u8d57)L;B71|Yvrcx+(cRZX zzUr|kFWMWf$q9%jk+aB-*}rkHk3Oh5nA5scUwv~{%0s$TgWxukAZiSToM8<< zM#q1^`&s&OMqwL@JJz0?2D}n#5vRG4!ba|h_C{_K4Ft!+6-E`3E7e+lM8e?XYMEa{ z7iW3wxFg48`!LwTk#I7X35gUT4i`7oIFWVy=4{HWS{YeEAb$;ndci~dS>0|46SZFt ztu$a;ap~2L|4Ed)L99g49v*E;_$Y#n*U-Kua$JwljR%u3EM@Q0yFOcPbTf zR;(xEfKZ4A64H!cU_Lz(*BfEIl1sUP(w4q3)0Qy(^oIkZ8j~Izv@x)(fMB?s?+v2w zLB)U>)f}7cbF0YPw7f_#h-c)NeT?@t+Mi+&>(4_vqAmnS&B11iV*?hW=Q7*VU}pE$ z9#gr^lufdlgtqN8Lt6P2=9%Y0%$THT0QXm15_JxM@2Bu+x9(x;vh}Zfo*=JyJy`+y0AC@bqMv}$H0+$u2B2cRBjPrwGur~5jQ=(lo<1F;-9ZTzH zm=5;I_53cAd>2hJTbyv$@;wS>jB|xK0@aU{Vu!;Si~bp{l<7$^YPWBNN$6$`nJ<#G zDqR6HZ4|`pXAEpz(#&iD%7fLb3OR93JWUU=iRVC5fodr-BMpuPPs@KA58fVrdnlHc zyAjwu`}tD$%X8y^14DIO5Iki5o?FdXytv*U<+k_-M<3cmehn2Xrsrs_`s)fa+_qa$ zKwnwe)7JIG30BuWFVf|}{-9{Gv2ZhUW#exk$v_;k!0chS`G?EwF@`KUh{5)Lt2XGh zG{qWUaZ4u)|FqJ=i(E)qh(6tOv2dm(T~~^WQ(SImM>Z~tH1qWvGXb>yZV|%86 zKwQqefr0#f<7(h34tNRMi`o|_u9wwluZ_a&OSAFRx17ZUwUcWc)OnKWo=+JxYb9uT z1#Iks?a}8&k@PPOu+XlIxG?9EBtjwbsh0gXez@NkMODm=o{TDOl3l;5{*&^Z8^eI& z$gM{ahWC><|2{AJD`^dZK3zI3qTT>Z`WtVn``P&Wg|Q=1*kcYK%=}?HXlD3P$nmlD zYfKM2;?*MTrUezc`6WM(XE-DKCst^Sk!)C=#qh@mJy7R3AtcG6Q+@DZ-(>%nRNpUJ zdc!a?1c@fsiftKB!|*r0oX5k2==|f#KnbR`*5mRucveao7Q=s`q+%~{hOh&+kkT>r z#iv#cdV)Lr@+F3m`i{(4+_vN}oI9CPqlPahywqt+k7VZU-L_4x#u`+8Y>v~lp?kOA*zH-tB6XC zmD@~%Ny7ulSs#g;<+?s307O=M3<4h_mUY!co)Tb%l&j zNx6fv}HABt^Bm7C5u^ifWKCEaIvxoX^d-s@e zd5?A04abn0Z`31T>4czIJFUrH@PRn`!GHXfm;JnYKuwm} z)n6I*D*>F-&Xn%US*WxD)B+^U?*DZz>f*~ABBvj3%c`Dq(fPQ%5LK_-6Sr_bTRUaC z97*{D{qb+Ve@|Slv~CbQPeZZR)w0ie+O9CXZkTl_0qA>N3r%?@;=m+lgIT{!urvdQwg;(X@ ziKb*`6kyo*6J;nUkvM|A)=W9YENt`kKcZO7!q3Re2K%NinmKd)>s}8QQl?TX-|S6{ z)gBL|tZXhg+%m4&)KqP~!wxN3S?05|V6WkE12dcl3aJ?4ufrX7?v2GNe{Qd!WtYyx z=yp6xr@~)jaO3;!9lRQ7wv|MMHnAIMN(Td?`| z;ZSymcs1S#s}t|nG+XZ+`FFnfsbW=Ot-oq5*B;&+avXM<6I#O#`>zl0JFqK|2T4sH zX1-Q=$1Z7{!&B~_p+pY&g>d#wxs8gC;E%Lu*B}PO)pH~M;>w}5N@P_iDitdPa;lyR zz{30*mKACT9}gMp{U4sbIxLF!{hE}LmhO@U6_KTuT1n{+K}rNfN~CsKx}}z8Vd)Mj z5v99a1PKwOVd?IC=ktB9>-W!G*X|B84>R-3bIyIA``q<+UkW*;h^Fo5Y|`jvoiv3P$;Is?HYrOJ)ULfE26vS(~mN z&v&Aode#k3xZ?o+*o!wP(LmS-GO3vCPB4lPN&OlZ`Xuv^`aasXU2Z`AqxXRH7>uK2 zq=JBXeKZgjerL-n_U^`aL#{|X|9$|hdB~>i^wiO%19gtW=FfdyzbrUO57%l_IR;*+ zZFruQKM3|48>e_^#%Off{}!M?7gA%OdQ(>gT!fO1`P z9O^ZLd70;;*5HmV0}i+2%=WrzXK?4Z^NnwQV+c@-o`IrMcRY&%{9ZjNhG5bMsLRlR z=kQloz;&ukjsjyBwMZS93a)aFi2&NQh~WG8B*=KiD{Xy6Gbz2|H>la7#>DnaJ0AFj z^E>!@@Rb+cgs6M!5Vale%Rq`MTqM5Er1#8Ix>9ahbw2MI1?rsjk&B+`*MEu}hGy`v zyJX-82awSRXn&Fv-ult8+~dJuonbTa6}}=puPSShtPW)>6G+3p95hVUh^5x>2Dr#5 zrC7Rk6RH1P#AF!RN8k@rwV#Xrfjh_oSp2M$CHFYwej_8w>8U2d8RZ{Z;4u~Nv4@e9 zTGP|>njyG#hyx<7$AK^?K`7z7le{>VIci%#{8J zv&*lWUu`JfkVVxWdq&l8_k`oP4hHw|@t@H^y91fDB`m&k+}w{Mr#LCwCqpdS0xD>w zP5X>|*jwb?22WiveD>X=?;r+o67@gh=!K^ zWC|yvfT;w;(og%KgAZEIjng%f{_zEsZ#O)Wjc7_vQMFA@p@^b^Q`-^EJ_+XaG!KgQ zg((~Zq>A~0q@f$X#+d|uWb8fGq#1U^#xmgjgn7Cl#9JQfeFHgvAg~S(9Z&SJdV>ON z@1g>j?9`qYKIvjV#s?0<@?~@hz~S1lZ=Rf>^6q`u7Rzo`Y;r0z*6bRO3OX@SZXZr+ zn)mqf^gbUttigXYK=YM4FeRNWAt0Z3<|4#i2^%Fk+Q#IkBn7oRQhXEorq?VNPk7%o z-qAZ1AvJgeoJ7PmN5RKHfsPB#N`8F>>|@V7V#WdXuF)v)5aVS%?}TfucTK)0d^#iF zD`qO?Y^1l;!aHV|H%Bc@HKg$=mjE0kf^%;wagb=@247q{j$km9r1aX5(qyWFH%iLs(Z$P+o+qHC;yFJ})rlwa8=~ zd|ycjios{jXJH!tlQx41%_Z-An2l7+bWheQ`@UYa{Ql*1OU@^?tvVl(hSB?nr>zTR z1f#)U&*9$_yd6=UU=4ic4CmiM>vm_&R6EqyeNk5<=9(kmrbv;--c;hNllsxB1n(2n zG%mVlhuXo%q40jh?|NdsZaWl6Oa7NNs*!%kPM|Q{PP(|*GRd(l99;}9L{jf2{}*z` zDGm7zAm_KRkDtugNRpMh%{##bJ34(6nj+~Zr@c}?3F%96k4@u{9Z%yz zjLd>7>2M&CeZNnR#Dzq~5@#IxQqxkuq&-WFlS@mmJ^|W1)$5;JKeOuACs%hKnJ;tj$j!5;DdOxbstx-uSR)PksJT=16{-8G9k|Rz3O(- ze1}I{*^fguA|rT1Zp2q&-y$JY@SB3cAItn`dK7bC<@cRqOm1FwNUjX>n6Q^R5-cNN1e973ZH>{&iwJZiW2?5r2CuA zy^#kl&LG$7DR-tEimhq4`MJuKGX`{4nsxhSXTqV+}={F|06mvC<1^) zl^@@dGg&^|-6HQGukkcatXKmu&Z7gR?51*A>f3`)2i=HH@(4z-?++>eKW_d9-QAtk zQ@F@}KcDro#ZlmK{`b(ak!e?KMQFhRADyGKmv#>4^Omv3o^E{_aQM@i}%~g3jk?`P+0uHv9pCz~eD0{I1650-rrhmD(1YR*>g- z$Tcj?XDnaBQd(T(EjFeE5cKiDziBTScR1+tDNKI(`bVw#)xYp4PO@X`t`b0p!cuEZ zWF7DnGW$*Lk16L_2$&5wyPaIn%?K;o7zf6R3p2ujiC`lou^pz4d?}6^1CzB}Fq-n1 zHhbNp&Xh?PQXLG_2AyLMllFtlxVb6l+v0m6?5i8#IbEqj?QCVQ-RZ-xl=H3dT!G53 zXOPw*8TA(@1}?6gpUaj9-S%jZK+HoEOLw}|9h|~3q;dHK@ZX7!P@2NHVn>wvjE#WW zagpt~tM~#hc!$Gsfr1}C{j&LJ+ozW50_&L7Sz49M+CCdHcjylRw%Mu`w=fD8g7G3p zqAO6Cx^G@ zYT^>qfOy{eK{F8Xyw;atK*!tw73hbA2Uiga2~HWW#53KgdSy*V{%1qE*3Il)z^%6# zy#jp)RWTtkrS&*;%=@(pq#)CQ^`O!~9Nn7{4>-3mtiXNiva_8+kwfmQu{5_C2t7*8 z1;(qIC5SUcv*Bwar;CkjrK@cVJ)SGn8@6=->Al@@qiE-*M9;>Fc!t?aUv@y>$JmnwB4qSIKNVxZGZ?^-yf#(ekWw- zf-8y(?3~*AwiB@LY>uD{AfyWU6DGa5r>av0(#Yo(q5MKiA61s??uAdD^@e~6sdmF35mAGU|HvgCL8CgD9vYxCQ{TEeVl2e{P=cWbb=(xiq5GT zIio9sCKyUMBZHPkZ5a*gEgTdYjAYphgfXt>>JU(0-dXf>bkT19SQM@xawz%*w`0t~ zO88U5btQ69j*=9YLaS`2%{L~%)f_6qk)o_c{}b?R`zS_nopK(iHBkBtiz;eb2W&En zv*nX_9xE12jk`XCDihk`vnAcCl%ZZZ+*%=)Rd;N@Y>9JN)j12TlxhJEXV^SH2km@C zUj{vx`9t}vM`&HXx3+1Fu@3%U>8M*Z4ypKl+*^>I1@=*@j%Dg^U5?-Jy23g8W&`k` z`&hM2!1ggY`tosN5k-mm3BCNC)!l!Wi3~JSgI^=fGohcK<^c8>9H%3ODyu%KJh7e% zC{~{WNcG9b4;0VH#+VjOFHh&D_;Zdp{+3^U6%BcXOITeyogEbie``=Ov6nSWU zVf)%>6~iSek@E2^(Gv@WWc?~_`Zw?rtN@?x~62cm6ZZ(2Xt$-*{a$s;);M%P=V|k2zHmOnm3KR0(~~ z575+o&IKL^$uj1g<{IMN^3S~~1`v`M#AJeKFl-+1Ch*uQ`pA;3{#zbw+v2^!%MEgT znuxBPtfPMpWj~*!(Uzo!@eg~bI@?BYn^_#3pgDXzz9&onxeNx(Z9T)9)QNaFr~h)0k?*h>f{?>}LZ^L0hVUi?coF9b)%bYt^-GDldxzUQO}& z{M%df+E!OqFNb`O`yT%Oq2;+oV)f}10H4Fu`g?NCPS2c z?)ee=$B)(#J@0*sLz|+Ww(%Tn`eprkKZQiG z9HCpF2U1KwDZ1QYRG(E#3fDd1#=ICt%x=f!r;UjFryEKqj6TIX4}{g;V;AyP5@YOM z^dzpv1d+FCwy<3_3^k0T8HfyowP_}UqV}jwiN^}B-o$6V3k!29r~Ga(*R*OIV5WG` zDzEjBXdi!s+E?_=)OoO8s(3h0p*G5D{juH2kK(@)4Orv-WOcv5Q;-QLe3^+Lv5l_u zGmR@dD&KmL;%y3#gm(1t{meDhw}s;JdoOPOi4@aBetiL3h9MzE$6p7u`3c2v*Vdl` zQAw)W+4J;B4lKRw?aO~*6br}QX%V*4F-E62maIopep;B3E;BvJW&Dl@5`vEnY-y?DN7MZ&o+?hfK$2s#7ipB)k7m@l=bJ`Rq zhgl#~ec8Tb@fHp}j>pBL1^B2Q@g+WW;b9Ju>FPyl#Z(Jjpbbp!JH4kp%|Y5?^gY*I zJ`%KAS`Hu@m@P~U4og%qi{;}dEBMQ9YnR|`J9pT{u1yhI_Uj5K%=5$bEIRd2_Mk%& z&o%q8fNDQNsc>Gck;pXKjSNSFRLgKsdKsalh-FBPNgW{g6~y{o+P+dG+o{^mTeL+5 zON)?q;`|d1WESg82SvI(g*Cvwlks6PF;agLda#)-Lac5Qy;Uqw7z ziIz032wfEe@8O{x^@iHTM%c>!PD!df9-(q^ieS}*fcLz{%BX$$dpYl~zT> z$vk+->dBQqX9BPRn+wr5QKw926);i}DaL z$^!o==lTv>S<#O2q*F~3haKzA){r>#WsKDMyk5mIV~;OQbTc8oL$JFxDgJm-v~-hh zwvH;AxHNm~S8&C-RH+1#InHD5r812xZPP;XI=xXN`c;EJ0I1R*Ik!YV;`Kk#z8)U`c)5$9>qyj`h@HV^eGV+S%r^ZQA#c&B@9u zh9jwwIJf8BGjdGI5(DNR>&&PlE^m@ApbmUsi?gE-M6XXe!9JSVwLj32!EPokwA{#Z z!NMp{Z(X#pM}FKjHUT!Y_(6k&N4dII!KE;~rLF+ESyd25pBamde0hM+fs>EsrC7}Z zE>OW$EL!m3O+t-MOF%hFI}o=uNS~-ip=09%HDuD6`wnlCfO6Q<=_sX^dmp{<%O-CRtswS5*|>LZ(!L_8l`CR_!{1U zi>6Vb$gnz+zos*I%*EDEX*y^!;ea4>_IWa(-+PgV-sb_g za}IE<0!2dPY`SNCmmQ^^!4}G%#-D`OZNk1Vnx4|(qtuNA#tkrxG>(j2oyne~xjDg) zJ-CdwUQKY)da7MEYD`nVH2uP5BLz=I`^3MQN*`r?HsBiVqt<%&i10(LI;~*oj^M+2 zuJjYqUF%1BpYQlb-61|KC&BnBaXMvSvgg^>sK30Vo{s-lz)=D8eXE5}I}$?Whk z=va?${FyhF0+t~mTAprefldNfvvk^)HA6hu&IRgSxM&@+w!EAz|eyPl`NJZmkGYUj-+iytW9@y^uC zAk#z|cf}3kPld?wnw`LsZ%rqH(wS4gY$|o98euNe4^+pl^zt7}_Eha$$e1`EoRqJA z^_*AO5Y3+1+GC=%;fcMQ7dYf@+APM`bWx|3wtar=F6oSSpfD)n+_wvoF4Cv8dP$<~LdlwmqejF9~AR?>YfSt7c9VpACnHZ=NTQLqgM{v?{te$+PrxD@{s+s8H$07d{*stDc3UNiR{gNd?j1 zm5DF@oh3&W6#j*EEt^JdD(vMe!R9*E zYzo>EUBZ)|thzhnm9RxdBag;q`VoyRRK*GN^7$v03A)O^z>G8`Hg&Y)AC#IKhjB!bNkgJ2+)uxGb{_<@a3|9TX!kPS@h7$;x*O^6?ic4xgrB zH5?NtR5#)qf?H;Z*oC8!ra3gD}gzN809T;8OD+P^MRcX_?s zdIzH{TCusZP(C|+Hs@7sHx?`qD$SX4OcbOda0l7!<)XRp{zCA(-@5~Ag8j9!K(WA! z6R+%-AM$;yr#@0UI9X%V=Z#_wUb)PKC`M#5I_eI3^}sJDU(P9gi-H;w)NUXxRY?6^@W z-e47aWoX+h4gt1hcjaLtyitPAm3tNR>x8+g!PGb`iGIHGLf z=k`?_Z(8HRaFdZkj7)*-flkWG0v>4b?(j;HgryTTgI`#+UplU^z%R7wYFC~(bXx;h*(>ive{__s-ao?0AWUHGy57ECt)PMR)}MCC<9h2DdPpBGeFE{x1gfvX zp|Tyg*a;NX)%&}6syrw`cFr0ByC^sR(-*yt9?C6Poz_TK9%MT$(sz_#c5K=Zhpp_q z$Wifz$hiAbp;NNo4axl0;5GYQHs!b6aTeaXO5(`fx3TAheGIoO{-0EpAGzPjpJDf^ z%EZ?1JBLaHa#xcrrDVC#O2?cGMt?3v6wk}B&0$BBeV6|T%8TrEVaVvsM|QKHZXgRx zg_1RwG9;)G*bB7SNeKD{?#HB?#~_9Dt?hWn zh@*vMa|ZBD>^6EamWiYm5w78=T zwu*y$f61X+$Af(q6>lRu(?oKS#kq$Mnh)jdMGo4OmT$I~w_WaCSiZv5&Nkbtc%3)) zYV@;Mi|zv>r-JW0w-wX#FP}H%?R|S05LvUEE02}3S7C+PwF3&k#^L6pzw__E=J;#e zyU1QD0~=BJ&OMoAA@H4f!T)WzCdUKGPD)n?^LcJ|<`Pr-Gj+07-TOVMZGQSMvUf1v z8ox2Yp@j#DC&8F)?m~DYHe8^LOjJK~!_7QD9Cs&;nt&2DI{{SNE->DDPmC;?{_a%t zme}%<`944X81<<8-*FrC1#zWDQ%ue+ZB}Jcgwl{Bi6Gf=|og(NQ0Y@Jvp&H(OV8mO{O_HzoW_q+%_};7ueN1Eps!{t5y6ii{!fsT zx4JbCI$yC8Fxr{InR_Jz-O%jJIo^k!`CT`7yw6ShsqpS|SarFX!#$-H=1VEa!#!C| zci<#Fmlaz1#sz<4+zxtYLY69*mCS18UVWr;8!gPAu-G?XP>595=>j+cBP3oOy({Up~yzF*QmjbR=| z)9qg!5jj*Cue3DBwZ?BylZ8N$Z}X3U1`tncq)W{iG9pWcT16|`O&zm?G{g`QL{?M zu16$IZy*0WYq5 zSSN%G=kNUU(Bj^l znP=AI0@SJeebkVvXB?u`Xr1dn^=VYY`WbE}LJ|q%xUvG5Z3u`ep}C4c3&1;#b#^IK zr-tO?u??=`S+^vt_h%xhhHDY|;6TR7Uc9nWq+AQ1u@}LYQur}oqS+kdPL$~DRY|l@ z$u1arTJ{OlxL6=|Q})TH0a(6X_eN$6q?LWrSqeMxCjq#$Og~21pv=L z%ibs^=6kOIzj0z=BP&`m^oSf}g!&Fb>}hKityC}!xCN+`J-h~GF1@q2lwTJeAD;5& zdH{-5j{po{KXuU>B)yuPz{bZZ{aCXZ96fztbd+EdOiWM;0ce1tNjCHp%dk{=3ZYw7 z#0gB z<7y3F24W@Wx_p3h3?10Tm}2*v-1f5IrGf6_cTbHXAo$FI%$&>qN8AI)pZU6d9YYs! zHaRLy7g~_@S&@U$-y*sYP`G5@%BrcCbs|DJjQvHrWr- z6QiXikS5<6o?~%q@m_HsZT5*{`d|gSF(&MkJa2P4bE`N5w&^U;Q+5`fvo}{QS{i0P z)&4aDvoT;*o{GLC(&XGs#`#D505PIhbQyRVJ%(H6?eSsgO5!x>F5>9u6FwZH{&jrh zA(*guw1gO(lDtBc(h}IGhU4h-5_!LJNF^yXg%?D5_qU@$w-CaKH$uF)@+p>Sb-py!EZ>&eSr4X>u0M#Y+ z%w@z_cn87c#DS?S26>9Y;h#t|MG28#A=R%T$(DckE28R2FZ*m(nXEXnefy&@36&TC z$E&v;enyOK(Bo4}U-W4s_BPmXRK^hGo0f2AKD_ERa1ES|>Gb*WcZzw|vICp|`Yy_h zA2|8(iSa9uP>QCfSSqXUo$f2u3?isQF_bLY!b?BOcy0zu>-#lqRjcMibp*YoKNIpH z%c&|`0H0r_s=0;I6RBW7#tq7@J*P{g`s!+A0tmkBs)_!dMcU}t**u7O-E$cltPLmh zrY++-wet2P_17M#GE>_p8pNQo?Yo-FUh&=2h>WKZ89jKoH7q${`?{EK8`8$4v0~uF zve_GH*~uIFlat1heziRRrfgM?I|dUyQc#2<_?>{E%%(rZ&RIfgCeYEWwG2#`K9c3z zl6f}d5EERUbX@xBPo`5u0r1wT)P^_Wu2ZfZ5?k{Kbi*)u(<pdU+?IlUkUhJQm$X#|<`Vz#-0#lt(?RcB>5ts)3z4v3ci0YE819UTwF!YlkB zJzds7;w`9jPS zc$pc*PfQ{&pjmjn;=WxA@E8Vr{cbOORP_2sL%qb0Q0L*5qI-h8lkYss-@qv&`h~dz zuZQpeY&MOdy^4G%x~P&fO;6@kmbw)g7irrBDCV^ULJ<%Hpuc}RtzH{-kW=m(wUq!Dpp zz^HcPLJm=hs>A}X6Llx>Q*v7wbvZH9R|S@uM#_&krl~O7TzE(FhJ$FoK586xwKye# zvGQEL?LRh{gm9_Y=ZqbucIz|0W{(qWYQM1pvp4^EUagx3prgfkqu6~UA#Y%vsy(>e zc$Ifpa6AI`lpQM6302VwI%MgM#9yR3COQF3N7>`MNDSsI`PflLxiVP#UH%J#<2eKf zPhh5iKy4vaEDh)vsGdzgJ;+ngz3sUo`zXkkPF#iqE6E20xyRShA-Z)i>Zewf!o;{z zlG*tLp1j>4lYA~EYlBl>ez#ri37mf;uUlv=YIhR;25AM#09vl~~+}GOfk{!OC zXn6n1s5J?iQbqi=8O-r!L@dG}xU5H$Q2#KqO~mNboym=DV{E-*STP+4A%8cSv=5@Q z6Aw}Na-H8z+SPW&ZYqxBq^J|7vqjytX=ivgDNO<}wymL!$&*c+$wsb8)k3HGt+y<0 zLne`LEI)9^UVRgi*HnU|*!Q_B>fkkdS&0m&_D55{bZxp6uKR zBaI8MBvS)P3|UUTcf_VCzIux zJ^ZxLEAb)v1zw)6EQI2W)a?O&1D+udIU<~?|J*O?)7yo>|EMdciTzwC5H)U-OVV$= zd{D|Rx#VL`0-VXE4WNt&vtTlSvo2z75{mreNNE7h*LWEou=ip0 zTsFL8QXSxLw_<-7plR*g$a<+1*0-`T8bmlb6_I^f!T{hlv)=GVvXW;@>>Y0RI zdr%sf>IN;s%Egua3+*mrj<~*9G3_qfvFiKj(`<+U?=S(Z6gUY$$Vg-N6zM{PSW}q) zn}`&NB5j=ohwXfzCe!ZoG}YRUKOWVdg!6I1kAIa^!L@=;kmZ_~q5%mXWGW2TAOZQj zh35$S(N)7`xV|Kimsou9KbxH_;SsYEO=fI;*-G5_?})%jH=p?b5K7v1gseQ($2sB*(bhjo!=^RoFWi`3Cn&rE7t*ZX2C>%b5! zu)Yc=1D9Bp$6=#iSOy5GlT`i4qR46gPWE`a9}CPGp}%FJk#O$tlGq}4OYA#!3_%F= zWm)EU&A3Z!ATFQV`_yObgn^-fa%@ZdOVFnDnh)^nF8}_qSsT0YPx_;m8_`d))zkIE zzAWi$l6tW)95y!rd+)PLqSOPz)uMsCSoysf?0al)bC|f|g%CpF_z~Qz2h)?j_doQ= zFB?+fS#2kGluDl1^o~3F`%&wvFab3hjCXj;VHmU_Je}0^UgPj@R7r9C@-z;RXBmB-aL|9~`+fLN7qn0!r`?$H$Cl2{n}J5@r9 zy}zbDdB|0H*VX1+QS(KHoCU$n;nX=sK64?APwUOI@+c$0A--$^#iGMb zG^8e#V1c&mpo`tXX>c7tRyk~!Sj3S7>ZdbW4-{H=I@z^*pE2u%AQhnjFB6nXS)rj@ zowx;Pt^%a!?<*2*qB`f=g13Yh+xl_(lY(@a2`SN6imQ_FmoUn%&c83vWhbby?{@gL z5c&y+i3tFIaffqDY|LYu06*El!H@rg{j1FO7!*A(Q`HrN8_C|mZ*q+%e26T?Td9NJxI1^kp` z@VF&$2#(kA#uJ?KUfCY!t?4T0YMNJonyly<_E&5goaYahI)g_o@w9BB$A|eZ2#+6EmGjkMwB$nHjk@dB0>0}<3u+k^t|3}G-PcICuVi(wk;jdh zMiE~(>^4EuY~p*mmm6Ox&~&w{O=Sp>cu>R+-7{|`P^1c8G~70^95=GFU_%vwu_;G_ zIQP%`bt7y3JrMn^BIh^3C{;KcFw8`Y6l{Ka)Jd|kv+YD3dOwSn#;N7a4gKie=R28C z|B&ud%~~l@Ga1kQ$S2CeGIlenB|>H?9UA_tI zo*r{5&%c6%N^*I_Hlz!yc1vCw6d30p8!O~<=wkCmoZP#NR*l^^u}sN7soB1t%`so$ zhskRl&DrhWyr(_R>GA>H!e@WJeOudHHsKMo>;y9S6G2SNGFsk!x#f5K*zxi9PqXnC z_EowKGJ?L&H{lzMTBPPGK%)co>f#rxna>~8sVWpLY?P&)NJd{L^+uYCUx}U;j(z~L zec!we4T8A3?uYNp5c=mF*eM9Fb2cKaUD^^ifkdWkMD{rgJa3ihPyN$=-4oR%15T1( zgqS8()DfGu)CY^$Vl7e>d$y?Pg~5?(knO@%7GsWToBOs-TP^sqPkoh%E-^eU$+aaE zTEHwxv~)n$=59u?;3IUTAVBJt)HbKeT75swwXiY#c@7L~PBgdG5|P;IQqVS1XWor3 zNHB~}68^~zKS{FMjseK13qY>|>-U7Ml2ub=wB3=v3Xh?I*9}^SZ$Pitagi!W(IUp( zG=l345OXOQQ8#aHHDGF0EQO;8ab-2>|f4o@W& z1Typ{tT=z@|HDITg4=n}HNqF6fivN(udap%;o+^k&&O@XeYyfxiPA~_p46UllI0$t z)XzM;qZ26Z1of8i-4sE&2jA7#xlGxx{2t~~U1FyYZBtJs;w@cbN$Y1Ea1KeMK1=zx zoLtkT5WypxiM}gzms#rzPy?R5j#=bxF{!GHL2u4{iGC7*(3bJ3R4En(Y~p#Z#}A|p zSRMy@Qe(n;d|3skhv1ys)7AMCR2gDJp;?2}0jdatOL1&}1fVg4i(EAPB=~0ZGz)3< zdV{%3bF~62ft#FQI4Gt2T?vAIzc!cb^}p1Rt+at)g_L|MA^c}^Wu)V5&Oyvn!zUaR z#a<+kK@P>>z6l5(^VvFpV%^;z{e$%8bR1((2@km?7VumC!ESTx#?Zc~T%}nhnx=#P zUB|uo3~Dcpd`U<+%J0OPXwHR@xRQiFj;6cgn(A44w&bGZ+vU~MtdWsB>Cci&J)ZxQ z`f>TIZ@hjic#5fe?8g5E$LFa>a7hvoBENFWPy?X_{ zA!mu&QqC8ce%A1l>x$8R{SwQZifoi19kBw=KkTy@wE|<(yCc4Cknv z!6xAI6{?h=B(gR05v0@k{R_UpJ0KdZD?aPcaTvSBYiGFoEB?V^!)-TZYn6r4ZGK+5 zW@L>w*2G22$K;yr5tkMGB$Kwd;R+XbuBKK=PAk?YbvB9!Pa)CA)5?KTQ>o+x4(dgi8@~BGW#85m?vd z`d0a3IIZ@VFP+fvp9zQGPjDeIua%5iQ5QGim5kU0$XV#=O&6n~>^YUY`e88dY68U< zcrn5642O$)!^V2kh@P4MxEDu@NN``bb%mw+l#YDjUZOkfY4$#QMQXF2H)0@~s$dJF z!M(77(fDSZ;d*imuk-*um}YpNtSQUMiH*|T-i*vK{)usfh!K+w^CK$e-c7Nq^s6)} z^U%?8AvoR`(advo*l<8|+Qjx$Rw~kc4G|E5M2#EwM|D&RSH4DrVyFyXBLExfH;j=- zLr&=%J^Zy*&&J@Px_6oX-p=jPd45p;eXNQZ?R~EDfEB*cDM%-9$&x~p?u$>1rxOLO z0nZMyv$3nnjS5_jiiwR1_$sFTwLTN2{_o%gknba1yBO0o+7(HfTbYVEu$b#7O<>oi z<0=FF^W(~+uMptVd1^q8;U3d%?2kE#Cu!tWGOn9ZA2Y&+#rA-MG{^rOJO;|{=Hq9} zH{cx6J8H1j-@w`c+x@G^D=#6b%?jp4z}lpfVe1B9+&c36>4KR1kus={oYT(kPN%Z* z_dl4K0gUor)R{s2H%s9?nY$YpW1gSjWjgKqmr*AVmSbhTWYa6fN@buWM+&>q-EPn` zN8fq; zZnEWT=nmCUtsE7~Yq0>`3zQ{IXHV z+T04l^iG;|`n)VTy&}hvA&3&AfylcPp-K?4BqDrIzW8vSpPqZTIPsVqv2;fZXHvL> zKtJ&yCy9#Hyv$Pv*vlhz)x2OC?QbL)eKlI=Z%+ole;&dZ*4e!nzRv)W*NjFw z1$Kj!P>&%JHvH`GN#lAu3y+@;^@j{fP5;Ldj!QqoUi1LMD63!JB*Y=vB#-2S^asH{ zu|Svu%v^@`eBddN#`nK&d0_$6V6FTv+IP!urW#_z%Kz0bkFxX1m@b3xtHW0) z+z1MjW=Rm^_wriBKD)?2keJ-o2iIY9bjllS4w3g9Fq3O$b*bYI zSOVp$-hE4d1vJjmhXgq@0eZTPiWK`4bnphmcT2JV=zRM=r3PCFej~XR>mM?w=-y5z zYWoDa_FpQ^moHV0i&8I&mWcG8(tR_I>*$B#)t58hV2>cdjk>yMcBgbG!8h6%h5{Qf z(GlzT0qFMq`cdXMbxON-!atjg#RQ6g^jmIRsDSB4RFc?q7g}@1Z=k=jH!$e3!&TKv7-%^U)f zI22%p?LBT7_-Cr5R{35w@i+d5Cocxwk&nD1=<#!?@M;G*ICd&~NuRLr9%6sYRUuSm zk@*}Ea?UDWv^M%o)0ETLvC+7F9I*tIhxbl|zHwz6a5sftO{e6~eerUUG$iDkkq^Q` zbG$jNAdoLlZ4a`0=`#`G&wQ^^gre0ALz_*|B*0!bSaQ6!gLf^Bo78J{5|jn`EIk3Y zohJezD`oo-0laD6C7+p!_xZ4vf&{mQi?T~l;hney;ntI1o_;7dBzZz07tZw}tjk*3 z^HqlSS7Er{szrS~FQS(s9)F%2#yrX{G`uj%N3%iciZ`Inze0hwdi%6RWutmYuPisx z;76~N#38@88MUu@ap0g-Sm~aiOnDW&7I*2(8f@2P9JBxJb7!Hb3e>2`=TRDvRh9wuu_kt&Gtv7L6vc zt!dZ)1j+~SV?Dhm$mo7Q5rlAso=sT-z~T}J(P z7_kh6D6_5mgSZHW#4y$bf|Yu)(?=I1>sk5=l;W%KmzUlQZW8FU1X6Dul(25c_4q;n<(W4CUb7F0bmZ5QJi_G(D^!>*0=eoG{?n_m@{+q}M2GoR*04X}aCR^ouwqiKG6RbfvbZwu#Y>VHSSY&$LWp&9t7~Sq;f({W zEolwUrXZEw%m_{FXltlg3z(~%g>2;^EHgo(=bR{_suN!OhkN})Nx9w2wH53-#l`Wy zg%x7vJ&D1MfD|8RXfY72lNVTe2GvmcRpE|~MiI_rRStnnZF%)zIUq4qjMy{8on+my zy6%X+WOfvuUv%jC#ppI zH&s|<^Mq5H_cwtI1#$*HamZx4()aPsk(Lx5VxgE&;Pq}cDEzp|prP-Zw<%2k;o&9S zq3_$%87YmoG5l90T#UXzX>^c>x}*_B*IpvA5pK%fj#*Cw)g3|43;Fm?yIqyd(>^|y zcj2B76?}F(j!bLq4K8XLfDjZIO#n#Z{6SrOg~P;|CC`hw)PuS&zJRlw_G_ZLJ$K;u z%8s=}RfmI*V(XZf#|0%TzE~5A{{ALWWJ?>QpNW>%y>CEruorNRt4-AqXDfY+F#5&J zD9fSyPgj-PI%8R*cKfaI?*q0lm8kcrc?{@Ye22u(gqYz~rUjnz8p>7fWl#K{CO!x~ zj#O6t#NC2;$-*Qclg$ zKKr=I1gItTle5x~|Be;twNyt!fkg5+wVlq$5#tp2#xT?wfI2C@uGn$9?98Xn zL+!1KS4O<0hDDe5oU)?|?h=3JxVQ4n%l#fQ$I|d`_Sag`9N(f0UgS543q^+4lv9tm z8N=MSB*)!xlIiYM1A>=`(wzxuF=PC6_$NjA>Pz|`+ii7Q zdT!n@j;#Ld-K1C3JS8vwbaWlD;3D82ir0;|Iin9RSbu$iM4u!5%o3h^(D5W%`=y}U z??Q!ek4?;X+*C*cl^blz-#@3#->h#l*#13bKfq}qIN#g<25f^MZokCduY6EX;(xfl zb=L;O3;kMoM2CM$vRaYAfP1wrs-OH0a+ZyQgem!Qr#|PwxXs83U4Fo0B_o=C$W_YdF-~aPRrnkQDv)HzqyldDoQ+M|%P3&hI zMUTePg&rnIHZVA6QA!TB{H4)zQ`OKvcd}anp0I>y5g2@VtA8$lvl6C`bkPoropPZ-KDMZdZ&6QO<>~oqgbS_Cr2r!RxE( z(U2c0!zQvtef2^GhCbAPJc`p;`6lzO+KWv8qmEfLb0f!VrhKG5HGLh(ym}GD2&Q^{ zr-xadk|^NGwM^ls4E1QjB88)UlXvXVaefNF*oL`=fwQn`qc_oe;peHZz zVEN5pefGdQX~dXATT)l>g%J%(zfIcROqx$pi^k{HTRiM=e#87*uTl3tj=O}ttL|%N zf=|}k=G-%Vj#8(12!ZYVvrpq0V#S1x1N+>mQ@tiFZi49Su_Zztn$2wM zC6w1E<16o@)YUVGE22|}7l$M*B$lqLMs8IbGy?|Thq8-I+iWb?x~~U??X*d!lN>p) zSCQf3ihFAUrqUGLG!+0lHfrC1Wk*4X0-WPd;6R}zwD+J=| z8GHjE42vO-|1zQ6Ca=2JSFAblYSz%d&ve=%t`5ctri(Hu-p%4IxQZ5rkp7GHRNU;x=)_NfFJU+@T&oZGTcW@!jLbR zltFz^frG&FdXPssZh6a`)+EsO^jou01@Qv=XiFV4@tbO$1?#hV(ENWuDC_@#&@O(e z#kDkUAG~X}KPFektTmsn)f9K}afJMG`mG)KdpVhf z4%~Nrd&fX*M3AzFQGGm$y~@7}ucuV{U=S3yPKk6dbd%(5V`c2GXW!AJNOmWc@^aw9WH8JA zgO{$I0`IWlOtNO9m&#~4Fz%VQ6oKb zc@V0G`!7-NM!Z66MRC{f+XhZ`H?fqL|_*fXI#i zBs~w=wf^zV3nV2um$?8=r1*9^j$MAS(-6?$nN2Hiu0Al|_}%^?!uxCW`R1ns0dRAs zN%z45+aRzt>EUhlNw=Fkx~YF@Z|(1Agtcls2=8m3R7e_|-wXTVI>0xWNLx%ki;c5P zHsQ$YAatFEE>TA=;Wev}H&egNtoOS?kL~VHvnbB*#fBY;Z(QPmH?i|}D^IEeOK^1Z z);{Miws`y?`C+fr^#s9Fs<{le^WtD!3)kNI#bxy%mIBZiXo$Gc{W^N_(X|TBrm_}_ z6PV8{lXJv{YE$VW~K3l0FAF| zPA815>6-!Ssqrv1!8o3mqkeuIBPCVZfiy=4UtyGRHh3uKNF|cb#P8P7wnhXRF=ME$ zKi4(w7gsT4GpzVg_K945lLc_6O-K4eqea?lT*-P1t{M=tD5`|W?^RnB5L9rO#a-sJ zKrw%JpsPc`3zWdt*`fdKD{T-~I^GGQ(`=!BRd!*OpShOtx;kmY_sN*pNrsNg4OClIZASr zgIiMlRJr>W@U$)Tf?rwkhQfI7-C7#~pcJqy{4IU+S-tDt^9Cexa#w9qUU~$?r>f|s z@${OO<#E>nC$4J88_r2;Ub!K(Q7(_%;2s)wd?R?lqBIm-HDFirbmSztT|3Cn>Cz~k zbZy_Ac1~AdI^0PqC`f<0l-IxY`0zi^a+|oev|<6~Isyk4r;9Mx$%F~_d>R4QPkx-M z@;DjmA0=Th;uA$d(kmbCt4aD7g`dK{a|&~)>k53s%TGMI*L}Vr(8jth^QhXtA#6VG z+BV~BbSXyf>)Kg)m!WFvlQPnmG}ja!uk>25`;XpeRBtd6A{#rZF~9z*v%b6xzkPga-;r}raDlw24$Ch z6#Wu_YAO@(dU(!*-3VXundk-BnG`p34%wGW=Y4`W9s zfzcb8c# z%ebQ2*Td18T*4Nyy_=(N`{ndZ+aU2{;p0<*T(z}iHgduz^nA95dv*jrX+V>b7?3 zp0_aL&huV-;b_4JyhDd`0I)}EGgf01^hx}k**GS8?F`f}7UAwmv zbHY7cT=LAU%8AT!iQ7>{A_A1s#*wfhqf(e{`LN4D{L7ivxW6*66N6241EpxG3p-ke z7y&sfR(N_0u-&Q1zk&|Z*{9Wdz@_!Qm`-bOsFPn_A4eKX7g_JD>eR>Dv^Rgswx+i5KIKohC1aD$f>X=5$%}zZxQG^#c!7@StYKJl zSUp>%TJ3RtAeq#_YZBr>hUex?k@Yl}6ndtwF?t7~h;!EuxeleOuAEECez{w}i$LJ`z3 zqHhw`?XnxwExBMcnW`?q{j5^APq!{JzM*7sY}rDhEG;RSU>dI~V;KDkL+Lr9LF< zULD8LkwN)$30N8>%!Oc8;+}eP1w}G=nhP}ikQ^m`%;s*E#0GUue;KfRVLn8=o74pO zCE^Q>e3ZWqFwI{Bx70Z?Tsmp8!2JIPK7SdIO2Wa4X&oi&$-sW7=Uu(Y{n#lp<9JdC z=T+&Y8Ge%wbLH4xh9`}{(A4A$Zs7cu_>v)-_5q2pXwFw;#wq;fxO?Np+P5D)M#6Td zV}BmwWh--|WgV{-$6O@ZbDk5%zZC`sSPCiD`O~8FBOzS(PW<(HJ|l1i|6x*IX4Plg z^h4>>&lT=f-NQ9u_>N2Mxni$#vuLC_vJcGJ!Ltk_mnS_Lm;8+Gc})(#U*L2$0#6i_ zW2XOpX(@>qLlYPhxRL+J9-i4^ycpWX8SkcNv#e`-f4x>sKg%OX3$$NmI z-zy2`&^gY^1#5lyzYOQY!H*|h3<#C9c}6@&mWYo-wg1)xb|11HB#Zo)dK@aPjqL8V z_`SxBYfnz2X2N@Zw<9mjfC-NcuQ<(z36Bd;D=mi!uau%xF`evPSz}JK)ygCL z6S$>v?Ehahr)v}s=IUp(+SDq{)l>P4eBb*f{v(W#Xu8FEu4sM@q z7Eywp3#>x90cR$6`F?|AV=G-qGV#KB1tv(+jVzn(6%Cs)N(jg3_%=THlV-tV2Qn_?Us}0m*-vyDh{7=8j?{M#aOBK8%Wg`uBKLlrG@$uw zp;elnYAOE3y?qyUk57djIOkH?UzzYgFW_rYg>u2OCuMT?x7qm%Vs$e08}1*8msH>Q zJ{%c$c$@Q_A^52k0f_y^_kX4-&Gr_HAC?P((`Xu;HQ=k0-@_xIIh}1Lxf=Gmy@ly= zhefqbrCqP*#fIS%N0+H)2a#OQR z;4m%uer-hL72520>UzMi<8^yLAiL>;6VBjr@;x+=2U4_o?eRExebdOis_3{`$Z%9_ zQR>QLYR~d%F%v?|5P3*aHVWr=Q$aj$xWtjP zbW>Zg3Z{)jGhAiGB?(qA$No&&-rX+){p=iq3Q9!p0io6yq5!c9@K&)84qr9fog+S) z*@Dgmk5dzWQ|~;M=IDPbxB_-SDBBFLs(5oMAxuu1z75Le45hkqPetdi2xn`RILWl) zJm9|h{Kez*pNCQvX|KW$m3U1sMk~?$8aAX&YufpBHmw}Kj`XV8v}}xK>eAThr#NH7 zmoyY`zK(;8xomKrLJCKSSb>+l@Sm4gbx(grXqY!nYU^IB&3~g5K1p5ea{w$fck7Ri zZUrCOnK=x%G9xs-rOv5d2;w}*$O0%0ZDbN%$VB7vwz(BZD@eTHQNtzpRVa(z7Y5x#C6hnJXlybx-l`*h$|Yb(nvy^j zV86-C8DyQrY=KK*x~;*hxb6Glo>azr+Q+H!Dff@G-OUTbnl2u^EZ#Y1y?mxI?Q#D| zxWpBsCP9TFI2-3bR%@_NHskPpvv`SZ9&WLRa&6x!^1H1+Wc?2zw@_%ZD}=A^bpzX= z>?S6iqn)>news>7)9Ku<{%tis_8&ix8I0V_Rb`i_TzQfzef{V0X*L%Ld6_8cFlcelhx4EP>i-d!zN38OGjgJ_B^?M zuKehg;z@=$^truQ_oQ2GiyZ$bdQF5OTj-pH00(xDJ1^C>9bPF4{%5|~)5D>gg5>)`d7k&8Xs`4|SqFK2FCO`) zAHxc)m04(^A<~Mk{E@Z{$_r^F=bZPhc(fdEXg71tVs=pTmXS}t_WIFG$l&Ndrq}+( zi*R;=T)j?*E0-a;xRYl?a+|^CDNO31NNNA)yi#FGe#k*U$lAEqt*$4AC75F_>4Y40 z(zhMVzL_&B_Ebw2nL|x#KE)!gTT3R>0T^3}oIP)o|N)h5Apk7kfGl!tHmZY;ILpafJqb*B>-v zwkqDV4bi|*&TC~O&bOcCqz&tgoXBKVHm*WF?*+bb4K*Qj)sOwGzSiw&gB*%y#%AvXW%nWKu(*`(O&o%-}|@br>1 zMX^}!MGuMPx(4AvUYWHeF9740jtUuTE&>tbt|Zr=$4K5TIb*xuOE8~@sQ zH;%$;olUrVLETd1OcI&8I8E~@rQpxwC;=b2v!3g#;kRRN{c$Yn@uc}?8YdM7R#Nr; zJ8&n?Q`-Nc{NCDf#8KB<;^kIP2<@tT39BpV_UnFBa~=WY)sVlaGuBF=W2^~m)!PE>ee#xZ<=wcOLLK|HjzeuD_7b#Q zAY69hhVguGwEY&t=1WV%i5<**I94X~^LIV=yO=ERQAbAcq$O5Kv8t3JP4NOCTI#XH z9vO2B58WwFMbUPrD4G^7Pj}+}w!)w?@QG~vUij&!@{R!P%X6v>ZtUvYUsyXw7bFH~ zZ3qOG3j zxaO$~dbUQq3r5HDQpq*`TmWapA-mTVBpEBs89-?8l<$!~`=VJ7hjO%j2|82cap#S! zo9Z1TrPpjx4N>BL#fSe&Sk6!q3=uPN$)}(KUK4u`#wpi*Yw3}G>?8Sn`#%mR@g`r4 ztetRuPIOdjzLI{R`qP}{%5VDL7yoh-h8;?ith>FQwYu+Ob#(a&cqH-AeI9ces7vhV zru})d^D*A|LPfH7VSc3J^o5UTj!cm}U!?nNS@kp6NdHXkovA`VrthwZ2u@3yKT#fS+n$I17|o10Egm~hO}2@`)uT#7FjT%Opa{tOqNjOyFnxH^H!)uneccn=hX zi&?Yr^Z!y;pdB&jc^{cGsE4v>jO@p?TRbVLZffTIhqHV1Ey-MIb%w2;p6rgW*v89v z2QLXiv6F*w`Ul9F_>#wN=@Km?@V1DXeqV!7<4*O$;kZ`M(wlAM%v4{j-0Am7g?wq%zJ9aAD>&^8N0BRY7Xkp-B@7;K{KeU(L>{%2X@`+btHm)$Z@+#snjRcz(SmO z(3h(%QfgRlc>ROkUEZ&~e3|H{Oe4J>dB%H>|7*)|=<;4(2Swb2#P5m1e(n`3k}OU? zHV;pDmVKN1Ej$C(!3Ca%ap}^-zGQA@!`S%#>+s{jd*shnm360V)mnwh!?KaEZ1v_l zM#sxR%~l%uwuSZOI7RU|ykib{3V!$GS{S0~H+ktjC(1a1w3>h7(RRSVqG}Z<^_PJa zwnU+)Ib{)XT5L)W%>)foZ-{)6I~k1TfHVw9v2f+I+F$s+GtG$Pk7Y-HLnFSi(?f8o zB$^o|di7nwVIDW;*iTY9!aIIes~6Wt7s4>2xx~7-lb00f6;!H3g}4rL*2=F#!vBaY zMS2{39ou~fc^mMB8WG|tMi(wYK=Yg9&a?0wZ>ra~bnM2B@sxn0;re248B!N9C_@iH z-~QxbX3%2%x@#X~mR2iy4}L0$bh3LA;HWR-G_6cC61k^!r7jxrpzG&yRd~J6s&`A4 ztnry1L38LuTl~<7t6h<~6!^E+F$eDyoXz+KNFu#Q9QfN`*!U*CeNolin))k<*8SYu zWW^;L?ygjj)bWP7iOija9i~nR6AVA$;hnN$fe5{!&&B(==v$ zeE1mtw>>tEofnSs&j=zL_x6=eqAXfPlv8-rj4Y^y18l0e>5(C4zK6LjaRYmDmZm4 zLGE6o@6McV=dUIX!W{pizdI#Kdu-MEV$gqCsl#@1up5=$hLH?hAyLg+py6rzYk?@zm=paj z8C(mU7Xrj)s~1nN?)J7)9MR2pKLJ~P#rTJQ&~V2}_ui&Va>(L3&V6zFt@=x>7rb?p zkvRW8dwpw~tWf?c^$s>@IcgzMim!sPzNjnkDZ5xS_+%pKk7 zq3>p5iSTY~GQ^Qz@R!oy5}xr;t4>*p83x>F^bwm8C*H z?(BA`?0RXp`B8iJ)5H|U8QqvsXWiVGBh~yh_a_+17Cqwa3Y}?!X#Whyj43&{t#>YJ z; zu+4L5J$|JT$aU~5mw3V3oOZ}plL}NZ4wqf?G)r9Jo|3h)vW}zm`kHiT8F3xr+aaRs zr4wj94@UAC9e=@iNr1yMz;TB8rNF8wK!UuT3(3(v3ckpC8<>k^VN#QB6HV?QtWYzj zd{@v+yr`&54CK@4Xx2Ni+DjDYj0(SH^o8}$457H*=ci1NzFF_6W{rZL*CmSt68He_l zkL^=e5NR%gckA zZtI_W86#i*WzAb=@O_$_&v22Zal27$Ny{ErEz8`YQCSv@6Gp1HIUZixXCXWR4#`y}&GBVT0eD6~GRJuJ<2Ht1`-J+-_5wFe`8Ti;lFvTv zuMnOxo^9GAVLW3VudfXS+8=xlwsfE24BccN)Vlks(lgYsc!IfPHuZ;)RqLY?%=DAp z>$Fs1yfhX2aeuq6Iqqx9k0P6A_H9BU)yHxPrx{DRUF%wyw`#pzW%DLR|Ef~m zM(AWPy#M$R<0KpV63e{3sM-yuPJ@f!x#Ov&DT(4SQs>KVO7&bq!cV|mmqfj%AGCR=x}o?CQ8TOYLtIdyZU<%;6bD!+U>*!P`On!-%OzXnVDJl9N~ z_V!KPPHRd3mXb5Kuw4BU9+IPPULG)i%X5_?9FCks zH|;1yPFE-T0n<`>1Z3@8+GIWy_uXDCo9`iV>4Sfc%eC)UeY^@XAX(Xt`gbRF_u|DA zZOyYsT)HUL9qDKz9^0EHJ*Elm4Zo>=heMixAR~uEGoj;?OrYafUmn1^s(M@zJ|Y(P zp@Rm4{#u+CJ1!o%lxt8hDtwqobd_4!|It6`Fx$k(;&t(A6n@(EiS$onbiiux0YAd3 zqe+^#CGrMwkxPB)^8TdZm+X_GPC?3t4mA4mO=ITy@#b{Pcb%u+)2_QZ*xjI_e_t$A z7hk7-2@z+SbOfa1wt9^}+;vT9xfBfe#uKT=Dq|F%5bIxk_qkCvI zJ5dchkqR%KussD3oZq+_;X2`acz90mKJFy<6AtevOYk4-G9dl;DCIlo{JRVloPiG~ z;@6#9Nipc8dpQZCi1p#jUGaVNmX6{Zu3S3>NYm!6Brxl@i8sC~-SG5u3{F>okw~1k zx!N@T&@cFU1f-`=Gm@|u(ke@GN-sMrXxv9EB%gDd5_zv0H7aP8rYhqS?0TGO^L^X9 zR+Tr5+p#5!&hbp>|0w=XaS9*xwBu&VJ=Cby+>U zW>`?(SVWwwc|iJ|eFJ0W4y`gS2)Cv^1`E{MpxP z2C{XnUSb-8&SS}oh*LGO_$PH2K3^Z%UN7>Qu2Xc&fcV08f&X>&zrn{RsPkc-}dv5^`ue7L}ntFE_=tyjg zk=8uJADK4+_JwC30sfs0l2F7d2vv~%a6Ja?CpU)50<#TM+bodOjkP}y`YS1_rE(Z$ z?AqUSmg1A`fYO5VURfcKjuXs*MLav=3&zCiV9Rxdf+cs9&$1y3YL-Qh8iT87hij6) z!{pNL+V83}?D4DKVX=s2UXyZ8KWc0@(<2}EqXc$^eqFa=GJRU%)~*lFlpy10GQQli z7qXx#S&l0E871{a&OcI>OExv6XY}V8b64_IXG(H4rmV5>i~SMX_iWlWCgj35{-o|N zu=CFH&a)Tii%R~V(b^4|NXPx|55+f#y#*(!_m_>okCkMNjBSPgkz%SRyS<4X_!-(A z83E8>$D?j~X}j&x5sH%CRK2Wqg&nS0rW)FrD+)te9qZe>&?;U04d?r_>kkwtklQqHJz-0CCW$}kLW?{_j zkHM>9V_P9VTtlSsw?Olc&+|26YuSr?8);Xhpu)3wSAWQ z^j>fiOG^7^?cs(tb} zslbh+vVukIHe+C|Yz<@br-9apI{y(s2Vcyv}GI1~b3zluc2u^ha5KN5&% z{uk-@v_s3*6E~k8V0Y<`YyP+ZwWAgo-|Y=xi!bsGV)(T=D|tgm?3C9#Qi7FnN_V$A z2RsF8?5mhZ%tn$***|X1<&}p;893-?a zA$Dg$etc`06j2qyG;SYY$xUHi`ioncc8s%HD7hFcFC+v&Zd>o#*K$r&6h$Ot`U~Ns5VybB+}MXewH&6O@z=O0z?H?@Z+t1oHyq!M8N90W-2Zy@wptqwuoH9I;RV@j5bK@V!!P1 z{k^7d0b;-b+d7>>WCYak@(=b;|K$(Z-HvT$MWV!VE-J;@dwA!WXUl{Y3a2|4OL)#a zaWVzWB2J7B0rsu1&Gg64r;_y4GcHZ;f3qZN$6l$wH=)}_9B)v&2R&LxU`%a)H&^tb zDu;+KPg5H15(QR*x`~TjUG_#+eRW3ACGa*TdO@Jwc4pHt5WQ@Wv^a`VO$|;jCjc(8 z%$5*-k%|}T^ao=H?!VU+7TUw|%;s>%W7UT|&b+lnqVS_pDm%oAv+5KVUlRxMr@cWQ zF~qlA9yee$?~DOgQn9k(Yzx{#RR70j5&CxXyrud=mH}4u&S#Ih$WpS%2=AQ0#c7`m z;BifiBWp$_m&XTcf42!~uATLi5bq4erAT6c#iyb^-rR6@PmmVWUdD2G6vGgkBYzu; zK+^Ux%IUZ<2W? zg>6?z4*py08rVVXvn{HIr?@Z5GIo7ZKLeRDu=A5_5LtB;8y7Uw*j~lLQ*i^Y*W@_& zXQ{b#vG|RdPTQ^hVt-u%iiWPu4k}9R0_5QLYWRcl8cdN=Fr zlC~`{JcD)zFSO<*SJ3@dd~CtY+;=PRDykgYx(aJ?s_`A>WkQ)z*<@FtOGrA;$rW;o z`#UAf!)`VBUjA{~ukH*|gaOYU!yTB<{fJJWbGmR@mM!1sH1iDPfp0C7L?jjK$5@8$ zP3A?phO)E~^HK{}XoW-X$Z1mi0Tl`_uCi!oLx%fr9<~wdG&Suyc*LX@JQ_4AkV$W65QXq5j*rpJ_*}&;8dGnd&(eXFXXJZWP&@p+F50GfJwW(qY>> z284eeX)m-0|Ec19&XS85*X>Qb3g4EXS@e>V+i6uQLRA;EXW6s~8m7bN%zpMgu7w`O z;YN#63Y|7nnk;sdB@{qA6t0exGnnGS?x2p|Cv0|brVw3j-Wr<)hRO0;Slx8E+lNH?+_2p z>M%c3#}GL2DOk+Zf6R7Mgyg#2hO$IIJLu4zctUr2iggL1v;RWamTb%gAKwTpquq0r zO|Mcf;aE>Ah-`X(3aK4l*zV5qk#P+)xHZTjl(lMSb@FKfSlauijzvI+s%@>WDRja% z>;3$h=iV)AerV&@imG52&P&9_ zGm0%cXV%}>9i5Ue)v>}RcH+7LTGe1X$>5oDRk7RP%Elw{BT1WAeCy5r6{wj>S_BtV zvdc-ky_am=d}(}sXY`UYv3B?E`t_SFW%IJL2GLIdHB_DX%w-ggn8vhlNn1cB@4`hS=k-`dW`~tTZ&VEDiwghamHCo^y=-ak4ni4wp?BM>m-i3w zJ8}=uORvzArFzBMIkKB448iM)`eaK}3-bW z8Naj6kXV@7b^MU$B z`1)c?U1xC;sb46vPmru)X8ZjDK<#<`zMA%=~u({)Y^$^Qvw7PK~WS9Am+OAgKl=&X(Px@dvK$iKlKfo*5 zzU^V&1@FCP_UPo-&((i+C5kAiS#xNE(FV*81RtqT@(#F=a>K&kM_@e?+D!b z_SI`d)?Rt`u3T} zC)6x|uDWCvWtOV%_}pAc*m1PX21SdhQMS?U5yBLq4YbyvBlpXl>$@^bxIqTvKYah5 zGsZac7F=68xC~On?@&M~yg+(Ch^lB-7S8^m6aXPTTu`JRM)@X_JxN>gJ22ko zJBQRW22d?Zzy^HX0W6MqpHTg7o#gboevDlHE@Rd(=BS}|GdMu6AjM#|$;1Ek16}`r zQvVMANi}pfGz!=P?S6yl-Tup8YOtbYi48{-?U&O2csHJP;E-FnerDm>3;7)6zG{m+ zmtKJO{8#64P9*mTiM_ed#1EbMhS=bq`NVcT#((x%Y(w3|+fLDv8;lsipL~}4Th3CZ zQ{KN!TzO2Tj9O7+F3bjLhGk9q>a9ktn$Q98t^&nvo=W81c4tNK^wVlMiB(fp#_tr@$#nKL>D8w}la zm0TsH*hM9jReQJd*ONCj=F=TMs<60lR+ZQi{Kcvh((KH*&BTcE%3Iefy7>XKl?*5h z?3!O(@bVX^tvA?lB>B~iEVG>Z)|pFt(Y0Z-ctAk95S8P1?|P7SPZJrEs{Ht*!r6!UYc)PZHG`EL$I!MkTabW-`Qb*H^L zT(gGdVmHrVcAy!PmU@piG!=VVRH@mB?ks626J7i5{)k<9a>q%#dEa|f;FebnkZiFe z*QhWNGaQTQ1OA~7;GZ}A9cIr5e=z=lTN-wLxS#PEDu%l?&fWLyIYn!f8BY9*9{)>d zLs^;0W2?X+R*6h9A@PW7HReA@W3sS%`9J54iOAk+?cKw3vYpn??_}StM&WD1>DY7a zswaN5>%52NVLi|H!5KDh6@sV$VV#ib!}xQVW&H9X)E%2fJ$0dREq!Ka$&5|~Cs?S6kz6LmD;QIuz1_E?9E$!h4Z3h?ERJ`V z;BIGHUd#TF0@rPAtW$c4lx*;E4u)zY+vh5SYNreKE7P`x6K(|0Rri6W-4E?TC|N$Q ze)w0Ntjn%E`F}1N=KaTJTDd+uoZ-jWSp9?PpYj7^J}^tpC6$YZpMwn`Se8f4(+7dl z*t7Rl0y_SG%MeLG{Y~}`n~w8<#B>L17e!TVx36nzd`km`+43HtHW2e|Cd2z8^apY(Xag|lt z=IVNO?{>@us-4ED1R8>CgFWA%}AU8l}3=>97*kdV>0Nt;_qmfc~Wna9bnE&GIcAS><}TULYO`^vz1Q&xiv z^5ZL?q21bEoq@VfiG3#Tvt{_Yk@?B)G<~U6UaUy)Bw!9r*xM~S3h#QxztFS0O`UpO z?gIKW?7!D>PMJ75R(4l*ozom{|LJ-EhSHvXw*|@j z>CC1FaM_~0k*)Ph?NKftW6)X3-47QD)HzZf(%#s-t zhXs{-C_;D68%z*2(EjqlCE2-@`4pk#D(v3#l@34_)vD|gzQ_z#v;n2*Zpw=}dC;N) z3%9>jeY^6J(YPU-%h| zHrd1eLOk1X!aiF_(J3CO>dL*>JXS-xfENBv+>C%_=oDsg2iC$BtQsKsLQCdV=EvvC z0HBYPZydb?Suw8*44?Zr@8%?&1k<^4^)X!e)_aQ5sYhETqPJ~iC(*{`w4y&C`}s;8 z{84KCD@3OAwS2_4p}{VE>GxXo56usk{f;2b?2=BQ-8C#d(q#d+3#BfRji*+KvTZ4+ z%`+>A?}Y84fA!gUOr}r)qOIyoEGrlWNc|g!cy+e;N;0-xAXu=o8htaHMdB-g{X~)` zRxHl>n3@>Is1?8RI7Tz#;Ktb9%=uRB6k2bHhu~dE=#ntV86Lq_ym+%<Bs$UFnVP)hLytGN$&tn7N`AhU2Bh#26Wh^ zGuxj(VM@IZWrFl`IT166~ z0Ja>Zc-cdQv@-t4GVSb_9*p6*v(jEbrw^MCy~ua5Z?kAZ&t~s*g%e-7pe_E2W6 zy_255G#yqA3H!>|ijDy5X%@^l2PVf|ElxaL+O}U2Z;x}Xddh#Lj!zVMHfLC%L)CA6 znqybv&9*FZ(eL%ZYTt0}AN%<|i=Id4cIntRMTukU4DjRhyR0&!>>Yop3(%bXRHI&=Zv>AyzyJkir@qE z)y~U|s=rxE{ckn17#V*JZcAFVloKv=3IFAqcvgJUTHT0T{2bge^pI^-vd81<1Ii{| zu};u~g{b7r^q;!Sw4DPPzK2$LaCmS~UjXqLb{6zc_l78;wo&Br?kqeSs#ODdsF$fhPE>fVRWb9Ns|k&)q8s@-5SSltGCS~`u7PDoHJ zHD#GtDj4bB@%6Tksm5d-D(dqY$}L=>a0K#OhSTGHW`4nZe^#Uir2630KM{QRpxs8m|5*C=c&6L`|4O2Sq>y7Jq~wrt zHkG0rJKPQ>w#eyrx-nze-XW(P%lQ_gLI+~b$IY^k(?l3!Q_gILv0-N4-M`Q8@&0G; zJsx{J-n-tf*L6Lw=kxh`UF>^oU_dI${mC9GYo_)ec(RWDrG8|#G-udLH(ss?9FoVUn?SF}Wr!<++;@gbLfFd7Lm2K!w${u$A%R3Gtj9j{ES9rthldFX@~SLl93Afb5DNK zTz{s)=s8#mgd`T+a)#^%xKeVU83~+wRXd5F=sNR92InO1={B3(H~&E((gBAjem^!c z?dgiJ@?U5jEd-?`(HMAow~Xe5TFQ*yLns_n%usPy*lshgno4j!OMLG7h(G^!`PaGr z$6>lA`Ot#acr0lrn%3Lud9+?x%a}0f&5cH_DPUHvA&YGd1NyAxmp%6WhBK;K^B$Uy z%#6WXN5VSZ9-lyI_~qp|p5_R_Pt53+_|IBq%}63R4^qKXV?bj;c!Y{$R>XNPa0o2L zmzziGdFk&}Nu1tVua&turvGM_j|q)*J}e_hwx)gJ-lLANpw<3~jWXRy8)q}_cNJyF zv+zQQCg{*0g20xfU^0KB~A~N!WOS z(@6;mq4RABN{uQMiWpqb?B=7#=hGZMRn}7es@F?+al0xbLD&+WD{w=yjuf&ikcf`0j^m-hv1Y2c+v?X_4xQ3sL9%n-G5(>=tcS`D zni5b#-7?}wtYeoW=hz^&1VOdw?I41){gygYxes1{ceIT`hNy|s!qaJAT)~oa0L^q) z*c3jE?P&BkN{X4?dSf}q z1;gYBRfPf^lKw(exX9~p7bMVx*^1=XxMR@npAZ^C`X!d)IsSD$R-IB-5PpBE&R!Jr zTl}%1Ya0$PAs;H8ljY@TBRBL$BmRKiA1nAWD?-ij)8Lm9P zcF-9n+1yT%bxd_nXC3;gT%Y)XeH7h)xFyQbg#8|3mBu6-sQ=Wa61LRET-V3QSuONF zD^eggVD}zeO$!~K@23@Ld6f1#5;KU`aLn_tuMkCo$UK5^CpjVA@ghT%d2?x77zEwP zB5c_Ox@ax`H4)tj^aj}oXt)t%9JfV7Jher+#hJ?EjRKW*)!tj*;u_RQ4`Wk~>rj?` z{U6I;@q8I{rV*P(zdFd-(9{ViatRHeajur7Gp(*Nt*@{4>D!A{v7R`mw=H6A^KTrO zUw9uSXGzWQm|ll2IJp?D%qBA|*7tvMe;tfV;xZy5l2W{Z--*B+Hkgo~6)<4>~`zPmBI0=HZ!2aSPj`dyz$1QP6gbjc++7)eBW= zSBFq|&YlKjmi$!f&McVS=RPl8v142K9o_A3jvr<94q`D4dZSW0-9o_qO>gY1-M$cb z^fmhZ3EN{qe0$SDd<+xK7?;=jgKz|4stYtq4QU?~+0>dSuTQYyoPOYvwV(FDg?VPR z)?~2KR)+gKLTXQ8z0htqBAUA_KlbHsVB=yy)eKO76AQDLGV3 zh-`bgm9`L4z6uArLz`}r-GW_pkJX<+e*ykOH?10=eN4fWaK_Tp9LDiQikId4M>3IL zJ#9c%hPX7Dv|y~C1%`f@MA_JN43ghy8+n$XDCp9n?{(suAjqpvF;}A)Yuymg&DVD& za6nOM+h5Okcg{%2_jz#cyQKY>{U;ZUUc~kfjlyRcmMQX!4ujukeE;%$B&U(D1WdGQ zQWSlks~RVMeGXQ)ZQiq~sz^*J6aJg%8~rSJB@!&zyg=*B$aOQ+=c@{fA+ePLKn&U7tt_YL$uk^W5 z>O^OEqDBeo1cNPxIb22f0enyZm}HhNNLMirUtv~@dcA^*2l}7gRUF2sFIc@Z2?Frj zRW&=x;%7rmE(A#~6usDK`uFqB+{mn5*$m$t;zjjW@W5(Oe?g&cG>0cy)juFt6$pL$ zMt-N$zSgVIVmqce9H5@zkdD@-&D3|@WHLliH3JCzS=1M!u@^g$LJR@m02jylKIW7} zq_l6UEdeJlPkB-?PcByW=#fG+M1w<}2Mm4{*xNN|c%f+F;#%r0#m3Kx!*3aXWUW^8 zLQ9P2n!Apc-zrNrwol>)xP1@#wxj2|WHuGq*~H#!wV*bI5k_k6)|hV`y> zZ6*J^sauM}-rsu*M*3cJ{M@;a(6Slfy@w^>r7!E?GGtf?Pk-JHh};giKR5DaJ-Cc6 zvo~hUHMZphlxto2nWL8<4_eG=?a@d}!bl)*s@BZ}M1knPG%ju{rH!m9T7D|nZo_Hd zGb*3m&btu%A+hc}k98_jU5gFYC7aRSU1$s#>Hhf*af+{jq7W z!2#Ps&;gm6vau)n!Q%pygb2Q=khrWQDNZ}Ain6^Qi&&!;n-%Pgr@k*VK2NBZ*`hvx z*BhgXjUv@+MOTGx829pi^~LeupM-3ME$UUa43K$aw}ci$Pr0H1JCz=KhrJNO zzGDDkocaC2Sc6#4)GeG6dUtgy*lf}s{(8@vd6V2#Za>SfGunbjgVtKR&_?*>e~yP= zTizDMMOK9T!`BQ7{k?XR?n3>*;IkWotmQDU9T?zE9T=u&ik zm(MMcKW1p6qhKjjsmprY7q9L23+AG`;^LmJ6JwAX(!O6oaV}n&|H3D=CUMEtfurBh zl8JN8!E%i?d`t7Mq4EL(jF$^_zsYxXfd)u|(92dL%G6+w+y(NYt40@i-#SDDWuCbk zs)J@7g&jk)1ncXrAA)Pt$A!xoinrKTRU|lHAgbfPo;&h*j%DX2Izj2DjTK$d-2Ola zP^e`*yxf50s?t4`3KlpQvHnb$Oc3t~KuKZxDtn^)buxC_-?c?8J~bsn3*?H>VPdCv;{H=icA8fwc8 zpyADJ|BdZey!t6$UDpL6oxI$!Bv>=~59oQjgj@3)&^zKQD_zj;-O{;7!e`O}Q`n23 zqAAZ=mcT)&y7juEAA#MIu~gDn{%}feyK>ULZWhsypM6kkL{7BE;x#KnXFN=<>!hJo z)%yfFHDVxM1W<|tO;JEz3#<~btf6UDsMeHzwDq}CMB0w*9KvykBZ;;Zgq=r=9%ZJ8 zEnRg6{?27+%{!K{Ot@dUPrh|?U)dVXdu}RduIcT5Yo0rOyjmi6W%+JAQs4;U8PugA z>#SVoi6L(}N5X*)=^jR*dEd;t8U5AJ8{B7ww|OB4bb&syPvLe0D;RI;6zId3%u*=< zjJGBH!he}CW+ez|!RXPoC%HuT*MyMK@D z=Yr|Fpm}OZH-j-?`Nm(qlx>33xd4lY7@ll-ST&WXY7I6m|4PeGp|LAk<4GW-BGJN% zWdcN3m{aX>_2*$J5R!Py)2g4XN04S#W}8ZTS6kDvFO<%7upbi2u~AEfnXO4Dd`5C) zw4A@JAIy8nEYJwq6VmddMB)v+drSNBX;k=Iy1CU-gMgO87YpqB5`>R-K@T6DLg6u7 z-|a1QO^4uCV=ep<;w!mFZxYaTwbrslz(_}Q#Z9U|u3iP!1Zfe^S0@(Y2PAzpTo;bk zpF-PAREsdk>T}kAIejke#jZ`QW`tgDqsLi=)_fqnB3_TSRxYijNs6k9l z`JOKQGNX4$K_*FeTYnyx36;lV#1~_XRTS76iPoom{axD%1xS9Br@mm5ftb(0@Lslo z^%s4@Lf+*MX^}{u>x3{hLghV|G20bAq?T@{kr#V46V17%B06j&sK2Yle7&n%-l;Wp zbTl>K@UovDn7}@^W)fA<@$av6wl+<7&sH-_!z~xI(!dc^6UKhqx)6CA;vjIO#mH;( z<+l35oy~Ott(j~!N#ImS^=XEC11PNR-3_Yi{=;v-Ecrh$Y7cywN4iaBs zXOe1&$Z6WJrRianVBx9e9yTyf^kdnt%FwvmAgjk zuu)U$QWK)Q07@6xdS|;P#0e@j?xKV^SE>y#Klx423TM2>{6pJ}bV-sca8kn&r`MIA zt9-h`K_!XxKBhX(zJQTPlhzdGC$=3Huvz>4o*(4#0Np1V?+zNeF?6FIzaLT9mF9K- z!z&J}eAvbN_7Mc&k#T63A4~aL?z%MTrPS(c7BpY7sy~7Aa*0aV3|#IQq`j7uVzxc# zihPmqj4mz3?34?pG_9|5`&&cOM&?%@Q5bierU2y$1AFW;uwQcd`2bd0A=narK&lBYy*w7+~qicp3sZ3@?^kIN}Pp|JlM4!QO4y zKQr$sTUc~Yj?LaP&g8MxprG;DaOaVY%2&*rV5iuitDD%&Bi1Iu91Z$Wn6#-F6#k0tiv(rr1fL}#=q!Nw<#`j*K9 zert`2`&Kh=!y+?V!P#!RVVJoJ`PyL=y!B+}k{>RjZ7=An3i+~939Za*YfNiM1$AZw z49@n9PYW;I0rh&4Rni>6txtft-0N`B&EUQfZRMV=LruAlkw(5C1GB)#wJ|>zj`RgY+ zh10z|F~cz0;unX2xY+I;E?YP+BP5zblRG;zpxL*TL+&!^gT)Q|os0(mE*!O~<);~- zh?wYtJH7x8V@stRHv9!BU(Fm-Q@^mR_wSO(Rj1go2qUzqv}5U9l)d?2kVJ}E7xDNL z52O&LuX;{Kr@mc$^?fR3OK#>dJ=VpuqxHvl>)TaRWsTP&Ft^zk1IarFaubsssuC0Y zUe!ZT=O$Q$Yq|N*A8hj`idk1&g&}9K(xWt>+*%X+`%2XJ@z&pfet!Hu4D7V_f)u>m z!WHM@V(YOH5qfvK}qslW_&C<^8wuA9aV z0!1Gcv**AH1~PtPGMk%ttvWVioCB_te!VO( z^~)EKu{~sJCEWMm?gE?Fz;sT>(&+mhGZ&&KdZ>J=MYQws{E>;{`PQv6;Xb2?{OuI( z@S9ld@F~v%?gC%5JmG27b7ulxX>Ge)*#Y>Wn8@d?^K=rgb!acM-#M zcw7t90TefV3jCs_6yz;Gj_KsV5TvP61)7Yv_Oaa%Pln(wKj$oW842s$TB!0)`GvA% zFUVhBHXN<=*Z6A4`Bv(VarSVC`g+YvN3mNH8)4}CWKQbjvtj9oQsR^OwJjFbfa+wMaitZG-!eMY9hH-eLX#81?(Fob}Jr;X!WAplKrwwdQ!&joH`;;Tk%b+SlvuA{uLzKLgb_N%NM1k5j&*&jMc^} zmxo$TN2=tZS+ zYekT=h1jDC1-H+4Ch^Oz_dT2pXAc6;-SGu7_hN1)ti@eK)6{rmEP9Zz3swPklXg)l*)v2i z!Ai4eSom;epFzF*jN3VFBcX3Cd1))uF@2pk$HlT~?M-O)lU=Ti%( zt_VCRy3w>6$oTIo(xbG-r_i{Y`tKbVIL^swdZuSQFX9AbHe{Fj9iQLNq5Kc9!3rS- zg*Rgh=4onlEdV_-rc^IE5l?*XICGob zS7QuLW@_DRYH3WEe#>EpZ%nAd*FUh-GaI2hut_{)JR>*eXI%gGtA3ZXL-m+W?^+Xm zp!D>n(C)MSHRF6K>{P+{=b1eI&44LC|DTvuUyS8LF7U<#*%yj{mEs)z{6iK}nj192bbE>EEvmfc zNQ1bmtY7fT3K{Ypm$yH@Zznf~s#dr|4_v>Ib2A|+j+LMX#K?4s`IKtA5q@W}9h=d4 zU4{MKfSxjKRbS0!KjKH&PnPC+5qk^T#OA`W-I5^=mNul}J1-_6#3<^0dr#QyR((lG zFc;dw>#U*6lr@4NjpC9{YEd8=#a zq_A<8VOh3ut0LGzCv~bQbTM@;HCLo82qJ0FID+tgrEu^)3 zrfE?sHhd*Prq0_>pDVQLP81Pbkosf6mA}L4U(GQ#$;>%3;}+o8zD;DMJ2hv%MK;Zm zARWp4kabk!IQ3rSrb1IBRgS$I4C;7L#&$a^x5!t1iZW~Zh9zU=U|{l3!Usdt5?P%X zg8yfrlN4Q}^HGvBM|8*6pP+`j>apjRzAD8b1CMXsfaeP_6I~wGSKiODDE+ z>GYb7!h6)V=mHDh0Nj95J@3p#F~b{G6~6DxJB;B1%@?9+c6TQf~UL>nX^;34_@ z8-LHz7K62QrLh1Lj>A|{HF2pIm}hX9Thw5F>LdK1xyplvM4p2O#Xd>hy0c>0 zZQ5Rp^ZwxLb*hjR9Uv6dz%hj6aM&9o!(2i#FFl4CaGAZl?49uk zJE<90i^Yoz3T;=|7f?Hq>+U~7w?BHC_tX%0=yyv_XLN8}oV#n{~&*Ww*1 zLqN%C5L!V%HbsLl46d&~t2%FuBDf)5KP=a%R&PySKeAam8vbl?pu#&dWC2K%GS*tw zT-}E#+`Y5sZ#7(s&3%#$yN(m2ev5mnIC?j4$RMm88v4(k3uH-_l(O{ogl~lFFh8k) zH{tkMQ?K%9tkRG$GULLOi&ba|PYJ(V(&9Ko`vzSQJmP$&GW<29<>WAn7(={P8sm>X zpfz$u;vBQ_gHtBaExO_c6}XABzre&sx5W}Q_?_~I8iz@5iD`#P(ZsE2Kg+(Qc-B9= zaPT1pruFH@YI|!F<*EsyUFyee6#J)!!&T|-(!PxJF-V03-K3qEo$xm-1&meNHoUgQ^r;u$*WLXI+~fTJ2lx4YSUBd}6!L<3W=Od|r)!y6P! zwQ#a6H+W=C-KXfbRCo+hHRKjn|1OpyWSw(?`4N(lV0=C|4I#I1S#v7pjsIy^me71_ zU_Qi2duk+lM-O}VKNjswwA>BqU$|+WP!p_hwfl!sf+{w1yXh5r>rL%<_wrQ5O1HQV zPALFwIIvbitAw(Q-%pnOP@bPwecSzyi`AF~%%FgfRo|Kh$0`%Q;Wv#x=SI{TmG$yH ztYjn&eb>s;kf}lRIOLybvF~9ty&K8R>uWVAE5B{v#vSqI%9k3NRdpoDspj_9k&0!} z6qsh)>8Y^CLcVgPK3S|ft59ra!zDCW5U5v18y@!YkQoctbtTIv1uVI2_~ou%y0-vZ zd(-yRZ{|@hOZG>LD7uC}LIABG$h2|wJXU{IYp!m3Ds$qu^P1++=iVTH1Tj|?`L zbX|3^9NDjZV)|n z)AtI_XzEh*6ao5#JcS=kho*YVm6l#3^I@Lt%LhM}Z_W41YUPEf+6th(gOI#1j}a`w zx!_B$YCs?D9ier6eGYnKCU9R8>fQDAB{V4mspRx>=of9Xx0vA=PY*Rdn2=jp*BLC@ zH}<)J_^~6~4V^<=2q^*+gZdC+pv4Dre|6Q}}wDNe+J1(1L*wkv`OK zh6m{H(&z2Ef4d^c)qri^=~0Qz-jvdd&-}mB8^$M-=qQP*{Df!W`+BUqT3AI5O_pE$ z{#-8mYQF|Cc(x&yU*;FXqo}tgl2T+hk61|gx&QL!7o*gwQk<0Ue8idBzfty%V+F1X zgTAkRN?>nLSQ9FHe`yPME|(qKrHOA^BGq*lJ@*rp8zX&FSb+ZQG*+#{V9uob78M+m zy7qok{|qLx9mKO=Bp@6$O@MVR9M6>`dW>o9svt)7!GlzJytRejPlWRJ#GQO?;pl-H z=GkH=6ZgHtRE-`z->$KnVA&lwLa|(|UtKsr^>Qt_{>YnS|0HHXQ~9|{Pqts3eWPUi@yRsyQbafWx#>BUxtF4Np;>#{Z9-7{n1i5cyb0j7HI5< zx*n0r#{pEG^8b`qBCd~qMAbyCYQ3|)hO#vgNQTYip#+$o*&klh#f)5iz41b(Ab@%L z`Mh>oFO|Tn0+3fZvvAjZEc7Jl&wikOJrvZ-(8usm-5M2+fWTGGJn#K|iM=hf&IQEsZD~>0ThTSn$)XxO%$f`@-w*6?>pA#?d=(_YLOTtbo!PVa%*o z5~A%=T4*nK7isgQ2pIKDz5eaJ3@%unPj_IIFaiFw4AP)jE`=n&)B_Y2=*9KtQdNM? zoe7;Dt$+h7=44_rJ+Qbn9u=F`xTktON#F&D0$LnvLJRRwS|#+H9-1%J$6>e&t%OYw}25Le8o&kJjI4XQu*N>bgEpg8n^1 z>*qRo8SL6L7a`=$aV*u?zI&e@yB622bNBl>MQ%p>%(Da29vV^8=i+66T3W7NE`2w7w6<4EDuCdx^uR+m}VjTrfZB!i(y2k0oE z2>T2XIKh*ehRbhuI{`zsl*{2|lpUvL(=hP8P>J4QcC>LlA@-dpT=ri}e$wl3PdtCM zcKEH~BCrJx=oHsN4(nu!jXm_gF&5+R;ku9&VZ2U4bW9kp$f7^1b*e4!4^|dzKPfVX z{#%5ozp^yGy`Jh9S9cFzY2ht7W3qTd8eIH%GSThI6{zZ90MJVP74nJ{h;F4D-uq(7 zFSP5<)ma<7ax16dwf#*jRbbK013u&)H+XD6>L%7ICjO;*345^1!X(QFDd4UZ18eQw>xTJpJ8sXi$Aort`K&$i1sna2UTVhw6VDGu#jZbVf3%IKHu#porBKs@;gma6Mn;nxgk%Rrl zZwsh!cw0d^;I^MT5^&SRkn${&>XQItJzc>A9TIF+=2?LV(IDdP7%c?dAQh2Rbp=m$ zwealSUp8#?l!Bfybao|Nr)!O|ke?$dJu`rZ=@|qip3*xLIsplg+Bml=BMmQ0A)jVE zHUthCgsZ*xVAZ}o?7FdE(iqS^5OUP`$U3I1k?t`|9NbT3$31+wzt)nsZ;?l`N`;0} z9$~&L`zSYPIw&HIkvBPs+gl!GR}EgM=PIlVLC2Gbf8qSm6dMy;NggW`1@_$;1g3?P z-4}%MdWW%)$|*EFp-EJJ;|Mp!gwv`}gnZh)e?WpI+5Zj)E$)>!lNtQ`Uq~SEAXQAT z`RN5{p!~7j6bi>s>I)7iU??yjaGx6qk!i7nrz#Oh9y$ys`-;1cJ!#R3|6^>VZug~T z*lkO{JcHmds1f;9%f4g5phN(;DVWOf0Cj{&W3>mL*TYXl#+?i8SZHn{0GCl)v3faK zky0TxFm8Gywt~YZ@xqhf6gK%T_>RbRMzJuD9@Xq8pWizP;&s7DfMfF;wL&(Y`6_dl zrCI8Wx_Wz(pxWP$j=`k^|9!aJ(D+xCNyogX+!BP{IU(;j8K3uX68PZ-`rdiGk*m6T z-g;@~D(H_*=K%fr%gCK(#I5;R^hj?6z%g3RY)cu{ZyKjMui`NPXDU-mQ?k zc7UmO*45t=@$m)cNfnx0Cb{PT-gkPtQ90}R*BHWv1%JiF{_LF z5^bs1CHMZjatJIuCw*#4^bG5LEK2P8z3nqwD3sXl6~J|i!)UW9vYZS(4LzuEyBw3r zeAfC6-KqJqNpscQ@)HKVyCFZ~Q-Ol?Zs}<)HZ*p81%(oeP2Q!s%0`J!I#?rK+``nF zOZM^F&4RzMvHoL8jnZNce2l;GBa4Ja=@>mEI}lqh1D9Ut@uX>od?Vb%7Ab6&>gAXu ziH5fUV3`e#ZBe(uowM5-CCCk32J%bCo=o65SFA+!1*VT1RwA-Wo(1#oijvz647g1- z`~O<`2_D(-17CWyUIqP$w;sY$AqA`2zl~k7I!qs&02(aAt|O}KWFsIEob9PoavnPSwQk*+?r{WQJ1mnHow{`?yA%M80o+?sW8P=oQ1db z`i24_>L1VLzXIuidLoNkr)W zH&^JPa%Lh=9@eG(qkYEhx6%##yn1)cO?hnpp50$@J9(pxT^ClhaFOsY$v~c;z6X!; z&~=p*lwjq4_+<&8cueUO-=neZOH+O3ZL`=S@S4K>tHyY*+1+ZJ8Qnd*Z(#nF_iGMI zCctUpa*mF%jO7z*S2N-y+p+-C%@AFt(N-TIh(qsPW>Xx?V`P#}b7asT6(#C=PcMeZ zCmDpj*VUq)qnlHP52(!oh+yDD?)!(YS{!;X7jQB-ncQ9rWOB%KN`mFdz!`ci3y&M z>x|1~oF+^b3fjaT1@XyfJ9Zd%qJu5n03{RB2$H=kveAhsc>&;nW0cAoLa)ZcW&j>eh(F$7qs@xC$@iTK9=2X=xY!dkCXH=DMM8G#I5gn4oTLs+A6RoI$S=v zrKfZY?WT3ki&5{CM(}CPj(31Aat)cs;ZYnzG*^O(z+*Is`*`F<;-}GnG8-y)YQy24 zVi9SOGBJ`lzym97PCSpd3$Ff}GLPOG#~rD3ll+f>5=VbIOp4>Vh2Zf2_?D%wr+l4U z9faz)K5h(ZFvbO-k#C^~>jlx4YQ_`CeN`?CEXj-coCwZXTTm*WTJ3cSwIh0GTY0zuutMyf2jFIhuKh`$E7=&xfJSJ^?T)dB*r9gby&T_O z{Wt!{7=MGq$v}hUJ;|Ct+nG!o2OEAjp>7WVH)uiZs45#6H2S;5GQ?!tVBG6XG&7pc&Oi|v1{|Zek>7tk4!%qVWP#> zzF8*FUzNHEdKL2AqU^NS@(QN;t0JP!g(a11n?43;3TRmEN0?QOi4Ol=6UHR^pUm?pRsQ4$O+-OvQINy6p5qwC;ixgj7zqm&&b{n$%Xj8B>y2B-y6DuhZ+RvgFlh4i4J=M zjgd=tT6qrilI5lcGdF$jKJiv)O1oFfSlGH1ikR+Z6zx?%Oh$(tSLh9Ou=m0fCgrqmQB4{7zX!M0AB2)dlze2znp! z7SpPb1n=X^A&FDUOFCzn!T-72k|6TfU1i*!{Ll)2gdxL4g_kW~PnVx!hX<4n8$K{b zZZ*AW;K@$t&u&&_*Z9iKT$~CbKL_8bsk2L7%CvAZ*aWD|E#Cr-9A$3SX3pn@_xZ7O zT}a#KFYU;qk0)0r9}QVrF#2LHX3qF2jf(lyWi~&i3y7xFDhhWzsgNmXey;oF2{5Yv zYwUrx=B7SVt_7@#0=Q~dUHy9!hMs|gv1wdkuen8ZMBl;&%Fl~BeSof8YzT-w$?d=bLm86OG zc~P`*NmoWgZg{-ct|D184>&2DKszP)T_6(jKac>&p{b{LLuJq-7pB_qqbI2Yx5!S3 z6<3y4&(?p1?8|>S22&WWP$wGWEw-|IoE~kE?Yw%E@S2tSoYHGZcLig}T8rNB&`n_x zLwBMoPQZ?CoJq~R41r{Dk#~SsFjf=PWE7EPfmGHgIyU-K1EloKP1@V-80t;tq-gQq zB;aQivAM%0Z|LdU89NC!`5&U{qhp5d76z=Bwuw`D{aWI%p2uv$pr~g9@W;rk^rjra z?M59kx|P%$e73`0qw||Q6X&>{`cuY77{axoV)+@`R$?aSN<7xZZ_;&=RKP+6eIKco6{$Vo*(d1=-BI2^`lg7Qi`Mj}nHM})Qgrw?Mm03HDk z+EfRQm(Dhm<*st$5V|FL+PM$kp0WDDI)utvM;~y%w=}tZyMp3zmu>%yyeL6(LLnQ_07o<)FE5Nt%DOvEX)|^TT1iZde4Ia=sTv_sAL6Fk9FYIEu zWc!WKA1OPL#Rq=Y+^onsYZMv${{yX(cg}P5Zn#4 zS_$)!u$(#ankN}1iR|mDgGJs&>bq3sUhdTJ@2uK5J#Z9@3+s2&eZl47uYluHdv`e^ z;?wOeX9LvTUr@w0YJVV%YThRZ?^K4iY|EY7x1tN~)D}36M(&7YOdi1s%)&2=tK>c4 zM*7CsdG3GHFFmj^!*@JbbTxGymVz-fTVXmLF4UmBe$%@d;bLTiQ?&m&CV&-<-r}fj48y{*aZuM2mz5m@m{NWdLVcQl8@^DRXH2Ru1B> zB(~w`CWGD5?qGwR%3b{ryh*U4N=`+dj^xh^PE1ZK&;$J`Y|89hDQrr25F_<1d`$4m z9;#3VG?mZSKem{nM#NDbu+g-Ucr=(TF#Ou3h$3M)9{2g7_{5!td$%PTB>91e=4Yk^f+`rTq3+B zD2kM_zquP?*&&dMC0GFK4&3ixzPaY)<%9Z+BRJ*rA}Y^&+T+Cs>~6t^8k4`pUSD9i5-E(_(6Y&w~z6z>9u_p(M}mdswJssP_YZ zQe4$jw)1NSW=*^|4~Ryoivijtm;N-!Ub#k-bQ-z+Js{Ds(nIJ3@{CV;(R5uV6ri}J zynHJ2!{OYR$M=mev(9{qbs1VpF)qYHT#oO`>=Sm7U)&nwQZ2jh6c3IkzRHo;8vL9V zrSo9-$=XCWJfwVAdUO>--e@x4k^}=9nUSv9U4KwMbrj-0pYMT^rt(wq&3Fwl2jh~6 z8xMzSAMgE6=nr6J9j%a+?9 zg~sK>P3WPWhBo3nW7e3d2y2GWm0|xv=sdX_LRVq>;Xrq(`O$Zr9$xx6m-1nRZ$4(W zwZ3}iT$CJej(7>bD(P$I$~syvjgH{wl@b+1-!UR*+Fx*vW~w@?w4#-kf+`?RVl8G> zZHWa}h#>sHY2Rb$;KM=_WxytENXaWetN*3$sFJh4IM3*ABI#@D+8|gjhR#2n6tU>B zBf{gT^}s??=Lh^q&WGphDsa;f0w(QJLB0MCbNQcyXPY8V|3tc4UlMP-)ZY2tPPGe z`AuY1Kxx(2LXuplD2m#~wbjQ3Av*6BI7egRUFqTm4|O9@IMe?wc;!Y=o{VKg8?Dn> z*raeUzKVQIzK5l83-gf{0$Ogd{L%Ez9RBs>~$(oi3g}*X?ZF zP@{=B6mY`0Y#ea0vNJlh|I!`X^agnXlTriiTJsh{>2y~;TmNzl__n5kZw{2($C5j{j9e;j?zSS=iIq0wX^pa zQyI>Dxv@9k3&4yHr;en0B1Kt_^aI>iS-)u;E!7q96aeNuh2%Dx7=C&X>hCbQ1ga*y zGf9bZ8E8Ft<{)xk2>y2|2ZnSS&_uw>*D@LT3x*F0^()ym88U7 z7TH;_S~N#wKkCBejBAiRc~fii%fR@T1Pz;ERpI3)$FD`qI}Vav zZ@jiIS(m6)P~{%EIS+Zd_hVP~QFxzRZ*T>Da z#q;{OHUF@3_#7JYBO)N9RcQizF2uLXz+$dIWr^w9{E++glHaEd_3*ivhixUHLKwh8 zb^B6DO>8LZ9Q~_W5~*wLo$2P@BmA_4Vb^P`2^kPm31Ch(d`eLXv&oA4x(I zvSr_sWs-F)a~F>-LM1cSNm-t(gDe?LYAj`|5e8WYV;M6T&0q|_+w(i;J?A~=J@2{x zm~+p$&;7^Dbzk4__1Uh9cfsicUhTG0Zx-I8j$2)*hVwM25WjtXy$n;ttzVaaF`@@i z_*3YVVfP!FZ@IKP)N#w8V(M?Qx?GOsnzc!JaPLPUBW3W3pq=kQ-sUN|H5>jj!&U*} zK8e0el9{tM0irAy9Iu9qqV4czi)Pp72;e!lo-%~J6VJ8w zk>vrW79$g|?pU`U)Ex&#J4*e>!sE3Im})*y6{IKVog}gnNZw%KySIO!fSBDA4<7hF%i1T@oJ>U zs+aw6$Tq-sxUgaWNRqJ3{GSw>>)Hd8c0Z{a}_9p0~+WgR`14on}9{fwe;XfF8q$_c3WVV8`ALqer_Tsn zpN)N{Cfa`&EU=Jio0a2Jr+#NNXHz+v_lH7^$%qGPCx$6ihB7dL;X(!52C=Z@OtMej zgY5(0>Wu{h2#{eZK5dJHi_{2^jVcfxo~C^=5ZkjDN

Xh3pRWoCaL`IB)14e7jkSA*9^; zxj@4V<_S`uCi*Nzu8`Bmrb^E$N*3Ss;toksGF$jZ&%31k^!wwU3X8RxON2oGk81|! zml9_c+zJG8`pt75rMAG6KIC_jTsK7}EGC%Dxa!#IOK3ob^st4hb07D6jsAl5-9x0e z`ERv7Qs1rui5sY0(|hVq>qN@_?~hhJ^#vL{OHJq0IQ!&Z2aU6PsDpZ|fK<`ay4V&n zV|x7j8S zV8i~j>~pmUF|9kdIG`Vu5DIPK^$_ZU&I{5-A^_qlvP1+Paf!&R5S`2af<;^oM}CBS zkFeXVLYBxetYT$uQ@q-p4Jbjw&o=no;K+a3Sd-{ zbuJU#@d&B;*7*5+iKWTDkP8Ncn9}`A;0qFh%h<5-hEKf?p}94WA9X+yX&esu#Pp(; zKKsd^uc$mF*gF{EBoF}`+>DfhodQvnBCSlg+&OdWe5i_!r6*F^0n+i>};C$ z9NlN9>ODQiaBR23!fP3;DcsV_cLhB-Bj?|9r+j>>eSCJH?fQ0$%-)^x*_hdZH2=At zl|N{Et#v?h!Tbj#dX`QOP1tHiNPm49nByA7Lk||~#tPM>T$zx?yUML?gk5UL`ZzD! zFduE)C^={*;2-Xe{awRiiSmLk-*z5ip(1&kpDM79~e7 zkX~YdsX_;!WTC5F`rE4`PCuYk*b0fz-y=n7fTc^-H8>6GF=>qwaKY8KSXUwMN$3KY zbk=vdz|Fr@ud^Cqv#ezuFpbK2LGB+}Kc$IXSIz?Ih-XVC;eGuwOAD^(De?Krjt#0n z?|{^A31Z}(@J81HTc+89kFbDo^90J4M4{^Y=M?$z(`}iHVXl*YS7^g{lkk_zF}DHJ zx)`O;jzv?srvk$Edyik4Fu7A2zz7jAahU9AxF|++0|9^klkWRGy`(E;w4UlwTybmm zd=a42_DG6KRI=<^v9B)3M&;Uln=F$nV zgiLW6d=;AcI&$xk+eVYIhFSN@NX;iem9Zz$0yMVoOl^XZ3+4_?s7Lc1O8ScQK81Ey$u4xLv{bC|t_)qi-wgzpKysM`e{hiS}{Vw58kz(TK@hR2Dfj zbK!a5CNgm@hRyAva~vb;doM>1Okrpiu`ywqe(*Swl^DZ6Qmos~)X|q8Q44Y8&PD9s zA`}adcI^vW)7gXz@6aJY9oKDK*~o>;O-(2(opVag5bW7^%k~lr$knEW=azdYPn<^gx*stQDcsjKbZn-8MXM zly3K+xXE6e^5`3uYvo=q6DTp!EH&-MJaJqp3wBLe8wv|0mqXC8uENv&-^9`rG zP|M=hE0=Jhu#Z^PhxOcoazZA?Q0Q3^z+#~juAx?z)^>9H?KyOV-^h6Ke0^cm^$=;xwGN;=MV@bu znXFz5H24{sKK>vuqhSkP^{Udahprh}gTUS#BL@sK*EEWinRycMDT6V<9CHNZuTbL; z?w+MwD$#PrU~s+7QGV|_5fxzG-Qkzzj6n>p2>6x8=I|CIzMaN-S($!io)G+X*mdR< zGRBKr?bs=orpzw_{ZyUCphIw_d=iI;7x>;EFmr41rb~{6J(EQ}9I>mndShlT#kB<- zKKXItvu?)i&w&lvpC>t!z{IsTgn%ncf!F5#MBrC$H*28+R}Ytj{{9kDZrU7`R4Iw- z0!&=2FxZ?T2Lr-HUq~?n-m2ael2v@fJb_Ece{uRq{h1wIgyc%!wPqr|{{z|8=9zE0bJF-#UbxBJtY3-N~)r%-dpi%YQ0 z_tj5)_pkdex*2rsuBe9WPU0zY*7=4v;roGTHz|nf`4%PJvbfW^u&}(=JKthX!sMOT zR8X{<^1z=}=~*k6#Gy;)UDd1TvlK6rwuGcQq|_2TM?ET=(DG|$HV|&s|L$J&k+WJZmLbWWH}ZROv17C+^Or5GVkd<<(3B3(Ypt-=UU#M# zeSp-CyL<`!=@NEI&*#I&=Nk1W-#zQcPYOkbd+Lw_-7WPzYYxeb{USQdnqEeHR_e)wM9erq&l+nlF&`#xN4OIJKSg+Cgm za5&y&r$co_b_!Bw){I=g;a)9Me9H6Us{Vv~j%y$k>aEwV?uRC%{E~$7PcC|${$~~b>f>wUItkvAH6lA54bBfnI_+7Rk!MEa`^QSp`m837189k1S*g%E zJ*Vd%&iLe6Zfvbs88R86_TOY_h}gB@lsd+0e*N)E?co{uabd{^32*#!mEviVKW|w) zZ`8K%i_0yJ>GQNxYuI;dz%`vt3DO86mwGs+ZN6StxBaRiOV9U&pv-=F41QLv%Un&5 zx|mgZzOnO_vO6>{^=L~E1-PVzeHuMLKfkeE=Ytw(yRa?NF18R==hjUgNXt-Uwbl!@EomORjv|4)?DeTQBz(yLyi0~v zh@fTA^0ECFAeN49G@kIEea+Ia@b@PddDp`&%VuRY|0!%Ti{JuujX%#$eogvwRF~MN zD+p`%E2+!A!dR~nTjCA(AEu}EW%${r8J)&v=5!R6->7o?c1D3>`CKq8Y^tH}3`)+F zd=G#%v7*gC1}OJiZH~a7C%O4V&29>%2)f6eH|Qk|)eF(fLrQKA;5&70dQ2HWy{Ed@ z%yQ4sOa@$K|IP;_sLzb0q6fdIaqYz9m1j4xNp@KL7>5UDE|(u6%%>*%wOVXf)7{aV z<&y6oqaf?yxZH%NC2i*rurIiitfDlTq54R668moqZPZ(oV5Y!&KTdnek9KoDuAEY8 zN%#r!&%&e+i}u|U59v*xcZjT((QJm$m5EDT(SNY#fLNN<1KzvGQfKDY!Q<0dN(J8) z6cG>-QO^=H&C0wn32{lKO|zz>@_aUx-hC}0pRUuX$!?JwJ-b{r6&~#UL{m^Z z(~GUU)usnV$VB7hL-(3lB1_HVp>>j8`L$Q4NQc^oB~(GO0>2!|h{>QK7X|bhr|TK) z>!v`s@|)T3&f*@AwbJzKwVGd8tfq;;D$k+~Nq*FC8?u?2u_F??lXHCp=;aa0p8Zac zdFFm#cYTH*5xWv!9kKS{U@(%CvE*Aj0SRqcmK6q88(Na?0rzy(gn}RL4-_IAmVpcF zpPXMS-&)w`WbmJ=h~M5o##Y_{$vqoT4vBLu=f#?Xeei@h@d2KC)<3{K`~dw+ZZ4O8 z_=YeZnO7~ z5z27cJJDH$cEw++mN*7a?Mc=mTW6yKD0F0QC73T=?irNhrCDb^tOWvny2-IwEjrr7xfK@3g! zbYxB2^5Wigg^^aY^O*NMb3;;l1d$-ku|oYMh?EMgZA*=+lblQsLU|Z4QlJ6&&H$i# z_d<=4zgZN%ICk}B#T&romT&95Kdqzfz~%k7$jtuJNB0f=AFp*vWZG5^!>BO+4LScm z9yLRWdq~TPfy9J8fgNb)=FR*{&b?FM=+W&B|Mq~u#9}p$DD~1|Tj{0BHd8}u$=v9N z-Lqve@8YU+)~(y(E|TqLby?aF*`c*>10nE)kecdF4Ybh(AlMVZ@c#O@5)gJ2r8e*5 zqO8Xi6V{@w<@u}b_5A4Zt=_hc)>J}P=_vmxqaP7AIP5eX%VfncE^XHgA3A}=J_D1! zh<&%hU19Z*UD7jlsvtpQVF`rpN^VS|`5cT0pc~--E_%cO{P0;ymBbS03s?nyrf1oK+^7@%ckQtx^Nn zoF5&JCwj%M{_S7cc`<9HwZ~I(Puqo{f*sMKelTCUQ8-jpv@{Q9?f9z{(qoF~pI!yR zN~HgIoeNKls}A&N1yK|;0bq=Fcg*GgFa>@lE|U|Uy^h!6;og%fS7@zfTdrku`MoXR z&GC-XJ!FspxKS1F7#AU2t#P&uf;sdyO&t)_<(iID2T+#z{TdzMggQ@B9I#N3?b(wu z^-$^t0dai zx7E=IzvW4}kqIhnRMl$cy<}NjWW_#KWC<&GF8?)=FLt|CSsdth%atRwAbxwKeIg^b z5}VEQM$+j!nElGTqxSU^lKm^;Vb1&d{c!2;+KZ@y!t7Rgmn>?cJ?7hQyByp76KC|# z&jfD(mV_*$+zL5lMo7AnlU}FxMQ>nzv|Fwn;Pa6_u~!$G)cp#S4gMk6oC9TiiN#;O zzB_evzxeFBsHpQzd`kEFo{8TJ_y;YL6sIJRcT7d5;4ipo(U zy{a$(Zg1W?mX8g*U)D+q4+Z9V-298K{2jyqUJ@BA!|8T=7!xg4(Jb22S>0_b514oN2= zG=DNwhQ2zgMOkjv8*Yn0PBf_2WXGC`+7P;+Ss|^zUG9PYC}Nvmv5W(+-$A56lU^Nkqnk^{4;yZZF^=Jce-Govxo&A#yuR2@5)kYuNJ`n_JN5DIHW}SsljRkzD%!97n_WG3Wbzw)7O{Q^!j{|A>rS$4 zSpbqsdDhQ<7P`FuoqTwu&G!6`3+N%mq*lmMdfz)6OgYY@s~I_5he`$ zb=m{P4(v%P#*GOF(kYYG!N`2sG2Jd8)+Vem{>QH+-jDww@dP{J6+yg6kG-mmg6%(O z*R7MWkT2%)RP$rdObY627|n5OsI+KZVZx`>+Ocp|qg0#o);pIUZ@0v@TZ0u`I-}3i zy@rKJ&UBQV0>67(>Naom+dV9TY5zNsf6s6Fg)TRtNiOe&V z!}TJ3<>-F7e|GCwZzZ-;!9dRVeV&Da#8nal`{l;M4i}5Qwo3kuw+=SD+ndo zW4J(vEQ-wjea&3ssc5y+#xk*7yio^G<$Es0>!=lHwcm|v^e0+Z? zDXA!LcuB+<n$CFW86C)(D6(ydR6>^|u}l zaycYjKksbZs7cqojvD`}EHQuK4iosdh_3YjAxbQ{!}I{9#U%}qIAF)bm|T;fEHT{Q z8x>as8e-#PSIxl0IFmNmYxT7LtPqwW%|5m@jtDsgawF`5R+?!uJG=30_>8hK6HNXp zI53!4W6XK9EacJYX#)OkCFPH*A~H9?jk_#9(mGJPt7YQ1(t|gY>p-6p^^}6e$4Hx< zfM+>_Fp;$L^-fx5fEc~^$(>SCxNe&RR{NKENRZx`O=Lfz$W&_2#a9<*IOt?NNQj(X zz>k4Y^k8)m#36d{v=HxCP1+s8)rco85sKs0H@fafh1{tFIIILv0&#<#;+=0IYApu@L zvMZnrnEAeA);O$c{PKlil^gcr)9Lb*`g+Y%xSLxK#BrwYLkjMad>VyZE^xKpewQPj5>cNEtP>Z+UtfRK~T zuKAQ;`_%WDq6p$`ozmQRU%*Wg|uDA5GiW!rNz_g;TuQ*M>>XFSl4<>;?|J2!z6kyhB&$N3?}9hKtZmJuhXj&NZu4%4 z&zW{3Ulk0#i2e|%Be2}Ai-50LKL_w@&8q)nlsC!qcZq5+&r%{Py5@PLL zzQ-Z0ei_sX%6dYQ7oq=W#QuHyyL5S2NA$0W3sF3s783(%vE~CsX=m5hL`6h4k=uqq z_P;7lc>>SrH!{qE_GF4N)g3u}9&YbE*Do!)fo|PE_lrP>`g4?K8(P4}!g9IG?yv$h zK8EXwHpS1)gD~Vgeo^LF~jMWKrZ+3rwS8{&0={A$kiK zNA;wFDcT}C70wwDK|XM4>+$r`s5w%^+B?h)*IZs!&Hu7%Wj*8Zp{IwjY~ZiI{@UM< MXuOFzv~c8q0K%!zeE-O+H*xgVk?YDE zlkvCRxz+h@Id}5t@4=BHfBdw`Uw4}Pzgf@lBNBkQLWVuMHr`jU{Wf@6Qrj zjZ{~7OGfhlQXC7EZl0CcW$5+!6BEL##%rbDd6n1&+kUZ?$xu)|uT?#3&n{U@EScHR zQnhki2`wEduei2U-6zqCx4&)rjGJe)*a*Px+Z`!)s-LJ%luNn)Qf=PvN z`Dm2tZ7k-hKbfAyPvj>DCHMHlkyMVyt(*zsYKiJ8cB^O z%)N516us(AGR=z*YoG;>=*6m?1*_d`N^YjD2)L=$1aZZ#xz4aIxcd59uE_JRILpVYqhwFq4Uxr>-*#e4((s+?41kF-5%v7_Cfc)Vw6n4dPARmr#SG33XA|zBrC53H zjeq99L#rF8Kr7}YGZ79Z8sHGlw2U{fitz&NDJtVjgq!fD)IsUoJWe%;HhOo|QCu>E zkqtbL7B+IBY7nygk^+ggp5bb2{G0e*qvh;~KM z76nGrx8@Wnr_t?yiS1Zeti8VVNt@lm(>$|S-?MyTn6I+9lU(XoH4zjhE6Uwq<;0+c>rw`zFVt>z?33 zs;M~n6yrA^Ttnj#M7Q2df!pNryLIuyX=xc}^UqBuwMG-XCb=IP|80Qj`u1w zi?14S$CmaS&Y^rOpwjukhKbe0?CXT$37kp2<^0I>!nsU~zr)&#TYyQr@ejVSn8QGwwXSZsy|n5?=S%x%%UbeCreEhV0I$mIqqQoxp2YpQ zAY1Q9GnNs|K z&tu&z+8)hcr$dYZs8qk6rDqg_9Q*Y+XA_A{+eN8o~p8H}U z!M*}5P*!kFy61yJGs&~5JRe(bt$Mvzy{Q`83gMOlWfE2wl9bf9MgJ}*St`|}gKUOM z?N2C~XJvm#RpyJ=dfBp#}IyT`^B z$i;U0xrIr~t%Y#*alk`r`pJUwKIB`@RjHtLMg)Y^{Fa{9A5?EJrY$`9SXs?ItDu9( zTHw5Zl?GI=mAPNb{DsRmX^9zr`Ri`d&qkfX?_p~0cqx?4V}QD-EgY%q(sH8gE?Gpr zSXO)`A=P+4jLLc=wsELG=Cefj-QK>S#yK+}nb5v~n^{aL!DQ!eKbyu~YWelmVAt0S zJy6B`iPI7M;!53EY`e>(9SS0GV=GjBm!=}Z1ROwL(0>0%i*fQKX)>z!$Edwxj!_NF z_T%0WwE0iG7c+vSiIt`1TDtkk1jxBTRI3J_`s7j_a+~F7e)keVdy!#Pl&NQwLsQub z;l0@YqUY}k2fc%}68d^4$YFkgk+ni^QmCbo8=XwLa{kVrkK;AVx&^Jy8o&Cgg)*Ld zD!Ku-*j9vue({2r2pcuoT7V-*%02B(WZot&nOQh{e|FF(W1RB#((?d{nij&n_F!JT87R4wUjXwuT7v~nDjZ?&>xDz!5jXh>@G$&7t zB|B8qf#PcNf8yP$Pgv3`Co7dy!w?It|1{Q`!E}~ys`!ST($#CYq(eO!=U^;5{N{w( z+>WyjcVr!l+fMC@NARt*>YSUoK0s5}l;(?KkNE2%qOn#;H_N}aYd#M`tISmo3m1L> z%pniq>zkD+-U?Kp*_x#WrgXit!llCZ=Bd+5E`Ng_f1x_tQHux~sxeG)pUFJpevUlg zzq>v7z9~AG8b^!nMzl_yZ+uSJYLQ}{w;N8q8^NHmkm&N&e}qJaE5Mb}V93YeLNz=0 zQ7T6G$|VRfK3ni7QoL&JiIuCgTJ;40G8CQ)FuPRkyDsK)Rh-rqDCBTEN2<{=mEr9ntwOarN*5})uypI*4Qup=HFemCNQo$yv+2o zHFK0XZV%+Q%B?itoV*3Zy|Mw|1w`Tk%c@ET2-;=0$Y9@QTZ`E9QKUHU)d*W8^?2jU zMt*mvwbF6t+fWE!-L&Nasj8+$GPyL9RkhBMUgDWLO)uB&#w!GU>0eAIn#)7}I=nWQ zK1KO(9Q?FkN>$)VdO}AmW{1b)LB1CHC)Jj_BUCAdNel45HuJ}H=|sTV#>TX8p1&E`j;vI|V>uSY>r1g8+A6~%l)G~SxJiLEm!UeCWbevIK2f|?0Z z7KhH=a+loR@N z`}=f*sTZAM{%9Owo`C%(6ccgny;mv+5xf2?fphr-+az2>z9p4~;*-I|x^!)4?Qhd` z{>I87=t%}(ss3gJDKMUc$76K{+4@|EMugt-=IP%|#`Mrk8FGa>&)*x4c-E;i(0u}Q zMyLKL6e)e}w3jWgMm_BxH-1ZXVmCBko}kuZIy*xHtWDVv+8dQrogw= zt=mDrUiIxD${QrFb?G%PUqKg;)}MN%LzvjMrbM39N3uqfna+P{x?K25kq268JXLoF zBlfXBB{`!JsJdBKywT|Zy-xY;5v!eF(Qlcbj~Jxd(N@|Wx~T{gCIE_SFhG12UPcT&{iNr9p7apM)))rx z&RRCsp0_A_T$WPx@1m{Hb~xSd#3LcyavK(O4=f1TFxV?nym>7emn zEyrCtH5wxEA3gS661r1)SuW4v$fd0_KrW`)qm48Gi2tG^I3o*s^4@ucCgb8Tb_e@z zleWW%gFJHSTkT0|h_nti2Q2%a@8l0`7ZvAqbtj=^32Kw@tq7B4G-@gIa+id`HpNm_%!V}_;RU1!QqcS z?+^tjJJ+gnQ!)UwE9fe|AQCtV$(%TNZe$iTVaFD;D=TsVhFbA`9g~S7<5eo%gQo4v zqHCvcV_mm)3P#ViP0T+!aq#O+-qlw=yQG?G0O+|!HgdiFv^14e)+_^OcG&7xO(soBta@i|fc6r9qc<}x>bf;POGhyb_SX16#!nQw`Dz;#*0i0jqF^V|^R z?oca5%RN5ze)+8B;3ZRl;$57Ji$OaIBsaM%OiL5zQkLkKX}>o-R;QWB5N-c#wgW*} zofg%*%eL2-#(jnnq=cQf&%?ob3G{?5^SZp1k29d3-}N9C($_opXn?%Mp}j&!n`z>A zK4a~zwFht{QFm>R&nPf2{WH@PST4_MgK0jI0FgL?4BvQfnWOxRT>AqG_%&7 zkFH;&)6!XDBq51KZ{w=*c)Dm+fspPjXhXMnoQanv19TF))2q(KXr(jKcm7SU9y~D0 zDkAgp^02IP*+sgt1JF%cghBut%bZa`MozbgQ}zniZM{YdtJM3jgNwHo#ht|bk~xu! za@5IQ^(E$vmvtqNc_Q<{MZr3ip6giit26gwLy^XHevzG8PaT%m)llf&#L95&7Qr`z z9s6bhb*9x<*S1qSNF$^B6TCFV%P8FD(e$FC`@>m4;*K>ukU^}+d06a{xjsPYQ1v0> zaeHNSmt89(Vo}S$E_`v9og6~^6GBo@s`U;VRX+BFQ{v2pAwv?m})V_cQ+xPa-nBhegG}l#CZum zOX^d2(yzA%cE2Tba&#D9g@Yg3! znStSgjmtGeZvv|kmwL{E-(UGRShEQ^nLA1Dq>nfbJI&j6baHncjn_Fkj*3LES|Y7k zSjgUHA6#mtuhU#LcLYi!gN-+L=3FKs$2{Jy#IGShV&cNkg zWkyVzU=?C{>(O?F_!d%_{&?wGidx|s{^FMQkY%8U4`N8cF{ac1qX{I{NMrysJ00XQ5FU%En9${aR) zqHH(%vM-dF&zmx^E(0<&H}@Dc79W^o1OP?h#?isY4wBk78h4Ym^vhtdEL? z`Wt_C>kd}$OKTxxkUNu;Q`?!W@nAV&N(Y#sB;1A;J>&>cLibe8UDZ>tQhcv5e)53L zbJ--Lc83K%^HXO1tLbIAeNywln;JXE>D1fKfn{Lky2mo-ZVH&!!2*j3bVR^314sir z`L4aY3l;6rlu-~i#K z;W_svByiejdqHF;d2^Zd^)$J2fDLt%`Vwp-Q$w@vI4pT7#;b=Tp1->@n8>;NDMN)^ z=lkE)hv-=J2*A~1_F)6j{hLE6uxT}dQvcG)cev&t$=h)e<}z)M9x<7G9_RAR7I5C= zZlMin<2#&lMV-9DD&*V*#-|RFBLs^d|M4zLJlm}}7Fg8EUj*qr-K@Br?sN8M(wi6< z-1r7eVs)nBcP=>CuDzWkJoJIXQID&iJ^$TjytwxO=t8a-BbYz4X)@*#6PseL6wI`Dy;sEHc$ID59 zEK`G|z@!e`$@HSK%rm%zYS&hFv%fO~qR{pLmr2>;MNWMmz8G#Sc%1Z*NrxH&vGlOX zN4c-r7u%eaN;-o7Pbt-y}-*Ub?Xr8UvK4krwtI*o!Y4f zW(x*3y_Eg?+8rRj0`x^U?``QJnc%$SpI^oaDiPM(zwYhJBXYQbRlxL|6d`RaKcU%O zhOc)@YbRfin=)g{BVDBNm8EfjZtPjk1CH1h9T>Jd8L(&K`If9Q4(YpZ^n&Seoqevi=wh=G-6h&L_d8c@oP*eMF8nlFZ?xEpTW1AVS-j$PRFRnFuRz$)xT}dgyy=*6<=F;ur zzP?y^^phkGRO((&A`y>RuN$trG|G-%#zIg+^p-EDqzLwO91e3Y1LZS`J6)zhmke)? z;C*%a7SXmDw%#4!Vwp1ovg3%=!UzfKs z*`IR>_rHs<=5>{6TSXAiaa{#LcgJ8GRj7qhs1Bv+>jyPMUI? zIQ4vbHh4W)LQ2YZ8+9~jye~9MYH@>dse+!&db?cp5EQ5uY29L;{t$!v3Zxw_Ti4O> z8in5OsLNYL;BPvNKlIGv%a5RKGVAH;A|9Ns=C#NO?zA0vi$|CUSd1ndIFfMQ-)+ux z80EdT%bN>q_0*?U@}Kz~7?Nr+5NsNAjV;xVjxt;ttJe+ij@f8FKT7?raJhc9C8^T9gkO&xA#9jj%QyAB(9<*;(4f1TF^xuxf6*JERJjYW4M#hw0nBk*ZcC=N$F(W6 z-0gKq>O^oes|7{e?l|dv-7+-u>2;h`$TGB4t1s5-==TyqO0HxXNuIrZ+i{BHT6qN5 zm6l~Pg|U`W)?_|UzaQ^?U^|=aIeA{W&cpC+iRv%IplzR@kGUb+E)z-7Z!!_77cJNk z_Z~OH;#`alcMx(Lpv|C`4d}A9lV(%J>6tadE=tm(Ow}#sl%d5%C2bBiyEhy8!CX{y z4inFl@IAlC!C&|^oVj()#-9(_C{JUYj4Uv)+S%Mx_yd(_Osv~%MpZIR>|9McYO5~d zc^}M=-A!ua63wpyRQ!Tj7Tz<;i%VvBD!5)FfQlUzLOZ!MP-*(5t4o{M_s#I4mxkdR z<+;d|kr8Lv-;p2pr9Z#?HCoIEtqS|Gg0RvwG?H~!ey}3MGB`=~A&@v;MgK{O(m|@oD}WmWpt{VO%dZbQ*ZSw=`~l zn)#VJGGTxY7x-;|wbIL>Z9bX}3P95W9%@7aEm_+pe?rbU%&cjPDCU4<)>@wu!~*d7f2e02SgS&%_K4%IXcE~5pkl?idi*DGi?Q2^@wy_6MziaW zOu@m?_?>;YIJlc-kC$+cl|SiZy=rH*CmDeeD@R%U_sj6@64%@Aq;rLHZ9Q_lXLEr~ zyol0~@otvYA!^mg1NpFrT-iskaf8Yg3Nx-TRcT!;XcTFQG~~KMO>FxqK}jE!oDea$ zvtgw_0>%k`!x>Rt6;t8?FgAljWC;4yPmj6O=xLvNj>%wrXj01llr1;6BMh}v1)W%k z^2jT(=U0-UWIM3?qzl6|(4O`hn~Q04`Tdgh2Mfb6f86+x&3uS%O4e#_ARe~%X$qD+ zfz`SQ1Bb)d#emNU2BEk6%Mk8RtsfF*piDS9CBV^4q+di`w~ED8C9r!$WNF@sf!RHm z;v__U+EiidOWF7JM&puD7{O`Om$8fx0hZIJIR3>)b(p&$X$^0{t?bx+w4pi{LnvM7x9O&4+O>>^m&!rqcUc@Wp9$k<6%*SV6Am8@Mj$T z_doi6x;@!J5f`$by$~yvpOwmgCAz zFsH&mwb@D^?W=i*czX94OSrrC{Gu1d}U2gs;E?_p&TE56)DPvoWBP z)gR)w=0oPEVvC~O#qx>K^$N3%;a{}fs;Pos`wF_E(TE|np{MfdXIeUGn0nOTKTw{! z8}kUqjFbms<(dx`zB7Y0{e8OQeCv@pa|-Jj3m*R@OJ%vg(% zGshl@ukf0PopW7WWe*+P=}t+HMQVDwj%aEZT9#qoGAO}blT!}OVpD>uM?wP5B~r5C z7~nA?{(VPx`jw!s&POT?^9`e-OwC2L2xFb2HY0Tjf!M;&RT!b~2kia+zYf(Zapvuy z550L7eEY0Mcnp0U73s^ocwb0zG>C)H;mEps*?4eJ3bYlQV57dze-HD;ax0T=?)hdE z^+BOYw+KU`n8+JbR6CH#Gh0q--<@zwy=D$-P8 z$)RsFgI6Y#k&n^w?dC;tQkp_y9IOBG%di1naZ^av5+1g6;U|JxWjMy%#?PVpFNfv2 zd5#y~dpG~NPa*j%s)hcOOlEzCvJ&zKwXD1o`7KbyghbnAB)UeLmJ0egPd5Ex{& zF}h#NOkB}s0~Kjmh#bOGm5K$?a&!~*- z)%?!;PpL3RX$abAMC@Knj#^WS)o?wL`23#E2|^Mw zH7Pr(pFE1zliV;GdyS}thMSWuS*rFro04Jc;%h)`tDp9Lgl5<@dL@PIxjLROeS#br z`*xbB$Bcq~){c>B$$l;4lAtIGL48<3RI+6CLJVOnn=%as_?@W@XRa?D+G1+{8I#!A zunVF;9%C5)`c;5}Yd5I$6JcX`Zqi${wYB_|_WT&C9JzGqf=)a-J;w}`Cs6<8wj9>9 z5d&)v-w=-5Tbbo=k|*_2!**W8Z4!~*OOR3@4?dnD-2F50wVUpwr_s=|>rj7^chQ~F zAjyKmbdoIzaMtrL&{r|9$VB}AefW2cFai-t<;}kHw#i?9d*u6aDpdPP)0sFYIS;9= z<{G{E2F%$}@;ij~F`h@<#5Hr5EQSejT-3-$BGkN=NK#++l^n{lkV~m5l{;hVoMn8t zU5C^iVO(oE%n!3U;jd@eoH~68aZ7MWi{%*r2{Ca}6XZRvdlGD~oLo-(w>84I2zh0e zfG@D_GUuZZOrOK@rmDMC-<(`|Z5@Z3V#2iEKPhr#&== z_%#7gV&dpbOL7$;S$JQg4T={jT5Q8VBz7#h;@@0M#ai%4U?wnHy)xOe+-a2ue4}0f z8hEg%fRdG}b2Y-Dcl#Ni;Hc`t&*P+;zV&qG+FS*N*^dYq_K6BDPZOv;_H^DSE_`JB zEfeo8P84`K9Km7^%KVFZ>Au5zQd+g-{l&(@B^1V+ZL-@kYo|VIcSIe zDMWkea)O!Kyhb7C^^#&S>`4QXt5Bu(Zf|jd;v#TMSgyM{+1e1FtEYqPCxHA(oB!R_ z^KM+l)%q%s&`8f)gP~73F!u8oe_uDA{TDJEAu%~-#0^Ftv#*Mr(^)-mk@^bDnFm_~ zmZVfGbl&!)BRQ%|EU0-M^PVy zw+=X|)o-;KW7Z4Dr0HSs34bpHlg&+L+Y^%}>#gc^5*H>J=(j*I0g;8;1w=O--Mz|A zI4IfLwx1X2k>J3%Wkj?eLVaoA&11HH!%lH!@uXtiWJK2SV5)pCxRyea3-LIf2>n3y zKv*4=Hah%Yz_4##u*;%te;U$Dtg*quufV6J_!VB+w4ykZ<*$T*uBHc#y!52sZu`5c z<5YlRsR!e8(Do$|H%;XG)gv#9nzZ;jO(LAJR6gRvD$6^u`en6G)f9BW?dXpb6;V{9 zH4UBh@!%;F!3ZfgF)xN?m6=MmZkYb`+w$PsFQrzO$-bu8CxdSkJRn<~B#4J2&bFSy z<>)!~qd=*~J$;1Z^EyjX1-G9jW8mBlnv zo?u>kF91Y^UkA3lzYeVF5(v;3gr#{!=6ww=CW+Ki-c_lzjh^O`E6Ot{qkpQ-Ar+BM z@`3yQYgVk?de<#;z}BZ(Oi=B{kiVU@S5_dy6MoUGiMh#b(4V+abk%l4bGP0I5-b^2 z9*&_RRR#CqZaEIt+{Hge8Sz7xvUcUmjC~hfFfw2ch!fFA2PnWf#K zP#NfmU@gNkWfn^NsE2OQg{dE-MU(6FvL5B(=N%#cbQE3>T*qxjprW zx8N$pMZKi>opOzMA=&+STNR5}`BpZ2e@*CB$SV%B|@INw=npH>|| zeigi0!6x`%U)n7$!)2BooDV>)*=TusJVS2MOSg5}sqNQKkw0*9dELC! zM(&=o@OAz-O2)sy09EWttqQKyAj%JdH|pRO*sAy%omMa0+Rllx&npb{OU0`Q&E8+# z2G);^BP>VYY6e2R`D590R9ujlM6QZfvLs11#!n2;<0WBQrq|qz{Pc%@aQ{36h~N#F z#Tb`tDPY=r{Dm^@eotnJz62L|B_c+PdawU%ne7<({*}e&mWoh|W|jOGQ_Fe!-|uCY zapswsUWWKIq2~?pS1M)n8cfFcL6Q`Ttsfec8i?VNk}|t$CHMn+^9~I@W6$S^FNbBs zIb)s}cpCJyTMJP-TTbJIJW8?%Zo4!L=C6@tC1rkcMil(8Ce<9e2-uv$ce0;s zwQ(EU+Z1>?bUE`cB5EO7!DhM`^1Nx z??8cQ-{DvoUiI{^1TIz zDgD%%>oW6m>|^VvSoT0|06&*yzd>~lirk^?E{V1A?h{#J{j9**d7<}NZ4gGuA1UP@ zexF3f-o6SCp*zJE3r>V0kR_@W1Ugm0@(lWvPQQ&4!o#1a}PE9lEeK92|#8-$6ClUR%8@IgSD9SNXR-Cp**jx zWF6nSGmomoV0@>4BDl@}JZvV~a-S3GRN%My2CKJKviWK%NjYfrc0)8sC(^Ps6eN%F z@v$W03w$TqxEdTCCg6{Oj{?Pb%4PI2QJd=_revcsgwBCgcBqW3^sa6pKhv?XZx=0I zh%geh6wmQXByr@#n@#3o^>Pc$Y%^1@>bk9lT*|F;%84aF)VU4md-liD`PgD3mS-5g z64*qiUnYoqgxVo}MZW2!;{r?U*o#$EmLnOxJc~qJBvxaVz%exn$E|jPDsq5=X?_hi zj}RvP2g<)ULgMznt6opdkUC7d=5&U5?gvx!l~nWH;P&hOz-{tJ6ka1!C(^*{qy!R` zwe#&gamTu`pQF_H&Mr4w;Oiey^ejiTXAQgu(w-(7*Eur(D$t31WRYNfX^_hmqab1Y z54~|&Lq@8dz!R+bRVA@ScgTQC*uWqv%O{(6uuVDoA{cj%a#S|JGNtyIg7$o+kW##7 z;Bd1pPvyA}Ef~if?Z#!v8)5evPJP(tkpdBNNX9>oLmMhrHF)Idmp@9RgGJ389v`3y zh>;!Ke;}>=C5PdMNoI%DV-h}1|67r^rHw%3i`umSUr(L&F1o@5|UCE(cl!K zpcQ?;u`!Wp9{0xdv2652`}fB=?4*9!iz6*9nHSo2ES(pJ)q?XIxVgjb9N()2<9v;p zyBGZf+cxR9Y39N-Yqws$ZH$Ii&p;eoM0j2rPR7<=^_-H>%*R?aTm^ZtQ{HJQ{B_L4 zb+X(b08}z&PVL-_F61Z^lknAAu1D zdCsi4CZreA$C07K&~YuY)#HDtHH6~T2>3XLk*-UiAhomn?R~3WnWbHyNR9nbDRO5j z%M;#7UZ;$!#(%#Vw(IoQNisDohvUE368%9-au|15!fbn@tUu#q7h}dhhKq&ntCD^MGSUGCEq#Y znl52Qir)+AnWF#L3oM1g*b3}(MZB>GorHYd(uG~}vFqAbiLEY)f#p-d~_fpPQ|JT8y$VO3{)S9T83LlTkicT%(#_&TA96wx zo3tdYDcFt@U_?z2K1tO+*LL8Sf}Ppa9NfW;oc%d{5~feBJ~hRd=~!N+r{3ZunF-1J zq`WXzypL|gWu!UVuj_*_b6m*E*U5+C&=tHsF7ldnR9;&P{O?7JG=8DL5r_5+v6XXx zF2Z_(Cmew!&KBf{1TkF_4)&rjr7AWr*;1|D?<&26o-ans9|Jy0(R}(mRdBadj5 zwt?c+d>I*YCKp3k zscfT*@9?Yb;ZduTK>;D2KtX)XrUE=RO1juLvc8jhl^3Ecg>CanR-ap)hm_&f-CVuD545GI~To;9*k3VP}%d)Q7vVCIG#^n(1__RL}6*g6M$8{r6|DE1T zP#f{&Vin@#oqskyNk%!55`NGCG@ZS6j1$-oxA&D1`Zp= zQ2HCLGd-@l!(HMruY)s!sT_piZ)3VTm{ILdJa8G(-uoo_i z@>tF=-TQo#6()(rDyOkD+SkhOe9f(ZuPFg^-&gEmhCt)PmdaSpGPVP~hpgPc*1B#O zdrb$H&^zG*P91W1V6oCmYm*Jn#%9HuB{V>q@`%2r2wlZHmeLf&b6N>v>EaC1%qfyDU2C^D|2mz)gYUNCWn0Bq4~44Au(}+ZfhLWcv7e@OVU6* zbE#`^m1nb3WvQ=TUnvZ?VrB)X+l!QT7RxOVr8UX8HQwj}>itG!&?MA5Gkrof1yqL-}nkUl7A~?a1KW3sfT&j&$6F#tME<3 zbw9XL8;R~Y#$g)DrLc;1k7cNv-Y)jq$ z<*!n?4-U>=m!ci|sEY~)fm>_K*~74hr~mTH)-nK6|87&x?$X+^GoCKiMtiZ}Ldjq< zpTKn8`Y$f#Xd(Jg!Un)dbG=uO{tG7G7;9q|uhBj&%P?|_O}9A$0X!8|Fd;u=&DOF_#7!+*eJnyMG|By5 z(~tmyU@D77umHix8A?mlY^tUPe4xFGs+EwsSPk)K|FGlo{yWw2=+xCRyTK(Nc2#J| zXU?EcLM(1@gB*lpTuLhl>AOF2S}jCjtn&ue5Ek)yZrw}X6~liP9jdEXNFE(ouU3R# z@131&(>wkm`d2PvXH>G;talukr4o6d)&gmFqa)c_LM*C72pe_I+hYRGSjwATz9FYS z(dzrZ#qI2^&9h<*YU|Z)42rhITMh>~+U0af>Je#VQK7?|HKz6x(uWM$ zud?qI3+K3pS(t{mGS-8;B1ylYf9`GuTjOuqH$A6R;h6j&!##07tR-h&xfKcv9#yl zt!;YTd;4Uj7`8z&Ck<#YwV2B1!37S*I$p6ttW%#Y(dyUM>USPeQrraI<8{XO9*9!? zFKL$|SDR=pj2@}k#-jOb1YYhd#@{QjU>}H3l@#@#f4sRDNvGL%pYIcLapAMlD)vxy zv*7sW6#mezSGpTyGFA;3iLEr)Ub^O9O1A@Vd@0R@<~!lH_i9^(qj8&5c|8{)C z3D7J-7C*}A7~a1-wgd2QS#BFAp18j>wd8j6qnP#&yc$rSVz9a$YX6a{?hP(Akn_hF zPrq;otnB-wHC9|RiIMFTb;?-($#9rVaVOzMFEaE~D^Do5gJ!7C_e`jJ$@M`Q*;|GK z#Qrp?raw}tADssIvZJE!VwcIBH#mwRtvQq9w8O!xD5s#eUPizs<7^qWe$lyFZhrz} zTg)AU@nC8%$^cF0VsQ>bZGF0fn4mueb}eHS->J1S3zZ7I?5m?FmuZDR_ZR+pusZ6u zJ2`h{`GjTTq6R5PX+=pSjaYz=md<{uFdU!4%{NJ+CDg(RCjr-i_;OYC`NuiBUbIXe z2wkeGdbpx;4hmpQv&5l5Z}COuon#4F?F5N+t0=9jrETGZ_LsNV5O55#SzRi}{9*U! z&dKOYzsMXE5QV`Jl6{iq5C(JKP(BVd6MZ66heyfx#|@Stg5Z4rq`q1ki@gTGrXMOG;v3bzq2YxCYaUCs?4_-&zJwtB-pF9oaOys3T>jx z(r=4s7WjEc1dnxgPF?L=K2eCdHC_x}#G@~s40$py^+c=cIVyaYFJsVZwsK}+mck@h z0kE8(gvxL@UaiREIU#K0zI|Tt`F5+J_}_Xpu3OX(g*+##XvE?HItqm-YPf^KKX*`9 zTbr-7!13s*In)?(X-e8k_SXB~^ZJO71cAcp71#+5%GNKOA5Jn0@il953%~2_P!`75 zbeaxSV-M`gAxMfkMeZqR{a8(}pFMs5tN53S5BB~Y@*tg5)~tg)>fRqj_VKpIvud4F zF>83s0(;lR1Bv`Ku%S(7Rk-!+yshP2;}eWSsJPkRd`jc(rs1Qoea0&ei?8^0{Qy!j zRVAy3`SVeugUH#7Mig)o)bxg7pLEym;xjn&Yqb z)=!*WU~pMx$+;cGNflC206)aSh|NQM)+Oh7L#tWbXm{#%`57q(Ph>|m`7URx=UfV| zE&n*R5&jSnOg0rmtC8^J^MCO{CE0n}bo(T@sy!iSjp6729Lai7Jz7S>L5jIz+AS02 zTe4c1i52F&IEk&ZU^>mi~T;t<*=>D_<-?F1lM*=vUF4xl$XTZ@g& zk+7|A(@pE)?KWu%^>dv+`BqR$YUADWTk+%A9zEp-jas$e+dX}7SC7C>bn>pPu)^oE zr)g!%tv zGS(88c$tWJPd^q;ocXM*1j;H7VQedFb(-^5H#}9*AQ1$wS|)N;o8xnJ)F6i}m6No- zud9VtbeTtX`*YToWZYhz+C|flys9?5! zQ-}f+lzQxa`dq&-HvX@B5zw4Lk;psvCT$UJ8TBMb(Z zfO6o2=cY3p@;UAvOxh_ysY3_3b1>-Q#esp%Gw~_+c!?tq%Llo)64{f#(D92)Q>g$G#R6<@;z+sX7fR1 zFlx{uz20-=`e&Y}*NiEv+8S?pGt9Ry(VD`5jjo=}YnKwHiqtKZZu=d&q|nvmR@lQt zy-snda+=JOm!39J2~+mAmLD`yfs)xgsRx38QlN+^TAog(2*v{D=bvVnHKPY*xBMik zu}@vT)Q-7c3X8`>Gfzh zVgD4k6#_FMWw~kmkF!0}^>f}M|0#+aGlH_2#C=@UtEJEvK6W4?BSDA+W zLuRpzvX))i5mn<0^{pC@rg04#`A^2o+=5H8#Gu#v3qeTQV!rL-J4~a$lQxn`rB57B zS2J)2f-Tb|9?zDembkEHDu9=j;JKHhXSk)G3l(~gPi+U|I&p6ErS}rI_zdS}+u`Dnt4vb`S~ZJ-M)s~JYd#*b^QC80Y&kc$7eT$?CePk zN%SMdutNFHJpQ^+=^|()ftDTR(DY-xQlooX zys-54ADaQ>G|k7R87db?v%Ds*D_y)_jB*T7>{j;tcromYD2!lXYe_Q0OXTs~0&OCfAC`pFRs&NVMuM_L?eX24mjQRQ6937vl%!efXAIs}%V>?JsHu zi#ZwoIW>Y6iQ;V=H6QK9jUs;ma|V3fmVG;`>n9#!wbY&@2mnr-71)54odx=M3+JU9 zVfmX-Mx#2-QR$`@$m3{y)}yNBY*2#XRPm=7Pi5iMQzGel%DGZn@B%1q3}i`XGI89W ztn?;(Jp3$@Zpx3NJo-IZv#)K_KTWPT8o4{3fllc)$xN-^B8~DkwNSqGb$)j?ui$p4 z!`|boqYf={3h-Il_8qXtCyy}^UQ;6qpIxU`x5nZ(@L4E?>@5l-N8Ox;-BI!nD1`qF zJq$sjEMd{|npJ)yWJy>KzqRv`&ZK*pBv+dl-c!{j2SmHgUk4mrT7c>b0+J~j=#)0y zX6iFSnJsAZ%d(^$JttyuiW_EXQe(yD1m5NXCQePJbPEJ zH1%J5lLvE^Lagx8Is~LnedsB2w-ev-V1n2?O{Iif5EX{xwCoZ0r2S(9bLQx7?J__~ z+WXtLe?9_=U)KZv*vtO#o~`<0nfbwEPvUrrrjjH%IAmc_t=hT&61%J>@#erKfXu)~K|eY(`$Y3bqG}H41e~Y) zWfoH9T1fBnd@pmdr4T*gRT9ia@hspan!eWsDAj8I#dRfA%ppvZE{srNO1*{M5YHrh zEb`VKM!+3%YUY|kREc)eSuV*6MI;6t=qe(v zKrlmn|5Ia~vHPLMAfXCxu<%V~xLuxF&Pw&|^pmUito)X~&cHr-W@#1RW&Ef7qCa2( z5Ok}?EGB@R82o4~;LTQwAD9iMEsZ8=$gj~c0Y!nq)EeZq#dRB6TlxtnJARK{*b@H& z7DnAY;&T2EOIIDx)Elk^X+#<%q>%>cQ6r_B(WMe21*9Y=4I(j8TDn1mfi#FnGrD7x zqib~D{qDX0oqu-DcfRk9=XsxZ?@Ir*c3#V+h+tJNh|~{=tS%J)>?L$xp!iyH42&H=>Z=HDQ6h<^)iUTc>-tn$_S^W8 z0l6yzm8bK=n9UFXAD4Dfje6g!$Zv)(NbD1D|5)-FIay@C#2RJ5ME+)azWIaKe{^ly zIC;Tfs<+sRhOTO9#adY0>eaFFAZr?vy;9ku zXE8}Q4DRDQIAUkMy1mzRA;7#57n zmhz2TUjsrXcgpB9o{W<~TuoO>!h}(3d9-(qul|znEMsV+a7vm%X)mL_j!EB_FA8Sf^3h53*X?enq^2swub{e?(^FNiY-;w6vw_|WF6=A zO9O;$;3t?wVUqLL*b)j&%5n~DWSk6rwc~g{o!tjA5=Ux2Q;A?Po9ycPnMcaNxeHCj zws?g8_;=(Es_yexBRO&3YJhnbu)`8cyT4PIa>Q6&3@NfiN0af1w*|uZs=Mu$lQ=4U zUm&V{?El(LOn%-cpS)w_X7^wq@x+Hro0ZFcConj4_4=C9siV4QHp8t$>YUpeAnaM4 zj=re<u^wQku=!A`5>Yjc&B$14S*wB~YQkZp z236vX0<{f(qNvSS@;e$XE-5DK>D(BKAyZUVvbE>rxmBt`Y-QRcpme+nUuo_MFx6`1 zx8z@qx3`#vKYhn~GgS7uQAe**%$VY=E^rRwP-l8ht7nI=dQ8<2ya%QW62N>J+r-@k zc4lIKE5lt!()K$q{I-QI7B%vX{UhVTc5Hda7WXt#Kqmn`Bu=$_ zy3H~Rc5t70SI#SL?0WPS%t8P$_OWy?m+)cWaArqf0ZW`*OqWA?CgONi!O;?xl$dQ| zgIZ38ZfO%Wvlr_>)JB;8**r+H9gH0N@B6g3AW*V8Y5EV@*5!=-qvL+@G%TG|K2F1bCkN+%qLBykUh@>?F^_7?+dQ z&|t^kA8rHN|1jmhBVY;CauRS0pT420HH@4YUdWqs5)xvkzbp3!>iG$$W1N9vC zvcPQB5&m5W1%tO;H8T@2;poRlCpYr8c^%s$y@Wx`Xwt9xx4%~CTSW(r{IRzm`)ej zI*~9r&R6ky4z5?ha~b>F6?K@ky+v;!SY+8Jl1KK`5H zhg^vAO9R-RP4BQLzdS7K`+~Oa+5@4*{Fhg-knaoTy4A1BOcejw*oXZZWa2GlO&kM~ zn7wdst2nPJ&;Ba>7mzlq%mKY2`Y&U9Wo^(%3-yWxK!e5t1C;s$*4AsbSbGq0xT{zeFd8!g$J)xZhf(Q;Ch7uD6x zVJ=G}2bmL8JjI&sO8HVe^b#;u?eq9LZ>%H7Q#EbvFAViL5h(QLEpTcDeSg;!Scih{ zOZKv^41A*06z^Z(e}5oKA56FNp^}%H8AJHep9&Hd6psIZ45mssSy5IC@y}+3@$x9D6vCY`RT^`Av7ejK zbMzqPLs;|1!wNQh1_06u{Vlnv`Gw=i*CE0ngawm(E)~F>kba+K`xoWgXWVQKb2UxW z8#_i*AN8I~BSgwc%X#I9rmg?HXe#=?ZaDVUiBI19`*&J?+kq3WZ{HV2A*jfiBT}rS zc>Oe^KliY5)w@ONE5x zu1?bg_)5^2Ug8J&yv35FrH52Yojp?>C8weY98+w$c}4|zSa2-*aWOiu4G}gwZVvtL zA@NiIXTt0gOeASYJ?q+QlI)$JwFbBD<`9Htc`fd|^`4#+6s`4awx9Gpcgh#Cr4tVu ziw9-$&I1lYYB`8d4bdQTf&PZ;s(n*M9&l&#L&?1m*K^MNgqc44(YG#pinqR4o9qdL zfy9|(Nw&N)y^|bgl#Y<^tv`JV=d+0flcnestk?6;+2|(NR_;o`zAIIU9g*ti_6B;j z`;MG;cHYE;VuP^RbUq$~6570g;8Rh0nyJKg`?KZ+I>*Ixh|D7=EWz0NU+g)h z9-zxT4@xA9bYf>RY68FGEXA~2SF&yANc^oW{`}Q(lm_3NU0j@T!5R}I-quMp?G4GO z7L~AbYr75%1SrgSCl6~fLr}sy`+AOiw<~UKWd;K)KE_mkF{w`0`78N8>AG5eG%h?B!)8F-C+* zqiG@?i@#tn&9nG84Xn}Un8+hc+{<^3K=pUe-zo~_nC4w5{fre>fwU;5d2EX-au&JJ zSL%WGf2SYHW1x{n25+RTkr12y$FI(pfn_NWm0TLDI2~l4x=9EYkEE2?2;1)aCa)dd zNxxsP*Vv|~v8*wesSstxB{ff>M6*8iboKaBeQ*^ki=8CnD%=8tVCO($^-M)V-*?-E zt*(mk=do(B_=M~-gp1G$4CnFI_ctFT@t^EBqYcg5Y4X|*$*g2n(NK=a#WH?2ZnnZF zNK8+J+<7zQ%Hm&S9*(@fe|`p2ShvwgUo9%CZT0K4lam^Qe1r_i?M()ISL-?n!1;?i za{i`L?m}^u@!L4`il~@{1i6>J1 z#VscWL;`y^s?HzpxBXf5XYqK9dcwo>|01`h2qMRB&sO-^zHsiwSys&U>+8Nwozd_M z+&0b)FCNBiTR7G+%(e*W2R}Sq6;A~=v(oM!_w=PNQ@#u;^N7h`2%pxA zJ`+t8z5W?$gP~u6A3A8=DOgFO=?J)mU#9pcUNw-A3As0{4Bp1u7yU!6o9@}Qe@mFk zNwbOLz5aKpDi5o=yhxZqe)9Cbk>pyQUZ9;2xYva^ERdKLtMNUdK$@*;X}I%`a#%eU zo)39t*={%x)h;Be#+?J`gk9;YZ~XzLbl6sOTO^brGDJbD(eUy=gQ*WS2vqU$u3qOa zA1?29+?YQ{uO07ekb)IbOuKtjI^b&J{E-8Gn&+r-{%pY1j< zL6NwA+|Kbml9(x5auoMeF4ggy{9WqD)IeXFEt==60>VmrwG$sBm3C*n?m|<x8p^Qn187F zvG)=Kx<`j9MPYrz9X&Ib4pl$&eu+?XqqYunAPB^N;7{;O-hIYc|FD$g^dv`X7ohZj z))eLg71}*mJ-+_i_mdbp=+AumshjR!bC~O!qFnXc>1R>QT~8?^LQK#|Jpksc`v0xIH#CiKSgyw~aCX1YyjqxYFMw zeejpEg!w^tBp-WIv=pR5T&-fsy4bJJ!jx*yLAXK z+^e%n;A0Ird^xf6<}Yq6i&&mdTq-{r6L+?W{#6}W9}!M9o7%7mPVf1rxJt@FukGrk zU_GrKz$b^H=k#>^X}?suVMHXc|D}@I5Y0K~`r)qdH9T!=nJBoE#e?Er7zmM8k$%^_ zgi4sXbDsMy5*(Z|>f$C+?)QLrcvYg$@x{AHrB`wyKbO~Eo&S)KX*#X=T)EquEnxMt zsiUz|hN!DU@&e=^Hqi3w8#PYL-HpMIMH1CBW*)y=LYDKg+4tMC>TQ%cxkG&pqk=+w z%UTO0#Z(jr`-+u44jPF_DgY!vcbTPowkrlSfNGmL05$noj%C%b*gs|r4qowcTs@-* ze0@|Wfs_$!^hohviq&OZ`0F?uCOz6Pv=r9AN>Y1|-^f?V5Tshn24jEJIc;;gNG;-Y zI4GDP-F zxM$Xxuu{;Njaay`-FrzMR*S9zKg1A+TB)#lyl-aq>e+QoKPvKT|t<{yhXo9 zO^1%G{9cLvkbC{upEQa%1|U7Nq1m}1TFIlaH(G5)OzGpgG4N%o#fm<&qYrk5mGC83 z&7TBS=_W?W{0VUO2800xh}@q@A8m}exqJc8@5ndgY7G~TQmq{O7AaR40mtym`K|?g z)-jG-@%lEkO50X@mKOzVQ5W16UE@+Kv$BW^!_VGK79koxi_`@oD zw=%5`rF@j3nD{qi4=-<;3Q#S&+lv)+@^#zuJ`5kK4N8*ip0ekCD zAzgF_H}4a4ifG#_`I94r7wIB+KVji}=X+O6ky|o`u0)0_w=1uIrcN54&(3=M{Ht7w z3DS6QW*6=?LCjgCLeNT$j`NEv@W3fiz%eEOc_0}MRP7r0OAz_Z3I+uruuadl`ZhaH z@R*?MIZ0m?D^D9xRT6$ljkP93=|xl**77E0JPiyHGB2IGH#JY`uU$Q!bP~1((PGr`$f{ zqVhRZ(Z#mrl?_enpU3EYsJ~>VLvv~Uc;Ny!BfI6$Ukl**sF$>`E!#e;9;gqIGb@FD z29e;Af|O?E=(n?WEJ_^tYZ93%Esyq>1ypu5YqjmnXJ~cc7Ne`f8_Fp&c~Ej@j^ucm zHZjWknbt8dbv`^sbUW+_doFv2hXZwUvt$_tYy%VdH|g=;J=J0ArZa=&UC2Jm_wAL2 zPikkM`Kd>G(rHg(0iibua}aiBUmZ908QYqR1?*+Q$>%C;Q|)WRt)&>ElBgpYTY$b% zkQ7YnRV8>1+pVr|`}sm8p~-%uKrvN8{O^)4Q)K9(WI&r}<^M&v#uqS5It8h9w1VL} za6M{uHbDo%1Z!c;Yv@|CyT3LQ9P5`fmviu&?wJom2aB>9W(a*Nj$_btnC+fy*HgpR zi&-4jbL?(QU~p`*jrz(h20!DYx$JT+aYh>3T+LMF%AjpgW;@>Ojq}>IW%NX&#vXCI zRZ2%0k2IuZHnU*U&#nOHJ`8A9yQ4QQP~=b2GhgxrB;aN#qrXVNqbe^De4O16`(2xp zNLd&`DNrZm1raeyWY(s4YH!3H0EE2&sV{Q_o)Qp2pCN<2aPWkfloardRX;o2H*)ZV zC&M{50<(-u=gqmkP8Qv{>4;Nt2y(+Tf)$m@HI#A&IU>!4l2a1MI?2>K^?BWYY(#_{ zbKj4OSWk@0Ir)ik=e;5(37lY0#QFfR!IdoBQgb5*K(d7JUlL8DOa4Y7$!?AsgHbE$ zCsz)9cCI^XWt(y2RN%Ax-ly=IQNLi;ZsY|>x1>JMK&!);?fj(kwgePxY5P+W$tdw$ zo5aUkiSbw0RkPTD+pJzn`j%@`eYd9#zH7hw#E>xj2!(DR7tPue(0 z_5>Zs%tzs%(>|rjzNTV}vbL0)ILl5B<565zweK&&w zOXh8xGUx3(-!lsGn2i5Ww^&ZQOLyyS=gs}>rWy#KsUU8Hn(dABPWo_ZJlOB5i1z#I z^-K2Cjc-dOtP|Cz_mU5ft}U@$1+Gv3oOyr{<_f!$f)E_ z_werH)_lD92Uj;vm~?(K2?=H>7SL=};}I>i5^YoD8DQsWVKY9?3qn7E8p!AO`XJlJ zfl5j_TExAsIa{MAs;Gfkcyc3!hI zz54*!S$=6YMMn0s@LG3~#KWatk#q;C>Rd~+Be~4(54H|3%44xcIYT_tAYJo%h$Sxf zT%7^@i3;1;S%Xl~xtroWK0akY+6R%SE7 z9Q`+|?%tc|Bhy7^;6P*qX(-uhhnki5>NB7f47zqJma`c|l$We(IOR@5*wDsg&55Cx zxnePp>PBRj@2_zLFen0eT0JP153l$w8|xqF6j-Uhy>Ue1Fq?({*v50V(*|*YI|nuX z0<+a8Ee!20Lr%-4mUAP`7%iUWrMGi@V+KY|bs>vsuUxF3=D{J(2;r$LSskuRt}khy z4^+!sN3Dn26+NsI&x6mGsK38tf$7coW8F9H@tmz3%P_?2D^4e z{sgOc*e}`Yt?Dg}iRstJn0Y=y`M;cRD`z`?deF>-e(`DdZ`Ue%PA&z$C>)SvHs6R} zl0aGbQ{zh)!rwp?@}Q#I^`&D-?tD0{=r-!x7})8O*a>G~>UOo9KM_Did#hZ(HuCez zPhQmY!E;yjK*_al%QF)Htp>PFW@KQ_Ft%#6vwYdZH14M}(}=#yPX5c6t$qxJmN}XV zDpxAy@&o9`7P;f$^bnknT>ph4ASvK9&a!3T;%cAy3R0y3;KO=@1Fm)){3n+}%g#hy zN})MzLjOLOZJWIOk>jRpW#vxS`TF@0sS+VNv7UziC}=(SdCuG_O~eXoaFA*Zkes}v zkWsafadZB5X)jZrQzUkyV^ds~lV9M2Q$zvyJh zLu2pZ46?cvCM1{m?0Lt%gkW~5wA+hu*eOOX^qgYk+R{|qkH_r{#&BdMppT1}&G(15Ll-NHaTimGm ze3?TgX@LKXExx;z)ZbNfnHD@k0qAavU)y_Zd4*_)>yA3=H&LukM}xu6k8*BjB3KZW za>w4x>2oW-$*cz}K9yaQ5LEPktDKhsz6^-$2Z~s`H|@382Odjpi7^T-)wfGAC5|hb z8h3olHRbk2-B`!$Jts2F)1(^xIvOxeGf2as|G-aC{QT5?`y-hg)8zL4W&6L&e7$%q z`kO=UYEfo8AH71af?~^B)^#u?F+?A39;^)9yZd5-EFHni1qR9NoWbmg6>g{7y%!c% zCB4r5w6|IBQ4zj*6LU>WmO%^QX#X1q=8C6Cj)W=g*3jO079+1m+fz-yraG9L-exZJ zsf*_}sR#8u3_m}iiXExHKA@PO|9 zKfUo>`dZxYGN7V-tzwEJ7|zsoU=?DcmNRl?dp>^N=*orT%7yRDPjB0^4N>2O5Y9p< z<^`6q+4O3AEhpK_Z8xd4ue=Hf!}%0RU@ZqLZ+Xt4U+6I2llG=nQ%#a%yYPI}%9;bB z#-#uFmQ0eiLKK_wz9<~RLD(7u*c$L(-ca1&N*){6b=_avYZzQ0t@X!~C#l zb&gRssUd?TX zvlECgP`{D@I5&WPJ))waG?AwY*3g{cgWQ7bxX%|b|3 zBDI4-_ZkYoCmU)C)x_fS)oocOn!H{abYjE_0C-8@GbK8r=L?mo_WrKPlP0PUx}wQ* z#vc6_nsA2F{0)(94G3iFK6mn!W9qrWW$iF8H79IcApz_WW+!87nFNLf<~h1VAtua0j#b!x(CeTqr*S9aTR z`DzWw+>@a^2m5inMidHn4?(4LK?_&-8J;(|i|#+igV?@!%sx^pMV9`qvBuJdglyDO zDLVy(gk_YPQwx^>J}WR5iZ{MCyeCZXsEMTMIJ`>A+hMo$ ztz~1;yxheJTJ99@7yESo{A zf?6J3qsKcMU_^Hy3oNzEPZ#lw9PrU%#TsG3dG(A0AWM*q=56ViV02{j4G~sAsJ3oe z{6^!X@|W0o$Z+0Z;%EYWSsU!X=P3M8I5s9&A_NuFWvYufEAjWW3*!GLTZ?Xbqt^o^ z?(*)fYjsD_mT+jy?7$Uf$P~bSovg@qVnX0u<6AW34EyBAyyx7lvJ%#RHE(#_YzdC%JSOr4)w*Yi9E_rCtSJvTj3XTl#dVAGqaU)}TR421~pusgx_ zK_&+xEX|~Rr`)vK47lD73K!Bv^!)O2m_whjDDZ_ss~(nZ<5!R091ZWkFi^)_k2Nh} z<+>Ri@n)41?gKOQ0jfVnoFy{LJi;D(x<`~5A_ ziJ_yYFr+F~;T!ka{^dcOz%%;no>Z_gk*7D_?9ZIYQx z!>jIpC%LJA8w38SSsS=N#6}|{Vi3~AH&d^|(_>itsgqLja-)nB>915>>-SUly z;(+f1#RCqXsvWeDW|Nj-_0w@3ead4i{ORx#sbkT_4k9f98vCnb%6Obp_MlhA5jBZK zww+QIJex-`u)U@>@dwC=TX1V2Q%|IcRyoU_>l&lb)MQ3y^poH*U1#Zl3_sYA?E_zM6l_U1>Ivg0_KM|LT7|eDAvkd%X zRzE*wGV44#$w#iNv>BG;3%5MPOmP)-XA=bm7y3xZpg43+ynaETJAe@B+=CI1TsuzcEe9>W*jWpdl+x zDc;yXf0E55u0n6#VtS|vO{5J}T7+IlRyhq5+% z|Na}K+mQoV5G&_KD-{<^8r9Dc7I+AwPe&e{!9KQgI2W z@nImAkOj~=aCcCDmq6b82@H@<5D1V*j!{D-o9R`;6vZ=h&$hyi?*#;#_NWATJ`GgL zvkuIRIXzJB^aXg*6_=2DW(i|~t2kS;AGhM3n_0_-jX!yeE4DTu5*n6^MA>1NYW<0f zNIKn-tiX=&qN)7&uwM%lL${gGf}Gd|gm%)027aW8^w2>iSCeZ*`)~rE&{u=|xY!}O zm=i_EY}v`(8GV(6#aDE@T~D9in?-QMc3|>|WXCv>d`y&|F7hF(MzGZyIt8WA@^25x z{nw|{`@cF`0!!}fMG;u%N5+>sRbMH9Y*WU#uRHUIhchB1|C^M74o_ZNMeFLY@z$eb8 zF6v#ZxAQIO{U^ukdGzgw3n-c>xK(eFzA?l#b2j| zYftEs^gY@DQn7NUvF|_7%|BdL59mr$)YVGZwC&a9@>fNg-J1$XY^;G#8>cH1h~FVEls(dXO{`khpL*sSM;>FTO^=i`Ro>ZRXm z@GVNB({uEu+Of9%0vNDT=ltreHf-|i->lL4o~vw#+cAw@iqkv&5f>$wLxYhjVxq!N zq|(dj#iTt~jJ|!QbD-o`G}J|v4?9!AYCJzNtJfOiyFj#sjRvTU#8@J-ZVAS8{|~OY z6w_&Xw-wiO-|Uk_nN7N;k!aEuru3PY7!ih;Fm5c(tPes|AVAh7Zt4;G`ru+rOaWbq zyi5oxCD(;rji}BO&wy9i5qi>5%CeCLI;gClPFk3msMD@}$Hz6SAwTid?Jad_>#lvO z0fvgL>}grM`L9R7sG}Y4_YGkJ`N~i#2lv ztHDu7A4f3mK@XDi@I>}7J}g(QO0av2BmnZ`xQgh6ZcI6TE;u3Y=oW79!@ZKI%kX|T zR^ahOto4JhnCCB-`Pp{&33qpiL+e3vIeSU*^=r_~Tk8|NME284{bW4TxJ`**gBCMx z!@ip?vv+mPZ}Y^s4sacvz^LL}18CpGEKgIM>!=F%UuvKagvXD=o;L!B%F@9h2$#RTrM$()#$;L^F73^N*Vs?v zF--FHNBSLnyN(YUCOzUm#FhC$Ogb+aM^O2|JI&TYNz|=!9t=t_l}T-nla3l}nI0g| zwQL|91x9_JnfJdYkB=i7yXrOy_k0`r3&VM|qOas6?2Qc2S7A_!rBjCrQa?$;N&MbW z?`195ebDa{{v_HyRkGCdRnd5-++c51Yf~wra)r{{gLZk0kwg{m*lUGX{23qzd^~SaNg15vYs(I#R znx80&cVyrt=w@_^OJy+#^AKZ}I@#W>_7*%mP+YGZ$fQS9d3%sJ$e1(+E;uUh5CLVob6?mxRKtc$BjhQ9?%~IG{95d?-w<` z=xY~MuAQQ#y3FRG^9xw#6+s$`)_!!{OdUAw8O&RbM&;puq?Lz!U>U9BCOTo9vvbuc z7(Bp~@*6yMijCRV0yTr04BYhn%R54@Z1bokQU>#84X%?l9YP}nUSId{?0+ny1 zp=SfRufG5*R@JxOOGwh%;|H?Ed!;=|Gb7 zp_XmRd;Rxk(@dgyH4TS=gq8~1niH;!?=F%xzQ7%o~NB#l1KSo|^U-O+BYFJ9AcLb<+q6V`(*u-`i*y zOv{7FSEA-ibmTC0aHBvpO`8IH!>S$->E3%Aic3SzL!QzV1?U2~AIC4x=(zek1{#@W`#wUBw$^ED7e*UzV)eoH&Z#=AZr$-U4e-%fFr-GqXg>Lfz!86gO! zUqQjTyf~apt)@P${EENj)) z!e{>YQBEMaCy17mMLGj{V5EL%#3!wVaBC+^pZR#O2vDG1WWkRGeSUZ!Wutj5yHXGbniwpl^I&~v00qI>9e(S0j z`{_%7aYclvy)!WDKw9DF`#=fXxW=P_EXUlQ)R_AI5K!szD_D{X?t^XKJ5-#?LR7?A zGlbh%WfBPmU5vWOrY9RLfm;NIT~5}LQ;iD!9Edd~7x!5!h=7s%$;Nv`S-7zRHmgV- zm{3Jz*r(R;kyHm+Xfd zL>k1HN_-UP)%r%Ay*00I*-zEJ+(!JZETI)+CH1KF;O#8U)MORV2Bh&AWDH0###rPQ z!Cl(H}pBEWH~BLV!JhAZRN<$IM5X{4|MUJ+>By*gsvA$ zs%u4pzvgKPj#MYTvl}Wzk6)Vs8%`i|xcWqYO02y#0z(ey#3rRuZCIKw%c10C$afx{ zZl~_hi0Xtl)kIdbkj_7ZOi?ZwRqslz=O8dqmrKDfJFxJcy_Z$nTHZ01syAY6_ky<9 zJo*_-A6eBHi(l1Kt05boHThbpcpx)}t+=RI{HmjNo7Ir-oN=G)1V)Zrcg9^EFNFA# z2HA>u{cq+ zEWczsbIVS5zKCVI%mr0IIJnbbvU&&dphQZHo9KP~`ZPphA3HY`yNPgGIxCF&9dFP( zGhkJGt9h_}qVaq@GFkZxVIXaD*kF(M&|`>jF$7scFV38xY6WgJU*I@AW?z(Ix<6n))$EUp_vn!<(Hkk<8Y>rI~ipe*f z0ic-RI_|!+G}8C}FMBDh{{q1)tvHWpM}68_B|aSN9808Okb?Ry_ry>ZV4EV+o-`qH z1i@uVn%&pg5$7s#9_<#Xb_EpUF3(@~CZ*91wN_XHq#-H%}=Q67g z>}ptYv=S22K1vK3Gy3yD%dK0WBgLrSm3HivtUKs&B&jM=m3G5vS@uY7QXq>y;2J*7T zmG?7Vwnt0Uz}qHOy)Zp+Dn=0#K z>8Y;wQ@V&4MBQ6c!Vl>hX`)#tyXO1lwsjc?(N{F;vFu?wxgjpj3I+rJ>P-=RKX}{h z6jrQOFbi%vHoaMd7X^iy4ALlo00;`7nb)4y1@pg zNL=blvv7^LZ3<~KJSp*03fzXyr?-aH&V`?&U7%McuAxlE#-V^khn@NK%@O6e=A}5Q{l` z_B)&VVQ}Nw+I1pfK#hwlviY@dQ!QM>VE5T#r6;4hLDl=p>toHM-gM$X(syGI1sYg_ zZ=U?|p;Gs73lCUf_~!0n{l4(P{3~+vF0@RWxqp2S_d?OV@^5xBI{$UN>YcJ@G8dd~ zdSRb`#@sdmp|IYfNs8skn<<(!>OFfphl}B36eMFx={>#>S)s9t?#7t6QoNYf$fh%8 zRil<*9`QHak}-^D0%noq1+4V?H=boAP9~pa97c|a7GxasdPz)I1*^kqr^(_Ea#G#* z+dhX2Pk!1G9{v>%84(qMN^_J#2?s`7IdpzlMw7?)%j1_qWyqh`)>1ShE&HN&P}ywG z6u`#N1S4bDjH|CktiK0uB#~bNrQ|_*c>vAcARE5r(R~V3X^GU_k^Zv7PBA$N=F&h7(C)PnNxhVv`XPs`*%L+Io}(l7+)farYXiR5O`g_%Z^7~UE$z;uXhN7Aw> zB&}vG;|-$&et-DBTPJKZP(L+?1XT5|EP~i<{?p!-bZr~9(lqT^`%yZ#z1cbh&37TO zKxJvH)B_^J|6;?ZP>VN#+U&q1<_cI)hkt;u19&Df~*Rbv6XE z`}+7H5yHlu1z6IkedihQfhj20R)~p5WHa?c#klj-4vKueXKPI(87RD5ds?X0!yW-& zEW49u#B=%j*~>V{@OG*Ad0xSGYYZlz{twb&G2ZY9_+E5^p`$^IY^wVygnG48OK#7$ zJ^N~IGcy;yIP5urThB`(3AA`PesN!R8yo8E-k3_URUO7#6Zlr}zY>8Git!IV28k3# z-~KZ^ez`kmJ7U)-N}HlSYY?>YprY#`24>-*Hm)=Qy9POOKc9`EB%!X+P8#qrtTJ-5;Uk zBvN$gWx>(18t$gJB-6$*yKwpUzNHJvWgjgIpi)G1JD-Qjjq)Gz^e(OTP!bZ3fE$Jn zo^c5oB}5{9BRYbWH4|sNT!z{bNw0%kb6j9d4V|P>(Z7O+g^r+ z5SzWm{W^izp)^Z^=f@T^V6J7q+HZQNTyP?Di|eieybpQjT+1hxk@x7+53KCHWGodb zYy^D9cwb6JPTBF5G@DyF8N<5*PBx7jT7-z613^;`{mvR`KF&yAx>%cEX=;1^gZ6d4 z3i>o!l+)Vq?Gz#iTSPe4F%;kOa~*26a_8$%whCkHa{VIGyBAX>i0|*fE-jP{Eprbx zz?yZJEf@@X9#>`de!O@GYwJ?aw*)gGo){jAUffyr4Xyg6A}3$`bF$U|Go>H~$ddxv z)x#eqtdH^NjjM1F#@q&>RAg!ha>CF3|}% zHRaf%SWJFB?>A>w-_C28_!~Odb3^`1R(R9|zanObHdwC3YV7$(nH|q^c?3gDR)ofp^&!|7Q_F2uQv#O2EtMFIedR>8u6TumR!h6&#q$3hp0l zw-m5BugY>is}R0?e(5GXr^lVmL6%obuAKt!hM0=(!Z93ewbpw&>O98z%i7Y3*U>Ch z{|}OE0x5_cy4b}(&1o#v|LQNUh1BS`02&MsOV)hEBk2>pk^A7dw$}SuNH(|sIEH7% zOI4~FCMEZZZ&184gYNgq7mu_y1_NB++_n%FA5tM}s&AiYP7sq$YBcaTda zE`ZokgZp9GX?huyBeCi}dbhb~jX;SKeC+-6SL(|LdH_p5c93&huI)?gGqNSxP8K3r zblZ%;R-&HI^BUkasTBRswjTzNJov-%?K1xh8Jf<;A z-B(+$k~B|Wg#$`|n~$hauEx^7KR;%(-0XueB+jN3{lThb zd3G|^Z=Zbd401FAYgq6uCqG_?nP@5`VyB-624G@QGsV4qBnof{+i1&Sn!c!vfcO08 z%@MSCzt%shUMb_wk2Mx=Z_vACv0X4HTr1BY#cOxNC6Irqn6ZYL6B;oj+o56#}-&RIFwdEfsY!F@04QTr}#oG zvX|%ADqqqMUQ4qEebs`G#{Ic$h;`hI{VeiPWUKb29$V^bha=g7pNGc9_A4iTZENU^ z$0BZm^VAPlBGP?SeU-3Mls#M7K9m&`NQ!guwsaI))$>0ropn^x|M$m5P(WG~KXi*C zp_HRWcZ`$d19P(}k^Mi6x6kaOKgGS^$u))>2;2_*UDaXRUw*e>IWkB0oHE)) z(c{2rJ?Zs%h=BWJcmy?8bc)J+Ez&i!>eZ7McZ-q&?BTG8L~u#J?3^QO15MYhRIzuDaR%T+Ze)n7%ZkUcF|I_yqPFto-%m%c*TM4StO_Ht?8p z_@M%2B1egCsPgWUbPQvv%Ai4`a%`OZlbIqk$!Q=I==-06DFn?Kw$cb(&jDZywv zC#TrvI9j*Mr^m&5IN*!%`}gVSQ-<){wj{hNi5FBa>rfW zzYUzKuS+nASyOjj=ms;*W*d~=6P!ddpP5&PM+J+fb>rRmc`bem92yg3eoFb_zOUHF zM6I*QBw0=p>$HR$I|bpL)>D%|OPRS?=#Dbh%wVIXtdQD9u-*GvRRPwX$#V25iGz zDT&wsoQvM)LB>@%E~RFV1;X>q$-nEJu=<^7-N2j}c7X(y*_5Q@hB(L)Ns;er7%^qj zTE!jBEy>}Af%V4j=Tf~K#pMV#6SwT#hYrJ#;=q3z`*i_K1XgnB2q{>d!!=T{cQ!k%1ko)E3P>nOTOHN7OSnAS zxCy>iT$h%(@nYoHkup_QVs*@VqIXR-#5-~SR!MkTM=E!#Eqt(X=>vDDK9Y<&>iGf;OKMiHn9WYD z_i)_T57g(}w=7TWxtUz_MBz){j#k8aA~m_y-|G|%_9N7gK#Nb8fxnwU@;$>AO&;v% z_SsT_O~@WKzuhL+ZrAYTN<+oTydN8|(;Q_-yFuCwm?;rq!He_PQDvN9LP6E;m zShJ~j41Rfrd5kFmiVIfH{r2}H!qE^{dj9adBsiw$@$`@9pH5Y0#D}!juZ&u9)Fdu^ z&r4?9BSyn5HBGY;$BehRHEFA|wo4lj5%fh#qPWlAuNolS37R&LsS$jRh7ng`u;G+A zl$Og^l~5uRXM29$&;2(t2j278+I{(&+HsuVNLUvp+o$I9W`vE3$dBpRXf1Lb3T=0l z6{B`O_uCoJi!^$Sh3IvJDadFqu{Nw86E4Ova5Hm~x!*?lWz}>n7hpN4a~15q<7nby z!Vtkg<4I_^N8BVrJT>DPylA){!#;=&9XtMr4}eq{zj(CKc@%kP-N+)bRVLO`?;{X@ zuNiCK`Kj+=U=LQ8Cju!>SC=D7H)cg+EF&ei2IiwYlH<`uz}(E1Qqe^!OLl62ke;IyhVsGv!8su`yy0!bMZX7}i?yQ(1SCWDnjTtA5+d$2Qw+?lq%JPsn(@mmNkqGQ z?F~2YA)@aiysqTiI~5-T#UbQ`u|xlvE6k-ELdVj-7W+e(NM=MbG*CBQrx+ghv&dll z^|P#FjkOQsXTk3|NcH8=~j$FSw6IT zgh@4UqscVbEmBP7J$rQU=vWlKFNEc;N1OEeBmUz5unTma@sB_&N1F%6?*%X@X6h>% z7EHTD?NMXV%HvcYnEVMV@$sqy%*T`LFz@buWDvKFE~rBKA&Zk2<;?AwMASOteBHkO zfSc*sObtzH$&6W%cxMd<2B{7=VL;|qdGO*Y_?UHV*!LWQAK{Lkp|O|t@C-f}E>1>DK{-V0 z+%lPiOYV_TTRW6Z>pb|S>UmfC@tOImE54qR{Z89kaIbsZt!TqiU2ebcrcft%RMSGt8*;X+StPC%YgSQLz*91P>M_qXQ z_etgp;XMFwVYPJX!SgMS|^ln7Q|TX zDO#ryF=ut|5k4A|OOJ+&vC#oHlh=)IBr|5~tWf|in{jeDm<5}3`S1QqarWUXXRT(Y z5f?8%8agvRua>kba@)cY;C%}`DYZ=W181Vy`EpckLm1vN&0r$$n|Gs4eT6@&-H0cf zdVb8=3dB}c$5tM#b%TTu7x4^Vld>#5X5lR zcE+$5t0Q1isuPq*Y7*P5D30Jxo!E|p5|k9RZpB;Bj4|sa@nOId z75cQeG9H$sTza6^1yowJ-2)Z=eE#~y^=RpTv!i@Ps4hla%E)VDn1=|sc|)ZQjMr=ojy!7fxg1%E7w>@X zX*osbMF*iB6BKVbB|7kdcnNLOfWI+~#Ti`(P+(y1G&UNyM#`>^kY*Lv2Y2db&Bi(=|iw(a#>I7#aCq?WA6wu4UTmXf)W!aFgvzlY z@q^}l+56(kbI>ZHzFRC7XKFba&;3c3XT~J@U*VW}%)k;vl4M9MFP;Q2f|vpxcnw?T zk?D#@A7hh`vvxn#IYzb+exqr4aZ~CYYU6M%FSSMTTbAeCtG?#&A-mx>Ww70P&@ty9 zwimmL`)ndkk!?9B>Q*c|!7)&1rkl$dYE)05LPf{8Jy|?$*_g1q^M+aBCvKy?I=syW z&$$qyR=zkwWX0a!sn_*v!yS__BX$VrM-x|XUn;XUrcG;GjMZjlczp`#j%=Nl@2+IY zN>b`rEf*D}SG4pnWVBEKW<6S%{cb{Ym$~b<)yc)eqJCquHME4$ucZNZgRT&@jj6R|bbzTs@ zqZKCZ4-17k2s%y7Zm)=k^-?dK+p&ZTJibgc(U*n~#)XL8M(D5#hv zhc}rxPl!dP>foVW6w9lxl!_h$Ud=?OM8%$mWGnk5y!0JxqprKPH>qczi@kTh)@5#MDwWCp>0cik-wY4Uu6NNzOj%S#9W7Mp&yy;%X>0c?^p7K0+5V4 z=-r&pD!2E43jXQSd-qN&qnst939nL1g7g+_^#8ttvTKb`EHO+inb+?E z>6n!Gvw{l!hu%01{`7lKEgG0@Tie5AE3H#7;#5o%Dmo<7)}5n6V?F5yhEM5!b{qb5Lo2vGpl8nX-b;;-oP*tsV#B0 z$@&4u4is=#PyXS0qESU<&-^k_t_95JycP17M~0LsK&4ZqU-v3<9`SLI>%B$-Do`t!Rz;NGp*v)H4V<4Yzt zx5KmH3KUi~^k8n?#!w(%A5Mec+)>)w#eaySy}c`ZY9#~QZA*8|tmetTSMD#T|0g=H z8)1Tal=iBK7W7;s32k%&3;eit{S~<7&VxiRDmcA}hRi!KapqS!wYVA4TSQRQR+oHc z2@@|vws-UvB#!Ob*Np}cLq^iE75U-K!i44Enqt!&WupZ?QB46h9Va3%OAHelaa6u} zFmBW$c9Io%Ilj0tZ-J!x*-jUa|vW_w#l-LhSK2Gt$gH~wSl z=}LOOesnz+#gZ7ij7Bx{nNs#~GRNnmUKOF}ZY$gnKB(`?F=wfm)=LUTeVvehwVW8#Tne>!(!xy>-vk zp1uLqnM~y-NDTGKXo*{O0ax%Pfh|fsgfbFaY%`9}bVDOe@8|Prt@i@v7FHcWegB1vP^IGGLtQmvu=Rd=yfuRG^AK zbVaxRmrGuDwEI(QB{nU%ZfNQ#ud32s`d` zzYE1#B!Q*xQlCZxzw_F!r#bb1dDqJ%?GQ+zD@dGGiHG0NDNQ%l4o8_$7>!}7; zO4yuCBh3oi?Zvote>+TRM;gZgoU8_7-Me;;KkJG+Zf~70^-wzIQ}(Q^N%XGCjWaX; z!iuHel!I0fM{XhI>pBg0RnBsQWc(B2UE+G+B?~5BeH^Y;&x50mSJKw@AMzt_6%O8v zIGU2G^rm4OStaGUG!4Cw9$NL(qyYDx!fXqG-6e|qqc;>H;=90S57X>pH|uiF+1n=i zosYpQMt45j(~n}w;@B$_$3FhWrvazkGm#Vgqd%0~lZ~po?)29jO^7TD%WQ zB(I=~4*q=nOg@@0dYi}(s27cc0GVIMsVNiurK^=AzTsSGCn z?C&ik+I)nax?FFM;h%Jn#~`Kwx{L&4s1q1hc>Y20hkov7PuCM@f4%ics!v32@yA@F zxH_uuYA1g8PA?M!G;aX@mrze5gtsAU<)_qh@2vZ?;>!1Z5#aUSI1?(T_4BZSpbK*k zpNdw>m}$r(>)w3-iJjPd=10~6*8!O8FaB0)fBGXKtbQ1Fy)Me)2s>`vy;?b>LD!Y? zpE+qB)o&Q)L-56+jC-9^|fDJ zb99t^of;7_8U6S4(m~%j(rC}+Z;xaNXU`^-YWlMsQ%JU0Ft^iRWq#}kH+SClZMW5U zwRkBPvCzh`ZG&;$RL#q*JU(ZZS`!TI@T*AQd!}tc{F^clRO6j<-CmUXhF}s^f?niJ zJkzpCs#w9hUX>r&*nJ=12)^Ry?D=`Q$CNM?Vasw>K|L2j)&cPewR~Z z@2pl(4){`Ce}BVphOa*#YC3BUG_PhEt3|E3rkca1bU9h7K4epY`2<)k3t(%-Q@Z4t z+l_UoteuRI>7qZD^G6F+KSug2SAhdcGv8XkQ!Z>LLwp!*}@OJFzi^RU~9>N~^$a2|3a?sfQ`# ziMkbxVItJ>3^y2CggP%9FWRcE85I~di%E(NhX4q3HiQJqX8BlB4h%qp+S!*tCRNdz z+WJ0HVD9KihQ`K`DLf=lFp!Wr(A0P77%*m|A48GQ0M-0oWg@K?aEfN10EtH;y@20G zQlW^P%(s%;F1O3%No$!(UJf|cUaJ$NB?&BW`KpAB6KyvtU7OAVrqA0K|R zd1xl#_?d%ZPkX-o-SD0%e2xb8Sw_M4@!^15G`p(VGy=}Ari^nG4WS1v+!D{EBVp@P zuh(l4?f+?x8kWy!2ZPZm%2}sfxzP%WJ(dH~WRC-+2ZbTrN&{}f2RxB71lW%j^TMel zCD$Ok%Oq?%Hu$sZH7wa)a0_;<7*hy;g3@3UcdbqM6}h!$6mRu;Rp>BQ*@3UFv>j5x z{4hrq$)o^>Mr~OYq2$!zGN+Qf*=JUxTc7{%(l22M z`#257T~SMid1UR!ejfUgWF@aF{^-z@{dsRGkQkw!AS`Uxnan$p9y+*1#H*jl9Zyg6 z#1GM86ehkSKd%eVTf`_F6c4lJrb-^-P+ED9L!rGcD5LIN*zp+??ugHvrhygbWAA)Y z36>pow!a4*#^anz4bL4LR;E%*r1@BqNcFNqk^)~|`Y48zNlK~2*`bd<*DO_&ND+b28g4aA!w`++HnI2(MdprPR|N-DEYpH zQw1xWFQ}ha_0Hh957QV=^j@JLVTfCWCwkRNICu5}`!gjn5lxyjaf&%6yHbdCd36Va zSBNOCQFg^u1=JqKGWF4KzaG_N4-X45$AByye-Y@&( zOOX%N(UdEuyY1He-)-)ylFxIrUEs~>v(Z$gnU#{+cHdh{hPk8$#PG3A(E%>!? zcY3M+Ze~%XyYipx3{Pe2OwS#ArRa=yNc8mn<8FWa$>Dm09G~0;>)Fa3jgGChh{h{( zuY_a31%Za71p8|GsVAy>!+wQs6SP}&)$5h zesK0SUrLo@Qk9$f)bJqetde9l1|;{vv(AJ6eJ&MTv*Eyoq!l&4irJ%X|7uS}lcD7O z#30PC$0|?k0&$t^d*Eb$Di%`FQC_G$?mXvnpNNt_scW)lYqn^`6ppk7D0N;li|cxc zkV7S#V&gTS+Vg8WMANA9#hdse2>&d9>T0)U@McnNPuV)Fo;1vH(}(U)fX=6Nqt@7b zluazENPpG4;#v#`MSL0z;6udZrfGU#?b2+sIe8*XOdinu||t_fih0 ziDOVoZ1yJ~l|pobh4{cS?#4deU=w;c6+aJbq}?}cALlmgh<@ZHi3xfH`*Z5zfIj=m zxKV3y2d;bWt&;IR7T^8fwqfaVzizFk46s@cU?qF{9vmKh9cyIqAALNyLH2G1=ZYLN zzq}5GxVZLtD=wq%beGfRpc<5Y&Hw%Q@8`pig4HaUH$l2gG?vH+lvcL7q=_z|6o`nru3ieV01!s)DavFq( zQk&1WLUfcyk|s~BL3Q+jsI<>TWIfo}X@D=FD zEC^&!c=4rW02y|~7J2~wAk%tAuG~yLn(czswUscED+RLPHc{H0y+x6+(-=p=cyH=W zQ+P+qH-MBh*m@~s!;@G_J?-|lt2g4-=-X`Dr93jE;Dy7gt7ywaR-X{K?xKFqK zCx0}a^T%u(E~9b$#;mM8CaZH5J9Py$YlzYq80~sBbw%NDW7_vX(S863u zPud_A#bs;^QQ%#eK4?iabmSNy)@6F=?Fv&=flC9XIL!VEhx#l^0P2bM+a-0rl7A1$ z{<9wb(f%I5K}S7U`?r(f_OEKY$l@DcjHadBzHL7fvbl68Ih4Dz^}foaolo3=~%zYtq>Xh84#4E-9Zc$e(wGp;r~6#vr9s zw=AU+w%xRHuC)`V6RX5E7!tNG)gtra2|a^ZBToXZ9dl0TiR$Z8N`SY)R+~cBe~Ef> z7a0gBe_oc)r+FL_3>viVu*;9OQqgit*|t)yUAQRjzehAtD2O_Ms0qa*I&!BIOgg1W zE`4D{Z`He2_7Yt**jr{`iQy3@u{o$lvO~*)bIO&AcuzbJ*(pGVc-n~E(1OQhAg#GoTHEcwK_UoygThUTbsUiS@e#R>W0e}`v&bkwM& zW!YhvwoxUWyEq>|))sKo_Slny_jcITS6n@x-kVnA;86Z~qDB2V8>}T!bS})|0}Iw5`6^RH=|)+6 zV}XhQ8S7m_^jpw^+C*@AWr?yhT+KpZ348I`WGG18qiTz>Znk)??)(6RCEd+&m=MsJ zHLrlsB>I|+y1FAJN!m46wuyS zC%0kZWRS@tgxlr`39{+b`va*nI9Fe*r|ib9!;g2?)0gI^oK!s#aV;RmWB>}26&|Wg zss_ly5ujcP$|osGk`Xo$-|P!Tme)<|g{cgXp092lx1MDbMF z-pDrd;K&UpRkfy_ufSA)ZX01EqIv+yt#v2|CxF)Gjn*PPQ4+1?9(?>&>MCToxSbRL z!&jaHEy^^eySvQO8;^*CDtm?&S|PqovvuK=(n*p{6yR?GuM|7PB7Q17k@9vYHS0O2 zl|s7n`uTxQ32Gy-aW6b?&M)%Auf2{je>!+_4k%n!ZeoM{wp>*tE;Ni|J2p-^S#)z{ z$s)>y8a));0_d8=Tq3u+NU~^=Y0r6c9z(q~AP1qyxP^32+{t zW(`W^S^fN1>UMzE$r9wRAwV5V1!7!uY*@ea^hwYAK#6@(lA zWpDJb#o8utPz2QaBkzi_2~t~N@Rq5MjfIrgLZ0<-!9RDn{KnDAx2%-~b#xYu3j(zC z>>6lNXe&yU0M4kQq{;~_ebUbnrJ-o z+xC@;y{h7gJ{UqXJ>NBbSp{RW{z&Hhb=7X(6Rl7jJ0Ty<4ZnU$LsPb9saM4Wsu;f= z`s;&T^%@CX!0UR{x)?H$eB?CYPX4&Ls5J$qA4?2Xa-^HWerBi*>hH^Y3ABciA3AEX zdd9HuLM`jDASgyoxh-UfJ;c zj*H>+xmbe&zlzG!WaG6+8O{yABw>1zfI5h;!<>hiJ=J^+IS6QZG3w1i7chEfW4Hm7 zEP%@8<1uG%orfRbs!;O7p2l&qsgFJX47w{`)ubRU@tF)8ur!~J|{p5~dkrRYp= zrOb=wy%%$myulpsnxDR8ZZTG>Brfw^Q7jFN})H*ALm|o=#moE(r)CU=V?NbYz zE(=Na^hbUbQ8jaUlPF;Iw7OwrWb-ReWB}_Qg=bS4Lph=(mU~f}_ia`feuUiKCB}~Z zYurBzzU8fc>k+6>@%+!+^v@d3xR*$Kpv-I7PuKTSH;&s|<*-ck;1&$GHuF+9p)ro4 z^M^Tx6ki!A0{!t+t^yT7(Dhj`4v7CgF&bp-QPMF8^cQe>h)h9oFg~cY<}+Cl=n`}L zWBqd5McoOr`*zR8i;_l)JyH-`scSW*cL~#e4oFfS>G?g9dy}q8Nsyw$*>kK;Am9hq zg+I8r+o+`bMI>0IANHyORa6@b^>I30N3YwxDAY}FwMzSKaV9cZ?SV;|%$P%FLwcT7 z33(}LDoCeg8U&0NB{?fMz#K&B^BS2p?muz7X?Z@qknWMKbus03RV! zY7`9DrA@M-a};ZEsf^B1#7jySnj#{>bsrS*op8 zw|DNNl7C45;{D{c;IS$?`G_1X+8QkqjC~RYL5&Y%!_Cis$Xmp}52 zT9)Copm+QxTUJa{NxU9-bDGx(?m_i88^Y~aayWA6?ezQ;xFyA>pdlHb0EKp?+d4z$ zNl{QUE}w3g(3kA(y9=cwzRbckE|uO3SVgP;=IE}0@D%rGy?vty9t;Iv!bsW5&@e{v*{Tbu!t$^AGI)p}w8{UN{P!16} zlC<8=J4X{mErW#P0GSfzNHsCFQ@C`X&TwKB>ktt7O;~XAin6A5C$D$h$1Q%=bYN~o zHS5=iUGvCgMuz?}iH&hLx`!G$%^4>%XU#XhadiP+C7UyB=IZkN(T)jhGdDpI}vf*l9(~(`7;&$W| zqOEyxc0}_A%n7!V#r0!vxKRmN>}|JTUOT?%<tN!|cfV0%-j*x2XDyDHI0 zd_o)?9oV8I0%*yjeSh>6yY#zWsx|fqA)jqS{Pj;hIl1ni=r7wL7ig%B#6I@uyp1_E z#i5!PR(}2KN@|_)CpGltxlp8~(gxe+;O!?^yZ1aUUY62F_{h{>vewLrP=B`{pX}MK z$6X(dg6l(l8xYPsWjDFJb1ox*-CU!TjtzL*CG^*4m>cjwmIK~q#>LV$FwJt~sya#w zn|hIsJ94>0Mk7~~W&@XeEVma6&WixmNuXhU-EiwWr={pZoM%X?j3LQ3)5GzN;J-!- z1bsQwe9FTb4w$TyKZO%Q;^N)Yc4jJJUgj%*5~}OGKpi(5cl1GN5$F7OJFGJj6>iEc zY4+ATr&8_vL7)A=uJ%B7!WLT;ggdC1jmL?=M&yxnXs>@7X}8o`H* zv(yOo*LI5#8|bsmdL1Qp$nD$*l^gmQ*uT5>GXaPB39AX9!yTD4tn@xW;?+J2mmJ^d zpG|oEBRHezb6F88%^oi6Bs4-KL1{Lk=(`30>VF>Z#qGE}+cm6C6>DngI`d)2Dh-p? z13|f0jw2V#*j3_ezZ*(#WGEITq4!Zcs5zi{0l_ z=T6XuvDKV656*5b7D5KkX)XO>hvE4#58#t7m4`n1C2YZWi$j{BPMvG{d%L39f{D( zCIWt?{d?lnhyrUTP5U!5Ym&}}W3Pe-(^rqq7oO{%F{VQKpHS1YUr`^ffJId!OOpgiUB8h4*lL%shFqv8VDFxr-80;e36L1 zuUtY~D&}JLsutjTl~yPf^{8BUp;FBX%DPNn(%wEZyK=C{ri>y#r-Ub{ziWYI%>bU* zl|8^P6SUTw84L&S_U-!IpT)`$YCYhk_jB?^9!8Dz#72!Fe`^C>9wp+A^FC2KN1u(f zoYrNdG{s>*TroVw)brSEprM<+)Znd0C(EBLnXqnX@RacLbC|FK%nR|1VHp`%Tf`Xm2c>e|)aA%6&-NUk`B*PP<&dns=NuGRDvI?ARIl z-!;BSAml>>RBw4B_{xgwfTFBBAHO>Tao)RH})-6yh4zE^^0-T)>6UoP_+6jlHJ6HtL=`!E(+LTvj= zdS1I%Z6RQPY<7fJH6YUPnSNZg}O9!ZgEl6AD^+G%XtGoFVS;^B@#-a4hzVe66} zJE(!f>2U-s`EEWHR4t?bg4pC%F zn7*6iQ)5a2szF&uJY}(o>E4^_J^!ZhxZmX$T0ywdWqJSu zuu7q9mLSqfQECRkG<;+()MU?D6zQ1qLqVZeO)lsI~+#;ftJ)u>Buj`tnZTWYtS$02Of=EPHzF56^ z=??KbDf3M1rn7{vVoLKi1Bx=vG8ol$@{5Qnp0cAW8;d>H6 zBbgq{fA?T8)t|s=9Ax|NH12xvfz|X!`0^xzNW&4+%D}RaL$j~)Qi)$SS0zLTfA(;w zUoRPIZEWv*PGT&-IhUnY(U}AtzA_BjpMgoa{LM03d5X}f*e?$DG5`!#AC^r)((j!A z${|SWFdy)Sa1(7(il-zzty`;ak=UDfqF)x4WG<93861_Oe*Q@ia_wbS#rZn(_~h&p z-7#m?N?g$jdC|(GsS+9sLZ1cqzsmIz(YG0RqW1kv3Vp_dJ{u`IiYi(e;(ik;(pbAv ztNQ)Gv1sK_$*T!q0M(`-kbXF3B$3IJR=Z0lS6yovae*e=H`k6j2#HXqlMzn8z%Cfa z?FQG8zk}^bPaf@c!3R1dsw;%IqnqxQCQW{0g68-bYP;>)Z~4@n{FPvDC~;ozj?$c) zTybFm^Ev3lVXaKF*w+oHN7yA+2wpOIM-u;_$gtUY%6mvD#eH`ej3)o9k)6kHrdC;amy`&cB^zFMa=Qt)x#bUsM+@S z>EM8Dx!znTBX?W)HXXc`4%tG|o-;+AqlI3oqg}f)PrmY?5Zm+ZshfI+X&&Z-Px0=K z_iya%S&!h`zg|^V&mp3U-HC1W1l#!r;%(tUN2Bd<5y<-o9BtQCS|a};P&jtl*bMe>DL#jphhUe<>*h}1t<-@KM}wYIuLRk53(zgN>ej$ zV^Ylxcz}im`#&=6L5xR_{sr1K)RnXxLL5lsUdo}!sL!NeX|D5tPr^$2p$;X<|va~#@pF+{W3^UU(SQAr13WVJG6GdnI zh#WuynVaBS0oo2)IWJq z9Ll07OZj>74RsY=-`S4Jh75}9C6YjkJxw_#CL=w8lO3g8xuGK@_jPkko?=7|-1!4w=nn`9k&b3ZBa9xxXI27m3u9xC%DTWoXj87>`SqMT=}1DGcfh-`*a zb4NfsCHE$6my7?Ye9PauMOt<-8*l4Or-Ps6$1POf->RzDC}uWdtzp$*pV#SLCP`zI z1m?FH6*O3h00S%tI-t_T>l__?fM0=u%475SStKPFVL|)q$VDqJTXUVP0R_=6@d9v40X_ntd9R$q@0!mknld(HKUxrFse7Jvjz$ia*m1ymeA6`oo(ml#ideA4k z0{!Fc7ZIGIDRJxz016Ykz73YtQJ4P_-6~3|rbpJ%mH8P8wS{pEzm{sN!kGklA|fKU zhVP7efmJ}*yY`HQ*#a4cLo*Ed?`Lp{MH24ZMG=cYM7mgxO7b{27;jTLUW?QUOf^n|t@Jle3RxNq==n+EFo zx<|vqW|x#U>AHc!Tgohgft^BqXz)PZq0>p9c{lu?aFXFaB1g4W(4bBXMdgJFnvSkhCa*520ccJUMkZQsDJ<-MfN zJDg4uyVID}eDmDMZ+Ke_-W^GUQhkXmj3xS`Vf`SMba-xe6nRkoonmcU7IcOn;h|`GY1c#t)cKgyN%u zRdN-0wtW5sc5Ko*r>% z`k_mes4+Z0L@FVDPc;>t>k{~|qLdT1NJvz@GAiPJ82L%PCW4T)_LrRqRM9@=c1d0Y z$s^tF{1HkcbU-Irtv({k0lb>bYXS-T0^!*%hkvL523{h(Q+FxT3m|Y{VluiI^4Xt6 zyR|+N!?NR*c`CsY+91Jz1kLpYWsEkQ_l_&#u4II{#&2o2>jw6b0N)KGYS@`~UzPwU zZsCRfhr1xd4iOB0d()X2TF?I0(>hvUtWq=e8(3e!OrAGj#+$Vtkb!4@wR;%X)Jk~A z;wJAjup{mUFq^FfhE}QK&&X%_&V|0x=ah;ixD%)Q@Xqs{4{%%4?@Hp9qi-N9Bugqx z=&{C>^fIBSrv%H4vhXt$jKMzc33+HcBVGO%GNk;7Y!S^7JR^QtPNq~A{I@Sa5g(R+ zmjjv!?a8xs_QC%D|E?RjWL~pqOhvXS@&4vMbZtQSje=L?z9ej218vyPOc*#lAFki* z4=5Y$zXtj|FM|k)1ON(c{KB$r_(u{)^In8OF@4uK&%PY?8Y%@L;|HIVuQ7oJI3%qL zcOCOi7+=HhkAef9+gWs4t^RUC;LRp5H9JR*D$W2*1${_@mFBojM*+-5#m{E%e4KR= zhyU0)+AM-_+gKLi1KiP$p}JDc6JWsi5&E12wN5^ET9>An0Ka2PjI*3}lFIWku~71r zv8#CU9JZiOz%!!SI)ezYm)N~s(L67|Q#>R5r{p*Aqo~{O%CCkhN`0g3cl(Y{T-SYX z{qxCsei1Rg%j;j2IpSX(^0_^X|Im6-iI+^hz-k|MwC~Fu!2>X}q@uOwXD$JRMqoY^ z)kdvax^?q1_u<}PY0{r>PfYpFlZHiWJG?_+GDj6io3$*HJV*gN&aouU(YpQ9?N?yvN`1Q(<}>D;P0Nq?f(+p*kV~nNq=7`h0Ok^7Df$2%eS!{3T02f4N25q z3y$Ro4jt_apTu5p7u*4JLTAVy(ia`2Kl?3Gje0@So~!%xRmFQi%d<0+7t?FMevN@y zjaCHww4P&}d&LEb@k^wV)1}RWG(cXVhbVj&x!yN!dD~-h5#g`c^*X@4Je563WuXv0 z*&}^fr}Qc*yfMTWGFe~yZi+Tb&_)(NbulqHqRx(w9T3~_|t6?nb zY4?T;a2Ss`q6URbQ}A*^BP-CWSy6W7ymr}uO`Y{DJ1SX)1*BFumB$yO%|q-w+b({3 z4H=SYf{nVEIao<+%Za``*q12nc94!cvOXNB4?n%l>`gve%$^~)XR@bo1^bqFX2s9> zqnD_5aKmUPi>BnRxAfsRxWOW$i)rc5s`J3ybEDqut|5G#PT_s7d4K}zqNpc(H(Dl1 z=-LC@+nLuB2-24X>dt->V;YEPjB8bx%*BTyl&;f8ErRofRZa2pLvHg|VF9t?@mu2M zI@RaLgx6qpAnC2;fwC#Ura)mF^LkzWDxz?TqkskgZ%pTfwr~)F(|3Fr0TKAPq#^@w z=Q)7wS^6Xha3E1OvoPhF66?YT`aS+WW6}}>Eq}jA23ojl|5$8{+fgf?@Jl%@=)z^( z;4%T28PR|?1QBf8u-si+a_`UMeX)kxp(c;GwB&G!&Y7Ne7&ONvmc}*;FgRwxFmZn! z45Ie|PN8{y4%=O=b1|}eT| zjq;pn`Y4&C3sTwLW&unyJyunS2RV{(S=!7LY-f6PPCJYB=BM{`*L4f9c&2vs(Y7kV z$29b>b1f6hapvrn9M`p^cyT8Ji)Lf3c#wo_htwuH7z!A{l0ox~<%9pn)K^DE`Gwt* zQUZfWcQ;5$58Wjl5`y4Jmvju>-7$0{(jkg;gM)NR<4AYcef@p+-u3-+SZmgsyeFP# z?|t@@HO|w;z8Jvyr!@0*gGYD0q{@x`gvzCefV5ooTLG6pdKCFgcP2TObf({b)}_T%n-DTuz9m^ak8x1jv3)QFoo?K%J4H0T z#3?Q?G?9hXGdHErD2>^nyyVq;Fy0W?xf@G=;-tQ`$+cPxUoteFPV~AI+h4}VTX)fX z#LH7>TGA)tK%9|>01Q5z;SrXA6mos;E#Q!>>*n7(J4Vbd3!pXE_$we-qvYMuJuMAg z)n|6Ta?xyOXL9=j5jeDW|G)6U-v$Z2#KvF-pzuhytLfkkb4!uqJ~?6E9TgQXdYXh)5mM1@l$6nRfe&Gdo7PJgpFGqI>5+}HE6g5#b;g18F4zaCwy9+BT z#>zo|gj<1hl<2DIJ(Eqr&xA9_K5x$74u6Q>I;SHfye~_kpCp5|5!Fh+zAIlfvi{CG zGxW(FvCl_`-27lwmsHvb;9NicOM&p*9|Ik(uZ+S5C-*)1wymF93Ol+*VTfH)6)861#TtXUJX^F=mDL&30FK^sN z(&E|+w@lvP?UTQDY_^(*P{`Gz^-XJVm$`fvkLen?s95!4D6aOO@sMYJy0KP~CZKNp z7I}{_Jk2Lbda_rZ6k>X6V?5FN9eNLW5-8fW`(Qx?DC&L;Lh*oiirYUk9x(JGemCX*$P6I}PPRw`WjKIb~ zr*fav1ASmu&+Jbr#eJD?Z16-3{Fkv|BS$D&n`AN$9Jfns$~G2Tdprxii((w|Tj(X4Zk zm)89BX_f91zW=Yz&Qn6oopHgZT1(Ei^3c&Tklb|VPgQ$TiP0>WzCXqNUw@x%%ErUH zLA!YGWGC?D->%M{hxrq0wB38*BN?E}{%&%t2YR%Y0tl~oItww<+FpQ@21K1^XaOz58wo zjJJstgnA4@Bs(AsBl?IW!9zwR*~^=^-9h5VE^sedBrBCCjm!GOd@J0)sO%;MFS=nK z%cy*Go{YH>xymjQCq{+9bN%97LO`NyGoMVPX1mO;2C8st&a{Cqo#;Ogg{BvFP%)^? zN{Qj|{4O%Gzj|5^$ic;J9M3x7=TUY-V`2DOqQtXbv>I`rPK(cc zcb~VPB4MH8St>C;xcSHQTf(5k-3ks(HC@%F%NhKwc*G?TPI>Zx@~(cF9TX+(uAD3S<-R0zo&C*2$B07cM|BOn+c#jGZVtzD#0GE z?2!8*fFJy)6gSlV4LNeEuSp6R1LQuzUvo2_Q#PI`RluV$tmmHFT18&k2-))ajPNuOd^{^lN2=3D=*ly?4>;#f>7QWMuA)Y=RG11 zgx2pzgQ!D6<~i2NRcv^k*fHHo*jIM1i7|)p=^5UWwr4sOQ15%$a7#Y0s4dmqX5*=b zB_rkc8;zC}?%7?5g5fM>ua2vXf%o+pg4p49u(IqQL^p$Ik|K?u8_cN=6s4(g zf>KJg-R1A#&^Oq;s{ekr5KOxVqN!0mD%L%z2`y$-#$WPh|?hoS*qSY`f~G*b3Z14=+#K=oo4X^3utoZez)IC!eb@0d7o!o3FI z7p)r-b{&`w3pE)!nVoJ}nl*!{EPjE-^Dn)+@#G08Bi z=@+ki7NTfbblTZdi_Ta<``$#|?{CMfya{6QFJ1HntCUgKU8@AA3^cb)0qwh>ZnE>w zvpSH#&zoGvhlS7-1C{9eyox+!T@yrStaIWf%3t1XeWd(j-@mBA%=>OORkG3IVn)?T zc=??W*!`o5MCzhN-vg98R?1-GBS-%e6=2cQEHw~n?(eWTLjy6)PxANbSi8pTS3 z7CzQrXd&j&mAD zFrg()kr}7%s=+-X@6*5&P(gr-&Amny=HM7EErpy5Y)Rzv;sX38SBcq?s2YrJBx&Pd zZ?DnNVBe6~p-I0*r6yjLY~l~{rllx;kxRv=X zlsUi?7Ko0BR-RD2czzlhH)T+p)LLdz>GO#lIeeWmv4aGVw^d^-kbH@+Yz~Y(8)l~B zjWYDAJ`R6XXJ>o+)Wyq8d`t{F<88R7(kH5M(e-4;bz4^4yf2fy6#4EiQ;tl%_(rw$ ztYmT*>N|7h_>-w?p&#+x>&J}nj34=p^9YHKE%#~++Y$6*x|(qBCG{{|NS9PP8CrS^3dnY_>0e*$Z!*1R4YoCG zUnZel-7K{=V%Z6KvIQ>=NED#@lBRRepoG2%gl-o8VQ`g2cL)Djn?eSae#&Thj`6}v zgh;zCJn}w^R%-{3J!vqc1T7;h-~uyHX-RT6f>J`J^1@jqW-aKuTR@(KG>HjbeZeYys7Z^lJB2S+a*A zG~QPu*;){zVLVQM^kCG-r)o}pXKpIvTaptC*e|@;8>RmtrL+dm8&%E#&fb7BxgZQU zx4rZFryxzzo&4j0oF8c`s-wD&m*N;(E+Ukysx-5wN{qAP(evTN8HnE-ayiEMPr{Lu z0Kf4$_RpeQ+r#1X_?$47IAmw?8%tiq1-szMTsKF2==NQ3N2{qV031XL^3c)5q8trzuU)o3-LS`yC zXC^8{W!0Ef3TvotwvU(bA#E7mYfLDtK0vDP@woT&{z$Bgi!Hjvt}75b*wRvd*rR$&cQ03OkaR9g3Q?4z{r$IBo`dW`m7HrIPlxUoY;)} z_wv=YjD<$e4C|xwv#~4@!L)DRL^UGjGhB@5bt+z>L)tZk5icLBFATZ|;YeYeXnitU z;=Ag8jlYaS6@}Y`x*23TR!K@SISCUc`XSeTk18I?7p!iT;W0<{PU;BZv_VLMoSo=2N$YxP->rT|PSYei?n0-$r zuCgP<%A_kx{0CMlR9k&iwR{C_B!AhGuAt;6T{>JH^BJ>S4VO~1m$w_I7Ba?5yon^M;57-E9`8!zUGtRfQcdfo83$dkc} zboyK}j8&cj9PVOESaaol+H zioJf;Y;qU$DYv&k7*D`ooCL`h#D#|gJEbU^_H}=KV?{&65B`Ob;iAAPwVkM<0;j7> zC~VEsSr8M4fN}N=$wL# z;GBLa9u~5$I&6=DMhe5{CnnC|?qubk&(`Y_D9$q1W)Jp~fswHexb1?JUcz5D_#&4U z==6TF4DQspY3L1?g;j&<@L4`d2Yzx8?4M*-R`g$oA`3f+HJBMYx3np!?^y3igkA~1 z{Snd#c>dCS?%XxFeJ}ixg*H%()`2U_I0c*Vuu4^g(6;#XGrklt3L;~KBX9M4cfKnd4Ul{%AjP`%@-DHj#{e;sn!VC!T^gX;@ zvFGV^v@rbr?F?3cL1l&8pVryr+^M8HR1#MP$C^HPoB1x?89L^3gFV1EyDUR{uYwWK zj~{MO-Km=~LiP)KSJg4kL~^bvd0*0ueRCSw&^iA0*A4GP#N>iZYxXOrS-YhpPO0t2 zyIDoN$}QM6E+$auH8xOCQ60uJneourWHstC*(!-BE{?yHCJU^f8!uh|L334ma)5FB z*VZxZu$$KRO*`HU^2aqPmNnf|@B27pXd;L^8lXuexdwV2{IOTW;)m#U`N3TAc1*C! ze#%VHpE>+zBKaySa0|3uEBBc@r`Z?6+Y1ZbUKKb;H9^mg z{DaxBSwCkCh+21ty2}Xdj47Mkl9IwH8H`wWdR{g@q9q#mEK942lD&=1c+3SVXGZMJ zIP|Av{HI($7a83AYDWsnPdpXvjYog?l6Wd>W^0{=*sWe>KC?RdqOGl3+6#WSd7ZVsF{bGwO}QBH1P+S{Tr zFurpWPJ^!VlQzROM-Vi@mvBSaD2d4BHjSz-ZmHaSA?ayuBwYcO?2lP;= zkio_x{~d5ZsITeUn*D}`_cX6260h%DSfMf>HEWrPoLwVx%jQDw^9y4~Hf~7)1Ssk-UG zYavKgj{K5AzfpomW` z#^4kM(F+^gK*cV{w$MARcZl)8fqGYOC1&CjOiA(N9+9+(LZH8)vBn=EZ=7_$n}NuFI22^gCl`{JcWXKVm_mf>pL0H}+Zh#L^-Y zPMIQGA1HgQO__uxD37QVJ=NH?Sl{1 zXfZZ8ZQ1a+(kft=AKa{jlaw*&g7h&eGn%t@6R8b8yh>I6!poEpQJm^&Ce`ptBUwl9 zl#M)W9;QH7Mx;x({7zo+OShC@WeFuZ?mBB){5&mEIh2f^@w8hkL|jhv=*#3u0ON+P zEArz2QWYpYo*d<4VpueviUjO@Jt=zbGpSdJf9 z3f7w74IR8``a&)nAavt6WB&4FW8pL{m1_X>NL|@w2Xx|5ktNK^-G;fKE*pwUui}4m z+Z#)RMwFjKaej=i~lOvk{`dmpKUY>81`nLH0HwQxw)WN8)c@otereD4bAq|NLCpEuZtuD$-nf%ez{RSaTi5SaNxrow3hJu(0R|DISN z1~5jCZFJK2myu19jnR*u5U?(+Ie({nJ=e!ZXRIerxhyTn!9cV*8XUS%tQn0iAjQd9 z2M$b#n@Zn=^;ABO5J|x2#Z~9H5`99zM2O$-Y^I|6D+vdpSQb{|Uz(l06CTxevfM60 ze>*H@nNN^fkWJw6YZV4{V=^xwzTivM8M#TMS0(aW^dK=Q>6%EF4gJft+bErs!+wJ+ z-BkQ$>#G3?%=*)jV4nlhWf4`pf?7&0Re5qQ7UENKvvg3gT@4Q99u}1+a)M3q;PF}i96g#6yO3%Pi7=eMh?rg}ECV@($8iLS7z0OIR-r-~knM)SR@~+<% z;UW83Nn|t$cSEcQJxq+xbyOd9#cSZYX0sv)6{K#-h|uHPYy9QzHivOHrSZy7t079; zesbwdu-f3#XM@1tR>lJ&G|JfZ|CWKJJcP!!FdZypkW^}>1=02v)d{z}DZFNY$^V_+ z-w+(A?r(Q^<>ICIlY45ZnjJiCIe3tiR<+{C1ToJl8UD4x#rI%03Zg%)v{I^Xnhzp6 z-Ti7?Nw4fIcNy7hBuJulR~*l@h?a61 z$UCz8saatK`^A;G<)W|`c<+`Rg#^mvw}|#v0*mjdoxxkS-C1Y$+!IyN?|La<>t$Kz z9jw7l8&Sv<*%2Y!0|+*c51zfmME${T#QC~`FfD+R6t|1aV-9cHd~FvdYv`O>tDFJ# z(Hx~z+@iMCswK-YhqE}vwLM)2ux+jf*0AmE_K?f)+w+HAxV(9ih*#)+H0jfY)LpI4 zDo&YR9nAM>Z?B!#EdewP3oxz%^fz4nksgK%MTqe4qHZ z3$4kVf$kUp-6?G_3N!g_x(}tHnKb z)0Jjf>1Oi=eM5uH9t_?Y_Hd=|ZzfJd-7$~jEbSvtc-5TrZY9gfRny&Q{`PwL1!7R* z0IydH4jNr0)p#NjZXG|L_t7NI5jPL=-hbFUWcLwr>dwH#f3`6CwEG)Mt7-+e0X^oO zY7|+*ZX(AC#x<=5ZZd9%7c8FLWIoM&mwt7-Wx)xXQJ$sNDDo^mCDQb=01e#WYdu7M z0*8G%Jh1Sj z2X5p#N24{)m2@EAadcKV4)OmtA``wfA#E!Q{ z@sDeXhdX;+6>i&j%y#I`Iw%p}(YieH?X-)nw+B1dy${5QnR}4Ca8>;Eef_vYK$3wn z&pIf}ApV2jtHuhf8**tM8h)Itejl3AFTAjX+ym}%&&J&V=$88w=4nR}U#Bc(H=HNM^S7jzO0CX@Hx==_hHRJK( zYW#f^uGs(?v{5k|)&{$BcZk}x%_YsyY0m9?5P2ZZ+Wc#zgf7RW<)=F7-_pqD86rx2 zwfpUL-)O19CykGoB*YiKOmBD2n@xN$_X<#nQH61GLug&wWZc*##CU8m{oeeBGHXc0|6s}Efr?}Ucm zc9cwg?Vb@aI8Eyj`m^75P|N6a1K#fLu&>N5yv*;gAO6wG^Y&D`i07^AYDMU1`i}9U zq1mbN`~hOS@Q1^x3*|D98jgGw^`Z^b3iBG&Oj|5yimH4E?f&AHc8J&>a-x%W`=m#5K27(jzhR%FZsquhi{K zq}^s(3Wy(LhAam~34h?9W3Lk>Ve>q9RZZ7Jo-j*pi94Z21|@0E{u^_UezK@QvaK*J zpI}OrL<-CX#)~1mq7G_>Zwjeg+$rwjS`w;IUqY`0Bp3)>bn>sl#v%sWb*#J0Ck>*W z?P2SfNAtSUMc%lg;X@zi1CY|^P z%T`-~YL+uw8rGEuD`DseTHfA#soGb4-*a_n$Cn{>djG6Mag)2FR8eS*HO_vd2`#k2 zfK!N4d$=En{{yF52&c3A=M9)3iJa8EgKbOHYPSMKqCq3P)Ss6#Ms6I22*GBlpXnh4 zGk$0DktIxJr-Tpkq&&A|qr4gUx`2XzqYfIOO}ZRXl+ z5RF6dRw9`Ec_YL$gi+Hgo}VlYy4|>a(o^DOzNTBuu|ZY7u-p0x;UDUS>op*J%MLaA z{zIv@rPZKlItfcO>5a z%cWvour}2KX|CPV)IAX0lt9^Fx(M*O2zew$yAzc$pYxvoJ!4Q;GPn7&mLrVFRMd`|H;nhNyA;AqtV{VC1Q|u}g0c8q5_8W5L z)f%O45Lh+unDREDik&3D>tik4!?Jkubc&t*cG%LbLG4=ZOWH$b zI5*X+kmm?2N}g*AN7>&P&;;?I(a=^BU5C75uG3I%>mHs8HA9|}$+uKjAhV@zLJdaE zk8@-u1U{O7CFrg~Ifn3S^=tW`UlHg1>^b|@@t3g}JaEvvhY7)!{*ZW%iiL5}fN_Qp zx-3~S9uzPucHXVW)1;rK?k=v3E_M+&j zlq0Tfo5wGS=(2NqGF*!^QCt1XpPG4y&xYxm%sF6GjtXa=c592;b#!(dIc9X2;y>yrTEEz;7`7(NN!57`J8L+AsV|J&neno(&-|Pf38bLFF%;eozVbmFFKW}EK z0Vamd_kK25;}8cuO?%L%BrY5y`f`q!F9G<-^F0sJH4wI5#sSMa&#=%X{p#AOw}eWq zW+K=#(WzRY!-e~1peb0BX`ICfU%Nv%+;4LducO||0{4JQXFT42Q)Uu?dtmhNZ{8R4f&g|5W4unaKi@Ioq@qu>b-{zuDOGo zsO>>9temSyTd;Dm4yNr&{x(n7N4B2~i{CyHM2YJ_>72Q)ymPrEcWn;GKg+SV2hxPE zanVQUx{5T%O$1-OTlvl!*DB7Uf|0lGmrdKgxREyf;t|x$ze?bK>9sSYEUg!JsbX>) zdu;JDyui6iMT7l1Rxklm90ZC1efTKGk6>_Bet3jfqMlLzO` zKo*+FH&%rFweEs{5uBPw;->yM-}c;II$;hPTZcP?H%J6bV;pfo@2Cm9Xfyq53i*~h zv)h1dS2uN+eop(~4gAfE8*Z0?y0zkRHR4JJSLRZjO2YJ5SVLEk-&S2Ki!dW$)Z%bx__U|W= zSumyXzTg?ELOZj{PUKg*UBVWNaAv&O?HR#9ZjU`IV8U<|R~73s9g z^MOX`#Ng|QcZu8kn$IjWg@Y%dRCh$NeWTaQnt8_`o9GNtAqw+c+JP?;TNW5tl3DEK z^PIU$=bVP%F>;chjBfiA#gSnr;B^xz7!)|ert~<_aQ>?gp)0>pwQ3fUQxG@i8fDKc z052hFH^Z(Ao>AbIjY}6lNu<^9E(C@1!&qRNCqC&7R6s)WK@-GI2u;j!t#Zr@B(wJB zT>L}4(ayLQD0W^MIvUEhg|%%WnB+D@_^6b3%!V1=y%B)C&~_Cn&c&R-0xM4;&F(n) z+2bqk;fnSn=LxVsHK(O?4DyP>tQbJ_%Lz()Gs90#-s!e~KYdFjeH4C1w{b?^v+gZ- z=DMsX@c4BM{1n4w2#xrg(a{6;sYzU{Q!Z7X5M^XvL)rp?%ja3Mg?ZGwjPpV5;(amF z50~ghVHSyvJ4+u2n6)KRcC7~hvgDW0&X};Bomh8>@>7zElgx4L@E~ML1QBg)?zUQ) zFSRQwD5AJ$1hy$;#6>gFj>#nTyV*F_q-z;`u4rXU6pTJX@AHqNkBAG zB;2+l{{SOVrA~V|NZ~^C>9c3ZJBcJzTvxj>^FWjrD6{CXe+jvz*riqRpFl`h$Uj!f^eZ^D6VAHQT8PNfS}%za*RQ;APA>iz`uc=$$0Z~=;q6>6f@2sbB--PnXi)X z(cozx!}LE!65!)A|F-j!!VLy5D#@WRhVC(-y^%Vv#(i+uV0|Y*8h=HfTXm4yb*9v- z#SBbNEy+y*zi2|PC6^)S!BZ85R4CV>$D_9kfI8$BYHHPsg28}Ynk&L%uJZc6>C{GL zoOJHCDYwbX;WKA>DEyZwddTrdzn)A%iWPExI!hEIJ^$SrHw;F!g0&x;^LYj$CCLp> zW>~j)A{v;>IP;x#RnvA2sdacEx1OJ`$4e2jC!el5dcB0LIpr=3o1Be6Hj~B2w;vM8 zrs3zj&@8!&NyAh||4$XZ0)d)K-rCkQoiDZ=kCpt)-bgK0M|*ASI_Uf7pGqsi4muz3 z&WU^Y2n49{w2RsH2(9!o&^^|cpFdmILu!wAw`s67vTVJnnqy-l&QyWznb?@*5aS>> z$6`!5ucDN5Sdf0@aH9l6KJ40oGv&d`E&bJ`2j>fMGexIk>|UXC<1^XGXan18FOsA z<8R5I8rUP_cEP2t`p=9Op5iyWC|nt3*uB3d5}|Zp10>0HXkgoHAS3(G2%UCCz$#@l3ot(Z*ng?;Znim zTvL6fI`&7AlJ9U4J$c{v;enqbxc}w3ZAAesG*WWkuET4eP&%}J>o_|$4!8x?ARA|& ze=pvqdeM`9B5@oz^@k!654-$@5$mHWG%*en0_~S}hN;X80k;=n`FDGR94FzlO=n3* zaXVqXB|HPinG*iHa8*rVAei&-S!k-$#N3`sQGo~B@;=}7C0RB6tqc%KtI_)XI3dn$ z^`<2%)MiJ{%+cEY5$`QifhNi?us{_LUi_V zg7hd&Xhc_)OB!|7tE`=id)4@-p+s1xM9J_DWcC6k+#mTkKp7ykE7Se}0+xUroa3m!L&Krvz^YF=`Flkn(i1VU}iRszKq#YRbvi zm)qnb0Kii@FgeJEahnK;Kl)_g<&v8XHgN&|Fz8LBcT8^3rIs(cmmHQ*g>-(f0VQNbCB7 zte#1mC36My!JTI08R9=ACR_u7HJV$!IO$gLqruTLqo_|Gz#TDyk%P$>P8;p~JMAa{ zBA|8Q@j7KJI=A)y{SHNb!6W)C?bj3a*&rX$`)myc*P!jkl_M5w`_sY4Kk5|d$fhEACCw*ig_-GwMx{v>u+K3l$I;!^VD_$;G!jRS$7X}D^h$cb-eQpWpWXx%u79uw;uus#+ z6{)`lX=0Md8%0XerY)Z(UbE}fIsVdd29G~FP% zmDVgWWsoCO2RKG?C0K`9qKn*rA^B}F&)aP3nG7yAsNQ4-SDWCQ7J)N(h;f5=UBmW7 z5%shYjV?JfAHnE@kp_Pi#YAC7YNfzgPgQg$J1{vJul1X^{MZ*lZHrt#*kiu^xFihBaaBrG zWTp|BC94K~mf(qaC)sZ`+zD)%6^rfzzG!`_(7rkSwFTNAEG6v>eBR;~p{H{mVF{JB z3Y1>2+Dns**MxbIUi8?}69qoId7XxZ|K{*defO%?UMhr@N?LSPDX~^N>&+h(i5#)r zePAna*huJ=R#qKO8W!YVX3mg?CCPE>ib+$*S6IY{JR9nP`C-NvNX?^Oi^uSFYaXem zY@ZVg5DafDTKHB`1<2`|+D51Y(oOUEx>~B!=?NoeD})#}4dIF2eV!-#4uH?Ja4Q}C z!7|`T;kh7vF-IiQ>LBlyl_TfKbsIwe8LXdTbU|e|pcTJjnN{c2U4F29ncx3X?SGPR zON;LubNILKLHk{>J#hfo;SgRLYD*SlQ_t60i}Zuh9!7XskXP|yCm4rU)D$)er*EK} zI}DtIPDAmNSoTfcEKo}WRO};-@@gB9dAAg6+fk*gUl3A80?D?s{HxEF_}F(xpexqC zpU#iuE|ilV3cC*}pi5c;9{g=AyF?aFP_ryHE`Zsnr$}E?2_em>OX}5r&Xt3Xy>*5I z6!fvsZSTKO(T(mTOG7w@zzUhY*b5h@mA{R#+W;TpczX;u*@&d1T?#>EZ!vC|x2b${ zHVgLs#bJ-jm&=x>mv3j03yZAYkK!Xfds@@z=q0GL?q#H#pj zj+@sP%o-)$=-ZB2^iKt|JKQg?cKU_iGEK!0G?EqCZ!g9Vs^cPfHkg8qnFk5Hz3+Fi z|4Q+YNuZ9>Rjzm_G4Vk4#P8iA4-J$bzLF$XpYDA*R8(K}6PoKr`M3__$kLx@38k10 zSBKFI7~}s6GNYp;+S7?xTbVXe+-IO^M@}2M4C72nA;LCI1`8KHe*#GfGVGUjs>9w7 z3&k_60{epFC+#FB5uB%Sy+cABTGxh52E=}!1}9Jy(N=HI3?rfKQGV|&)N?7zbDZ|2 zJG9_L6U|*E>m6GZZxfwNwBCdTp0J{Q6#4b}#lAB-CJL<&iUG#zb#_@8#+xW0!)>rN zcNFk1<`HsT%{19om?ES;@APSP-K1kTxQb_*RO+kT3JzuuEqy;6PNHwirJJghcHEki zp7%BV2eleanP?%DmF_}KIha#PaFKU9Ui8(!&M%T{`}v0@E=q2}cx;`t7NMT2Wp{aU zT;+%R2$k_oqMjgQb1B#40BbpCB__LpZpp$iK;DB>+{9`kax<^8JW(ua1;Z!o_*5y3e2-HtiJdn`$e^=1exzi>}8s3Jg#FG_3NMegoO~ zNCzNVj!+ynMBdv@^;_KrsiX|~ngYH;m<_Efxl4d`x1y#Yt=LnXHl%1IlnBS@^^%Cf zYvVk-2&j?N90kAu9D4}x8M5BCk_R}!XjnEaz#SB`t zMi`v0UXT=(jxhS#NgLyS656TAj-c#u^@_D9xN^+v`kXZn4d)<)7kF{i8VfoMS@+;= zVSOgnP5Z<~SeDG<{7@QW98EcxcWi#j>+CqN;lG7d%dF|;q(-)!C`#YnP<<7u>!=IN z$?K~EH1FPc)ebG$=GW7l(d6MflS0>z{U&xo`NL>&`u&1PnC&!sjKXM5lKo<@Q|+iP z+9fymc?N$NIlg1ys`1mupveKutW~nZbNUGq2+&b2{Ua#L53I&iW4!c&HyyhePM~b#eG?aA zaQ&l1reLy8k+h-wir3~cZ__ZN8XsECkFmNTNbwON>E1fCpH!qBVDZnK9iN5xNs0O5 z2}LHTBH1PmS_-{ml=+ss;p1izr;=B5zLs=dr)OS$B$4?k)@e)E$5PPfLXWEYo32$( z$#=?Sx|s2+mlmHp7#a9+`K6#u^WTT7#OH3!WsNS%ddM9*d=!HSQBo8zST`f(R>yCuvX|6FVT(3>qINv z2fwIP(FZ@QEB3RvfDSnTJG1MMYh3<|Fajdw@$s=Q6aO0C1q9@^9Vj0XHi_o00`O(V z<=+w_dH}Fqm~Ov>%T~%6SsSa>;nkUn`3z8TyR#B{Fi3mP^l)LsFzI~jtv?KRv&@@` zWCn4r{v=$JEPyKMiZ}fBm1#(Tle7CEyRF8Gsk55yNQ(PUo!s{_7(Lx;OoH z4DfS9xKhS~U?v&L9o_SDY>TLW`SQ-|?`8iET!oG|x{?Gx%~PwWE8V|*gNh5m1$ZZE zuK`oZarPTS%m?w$R=B2!&jI`|c+O~QsDM{UA07h(KQEJ?4Nx|+$>VFG+0}66nbl}8 z3_*?yMW3C;{oGkj0m4%8hH;YchVk|h1bxG}#eebX8BiY)5z#Q7k~IGLW5Cgc8MN;2 z?~(NHn5Wvm)q8@%&#)T`iS5zh#Qzw&MFBf~ax`Cav25v;YCnaSp`)~RB0a2IMfSHr z>-QPgYII<54Ay=u_Gk3mHfcV^Na2h`_$s|oBDg5lnT#gAne(K3zq#!EeZId?%VUWgfLKlzG0 zjD%%qRf)Lv&tcDv?#38-jdBC9lWz`^BKSlL<=yd%;t*%w%RpNQU!2#eWBT;GZ2cMe zRatn9oE;K2PWOfeNRL(5d-wz{U?OB}{#G}v|BF0aY;DGg91 zLY=f7(C@aM1qB%BGQQyje9BS{N=5JLTd0Z2k~nu_Tc-GF7Q>4XY%&=T*N!J9 z-Ifd})NaB)C7}+5b3S;wz@t=aw_51S=(&Hp$Q^P3B`Ytzxo+I_r~9WTB8ho_mfb5- zYT}UgRM%HiKwdVmO4peOp~mel(;FC5V4Y~VJPj8sY$vuz@KSTySBS+cNNZR_SEXHd z=qPKv>)3GazWR_(hL5oepZTp7yi4#&e)oALWsbSjh_q-PaW|&i*gr zUk_qaI{js$jM)9SM2;-kSK|W30&cW|RceYo~pG7Ij?1ye#%eJ%bMAY%l$S z|DABXZrdCtKf7_^6k|oKlh@tB*B=8BJ5qv;Hnz#nvPeSDdB6-4@HD^HUo4@`^h9P- z2#}Y-692H}TN1zN(`oS5Z~J(9ihvB-K}cBcf^(-K`S);o8fNzJ<%++Nt%IgkWZTQ3 zoCGW5hPMd&m${`Jcq*XK5_y>#tXV|QEANC!gHg!aGRVyOEP!F zQ;?j$*IjD)+_}+kS?_N(Lb?BS%N{H#R{H^bDL_^J#p#gibEun_)ix*z0vW@&rR>Ro z3gB<}_ZZc6O?->GkGOh%!=A2tl#WJBv5Xwu)cM$7l|{XT^I@v-s9!g&&}(J76+O3T zC6`Y-)$epS{5g*Ks@xh>&_PM-zI(s$pd{Cs;UZQZSF>(L!{C~PsuJWU&@-Q(q+AnJ zEF2(u4NPkq;%T0k_nNY14qqdZ zguAbZY#{hKi=B%8S2BdBmY8k18gj3$tyADwq7)(ru$l1^L%>xJL}Q-h*7`dKi|C{OlM?3P^po zZGfK*qkrXuWKy)mdLyGmj86&54-Dtyxrkf9j{^Xt!OM1gJy{abf>uyiIZzr9Qu8i- zFy5nP%h0Bq*V^b8gwbpOLs)%i4>RIRHw-_rl-MiCjR}xXeNDD3j4bXxU;j2~r$CFs zf+l&<1yn<(dZZ)GZ=KJ7o=o(aAVQIjl*Nu(p^71r|LjKMVq%s>?5a4va%^9b>UZUs z?*q-@v$ot*c)RHC&?a=kAUZqzMKb;HY@lLO0%|ljx8a_q=q6CsN@yi` zP$TxMVwMg(C)UH7{~fb|^<*LOTD<<2Qe~AM@~d@`V1#XunD_s1_0>^Py-~X&Dj=oO z-69|$APqAjDH0+eAe|#pA|1n_q*F?Aln|u5yL+U&W9WgQ`yPMa{nlOU{&N=0ymQXG zX3l%wy`TL&&n7e$=#Wx*?cMSEM;d1a_4}TJm-BU&;dW397_{o{3%`BJX=616=y#9F~6{2Yi zh1D(fB1m$^j)eb-J*N&A%`BD*uALh0ytv8?K@}*QtIhv8)$~_KOLZF*`{i$E5bC>w zE)K2)p9!I3#`gz&VttEn{3x5{S0zffoDU>z0~}b+A9#==pRHU$;@p>$a~<2CB=k%_ zzxW{yvN!7YpQYN-I;n^>5=}EPuPIpKcI64~LzX-Pmm9I%=6d`cWTSnV(Icc9olcaa zO%m~Sl2iNlmg~PBJ)0<|mQ3tmaxZm=O=AR}S%B{K2)pl4C`%`va3}@P)E)NN-qYDI z{iE;Mr&0XS%~AAS%rUc8i1lyJM`+U1F%6~{fQtg#+K(@|5c;$)&e*{Z0)r&_?i{0E z0W%hx`&*Qm6ks^QMd~>!+>H_r6~iVgmD$UxzRc$Y)guN{WrA*@$kjI&)kpVq>Y^a| za4)%IMn~B%Iy#k_&rG7u~u747K@)uvlnNxG@~f6;| ze25hv-`jsvE8>4RSj_dQF`$267QS{7Us$T6S_>7et+USa_b_*3=iUSajzlMTwE08#yH-G}2F2RIr7`)0n3 zd)1vIHTV&_MiP)+5gvH`s68#p=v0)g4>V9;N~HR=8K(C5i__qAa**f*2nMJBe7F=p z*($%&A}{~oA?cxOBgjF(JC> z#@o{g1;Wli*(x90K!M}T67bcNk1DflH@*M;#vW`uL`jhw5G2eqg0tP76y+3*d}yx$ za)?ArgZ`uylKpuy$eZ3ln+{s)g4|5q-I3UvxV!Qm*VH3V2a$NF>uE-8qSjYMk9vn{ z6m5Asojld@&kq4=w<_#o(mQvcmP|C+3LuzntmWx~DS{rLN@N*bV2%@M@xAoFn`{jrO4p}f`@SqSFp?;o{+_Jgn4QMaKe^8Sw zCz8OuOy8O@gaNC%aXa)5S<*7Sv=pyvxPEr)u)?`8{TX3ru1I|9_=nRhgRlll< z*{}IA2(Gca^hyXoki&)HXNkAXEQIN*qPtHsv}2Hw7@1Cg9q92su}p?`;qc+dq<|bM zNy_%qKX*nM*FK9x(`?kWk;3>DD;#NC4u5p zSIy$_+TskooQ4KG-)6a;^{Cb=S$c)Ke)M<*Dc=w6a2{sQh z&U`2IiBaEtCiYiQghBkpiWXX)aX*B;IKj1(#L+x&P4tNJm8 zfBVRF;_^Oh5P1*kmkiIjKMk%PYx_Gx(e;dg0^)4|tKe%|F9T2IG+2aP{kI*DxR zq9Z2}u;y2CAD^fb#+2^PW6)_NV)c(3wMyU{>+u|S_m~NTHSG4v z1{yJ;lerW^8mfzn^Dv^l&(S#!>prXc)7R^}_G*<6q-W)dRUbBEn>`Q3im|8lt>W-j zEj^qRk@Gp#|Kgo0LbIzacBxzEmrJ!yj=LOg<-C1J&H4<|#mT11VO4Sq#}&yoYgF&+*}&tDvna>l$D z<#$KH9Sua~n$ru;yS;#bWOcv#=h#%MMBfLUXwjVB+O97kVGbnr>+}tW&2wk@(LMy< zMc=mfi2Z%sT1w9Xspma#)+wC7C24C}xj`4`0xh)qR* zCi(7#)w3)h9+4r@o$+!fFOB#6U|rM^^83;06TrW#Uzj~bH&_5wbclyy@-6Q0k`{ro zZlc*z%<;bBgGux}3L$9L?V&KfpfFzH-{wi#nvsytgyw8MzK;%f8@RV6kY3VZet!4j zC6jn3m^>7;lq|xVYGN1N14qh3tMaACtCQYGi8_2N4sdylsv^k@t#VxIugfS7s25uY z7Bngy58{`OEiWD%S-!5^TI8n1-zix%eg|#d1`Bvfr4rejDM#evQ4Qwy!!`dt>d9$u zVW%@fnB!8mRBz8oh)8NV-Xt_bEY+LiEj!@o#Qk@v$S0^Qyx|Vr+Ak14k+J}S8k3w- zb60p08y<}c4k`( zYPQqGP0;2nch)> zZnIPcC_uN{c8qTXiUuzh<6P=_FKA}@j%>#l-5AxM5${Q#Hz1CqJv4xSW99z$FgEAL z)iT3skvD5X^yauu`b*VCga7JngBdn~L<&+{Bm!s9hWbRjjg{u<<^Kdd#?Wo-c%w^^ zOvEwPC1bPhg$_fp&n0+5?D1DG7M^+J&smsw(~~>pEdvibMXwQpIxgxnlqoY=b&x-# z_j!(hHw%=>kFKQe5UGz9$pPLY1P=ISGGncAN5(-`X`g1le9$pI?4eTvtpeXuBI=YR&1sB zHcrIuiPM{?!&1(%v;Bz>)HBp$wia`di5SS+zh@GFojYstM>EfpAr7g+?^0I`dw_Dc zg1<(oX{7`)h%(A^`4ut~mUxg=GgHFm%QlV_;>`4QHfUQ<9i7O)%`Q!z!Db^$v9~&~ z*ffx?XI{$kg12-F^+x(+tbh!s79{^YG(Kn*=3H`6J$pM~{4G`XcQm0JeAeFVJmwRt zTi0ubRKWrg_0Qij2AL5jO&L*!!1p2*cAdQMMFuJ&c`=%9yQ|AntW1;dkgRmQS__*0 zK%s6eQF5;v@ztawo;s8=iy>W9(M8VlE9?}mnk}+E7{?vgpSQN<$n7yeTtC~~WC7G{p&K#>a%JJ) zhC5wBp|bBJ;P)b~sX{y_X{qO))U17YwgUxiRkN$-w7s6#jA&NGiU>{}l0%0Fu1$Ce z_s1M-gR&hI){h){P}cGm2WK(!N3Ly zR6~4HUBjNKhRYaG0+(p;r=Ipz#r25MguCcv58qh+GR9?p$NOiNR~AV-@yA~o?rOGZ zWj3~JiHbo{49gNR(v%)8{Be{SH;r~acMb}As~U9~@;K6X_b}rb9W}u|OG8y=`Qn_D zyWJnKZs=~e*%vR7{bj07IF8%yD~jqEffbi1{%G(vhg9+hkmJnOjSMD^94yGzc&2Wj z2wiwAj{?coAvzh4MVRUZdKE?bI@BP6L3;Wd8K}GJ%8nm>STOw5MdE2+(o_V8@aZ`` z-rxQj>bvd<=z4;pkl~D!WgB6Rke1PN_x1dfgA-VR?8$UEkz)BB5yE*9<|)*&5PI}P zCsJbRv^{VJC`Xa6Br@yDsJ^jplA-~O_M#Nibh{t6Xla4%#=!l2dXP>;%hcx-kNxe* zr*Mit9Z4cwh#RcSI8ztRBEm8vnzaNo?cBOYPQVs`J z5ErGJt8VZotWWWwY9Y-1Fv0q;mgmm&Iy-@hJ_Lb4!x|GN9`jaahJyeR@;!zy!NvT@ zR_Kb=4d0{n>nit^=Ehx2`~t-$+j0ZVGh;qD-_)0Hp!{sDM_121Bmw;D4axtz$|!xI z^#Q$n$Ym+1xR}vpP{^xUU4YM)4Z5T#u$A$tCh)CjZvLvkw$QOa`A?o3{qU9FRr&syqAS8jnwdCzxJ>OCFRwz4 z5#YODdn2BcUGr>>6v7rYbEuW``5#h+Bz-ri-2E{V`LRTJOCv5{w_U?y8Hnawntj5( z{>VljjA~}xf6|iBeTZdD-~eBE-Jdfo*QafFDLh>vOo4EL>=s1OWw#?Dx#(@Q(DN{4eh}| zE;!k?-x5b zlv;YFzAcJIQ=lC`VY4jkWG5-AL*c^yAlX-4^J~hm#~s8Y&tu2dbN02=VU)CXI7TJ0 zNoG8Y)GQ^L18u#!wMj?);p?4q?SLl*Em1Q))cTk~JelK|?^vtvY|{z>~UZ?kaeYaE3wVk};%ed1rKz4Pb1Uy_V%eZq1+R)2>O*bk5pF{%j0 zcTC%-^tw&crNpEHU7dW^^=M!0o%X3usD`87l{KWZ{A}_s@k~SE&+!7G$J=r-f*02% zlB$VzvohDIY-Pl#0EZtj8*_|?Ou2KoIN5~mFLLLCLsYrzwcmiLfkxhY)hn`g)UdXe z?-LEORI|se>EMsNL*B(h%Zw@Tb-)!?Q@x70AJN@8N^%P@Ej?HIFmPum7x+zmEAY}+ zIOWJ(gVH3?q}R%>Nm^)D-ZWZ$Gm-aOM=p7&GG$y^V+dGK<%R(Q;? z?F|C4RkW=~)sPGkjwU=E*KYn;?Va&QOIv9|nUs%ki8Qy#HGn1(@9-)IO2IKHMB{@L z>aflM#V%-}Z|rNBn_9uefiEQI%!N$YJf5S%;hHeNng1 zbq*^KQgCrUi(c-xA`>7M`Ax0CzurY;vrC0+mj~@2@LV;cHYShF!q(Ncx1~8?WikV3ciR?YYPrit`>5yK`8 zx6O`eD`^`bT)dC?+TqiUsHn}=*8o660N!8xTh}i$Rz%V{C)|Vfb)M*}aLj$!CMEuj zY`OxqZWzta9fUa&R}U;*C6@AK_!@#m4&7|3zC-#*7$CgCF29o$H9pFaS=#gWa3a5G zh?9)HH*0HpSAX+51tA?ZFWk?%?i4d6%)@rO6ysP=h?kt$(d+EnZPne?TjAq52vl^3 ztS&`9L0sa=W69bsSJ(-YL^#XI`*pN-#bXI|GsyALrnf!$_Iw=X1bRK?{6Nj=IGVn3XIha?YNnJbwHAan=J(*~9DC)v+)8Wn?#LdCSNC^AjLw0v}y5ntP;7 zrCL5C>)dwC@Y3ZMTVPi6Y@s)7T(Y>f?g39k1yr5P3HYk-7tsP#c(f`_O#o;tb&1J!v6tF|nk3zpx>8tP~dPZ*0Whs`L2}I?5VCyE6 z=}74tjjokP`;)8FIX?dnTXp`Y3@+uG8dZq%D)P9N_5fIt~jmX4{0w3qn@ z|7PR4>3br+Oj6SV_y&jF4Z*q`%hzdd{yPPdW6$c{LjySxa3aAW z4c{&~CLWRfHw!m2qlcTb|5B+N9&i?Y&P98Kg0WQuMaZC!R|{44(w zmNKDb^-}b7`;kK*c(B3=^ZJAo_4k<4AAg@J<5p}K_#=P1NZJu9O*K{|4fGcP2Ug=5le22BobRrxx@79{R%IzkjkOiU7NHQhGKo{&DeZvG&*nN%&iZveUpS0{f5U zUGMMEGfqWW`)AslciJl~`?2ryae}A^PW9Z&d&K_jQ#X-WW&g#p;3mLmP;F5KxfYXo z3zRuD5%K9{7zTa>gL?Eg2XM%Be&^<74q+)8)sSw zUZ?~l@zR;}&M||ho2CB$4nX9)hPLgWmrg-79kpl3`jUt+6hyL(K<)OURrV=jR`}^> zx}*9fXg$#iG|x%wQ*)2+3`}0<(qJs7Gn9 z8{M(bKPRe6pMAnHghS6i8y2>z&uxQ=5Ew%gf<=ZtU~HBeUX!G|@+z0g(5`6PFmGru zV>)D_byi_bpo$Z!>yo}$`>sa{e?W3&nZZu#_Pqs zolG!B4^*|A^8S1n!(pIBDwxrIS#ELEO|%u5{dnxeOghc2;%ojNeQ6sVSqRZ%kWh{Z zG>HW2jCWVECt2>2htBJ|+wrL`&K`aJtO>GK8$tIKt!ETFaw76-jf)QLu7b0HK}e!e z-bwi^mV`xq_(?|T8U{?9a7aZ9zvF~_DD1Wib+wEP>y4+ur=3HE_mUgTRL~8)IR6k` zCu^XX;_@$V0=g=8ZNouy)P!?+m`>gd=zD!pd~(iTkdN+*pB1=F>gH$0SmMIerdmzU zwtmQ__LEJ`skyACi8s$-{96#z?p3(=MuOui{EW#9y3x62=y(3bI1Xy!u z(`_=JNlF=LD%go{*M!0$Z^g>kb8=dBOXc*!I!sr&cbs^oGfiG8oyWe3E`mMaPZ=O3 z2H6CLq4im`d{*M@a6{bDsI%v$-WL?5ubWk@ly&+jM3V5kb+kK? z-g(i7J`AYZ`QWM#MATC}jqi;)&;P=sb?LBr$Zrg$7Far_FXIEJzXGqW1Ns;t=^Kwy z8TJynmi>#}Gh6ZBefy#_r1)nQr{s7|gc)az$H&`1Qy#%3fo?s0;)buAlFn|453%8-(U5YL| zRin9_xX%!+|8fps!f83;ke79gecIV-|G$8_r!@|m;49X#BLAy)(QhxWpo+YTeW1*`oFz>Lk^%jRpg^mGA}0{f*@EDSBA?5Nh^6T709r} zpkE^tU5Ov=&DHY>aGh=JJT6`0BMmLX#dvK2aNt|#-xEg8L1nGH2VhNg1ci=uiGK=- z99GzjRzzcgnkL5gUS>-mHXZQOgo?*;;#n*Q76}@C3>wF5O zlwak4m34oM{Y}NVb>);k9Xxz}Kk-E<2P#z(%t8hmDk_O98d-kDXCrbyiQ=@{&#tS91J zE~ptwR_=>M>*JyFG;Tb7b8Z-<2Gt=o$k2V;a+*hDCb2G!hTs>y9Np`n&Ssa1LG^>_ z1%=vq831Aw4>*BB_LS|MtDZY0_a;+%5n%U8fs~_}oGa0);>hm1W^JmL&oSk=zfyqR zjJis&thqGZc=g97w0>m5Q2BQAJ&>xrMhy6^H;N+Vs{efQ3Cfp+ESS?>hl`~|cfDRM zX+_CiZ9~Bpc5{P=aMjVSKhuMUk_M(ju@RDolCR>sxpJ;_T0u#r2t&r>vMfB*CN&=p z_1ImF3qtnmInD;EPz+5&Cy3+G1DRUsecmCM>~ifHw?@PC(WUPvP5v>OABIfoDQFLk z#ZB8Qs77eIfp|xjF3`$I)*eO0>(%+bj4 zFI!*E%W&H}?`$#^-ztTpjaf#D`{!zTysxs`6RR<(KYaIpeHabAf{ASE-Bb&H&*!;O z|7h(T4{f+*w3#KT9W^`*xZRPe`3Wr(JoWGKw6Frw?Dp`u)M$*rML%GXRGS(;UG{yA z<(}}X*FRLq``($P=FI`8=@efatmWAtA7uo;btaG zHLd(aaWwIK=aIeK5fQ{Ddv^Xcs0oY~kiK~}{%q!n4a}u5mao*cM39FCK1r=q!Dnv) zxjBaF_`z3%StU&+e%oEe<{x|l`PNP3kLG4xnA(gl{=it1M~DNb(?YC+{ed1>T;v*a zDz65E(&1jHNQA_>c(bqXQC({K-PcnMPTQUxxDz%Zd4Nto4w|xx#Cap@=8tY z&yOaw>Ua;I|6zE_j6pPBy%8I9(B<=i6-6Eu^S1=U+Kwq$!IlbA@Mn+{|?cscV8%GEghvA3^;`WBzj>;!B{be zVPVi3YbNsLYMEChQws9^?e!*UQJn%g^2^G(Qxh{p{0V}ShMX^NPg(@Q4)J(0$nL1= zi?iZ@r&jQmZ>>ff=Lylcxa*5SttTj5%N;Abfv?KBaiC*LIB1T747la^!=J8DG5Q+M z!gFsF4%qfQ8Ktb@A}Fw5a@@jiQkV6W=_On0G{pt;J?7N9PiK6kOnoNZ2!1kU!~#s= zfli79NU2-0f7x~bR3jgnaTau@J{#PY6t?FlL|&D3n6Pf?0X@M}U+EV8oB8Gf-oq4+ zYTMc0@LRE3Erq|~wU^PBUi9Yj-8?J=SPi_*Y^m(MlYM)(Ovd`2p;>tf55=9Za;3w| zYCBh6f29i#nON3W^(@cf5~(wr4M&pT>-c<~l}dd5+c=@tvZZxfCO*3wEfeMOW?V(X z!r*)2{83HV8L)reNAV~EJwp)P8E8+Gm2{!nj7|fuj9K zo9{FD#wMc<9O;uE+9@$~QAMhHT<^tpcFnN%i%QiuL^BSWN+?il>Yv4OKZ^DX5yBg; zIbsL^%Zal_JvT&l{n-pTY|!PO5_K)|5j07@L^F; zE(23aGG1U7I+4to9N*yWu|%#55wi|FuSdy5Xh&DMMzES~q$=qv*cjqB7+&XbRLL!T z?kzAzu1H^e7&$xHG-;a(bYKe?-KX%k9JQSSSk=2fPpX;^JoVF)(wOX;$LnfcD)Chh z5*XJLK2MS3PPZJ*HW&b5+~Fo!&y($aC!-N-X|5FkXgK>-q}er_RXzYyUuiu0l$b(2YAy`STuO=2smF>T;)gvl9o0=h zQYYKI+~uz0&_27FbbLqD&GxH{hy{S|%WbjVBIq2daO>1;Z8Bb+tO%{tChp;`G_xQo zTPnQg7M0(-;BcM!;1Lbt>n%gHW{|*1kNr=zb1-X4A5-yZ1AV=CzkEPaQZaNIZ%PcO zl>}d0`AkD+UGgg_{w2;FR# z94r^?Qrs6?u3(b?I4H$~dFmcJY%(2DmpuWqAv0lT`)6BBt9Vzt>w;$IQ$QC?>+>eJ za>*jq5CdC^cn>81uqf~|;fX1Sh4mrI>p2_vj1S;z63AqDo2V07LI`8Xv@05P-}+*6 z{O5T~{%mz{FU&R+L*#KuG8TSs$u2|OC2H`(EKa(=Z*px$N9XzYev>-`m%&Fjat*4X zA6foHa54>IbF-)0+x{cw&Hx`!)IR7lI4iQS1{_1UO3B$E64_SiW(Pk|syTBQ%1wb3 zLN~o_RZvD0nO_U3IJ)o(VGBCfUQq2R7|LoIIaU7}dVC!V-fK{Bs{da7?_Trj{lE7b zIKV>E>B39Vwr%NxgSWT#&3K6Ta|d@n$HcBPwIqP4+3KaM`Lg3@Q^0@vYJvooa?axQcMR5gYitk-juFntR1j!CA)4q`1JT-hHGK3^H!uj{L8U$ILnQ`q@os zvk=M)3vLVnJk(yXXU`P{gxm_Yy%JxOp91;OG=j6C%Z;LHgjF~l8pG#onGO_$ZoHvA zWw)JX5tjnbh`pjE%HA5D9U>LX;_+`3-OeLfw<&_3t;fdv8Kt&m_iuXx_KHT}WqA7e|lUWxoNkh z4$F+9x0g%mY_5(A`+9Hiv_5JKKXY`08_KS=QMShL^W*_qaR~h^4i&oO9Q*MHs7>Ik zaAxXG<;ZB4IFtD7mZntDtNI9G3HX=sF4d<=7@r)R^Hvz$zP?CN<&z9FX}PQ}9Ykjz z#7{(Nx~-8Wc-1FR0C3%S5dd*>2m#x@G8&v9R7(QQFFUe2_)Md*o{x-V6!xI+S1G|t*(#DUSzL`n5C}_3DaNt z#Al${1-VU3DCNZx1epJ=+^Zxr!m6rCp>|X`-uE~g0$L}KOqwbtRa(gcsZtwo6yY1@WR34<)kk#*<2CW_VZYVY3pDOBLKh>fkAN1BcTR3%wg ziR&<3cC;h+--~VR&gI1nOvn-6t{{sATsNqSI1H4p{P+1TOOS=RQeGo?VLuImSKw&E zw6-bpOt?w}`N>MToBP0Z{prxFU1g15ZcwMM&)a)U!#6%%N^# z9*fMetIis}cH4iUIKoRpgciNx|5$bW;@lzOx()V@yKSwLERz@!*?HXY3&=!vw8M0g z=vodb-EQ~w-@pdv@((*ft#402UUUGOshh4Hm7IzE;!#`%pH~!GJvP(@pZ(+8O*s;? zNsfEhf1iviPR|oNSoK4otBJMOI(KT;U<*2T)X5d@C!TxSor{{DD|cgVv%aQH^y$TX z=c<%F{mJiXx3x|8`k3Mrz%f)6k^c@Ui$q5Lx#_*b_xtpn(&^71jnO-8VyYQw>(r#D z`gp?#iSOX@QlZz0yr}UscmsA~vQj6Go^k4nV)u-hhUzuBObxlDpE-)Ut!|Qz5Ubbk zu^O&b7rl~HPJ>no**7MNI56xoruXuj_t!8m_7y2p=bE#N47_lKW$vOhOe4YHsMsXCNNbvWX@i0Bj#ua8jl*8Nki6aA%-g_fRB zJn_pJt$!mTIa_?f@7ndPEyAlF+#R5M3A!siB6|~&RjV8#?8uj!UAk${`c7B4Yt}9g z;%BM#RUk<@B%tfQndJyL=Fcp67~km91v3J0jNrw;G4)(332MtcSpzcQ`d*Cws-krg z%o5RpsV}NwK`qfp;O=GWoNJ9NO)d$(7=kB%Urjzbkb1r z(`RHImXJS~l>E51P1^)5lL77v4XP3c#LI>O6-EhxT2mvCrk! z{>FmBxu3m@)!*gvUV`$>#8@;=!+`aB{pOJcp;K6m76#8S$}+D^eeBje^?9;M|S{!b>NgAhDE%}Wdy4yY4?~0{<>?=P#Ti+Iw zyzw~9CW#A1=^#-yZcT(j25#PtBKkIX@FRiF9B#yK=R`L*@`;kZC!x2@oB+OsymPa9 z>b(mFv5olgjGHiuG?fcQzk@1RS>L3&T0}B5x!!`x+#PO6B^_v$Zct3v82cL9tgi*v zpXvM1tkX~&_#3%0hA&`fyXKBUk_TG2k->|`->>5E5!KiT7aDisWK6G2kvrO4F+^My zrLx_)=~=8z?YxU`QmAsV1l$>k#9{XH=_)K4Ej@x4TKic<)QeQvY%gt0ASZ0_z|&`a zYU4kzboB9+nym-Dhi;{@4CLtxklj#*q64M%gA0b23?Y?mhbRr5*@ZuDk5pX^41gqe zN?PrO!n|oIXu|ogk#HN3Ut!94Y7yj1HwK?+L^)t=?$Z z8#7D-$_>r8zjH!+NzLk6eh<-`U`7XMLm&(_aWLpgD`sBHn7HD;=?aR7maP z%nOfn)k!2>$-TvL@L(=HgI2W~Euc2WSYI>=Gz=EV{#WS#n+l?7hj*jgbMSoPDj!c^@&9hbxgpyW~M# z#TyHXjv}T`=8y8zq3iHQp*g-8c{PTrR421h3ZZ%4X*#6ssr1p*cE!{I{k4*-nAyi- z%xS5%ye@RuX!4xQ)tkvVXkn!-tm^nU>1$M{pEmGo4s|@SQgx|x(y7L9#G#LP8|WKe zv;x(XZwJVB#WsRq_s)J-Y!f(ty9tDY)vHNae6gQ&lZBBTuq$T^0q*7^>pmm=03*-Ler1}+ayBke| z5l%C?y4mFV2sK0103kK;B3zR<@K-8<1inQwi`9&_sE8iT%qO%)=il?(+BqA`I#@Yx zrX(AUym6XcHf=THNAxGlsqlF-}vO!T*=G^NhHp~)O+4`Iyhk!@(UbnKG#+OohmCG zGja!*E?O@$28?Nr2p_?kX^Xh5mlQ%vn6f*>?Dms&D0l9_jRJ$W+PvA%{^W&ER}em+ z)!TDv-VB+DbGAiIkVm@RAGf#^($@8?TLzqd$CdW7SN&Yu9KUAE(Va>AjS$b=y8$66nlqOj@b=@IItq)~1@A3FDq%eQ+WA0SVIzYZVN z+x+@jo0cZ&I&Ls6`^|mEbuhy3#}%*KxkAPiACG+yM)vAQ)3NE`Uw^5aGUa-ywF_|3$GZzHQ1u9@%y4Y~dQ& zab{wj)sKXXV_zz>&hG@F>qcD{>m0&x93gC-HWtQenv*K;i+UNl--$ohrD3W&~h0E*Iok(*t<;+dI1j?ND!R zNf!sV;T$m=wOhZnTk(YeU@iK1;Xuu~AU)ymu+*lyL~O*)c3msEymBjrj^x`ck7itOJt#9m+IQzeI5n?;{t|Ku6U62+e%AW2wG9obhJNcnOGsTgp zL66-^jUIH=FbITT3n!h?Ju;z3tr`xQL;Vq|ewDN{0Zog(gylZ~s$*y%p}-!20b3Kj zh0x|MzXu%KTxtdkrJfSh2m3QY(`P&2wshq+8-?Bo*WizRW&2BVUslZDT}3mJM7rn4dWvM z39+}k;}#fsumfSA1wTDjL4sZ%It15%)N|hOB#x!VDq^C=AQC`70W1!tCeI z=uHlij?ohFUO)2g0j_{H+ScwW5PJ55Ds5=bzIsJ+s?qPK!ITnjyXiOzlXShlj>Zl` z%WUC5MYMTI=^#&uwI|NI+31gwyAMuv#>|VjQHVn-WB(^Obo zqtD~%Y2L87LLx{m?sjp%VId>Mx)0x>Zb2HF?;_{%1Ub(m2YKarVIO%go|?2!H9(RQ zXCK(3VcU%IOd(jo-UHf>6JV-Tg_#0-ph6$HJjm>ov%kqfgg%h4ntXvP$kPo!w0}njwhL`qW!n67bgeSHf z-&W>0)jCg)sRWrO=d&h8j>SsXt%~gun4nl9z@^pv197=q>=UeSOL)jvUoZ3^>j{gJ zuC)#NsxhWVXO%lg^z5_9f{~u_JwN*5h4Fgph!@qZ>&f!xu4xrV{9Yp(G%0O-!WchE z;_*HtUeE3~!420YDI4Xo3C#6uD_6L{91V{pU~(qFHgg#I4g`l&tcqMm<2pV zzbnk%^CFvH5f3M|VHW)qEBmh!=wD%R@bZ}ll{X8obgWdJL;u`#BfM?0D0bY{!bs8( zSsDns_k>qJ-;-sy-pmT1m{;+%)m;*VWrlX-`4ZLREO6_WgbTu$-?;H10UYy zIrI_crS{X%go$XI7u2AGr1JI)&c7GouK{Q3YHZ z)z4S2?#yWOHe(##7LMQUKb`cn&9hrt!Py?mXXq+D)&5e}wK5TC;lR*Vr?^%N7tTjW z*eDHt$fezRKxeFb+LCx2S?GSv)q5Z)q;Px8(mzh69BW`Ybr9^Udm-s{nV#r1k!vHN zT(6us-0NyfzI|D7^sVX*KxHh%z5pzT9TeGG+8nR@Mt4m*{W)mhJ^Y3J8D0=Jt&LEV zZ_qp}tEwO#yN6><%)KuWsd|081IMX1la2FYs{XF_U6E3zm6w2ERZ_*AwnjNFY0!>D<`2&HSxo{TwK>eLg`x)v^t5|A28PD-DJ*vEPK1lkGYkGQ4S69Z_GxZ^ecmXXAK!DDm_3nO z`rz&byNcT!DOB11%G<@-i@vWQB%3SofI<-*>3)=Aw&K&t9?|m@kE%0?~D5JrKOw>h&zgbOX zn%oZ3jI-s7cL^OmAU9bcvBowTn5VFTSHSn@@{ujP2xeuExhAfvDZ4>l@C`H{?scf` ziFo8Ztf^(CSrORoFUxlrYSP(5+1u(*Evk3lmuPV?O?WWA?O3oITmvtd2z8qa@%VgT za07AJaXECdO3Q=T$b7kOVq`6*j>(p#l#^6pXjPSI6jBX8A-mU(3JWybTr$YUa- zjN_+&w|Gw?hY6Zep{Wtg^-waJ1;-lRCDihDQrFwgA$p*k*5=UO|Md76%cQNA2RhuC za-K_y||sGvwq#+&lh8d`0JXe>sjTbP{_X^7D55#J=BL)#=1riD49L+IH%b zR;QQ3^O;luXLIC;x!6rvgZK)sto$VU)x;H1VubG0Li6mn@4}f~)cmC-3+_Dr$z2p; zej1-2aRyX-Zo++1_Na#$Hvfu$x+H9`B+IXLoI6mr)#X`1?Berw#PEJYo!Zz$c3*jj znM<$Fgi!^X4J7li82UwQF9u%w68P{0cs3$@7Sc{_e;t~&FO8r^)yh%4LUMd3Kc#)OeB#D&cY#9VX=PNcRbIuQ%tq@^l7b|De{uSZr2s8tw>LTGj zkryw`2_7BSF3HVgn%KesuccNAM(ru4&h{_E`JwAHZg^GvO5T|3-Rm3ca_q?F&h=8j z6R@$aK^V+@GABDJ(3>xn)}X&2tQEgdw)s+E6Pn1ICcOS-zKVILNvVy*`pVA^^4j3e zZOUf`quW7y90DPTD$30xnkTX+){EDsr*bUXfpq;|c~QdVU-sQ;S?H%-`Gr4(j^)aa z)|UJng=A}6Dk8U!YfLvcLqC5Gqqr$&N~yId zAI4p=6ke&$G8fWWrZ8cpn>pi|S1QQca=OtTzwKygzCxc$#6NzGx8#T7cg`d>h@aZ; zdytx^5crk67}%fdA17#}+$@W6Dz~GVS9NR2UNF1mgc4)i(`meThB_u>2R3lL0B5&% z_Yvv!&S#DKUUIb=Jvakrja!ac_V=uh=v&&2ras&fW`u4ty55@ZFjS2J(?_xsru#c) zSEe8Fr$e14H#~2wpPx5AGxxI4fU|d(waJf7h#AJ~$m{5;5x745@Zzd6o!Wt7I+DG4 zwldr?BHTsn@4*}On|Ck)8p&ZvTfk<|rnWwQa*p%3;@+ z(qs@CqM1mvmeyv!bYf+sJA+eSpdIIdont!knogIZI7c{Z(38LH21i`dmB>Q}a?q+hij`yC`grL+y#D*Zh@>ts4zL`XP7uhR z>7NcgZK01Cv0J5AIo`bR@v@DnY5BC`*wXlT8uCGDp~}TW)vdmwE}fY#D&x$(7R!~hwe+V!|eH6>ITT6hK zvtdrdPt213EV#orV%Bu6RzecB{7a8hO!TT#j9;-&K>( zTYpMy*eV!yVGI=0oVQr~F)6nid*fLW-9yfU#w>F(XHR^*G!eJ-q&4Gv9wN8L9}5eV z-2UdyM*$AkDO-%HHr;~bP3Sh_!jd)@yTb6=3Sxs3vYmDp;zJfs0zPP~FhJDgU1Ota zLkqzE-5O0Njv7Trb*w^Gi-)vVDD3FpXO33wn9|mBbsX@sQs+y+0sd!pFmr7I4L5 zw;isAz3@xe+4b3VpL9?9icL@|&@8-{>ITvavBGDB=J4+FuCZ){t&XX`C&f2xAC|zg zD@*o1eeMd5$C!W5!LZCwCma?ZID}*JDvx9S80-~qTKSli@g6g06c1qVCzkieL^mq1 z&L^GT9#gtwH%B&z#fBZe-A%pZ+V>SXc%H|_QQ_ZT88okvYwf42nmf&aKLnlePZOV% z-&dRvZ_Q$LNR5WRZ>B6fn_OIYvZj7hrCg4_t}kGe)UT3<5;R4E^8^t8>Hvwh;2cWn z+BB$(0L5V%rz_TfIIgg(BGZ?5p{sLrA*w++B~EAZxJ zzyV8wt5^Oy5(98{8$j`j86|x^y@}p0{g|OkR4s(d;l8T0Gw*-H{cCiasU~ zv$;D2obmJU&`jQa_~6=G^A-0-kACIu`~fIif1zaKtA6E`Wpv~SV_k2`+IwftFBLX9X8KJC>k8D!ZWdU36H{h2}a z>T-CL68*k#S=chFHJ+5Sot*e0yjQJ|X{J%L3MRITKWV%3_dyqDJNB*;4NwuP6t_g<4S9zo6Ypi`G!Y+I zSb_zs$zk`GRU73DyHL|M<%$Kn&}Z!L96CTDq)su7 zKRPqk?YRO(gSgw|e8n!Rg|oCb{WHw_-Jya&U-ZQdl!EYX z9agJLuP!@#voldozV~wPgPAMlF(3-GPfY>_Pz1OUnJr`?BGlQ>1CrUPi89*%*F05Z zRtEn`l4{c4p3hJ6`Ae%u4RFAl-oo2{u^%4@P(!X8W*bzu;>rik3E6?`Ne}}%KsTu@ixk6LGQar6MmhTLA7_jV^ zTNxq!iQIA=7ntFqFX=3ED$Kg-cU&vG`07@83H-rw9V<0gKmz|L$?EIm&=qfp?f94e z-OXFXv(78UwiE2DkJ&DwRjb?!6}bedA0c_{gQCOVm`RE18Z9(=fapU$ z*CP?S_54#Qn^~D+?FC_}kvQYWZs_Mlp`=2-cS$Iv=XOV)xE7z%WWvoAAUu_!DGOgl*!* z(Lx}CGSZi=+izw2?DW_12s=yUdUM&f$>`m_wo|njF8bBZBZYFOTNQEr$^FSz_N2UH z=?QlIcQY-jqna|{Q&bKB)yqm%RUOI9t!ck103)L?Cfe%a5-BKnCOaa^aR$*|!~s2p z3W#-bMBG4aE-xyWA-oa;>La)3)LiZ!!ae4Lr6{H2emzWKH>R(<2;<{Vw@nC=qA2uK z0e-yGaQp5M zvk>8}CYuaj9o2rCyz}F7R$kTITlIjGq{r^1vU!eHy^246ER(sbRc!m3IIFUf9U%xT z;A;}_r`=c3Th7^6t1V2zh^YI)_biG*|6EnwCv;4mYM4@3HKwqyT|E?~(n+SJK2LK8 z*Bsg{Q*=hw>Ga)JYUp`}B-l_strh6|g$sn+X!b_7~@930>w3Qb*J_y|tT`_+GHK zy{jYp3wmTCPp zl%$+NC((I`O0A=E(XaHC*9>)rN%+rXt-q|B85Zvo$xOjL%gJu5RZt+)7xo@qQrrJS zp|Yg*MVUpS)%~F-UW9G5qLV_;rAqn)rq!z@t&T5*6yB<&UUZtQ?P7UPatn_kC$DQ} zDyw6@=`&HaOceL{AY}p*>vtW5@MH4^m}O z@SH$>t#>+lKc+Z}mjmG5X`%kRLVdh;eS_ha?<@E=v;X%SR%@?Qq=|6EPk+DR0DFF# zT{;0L^=Jt-_Uv#r$D|ywT&%Ofl{gJ%)&BzDp#B-jLCjn@X$BvVuD64fM9B6n#Uw$Z zS3Bq`UcNoLP_KtMFMeV}XFsYPf9GkNwbX)_136AL*f#&V3y^q5J88!mT&GJ2gMGX% z!qu?+Y#+05w#=mr!MZXt2!a+bIuR?o(19+UCn;UdWyQC#I%zRb{TA|LR3$@zc7Yz9 zueUWL@VxrXM(ZX`HT37yMn|RVS=fq}wc{T?*-F8VU~rT04sI-`e0t1B1}xN|VE8Pk z4RDlNm=RL|DwB3NH%hH@qiN#xb=srq+WnHr8iz4A8Z-U9H?k=^+ghNdU;X`(OL#v6 z=F^Uy>SfEfPoRCUyxg|0xx$X${Pe*sX772c#OE9cnn8i{PtjiCMcow7VyQ>Li6c>d z2MH~|l4f#_-sedt)g^V@eB;ObLca=19(m8JR*2jIzLX#EX{;MdtxbgEX-5BD;oTDt zpNY{!m+bAgWQ?cmy!t{}8%TTXLp032Yj6G6{reAEDVM{A%4rDv%{DrIJ8|+yn^s4k zj;O`0^yarpTUzXCE}{#~uS;WnF0LYsysx)b$c^HTuZj#6(A8i2c#=3AkMiN+Nq+1@ zhp$9dfEdb#GLYIcPpE-;g}EnWW~vF1Wi<_}=&=@p-V5WcO@5eE0fDnzuE%|#tCHB( z7$xjZJ?2{9b>kdU`~Hx-eXFysL>M#IN&cqy(m#XL!OP;5+1e+X<&OBRCok+vs@}IQ z+2`~sb{Bj~c%a10_hZL_tpP06v9v$D-sjx}n5E4Va_@IwzIWtkk6k-Wwk+lPAxsbc z%E1CbfW+_|?I1TcDXU=2;_qt9zMGXoBx$;pB;@CT0j^GKGNVI8I?KShk80W-b3=RK z+xl8Y+r#OQL-TxEz=q&{l5);K%$0ZIyB-HdZuD~+uv`sMHsph{M%7Bt#6jOK;@}wI zNg{!B;DT_e)(mO`JRH&bSxRK|AW728oRj;QKPkt8*xSA(aT0-?@pHW(Syjm|URo(L zvF!EOXC`bMbYL86Z|c)gFEDKIb~SVaV{IRa2q5L})7ADWNs37~qIq_HNuPsHD8oLT z{7MgcLA=`jF>>mZ!Oy7{8edPIuq(hD=r|KVK_%Y^lT@i;h9_v2Dt@s6?xxxnp17o@ z!=rUK`1aOqI)DQywiA;k_fN;Uv)G2t{gMf!-d}OzPoHiZsUFqo=sosit1F{%SZ~H` zsYc-OGVACIiLXvq`E=Gx$e4bCwA$o#gYc&V*536adf#J<*5nqs7^Ya(nJe~t^DVPQ$4yfc&kF9 z8@8^}YD&>~l;7s5(82oD&tcx*h}u!nmgs(>WP}hE$|-%BqZ0TtRY!kbOy@az6&PAN z(<54;HDZBm$6WJ{JRtAt00-jIW26fPb5qu)&7e9K$JTnaGQYGRdt*|3Us+~&icPTD?h%RJAe+DeWYyETZ1$?ErxQBK;fU`8%yiC%knF?~pOSgkYNM?5 zg|Ih?3Wak0pNQR`?x)Y3LF=QoYi{#oS*UpX=8)F!P?~x}&IWkPxt~h&{4Wf<(CePd zTleRT`q`zgQN$?VDF=4GN6_Q_e)-MaPeExnciD7alEw(1tY zg%Rz^5qbc2zq-5Hl1_U#Ezcmv_L%CLSL@lz^l!)0iOiob5sb3MV~@LPD!a8mt|U)M ztAdE$m_ICC1WMf0Z|$oPL`Bg{1WX<_vU+d}M#?W+PY0@XJpziC6Cv2|I~bo-E$`(! zB~^9bcvNURotr~9diLyF7S6vQN>G1p_@~fmZOdf=(yCp{*Kju=pqUODQwy*K-`*d! zCTpqan;jwBO(~*{l|p|@)%u`>?lwlbraF*MxiHv{6F0uLqwf`P_2TP4*$3 zJ_k=LpnlW;bZP&0m*kPTlSw=Ooc{!pGIfX#J9B-O!_X;!zvY(zNs&;am9lYcv!G;i zR=s#lCxCKX@rIwPAz9^|r$^ts^KV%SbNp{zdRa2B?IPQSrB=JP4l$wdEph6>Gez2) z()whWp3f;%^hP)j7u+L+mQ9^$0Z+;tN} z$+3EqnYag1AmIsi3Ts~OCt?)ohC=U(`}O2dXeOP<^a7oe+)8mv{CCVGQ%=+EW=-bdsh>l!(;YSN&*9wqlUHx-{&e{nCF(j~ z_SOX_&>gg_^PfBWpyK6KJzm}=4xCq8^LyXht^C4gU?_u_zytVec>nYei|*tbmMZ3} z>M5HN&ZM(M4QCR+cbFH;j-xlvz7A9lng{_V1sd;F>Bl-)aR2yAD(#S}!DdZX-nNHq z-3JeYJeCU?Y+j?ic1wS9Qgg>K8~OVEI;kOo^6Dt0fyxpli1K2c%)~3SLS>=ys>2i& z)%Har``40&Wr5(Sf8?GaNiSH+@x4~eJ)%vdq(xaO<>Lp&8I&Nh5?s<7^G#+Y9T>D* zWp9x5k=}w|cX;8Hlvy&k;Su!ZxNNd#63-R(_drywE!wrfYFT4&!M#%590}*hR1}VG z``5u7;x;bM;Cyi){k8G?J46*wfN0SnNPTVm&)aao37$b}R&+!fbnh?vM;-e=YXm(S zq?UZW@#3L=U~7}*D`~D3(-grKz~G=XFK6bI5qId7s!FXYJ%HZ3LJSl)*H;^we<8y; z4e#urr3NLHCraf3gsI|q*JxP7K!EDb zW?$gV&ZSkd4D>xD>}=zMs^-`nW&Am3N9Bpt(0FPJ1Lh5V+7tNw#I*s~9xzc;M0$6< zriuSxPG#NzUBu3CwR}sg{B4nBxTNGXgPG3uY-iEDyN6wEpT330OFF8QO`s_swYwmUPnejK3zW<39osK>MbuX$1+D}` z`h|^`gX}p5owzUNj7IEptCZ#Ikznn5N;CGvG$t|5hgp9jZ!QiU##-nU1;nD%zZ&C_l?8Yb;sQE#LamoJ<#tW z6v{-+g?OnrNOlSw;O&}PajJYpUw1E%b8bl!wGZ*g|3O`@v+MhMcwedzgnHyJ^(bd) zY}oTzFARixt^{A$wJ&8D*I|gKI}M0uo!jyCs!O*ggNqY3inU=GOSiiQ_^OkKv4E3e z(yC<@G+gF^pBFWB+V=Gc2T@}5YxES-1^W+({7wssUadwd=! z87GTJYV+bhv{!+k-u}+Mlv@pb;YP0{eS?kco02@bpNA2RhFJBsZK=!W$qv7zE_CpN z`T`E#V(m$+u2~{05t^;ZXJFewKoeX{~*qp+v!~9qMe6gzI!|Chdg0Ltc+83pE+|&Ce97GX>bUpHz2{3ZJePT1m=UqdFxm>+ z-4Z;2)7dz0{Gy*CrwPdCfw!oMqYn#Ein0HsU{-ZOOq1{M$r*je=F<5fZh{mn!f8f4 zNa1v>2)QD{$!|)Pk|QpCGSg!pZ0~~s?dyYUM(FNe%3bzLH^B{emT0Bh7eh3tcF}w> z$rNAONWP$pH|68Z{hIOcNbd``gp!t@M%(-mC;6-}!4L;x0E&-eX%%!0f8RX#4W#qz z^&DAh)z{sfX+@FnH?76bNPpVDz};+wpYd?gtD^5BwRFv*4lk^;G+V@=N5(68HnP6$ zdmSWZCjRM=3DKf;ta$;ifGPw<_!X9=)<)6zIP7l-f9R!!h{}Aab7eNzr=OI|iSdF1 zpdPMc41t&r)H^2Z(0bfFN!4FVX)!&2PCO4U^6{Q8d)JI}@{#s{Nqass0dCZgZY8yP zfZg36t(zNk8Bn_YWKSmc2c|#JUgdwV5}@Wjlse{ZP&WG5F=torDV z!A24SpL{fB@B`adeZ^gm_YB$aUluc1sy`$N?)W#@&LuuP!L`-z%;9k5!5Pr5{+LTj z3v_LOEm?OCr_mSJY4V54Pz@w6+mEl^)}?25Uca3=Ez~r|rMwV2*KBP)fe3#DNGij3 z;vNF@eHaWn{LTF{>0|g3e5Y#vx7ogJ($kNWTL!KWNheZ61M0{NDgJ$Y8yypjN=e zIc+N3=hxw|GDJ0eh%ZB{U# zsu`7*ITNW|?R{91sJQip`fVNvhIfXHhUJfqtN6XrR4xuf{xSO+MG(=>Q+ENKa=SEt z*ZY!l))z&*dn}o*&Lec$VdwbaX59eFY2DIbuQYR2+)J>>FT@Puujv=kx0H`1j0x$@ zlCv9jT7(vC5Bk28XK?XW@l7Da7G|Kx7+O^FW2ROu&kpoK5G5-e(ja? z!MxnksOR5z;i4Wh5r72NfAeJ(&f)vi#_#%~+uCEtYDvj?GhOiM74WWYo&NQ%2m4HR zv9ui^x>4!zj>(y${buKI>*px73^T_0=wW2P~ z=jE@yd~~m2qFltqBDSTgUw7%2*@>^RWOJPnVUJX@*_lQ+2>|>2`2c30=-w;SJk2*K z7zx9R05KcpCGfLH^w`|2UvIG|5XPPB7%U^NFRe2`r8&Bj-u2)^@ z7*7lbU7Wi$KI&lz;(a+)q0e!BPyi(Iv0aqnTxi+tz}fj3HGK(p zdu3I?x}vI}`nrXgewLlntLtz@JVsw3Tbu=b26n(f-9RVd=PmyD-0I|Tm|}ahF9jjK zFCOMOd5nsAhkCHA?^xr@fyhL5XB$t@d?8!S z!;-Hr7V%W^aX_!3k~yJgQ8FyLX?ClKk9=l;j&fyS@#oPnweEOwp@zp^+kuEzxcpYp zdh<{MvZXRgD42_ME*wc&yBHHmGC5MbIYTjfUOT&7w%$g$e4yP;OqtIIJ1Oi{ACJWy ze>rw9SiDId3&+)s99NJ8*Pj0PZ7zM<0{Me4@N(+1>Rah^-Vf$W{=wnE)s-=aDdR<; zG?pvPy4`in7f@Ug-ir#a2%BnR{504%EaMi}r*hT(+ep|r(>O8mX0YorYrkNw2 zdu@k-o1Rt4xAzH_4XJ;t8fuDL)W3#4YG^0lq4H;Odu4loVH;ENx(oB!>$3x(jwk2= zMBGl{i}aV8=nDw;8=H_Vsj{MtC?OiiR$`LV_ZmQkbSaZ zu~#3e;}fyAS1o+AvD%ZB5yKe<;kYXue!=eOwGcNZx_xk#eNClq7U|XS$(o2TH_dkW zr|STQSj?|!rc3o{;+(v)86D-A5Hvs6-qF4Egi`BCm>lb!5G6&Q{L0M%JXM}#*%M#| zn;Tryy=s~ZzNRPlwFAHP=m$-Etw#sH7j}Q83X<7$FkKgwyv5V+%0KtzpZ=x3nb{8i z_Rp(Cd_c%HD9zV<3FWS?mUO={jF-{cFjvcQPd_0x>XUV&CY?1B@jdXwuW^qn3)bHH zOK(NZ-MTF!#mAE{Wk65@P7V(e^<&=enjGAp{QT|*T_{t$P6k>F$vMh2#8&!Alr<%V zVw;tt7sd`S9=l<2t2vEQ>5fAdE)A%T8@D72cfL%kIp`FsAB{A8^B+B16J)P{#E*WS z2%ht|1YKLb@r-&ChRlJuZSna!rFmN)R(uw_pEFo$qwOXDo3}Lu z7LU%_tsXb(EdSR1huw!J;Q*Gt?Mn(tj+5b>J_imr6nC)A1f1&lGx!=n}d|AV0V zbRf1Yv)N%NXe~I(U+UOme^15>jU2p>WmMu-K}YTkFk9b9e_S}DLiGCwr}|haO=QEG z`lv+%CIcpRl-GPEyUZDN4@XZc?AodKwSi9ZHh}eBmmB#~Q;QL#8yivX+G&ej?gsPK z;2UJ--D*Mx4%wUL-ncbh&=VZ5HyMR{APf>7XD20Uu2c&HXV!zrX1l$=WJarbk@`-qzqpvg&PpGdC`pHPVve7;|2MpPEOL+i=+yP!S}^1S z9k@31sgFCs5~ z>kRZ_di$u~*KT+u-Kk&mKz?`Q9^$Wt&eVat#|v|7iGbTO>F&yk={t0yNU%}??N}@O zd`{;IC+tmQhicNrdAPTM75DrwYDO>$uu5`C0lMOMB)pzTE#wzRWgAV)vKW@BLuSLQ z*vC0~=u`b}2cJ&a<3YKbPo1ljb;;*cry4gh96SfQ;xm+!=&(nyt6?SZlqGvI_v&l9 zIBJMhHv|7PGRG;=X!?XgsfXdNkS$v+<y52%hpjDXbeQ0zwvPuPaNSAzM@^}ytkHf`WZAIN;GoAj2PZZ zOG;af+`t76#YRZs_;oD~fHk|eZQ@7rHppNimzi1vLCQfHIDnt}1_Hi7{(hS5@4QlA z^KH@yTr`Y>bC8e9_GK3?F31KtKvD`?%$qbqRy|yn|)cXK8G zx_5Lar>!0~@f@3X(-yvkgFmR{3+CtKTCGz>kcZ*hus^|!v=`{?s{uDA9E0c~&gS z_kZ>0*biM@?~~RDbslz_qW6JP-W?D!iYx%tT7?bINCEs?9&MAd_iBZILRtdr0%(qq zRf);?RV86>z};D$butS-66s?_RAca1ML{9cyO#+F^We7-U4iR`jdp_@t%1v&Y!0H8 zq5q?EhA8+LJal0ZrHnKSak7KpZ!Q)saKjZmW zCZq8|ksJ4VR%0lP369{?yO?ZQSg_OdlwT%t8gNNi2uDZg2NQhVyV)gyKWwCh8(lWW z9*|14o}u1_BZ&0;-A*_D>*b23>mQh9Ntr#R>pb96TH{yU9=6jHufADyIg-+2;m@n) z<0O^vsP?3DL=oqhGtf(@WDe+QR2z$K5?-L4czzHVXAkFS)SqA{8ab1MiHy4CCHx=?c=PC|IfbrD#6w~`La8?N4EFg{cGwf@YQ<0Q%l8hh?Dd-RWqZpM}qAG6FfvV&> z*h5UGG^>3q-X;p84oHNmz^`Tg!K-2+CRT?P1?I< zrv^aPy{0rft`XugI#>dTrx{Y9ks>ZK=Mv9R;V=h%NrsNNuHle`mx%-{E|IGsAaYQ4 z<*z`#dkr^Y333^`9p#ZexIkNurFq(=*6EHwcMhluV_iojUkK@icU_Q6mo1`M&n;i; z7~LHdN=NA=H6@LI@Kr=xbq<8Kry{%rsp^g?KYRuLp8XU@Rdu*Nz8aW&#Rn$5i+EZk z8@C$vNR{FNvrMNJIs(hWh4C)ez?v@9)JpUPXkzMez}-?+^|!Df%cqHf9ml0Z$CBp3 zO%M})U1ODWue#gX&e#p-(CZD`KZNivio8Bi7R`f^sdZOSNDj0TYLeBHTHs)`#ZjKJzx2l;?lK7(x`5FfJ5{ll;Wl9pFOis4mvd5>hArIc&MF zSjYzzXa&iPX%;FB*p=v>wHe0utAlmfq}8n0VF_&#gP1fPAnE<8g`XkH+aI2`23A8d5WTE)l7N%Z^u ztYP>JVaSiQ-%{kNk@y?(D`kkE`}-Vy;ru?9t2$(HVgU#Qsk{Y-lEMhdfqhip-ORk# zK`en?9_IxAn5#hFn& z`Cw2RT>%MJBfV(#l|$!3a(#JPH(hiTNlMP^_jEdIcx`ONNK7*-Kr*WW-*hk9)UjRY zQrdnd4HGXI0A}fj7&s4Q3OC3jqR39RYSQ-$n7?r~)VSE*X>h%V{h)Zqa}9d*sUKF> zMgbb7*o}I|p3T>@FL{kvdJj8wqwr?LU7dbqZ|$Y{-^XX{lMZ*|6gccHO>Mfw38V;D z!bZI2(+10B9rh*M7EE3GhK<^+_ze`uZ(MHal^561BAZRBO5Ge~Ns}^1?PMhyJ7?q? z{L;7XA$4)}z$fzo?InzPJSRD9XYxZNz_SKZ?_U(f`1N1g#q4%?0Kf7cOPSaWLAW({ zo4KFWT3s(&x2)##&>ZoI2j`J=Hv|zSnQSimHmr2sGe@!p>Ee6pCq{zLLFf*u#H%fE znp4h>^oxt+%G)Lf50A3B!KM&~Fru^_bRh$YJ*a{ds}aIjsbVNTPO_`Bv>-qVBzNl!xBbgy>a&C(R>)hV0Cz||Mh1)J{Y!@){@0$+#Y16YCvWpuO+YsB}j6Xdpb z)B9K9zI*iX+oNqA@d&&0LzoUgd3=g(#%u+>xqOOv)4A}5jVJxdv#8ah-m$2L=~pKW z9^F&5Y)Ai=ztTOQx1G+4Wtiy4aK^^=)<-`F+I$`a<#Ua4#h$#ulsvog5>_F{`=i2o z=VHnFL`~N58R;)u7bBq~IynW&yAb)ed=Ec?jK(oSUPAx~NN0uhcdKt! zUwq|3%KjGc*@n}r&uv?@iha@N`08%%Wd_Ci$gjjX`BwKX3_uU-p4p49^(1S5-__9` zErNlk=D}nB`gX`0VM)w%fBUStvP$@k(Qn8f5u2)CtTd5U2J8vY`Aj4`**)#+dy_}0|+CF*s>m*jY!o_fs0@OdmeI5{S)v1)~4Yvd& zcO8oihPK(juWEi{96xk6E&_0a;>Z04>*{hM{{|9gwUZ;n-6_kPm5+ju>7>Fv9pgLM z*yLTxgGv5Q!TE5w_+p0E>wOtN@86W452o92zf7JVr+)s;d<#jE*z=lVibnnSFd`-r zAyoH#$Xgb?fhMXl_RrB_IW&R^mOCM#3_Y2*n;j)xWl<^ zy$(OWq8i~!=zq!D{M&lg@9<^vkIzqP&cRaY?Jmk7@*K)UZ&ZKiiRwoB4Z>-i#J^;` zdXD(XHFCB|Zlc)rlN$xnffJq!Si`$G(7=CN3shDrCxA*m164yA#$Ln)mp#a)&P;N* z^b1BD>U&W+pi)DU7x3DQ0&`ij#bD0wKoUQ3vYk~M z51kcg5HMw1qNWIxUPCIrYA`A*A#Y+>_*ly$pUg(N%Y%jLW!gdRZ(#{vUt(a3k!;?#iVo1LnN9a;UdlLJ_VjuOkoZ4MsnSi z-nprf&WXOh-xtgE!hP>e(QRyMbJh=$0evya@O1wV@dK${d-M98n^6{w8E%W96l6iehWKb%t zD-t0?8J3y$*xxpeIOlG+21f<;nhb24E9=Px=+kq{)zD8EQp+1v}4;Ce9)K3#)qMRd{5*(gd(B;<1g9=V5F= z%{S-3$q4{G8oRg;>6cwO-PjV0Qti@DtNWm(;TXErZP2;aCbg#d^*k-=mH|YdQ@7Lg zG}uDJp(6nIIOzEI_hoM9=Wa;DeR$`(_k(`rO_hkLn;jsKH0w#>u!7Xf>$J*(;gpufj-`0>^`(!{W0t@@JLBF>Pi@xp zmtl;W|0lJ&Oo`P^OmVpFNVRI^bi8G# zitu2(j->{xJc+V9(OEVi799Tx5o(&`Le^W7X^ix1uW4MU zy#fVw;r$&J^1S4UdvWJlfSnw&HxGR9+@&lU7i7x!nqPw28y>x`fp9PQe@GuVozM?tW znA#7Y#Gn?}2JOCvk0HxubX3A-t>P?LtPz7#;7)o&q8LKT#) zb;r%UUO=s}IZU4FpBn++xc~9(Y#3pv?2@Ki&gT3(>fRhkf9v3t$k#pfqgqLgQ)EWg z@9Pe)dQG>kLIKmo!Zcg(v3>MT<%0X8F}NiK_KrHB6Mz2P-nuXH=Kol>&6Q)J=A;|K zwEtb{I7&M`g+SmFr4+nH3cR>~z?fcy6gX0J-ly+2TjrTf1)mROk~S*Cr1C>1mBdc1^o3LOpS?f&+>dN zxRi?Z9gF`dCSc3F`?7sx1@}`d>L!Bfk41~a#FqaqZ>k6V!rjK^N$(ZiOgBj93vC9A z?}c&GO514S{uiCGLO(8(yP1)Bnk{7|ay_ z(dB1gclma=GiYT-2wTWPDi_hev6^JBR(2FvoLY;QJ8XbM!r;j4$MkEc!SNHk z)qVMuv$ROnAzy{~+4R};?FHd)(OZTQdY$^t2doO4t!nlUqQv}@MM7jYTTMM33Zjye z8_k!Q97g3KA6ctq?WSam#fIM_06W~Q<*hEeedX_$Ft5|b65(o_1DRgwq;`z>9@mjm zwsMa@h$Au!`cpdrwWW8#nM-J)^+NKD+wrw)Z8USQDVMX93<##_Zbwg&ggRr_{-3fs zKFr*Dtq^OKFtfQC@!c!@lkZZ2;|{o=@qGgBP8n*vfIOg+umzGL-TS1X+Ekyko2|+M4+L-EpGbut5C8BIlk?@?FWM zfh-Yn3Z;PLc}i#pQ*U71^HBab;kqmM!))R#PmimtL5I$kal35X&&&Ol7;ot<&x3(z z=?$|#rCY*^-P?I){j7jXkwey#Ewle!qgqoGVVy4j;oHswVO(<+ej?CNI zcLDkuvdkaW2+7^diS+R@PtsBP5yj)!Db=ndz#w&OInm4v@y+gz8z)+8$l)SBslDQ? zH}&CSJ^&U%@vR()te*CkcTTqptr5lhKH(kISHNF(KH>LvbQb19>!RdX>_6b|MsD0D z`nNJT3|-He(sD@;GkH?fhv2(Q^ioE7T-U6sPJmhnxh|+{Q^g!dzf%Rsl6_jIZxa!% zPh_AC5OzVTbSwHYvNX>`X3+NhG$;1K<%6M`+NRCl4bCAvGv^Xe(AYFA53#(*M zxO)vafyO&kISfUFojm3jr@1iAowCYN9(iId20qTJ^Y`6d>;QH%75t@>tTLY?J6}jO z%)R(HAL0fkgDv@{RJ-zUlLq~AuYpm{0eA4N(;({JH~0ThNJ*j4COuu};p&gT!g%K) zk#AI|#iQ|m8Le;SSV%PVs2Zn=G#G*++=kVCmWvr2mE~Vr`!{#FE_Hytl4Jyf;=hH< zbyp`RhC)m9bW?H9kr`2AGUZ@DM(cwucM~%Z5DY-e^cd8X`vl)H;@EuV-_}DP?ZSs{ zOBYEpD|h+~Gpq(cW64RtkG(!alWGNkeAg4Xn{Hrp6gElE9Gscl#HDsv0>F|+Gy?s$ zR%C`=DUBQI7v{b3>^SOZ4WS9THNi!cRGn50B>&*6isz1+X7=WDwmpNELGg&eKMoPx z=+Oqssexf?1cu=13PZd#c{WR7kpSx08BK2rRw2n+clwsO01o$qg@<}m0{c<#7n~VF z8+6h_a~7=FtU=z~wj|i+PngxmCfNzFQV_9S$8<4AZk6n!vsXzeq>w%jrcv=}0kVWg zOCy-QrsaDL%o)BxX)%?-&#iZ*_Fe5C9)kxtm>h*RZ>p+D%T+q@I)0#0Y9N)Dio zY;BTG3G9ZnTb)5`bPomK*9E(E%#{4jqTUuJ0n2ushSt;T8JhyFPvoF=5Xa7qNT04i zEe^CcgDuUvLlpa;T#do*31-RSecP4zd%A7B{feUW`&QYr#63bWjKGePBn)Zid?I(N z5OzQGQGHzVS1a!gStCy@cKUETrnH^1ul58t(tblSmsI<)=K6{U`* zbTggwAgwcK20T+_EbeE$*uIa~tSFFaQR`_;`y=81ruFX*Dz*md_-TWI@6$E>L!SS$ z^xthdC^;-#tA99u+xyNlPwDz34I2+q!|;A2Od;-rZ_y$Q36_TiJ2zizt4ii1`xaKh zVC5kz7t(^uhQltSlsT6|b2hWee2ooj7Tr~9hIop}iLm)TH1ty2mE4bq#$tD9^X%lt3p=KU(W(!xh?C9B)L8qn`*pP8bbn0bbey&T7# z0mW=ds|xg|_yJo~4rF0!f&x$I8=Uo$AcZQ*i!5ZcaP`fSY3pR?yhS$Slt!kO>}^Mg zZe(2vLH*VdeW9tt+}QhquPX7BKw~DpD3V@tzoGXs!QyRT-C29HL4u*Te(UDdh32>T zqYs-L^NQ>cC|$I*E8pmxPz1DT^BYVsuQ3gECQ+yl2FX3B#>trlCpy1(5w1H^5nh z@6vpK#q-j}WDDY593eisd1zU&_T=tVN$M0(aIVgjuJP9OJe5gJ5Br~Uu9o0D;X1FUI_Ln3g zwtg^7{odp&9o0Mcbp_D3Q4$a5))YrhxTR}}_$dcb9#(IEf3>kI;SPeU#hRq1iCoz79Dh>);G$hA$MNb;$MS#Q3TSEzyCC_>!tW()ZonLzE=f!kqO zw+ygYuJFaZ|CO%JGI9fdX*<(a+g95Y?ewk#eB!*!W~P}Z(6pJ!1v`)G4NrQ9catYL z@36ZtBus_Fy%_fc$y(`2N4N;p6)0H#v{aX>hyGG-LuY=%?+PZ8xofyOhL@=*l~l;^xi1qJIeuowzeI>7j?! zZ%JmR%{KwV2RbKeWfK z6@swRq&8Wm}~sJEwtkX3)0wp zXx3&cB6%d>R&plgOk(I>^_B}KYFhV zsC^%P{*{lfLUOTd(;*>HEMC>wu2^G0wf{~Svqu8#=M zHS(%r3HZ$Hjje0lviI*Pu$t@{FV_se9=rXf$2|0d#op=AAzQS_MQO`|4vEasy-3LG zfd^*&a;?U##*)dO6aaE!h{^YN*~Vu>P$q&1ODEAVr)A?m8QI2CBmM7ewX!rsp=UDE z`9*x=I^&jPqb@}q53`=c(VTd zrG%bGsh3J8skt`pE4yi8r@FjRhZi-|9qKv`m;6Bc{U@+EH8jia<6>`aIC&r1?7;*dSC1TAlG?#R1QfO(?2#3Y z}x)^%|A*5e1=6=ggPk{CG zUQ1I>94{^-EUzZ>usYxy%k+CZqp>g0Q+Lm&jp9oA#Hv1%4reLMIOvC5gT?ta80zvJ z>3Yzm){-1k4Sfu>{#cLPaT^=P-oShgZUH7siUvREHp-L3CB}SzmURB3>@)p1{gB~) zqMk-qoNh~vPriY!QPhu}K5CHyzHo#&R+-@o6U8RabIkK=83JB`;kpL%R?vY*BxdFO zA1X-4T}+QtT~p#IqC4KR(Kbl&)Pkd7z>hln1%RhIyY2{|iDYIRo+qW;q-v~k##NWpv6py{p4^!|9QGI)}YA}jxJS!JS zI3K2>#PW&Fl>6Rwq^_BClyo7J$0LRpT!D(E27T2zNeo8q90lAj5otbXr}(v7;L4yu zTGQzVCN_PsMj1x&n6@a*oO0|!=a7}k3nYmTXSjeISA|h>`|xutR1FLS(@G?oZ`CTo zDE>K(*BL!!nMIDKmDvCE0}d-Q8fA)h(ZcIU9!VKUMRl*uL=0vTCK8fWY@Dq+e9^U{neubzP3(&On*-Fm^yh~q0m-l*{kbA2Hu<9WQ)xu>&*W>|iJ}ioxjS}f z+B4ihQY4x0h~bpq{vX$Q_xps$wI@kOP{5%H(>mey^5dFCqpWyKDVlvN&Vuw$YyU-B z>lMaUa6QoTmT2HiSb5Lmavn4Ei0YJ7e2rDL8|3;_$YddG zNcKJ_GN3fmEwvs4)HeUK84Y{ZBvG^bajpC6ZyKP2oG-=3ZHS$8w~&icEOXx;;s9%a zXYFxtduibL#;iryht{`*OpDz0JX&XW|P2?qK9Y6PY%s=F=a#d zPi%YSlL5n42CfzUK(&9UpEtDif)Yq#&XG#he{LKKM#il-f3^HjKy-i0fb@YFT@lgj z(MNXvy-D*BI~R6O)+_HOEUvTirE-3rmht8>2s^~+;L=%OiQvC)JEqSE<%~Kn4Ga&G zS)a!t_8ze2_0Z!mjVlJ_^pI^r>0RiwodhtFp>zMYukXKPmCV?UrpU@al4(6kVTr4r zp~HG*AL$*k54cb1VN@&;+sNwz8Jw~TJleXaKP*6UFL!aN!=%1kQGD50yU>gpctuF* zlO>jqhYb8Z60@Y<{Dc?}q9*%VkNSY>S$K)f_rlz(FLeeK`!!$lv+`dbLuH6(cf9Qx zFSWoRe}3b+S1R$W>*-FyK=_@(yWnFfuisiz7w32{q?)>dYmtDTfwS-ISqPiXM^N7F zE+&s<%4 z?pagy*x2lP85iX0_Y`f}Jexvm;yzVwHcOb5Ux#X#&pH7-@A(3u#W6bFrR%;ZtCZPh zaOVpdTnN{B?Krt_k7vw$#e_ocr9;+@bmxWUW2_l}f~VEA`=2xyjlPZ-yGg>t$4*?n zHeHEb6?z2KVE}K_liMlL?7Loua-(zY?!2UX?c&~ImqO%TK~qa7GKl68dv1e$UEx{g zFbfnmO?rxaK+}xyF$z4CWobgKtg`I>nYF`}&@;mfw{C|0QnN)}M2fy7*qvuN`~U;Q zilm94P6)~gN^rW^V>FN@7O)TxnD0$=qgqjCHV{5ToB<(1WeQ)49dep(l%CSfC)>Ab zo~OCA4$IJv%7p~Hf)eV zre@RDYE!pbX)=*%9wK}g*B=w9i?LRNu}MU*>A-_5V4uhzRgequeMNUNqdS%B0+71c z)TxW3GVKP6qj%bsgaUoNP;6AzfWhX*opUXcQZ}5z&uzY^8y;M*d(pr!CU3}N=R%0q=iltTztVBPU8sRw@Ug`1aj2l9v6nD4O`?{ktfG~ z-UmkTsFP9?hRyQi@1Q)PoO1Q*j8JJ`iI`nEuIg87kDl44ju`7(LoX7|6vHaANYots z#2o&!;B~|=Lfu4Y|O8+27r4&3SzZUP4vGLq6!#l#baYYr|aOF(Mj47 zt$nU(}&&q^*t z{}r^vBhG3ToFhJH3>y`T4*7Ix^$U0a9oTcePzf)!i*Bg=7PM(27s$!M8fER#X?H2c z&QI0~PAy#k1CRVr4Bu&GqP_RkAXEi_xjnwA*{~SZnt47l-J|C;BiTb-_D(D$uA8N} z)>nOzxw>Iz5!zM!>Z*Hp^j2?se7iZz>Z;Nd{qG665dWoShzjAC9_3!ih}kWNxnIc_ zDgT#Vwb!6bUyKNByIRW!VxE>j-D&GrQ8l@>0tL=p=~+BtYB4CqqV-aMYPbGoY_U{1 zVZ`R+n-P|gP9*{H>LTTpkF9^Ea(8(otnnhkxw}94l6QS<98dm_cZ=KNyTOPH<%Ge` zXnfi5>gSak_YGzA$do&SihoZIoP+ZWDY6ExHx6LqIACTCje|zR_nLvcCfb>WcdHBf zt_Cxg^H-%uIB7Dk=-6DKk+;VdMuGCVO2hPv8E4|xdN=Q8Qmk$fp1GwG#abEszc#U% zgRVa_SetLLh#Ajn!XbO2_I=Az+`j!1$>5uv%S7SGZ;0 zc#LJJ(h#cn`5axD<)G36Arj(iTEpqb8w8OIHkTKp&G9>Nh2)<#UE$E1=mUZ?ziVVS z)kEB(Nfu!@`G~5yDRh+h+#2)z^Nit`3lpDoHx2hN<};SyHijxsCSr zq-}HQ1F;1_XdxqVV(BZ}a%>$uBB|8$&-E&r5us`xUtsjX`4VHFHnz zH@Ulhs`9Hog0Gsd@mXCJ!kDi^%oDx?Os{~Qf?gMD*dEJSr7ml9?tu|Ve#!lal55o)R(8WrbjR&e~VtiB0 zqE9QgCz_v~i_tTQX_R?D>Kd0GR^n}XV0emQVZJsB@kn}dij(D{S+5SydTgY4ND%iB z7;{*<A0)dg9w`Hf#KtVe90|8;YO2nQ{oL&_9Am}2>(6B zfAj5HC+*4gBatr_57Tb^(;j!NIyczX4C*b3=#nZ{AO`!Qx480$L)CuOC=$ss4eva} ze+Vh25#m*a%3IniHqMKK`iO5n1mF^9y5i~;(Fu2YEpY}n`z&EGu{rR1Z# zZ$kBgmU#w}aF?G7(hrN-Vs^2jE%FxVoRGP>TS5UfG?-rCa`{98^E(jifOsJhI6Bal zlnGmf&HiGSTV*+Z9bfbvN}g;%`*84x?0(N*^fYb^Gg@eI`UZF!TgwG53j!eg{mjDs zC}X1P-X)(Bqar2-He$F?Eq5aX`4*X&STM0@)Mvea`vdotK-^d;?TR#A8ao};I^u!r zszsY%44i8da^a=E3A11N(@=SGJLfL+yO);|{O3sb%(-ln)536aQyfU6CZr~DF!j_J z{`sj3h^;ikx0_*w&9^FnyoPk$d!jWxp#r|jwlR?V2N%?Kre{Cq_Fe(S}TUa!`OfJy~h3BG>1- zzjFDM+6lWc_kyuY&PDPcyx|`{;t&6QpD}lL)|b9$Xr@8{xpM#%LFp+uuQzub7l;{%#_^Yql#Uu5H=@B{qfi62ZV5M@;GOK32F~`HjL* z^X_?swGe2x0q#j-u#6Mm@QVH8jnHB7&zp|PZGq52KVkb%LH&HVlItQSa?_jFYfc5{ z&@^6nPK~mX$5?Y$6gn5%i%K#>bq1|F=Uq<`zVt$Krb0B0vMi5I^lmm7l0zMfy(Z6D z45q|BynK3H31v(o9ob|)!Dr)3^!wnnq3?7Ran+es;1pO=BR}U7=`VNGnt?TyVFFk= zDYI&f2fr(VgxjIoCVr8ACd|j%y3?kn8UNN#i$KBV=#DtH*Mc$+?ls1<6_X67Ox6!a zWzRi|N&b=+Om>6Ch=2)9DJ?H-&Om=kp6sak7O-x1M363iqgr;o)t%NF#pSM6)OQh? z1!TFI<$du+6+;7)Tzekrd5wbNBW|}dk4{-+rg-MrwAY~+4MCY-;Z9(`#HDSD5c6-) z`_STlTl#IUpVXb;ub6Rc=N0Ka>m`n;oyv!lsYyr6Xp$w*F~oOO7&nqa#wVj3qK}2C zuBeCd+%lgn5XAWpQ086x+SerhLkHk&g7nPi_0{|@p~7)uvm<=-S0?sXd{)y!h39R$ zq~lC`M{=iw!~ZE9S8GCWp+*1a22zv%dGNm4jc1>! z5ZH{{_JVWFaLml>y)WSt)71CPUrX&8*5T;NC*n?f*)_Z+$9Ny|V96 zr{(CO*qdi46nM((|E*s&7XRx?yL0duxQwjRs`;uD(vaRb!fz{)?%wX47IVqw&2p&I=Ax*8euD^vFpEvTFj4C5 z+WDp3Rn;Ae@Az^H^grC2EYRPGOK%eu`2^YXlhO=k#4I|b>3hYDOZ{iHsoA??zMA-v z(#Or>+Tmy}4ZjachrALJ0Ii0%*a!7-VE`%{@T`(Zt1$visU!`fW8vQ$Zllf9q)QHHjH#?~JtynojM)i*WGKzl@81sBzTyz;f-R4VsNx7HX zpx4phs2$&l+MQxAnQ5M(pg6j%>$v~>mjpl5Bf+zp8a(rH7W_ zCM$Q;#|)~A{Qrs`*dcVN(=8}T z$ro^L^kk>z0&iUrDWP)F8PrRGv1_p@Zs$kc+yCom~4$iLUZJgg^DU*TBi25G97t5y0`( z9e$gs4V*PN^(R3a$hRr#*Xj6@4r0U={2f)L9+sTEhib339FN+KhutL?``Ii0^4>IY zZtfp0J?oNnyx}VHV=V6+Hm-1l19324YN5W@;RPFMAynY=_fhFf-X%hTMqctgt~B}n zdvEA3Z_%~7bq&GwA~nX}oK@JS3F(9}zjTR);qe>Z_^)lKY^PtLE}HsNJ$iOujC3oMj<5XL22zk|Okc9t=!4$7Ks8 zbub@G>qb`_Ud4Fp&1sY^L@qk+J7X^)`IT<%tJL!ZwT6msJo>@d06***byvvNTRQcwN)DMM>Fkp`x~<;}Ra`|ZI#(EQT^z^#|-~>E#PBvQ!wr6a)1R@pA7sjWmjFA zWq6So-PH0HH#$)lJ95*dL3+40_I;^sUie@8jRCr6v(QZ!8W<}_UW1>(YKF!*{Wn!s znZhr!1LQhH-`FVktFa$2br3y}wi_6+c~);^9-Nm2zD{kw?z;M!`DME`pf#XQtO2bY zm%XJiW0>U#O!RpL`AXo16dYx@rTxx)J|b})8ao>}(z%a4PKmu3^Z{ok??iCO9uoNB%7PuFMD2 zh%@R`l@8FVSMxDpS$UuLl|G-)hRHbvJoT`2!3t1zttxnLg?a0H!flnC3_GWg?ipY7 z?^V{nW#Q)tL?O})nsKND)=yj8tAU@N*YhnTwC^>DZ}n^Ah3RAC<7u0s<+);7RDGOxtl|}XOSxe5zJ=VI$dWmNrjzwHKfVXS=1;AOR z^4_e?xhiF=?Y2Zi(FMC91NT;kz8y|-7$zStmf0E-C_zd+gy|;bf1N&_GHRXjaxmyJ zF<4=NDTnMnkQ}TEsnpEh2idN{Da%0P)?n!agAPcMWtL*nh~{g*qKlNq4Z7m(BO4R{ z2Y2KSxO7Lc)wAv5JpetZ+N<`sVe2|So5a%+kI9mg2A9TElh>x3)~l?|=DpE)tEw;8 zbi=G*Z0Xlq-WqL~ZpIM2aOpc&mU^mnJDZnOAzljYaAB(S_CHK0lZ^VpqqsNnRiv3F z@g^DV_dnP@JThl6`8={#@sgBtO@O|PyM2#$P!o=My^Y6?o0OS^Ne+^c{ZmD ziT|g4)ly{zgvLoOK}aadburY)>dc>(KP{;XhFR=%E$wfi$`1`X%fQ7t^)#dEfQf>F zk|Np{4~x?=Hg(b|`ZA+njx}$fzgNo{l197u8PZTY%erplG^5BPfDdy(QGrdj@pdvG z5a7w8 z%<9qDNZYv^lEwP+_`wgp_kjm~3%X~HCgQn~-?Aw@iEwMwEyja|n6qQItiD0EdA$N0 zoz~AEqp1}m5@(7lVosZV}hEKiS-6F-AwMW2Q9(b zAf{SB#9;n%xCva%(kN9$M*2>#N>2^9D+vSwApa)6gR`8|zG^zy{2JDxJnE7Xi+Bw7 zMkr&9%u?MHm_?{ie|9*<10BNkpZkz;3HgGZy0d(}^_36$(y8#Evo*Re0(N2u{b%lbRN!R!7kfKO)`m{-=u{;uVoi+H%+#xDy!%JGa`NrmkY5lW5qhG=%;Lb>|fjyrNYc>hDp-Xe)=W8 z7%sS@hoP$dgHdTPALQu7FE82?rf(SIkC2KX2kwMwHML(RBVM*EO%}zx9NwFItxMfS zCE`hJAbrnE9;r?;;eE6*wYq?_&dX9^!l?|v8rkhVwceeWp zRJ&@ej$#LMT*&R5fHG8kMBPN^!6r{t0K)F%$gp?aYBHpjgb-~f@1|+Q7cEnC&(QQL!LHwmnzhHPZGjz6QgcUAgaej zVm8?0%jNtYJc7Dp*4Pt_EpX?WQxf>#S0fEh0ol2~D?V@<1ew^^TI`x+Qdlv{^H}e=$k=4Fdxo6u@qn1WA)~5onI{ZVn(^5Ye-e!9y_2eY z&_T=K4^t6D{{+Kl@tI+ZavfuX$P&d2)UFiXbsK;cTEQFVs^iT0BWmII$dJya-`aWS z2sTvrxWWn%xe1JpH9@mDTlpY*;wfB?s5A9F@8d|qYzaS0r|!;Ez-lLMYF)(4-?R3$ zeZ2PtN-cNOomV2EI91yAd28=1gf6BL@qP~OZO%i@EVO*t7^+jMOiGF%cmZyl(MUZF{uxx7E$qioqmktw)G7&8>BhT&ojb^F5r0vq2hp zfUaPBtqP6RckJ?Lp4jI&bZCD+>Tvi=%Rmtmfv$>@&z8Hd|4NztLQ4>n8`lws@kjp; DQCd{< delta 109919 zcmXVXWkB4{*ELqW&_at#DGtTm3lw)LE(J<)UEEUK-5rV*cemoOxU;w~y13iR@Bh5{ zkW3~wlg!P@Id^U*M^NNWC~}MvuuusP_uo>B^1sdhR-Lu~SLX(Qq>qxZ(mQELT1XpU z@OA+y-RB$;0g1K$w*p6d+A5~+guoq~$Ui4UDZ8K(vAwIk+;kj|lVd~#s=Am7G3a%A zr^ZEHYpuuJil?jX;@YuHT4n=Btwd>}0eQg;W&$8V2v~4|L5c-@Vtuj92CBtMMN95| z@TK3J3b>vlw3Y7-GxauSWaS0Q-wZ2;CqC;XgAOkVJC7pxI-k9T%6-rre=$F>6wQ?V zEs0OLpjWbbk|d(4f7+X$-+V5Rtqg|#g{<(hMoKT^#P zgV{Te890V_w!kiv(=~*3Ia}D3GP=gM)Lrdl=_X%qw>ZxK{qMkzv&Bt0Cy93JQy!it?Ol>Rc_g#2d%Q%k;1{ZZL%bL!Wk!}MvfV#Q~z zZ1`^Pbw?!`^kF7U2CH<~o%x{b{2>GA@#p7&J{>p;3;+G7dmj9W2?YBq=Sei_5@wKK zk)4AJK?GSmCDqUl6^O7@k1a*b=RqG0im}P713~*Oa0mslzRc>Hn0V7QTt+bqEc(P_~BvOy|2nuyN=!+zlfU-bkC>0 z>HJAxA@}O(aLQ$L44<#z+LT`9o4d^i)r zR|KEGtm26G+BesPM~XnSJ%>xH zREbpXJ{CkMaYQns6sI-y%oBwttAD8XpmUtQ+~-wpr%!0^WXSeUlE>A+I_{+Ye#$mK zpIyJkZm{!cGaxXw_tW|0Bexk5XGqcja@de-SL$JF7h1{vJ+^L{^e2RPlx9yQt!3u` zvi4gip2Dk4@!~$4F+hYmLmSqpapr{RV`L4^{*AG~ilL;y5%$rs?u}$nJWDNhHt30k z#1})DF0IwE(?>MQ!WFk;k5~|QpuRA)Vg3MOnbe`WRaS7>UTff8^i;jtBKy?98b^|0 z2SxemEUf@E^oN6Hr|J8Y$w&2T=x^#LO59Zy_cL{d`!bL^@e zsPtemO$Dg(V$5&~Nw3|7%AlwjE!B?HalBv-sI zX-S`ia-D`80hn6!#V+u7KI&j#*5pM~33g)64aA(R5#Gy0a%AFb%bxW?+guR1~HzsZOuNj1lgth0VEeWU?3vmUNu={Mz^0qAbtyRa?Md>>cS7 zK`?nP?MgMH+^-c0Vo+^s>G$UJe_vv#)Ir?QK@hppwAjcw1Hv>+2 z01mqy6{)yor)e?i~xXt$YW*Q_{M?lcMU-kuW04=^VLRk&_Y&ZX`e z)jG(y)ZHT=s?*<~A&lNV_y+BCw9;AD0Ty_CO1iU}7agWjq=9}Md_2YrY@E`M{L{$C z|0>>(V#gs5IU08Ilyuxv4i^yCfOH$T(=zjtGm;MF-sW-lv$k^>@Lfg5hnPaPyRxmx zS85|j<2l!!mYIbpo5QQDjFt|puz1NSnmb}()X8bEdro5+qqNqaLt3GP6O)8$z-U>t zb72gKX0y;^898(!)pokdt~ny&vD&$~LLqDBbnt6Z{#X~4mZO}`!Ba^*v0#0|q7ats zA*hh9Zt6&u$x=UVGWX*E@=70-3oFBsnb5rk4S51TgU;T zv6@*FmTfLvj~D#pZ0nl}PKb*JaGd0a`1hi?^d&wkn ze4uN2J7q{+>KiNef=BII2;*a|57J?>p#P-OE%iK)W~Lj~#dg|uA?QVHo4w>^?M4aZ zR(cTZotD2a3Rb3HpZ;60-C3^9-U)#~B=J7oUV-|VmjG1I3|TGhWtz5ymF(9c0%q;CvJ z`C%R6=WYS0iMb+@=!vs=hc@nVGu6Xu3LpKP|3ZU3oU9L( zqejZKayqK4%>C&)F<3+>2O;Z+Xy1Kfqpj-QJj_#luGJeV}8dcmI8 z5MkkZ6Zy6-?eqbBVK2^5@WJVQou|esxr4mvzPoE#-Kw*eg3`>!m^)9oQ`Azu<37!y z26NU>c@Gi3tyc3zZzhAtldINFHpn({!pJf7{=4mxu&~>0%I&ZwceKbIj84kHc{euk zu3@Q_{W3X{0r6%HD4@Y^SoL^TNt8%_R@(_2Z7gqlf<9TA5)`d20WYG5hUWvK*zHXZ zIT$F%?5NH;z`kCXVum`Y*f8Y~s$sizEtm-D^^4GGZsOZ){tic{C2~fOealy07-fxWMmOr% zJ=}&3YlQJE0T)E12dwvz-YD&Em#hA^dcaK1o!N5zukkj1`!6;eJ{Mpp2xgG_lzGKy zb=<740Fb%ao*h>tCMS!O)pTtvsb>KuCpv`27XI_x6-R`}s;SOh&J0q~t1TMHE$t_Z z#uFfXYqq_o0v-aVu}U6kCkj*0@lXp%&Bj6EN4w>G$Mf|>hT!(Ps2NM1jZlZng>2<=UXBhP_zh6!1Yl(pSX{25v!7XF;C ze8t5LvASeCAz?2$U)6h2Ylhw1FqV?G0t#f0RcC7Hn)f7io;!Zg^OYGd_P_9NHz3|T zWnQflQHhD!gc^KM7?Y(_jO(*?9z%M@Wf9nbvZ37iA}^GB0mF2Fyhhw>wAo}cetZG= z{CtPmxH8sq7*_HEBn^{BJX}+5>C0M=+9r@=Uevp=kYZR8Q zX~i7X@duEV??jPaU1BmjQAL!B?-_lKW9ReIAl>}Z%i08|FXY~cJ@SD*H)mYHOwXAwp!ye=YCscdXj*{GCNcBYW)afI;n)EMzD2N zP|50@+d?77N=3Q)SiGOW%X*9)h3$^m5+n_INMX8w^_@VsYsH zECb=+FF!Wcb?U9u^Z4a8vIxxg@(4Rys=C}X_S;gf^7CW?*A2I^jpHGP3#SSB){oLX z7khF_(T04_O>WmpN?}mmjlq2DiJ(I3;;7n9&r69jJ(j3QCHMP`{39p`bG*p4jf(8z zNa3snltqs1eR+^yW`ZZgU+L5N$Sixnb6qmM6+Oq#=CfTIzlpNS576Yc8Y@yNJ=HP^ zTLxlmbzNi=WsA&1WFU8So53@aQzF7P)-Si=9MI_bbWh*>qf!cw%UQnb$64Q!mXDB& zhf&3l#^;`mGto8mEk^7$p4_FAACxD<+02%tt;dSxf2HHpVn&q-VI{42P;d4>$N_J1X z(Zp0P+BH!C`Ms^F8(GKW{n^op#wH_Fi=zY9MU9(9ZkTDfaF!2fpI8aq>sVro7`_-k&aT&PS_KOd zp42Wa1YmLfHtlHNt#P|*DB5(!BgYrH90-oDq3s7s4|ac!$E<1QbGsm(W$eQ``zWJD zC|9=wA2!p;1)BSDSB1=r9nK`yR*0}4=9|yFqxG^AR$6~PyVYM6(-=tF)EOKu2$0#f z+x2njV0*n}^=361;fU`)eIJX&H-x3#!nnpml$LI40-zKxtfsWb_KJ_upvovs$jRkx z#ys$xkwE(LwZrbleQ*JE$W(I1QhWUF#o-;3BbfXY9{sb#?o6a1MU|F%XUS9px4=h=o zfGgMRrp_ohcgyY)CqYAohB%cjN3mxBIUkGgF*XW~aGLj|7da`%#9qx6L(xF^Rjcsx zX+r$w1+ro6gpIJ6&hXa~@n^wGJ^?7r0rAq(){@AR+T(~LKDCno@Y5-FW|qPviNh2- z3nb;$-8|oqQR%Jb^&$?)>@jx&y~f7 z^RMNa#JlZx#!a60tz|5?JXe=C+B%Inlpi!F#??@u98PNPjBu^`h<O8H5A(Ueq&khu1elu~bH*17XzdNO%Lr#F8oA~=fIQ-0ZDN#P8)h>Von!$0*9mp4@j zbE2`|v+tx=MA+H8?I1)GC#wnCOX5_oTM;&k&(g>72uzWQI1Q>rwLze6f~!wicAIa_ z$jR-lc8X*md^hRt(t}c-@#SpT+SkR?TkW^gG+Ubt_t^==AIh;YYQA9vk~lcS>s8VwF7$~`Y%<93ctT=K?I=d<^61g&4CmU|3q zjuy|Bha;j44cw&#=Wn#-zpftj1Pu(Y+PgjWCYAPKFWYQKJ{6Hg-f5e6JWN&wYc z9OYha14SxQ6O8mxo*!n*k_Yg|UYrC$S8=yTEF*gFYjU7Z18>8#Q+7_99Ea9#?_w}qZwEyI7JICr?A;+eI2+y&1P&JK#ZA%f8!J#oxc&8vW*?p5msfe5BAm!0mzr50i=LC6*G1>D``u2bzM#R`{`)hL>m%}U?=u~=6=F~+gNuNZkIOXsFmn~* z=tZT$Zk5%X-0nTF@K$FER4&!upS|WF-*#-L6e0C^9})n|Wy_RVF}z>;cm~LLb`sgu z?Y;D~bUw=~;48!2#!Vas;tl&TR$sn)t%;G(gL9w*pp5sGUXb#$_@xXI5tp3QadEtZ z#+Q5Ae#T>a3BlusfnK|aPR?A<&Zw6T`1jNkt;PJ?b#D|P=#%lhTL}S&JV30<9VhKnG{#1A;BG>>cCwDaGSsEcJca2CHOt zB0a@tW{hdgn+gvg{U;OW!9n#^DL|k9dHO!Qmr+FIlQ5L9*0vT3lU%(OP_of~iQ3XJ zI{?HEyU9sX2L(XDR|BRJ@hbVt?b=`ZW62@>wsCPVr!~FAa5L>P$L>F!#YY#5-9sM7 zqy2$hyczFBmFU0SAQA~u`y0JfVl@jS@)&0~GfqFUSDil)nw1a96-n@`UyIPED?^4Q zGqCn+tVmm&4<d@D+9b-unC2j3i>q zOmmbEZlHGH=)7^e2~r`j>2?!L4uoupnLT+^MJ3yL^f&SshFv~Gc&y@91n^RL~_xXGb0m6DN>9_^3>p_JrW(dj4+4OhM=BP zv4J;2;m0n{H=M!e<^(?ZQgT~~VO4zYqwfzZtNTuUdZfx)&)9pUtm_;)-(D}-%&e*_ z%bfy1B73c0iOJMq=3dHN+}M;lP7DPNJmaW@W!3a~lrpX#W)N#^Xz-v-?XIL-K?95=6ZFy81oO{)aM zQnRjgJwJ$QSdz}1xSrJ{xbV)vBEXr+zD zAKv|we2a5F6rcTyXOI1q7pf~A{AqeW1DD!ohvKL%!~V==#Heq0{E8DF{St?G8&a0> z`aQ}HKybQLMGpwSPbh0zQNPj~UC%2H4AbXb4{ZcKx?j(E8@bAJ?Iz)hfU#*FyuUgjK5|+D`Z;P;k?-8;o#A$o@_51aN z0W_Kg`BS1$U9~u#UOU%LQ+5&@`1f@;&R7+cs&uM8r4CL;o)7#xNc*U_>pL>1wir^Z z$YI8IA&EY^`R$4}zIp9uipC!V6tkE;A6PMi@d9YmtBZH7*$@2t0w~I1mKjl z54z%}rlC0t?~r?QNFUQK#hjZ3rV2gI_T?% zGDU6WAIpLn=dlU?c(v~x%VzOTuD!=^!M_-OhZif_3n;u7 zEh<6?Lq~^6r{(@m@<)}@N<$I&B3DJ9g+bwmgQ_{h*v76NVwI(n~=qguK5HieFm8w|3`q%ywAqoA`efNxIX-Q1Cr$bD!XFyEx zw>>gO*FUHJK4#8)8q>1})}`~VEd}2wp22hhaoG?3L0YuHJ0Ax6%r$eK;NRt^v^I3Mzbo;&>q3P-$k(som*_;o$_F)2;8r35!pYD-9A8w3qw=J`D{Wpaj|=$ zH5sj{)h4g0Dui`PP>nlUZT~~f*F;B%ckFv`QXHmHbLROsLN(c<1jXD2!c~{$w%8o^ zj2tV7>LBV)N}Oc5&=ylsuQ|DPT8XYK2!XN*4fjcVjnjnM_JgT|E0pqz(QoGe-mt-7 zW}(YhfFc7FY(th|hJEYe$Gf+p%UGJZNYv0@4Ws|!UN(Q>O}K!sN3B5#7|280LqwA3 z8o^sua1_CBnYwUr zYV)h9G9z=e=MurbD|u%&Qzc8tupLzp@vbf2A_EZ!b#c{&FvIrY%Te@?ZW8nUW_8yK zt9E{tehU9|j3?&z=s5C;(w<=ea~k{25_iN*Jz4zgJ*b3fLAxDC*0VaTG{z4hm8gUQ z*v%vy?`91S7JJgjh&aab^a-BrS;IbjJRX!f&_pT*-sj60j}R!P-G4tCeTa~d7FLCQ zb2bK|2oYtf$-+9DJ(IZP8IW<&@2EQ1XbEGorYYp~$vJ+>g!=7z#2&cskiY#O`g)|ItfiEp*LibI2*IbUnD7! z@2zf)1 zH<}fHkVjMI>rF$X^*Kn}Ow?Qrzp>mkJGf#MFw8HekK|OHaVCXaB|I|x)<^h;3Mup)klf^ zCD$YST?8za78xKB`79CRfrm- z1e0=6;q8h(>bC6qsIpY|_rWhA@X4kJNjLRBuJLEu{VGQ19~SWgr%WGt7U_@eYn=C% ztShHRWV9=CNm#K;69ItwBuJE2nGpSl_nm9m^@%3~w3+619CZJ7oUWBO*W6#}ax1i! zhL0`RteW+xT9s0hwNRP4yUTFJ%rnGq_=QV+s#|>o6xsS-r=w_)NxeQA za~r?lq9Zy2$>v|2*I&?sc0ZPVV1Ntvr$-TzIjur4CsEEU1#s%JqU#vm&u|uA=%6cx zc^$yQ;b<3#t3xt|hyI5bt~FR7O)x9wcBO(gK`6#N+DDwSb;b&p1Wt?G58eJSD`WV1 z;XNK^%==-OxE~W{t}g+Z6yYcvUAGi^*m-Qrc<9U?8{mkv5QJuFNr`lb!G!UK`(3bV zP+nXw|2puw5%*9>-r*fmX?I}rEGjrHsqQfS*EiI$0hNw8$8rXztbD=k_3Ht_?S8%< zi;4I5$O=Z3WwO$LK68uR@cq*mY$hR~_>1^{7e#&}21G^*p_uPARWxQLyLpR@h# z102T&Id!hjp1;hi{-yTJ3=(OStFC4{+FCzIcP#+tv3~ClDBtkKGQ9D$_~Ga%sgJzb zgkU;Jr1A-2LqVrbv}=X{Rn(ue$n;k6#OEhxG;WD*895?N5qtk2j-u`+YU)C}-NolT z_l$R3rV$E!J@)_G0TcMY0TX~cO&9Vb*w_(-w69G} z0g<32);Dj>|0-Z#b&MW*rwyRZGCfq+kf$#{uq|(I?Yr8S2VwY^y6P=B%hr)X%ef46 z8?sZ7Ad9G?1xQwK$|C7CKi`%rJ7&Paed+TdjmbhZkkiw8Bz36zMkGl%BXd9v+vWLVPfL zvrZTSToV_cs1oihJEPOu?`!)+7*zJH}x z;3jEV>uym(Lwq9;wj0peLsTK$~j9azEFvX3fYhOIuf{Z6dmE4Qld&G&c_@1 zxoJsaLEMz@!QVZG_pcxKb~n8<13DtFP!cJnB0TG1!}4aCHQ~+?w#(MfXxuJ0q(1~J zlZbU{4Nw&Cy%xJP72zmHz6TC&Aax;$TC<6i1Md4{9#tF04*}Dq*58S>ov<>ZMW!zc z#Y?EozMnn%SN6@KY``=%{o%gxv{v7Wt3DV6ZL8GF#0$2i&-dV!#GyLnHT#$b{22Vo zC%H$4V$3SRyx>5ERNfsZn(nxr5xV5eA1yQDos{}WAYfGhl~88Id+n~1MzEDbRhZeF;BqIx)) zshWrCs`%^Ns!+7UMZoCM(FaFs*uS~uI<2SIJW4HG-c7vMhEIeIl;G&IIo9BJ;qWbn z0OI+J{f&yTi^`bNk0juW!}v!hJbag@-9N!J?{^-1i9fs7eBn3kRnabp z$l@nBMPiv(`VpYBNpRqS&&o!Z+hC5q^O&EJZ7;aArD}_M)qu#%MIw{tYY)+0}0KmCINbLiVt|%pM z35CB=**>zp45Ly20t*U-XS^(w0rEQOWQ$#Rb(rtcv?iKf3Nf)n;};RX-vp9Y6Xu*f>>)51TaawgmchMrQox&SD)=wsQjik2dKbJfqDS)~^aDmqEJ`ow7*k zGBKwtqV*e3dEWXp^!v#w4Eh(JuHwYBIU?CT9pNJp1Ki__E;0om-MMl5Nf_mZ>*rOx z*oGL!ua7$|eD>=yr$j2Zq?GR<`Qdr{gW9K__W_>H3XjrebjRz*nD*%6(g?+22PHU5zSI+yZ(Hy*ax2AFeFs{D%crY6Ogn)g=uEaOZAik>A_a0R z4ex?zhCqP7#|@eaihyRica;@Qg^}E1LOk~2^1;7TRufw_DWj@lXib?eYlN)T*zO*Z zL={|0$|TC$(Lm7`h%I`D`M(*VLH?V8H01)IMOJ|bY54U&*_smE&bc{{ zA%@Byd|W2{iBePxf-wIZkqM|J^%RY?3Hb4pYq}wkx~@;oiTSWiBTQ&lFVO86SD?e1TuHg!U>NhOu-4@ zzP8xXT`dq)Q4G;vx$B4GA>Pv?V`yW6|JWAbto3D0)6d@U+Oozcnu4|v-vo*|fY;%Q za)ZT9z&2PB@2p!RLBFXzP4rl35ElV^$6qkaUN%>lGPr6qAp^PyZZ?EF5NiVEZDWeS zjRXJ*-+D3}JN6?F(ERP&iFu?6lUb|c9k*(Dtf}?%pGUImOW;Hjt53c$>R(FgT?a+5 zUk{~fs=)%u{SMv&N$ihFX(A$DOgbaH?Q*u(Q~zGXuA29l9wk?c3=If3N&+OAX6P=W z^Yd@O3$zc3AToiFTd%488*NIG;RRaCbt63{u9F~jC*)C+72+*7`aC>buehb4z|vvo zEHWz%Q$0uCxu|9b2yp|0N%rG}9Ej|!o${#do24qeq*xWEFy(gl`h_U-5r2Vlb~dML zw&@MMoarEfC<;`k&<&oo6~L>C|4Ela^k=#hK~_ev6{#xod?(@X8t1#%*R(QT&f+!E z_9A8t63Cz3<~RS2bPiKLz2SB~eJ=SiU_*)I`Gz>xlO*ToMvUt&N6z+4{)3i!kzE?7 zwC7c_zf!1>E;MDHg#w30=2RT=F9@lZQ$MBhW@2`_WwS7o6(Qn(H?5jI2(_W2u+5<$k6_#ZFG5 zDCONS8}O+{a3ax9$Ek^s0pI@(n!ZC&?t2~G^`KN`)`%>nu=DapNqukKT`NxZqa?<& zk4Vv-Ky0w|0?+ZHr~{?;c@F(r%8r&(Gsv_1>ATC?tdvM*UCPqxMSSrc{WdGS!9T9x ztc#9Z9SRx;>9**gK{yDAs9nko?Gj06YS{HmAr6v1TZvsZ7nsdeE7j2Pr)^U5c}8HE z?eALNK4G%Q(fzyejcL(fWuvJmkaxr-rdX(TG^#S(?fzuSiU0&5%F+`MjZ_@gyb7>s z#wzCT=2wN@6Ma>jBkVObWR#5$4gPd{1{lFtvKm!laR)@zXM_q{Bsm2fH3aRWFEnrW zCTi@`{Et^92anCZiA#t~D?;r4ilYUM{YiSa8L3<1fTjGmt{xhZ()5WTSRaf=!X`p1AdJ61a>)uVWi6wM*McfQ}|`^ z>H`1xZb7}ec}F}Zc~#c~V$3_33a7B9c?M$1RzwV(J%ib#H5z|X0}bV^{-UyewF;kd=8g(Ut(Y-qmY*V1Hlr`4?E5 zQ@h~x!EGnhnzM&-?7G-p%jo@_Q3xs{%1-7QgKuz>#Dn8atTk^yB7N=9`$uLQx~>d7 z{jopoG5d1!gI!mQ>)h87Z%8t(b;te~#f9>RGe5!O^IH75?@4uq9E)=b?JX-pPIfa+ zMixl`*}0!gOP>^v)U=uSKxpaRcfS(!mB0M5MA*wuFw&*uvlHyiq*%RH8cO?OO`Lz& z-kUG8QD@ZZCp6n3OK4u&{G`7TH0P$4na{`Tka}sm-T1<@h+*1qn~Axg(7QFY=Zun{2@V#^K;}{`9=9^At@)<{O@qK@PQfF21*Wj| zWvnAUtEXtdbUb9%%6@mC>~qbc)8$_Q^N{?QcYet0uhHVBI|5!%tp5zRm~ulT>z(88 zH)n%xaHbgop`*l)V?&8>JqD2eOxYb=c+Mk>2 z`!gla_F-^apan4T?hfa&k3OR-`zPcsARu@RNaa68pp(xy*ENl>s%2GD3r9J#6rv-_QPOh zWAX%ThG~*vc{%HTWl3=osXGMlcW@V8dN7G~m-}EOh~^3%rd*d-w@~pZ{ZG*xYOY9; zs-!X6(owTgkfVS<+0kX8#$4A1Nd=f`uUNW4JTF0|vwoq0fuy;4l)*KENjn}OUYKhV z?L`fn_KR65bkoz7OGY zbbUk3rKX>vnj4r+7k$MBb@d(5K>7Y! zZ`>~X9mVKL49bNZy4~$k+O_`eNE&OyNpVev7*pUxlP=L4D&ARw=K(cIM?7)(f3-6C z^#1<14~53NK)l8YF(A3X8=TYlAMri3rigwWEk0CZpe;Nq6f*5q->RqEMOt!LAKq7Fmd~xr}dX#F}>0IB1Nf-Z@PY=2P}8ggvR?C zm*pt9FYPW^{z>bF0XwASju;-&E9Xh*LC06RC83tr#_-9+p{DSk3qvJ8a1@{WVBw9a zx{D-3KJ$Svjgz??qEsad*sQBV|E$pSD^_9n;r8{P^bT?kV6i|sm*_(X$`4f(H_fHW4WI2LSCkIA?M(_(;dMD^Chwl zC2+qvigXvFr#z;u6^FIi7~i;>W~cKFg)U(pdB5~9+h-j^-t;}`SEw~}eAAjF3mE3( zB+Snc=eg(N3ixhUXD9WVjDW9&UI(_@GMe`cxdYcf1i<^#$9~3FKc0D$*sZOopnYDp z>Fuu{ipxB}c8x%kEY=5=gx>V@vU+^ppH< zAwUv=OiV!__g^yMlKSp{*#u1#%mP!Uv<(Gr=NqrsOIi1q?4&?th^70yi(e;oc&DhNPoWVcX)f)5|066kjYeo7H5md_fZp&!0f*Gt3u z@tn+#Be1aIJFRpXRBpW?v=dFQBDvAepU_5dL_rH+H7yZ|yOZp!V=hagj~d)$Xa6|Zy$V;o{XIP#FV~)(fD=*ehYx9we4Maypr7wwTvVqG z?Q8w%F6W&m2A4${UZiKK1J>WbExZ43PeSM->d&tAokWf>l*{^Xcg!wRQ`kDJ<|~1N zESt>6D%e6gly3@9G^xkPu#tBWwT0srR${6DDXwJE(5s;M3g_tvH6?X2S25|&HMDYA zeF%%Nvdmv*_}c)tfDwI4WoC`tp)vS(T6`WRqQl7d{h_+&>YUqAdrilqSqq%S`qR^fBAJ35z=oh)?$155PD z-f)DuM~H){pA)^|QuATS3h9kl->>0Gd`y)jl2Bcw6`E@OVwdQZ7TbN2rIg=u#8U^a z?jeQ^eNC}HxR3Oq_qWj$*yYxL-yA&kKy~~L+Nf-Hh2#b87m@WtG?2C^64Z8}GYJyh zEnH3k_TTD;{v}cb*Q;ff{gIvdGi;N`W33OiN6fBpG%<9mi;$alQ)shb+?wLDN#1mH zz4ww3P)T?yU^6C6?#G&YSv5B_1uQUEiC-CAtII}l*kO5r;s#b8k-Et?P27OMF+STx& zgN5~PDHn^vztxmRSyDw_zXAZg zL}~`=T&vs}O?7W)evB+^XoBBuKqF!s%873F{%5`P^=*Fv>1IL1y@SP)B{-y{-)d5g z%_R7BFyf=P*uZc!X)IZPA^m^Q+im!=D=i?IVgH)2?kP`*MUtb? zO?*OvFl_dll7o7z*zJ7dgMoozZoRg*H$mkz(SPadGZsB+S3{muCC@tkTKlT$Vq^#k z8Z>Nd+BET4bGd(0DBhWwOTUslN@fqckub%`SkzhH-Z(S1;SIgG%&v{Hz~5%(Ok}s_ zpoSKd2grb%aA+K|0+$L3%KziF|5f>DrsN;F2FidtzP%RYfLE*kEB#;Lrz1@6C=*{% z=m=o|>LOZwZKRgK{_Xa|p{org-bJv23Rrr?HoZ4gzx7{rqP}v!?bE{XTU`hd=N`7M z{s6Q9Q!7X7!Te9TwZbiUyBTCsTz)h;xmpz15!`E!ijo1K^ zB+8C-*?e2^*(4PjFw> zX76m$!}*>&c2uhPj4VnOJJP;@kqbB(WlpTF9hExN4-hsH@~#usS|_2juXuNF&HHa2 zn#7~;{kQOqy>x=fcfE(0N<0qb#}jGAXd&}kzBR?0Y^rmD66eFx3glQ1mM-oW%pKMM zMCt{!80n|;aJhn+`79BBXYvve9JTttMbnHy=1+nu)Y6yO8HtPj>|jDE`T(FEgW@Yf z*L!sxHGBSd1G|QrNHa0>Rx#KYjr34_Mwu!er$IeLeM?!7Iy<~ucC|wGJ=|l>pU&C; zxAOeK;MBbjHV*ac9PLsy?5i@OVwP_s*q2^LmyLG>G~C|~;SJBxY0vjI%xf6h%Uj)&u(RZBc;ekyokoj*VJ2gUq&yb|KVTsmj+)rq*-jOm~GLE^`V z!^>E&-wCiFsvpH}Uq)3YWaP5cx-(25)lB2rE-8}TK>0U663Ew$&_A69<%#x^Yi$g+%Q|Sy*XU9(#lu2jCN@9p7v?Q%J8A}&Ehatqm$*`+; z-aJawzN_?>u$1!!mHs3AVh_XPogzvPb2&>x*`G;|X>eis>>Lt|TRbg9QXY-V`@E7q zhk~8~J5@O#j*eD!5`fkvD7L#Du%xVN`RI-d?+W~JQ2-K&;4e9SWs8>t$7FL0?Adv@ zkQ7yfD=yEnlp;UiORWwg4ENC^Xdi3L8&hb5M%NvqW~A8;j*qWmoRs8UGxX=B4!)d( zQe#PajN(D1g*@U67V--{}8*d?2c!zRL-M`)bToFkGAlfdk~ku$R;&v z((jk9>H0YBD@?a_me>qPGaac&TJl#GP23Nh=mAPMbYn=~fKmsrT=62xTd;J%}@!ryf2BQJE{Q>~GZ=v~LRqse*7Q+Po}Y_Jj@m1wM8c>UPE#5g3d)VXl#pM@F&381Jc$IwE_V69Ya!sU zy@X{Zv{?JO#_3d^$VAWdW%Y@5@b>5Z8AcPU>xhR16JlS7&Oi*>>#{BM(ugNe9@>mP575oF(W-mj<*w!S^!AiUt7q*&wQ=; z5kT~FNsnX?sYJS+23D8)c8l(6JONNbj^&jq%JJa6)kmYkN72OGpOFel6n2-AohBbI zag5LfmwN7L4;*f1extab7v)oBjB~a2uJch`$9%`DmF#dg2xYJ)UnkT)^r+6gdi^u{ zo28`hMeM&+rS*}6KBK*6FhhQ@z8ZwNPI#~ulu#B6QP(+PvS%H3cIfu{fd)`%i68C= z3d>IBCF>wWsk)+PkXjK0MXLgMyb^Z{{ESyTX-Eq(#^dhDVXc9mm4ZFu4;+Xxjywnv zT}W$im{zOK1a7JRrG|SDL3`jw|7yk-eb;VR;h7oclvxTI?N%wN_NWf}e>7cXSX5ot z76fT&knS!8L2~Hs7Ellbhm`IZ1f;vWb0~>XY3XL@j-k7IhWO@ruj~7Few=gmK5Oq4 z_geSatJHKno?)ko9nFg-*(Oz0SK-q;=FKTmrQ%i4{lDXGv1^9+GYukt%5~f7@^_sW zuaiABc@9ZAqECN^=J+6&(m^|G!pjyZu%J06n@8o^(S(utqC)R_s=5N-1}}4+*w*Q6ZrJlV-4F6QG&r_be2LzjP2I4f&UG@kals`#PpNHB9`*S6Vxz1{IKpU;?x^TGI@eRJ!j8^| zKD~!?2sfpZ{~3kjVr1z{uz(J2-m#i99w=FTsGB+Yqjb%6fBm~$Z4Hd z@ehh^d&*1YKXi3X*_k$z+#v#TiUM&%uX^!h2_} zjt@@k(c`gwjc>72#tx*ee$1h3wEMsVq+WDoqW>r+FQS8CwdlNW#H|w0Pa;e~XX-Fk zzD2p|sEdu9CvnhaC~fR)qxBXjhW`V0cojL1k%))T*X-(s*=HiZzb6@?UNoI0h0@Te zT_%(Q2R7Q+j@#eqfPgk6!)Z#9V+~-eiWu;@hqKG821krB)1Uj6mEy%}@GwG(({C-z z2Y+k!g*lWb_cWP}usrD7l?0%ZmoAI#z2N}m#m~yma%z&<<+k_=xK@MGkXL-ZcDaqN zN>>?GpAB@enuZ$+bWS7OwIvNYd;fq#1|BuzFpVm)0RW9M)36p`cG)%CkIOimgYz>^A^w<6N79DJP znja+)H?P05rp^wL0#UZv<1JF{?iWqB@2{wbHWdCd8mhFpy$iXCmj;{gVR`>+0PAQ* z>}{8+!e&s&lrxe4>~>Hd^AOa(B4$gAi<_$WLpFg3%%|iOMhNp92p4%=&_UuiHHra5 zt433ov9s;Hj58eNv7~w?-joWq?}C1*DCC{frs%BrlF@svfa<8{DeHZ1jsE4VKBnoo zgp^oO)y5@WrXq+&M?eBMV;FkpT--^i{aSWY3O5&*%8)ckXuNa+f@abm**2P-89DA(bDe6WE0qN%k>46OlQ)Zw&;n z>6F0^1Y-Wcrwaql;i(!cy21&fntRK-4N*#?$H(2n7SV0QL3dxLir;2ZNvMxZ4KeqE z@zz~kkCa~jZ887*3-@12?$ERaDHmx(U57};iG-4Uz{prJqWTchjcs< zSLjnFzMh3GS3GmgHfs{OuPF@}D@pw$6oeVWd}Ii!PX(<7!R+233G~u;&-8=!3@XRG z#pDYU@aFu;HUuh?AaB(~23qD{U5l{txN+X|j!a+r7f@3LLEADl zr)#>^sqvo(+=+JX)2*qBv!0mxDb89Rz;|`-EGn2Q?CXc7p8%Syc66etcOsi5+#y$so6{%5|D8#33$$JS;p_tN|eXGX!6GY|*& z!H}`K6fIHq_R~yz(1ENpifi+}UY zhsRc9;&#aQGOxGoR|m8~e$*@w3i=ZSm29)-s0S)n%%RbN{Hx@v8LqsC8se4_#mQ?L zWxs!qIESVdCO?1r+i;ef9g_V-0r(S%m?|1P71BtqDqSS-c}Z8>Ud#~(?F_<~sCO04 zl92flEc6QCwkF573yWmP5iMQMMn=)XA6Fm@3UpfZD2jIXkX%R)wM)Z$&_Oy_myYRM zt}7OJ1#RYc5caJZYzShleTW5aL!aRciWIa2d=m<<#O-ovM#VaQS@093A8ZWG92yk< z8vWIq#*L^#62`9{IIzN`V!ne#vO~;rnpq-O(eX`v7zr{L@AkE;N15Glhlf1CmVQzp zm5c9=#v6#juV4oQ8?tJlamFEtx>*Dnp!biha;SBrH->%MYd*xMr=is5y z9ht){@1n`C4|YM)xb4-N?*@jt%s`jIinbI6p|J{ihUl^OVyD>DjlvqC(3hx+fFca} zxkHxCGfaj<(f{4ijW*`=!}Z5MZxxt5i_@>D{6ol#uUZV!-5PB)4Zcr4AE^%n-oeC*^1pDFJTX?)Nr4%3-47Ci zZ0)2W75Ry4@0#Sas=3Rzs`lSV3v!oi7+bI459wGa6_4}cMj!GTrg|t5@^gZ%dECb{ zW(`rilVVf=AUhQC;LAiH9Xu3Epkm#L`ddBom?S#g(XVYw%fY%YFaDG3o$n_m_o{!L zrfz$Q`%L|wYr$94W@q;euwegTq;!oqQ<4U|s785X8`tMhF(f)F1#V7U`0Gzd;r38W zxA($N#-4i=@!4$L?05NLZr2o?P7jbNCG+E)qzMqP5#}oL-yqa1PO~IP95~jE3dHC# zrx%_=blI#0xxVbo&@scU>zFN?X6*3u9q`?ZrI=K(8W8&as(_~Ay-~fh=u0zA%5@vU zidaCr&85*`C0=#*56@U$Ab=C$SFqI=S}{i!6+yHBBll2Bu?sq;^Jb8rY{P&rGXj>K5sA*6Yw-ZKBy5r1P5h^ zdw0o`&vWD#RK{3Qi;~^mwaHwr1_wrb2P2{wLlkAYMP-c)3GkY}H1{XzGo#_6LeCrt zPLZ*xx!X1+EnB=el?nuUtRx#mX|Iu~smFvo8#koZ={>>oYJvv@)2M?X+yPGro?A@5 zUTP|&QMMXwIZxnY_Nk}u)>>p{{@E}(C+RAkYS3L~L`cTO)K&Tg?fmgT1z}xp#4LX(U@6~ArwPQIH371n| z%aOY{EH)IN`6*Fo=CL>7yCP})!aZmOqhal`hu2V^!MHAI+Qf-7cfz(e9k{Rh`&dwc zzCSL>e*`1mn$VTJ#rVaLF;=AsWL)UYU;?c$3=3anF_0i>`!npe*Ij8R(kQL3hcdWB zEg_al#anOY_7}7`o@2!;y(9oDbX?jv%+rM}U#HZ%$XiNOff-{|COEqBEFHp$>{4c7FDa=k19I17b$l_0b!V@v(=e%P6YY{$s@jb#DW-YAASNNT2f@y`D z`}EW^zm}AU&ZPN)mBoK$6v6Xy@yAme!sL~49z4ker{w11pk&%`>TkV_#N>dvXy)7%{#msbqMt;-<_tb!HWZ45u%1 z>|&HY^Vrs5vr;GX1NcfHUohJ=Pmg5^!wXmEOMFsnv9U`heU#(yABU34IWAFZUq9#y zGR@InWOPUda0r^6g!jS6v$%RDX5Z>O5fhbsyFN;i=nVa?Ad8JxRw6gJQVtqz(#^{l z8Y(|gXQ+xJeIq!Qg|q($bAbMrTG9lDs}lJ}ZDhtR_%n5T*Th*T7+)68(!ITE{bOLV zZEOC;oZ|>5nl9zo)1^cSEj_>?Bm|eb`p;E+5kX*ndzjTnCe`-)wy1pL zK3|05VMAM!sDDoKiLC?Pei|r%{k;Qb^<=T&3zA*qHF;Gh-m!ku=b~#>w=By|YrM+E zc%_(Y;Vj!lVdL9dr+Oi5jEo2?aiuI9EvM32ZSjf zdP+nkq}fcnmO%G<6^csyvtMLm)k9l_`arVWgzQ8t=K%kZXa*8dvn!a;p ze{euCY(3uhHc-b!tuhT4LKQT~nF5mrTnTx(8oq3O5EbMoRRlfcb zv#jRwhq#s%E0R_<&G%t6M{PZCWO2Q%4W!WW~ z9)9-zA1}0&4h;bGqIO2-YLYCmee{3i~9r^-akK~mBzu#FD+Y1oChP9lD;WDu~4Hb!6 z$u-yMym&);BeaFWvt$g_91chyw11+4qu$S>M%=q6Zr(9pvvXR{q<>QLDB9>&|ZsD zOi~8;ThMq~yu$@VtXo0cBRa`sL9?%=NhZNUev2M&J3Q$V3=>UkbNpA;$OXAN5Neb^ zmb^sGC`XWII8Oia{ z%gXLOrTATBuEsasG2x3HOb)zZWO}G^QGJZ#Go{~iw5DT3k!OXThYU-1B!qTb+4_WH zk>H2WR&Gf+$0xi$!z06&;GSxuH}A_*J>^80o{Em*#j_j~{LM@`GV%8X1U>fC=*6i( z<7#O7U(vV=<47IyTS|?n3qz(6;s6s__(oHi`6bBti_zD6NNaOhCoY=GD-6$XJDCjA z5U+Snrs?uALSZtMA&OJTe{lej-r-wR8oaSlRG`L>DT%S3`AS1V|5Sp>I^vuk3c#fr zZ^-;l z4RK>^4wPc&H@|L93ri07A7^vJ?_buHa6#Vf+sHCP^1{16 z%Tt@OZJbFHhV^@8?|Zg>bGNctX`4A*FElmrt!IS%CJ!q;b++?qRg{M^ON8Ige8`F< z5T}MSf&-PPGoQRtZ=Lr(2+32QY)Cies*eGndV6CTo9`iPw1P!R0P zoR}=$e=a?~h)0Wd?2KN6N;&~=#$=;nP8bR{KF!ua;>R&k;iq;bp8yso4?@51>dxi# z6J@%5$^@T~A*(&_5JC0CGYoqWrZuH}&_hr?wJ-i+1=cNC!nSV1{Fbiz^zuMn%%OsS zL}@(i=5!n+m5%>dnrWz8eZB|k?JZ#F-IOgbR)tYl6!qo||HuVgxd(JLip+gvW`hy? z0G3(rs8zg(Hv~+&Mjr&SeNE_0WUHTHGllXHAIKhwS-GS36Cx%Do*R1ikM@^BS^NAZ zamBY&@UZ3j$ZrJ>95U%3zDC|=fe8r1o`aJ0v>oefF9wR7(WZO+@GOweON+Uj_UL#G zILgl}CG*?Dm?HQ7H36z?+}Bkv#=Kiz7vK{))z<|vlo~o9ACxBZzkhe{$c?I0>{Z6R zISv-*QF{@?Fxsn3s&u&eAqBmBB7T?+?T1Gd!{Zp^=$C{y79KQ6-vL!@G4{a$I{Fk^ zsY3mRC?`+BrF`91@u0cZjNI900$2MQX$6P@--S0g5Fmzj{bN;$CV78OW$%fxNsU3} ze5olAmCg4C8Og4nYJ7{w+kcH2QBBBU-0H@?R`F=YTp~f3n`0A)e@zAJ^xMPI@kx=c zL88|*ZgHeTB=B6&?R%V)Y;6YeL>v=WoVAf=uq)GC<*nL*SO6UK*EY=bf@m^mq!WUK z81QeRhBlc|T+9Op(_-GY!wI6@mlgKI#+&;Y!}r79%i)u7U4F(b%gMU1xzfTlA9DB+ z_mxONAmGk4a5SUcg}=5I`WgLdUBYg>CD_G=?jtJJ>^MBD@!1@+jcdm!*JGm?s>`2k z;+)BP7BLNy?B&I3^7T=zV|7iLLSv6Q> z`ismY`Xpb%EWhtNLdTZjfZZOf#B(Cu*uG@akm+~-W>ln)ti<4pthJ)J*<>A)$l73( zsZX#9tcsW37YodV{#t?S6{Qgn3zMhTN7(l*77ZR~#yI4wZ~UyGgwpS)m*QIPwjhVM zI(fv)+naxcMM}5Y=wKJ;9oJI`xYkT!NHf=U|jP>*pdEjITd@zE!7b`cpF&^c=B9J9=erht@OP~*o1j$ z*VgR4Bz`022?g9SqOx_D`NCmXG&B{xk4zfe$qRnLesdti@x=s`6dA> zy~Hx**-G-Se&+aw&h^G1qCzb3`J(!7S`YErbp$?}>~;GG9lN#+uSH&~!8NtVUx8KR zAyvVxgfZ=x?5l5mmBl-cXvboLp#8a^G{_BzL9Lys{Q9s9aHni?CvdsXZ86#jnv3`2 zyt@_&Yc$ z9icXGLe|*?*yJj%lZBIga!#=g`7U7ht0b)O^=2#*+zCwEEf7qO2U|=0i>gziIk^tF zBK^+|0_?(5q?#H6Bplr^+bTJoYsmbEBZHuJsKBn%R@+C%2}eKX*4Dq_WTs&u*JA9t zW^BlBQX%#3@OZ`7#k3sDHo>%(#MxgAAVZ;r4st>TsZw> zQ5_Gw?ovLkgf>Qly4%z^ z$2dJ593V+oA)pJOh<#AmUqn@`i91L1Q_!vE^b#Bxw#}sMbVLo-%d91A+^Y zRm6n=Mt!2rNeeVV1#y0r^|E^n^}nEw5&-lyFbVul3{CX9v|5U%QiEnTXgF%q$7aPDnC1bey&orFLi6%dM$p{mF`lkP(&L8qhk08OZybp zkl&WcuNt>Af5v;sceX!G9@+9+(&w0qDoxu&Yof-m_D{sLxy9~Cz&<1T2wyBe|~R(eTqxIN|CGsd%@XoxSCpW-AdeM`WwJ-`e5o+COAVODAU$R z3sQRi3}AnWHVA_)yzPd0=Seon?ei>_j`89N!FVop_cjjwO{N65bxg8BN%mnNc^qU+tz&h3XNY4Vcegj(Gjy{WXch};z5Px zekw~pwRf~;GSSXgaEU@JEqlZNUht-KVz2c(wt2Ra1GC#xlvm$DhmA}Nnfzh9dgJm> zG!4(YbTj84?HOYim8ihTC&djn%Gq!tb4kraHfS+O?rEOdCuh8d|mp(;hAP!*_ zb*|Z}n#)!-N4T$P?DRX-UGx78OM|ap^9^o-gLOOXJEE<{?@Vl4hz|VM@OCv&N&&;_ zGs42hK({Gt?pfuNqxAsXvfk;N+iKL9)|ha@g=Yb~dwiH|8ZioLh61qTRImd-#)TO+ z?rCUKjm1$(E~vt0EP8Fs7!UwDm8SF_h7JI8%*YNO8Yw!b$~xF`+GmfXtvj0kXqY@y z%NphZe*z`}dA_$Cqy9LPGi9=SOlw0&yGF7Vx)MsoC){81xWtD&Ppd~TKR<=~XHCM&_f8(We)!0bIizYtbPJqb6);0&6zN^1Qvce`baO*$-zBP6tzf>w?pI_^U;f>d0`&LD?>?YOCy=WEiX)S^iI&%fp0|KT z2j7zA$uK@rnscyu6%vp&edtjC!8#CFeul1>1xA*m1tZBM?2Q_SlZ#!00LG_V*X|c- zXpGl}tOZ2{#-Ft&G(jp}^}m+FkPON>alrdrq{iUQgwkrPA`Bele&BM#Z85CKH#&}I zFf|y1o}|gzqSy@1gvibXaFUAkRMyARk|yq75QfRG5xU;rb4v2o7&sgH$97k|)817J4 znA^1RXLF&wUY$orC$hA8?6{(fkA_<0`tOkkOHemwV-gI!7e)B%6O^UJ0F0Vk`hO+|(Z<4!`^}{tZ&2qW#CG zn$NraWkLmF%ICVeLg#P*R5;me=&{t-9yd<;KP$~a{~LAJPg7JvlJpv`4Z|f7ezG+$ z{!J{L?%mv;{;n5=1kMhju%co^x#8?lvh>d-xU3WDdBA{jiDVeVs1mbrxA23Yj6u)` zH5o-&mRpf3hPx`JI|3;IuI^p-_A2Mp%Vrz&y!9Ykv7pA11*g^N%P+-b`LjJ(!o~s{1BDZ$`BTS5eaD1MPLatjx!)+l^O;HTqjmW0W_n7+oI%&vMZ|-PTB3@fZF*od ziJuUOM_-MNh5}sWKYQAFstcJQ<)=>&)j8`tpeAJ*)GGM1Zb^U9{>7*h1NvK-0<6DR z&ola}J`T96#KTcFB*DXuHzN>)y;cKSN29I=-Z&-uECkDell}_?y~w3m4`rkwsqxoK zBp64yc~5SyXh+y|Ot7;t(8e%-F!gUgqL2Lyg3|xE%=u@e4SO(wRWUm~F*+$=QycM# zq@CSHDcE9k?iw*ft-UM^njZW3!}-{+6^5*L7#YC)xShyIg#8CkYpS3<51?KB&M>A( zY@M7EzEuLha&w>hVoeZ1JsG*^FoH(p)L-dr{SUf8&NfxgFqV-SCg6frt`Axh1my|B z&wV9B{vZ43dBl5j5%fHj&M+vCXIlff$?qVZR{L4Bep~Qyc=tDE996de{yXd%{(;)5 zO5C7XsejBA;8~md%zNq#5CHUI8Ri6n`Y~Py423Z?Qprnw8-By)C&N{`=bVs^??0@5 zcArT9A5Q_4A|tgRozZ?6pDLhH{Isdfv3*!H9crqH6<~zA%Waj7f~*4XIRsFeyqVG( z?M`!hA1|nbsrxhcu^mz{oZ%7p9VYwll{h!x&)-QK(DEoRGieTpHX5)JRUQ|juSgtn zPc`Ocye)Hw-7mghi8BTwIB$JBQM5V`8W+9GI9Yq~jY5Sd@1!3pY{pTX6r@4T>7HG_ zPiyUB!%u0-WIWY0>oy0o_YQWfOKAKvyxlN(<2UNQmRXvHE8usBjr6-8{8>w4YU1%M zJC;_A2iAxWryW5)jt^OD4<7eYp?GzfI_k3~T>w38P2dwF>YcP~_4(h$o#jF@q9yE!XoJeT0CRxB2FQ>vgh77d}`LU8JQE{N=RBR+W^%B5q zn$jIdGQVVi@^!!#ytaA?KK~j79v27Uyuig7P<CB_r3j}!Hza3z z613>)&nAP@QvqCh*bKd{Ee3^ml==AA?sz6zCOt{&Z*q8(zFFo-L%yW{_|zs~)&O`e z1hKG&Qe+!QUqf@=1Xo0keBh@n6-^iAM=}ZxL9>4jNG;e9m$}=cx4ZVpmG&q4Cfi86 z88e)4=WnL#n5DvjZ3?CAp7LdcxoeevFx2tal9Up?Zd+@Dgp+J6Y>T&jaLr%)nbX&Q z@AQohm4Y{K2HMWpA*M!%1fuGXS#oV*fNn7{4 z$Cc^@8#6)baOc%!g$kRVe)-!_>}F6LiOecb*lVkept~p z^?P%Ob8<7ZXcCTu1kS1I@*N=Ud#<^$#x#}bLm%_*=g8ES@;N*CNX`T6H`-`T@fydq zMiZx8KB8d;E|@IJ8U9ZDvy~mvb@qFkA?+eto5gB-@qCq@_terFjdtMae$%ChX)#(k z4iLFXS+0qkmn2k7r41&J`nUt0Yd4;Dc|X-~Jz;#pdIm~K@L^`~PY1E(%;L*0WlY$K zHL`B5%N?lTD;_fGoYrBaP;L$uK2D?Vu9>zaH_sJuPPQ{bYUbKyTobi($5dj@4oa>C z-b+A^xtGX&tjWVx-L4KuE5I1qktW!r#gCUQJs5`eby^*rdiTO@OT77fIz@15*2TFG zrgo9jm&iE={2rVf1yN1@2Bv=WgsP-!2+zZp)8NU1Bgj!pzNuI64>TjQ;u)}nbB4eo*VDsp zThDQqkm;RC~wmO}bHq5N$`O6i0<8-xYYDl_tKD1*u$GD>0{OQPcn*=WeUoavPxVr&ahP z9Td?HMcgdqLGN>1XC2jjk0G}Umw+a-$w+QZyT8>;Z&UipkEi`uw`79loW}Z#b4{|? z5Y}`h?lS#E9=M}QFoCmO}Wzk0**h7kc|8}dIviqoteW??F^^a=4cfY1t zd0X!v;X;_WEtKp;GS*dv0%uP+Zqm-bVGvbb>;AS9-`mahdTGSqz&n`LADpA}95*l* zesDJJ3p%x__@6@A^JpGIKB6zI4*> zc$!oNHP4JKWe-yGdf!o*irWbPrM~6c=AjqJp*ol0wEI=-g*HHRuV0}YQl)S~-NEr$ zFa`Y8|4a3+`A@$zvyyLu-$NSib)Xdkn|p^TOMODSOeu(+K@LM?3n~{IMHfT5 zAl-CCJlg_S)lV4Z&SMYj7GA}v@>a$Eu%%c6rNkW!HP8Igu}Vs55@-h)cPWOpDCKFg z?1P1l(yyBSER|_U&-f?d$@sdNFrD8s?-TnI{q>(`g>1Ad{eALY@1|CiZtFk$oXMLP~klXejc8aPerJ$jEe z6#P+Nuis7ul;_kMRowS3QEq$NGo~nWms?{Xw%8mXtKyE{WyG`378RJ@UjP zFu6;{NldG5;{$E5sorG-^|mF%3|uIdCHBDEb?*fj)-?6N_)2=+2LZ^Q5dAxXtp0j= zsy9vagBQAPh}C+@6v-?$0C?fz+rN;hfp--T>*Cf3T(%tYt-I}a$wT)Kc4CSM+GBx| zH)0h$ea?fLMGHn>B7NSObte7qe&51+ z?KZS^Q4c0FM!+*Dt9;}FtFT$K&~~c>&%$~NA}wb0qLz$cNgC1U&_y8>+ip;R$vFch zkwn8etNUzKwHovRO^VV;^d1c9!Fx@MsnIJi@of8yf%4m@ zQTD~r%Qdcl$;?O#vB&4zqDkO-;e@vtV|Ga+e*L31Z*@fTDYE#s;d8!M{e%&_xQVP!8_o6~^ayCp-&$OF7&`-9}N(^U&_X-yw9Bqu!tXokPykH-mlov1%dJSgHI`{?+lUJ%L=rg)haWxbA zIKz2pi>v`x{vfI|zzb&}nKolXupu`))W=1xPeLRo2WZFIJTNoZFRU&xaj18bSQ_fo zv9~Ajwznb@XyHeYP58>Aq9$A6m}()?bC11h;u3I?Mh0C#EkQ>)K0MSXb<7iSG-^g&p)H%&2Xe=r5vVYZwPI@%MJ66R33X zbFWQPm(je(fJVMuCQ_95lJMLBu5Dr71v1bU{NqX6r%#*uOA7`d?Sm2f>I;r7p80d` zpt6e?^fJ|Lzxu)C6Zx+!U;Uf?-jN^amQ_@HYgA{Mi(!t~>)*gD+QD!k;?KU0OlE4^ zaR-`2a$6Qb;x%W|YOsuM4tadPr`{6O>n*);Qjb()R@`dBJ2$I z_418!UR;9z4ew+D%2l2IxK|^AA0^1!#TzHwHo6Q=&QgAI7qwn9)v6&Q3J{O6&uFF& z54Zp7x7cyi@0=Uh`J0Hk%a<26QVSScBQLCaRDW+D`~nZy+#;8pp-P!2NKil|j$XYX zLPKq#pOqZc5D`4xiEad@Q$Mc7YKq#~=TZN92rTjD2aA!`bb5b7_?%Ux%Y&++x;o1e zFK2}s!^{49mKcBh`g^BgVT_5Ht1nG<)*6x9nzAGEDOWh^NYj0>T8C@U1EzUHA++XI z?Eg_I4^igg{@$Nf-s*JZ##Q89uYW0`YlMsrU}U488FurpxM37fxCr|?2=Ioi{__5H z$A9DhWXV-z8*V#NbnV@isHMAgWiOp0dJP@gY-1Lp-=|~P2Z5N!Kg&sNi%oy`Z|K>Ti+JemmhzM^f`!9UKgYPdUL%ufgr)0EV zCJdA)!$)QhFMKlOtD%JZstyq;7K^Apsk@oDx2CZGUfr^}5Wjjaocxz9dS;r)eb`NM zisnghE|Mw!Fr$~`4U(;otA?=viuM|KW-Q14cVRF@F0dkU_>$u!Dic~?3_aj5TwD#R z@He+B(-m18aE!oDt3>*ToMf_A*Je%wtGRa03u#W}`Z&RQyRM9d*H#U^{T?6G1K2O< zI84Ds)0SR+cNrv8%bXMjzr|U#kqlh}2LII@mrqPtM4;E-+-KX(1=@5)SQCBoC`EXk zX~}L8toYFZr_KUe0T9kJZlDz5-b=J=X}a@bKGcud%(tffb-eUOX2vn zG+yNAA|OU-dwrbqb;!%3OEVV02Vy$c``qXG6KDjOLg(g0rJ|w9X!RomrG0TAc<@8J zz0)0M5aN<5f-|qix@a(Tr3~&_XkmmPn)xn=ZbXG$Q_aI59(yOE7P1dc1!9)8$LnYBwXihgT zXpvs5iW7m3MoypNOCFASN@5OD$Ix2vQt{4{q?-|&T24`@DN5g2L?m0N5q}E43=t2s zXx{UTnSV*U4qb3`s57^TbfG1|%s;>gi%u*} z1L0jf_(?n`$e6{w zsv8o6PVhrO{%=0z75UB za!HRCTmvk6ODImpZP$71GFbmjz|=oVB8FS2fre00(WcJwY)~NHLEV~j!A}UM6+QLm zAGHCoVG}7m$@o?c%Wq-|J03%z#4ZdVfFY#!NbW|Dss27AR%iVS*$4I@l@vub;P0Xx zHe#SyR>%QS(b0&=+}+lbw?38WiGPJdc6oA``3#m3k5M=KK%U}?bE5{ISm!%uG9&&w zd$p$rn1z0nQw3p8h$4>pu0rlX;Em^(4zRle2|>ar5nZJ^!PZdZNX2guD>dNHD}<>+ zy1!9UA0@eP)^l;@ZpetGrryk_NsR$y#}h?7PL{P` zTB}%cicq_aeiS&r$AhpMC=16_DG0Y9t?5BSsXq<;rt^MWFl1>brZvb(gGua}e0?it zuc}W;xGA?H6S3<}%MCiM;Oc^pgg~u*FLQG&))zV)yXjaFAM<2`$}hwjzh+NvC`YJR zMuiC2SLNN0qyoh0T+E><)yLxGJ0~~S-rg!X=DzMH@-~tB_JVY|`)zj@Klm7N7tP!v z=u44GG$zAw65|A2dPy96tZLurA9bFcZALJojAr!d{$Bv*chF3_D-prWTuJ&Ot|eC~ zoUEHW84zL!kK3pemvwR8p2~Dv1g8hQK+yji13NNT7V;V|X}Rwst{DWD>;~F>B3zj& z+4dRxON?s4tz|>zJwT=nS7^&eXexl%#WoQzweqV;;eA6}I&Vql44xjsSa5xQ)d;J9 z3`a>=AjS{?>W)5~|0GL1=;}f#l6TZh6~gfU4Ik ztbgUYvv!}^iGW%QGFDa9y4DPM63boxjJW)jxq5*V)5O6g|0K{Hic(W;i%>1ju0Pvl zzP(UmUno%t}5z_-%17m+!0J`u;w2b&$3jMbjyG_4Nt<3W1O0+K&W{cAM zas@zVoIMsQwPoqFO79*$1l^7eep;SMy^wS7JULsy&P;V@=uI|E@Z;&VQ*l&_#}Wxb zTDe>Pf{C|vf@F2zCep6K|eOCsJCTo6IUZ6bFn~Yp`RaGfx5ft#hd%x$b z3LZ1tOx1J1;{{cbsIwGT_m?FegY{}6vnNw6p9HKO%Wq$eGtm8-Gj4`RBacTMG-g$v z+i%8ebi>o+gG;GOv09oTs@->zz(*nZ7I?Bee&a)ZCjGnjNc0Y#%|G?;(0eyU^bBG` z?$xVz8w}<4j^!6*1T$;|Ti6?}{O8_u+HK`p=(`ywWu9Iet;J^|BLc&2#viNeKh7{N zm!=(XBI7q9`>lol-iPrANnFMW$L~uc46>aM2>vJ^HNM`OdiQbn6BI;gRH=oQaA&nxgz4!YxeJ3N5$Fv-wvY|Y<6$s$4$gFcd-9`1vF9CUKJhK7{VZvebd;X$^R^! zP4J?Q*lA=e5Qf^*VQ@44N=nWYNdBbwLQXJF81bP*o?Uo0wXO^>J+EK4nJ)dk{g!!& z{eJmt4q=qK2Iq)_{<{XWGzguxe@^)mHM55&cqM{!j)k&m>Q}<+zuC4{2hSAlrw~9M zaZ+f}>AKL|UAoO8?8ckAM9dfkG8WS+qI7hkH@raXMDRj;^f4@^6;$;&2g>I3(M53u zXDJyR70?L({yt2LsQ+ufPyu@ZweruM%(;!EV9C2!{?(g;&m9T7F-n`HS+knwv!5UE zH1>OT<`l4vdijRlgY%vC`tY013XAs?T4iu?ZGUzp?AUO1QswphUx`@wE9Y*%fu38WguL8se-mrHi2)qI+~vD#Qk1lOw*BhJqaG9jFC3@(O+AANQb z1$T#I?|zVX!n%(1Xv9Uq=aEoFWBR7AeFOs390<*(UMd#CWjOt#CkjEjd8g{8&ly$tS>RLh)tp z7sjIG%P6Kih^|JR1XfZ`She6%w+=c7b%l79cTSE1 z#=Mw0RiFqW94QQs3YV6wxvJyWZa6e~d5His=VWZ)f()Ua(AOmTWjc4fh5LIs(l!a2 z-FXD23cV@gAHuvPJk-co&Y{%TLAfZc47iJHhY|P+dOxPLtEJ*$xMX9yE*qEPg+4^% zJZQTL2Aq0ma#%>TLa@C+c{GS$e<`6&2ZW(2(yv zJuiI>x4a)Oqd%<){a?HzofsDgGN&ML^q+_XFXR#-_}UV2??2_1Z{W6Tko~Fe&U>kd)|BrU^C7W{DbO^? zWYe&Cy+6YC6uHd0&c0_&NR2C}wTN#Ig)(xkzMItYv5Go=lGgil+7?nS#0nl(mi>PE z(5qs<7mefm=Q5GKjhE3VyW=URoD~#t@UwVbNA8-TaA;R&;Xu4F(u0LD`eS*ab$B%4!vyb5E5ix+~ zTk2MHxh>J*FZ8GMiiBen`@ZIoQe?(Z%}MSbTh~9@qiK;ucO@hV+{L@gCPa5PYj8}6 zc=c}1*>Ot%OVK?ogvljjeVQ`Tuk0hK)*g2d4K6&J4meI(*oA8cY93L`nQ^(inp1x^~q$X&n zH(EqMs(A`*Poe1;w?H+U5iV=twwfF?4O`od zLu~NQC$bc5j=&N5iuc|ic>N8XM{T^nA-zs;D4pEbO#8crXBV*28=(A>$@J=$JIrmD zrtz56dNLsx89X*BDcW+EvR>&mXRg*sIRm_( z>GRRN8Sbw?4o}q!c%mT51;&0xyzau)!^bCzp6!lK^3kX4`;Ed)?zwBz;jxN8qo;u( zTXR4_f~Z?tzVkhnz~JSg^qpQ_wxC#xqaSMI+K1FqqR?L#Pgi;%Hd>B#la`4A%k2;f zsLPTaM{B1dW_-pe-x%>5u~l8?hFhPE^6yF9E?o86mZZ*# zr^5~pEqXp-YtM|Z`fjiw0oKyqlD9o>2GE~JB-|<@<#L@tFdCXWH{$thJd^(zOL^r>!TWz88$Rn`qMlchkjuSCyZJ{w4yP+D&&!5Wc8-3VRwz~mVu zzb-VcvUC@8l`Oq)u~AlsO)|_&+%a8`5wcv%9H~%4!mE_W)K8`y9xs|`u4k5D$u&)t zJ5@uk^@T?b<0_EamljoEjSX5W8|v7#zH&Np8L&dcflP9s6XHON68Hapy8U2)T0{QMV}FmJ&C&iqq=>%Tz+FZE#y?jN9EDTLlA#HjKyED2^wo) z`v^uH+V{wLUkE>7a=C%D$_U`*d-?&onZ`8E{)zM&faAjJz1>*9FJ@pS$mh&YTJ^cFRA9@-Nh#?%9GVjD3#=l?t&=*%|$T{ivPM|NrN(p{1&2QpaMr(Z6 zkhG}VrWn5L;KT2jA3OPQiuWS6loS)eaW#)FA=iEe;o5iD|moVk}$tQKI zLJ!CB4Sy8`TvdM_)cP{CCmucdllfb+1sDCdz$`c7CuCo*dE{Pb@nPR*@8AYO5r;G#b=ADdyP3dW(e0N*a$1PDsRKSoc_) zv$L=(MZ5cPY@ISl>ump<|4|~#=V@Lf2!zAsDHs!orP@$EHJWRQqf)45bD|HhpVMjT zl=OdUVjCcZt$%AMLbwSp)K9j{PbWqPnV;8K{ujQ}bbLY^D$yJnRs7iwF;>d8>2qY| z{TDizlxhLUm?gz+&FBH{acgg;zWdzd|;n9K9>=j{BAC~d0=q2cb37w zR<143L7_A3OU;d2aHU&*1@h1LzC6D>Ngm_xZvy1{KrAiyjfmn7B<+v2Z=ih1KNn?w zHrjON6tDaZH`n2Tj)yG!L0CBu1iw=Q;DMv3l@jo~=B$JT#K?2}Q)Gy3?jfP^u`~r!mlh%FIkjDL;uc!Oz$hJ<(~-QsnlCtku#Nw4x70@vKSqa3-#v# z&q^RjHHe4JenV`!wUjWu4I_sx)p8t%O@m)Cw4ODtpK*^|DoTrgP%4b5pzp5U-!7?e zIyUW-q}b@|o9cmI#ZiC=uKx48r zUvs8vQl>9~r95{$BK0nv7ubHKLs;|DA$7dao^;84s8C-Vp-3UB&Jy}&^>^h2g86Co ziC`7Q(Pm*e`aheoAz87~>GxjR;&G@_DkT}_0UZNuE(6^A^0e{?t>RLUE;l@2ygePv z^{C&1W(1_$4?p{&&FNRA&I6bSxm~q05<4zt@%sC;xKJ*yVFI)CY-VH$ECCr%%Z>(wM;}l#<%f;Dt%(zQ} z5=%1L+O@L5FWG4h_l}>x02eqH`i*Y6G}IlS9!J8-sues zn=Yu7-m4k{xB&Xc(WO>C^rbSmukH{IeZ6+Wb^bwK!f|7VZSWM;p3kIwPY}aDC}&%H z)c?Ej`KTS!A0aro!3w7l)^+{ma4eXaKk)wS1oq%NTG}hj)N?j4_a`HPgt1%|^psU$ zr=R5W(HWnZcPhB@BKb~|b0>i#ezl+@l1(vhH5{>Pu?(tPty}?4H%N zZ<~Fx7YuKhS6seYbd0WjuE^kkCea&)>;?X1?L7&-JqawToxlnW&uefbRo7i%*<12m zgdQ!XO6trqtB@A`T?3;$cm6I@OD@#HTE^HPa^5<|7E?TBUuCI(sAOrmxzeO0O;m3a z17Ih^#|4oUeZh6sMP@1dG!fDu%K!8MIBZyOo=nj6ThsK1og{aWEk_s3w#FiIXdFI3 zd%WH^i}S2=lh_58^gF-E$2rH|6sELd)u@)5W61{TA+D-@Z>hhjSahDG{5zi_oiuN= zABCvqerM8RC3(#og?D9ERDBQ@hi<_@9FwxKAQdu?sQqn)2sa`>;cj;hLBOUN4c-2o z1I@l%A%~BKs`{#A=|QaS3O)owrdL#$je9Hvk}dFLpBK2-n%Swk3gl7P&|Eo!DAF{w zMdgCWIbczm%yAjY1$7Ez-(e})Q8Yj%id?EN}&HkwZlRwBr`LWC4fIUfQa9y7Rw z36V!=bj6u;o^{6QFmQ-q8W(okkJoaGNQ3I)Em%!=?duPjL;AEIo49=rh{?QP~CPAMqub9aWUQY&68*u2VF-^l_s&s!-7C-RbD`#i^9bR=z#FjPPVDPQ? zle;k=8P1ZvQ`?d)!j^5!wJrQlUx$#b)ZJ{odJxC1ttG!sXN(HbYKj2SOL_=ymiisHk`ioEA9sGfF3@X4fgyJjDo`|U*kPNH4+_oF`{58}PQ ztJJ5K0&iE-xQu)!zEjS&PuLbRL+P3bLf_ag$yIm@!PQ^4$patQ z)JuL-t)Mr}C@1~Y=oBy)k1cHK-SY}dJAB#SEJatP|2IbxuL0rz{yN#P%LBGxcp6ox zJp|Sqd>g<-YgxE}U&KEo!L%ggP&#LU-C!GTMyVvFq62;$OBFWNIRI!Gb%Ygrq{Vxk^5Q_EShNn5%p zK`W{^k;P|?NAX!dwqSUC!u+xCT~XOgbzSU?9+1g@%k$aDt;Cz)XxWDKUqw3=Zk*p} z>9fg0^Bpa|-!pnGRQooe8z;T#PWzJ3uAQwkXKUoCcidTx9NKB~_aR&?NdsnZRuvOVzM7}{PXx3{XF2>y_BBV#mg3Ufk|=w3d5=H@C?Ff9MiwvlLq9K(5h<| zXU_pORNTmXYE0Ll`Z`&RSc`sP*<2blMEKsmO^OuDrSVmO0J|zuAYOX~6)6WuL^PYdgWzT%8g#E(Mnr)o%<5nT>a6(oiU zKs1cIik&HrCMUEUAMg_)lXZZSrzYJ~%YWs;cJMnRfiVY+ck^ac0mDCRJ6hND2J=xw zu{1AbS*2RS+p>b<*U`T?Z?{>|53N#X^oS{1I~-FLpeAXPXyOy`4r&Pl_I$$M;_MBS z8A917nIdvWveh6te_|^k5|cf~)7qT)KD|frqEt^K#Tm@GH+L>0nt|A=(HFNWVuk*r zp>s3m(ASVKW}YiY-d9iK{m^Up+EK#{A6a`R=y89+Po+ZocWbw_lf}+VjgW#33Ldg$ zDBbgJ0T$AfT?JV9m5o&T%?v6l9Qu?fiCxm+lImD0=2+?n8}afjNOq1Um{PNK76eh`tb z)$&X4Loe~m!l+~qmD5mt_@`ROkKTLZEe7FWi%&G}JbFB~K|OA|lL1-MrlhJSJa$O9 zFpGM6Bv!@C1Um}jL}@%`E<+vlhq7$iM;4K(LiCJzGa&L@P!>Qs3Q|qwJauQOSX!8V zK0zT!#3iG-LZ5HeR!xqjx-9#9O!)lx@8YT0HP z#9osdM#$#I$JGK&YvCgo<@z8Kvha`?yj0})zWS%$+5hF+{OfGuJ^pUUmz^aISX~;V z%kQFFWuX1l`xnbTix$o%d%bHfF5*jAb<=?I7ff2NKriOZTEqBrza5VpOVx~iSqeU8 zN1OjIO_Evi1XS(@bJJ{*zVEX^If3*(nttYHP^%lpy-D-^a;uyRQuSio4EwOc zlxcC4)S%M0>^Pd_iDI*2`hEuFwuZ0#FSg|raJ_%XyZ=@C2W0>0tVLf!P)j&j1I=dU zu`T{3h|}Q|EMTafgw*W`0dM*Ex3$F8k&z#F=WfLv-1~;lJT4DZ8G!IoI_MT#r28Cr zCN7UZ;}EcPgN?5q{e!<3%q_P6_Oa8AEGY4iQQfa#IR68x%Rat_Yu5Q1%Nz4aS2fb4 z)b{90PHlhi+pBL3sL!mTY9YW?T&1k`P&@(jNxn6p2ZB#4RU{r4SYXsd8aO&*D~id{ zaTl$JRqV-D`92}3Hrt+hC)u`#xQ7GV_i5{L3#kH_2L$)!>u~b4b?$uD4-PBWzTh|$ zb3PsWcyJZ2o(!2MPQ&=D!*~i!P;5}Yc~$F7A7gCWdHwMS?>K5PSI@gucODmnGzA92 zmXH!tuvMhoZeInte)z?X(9pZ1gXb1h*KfWGX_2LmK*{7E4L$9j?y6gn_Sfk{WyE^( zkik?oELQXOz+$g5Ai)ogW+1Dc6gL2m| z`4c0yBXaAP)i^-q;dS%I5cnY8@-h4_Ugbd(g`oL%9fef)7N2hcnc+5SmOmz1{CQ(9 zrmZwu``Ei{ewLrWA4-2Jm3ax~T|6yv4=c(S$4P$BwgML@r-la~qa^_8O#VP)eW(UZ zYn{&ZOf^5$OOooF`iW+lJ{c7{N$9j5e@ji{IwkqR?H?z(W0_J^+E&POHTVooCRC*; zoh``ifw|CrXZ33qa}Q?t10N0r)=9@8)p_Zn#X!p7#=dWQslHau= zBW1Y$G1F+ywKk~OLlnz>^~*Jeq@HY+PZzhQO6f4p1KFQ1=$hLO_~y!={^J>6 zoHG(orptkSA-NRN?->YVAPy^aJ$b1pC*j6kLT!z@m|4KQ+8*lWeXe&zWGSQ2)4|`& zBM>KG9sDFq1ICWJaLjP@Zy|v%Bby4{op(}BDlZROhiQy+IOON6{@T6|Oune}Q+XdD zvGzEXFSRM$iNd@#7L!pNiNXs6@p4Y(9V`+s`SD7^(%SZx%?fmxsMa!cTbnbDe z=MmprT;h)Z9RtsFCuuZ)>2R28$QUBn_4wKu(3~pP6K#^jwI9{28}yyxX5LmnG&g1@ z`S&teSVR`tHj)?EB>+h(J(fJjN%D9W@<6(aal_RO6zGEqWA}Iau_EUddRvA=^ zX^*UI>DyAjh7Xqpv+b#^7jG#yE@GJMB*{q&x;nHR#NZd+#2M;Jsv>L!&i9AYp4E>6 zltRn36L%Wv2^4(_%4cXmnj(FStegUEOH|MRbz|Jql~~W>Y5(#?dU5-6g*`6X zEl{DPW`cG@BL81=cksT5IAT9bHl4K{CF@{cfpmskv{YCA3yYYx21vNbfmNeEv_(41-qY>MKxVGH|JO43A z#qcq7jW{a&8RgDi!(WclBPo>=vSNmT?LB21%6Dw@nbW@3EZb{;&W`ikPebWKwclAO zyHB6*@-Hw-#aI|(%2}$^tc#phs=Q!0z<4R$>64BI#At5zH`nF)u$XH7e()}kTh*Eg zSz?1;scb8@utUl9Ya=F|xy+N_6{BV#FLwj9u5~2lGsXjkz=HgS)`X}L#gC=TRJ3_9 zzi#*Y-7sx0KpgIJa{el@bN8bXxZgF(^V)bi2*Ocfh7=~8GRd!CF13Abd^ApOu(}LL z@EBirh`314lfXRkxqR+0V}KL($!}-mH|KAJcIHOhIVzK{`vt4fBiA;0gIzt`yeh8d zX%s||(s^p=iBa7TS_`=aM7aiRTN4&|U*>;E1B<}Uc>-not0tDJX8wg;<@Z7WrJ~yA zC%BWMrTxzFuwb*vG#ApF8`{EMAWY1glh*h80Nk0;`8)pJOJP8X&1uS+)20^5sFlfs zCe#c!XCyfq#@1E0!&lpqd-B}V#qsY8n9IJ8&4^VaYWKbMd@DBcs9C9_BUM-%ayu1F zSnLWZECWx97dYJc?|N)_>P;G8Iw06Pglm(VX8_NmD7$xi9@_^LeXo7P{n95}#Zac9 zfEO5&%-i394a&V_40CA&xKjz7c9^A}RMtqJ?PBeYvc-sxdfx8^B|di}t+x9Tv1?E@ zM>TmylT!F8+o$IK=tcP-`_D=VRp~GuR-=KvvcW2{J(PY^iw{xU#S!uyHtx6cBqG{y zRP{s{a08RpK<2rgMH~L4X(0`1Xgy_3XOB zEs*IHNnug%Mjb&M_e$?t^hL9UngcG`_CG{o! zEiip^9wC}}oIC40f71#R3p{ZQrWV`)h4;L8YS&C54{pk3K6E=_q3~{4w7QSRV!5`1 z*_y1YMjI1mge^Dr;O{j1`=#K|7gtr^c_p76ZBtJ~-pdE#A#N8-EALJ=Yi#jxqJH3C zy0>Fnwlq<>-p%m`!Vk#R|CL==G?kZJ$Mj0pT!Bt1;!Dfl5WdI4nWhtov5_nf+=lJeW^mWD6zawEe;W?tOkx5yW zlsuD&Ql8?d61^3*z|6bP!2z~SvpE$%^BH>2WeY0wNQgRR$N?j;|B}tT*Jm&tp^O`?mw=nN7`RIdh zM=dN^s+~t1)(jXRdzrS4rnArSC$?J5KQ@wxj()FnkipK*>e+JjjCST|de3)M3hDqm zjepQb{n9J2)w~%ME)2Gs5ZwqwIFhr<;KIj=H`h z=F(eZGOu2}Ow}*&__iw z7^V;R;zlG@5>-67UkH4#+rlp1LxTe@*x<RY5E5_NWSmZ0nc^R4>6WTI&sO*tH+1u4>!fO z76+)zTd^Jg!(Tss6^SHIcs9@MC%)N7kEa+v_?eiF4V6$VQa6Ti7A#RA_lQ=kKL=C8 zhG|X5`1(N=$f~U7Bo?>Jlf|?1$eUiAZ_g%u&d<6Em2VKHgF>N5#Z$w6X zM{I;}%(sZ8Zo*iU6S1A(cK`dzRY%wTR*fG~HygB$VXIG zu&)_4z}bcH_PxYmKPft-`!+UIIrJ8b&yJO>PJb&UWwrQaqrRieHyJJbc7dQm1J+wG zZOuw&oYm5a_{OBKX?^^yPs(;S>Ev#@Z_{iK?lUvG<-(u9J<=G`SPxg?|cEwc#kMvfAjt238Y^nE$?C?~w*nlIl(;s*v zA!{-1qEI05ZDoP%iXdly)R6y@@sEj85MrUyNTnBoS%KSt8Q>l1C_^j(?}HMKBZz^aNig6MtstDiSLNV<)vzfaI1*0 z7d)6Ig?fCQ;L;Y~o`{E5gu%ONr*l{u?jb#!%0Y&8!o7mFP_6#Xkm4}?^Eg>i>vCU# zZ{c$OPLk7^0e|`!o*>VD030DgA_ApjC2t5^*R>jNFA^4aJe!OUlBff!eN`f!^F@sq z=CWa^*PldP^s`aj-tEih+Y2*rWvaGUST<(mF4*;~XhVfMg_Cnb7!7OjO;u@rP$j?e z75N{1H@74)bk(<0w)$@N?tN>R@{Nj@t)6lAV{k5vS;MQ}`sr9pRrl6y1^cGwQzP*< z)-!2ZZsnDJJp@@ubS?&zl1r)|-`Q0b(Nrn!z>ruR(dQN(*+8(acMR)t(~z?{TQ<3KMcHFs{mN^H?K%OM+Ff_p9ZUs zlnef9T{d>3sa2BH34}B!h1#ib@go-=pI}NJmz>Y zY_j$g&VG1aVRGWeWbzyB7LSO|*kC;WV%|L1FhN6&Q4484lBtxHE$Z64Ks3fd z8CM){Jc6u06mb21PLD2cpbr=`UoYj)GGSE+C)a^9gnA{u6|f)>cb-#Sl4Jz!Dm!M) z?D9f@{@U;Tm6e%pf#qe+@9xI(x{|WauO5D`5vP^veok-~ne36~W4y0LnO-=|z$n*) z3e|$)zd;<7A`aZolsq3a9RAcu~DM5 z0$Ib8#PI;y&GVg9ez~EJNnF8W2@7SFpUo3-AFwQ-1hR0U)aIeI_dQ?70 z)h-kY7<2xOI`;K=R6c|re7oRMyLA(9BA4Fd@_}^{(+4e=+N+XP|L@Owchxhamv+sx z!Rf6JwtJ4hWDolAri1v1@3djU<#y*2%wW@UVw)<;0toct3UY{n55^pL&EO@ z?+{}SFh>MLCarE!k&;H7dETttX1AAJR^AqMci0zVKSlge8OcLD%^l?iN4Po2{By7x z@9TgmP!8v65QWkDDa$lY6sGT_xIdJAAA>eZuJ6KD50l zUx{^YSjxa$6F<}gvDSXvT)rEP=>de&+pTk+j|GzKO{{e!-=A(ak=pbBB(h?my14Qi z&6!04y0&{{s^++u(&G#Su9LMg0P4x+VVJ7*o|8uw6R69{sSJ9#?B`wxQ=NhV#4c5N~T4ybXAeMNl0A zYI!FiPr9Ed_UG{@poU^sBgLXDZ|XxgBUO9~a{iTI-y36{+)sabhj1mm78r!fD*nl# z_XloIPX~=HZL~`adDPhM+JFABx7ILge>FPF=Mz;|W$k5i2eX}~#$sOwVjI8}Z!*Q; z4E;f*82g7`7Dknj_dVp2z;^jygZHx)pM?i<*HD2U_$}q1)v-7J`zBJ&%Vl0efl_v=tKBw__c2&p+jdrFMlCncaJ_IPy`KA<9Qq0;5^trt!Sbj7wjjnnlFQxK zN(PIJf4?ASy`2sX@%(k}z-m3}!8Z(PhzbO+laL63&1Y-mK~9{M_^v>AWLfIAA<<^u z=n{4fA$3JaTzEZdXCxElVY~_;BD*ent?OyyHGXh=Jk9gJ27hskvvN&(fsnKmGKOzxM?p*6@Q-cj0VeYv$s%r4bd zJ^a1L3m#6^QkRJTI!?9pz)i9+!ToRfAxep)GKElrOE%8N&Eh*_tG7%eqx?DdMZ5_N zW3&qZLKXbf2WVW(P4k1cfe82OMbzAokO+AkSw~m1Pb6z=#DFQu?4%LvA)FSZI8Z>moLk^ zR{o^jto#GsMnKk^Q{YSW5L0>QT9>HrVtxs$nxykPw#$2o{Qis4lE4R$x|00dWTeNj z`6HAQ2jqF%21XJW(WA<#m+ehucGq5!nZ=wN2&)=bh@VtSsNSj|!iZVo1DWgs5fDtH z29AaF@g8IPF-G)fo4&=mZ*NuAsDZoPeS!3P>z*>|cJ3_uCl0zpMU@-lh|CEy{S!cV zPuzL3R09@14nr6k%gCiz+(k7OjAJe!w4b>FwmpgHJiEItx{lEO8(GW7+q{LUS02~X z#>rikKDXH`Vw*D{`Lk>syzhQ$b)*Sh--Tln95A|?ed;_ib2>X*F9U7hTOE~`+()mI z`0WywPurt*{8KKcTTv6Fde0wbsyNyVsyI3a@Gwz1Oyo80wyT_;>sFOMJ?c>@&HL&C zw76v$#_jr>94V*k8}fJXDpF#Iy&6LL7TEVI70BCT*szGBd>ZoB4Dx4;gr4IfK3)!S z|7>olJn+ge3WvUGbRh^IsQdwtK~9OIOP8r`CV z%q4eZazUQt+#Y`4eHV^ojRP zsV56`ZAr!Zr7pH9|IBYh_^{3lP?p(2)p|FD?!HDG`J5fXP1ZrYI#f-|@zcZhzMfkZ zYA24i7uB&(k8iD*!>cd`uP@mTsX~cYX3F6?BN2Q4!V5U`y&+HP(*}N7AVJ`22>P=} zwvkY3-RQUBOrFKvRvNn2j2AW<)+-{g84w4ZNBDRK0iak5v|Y92-xX2;3EL3|BA?E^YI6@c})C zyRim7gm%JjV?HO&zcflN}biL;w*UQl+AD zXDs*TM$g(cS+`RymalH6gCPpjx^lcqokU4iDu+=6-#Eh~l!_v!sSEtSm{(eBg_}N0 z>=}5Hg`i6qG5b9QR}qoOByDD(b*SaXNhG%N>7@&wAD?3VDu0yGu8}pU zM3OaA3DkveaiJgW+zdjVFF2SCl01YI9>25iJRQq08S2^6O#b~4Uo~gf0zC=MRA`|G zSXgxKt}g}z4X@a{H&yOmiM7N!ziki?sgs|3CzU1KRW1kH#F|xms~oPHUXp|8!B%&; z343mnOvTRndnG!`bkT=Q;GO|}?3?m<>D%GL^}7nP=+m>mN3r?JA){9h zAZ#Ei*(SxhnDi~y__cJ>Mcxk9Gx06(i3{IVhS)kLsfzs3ES72<%#MF|S$?|Ma2+sp zAlCTZHZ9+HN&kE`MxnkFBl*LU9ITfUrd5~T59Ey8l zb5cKI#Y?axC0Kn;%$jB&srpY!M<<&;o8*rx$t5cd|7wDhh(E%h*cbCYomk;ct=C*; z&9$~MhvY>2pEYa;9+aq^3@HY`?qa(Q_Za%y-=03ox7P*hBlWN_v6*so<<{dY{{XVn z&gCCwXNP}dUTq(GLL77_0R)~VtEs$iS~6U3q!@DTWdcWmErS8_t4#Keza$zgjrJZ# zPr-(+j4Y!sF+1zISBF~|p7-RW^n@fu*5*^7isdeA9o6s0%{07~vP^ZJ>I?&|B<0bn z3U_D8*?;Sgf=Sam7rNn&IQUdq-MQaWAc7J9M9qh6njNywRshtJ`0>{=OXin+b!8vC zJmI=rqIa3!4!5nfuX0b;htz7%n#GKw7rIk+{foeSj^^|=?S;y#0|4qj{u6gx!#q8N&f4jd5kyW6e}Bpv%(!;o<VogK!1w;%n`S|^1tcpgcrhP zR&KZ9S$GZz|4FP)rG}P4uZ_O&W3np#<`NCbI9|kRsNdO>KL+vKQFBAE%ixH#Y5}gH z-iRV9rXyVebPM@EI=~dCpFPU{VvOvm0)Z=0r{1Kb#Al%mMfvu*pR>#1B0}Le{Nk^- zzXI53HnZDBYx9)53G|oP%a}35*za{>;KTowq#WYr1=- z_+fQ`KNqnkJ0FQF35sz_uA4^H=%r=4+;h1F zB9o;FiI&YkDZ|xVyclS4e(U3-q)wDNjd3Nq>z>IeiR9GC{MN~IOGiv+>QUxOCo|xg zh;P+O=BwCSI5juCbT+FJ#xyXdx90JFBc+rbl9QiQRlRb(KEWbyugl9428J>$HAF=I zZWZ$&+LFd2z|Dn4_XMMD7-6>X?&_5*eUnuax>yOz)>qZ1-sa|{)l&xgyV>866YD>-(mdstyC!O z#h9(8j;rM)*Ev-Jp>NkEVeAEsB=C0zy4j(-Ms^)Ks1X zy;aR5)Xlwmu$weshJ$@7vwN?&Bz^`)P{!W3+M|9eMqCB*0ob|`M6Rt>=-V+JWSueI z9e4xptN-YZ{`s~K!&`K}1p%~5W-Txe#nK<=%n8<$gOPu^>ac2Q5dxcipS2~E`Chb( zpO&{_Sx!FJ6i6qRMEma=w44Q|lUHb~=kpP=wb)HZ9Lh&27uo)wfBAQXdwjA2cl=fu zbr#}&W-MSrWgs~>rUVn4<%SZQ_RBc+M^!#4aTUMEXSd>640>yC7`z;aU?=TmuWRB3 z<@e(V^AeN^Nil9##qn4ZJTT>m7pwMB8m6&NquNslRE2grC$4Z5Y*h_1+^@((E9G>v zNz-h7zb33+WvDoYW$_lOYX@^`Z6d|xXeK8p0We>i6A&1uqUl%Sn(n*&;d_yKgK5%g zyXA^#oEH^+vf$Et=lhHEhiTxiu{1)XDE2Nt1X0Q=W^a*glFE3*cFwem@^W|q2>^zW9hxqDRWjk zax$rw@&sz3czV;EW1r34N;}PD7!1L{t1R6LiNe1B^UL#_-xmkG3J}uoUM$DUY(@3W zTzts*L~FGRZX2b3@X!h7PCfbP8V$Uw@s(11^o*16DQ)k=|Jve?ZLhFLR2OlWrlC9X zlKO}}N@Kzjibm8kBOTAZg8%pJMtZ$fsD!76O6rm}foQ7re&?m#~sXfj=W0 zM97!|bx8_w^MA75dcd32&*u9|gv5J$r~riblHmEE5(3^mk|{I^)aYYK6bH&7fmS!2 zA8-x1H(mZ{g!Jt+5~6wcojlxIwYy}<(2(km*(|7+Rmo|n=eVI&F&wnM2zjhi~(3p&eXpEyKOs%K&=Z-yw0F4MNEL(dR z+$?T9Bv#hp*9R~+d&3Ujb#}I*W`Mm#2>4zw_=09(-%fOf%d4C%o0``aIT}+eIyNY^ z#pi6ylfD~s%xSh5ej%h-9fviDeS5HmjDB^4MGpgdymk5bE3xZbvqI=2Y!&QlcB8zz z2B)RL)obHT6H*ArzHT_fLsVB(U@@z!EVfDzGX#j$ZC!{Lg>Ia4;m{#Yvmt-7EL|vL z^LkJePl|?(EO$J%TS+q82L{FJI>!Gl${Bq@DqeLlk3n(ol6_VFJK!aY8D-!96sn84 zJ^U2OOZ=Vp1Cxs+6e-VMwM;V}SNQ6QqO>m{jlc&C0TKI{X=cp;y=i6Yk zK_{l|B{46`n5uX9Il?o2O=Svh3J_uZ0eSaH&=FozfVa#;Nlg9pY~ezu1(%%7Og8H9w|B3``EIxSl(g;k>9)BsKMurhm7~Vdj8d%TWaA+5Y7P z$nEXKg$5QO^vPJQ6Gkg%D6YNyXDwSMB=>pcncPFX>)CVCtZ=^PYY`hP>nLC+cd4A3 z`jYWL3-rquoxc)bZ)Hbyy*Wa@=mX`@W{gukpKvGZ!Yy&047HSK(96C4GG4V_TWt)D z2|QzG98X+WTH0I+o}ufhdhL>D?qJWozCytT4bl(g&BtAq(LLn?5bW< zp`B&m;N{r?58jc#yvVv#U)S0ae@(KH)LPbMFXoZNn)kVNV~}=NZVmx4o(-1|6&=Zs zAYt>9+NfK|j7!=%YlUQO#lBxFN;}fy471e1PrZ2T>e86Bn?DB(wU7K|%LcipoL#J0 zG>F<Ykz?*F3t7>^AP!RKM%SinC@LYXVyjNe>eF9RlGQ^Lt`FS2#X%sAHf{s zQ5)|^LgmsCnF!$Lgf*DdBs1!4GnMNH+;q1G=KD{Q`EWjT#goY%(-9k}2%W!5%V$W~AP@PL1 z=%Sran-Y*bRp*BZTl_z!zB($ZFYKC>?vf4#q(wl68oIk1q(r)VVCe1?85kram68;t zTL)=Dx`yt~@A_NsyT13I%UZK;&E?Fw`|M{wdk=xJ*kfaT64(&!j%%m3@G4zyxkdRp zf9|0h|MaQBcw0Ch8=?t`@9|^$>gnn0fBYmXqDj?p)%iN}&Yt|X@P4YfJ@^AO(170H z0hVrUMNXbj6)P|uIbBZ^7oZ->@~Lu331;sIpFM&1^?*NTsQq>4Qca*C9Sw=ezXhrz z2SZC;0u{D@i>x;w7WSz?{T^diBJ9ZvrY2p>e}F zT(^<)k(b}pQzRwSh#(Lg2!Ou-t^r{1IzEEJP{IAmHX22WVwjK|#%(ZlEkR-Z;VWqq z_iGQj=>HJIg>minlxCNqN#IoKG5DfUs2@o+IsFuFI@a93>ftJ%*%WcHVhm_1V(644 z{{A)L;`mL6Yyz}-s|CK*&k4R>dn`tQQcA!5nzzP7oV2r#E@naxVzhT)BshV>+aXU% z#k?3)Yjkt>8a!-u{^ADWivtRE@;<}^0YJD$h z$1F*+HwnUWLnR3??XJuB*F6kVI-vb(@k7vHd@ulj#SR>*WB#~69Pe%ed1KD?9sOnYRzT?cFC`D9x=p8n8TiW;1@g_p6@ndZKCT&PT8 zyWM+l&FT3FOz8Kv@O+L>wOUJHkWFbcuoQ3V{{wY&a(^IQ35h$;7)@}_J&}L*DAwIF zWv^TFV-QF1;7yUvtf$q9eVU;bSb~3Bz?rc@@e{;R4C>FmU3ohm`}EKR8owdkY&zL9 z3x;a6)~5+)!SH}K+n)Z<+4#54Q7^JX-_H&83A$$4ll*C6IHz@cli64^_hoQhvO|sI zMfaKkRq7+1`ok&d3H+DxP5-(i6)U)sHtlgQPeCvE7k70yz-(S#jdlUwDI?ed09Z7f zWG8XZsU$O{2b-a+J7g^e|1FvQt=46^+z-u%)4cW3q;@{-ip{!o1w!`2jT8Iuy@p_# zG2*VcDUx}p|Ngp2^zTtIO+J21*7Bc&fXN($Q#;9brz>X=4B^C>o}9e-^Wg)A!}@1i zcudLs=bHt+l=_98LGXNAD@&i=JLsN5h|~k1z70k&ArhvcUs!G->3gSG6SIj+Pc9jo zMxC!mSFPYbgf0XV&i~o*nkF(!evwK(wFv)NoK9ew3-}(d8CdcFYrNP_hxK0<2|yiX zF^h@in+|8O+^eszLp3FT5_vLdn_G~GPH9I*91lx*!D422ZCmNWJsg)&a0hF3yrG9D z(B;^|YJoSju+bBYIKXuH{|xr1$)1gR3#)B?+5T@!Gt)-tz8y7dLg4elrvCKcx&Ov{ z*9U`%E@pU9$lmAA1Kg=}$cumIy@gTiN1{|dBQihs)Tk|Qnx|=*TXGn?n>kbT)U5Tw zF9g7UkOMfQD)fJb`)HXzB=+Q%>cxV@XQzCT4!D|-#HM(*#oyF@fxK+Ex3Q0)z>tC+3`@1UUy+wxMzvQd(_t*mcTuSeg@OUSy7QF6*h?m|dP<{g6hzO(&yM)3 z>UZyh5wUWNp22`E^(G7bDwFCuaeaS2hQlBj$^}Zr0wbsaqiMD6r6KV2eMU8Euv8SD z9?ScQjwXn@u1mw>E$hK7JQn1rr`c6Ts8izoA)Mo z&<*G0P5tO0(6mkV$=iPJ6e8}rSbFO}-*EW-s0+}=FbE&E_j$cr0kM8sZn6)Hu^#2;Th&y}((64(D9;ZKoU6m=F zY)wz#-v^<*OqG2B^X&~pqXe|9(3N*}ArEsYdjglIGye1Qx*jNgPhYv&i@RF<1vWrQ z@@oLqn#U=^8sJggZ@=b_g@_v_5ByqSa7{V}ZX)SeJcbwg%e$bb!`ROXDmlqt?TR}1x4=g2Hae?Z4IhHsvmcsQelW{5xC^$LI={JbnIUO5kn85w9?@?}*Gq)Ng~>k8nK z(3D~QpDnVv@go&b5YHE8C^+mccVwzAx`4@binS+NveYxn@5!bYaf{h6_IC+)ju?{d zX?I6PCp~r1e(;uvwvQBn?ppwe4F(_~M+ z?0Y#!m2*0v-6jB>R&-$&47@Um*FlRCo9!gy!A&Q(MuGdZ4R!&04hQ#6hy~+64hhTo3|JGo)+(1mg9j)6*#?}l zh0_V4!L`q3Bd_LD=0==S&xA=aZr$YE^9|X+d0MWxxbyjrxg#fkd0>GtanvcFuGb!o3-UcpSk-=wp|WUwZ2$;~$-+wtdt9 z1K#%!5exl5Z8YYz|3ScfdbV;={y?%ZMaVOuRGT1oJOU2`8i(ZYw^!*>a0hE+474t~ zJA!==aHO?N zZu#lTO+Pdw^V?(Y4<;5`EV6H6@L$;Vua8#(N5rxZ24SNGP1_cobe=-9_P+pTdrdro z>CXIZu1UIh|xfb#BT3{F8>^IiyhSX_0q%v``!&{@t0gVk$kLHbu)m ze+_sc1C%j6wkJzpl?;by3R+izAqmi@sgh0Ab@hhPo}&1JATe*5$+O^dIiOP?YN^PI zGb2ufBRv6IQXhxQf&xlQ1`p$QjOF@#9#pZhM0i6PbX_rza5}Igncj7Ssmer42EEez zXaWMePJ=M7fV=Y)WEbrAfH_l z{8-_keGXGf zNI~dzKfrLmD7s0lNk98jOyLg{!Zev`z6oyVru_-%#KxUcV9kmc?M zLLdRm`MdWpnXGo%jGyDCyg;F#*6L9%N!zmbyI z13XspjEw{@g<_HTiLbi)BO|E47i|&E8my4r(Nozil)MAvcO>7wgDss5fbE+#w*)(7 zX&twH3-nBap*4Ys&#f4shARkFO*3uT2hC@U>etpPzczySH|~jkr2~ZH;nk3nC&IM`K6O7c%<@(<>45-8ow*xn+&lF!YGDtg+h`akCMw{8U!bg}d zUeeRmf7;jpB*63OWkg-HK&u~2jHPlSRX}%}{luTMc$y2OFnN+Y?)O*s7f^WG61#fP z8z&rrdH}Y<)tgcmt&5JW!N#LX=WQJKZ*O#jPZoM(M%@K93tOOVlT*xYpbTA8rOh0KYeRMFp@e z5~FNm#q62c>BqFcn$=nli#jdgN6~m@`2}i(TGaOTV>crq4gtFLXYFw;pE&Ho`rx^t zo*d<4(%Bp)5Xp~GWb1mam`S~?X0KWw#1RhR{_ z48Sxg_{3Req-^-c54!YTSN1BN^Xp3=dJHh2HAefc%Esqlo8WeC3qp)i|IkDBppfq} zQId2gD&pCI&-kB|^60aUr=8n3;>UZ$2Nku?)gcC`fjAoHBbgR5`>v+5j^u!lta6E6 zj5b3j0@G_*ZTl}C<_lEn=?|dzk`B??03#=~vZ1Fv!w4vkOKvLb35Zj^i~7+Iw50Oj zyj0UWFp3hzjnON!E;jk$N@v^{8W{v?73z|Zsav8dxs$y3fj*yJ^zPde{BoujY{abg zan?*q8o1c+&t=JdCsj~X$HKJ5|I+sypD9q-7UY29WQwtrb%?` z+wxtZ`MwxJ!4z$uCV0$u8>N>-e8zb|pNbh(nPFJTbVI4y%+V0EWOCo>s zt`sxz^O?*|BS^yYwu>>9N%-87Svi+OyO~AP)ri{_l|Xz#Quj{v?Rr?)#3T&pBH6=7 zH2e=wr^ZVJ)|PKEy}Ru65*r8`8$&=ni*l^BnuvhF&PrJ+;yFA0Vko65h$gQ48{ z7i+D*wVL>c)<`3x(u@dx{F>CnfEQ}vld;v>PL2*{mG6f38JZW`{A=jJ?$q|ZXnKSd zCF^u(w@(9iMJNh%QIN#`*_awcF?2b0aL|K_m(CY{0P%rE)R@7CHDDrctlDWz{hy`%R zG3?TjU2O=sL#7f-P4%|&pP+gd&Yab{SM%mO{xG3je1^gvc{n}#uQc&zy3@2LEGB}B zH=-qSZ|_4C12Ry30^uD9sq?a=WB^Pf{^JLnoS^?QUS&=Q&MgS~M-n z`qwl}i2+#~x<_?4RUxI4(Gk9AXf5dD)9J>P*fjk;(p3b9^aK6EQc);~X|@W+vjL_D zBEN`vwNj7PZxw_0_kT3rB14=9+6V6j27DEY6!lN~ix{c8<8BBKNu(%bM1+e;7e}q8 zSo{()mvV%l15@IFm-qI7uTJjol>L^V_ikr4Vnf0=b@Z7^Ez7hQrJxw#gH|EAo4WjU z9^mW;v=sz|TT(SD1=TiUl@NMjytJja<{lB=xl7-^5WbjP2L_pV^7n{miK~!Erf;N? z$jcLMzfXZg$5zH0X548hq*Htx7St+<4pd6O^@Bs$Ft2h6zPZ1J4RP<%PKH>BC4Wpx zH1V<7S6HrFj0Ionx8{SJFV{kZe%wnj zn*aJdF7edXFMj5uC<+1H76we^M`#B>3Y4^)bu1bO$=>JR@>#PhXn@2x(y_m+&*O8X zKhWeGHQ8Kb(8CdT46t`k&zC~?|ehD>Dp$LPN)D_erOCZcbi3~8}M{#6nt-!3hq zkta}8xV~zO!?-p{FX~6#b>2)#km#QC(WNj zj}A9g%!1wmJ7l}pIE`eP8~E-Ku+fmhmnb(hvG=#9O3*Y2>n6@Vq&^B{Y8#7NvPAYh zBXo_pxmF6VHk&-8?tU=^4ZfK?tD_qMIW?3XiT2Otn`~O@Y$w}8j%qlZ>=b|B8i81| zN|~;hLM#9N)O;gcioVLM3=dBA-42xr%(HLfOFl7E4i$N=;g}$zQQshI1Wb9+ z4#z4M%aHe{Mi8kLJ?3f!aFffJ>k3~=79E`zsyEG6>FGU-A+j2Jo1%@k&%Kl6cv*FX z{MGsDi96*r^U4*BwOt5?T;YEbaU_14qMdBYrt>^MAcSo*8kJ{-+gK}ZUKVyQ_qL4m zSo;UYaT8p&rMe2EEN1Qd+E%i%{7xhaLPSHJa^e(%m+xYyr9lzi{KnjX70S6v(Y+%T zZ>6==%m;*p8TdmHt?4602qu)Nvs#K3n6k?r*^p_iiUgAT@%DAE3-KER5{}gFPju7M%2liYywe zR4Z=yg}7F^<;9no?$KkH3l6mhiGTUQ6tKxZ`2@tYBmQa_ ziGR{2QOw>_y=^6X(nf{{&T^KqxJ)S`D7@u&Y?Jj&4-txd=dQ&1LuZ`%gXYjcXX_9$ zqBr37y&n{&`L~jP-7n+N;68wauYku=dDa?#I zxi6uW{fT~!)km^3fUbYBz&xkwxRH2?gS_v(o`Pb+i5JVr8320CouD@Ub`j0*Z<$?U{}M zFhG%hC+OC8id~Rgf#z{+f3= zw)ZWC_2=DEsvtiOjpx-iBxtJzvUjrwsjQwk7RzBMO4xSWhC>|ZP}5uf%7KLGdZktd z_4TH5fvv>TA2%4rEhuC6L^uO~|J;bKzOnxZQ@J!M^f#9${v8y`{dd0==NQ^Ti*P4? z^xcDa2b*PY@O*j@ngtrkX9u4TchH6_vphz1|>EV}=Bc8Y81N#vjm{>5x); zqChn&cKFJlhI<->Bn#mYibDhQsBtC9T8j4dk6$P>ksi?zS2M!M`L{iudIme6ZdnEOYDu(jlUD9RLEP1 zcN@J*hS97Ly7R?LW2y;xT~owuXX5o`{WY+svCMu_lm9iszf-t!i30Yw{AC9ws!4dI zi{#x*|7Bo;M+fgGjiS{#ua8O_AkFCeqXilU-H#KfdadX>SjNjiJD-{Pv~pF~1;0A~6-O zKX_L_FTeiukUJ=)JU6Mk-hiA;zrRg6%z4E?xb>m_3r{t(V9#q^BSx(ArL}j0d!zDd zK2L%kU4jJ{d>$>-Cq8Z5y6tjU>Ya>rBR@Nb^${=owE0Rwy=V=(EtifO>4A`|@z=o8 zK=R3U$7lAD1T*@5^pS4xee?Od)GbNi&%7!0#%*_q=Kc%)3jv+hvBSX+)xaiRXENC4 z)&AhHO_^()Z4#;Ven7k8(=A}Kie{a+9s(08-y6oDEWPNDe#+Q$W>EU*Ras{%av26r z9KiDLtV8*NFy0|+xl39(vkddPRZIAMX4pLB<|=FTGA_27C zb`$nw<&fi$g|k05<%;h3PVOxX`VkJJf19d#W%->Jqga!nzuP#%cK_gcpfIQ9aU|6f zE7&9P6p=DgR~fm8c-s`$cv{@S7yL{W_CDO4$sA#`ao%kX5J9a!HXR zkm7ma#(lNF&ATwx=4x$qX2in+8Pq@el%%_hjv1Js!XBr-Nx#e(2zz2Y{FuCYSM*=Kovdh0r#d(P6|5cuc&$y+DBo{>KNllXWH9ubf z;w0-k3M3VOi(k{W($r1vSS&#BsI9X7B!(Yt*arp)n9%u{ebQDi-U)3DxF?np4d`7+GG zWR3GfRTFFDk)<4^*mUkzaG@b~O{|{3RqEgRYTVe1^sg?7m)N1PkhX_L#t3mPgc8P2 zCceaRvURQSdAZcmaz$UJ^YfSELPgFVxz%d`$vX$l>kPtJjy&Q|q5_f?#=uHl_SIxX zUX{dON*=a9-_OU=NV$z@W6NB|VDTh}1A+T@zT_u{Vm1N~~YjwlH<*=n_@s$ku zR!&bsZ5Qdrp4|%HtG8Wc>3-xkiH=B_Ky1s>xfRq(`r+?tvMr^{0`Pf5C8CwT{FqjX zs`?=ryYOV|^ZU>IJ}}P6!_=7t zrTea5#dP20xADj!a~IVYKKF5z=JxH1Qtc&+nl&N686)0UDsJtH5`7E0RzbY>{IU*h z9xFcTwmm-B2%^v%A|lJ8DSr2tTTu^GS5BQ!{K7))g+3YbuOMOT+j;eWV_j8RKaR~I zLX*{!efuv3$J1_R;EQ}bEUWZfiHV_vY?6_G58##oeJG#vQGgLYIp?b>Y|ZC>%{~&T zIb|}N;;=Q2Dlq6?(0LrrxcPcA_y{^k)}rRGC_S}_v&5pgrNedy8;(3L=KenALA2sS zFTNso66u@YMe*@6a*@navnBrS$mCqK$K7pZmRp=?UsdDPj?Fx{Jg2{pt_t8-@td{n}sqSO*uv_qw4*3QlF%v{LnyRW^E`cdrYjj z9I+cZC~J^Zi0U@%jM#sFpAnBPO?8_#IGS|g-U?G%BfSr_>)UCZ70BDHwLpMSH(qS= z*q&!u{r*W_h1P^;Z63=%HD|ITpdFH3F+$^Od2g@Hsi=Mat3+xi3(bn2->)Sqm8CF_(y5B666Fmwycu-C7 zW6+NJp8Cd00xswNHD$frqV)CI$09$oA*=+C^zD_*qAXLKw(tV)v(iCX@bRR%V zhIA3efl=2BiH z<5>aOlzX%txlBtfP_6wn)C%tL9NM9i;MTFTq#yHxz`Hkxc3=m&{>g2b(eX(Q{hkY9 z9Sa_DWSB+6tC<%_GXYqbcR#fTTn>|f7!R+SXxV1%`K<_{m&SSMS|Te~jw=LzLU50l zYbHP(+)3LK+kJAcfe2_@Gu0^&%?M&g!heew%~0~NqyecL7+*HPH4Phz62I;Prj(+n zj(UjO<=0bHzLYfao76J=45BJM&PK{@cM8BpNh1wS+tW?D89(`MiWMUm_~=DA$}7h# z;#A=bKq5CWxrY9bGA6uklOzla&6=d!+r@qpBF9^`vOVwI9tGZM1ybtdQ?Yg`5*u>$GM%7$*&9RuN zbohyeB^#6e_mX@1QbIU&()H^?rGn5YeTLLwW^PJVB`Jb>?Xl6%>w4*Zr?D4on#&o8 zWY#569+M)~Mmsi~^PAt~>{=N%%;9lE~nvM<*=1;ZxS! zKBEYIvJxOSBL_~Nc%6dnBq9--^6Sw-re0~XUT)eGzy(v^Cg0;KtlB{sm^A&l=ZLGr1SBBObwWu~n`WP} zr?We&7r28eLc~}Z*;8bK&ck8*Jfq@8MDLw4w^^cT{i5qpo zjzN$@yO@TZ(9DM5$Xbb)M3>T4fG3)-8$j5+x`o52dv)JkSn*GYX>Hko@44`SCRXm!pk`7E!ckA z9{Y!M71j zSRx6?u!zFsBeR0I_7wy5 z5h&(v0UUwK_>D9afA!HFPJyja9PNv}OxDe}1B{W#U8rAL5-wfhi%j3mc8JLAQNwT< zhlGvU?u767>iOq-7^$f2E;)X8@iC6gw6lNE&&XIDTmAEjZwUHS;?VHRWSbz1jZ~H4 zhalE1v2_r$JKvj*?n<4SA)Rhf{GFxGYmzwyrUMfTw}fjenRUdodE?!7(k03%-Me|x zpLE402B5a2Q>r%F>1Sgf55`cyE=c99;5(JnZ(Yxh1Ef(M8aP+8bQ_J&?}xFNJ(?ab z#D1G%k0|{12Pq|es@6=?H>dm)<|Xhn(h&7XblPsP(k^acv&y2Z#OMvD#}}_s4;K?| zjLBCFYCXZYuqH_S@r%gkBM*Q1uDlyKZ#hk;?82bU4)1jKW|$0pj;4}^D$OD1Vo^eK z3X7?HZ*a_hE80`#d7jre{OL3NwtnEkVxKc*pyFLuIf5oO@$%Idw^CHexUV*Bxm4=Vkd4R%kC)#brywe;`u?f+fg<;RL%a?gFs zarKIxin=c?**A29nKLalIYqE4`(BnGnnP>|{*h+ETqYEJ_}v@* zzG<8eTftZ{U-RFLY&?cH!^9HV&-_unPPa>W^IscyEw*0LXt5{}XA+lCz zIuZLfsos2G<-xS>gX|z`V^*04D{B&BlFyPz6DONgykHvfGDl?PtBG(%(Nh zPU{eS_A(k(#xlAs*=`_K8cziNkT~*5=;qu5y7_FA=LeYZHCjo!Fy-m23`l#$ALu~2 z|4}X5910}$I?!cTQcl>Jt`_CV*J_5IVr|5S+Gs+&2OqLt$^foxy>?G1pXW!lK=Y1eF zp|R(Y<+=7RO}lPuj8-gs>!*``4DyFF0~-auYf=RK_}yCb#BrB$B%W$?fO)SqJHTJI zY42}Z=7oW~Xcgj}tjBDm=H*fzzV>0czX6SCzJ;3(S%bMoU5tiU3Q&vnWsgL@_@jdF zRg{fJc-UTD)@1I@40QQ@&wQUVGhhrAm-uu!M%TVTXEjOV#x%%|eX{CYOU@dKeHy?H z_@Ab3V+1HSVGn~k)Txp{AEd#X&~sPfpM589Qd4dLcEZ+fJooyFcbbVob-E+&6j5>- zUvlayH}!W`?G=~r;!aAb8zlaQ$|z#FU)UIHYg>r{zdk}k%|V8Z2<|lmR)pf)!2`00 zOtRFMK(;S#e^x=yn>fH$pT7_*Vw^((j^%ac_eJ^4435$sQEHB$lNv) zjEb`oFf1}qVT+qh)c5jt5Oz)Sw>dN-fYl7=E5lvEPckH|u;p8bV2RnHxh|7yN=q4h zjmemXcWw^g!2oU9--Kr$7zkNm5n}!$hc+f4#&l87uCN4kzY~t0#s^akj>^ZxF8D;h$ueWi+=ZwA($zFHGH?68?1^VRnB zjopZiyhM2exLgqWqf_~ekTc(kRP}ZH%fT}vYcaXYn8eaLSvmi*Vjd;9Y1|I2HoP~+ zbn?=PAPv1t{8{_;+J( z)a>Ij>RKj&j^6mIvMP3YSff0ToRQ;1A@F3&!XeT**sSYX(%#Lqe?c!Tx}=s`qn)d@ zsUndlwzCJwMWTiyFLiTk@v?C2sUVyIWLY?pJSU#mjK!}$7QI59j`YQTI~9ZnK8<@V zp75$m^H4p5^qf))cwouCo343wS@dn%=(Xngp7))iL)ZyC8v5<78gBX7+no54$6cd1@D*g(}Ud6O@=|6j;auP|D!MLP9`)^Rewi7(@vaR}RDK4|m(wmbp&(a!wi0^H?aR#_9_-X0QPzUcks z{y^hFH}0#jgPtLA!9-Gnzk%bF&dyETD2q$*uY{T5dO?rLG^H-7osoJ$LC=4js{l$M zu|?%9_9wt=7HECmWk_W2dULdWIs?k+z7U4?++ba^ZO{khtrhO&lW0I)gIw!)Z+Uh@ zwY2N&HeybvzBLf0l%DXtD_O1R8`NQar1B`lEdLZUv*lKBkOfoz9!KV2A>C^FX;8A< zrwy+!*n}TpF!a^B*E#ToX=~G(hi=bxf`43L_K=611w%(0n&@v;h0#txpw~>VGo%Wo zAbO-Ly4BZ_JivTjbr)E5t34sS#N%CyVgf>{e4@O-Sz&9mB12-;O{04Q>e?gH(k<(n>S*Ep|`Fe!Hnf7BIfW;$8pWMzi6b@)Js^;GXcFR5( z9vZlct8MI9)XCArfwIi>w4!R&xMz>LRX#_>lU9&E_)d(I&D78QR~jUTvX&HZD5qjp zD2PSRX=BOdOIWbjYq>PqS4$U?o#isT=5{Pn(cr$)tH+s2eALQOCMUpdB2o?TRE5<6_dbC<1sIAPVUJ* zb}LmwY{5`*)XfB4iu+6-vlT})*(AL?iC+GdNGJ0-i>kslQ1yr*#bA$KmIz zRHd^RP@|Ld0+Y8mu%xikHh`1N_tUGD$?#F5Q`ACwG}|b5{*C$5-DYLD3gwHF`ayj? zwoCy6ISqD14foX+4xTNtLwiR}FSk~QdE~9wz@Wm2*ZvWdvXb7FiQwBLp_uM|EoMMB zsywd7!CcsA>yL=N2|LW=`!rk*7jTMOH3Le;dSKrWv&$)}uQ$ebQ}Fkg@>f&|AfPK# zGXYk0&laE4rl8GcX0qO*vZw>WEMPcN_j+zY$rlaOG$r+}ys+KaEzLpwX!A*@Tt(gh zhcrD*eF!BZ9Q8Ko0N_2ZHl=GSYdBxwgeGiS@LdO-mMDq2}U~l@| z=QgzpwLxsA@C_%``T<^cyW>eCYzi>&1q+9M?hbXdT{`#u>^Fxkev>37p$Jz=l>l{0C;DzobRxc~3r zP=~Iq6xuCj3MJ`i(UujX!t%P97$*@0Jp`+3q@gtH$A1W(#-+NJwJe$#6{nYRN%%hp zEb*y!gNWGZ*Jwqu3XIeZg7vYgcq4a{FDgosy#6D*1)W7k-1TTk(&>CYO%)mf!p zW7FuiPO?X;;yHcIPf9R;5x_leT=lXGMbc9}Y~t-yj&JQwzfiMnof7HC)TzxX!-}(5 z(sOMo)Z@#RJVaTV{t6E?KusPDCwNY+FKNkAsv&9F{e2ix4ChO`?O*@EH^wb|6JXHF zes(J0<@Dc1?czH37fmnH_@kh7zRr+h!gR&K+DG?xMHCHeF^2>iVzW@aZWr30?c;_% zD9M90yOy{7*idDt>~yRkETllc{uK7!UZ@BMW5n+ii4D<@lm~xX9DB7WEnDho=_-8gPR;YpM|LJbdPOClLa>X`{Spf!E>>p@` z+h+l_4@CDq(wKE*msfC3MY=%gx(N8Vnt9yLm*8!5X9!SUl`*RSM;5gG`m+6R$4lsG z^?cN_WK)a|8L1@Zq*M@0F<5UDTNajC!uH9B*(8(F{zs5oF-k?IM+oYlf>LbF%>w>opl7UCiZ z|6W7?i^)wJ{0z6-F13-~iriEA^?j%NgDQlQ9Slyu*-ON&!pv`#ex(=-%1J{ku^6X< zixSDWh zQd4Bcjm1VDAj9qOKM9P+m<<9C5A>*oNKFJlv*oxY(EhJ8r2jmB=8RqnG^%St^iSeA zL@KgV>>QuI&V^wGgIyZcf3j7I?%B;5Wi#$z8zhP)Dnqo%`S57-+gqUb(JZRNa+n5} zlqhR954x`fSAWuck+1ikxq%AX4moEJz9Qen(S&;3cTQQdl~)i}teo#Tuo_c85Nmhfl({ekiyicsITOGd^bDKAKP zi`&xAjAd>3pTYVXlEaPzstQcDIH`LdR~92f#h9|%8)~hvu_%`Y=iXj*MDN|nV8?aY zTJ6yTeup~~bUhQnT6@$*>=W{|Y?Xg;ZzF3fE~t+eJxC4333fUzb#WQeKT;!`UdlcLWw7BDrLjfdp76N*WI0S0KV;7{>#_bq^tR> z4{HO7!^|#0*5Z19wEXCj!ePTdBG$)cL1w3KbSW=9&R>=@W|zHkE(`J#~8JstRe`Jz6EB5RIh0PbwNr%=Hcg* zga2M5>k38mHy1_;;X_hP#3h3i>&a#5hkEZ(;)PFT|Kzc!iyc|SXVlCCc5yFMm?gNr z7DYRD&qV_Q5#~%*s6PCC^d&Z%MAaF~y3mDaPiwA<RMPTlQ4aK?O z4?a*_Y#sFMPCL+InXeM-T)DGW)bh=9I+tV$(Bf}ZkjdR7h%jx8l-7jsOy!U)`P74s zUWxZ$KQ^Zq^`Yxt{ za_qeloTWmxEFlU-`CQi;z#w!|TdzM>DOgH!mv z?FqJV*rSPuWR^emY$$rR$l#Y|m*quP4+K?NFLhOL8rQ^D9K4~fAVqxV_n~8fdOCH9 zL{151<&E=ky30-LiV1ssVS>RO4NwWY0etzoAE5K~>Lt%Z?<5X79iBwYOV@{}I($H7 zfs@6?2l4U^_vTjP#qFd&ts&_Xf_cu!Ni=7!p8E|`@v$1`q*n_K!2|d{)sRjp#-3g_ zgfDt8CY2Mi4wepM)v58DCy7HdI_NFGOtF)@SE_vJTzbkR5h)L_1gB|6O(3~mL)*~b z<*q;)lHhhbPQWG+w!M|(ihbz&PtfE#_iYxqF0IVBk`?#y93GQ`z!22P-q82)kUZy> z=6;qOhVaWAb9iN+^=;~7=4URt7WCeiY$^zJ(}6U;$Lm+2$L9dg#T} zp^fH*$K1{4V!a9|M$v$fB*3>HQaH*CF+OElWQSqm(S6Pvg!+%B)@TiNkQ`qdBw|`f zwIx%eUJ|n3KOK&6B~aowPDv*S%NQ_M8}7*9oO(=klk(1-CuJx?VAObeSt7Jv0r=(az7&=M0U-UowsHZRs2k z{K?$#t+`-C<-#LakCosm=H+jvn|E;sAmcD%KooRaTd08wkwZ+Y>(jkWok`Pwq!Wl9 zQK1PZ)nkTes4BH9zEsM!h>O1l^={qITwO^HSb?L%E|VvC4xo$0SQ55E5z@m(Usuee z8v~N6M7XiocbNPoY^E%n z#c^=S3aUu?EgH(Ci(QlzV1*P?n(k17_r=C{5YEd>O#6`{iyi20CAFtx7fCL!O-u^x z-1Ka9$B#nBQ~l;o^nI9Kixqo@+G0pm!|||rrN(n;?#U|tkP$L(8&5u?*pdhpD9LgN z12P5hk+$8(87*Pw3U>~nom{KA3w5yrd#FKy|t4-Xu0ekO|D#=-tbh_D?!T*X^p%anq1@3=neg-@m zIfhYz40ZY?BC9wk<3WIi?hDuVw-_w$GDo^Zbk<^XD~#d5EA4)W$+(Wj!=F6dqkhw> zL^K=<5`Zu1GP$qi2T+b6Cq*NEeXzSyiu_5ZpF!jgVr*Cb_^le)Y4&BQ z{6ytd3`!MnbS=i;0p|PiXL}JSZX`p|Q2A%;L;OzI+uIJ6OM~T~OGDcZw?V+^^XG4D zw!vVY&?(Jultx-wVw8~XZs}&EI|mrLhR%C_|L5Kh_siyC&YrV3JSX0F zy=$!(9vO;wQHc0`!tNphE2R3J=3+-UMj)U=x%T>zE?b~=K2HH%!n_KyId$UV%*_4F zmGuGd)9Bw+#HR{EBmmJ`V9_)W1o~rLf*SSkHN+QlSqn5X>LmC`ON#m>#Bhb5Bza-J zSlw4p8#_#5t~Y#fqa}e4_8peoo$*VJi4E20o1Iz4b9(Pt`2KLwdDgOSPd*<^Ifwj$ zh8w)~nY;Nd7D(+kN5>SOcba{)y-2}hwZi-L()S?<07B?VfEcaX@B?SwwA4Pq=`2rK zGSvCo@fSdMTE-J%Lh( zM~8uE!Wb*3(B4X%kN0>dwf2d4rBRaJ-{e*+Kex%j0(||@dAuJ%Bn9%l5mC@?(6RE0 zuawd8C`C+xCMkn<=jCWfH9|F?In6Nli{}WcL!3Im3 z!OgFc3&UqobWb>ow>5c_{w(u51)sviAh*wd#)~ZM&X@k;M)A<>==@uo{1>IE26y=& zK956bzxy(+vFiEp=f9)2LM(@pZ~Y%Y6i7mx7RlTn4|(uv|3Noe|LIRKkCad^^x@9b zf7IXoDa{1f2XXBf-lys{_R=bJX)pzp^>~S>zZ1w=NXpTjR}dnA5OI#pOkli>QT`aH z>%9W%2pV!eMN$LrCU8`lo~}mOilsL$*FEk=jzu9ydz7epoblY@8Wo0DW#k#@gg=K# zUtxfJ_vWxgdJ78&ql~0{^&oqHrU5|FDY$Yia?JT^id-4 zdgmm9FzGfKnD2cE1T`FVr7W%zn8-i%<3FsuwK+XE&M3v|jqO(qy zU%JUT1)?iA8CWFes~wR=58~aiGRmi4isciW{HWPC2*e#T!Fsre4gAkZDY4M(&Q!91 zv!ARG&A%sPP6Qyq{A1LxmWv#BjRwFFefPD1495kluOYNso#$QEcE0o}5N~W)LyO;l z#{@%wFZRb2kB|b?I4+O7c1qfHWIVgZ)?eF-B=|Xgk@ObSL%n!qDd5eOM)RX||AVHO z?WVT{4_IbLGanCXg(AfH+UpGo&k3l*6R|v;O3Z7MS*hsNH|hxbjWA?}>3}VRI4Jc8 zA*hAX<8U24>hF{v-qzuE!GyMSV(tx#i4Q+r70J~50FjUFkRXa!Dq~!t&*o0-xQFULu-|Kc1gv*^GT zG>x)b<#NF8yAhQJ4|j1Y*k=fYgcG7N9~uRq%Qbp&Eq&i#oEi!}|Jl=CUESEVVEWb= zuZ>FRw!d>eIN!-VJf-;%AA@)Y^|X!1jwa;9HSiP7BTpp>xu*h7OMtv6r?9#E0ox9vdylOM|lIPrk(T1*laEoZ!l+|5&3S z5wE_-|DQ1pJiu`6gwk4%x?dJQnoki_|0*wp^X|kFK*H zh`sBsAmt+Kq4P||1TJ2SMn{^x^jvz3H62zt0%~|#t3~sEnmT-=U97XLg&=;-pSW(D z*byiZfy9)1OWA;6Ix*3j#iMpw3qPIv-$d3){?Vb)mBR3vOErKVGT%Dwzj~_4V(-8N z5yOngU|QXCCb=Y)Y?1ZV4!I&vEBJA|qyAJPO7odqc)9m$pofm$<5k*2ru|n}R&oWT z_cLFexPIWk{-dz1;t4ztx1TAQ70|8ci!^+46X0UL{Q! zFp?L-e-X%jX4`&=p#adVu*t>Dg?<-CL+*>{q!yJb?qn-Um?<|p2&kLQalLdfN;o|o z2X~l0!U-eUIR;4n1a;YK|^xE{)RG$jh8{V{5?FskUTj`tr-8T03FN)>7i zpfzgB!G9286>`Fv%(Y}KJt>haa#3=>D za4e1nq4hdb_|1E@q!QRWcE;Eg{#)m%WF6D0t{A~w<}&}k!UDv>WC$pfw*A!$IXZn4 z^|ZFfL5l^fYCtRs^NAcD9`>qF>PB6jydMp5&am*8%L%uWCfIPbo$L%57CCsYL010P zg5V%hB>TtMH`0erS9&!6NelI8084x{+zU*qOPi3ek)_S3-yW4u5D)dzj+YnXm#)ES za;KFL7u%EnJE$+D*Ag{-_*~P^z7eC@D*DKya7ju2Zb?bt@86Egh#;ir^86puR$k=_ z(+bu91w9mJm5@)*YNDmU)ynWOn{wc%7!-Am;0ZtBK0*VL6+N@|OiwmV)oNMpazCYw z-Rs4<;a5kt)f+YJ#3`yRzlWbhmOpEgc(lx=b!&5iB{alU`%YGq-B5uhxNhSa7D4W6 zU9MvmsK-KCdpN1MQ&aSm9kpQNmA!ywrJs+oMkh(kQ8_yX@5lIM1n3R3buXn}dEwQ= zTsSEPdYAx&SP0xA4u6o`SABw$Cys15^~+4~L)>=OpQY~qQM!QzWB3Kw51CmT-erBe z9PBLSYtCmJ-q|n*Y7uoi#RJ_KUK#%-r@OEb3eh|^yCUL#%xT6&aU5k1!vF7h(*mBk zP)qv7vsZE#?DW2HkF?DvLVd{Mc;+IhokLD@2k0MBu8U>9uERmejzSg4;i!WT(UuzF z5r{<*#$GL+(EE<&XJlb9itdAnC=BL6n6vBk0X6Tq<=1vU39W-7)w_t{VtHE^&fbcc^Ij9G5_LD-BlMb zg~sNaOBvI6Q0m>iCRbQ22gxTNb~@wrSMn-*p|=Nb)=1V0$K)q%)(UlqhRMyfj796E z1r(kNjPojl98Y+V2;i)|JJyN{g5TrSGc^zFdujCPV67EGSg`LOpcEZgcVD#2Qu&@* z9Z%pU;Ma*jV_OWhT&=#Q&z;Hd4om>ET;&nl0~6-BMv{hWir~Qy7D|k0n|hHC1IFlw zoPvj?dL>sroYs{#Y9_y{xT!s(V`$HoXqDF;_wWiVJ$WPvJPeUr^N)Mjxv1gplu5(x zD)~sE;kJEO#Y80M#4LG?)wZ?l+`HwK7c2EUC0nQ~SX97OGC&PuTJ;jBE` zW=y!w*-Sx-B$VajN8|XW7d43Q`5xcAZ|J=qOAep&%!>7)eX*=N-d{YfSnZcI37J@g z6D5x>$&OY=>Q57%Td;7TQKHDrG$|Inx3+wk%z+*v=Ieme1tfmSv&3Ey3qqPXpFoR&V^}*UR(FZ91JpLzPs47*3MY<+3y3lrO^& ztIlyXH5qs;g6YC1)|h@Lj`=3z!YTFa=ChcF`M~DexBG(DNc=%Cj&sGd zbe5m1e~7YWJA|y5_fZ!g(O2uffaZYzgud}Becjw-q1j)4b>T&AP*-So=x8mo<4Dn1 zne2~@&qtnBq>liptFp5dt*YH;MjNAIq8o-&a)FmcQAym%T^zLGx3s)5D`mA}%8TlL zVOF9+E4*qh6)Vq6A{K{&;Y^OEs=66XL9Ne?q!*8`>6@jSJRUN#{Q=vVl;~Q$slfCK zS5iH4*?M*y{{Fv35@tIR*cXxV&L&Zx`)!5qRTf8c?0`#duYpQzJ$tX*@LEch#rDF0 z5Om^o_{nNBLsb-mZOI1MIDi+1ztt*Q#%e?>C!4^+EmaEp)|= z{yw{&UPt}ep-KT~i-KnO%S$7xd*WE$ry;6Xy6O7T_e=%hW|t8p@*c6JUWpVzz9D>_ zDwWg`+d#t0F%u$`pm5k?#eca~r$cnTbY#jZO1*CFIQyLlV z19Eim{y`h==D@rt`t;Th1pCDYN@iAR9w6do6YROd152F9FajYr1qlv64G`Fh((uM# ze&Ju5p(1pj-K25l`?OGF@hv*3*E=5Yk8ovOPmeSbZVl=0rL-vg zEzekP>n>B7l<#GIOdiMYrb>3Tz4u3}u^iN04e{pA;d!MVgVTv3u;w@e2GQAyDM}>qcnt78v|S`(9=S}k>^HEU(R4+D}0Q`ESCBv*2SZ0;2C`1!xMuJCpsSP5M26@bPTbOd@FSf(( zzd8{!60}RP7SAO88V42ceqr24}4LlTUmgp3IMLnk2uct+K!`cm+vj{0QK6&hih521q+D7VWC3!I(JQ<-rUzW{;My(>BFJ9jkygG|26>cK=IsP5?>;o zR}KO5CU0|~BlR2_4}O^V=6uAwvSF|@eEhGc>UJu#3MarIzmQz$C0 zznYrfH&HrCL$P*3q$^+x&H10+#5Ypk`5_CwDjjY#b6UdNKVU)tDcy4)g+5;GKrtQ- zYifilhUd|xGHkaIc~tti1Wv`=RMD|0=L*F?pI47o3v!CLI}6 z1Bm?3pI~JQab{N0pH44*#^wa_%Z9R5wJBv`iA<2it9ER85>v?B#NjwozWMsowxQ3? z6bgus^w3~2RY?XyJKuh=QttA+e&^x)u@ti_g;D0hCkzRhFBh+a@|0EweG|V6{i7IV z)nk0`RH=LOT?qO{P2@bOTo%WWFaiOnpH|)`vr+#RDf?@U#=TSQn(QSPRg*fHk$jLH z&IO;a_1veh!=+A%kUkv}a@C)gn|vduGwYkBq~ZliAq>oNlx4D|`#?*A~l z+INIL(%4#-Ig2W!o#H z$^bJ?eTDG{0dj0TVX}OQbrHNL*6)pJmY6APp7i)niMcqgx_^J1yTzBV-^OR|2xfCm zu>Le*cHIu2P&D47tyOj-p(Uj>Jt+qxt;5=Sy)_#el2a3*u54@FFY%|T}6G0f$Q z>J9RfUXBblEaSN!_jq`Usxg%mZJZrYdq|8@a{2vr7KH0qoO zllL10PeG;G!)-qrkb(u(aDxAx#A))DcbFoN|7Y5@TWCG74vy6iWhJUml+@(L39_AZKdR6D2N^Al*)!K22Ejt?vu1A};K$g>3%nn#<3S3&K5Bb$LHcSjI`ZMX z1GzuedjKS8^o-6d%~EOpm)8(*8de&>{irf?T4(A_LXwL{N~QP=JgJ+4yv?DbLKGWl z!Tg3IHiJ}4Jap2GRG2Hcsmp@iIA^L=6055Eb9mF_&J-P!ZB?<^-Ys*&gIkf!rY@mf zk)=*6B@oATZ6Go_S>7~qcdVH)d282Z-`a8fbeeY|=moCy2 z(i|gv^xl16u?y@W#c{DA46msqxz7?}Pz)N#^OL_SDt2~UJrvtUR}^DKAeP)PTs3I! zLmF3v9?fIqn@8@(ksKNnTySE+4|;;KV1?QR zbXI}is)9a{+fl)iw^hVBZ#UEgEh41{nDurGlX0U!%6yvlPmmf$u7}j~Bm?ouMV)EA zHKxof5wCWIM=9@N2)90vzS7cQGX7X_EO*e^cM+apU6@AbVHo@UWG1;t1cU3m>}ez?U>p>7ZM;Myt3w0!9W zv`$7)&q&@L@@39u*!A+3YKQSx+vc$s_JY6=hq&b3$6aT^BG}DKAL+lJM|_kH*f*Y~ zr5dXg_dm2n)Y4>Kv8?+?6AY`xb6!w#8{?jvu2w!g#Xi&C>Se4`hQsph>MKB2*quyN z;aXc}0_*V{z40tVR>k@Trrfz#Rz(mntK6cs<`A1igre#N%lJ#q_KgNvKSaML zf3N$}LkMc|AVsj2_pCdh{P%pYg{dMU)R(+nShMDkv_-^H4Rx%$@Ay42ptmsmhQo#C zXk~1tMfappMnD77%lI}|4Cy@9L$@#-dw%>ce|9)lLdI+)KH(Knxw+K9?=YZ}zU$;x z^S#3hwn%vwa5F6CB%y2t)gm-&a2V6VekFVa4C7{ z*`rrlo=TIU;pLubO+n537NMFrp>N?lZ;vAf-vw9JuhK2P-M5Xajc2g5M`a&8{092d zSm1uV2NfC9*2QmagD~Y>F}$z=xKk~S*8q=di<-yPBp*en*o-Qu~jCu0Y(ZNme!|3u~?I zq~3qkO=qQ$-gl#Y{dIV&hgmKI$oRaq4U%FJUPu@bJ$YuT1b~h#PoG?R{8nXb!g_q} zuo$26%J<9J)0sok?_I)=E+3q>wkVoeHNJR-`eUp0uoF9J3+>S_IzRyLvC2M?&pCep zp)-7$pXSQwp}tsOyiAFS$UhW&y8mZIAl~1C`sL;G^>i0^ZN}EuG-%S-dN}o!|Mb>A zD~{n1xZOQb+moi>y7N~~?uthbQ^$K44`{wD6@6~<%Ile$ktF#xv@jEBs814Rz~BUu zQ#urXpz|HtIflTJYRGu&U%@3NywajpV?wa#2+xzLdVW+NqAxx0%FW?vbQd|z{BKjn z#;%NbDNoriPkTN(`WploXoPQCjUKYu3wwV3LbzCYWo095C*@3|a`kH5p?)@Dq;acK zJAQvM&m6@2|8x9kCARNXba?ZbydAh5C)&Sdp805A_cBfFEvBoWM7-uu zB-ZE|%2xBv_j}$QjU>lMNIfF_(4mTgXyS9cM~lwpi*};eepd}f$IN5YE|^3neY~tR z`NnW8%K|vOPNT@X>3dNAXxhIYE~s(In|8AF@zT}LlqU9ZZ!mmBcg>pcy)ZB*=V8wd zZsZh9C+3K~a!Xr(-i_tli6r&Y+&vkBxn@K^fjB!lGu!SERWXFR@w$9X4U zj^m^0%}cy4=p88&k0%Dmmr5sLyjoYaF0VoRwkqd$Fzx}*mh-$q6v;Imwp?zT9b}m$imuCNQk>*D?Q4C>*?_l#6 zy)4RnD|F-pkF42cw}QD;&9qV&!t#+RBpkXx5x0nN<*H2Kzq)j*=jRC_uMBJo@U4cM zK#?Ygd47Hiq52p}>!p5v>FaMRyVK)6z_A|i>m-U;s1^gmm)9Kn(wpq z|G-T`#JDm^TqNgi1`G3VX5jkHSl<+I5>q|97w#+CI6JmbQz?Ce z(Cz{rkGwj@^7DY(nlojgppSL?CFapg04O6aBoZTq4}{u?e}9YU z68Y*>w%8Wn+yYAPRm@C$tP^e3i&w!SwD0h12*qt~c7G`S#3(jn@=?7K^NsKzt>6_X zw^{=b@%tfhC+PeWq}z^d3@lldNlfwHOndw%WXU^wygocw7uasQxbfdjOJP=oM)&{V zTTPP#kww2$wfU52+mJ?UPjXmNed?F}%oS!Z$_S?e`Zf7resDT6^Jm9_B-SB4BRD^D&tvS;7kb}TTV6=+Gw6w`rFiLCdXJ%=uL2z? zP7`UN7Drxba++@8c$;krLYgAP=_gtF&9peHS8HB%by(4rzQl%{17|M+J6IpyR|1gl%cf51Sy@NI3dcr^Z&!3X4A zZa6NKW_W^c~6tuNdw=+J$EJ;~Gx z;!E#DJVu-}DtO^3z4p7sJV9*x>)SrD3%!sgk4e_I`-99cULo%Q8}cMi(Y@L+nGTvb zTs>l`tI~&CqJH;oe{VZnzTI~$(B4jAREFsxsabBPKa0PvomNi~9t>_ITBECA0T8Eg z1NtSW7*u>!Rb

G6an?{V7qp#1einICRkTdvPmisUE%{oa3% zYK?^$`f$i;`Vg$?fB@W^eJqWpGe$@*5ni-oQ_?Er`od6JV68p~kppXW-u{M#hM)c? zc5fFcmE0>&B6uZ5O-tdz>fpNCfh@#|V?L}+or+n90yJ=={G3{xE`KPfopsu$zb+eY zB`@Hgj?PIgAA(<($Ip|eP;}o6$M~^XSh9Buq`_iZnniZuDMgM1 zDoHay*G)5#D3*vT(zo`0;<~6;KmOt{tt9M6msy6yANK)dHo{3@&AC`YO>`TxV*3e* z3S7TUq}?!sNq~aHq&t-*)jsyga}DrXy9KxU->3h()~?_F-)jw=ka9Y{b^W#;H)JQb z>CFz49_xPD;1=$v1vRqA8VG(+InJCv$Hn+LNc$SmHJ-K*F$k+JHuWa*l|=JA@$UXt4b0wMeLwTEmVjrrDV zePcwkRifO7&^KfBR*35BS!RbzKPI2MNII<;&9b-6E8ichE&SE?$-xIHk0Lm8X0nFLA9dThbOJnPJvcp#gFfvoU&!~?!C_SkAw&miej&%B zNvpB+3j zHXi9ZoH6QIwKr*ozY&Q*%q&F{t4wQ_W(H^QoSKd4@nu5Z_-qiZM@|G0dKsO!?!{Bh zA|d1Z2Ot`T1friD2hQ}MTF3owvA105x=zHtKR!VAivVA{4UDC<*Ap}hua1n3Z%*xn zS8v3(Ne+E$wEB)KqY-cUezsLO{5r~0{n+HEwAJP7&ZNi52q~rQ1)Voc$sL6Q^LVC0 zg)KNM#1M@86*RrlM_U!)vs>m~8I1$1&O-a8_{;;92&mDD6}Pcg59Gc+X^|6yA0YY< z{=NBbj$2zhEzpTNdG;g09*5G+IKVtzK(eBS0n+;W=aFJN*>JEe5nL<(!)SDLO6;J{ z^$}=>R_W+lM3XzTHxF?37CRFrG*=?_qEX_V}{J=W-x zpK*!z0+Cx(<^|)e0+W32#e)`}Lg(y=%G8WxG@CfNOOLN{ws@J(8Sj)sUdguhLk@M7 z1sQFXbLx19>CDSn!@je-ldVw75MMJnZ=l6nZ{xG8x>k9vW`Sz;_hDH>sF;)JFJ;q1 z=H-h$gH@K7gM)XB{%m01RPgrd=xZ{#(5WaX^?Hf;cW1I;9z142b6gMPp0ujZ*Jh`% z=xEG4=FB{8iry)Y$7)r{Sz^*W46BaiNJc^P!H5277-CJ~{9)dmw*bs} z_)om-fa8iRFxfbhvQy_6=V;ZXn?39#x^^ImewX+;me~Ii{8%Wh2#B`>6i$`Kge%%Vp>%9V)b`wK-@AjI5ntd)U^g4FPp~y zF`=VebiJ^sa@Zj}MsZw+sOIVi4@4Hq(?DnDV=WO5gJQ6?ykqGdMEMLL$Oar06Yn__&`ri04e^HM>i~(1C+)&N{)=(^q)&C8gmO10eQpr#I{9EuGwH>0$~To=cc2(^w-zgAg5z=Ado_+& zM=LfICFzBDht8K6B#N!1j^C|ZH4j9!yy(gu=wndLP44C{?TOZ$)yM>~Q zJ5#Q$u!yu0-XslYwM_m}YbHxK!%cc5J2%eA?)P5xRK2)N+(zvLn1-)%viLe;PPI<)Ct*iEi3gG zp|TCAw-M#(Ed6%SxoY34_?b_5R;Fz)Jo3OI_TUe{iBe(KXYyT4y4Vfj?`MLr*{eUgl36K6XZfc!&Jx_py%jnB>^LtV^u3kCt}lnXn58P31(T{;pP(&SD&0 z{xWvRb!3S|i`hTTxR%K{oM2LodzLE2w8%jGZ>viGPjio;$&_{X!4OVn0pyl)JGLOZ@abbA8wpU z8y*1;L2WIFG3*vsxN#^&29QY@2$Yl%I!|X_VIFjsNDQ4v>oh(%;Pn1R%wzly6EJw& zULf@+OWa5cL%ShK zQ%}JPM~zJlw&jBMbU4wm5lN@V0`c?X0zIGx$4o;jkvRrVAy*DpIh|E?=%ATj!B`tp_M zS3395r3lmRxhwAv!tzMTqSk-==quaqJxE-$!Z;R8aSC<(`xA2KLUn@B8IWnj6Imt0 zXtCZC2{6jJH-*m>mios9+NN#k-2Hc+DcuEYdmRsIVu7;Q_8)iya z_`REHjhLl8P^0;~@m0Kb5&-HNJn45xHcwso-x;g6Q^_+O{2gb`R~w0} zsaHT^G?i|xb5+HCgSOeZn%h&bVuje6QS2G@lZu#V)Hbr)pNF1xB}e3T;a)vr|JB1X zGI^Oi)Y(*9SBxK&iND;pcM6}DkZW8VV4i+1V*N_YMoO!-=J(8?XB03|(n`FGt@q2v z!0tXmeA2w;uMTFOs5=F0^=MhtU@NFDt90dztfbWR%q#nh*+;vET={@g*5u+{m9Skv z3&tu=GSV%Gozj|HV693Qh3m(Q9YFO9f0s)QMtb;2!mV1Zv8L^7z`!k@kkL`Y=?(KZ-&wn*C$`~^I!ypaRo+~`AL}PX5gO@qYBbe?npKlsxKQZI0{8^ zt@U-$4^E!y>aCi^BilaWiOB#?G^O{E=ee8wxkm&`wx8#Z?>5t{fT|q18PyO!izU*n z@{>ooax*R;e-X zqay`k#+e}QKIaXMHJ@lVBc66kC=I&SZ&2?iS1-VO-rQ?76~2Ww{~ZLZL6)RJ#L4r6e@=zdmBW- zpWq`n`Y46tf;ZeXc6JH9ka>kOXUg{6`Ww`@vP+1hWe*d-jFScD{6=*D*>fk8pMn?3 zz?JSoQS=sBEAK>2o*Z<)v3dlev6Z1*)!VVHUJbt8#ki57+LMvuD%O|V7;i>;%`683 z#^I*GK-fi8bcL-}a~eF!z9VuX#m|+ubvCg4X3d=}T*lijr&m6jwaOTM^4F8a zvq^zd%uDR6pv>*KDf1=}?+7`2<_C%1;evtB)`Y6$bIg`sh$BV6)WP+u-*@llgUMXG zYJ60g^s`C+{A7r`=VHp6$*Z z;5J?U@EYfmaGhh{bw}vvs2s+db!lqv+P(3}E+@|f!nv1F`Cv|LbYls7F)xU6)XLKI z4Ze8BWH zKhaB%i^QvBlQ6_Fr~ya5@)1QjeFM^+nd7wpXWy5|#XBR*y85DSN4fO?-v<5SuWiOT z_bUOsf`aa6hu5&d^E^ZoB^gJH<&=f3&m1YT=}5eDm49c+i|v+6=h@w1=;FfS1wL@d z%`29*fOP8dseoZgHdoXehxQa9*7>i8hL*4hmcqvI{hVTqfj1()%G6~g=vXDOO~F{? zL1n>!w4Xt;meI!JMp~Ez`9Ngf;Vt;4>NGmixAanrtjFud-y z*jI%#)PF=^Cez|qb;5%_iYxKkz>;9hV*aSrvTJo!fk9*RX{7 zJ8=X3FJB<@y0!UU?{4oFytztiwYpQ!F-$rPg)e~nw9WuAIKB|`wIj?o)zt-kF!y2$ z3wBj=?(->$!|dhZ7zdKDSwh0=_HtqyNA-^Yldrw@Y*#po_|1LaD3i1=GzYBZyxs=> zYR9p|JYbl!A5=BHmIl&!Pn8`}!luwvXn6}cg*eSgocs5sBjSI31T7rrYOrq}r5(`_ zQ{L7+qkr<+IAU<@vM4;{C0Ys#mxE$=Vf1-VqgGbL!4|L0`?6+((%c<4^P#Cv zxk)M#7(&mnY!;u0%HBUblT3<{z@P$3$NDDs1E|ZECY$V{xa!(B45TkTGpbK`{4}&E z(mJ>Wj|0T9JneTUEb`Ab6gBB8!qHD5h{Bi4CzN|9Cv2jBgC0VWzOB<-(D zD0|QG(lfbNLIs89X3B71!VZ`cZYmU%6f-E4@3-j%@uCbxe;$hT>6#GG<#oC z>kM>X{3SWs_we>2XG`rG*y*jgvRqxYuom$J_0QRA`8_=4+8tRxAP_UN{>1Zh?lY4B+};8~3%wX4s=~%6G+y=Xbf0SO4tx%{-^_ z3~LW$PvdeU`)2eL0v3KT*zG`sD(^TZD&G}9Z{1fpv!o4L$5XP5qN{HS>!B7F@r?r^*bDfr#! zZO}Mnzf=60NMkMvm+5jh(W0>FOYt1 zcdOq&m*K@pjB})|c98wV3{}G>T_2KsznI9FqGAnN;WdrDrut&=sg=-9{B!NojniQ} zoD0JP2LYy7kA_bJ1cfXM{%i-9`ADNqzt__uoj;p7XRn@IFE{GJ156;QKhGL~B*)67 zG!yW^q8Y&z$-=G&HLTMwpyyixFqx98hsp#h=Ml*HhKjb_7;H~}R5u51(bSgy+zZ!h z{ZbNi!>jZ0)#e+R<;=mx&ZL+QtSxl;3T8%1X7n!HeJ|mly7L#U)r`p{FU-V#ue6`~ z+qsL;(@1Z(ab4K+BekNjswJnm;-zB1;^w5}w^aK0Vmk zdDt23X5^O*6Gm&j#oN93Ghm-Ccky!X)Z+pBtw(pIa*8Sbp08Mq6%UnQb?dkK;>WE=OSH}B z8nOgUB)gA?C7P>A%ppgB^JV`vrtrcAZizV(<1l8E zXNZHjS?bu!bMyY-!CEq2qQ0MkX0k0$sHXnnUq2?pG{U*`m3Y`Heqi(-)fX%^InP8o z{ExO7@%Um798 zajc?Rk`T!FOR-FKV=S=yLPUF(`6fl&t;MG}+o-KyE~WRNK@tETP1fRki~3Qe+Q?@o z*Wn|0Vwe=E19wM2b@{rVw?~m!sEFz(?B~vx>wU^o!w#LZ^BVp`QTciEm;v!V$Mb|Id zu@Dx!@-$6sl`BcOB08~n>x1_9%Z(|;WWL;^Q05)0CBY{6vA=5Tz@3&K_1dYl;EiEs zcxm|05&Roq_xRUyh>X|XA*}Hx;!8*@{?8-W#FirR>GYbUC5k- zL*c#uR(MIz^32giPZe<$$xhkG<;{%lG3-PC&1&9NR3a2BvY^zhg$?XXSd&S5__FBJ zg}n^_21gZ*>eqUEiY-ctgD=03FaF&89tLHt**=57?EKspFZS{*t!>+9RUN1T)0w!o z43dkEC8J9@ZWK*d8t}4^hpJyZ4%xmp^;e)0-_xL+<^0LVp5P!60oG4yj*97*=9ahk z?%s!#XBED?kq6XTCFef{wugKpwb#X8-C)+_!@fo?OZ1n*Nf!&hSUtRZcq>47^&9su zlRG{uFji2_300|$ttL4L5U0#t>J?Ah9y@(_JJ00WJkq`84`Zl;6(zSg9-L(yd)j(% z+EVy%9&+4h9<^Ax_Nkt}^VvrWOiE_B>x>DCwa&F(GXRrv8}^65_1S@pkc7XA!+i}s zd4p|0psZwqS@RstfRDj+uB7PC;?jS>-o6=7ojN?_*1ULd>}}BOxbr0iE3snO6(Yzp z1CW(&pKBs%IMEX1IB`Amv4473R4)v!g+xl!8W$ehl6F&MbS#nA+<45?x$b_nvc z!s!{V_#(g5&w0(Iw01zT*T<*PdV2Mbc_5+rt!=|n?a+F9+p#x_7rw*3peMX|9U7BV zEssHfF_F|QkHHIkxE6BHCM28w7I`T)_WX<7B0d*q27rM4EtiX;{409^%fef}u%bfg zQh)N9?Ln{V`m*PYK_9 z4FfD5JL=THnw2Q3EY^YEH|$+&0Rq7PZfJWho_6oeK9!g&W~JrW+dAW?;ue!DutvVK z6upc!HNDa(I48R>i^X~U+N->O zH3(l51^Kv%4m;+*#yqWr>r(jMIL==1S0DsaetiL6th@-kv#K@D9XO?>S%>Df2OSLGyEE{McY4op*Vk`o7r6BJN?JfH9Hb^hTTIOn{$&$-8YT-W6uaHX?Ds6#Zl zcMM!HtWT{>`eMc(hP*t#O`K79YFlSFtE}9Y{eC*&cb7IG;9_dsl(Z?kjIDZXOb;3i zy*@3P<}!WWZ#uH2~yM!#hurc`&a{pN=1joPx zTkAX!&jTQfWd~0-MD{+j?%SO#i#a&7;8_cyWA6_H88xp|5f9WrQjET<7C}(fQZm|3 z6;XrqDe_VXHXK?y?^~`QKlagqulBnSeo-z_;*&u_&DBT|!?5HPYWD7prueVotO<>WEJfzP z-aPX>0={MZUXvt&nAaFqoBHaF7^$CH(x%0(yE&H^09sIm7{7lc=%INIC5Fhnns7i) zlsT#*wbkwKQJcdR=-F8*y(PeGtjPbhm-H8_?r5-DZ%EE}WNc|@;a{0Qml%%uoBm}4 zo3rfZVG3WU_<(AA=m4jOY5DNwF&-g|b41Y&ap9Xy!vt@p4k_Oh7~ox*((zuKiLhh4 z$V)n=e;A0OQ9(rMgfPm%6FJw5z*F8C(phm7d~!$MeU=fT1g^FMS>D(Eg)xwH8n^Y0 zJa|WN8zm8o2i-pj=Lt|}32AkXw`xoZ>8UDh^7R1*rf=$78h+PL1Y^l;+cv!%7lMFh zUaQu|vnJj+efcFlH^$Q=I>Qkk>s$k;ELN_eUyt+C_B>)P*pls1iG$I=o`PBztd=(n zYf5nuQp&r#^ZN8F%=OF!xACeCnwdtDxmx=9AET;8`qA^w`*a3hrh9tI;9mJ#g<{Df z$>s5=rG8H3C{rQhsY#v~#|zc=yv+$jJ1Lt5w2;zWWGJLz>8uxcwV5Ab!4Cc8lBEt* zA_A|25#FTgwHI*IFBmZO=QufOC60QI$cs-N8LZ135;U%SXCq%!+@b*ICl~OQr@f)h>BkLqYU1{;sixcU zk81!8@)Vr`@I~TVJm#99&pPzs3K3R&5I{G;E@clbwZv?g1UTjV8t)j33tni{>Xg@+ z+K~u&hrG%>1^Ag6k;3@w_%~!;0%o16!zi6ZS?8ofMrKcdSGyvvObPvxb)_W5wYP)P z8v;qoHwrJYj=NxV?a!^?lV4sGX9{-6GY|V8&geFC%7?3N$%LN@ME%(}Kul}p>g=0f ze*+Z*9#ix|GODU7H+AV)t7M>3du=1eAS>JVeo6B^pt@Ix+eaPguZv|pv+I*tEWND6 zHQ;?ysg$toFt8)Pa+d5A^@#KmmFj{_8&*9ta%0hWv9q*1daKr7Ng3S1o-NiLeKq2|uj&-0_-U*#`7_nrpAEU7{}SEfUchKI zBDpw5w)MGA7Mp*Wr@H$Krhl}cBoowXgWVV1JL-4_8gTl9DIq?g0;9Z~3(Q1Lv zDMd4_1PPD*bLcO_hgl%Y{0Zz=;o30N@cK6=*^xO$bZg8~HQ%--HqFLrzPES7?Y0b% z5`e2+}+Tivq5^a&H52hl*@8W^*31yx`PMf4cTF1xXxg>8OqvAt(JG&>U3 zG8EdDEjX5U*(Wo}=JcLG*zsAdV&6H__p{>2J-XI$xRQ8wC4dGnKGw-KDbSJ&j|eL8~+W|^?Kt>%AHOiKP{Gl z7r0KVDy$G4JTtsG#lOQ_UT1%~N)+zqxt>Tw7&=7CJjvtZi_6%&wCv}-g#vA`V_GzK z=I5`Dxl?o0{#{?q)a(V4+WTTRA`c>1%`+FPM@C~)V7Ne{%%$dq z#e*p&Mn^aO7!xxJljyeXTL|l81Zt{FTPClHflP1J2%m9o5VbQ^CB94+4nE8Nfk=(j zT@~{dVu6S`(G})`^WhGEVgo;s&{kALs=$O=)>#mhdo_aq?j3kS1=M>t&iYt+6`Z$m zu=4t8lO>h+uB2kPY1YT?ht0Rt7CMy0SH)%b3--4)fVUZ+ag3Orpd%Ob-KBXPUOE00 z)3fuH-ZLn;KXqd`9`M0)nO8RGNT>dwnaAzfRQJa1Jy5k|h&tzQmVQ!jQI6Y)!f7V> z2hq4Ujz|oHbA@%!0jgcxv{(?;`g0YhA?mf0KB7i07w%8>gI(4%R}8m|>qF-Kj!H+W z!XoZuc1}H=j|q`QGjsBmYav&{fzw-Y^g5b?bqEs~%WlY9BWcAUjZ`TOQI_zt#D+Me zS+!`KkZD*3|26Tt@t|e?c=XG!uZs1$Mw%$1E~}N-tvdjz6aLck?HJ#XCdR!B)~aHG zgt}$q&zH8HHhahVvBgM=MRyy~G0kVGBk{oRZM%(S3@S)TgxZuxEpv7hpQqkr3K@p| z#l|$c9UYIE+;!P{-Z-A%*nMuJpH|%R4s7C}@P>v7h0NtuLG4Z zZMC%WLajz+e*Ok+s8IRx7%^7wb$wAP;hyWRwRqDtht_uHky#(;EU3XX7V#!0Nc}^U zO7k)MzX*9ABX3tGGdY^=b##1GVg?E!v#Br!y^;>uTP0a2`qZ_xBQg@A6`GIUca1;9% znfXP#N+RfzkHM^~PH9X!nk=Nmj%}*Rj6JwxlHxrPGxBJ(HvCDOU7FA5A4Ysxh#<`d zrKB{C>y3gFoxegP7g1pnStEC=pmpnjifv*@#05!KiB!mfQrQtAt3svAVT&Yp;ds>U zu7{JcD63X7lw;{g6DR2E%(mQX0k+^lQPVRAdZekRE>bR$-urPnv=t@r@LY0XWtoex zaoFs<#>)-DSIa+8OrR0?!$seo!fDaW?fd<6yaP`qBCn%YJ6f2xW}yVuGz-wu#sEQd z^Q|x*m(_9l`Yx|mxRcE+H25DiMb#Zc?OZRA36s+8=4GYlZzfb?PuhP>(m_M+=LdGIqOd>-1}DBb_}VwK53=S2uhFk}q8 zIHHhnu%<7#pA<^Cw5N{)f|6FO>fm9iT13C`w2yijt}Q}KBC>gA<)Xl7Q#v{L;7gxC z`7iBTZ5-q^e42_ZkXL#F9&wb_KylT_IqiVJc8^-iMW)rB`fCB0XQ*HqKu3zleUn z>iOw;Rt!M zHyb8X7BK~0x35fL5Z@5DqkT*$COAzkLE7siEW$mc?Wyj_ydF1GYiwZg4`1F&W=hh4 z#ac?o>;xh!nFhtt(JipF?AS;|ki^W9=n-E*DITF{KtyWu$b#u2LW2U@I22%-y?N^> z24s~@RSg#2I}0oj@) z6v$uQDIoVt`Eja4lJFUDh-L4DrJ-0Ga z=x7nZ?L0eC!!rNoaKlWG^|0+s4`?wt?BfA}?P}MaWIlzPI!;dGqh*UNplPH082ug8@P|5z%51ME)x`o8q;#j_wG-JNvQu5PQR9*=U_vex07ZJq1mk*vG?t+|l z=94B%y)u@x8h&2xBHGgZ#%Is4c4G;)WSDa@!7A75dnBfDmpkg?A2yTs+NT2MNRj1tU3-c2$3CeCB$OZf- zXL%kL-0zevYd>f}&*)CGr8RhwH+CE@QIzmCwuo_I=Y@-B*TQ;?&Ma242((c%vZm;z6O-9N8EMT+3sB^ zKzCe5o!<}0m9E{0KGqDK!vuKZe+)G2GAKStH^Go#vt&mFIII2f8Bh8avC2+L>>&l zLh08E``F&By9C*H?&EL5>D7AUX@onnF!DG*gEv2So)SZ|QtDlF?@kI2j^7h9IkPuF z$1#l3M4-pInm_JsO0iIVGqq=Bs&^Bff6qPb?ZpBSR{8E`u1d=LmbKj4zrZ>J(BvOp z-Z%_ev7fO4hfcZJ$T|mU^XW?kv16Su!@Bt|=CuK1oB91!OI1y3nUefWw%oy8rPB2e z_)3Ic1MH0cnz#OC(WMieL*vh{Zmy~j1DzKwEkh*Hy}Zr!$$h(?wznCF29*_vh`5T0 z@9IfWn8g*`^a$GlQ4{ipaTo#&*<BcLNH>cj5&IZ2Ux_r>*k8?3JtPG_XV8w+r zt-*$bt0ujAs}ieDMx)wZ)5J}@|74@^#ORB_O%vUun3%91n`6%rMOntGc;4#{6oQ-# zislNgWt_uVXvuMTLw*SsK~sqQJkWR|izg!X>fta*?W=GZ=*i|s)qrxm{TZSKzVa-e z?L5QEMP!WBOC8BqR^2IrIDu<7oiDecWh$hd7L7ZnJOFN1MUma>rPY+cGS$h}=++$K z)o346$~|1YLa>_JAI5Pt{QM2OC>e|LK*tFK&e~1pNm%m!aU8~ovKcL7RG!KRc-Oay z`XI3w^07Dell)>jFkrL9-z4J(UPE5Ce+o;?{4(I<6ZCPve7t9rC=fBQBdOl@%C*qa z{p!G+d$&XhA<@9C`{nfW#zkt~fj8Q)9d_O%j- z&Aco*wILaidXu+|LCw)n8DmkXO|^WS%o(B@Uij=Q*kmWngDg_yC=E5QW~ffuMw_26 zK_=}=&m$iUaKNPH(O^Av(h+*^i6wP=z%64_4Op-#Wxx+A4<7yKI{0d-x8S}7R$bF( z{%D$a75plev9f$C7RAqpd=lu;@cxWU$MFGA85_c(HmP5mxrRNnA7^z|L#`b>$-SY= zp6Z5uVx7Y={VF!2>%g?ZOGb$##O9k~UZH-tfI2ND8fO%8y36ULGyLJDx%u z1@L$JMDfc_!#Mp+kJ5p57wo?6ln)Hkc~14zlGf|ZK7n_>4kau&PV-D~meaUs^W$p! zctvx^o8^_%tQaaQrzLhAhPq5NF=N1^D@-YFxcYO!@14d^Hb1ET-NJ`5GBesb&sJ|q zN;_9F+s3*_D97e5$)>lwKIt`-4&pRI**aI|KlGf-L2Lmv9}*j{>)CywCyPo6%chx| zEj57Dz-1;_`C_D(M$Rv88i{18o7Dc$MC<-QnAr%FH$rqJgV%t7uB$bd&L4`2t}vij z;Ofr@e|Bm2H}@KzWDS0Y?ShK!4;QIv9k-oB1J1b#^*++gN z>N_p2*nbxVY5)fpk`;x^S#Ww*FV=CVdE4Pmef$eq4^ehpvbdu$Fk1S8>#XKj(h-8= zITFLvq2EIv0}0e?0jVw)gyzL>BY*icX86R@i?3*s(9A)RS^v4*r&A!C9~s9BkLw+2 z9o+re7x6e$d`I*NyWESjvI=faxa@>dP^*T=I=Roong&kvf)aE-sa1=-X3`*n(8sgY&OEpMWRcz_AjpYFc0pakSBD4*M$9HQ(k6Cx4&0`ix}R-sf2QqztXPGM z`pA?y1|1BXRwp|qh~NrGJJln#BU?gdtAukTT$=OP79V>TM4xhVeSNM+P|vCV(MPwoq7UWcLAp`l*oNrwHq(^FHBW0jbY!KlVz!&H$*l9Aa-JD%kWxBg z`bFhLB89f2oN}1GUm`tS9kb);PaM`5R5y`cVB0yB?5=4Vk$2vH9{$u~-?CdI?0J-v z6$L5m#k$rVaeGjgSyq6I2oN-4=|T%1xiby+8y!)XcSkCd=ojYFH!Hl;M=iMPu(XcA z3Q8voyVTPEI)EFERbOX1QmSgF9M{)>)0iZic~gb`YLqW;h)$!oe{1ElUF(~y{3@X0 zx{f5%(IloM@6~=fg9n;WaFXd6vekzAlYa1aFDO?-XV&jpHTW1wdS@f5qlU6+f@zbo zn;TRTKpA$GqT2a4Gii;oi9Gf9lQcsoP5>o-7J4v~DM+^(QjfIpP-JY0pyzP(*H-=C zR)=uK5mDOvcLQ{dLgvcFr4&NqGEi03RbyzZKNy)et3gYNEr&RK#`^bsXyIpuEv(dH zmv~v%vqOfN|O36ImM}S%!Z>y8HnumHq!NJyjhuZCcVYmKrPEhRAl}l98 z_K)GyK0ndN@_|A?{HqAF;aF3CHlex&wVq_01>IhX)eFrzaU!s1J#9l9z_u6)&g*?& z7sIT@kOh+VD_FL%6%`2*qUloLrZ>by;`!)pSjPi8G-4ty&h}L8MBAySh%(mZO*`dP z^w#|qqWzw!br(qsBoZb5JeN!F5G6PQaPk`E*ArCgb1ABQ@tb${=IlxD>*ZheqT6j9 zvO6VilYV@CpyQ#8;nC;qmX!@T^H0-N0d~~Eo;A9qHEc` z9EngubAxP3=1~ug`~5W&j5>*ekK9lKn4YimS(^3VMOOA?)6u>l_1K^ zRk72`FWN_2rggGn_k$hn)}qD(mVa@BQXHqDuj`#vh}|#`*6q~v@5`sWboyGh7kccl zza=-h7m#lZvVqr_A-RgNgBdFIX()STG-rs1hjqhsf0 zNIn<6z2ka1qMM~_pUrpCy?;t;CtnZ7%oO=v+P|j;v^V}t^;Olq=J4VI^qzw!g?UdY zD=ee(eGY-@v+M|`kSnioBp;KQ@JeC-;y#9JKBrd!?2g7V94w^Rzd@Y*fp7jXt4tdf zjgsf&TmgC=C+X#942Srg95%WSBv+dr`~=G_j)0iGxcyHn`ob7XS?Y?CuiNBO!@1q6 zRq};Gq+(EjX%3;(juOoke$*gW$Hp) zv~IVJd?V8E{-HVc(paqD>(;Z8vArH^-bRZfy5l@*Fuk-pRX*xeW_`0cd`xS=?bOyv z-@*xw{AI8|v`2ZfLU=ML^%)gNKleROWY0}^QX9r~ zos+cAH*m=)2Ks^S3`IntjdSm5v8~%>FLhkcVTAt1ywrI()KF)^NN5()8ygvwwVPZL zkN7mN9gOBDX6au8gVC{9Ga_s%9f2V<@C5tqJ^i&Lol5^;FVv~~ml3UOQ6947Z&nxK zz0PY28WUY#q6i;bxq|0pW~B4}Hnqtzifl?fTk;6r@!<8h_@Vavp8DV}?yg^PP_1`- zF~>)QHiL3z=`U#eI>cY82K z0Y|WPigL&M8S%l1&FVIU;WJfv0 zNLdTfR#=7x*wq=$OOC+J$JME4RqltdybQ4U;JJXw*@gcO)fdb9eO?rd@i#*Ev6>~o zey`N~?nH}d{?!=(p}X=`u;sZQ#UG7M%$O0p zS-#mzXpQg=Xytggw~l>4;?>HhRX%Cc0i+!B%ZuV$ZS3g?O*K?Aw8pAaLtv5AG(PbW zIXN1cUbJuB`OWDsR<~36HQg2dvf6fR6ftW>)b!Jm-f}JniJ#SU&}?X`GGCd(_zw4l zYO%%X6f+c=()78(L4t83cimKWvuh%90X!jm8c@vnT{V0& zp(ZWAVtn~`Z1wyz_Q|Ax4aM8ye)rE}ctbx8h8~h2yCh@{P$v8o{0sBCU$19Tr*byH zz@qrNXE+pP2$zV+F146@bUILY_vA)<(2w~3gX{1vuWGlITsNla8=>B;0}<{pD|Od$X_;?y=1O!Vo_`!1 z9G*7p`nW3wm&&g;wUH73O-j)Ki_=B`!S%2?gN1a9dCxZu5(t<=XDH}ED?_{i(uv~j7)DM;Sv7k4bYlttUVB4C#R12mL@z?A1-*6TSN0_8mUD|u~ z1Q4K&BO?5NFZ9d?O=%^-{)PQ$;*Y$O1OA?!@O8m0(>TLVmcGmH?Fb-*%Z_gURS}7- zdIr|(Mk^V^Sm*Qh>e1kgJnHwzeeV*z@kD~`6HM0ps72(frnScJuuw#;L0;?Dy-Kog z+q(DZZt_wqr!HrBFnTX%$Dx}D=?zhoMdx1Kq4-@Eb&w#YaO&9j?-HT(Z8G~+p#`J! znr=V8jUwi!@j03nkXads`g`qmh{|BAeYIEIbap}mue;A32(ue)8t0=ztiwO2cMsZ| z3+~NGEWXs2_HYn8Eb^}+J?tD+Q~#5}w2^g}{KVBnKS4>F3yarTqBK$8P!I;_BpU3?L4F04+lD2_<_i0t z3=CxbSubvD$Ad%e3`Z+`vFEo>w8=YhRe=8P8Tzzf4Y*01= zG6zt{Gl}idK9(H(r$?)cZf(6CCT`Lsleu~fSARD6s@hr%>yW-t)1d&M5^EP;D@lBu z#$TJGNc@VIJx=Mx_la{DhNNTl(r74;-D@H>y26kmh8sQ~T=O4TwyBnhvp+}l#g_=w zLpdD8CiGFs(Rzi!9CN{~&=17hKdb>`iA49jnk2^V6S_1}oQHJ`&A|cLM0Z}+B{V_2 znsD3@oO5mR0#m&hwQ5>Dl3osx6On@ytQ?M!=5?DX#+k-S8X6dP)Iii*;W2#+yD;eT z=+O!J!;8! zc1NEupi?g}Xn%oN58&O7)L-TdI1&74Q=akMjN$1p!rQu}zG}`%N9qrwS-f^{i3i8%V$Rr;-mcEr zQ{EcRa53)y=aFOeSJg_vQ=I%g$fG^1je9?vWi}U3-Rvznz~vmdCWz9w5e+aJO8V{(pL~)*Xho;xR>Z#G&&Cvv3=AtT6?NRVyPtwtOks@k?>ZxM?kTKz zHT>3dxxz!0Lo_vJA!S!UTiv1;6X%t0c;6P3cE-*|GA^ER?pB-R<`raSmfGbmxgFzU zomy_aUEOe^`A&6+XtySljj3kH(@wt=W*z&!+6f1|Nln=iNp8A&KXeb16l}wb+Gz2Q z99`^Vn0a+c4PjiE&ODy1bYe<$7`WAzxhO~fop*k6q9>B&wodQf)N_dteelU3a0<&4b^<^RkKm8W_-cX^{*W0x#MIbB*ofDdf2_JNgb zV4-4Qwp)xRSiPwbFH%-<36c(z(KtW(%sVf!ur5w<@1X)+yycARBs=x|B~gY`)5EWW zOOf@p^V~hGAe@+73GHtCMB%)S{c1{h4&a!olK44tG>&>7GnPRdx|d5hUD45VYGFvn z_56S&tIC4KP@B9PEn`M`j(c1=d2BvzuRdp~VzI;YkyA=$rPY+R#$@ZgsV{hxBJl&> zSF}9S=kdy9a#&S*OL4@{2Ir>B=W@nzrgZMy=0RbgsS9PP-v^@3dP7)jHhzpKG21Qrub zEtbCXA)byy=?VtolqW6@Q9r+kM-bZ{LWYa-Ynao+BMRD^2DLiHUZaTWB<4<3>tW<* zD=i22q0pya92a-hP zhr-oDl$g@*zDiEHzV^4t#1GMvxR#XvTwjl(!-R5u9>-K3x8xA zTYsTmFH~#%QkY~`y3Mfr2215e5Awvd82vbN7l*}?9ig#UPB8qr1mS#@#eqcoqf256 zdnWZqia(Dpr5lpb!Xz3HE_htc(JO&0n^9#2Mc<88?sSHr2`ksI)$p5>7MRPesAeKKZsG(}~>5^{FigX6Njocgg$Lwbm;t!tL;+mJyU9P;CzG8m&$Hc!R zL`|bO34Ym0>v-E1`}XQvXOyvn)9SeJUbh;<_0hFz_b$ZiBbvY`a__bD(p`)}Sr4lZ zRJeCE=3$uh6Z04~Vh=;zV+dAJT?zdO%O8DEsEm4np*2|Ue0Gz1Gh}&sYy9$JI{UG2 zKY=Z3{(P4xMGNS{0k*G#egVlZiC8|FY2&2;^+?4R$Q#v&ldMlpsQnqy=$x)Vuo%S- z54%7diAQqu2bZ|_V;@;&Yjv+R-KBVP+-U~a8&E%Xj;2+a_t3-KigJQf|!(xaRjzu6UraGTu@2HT29t63j)6a3LdJbX zDi4<&M5+J+8~N$(6YkgN`lod6lCPAW8qV&W(Qp#^HO^LC(6^|*8TWbWLA&gWH1g)Y z+2@esi{f5`lz@BWApdgnP0Y7WY~^ftHOz}H&Ck@R*KD_SWIZdt3rBEIy4(OGV0kEE z32KK1T!cbUx-CyK48;=%;>2G??o-OTdfa6>>5B4XlnHtk>V0n$D``CS?R&HS-b<03 zn?>>yJDV=G>L%^w9L zX)ca@;}&$8jy^2HyQk#a*zc;a(QONG6cVv5(?5_R@23qus5VBGf#*E^{;HenVGPnQ)t`!UoO@A;5RR_;yWE8DeW#vq2&=J z70zRjCr!M8Qtzo~cU|bd6-6gW4|Mh6zLybvvDQ81K=`;m%cc#N!a7P9at88FQ9_+r zqK3I(n*wbHwoEdrK;iIa#4!ChMY?3%v|59sI@?Ah6+si0rWA;bc;vO}g9{IvB7Dl# zoY!PgjIq)=CAwezwePINZ51uANB$r9sJ#bv9=c46rhKHVF^-}5vgh{a`!=h_nnG2IPakIXomLy1cDQVZP$#5s< z-Kt<(DT6n{J8$zQctiV&xIn%Bc3+&pQ}?ZZN(`{6(Fbo7oY^J96&K|zOD3W!`6qku zeckCgVO*C1iw>aw#TCr;ns1`Uc8?bC<Kd+o>n7tlG3Q2h=E+Dqmz3=n4AnP9$ zgnUIbYE-1ZvuC5I+pOR;n>z-T$tQBe-h@$*^d5C-gf0Bbw}UoPjC@^9`Z85omcj-> zm@i0^7NPHcpP1#g!3W0gsQ-=mO+H$Hke(x7pfq#?gS^^&D^e+Vp_PlfZ+Z8ORzDlJ{9c|-;5#U;73%zJb+%3Vb!db9$Z@%YU#M6$pr^!C zv7>x>mQxjKi|CFXgduBYUO{|KUKxu&A!YbdUJmFXvBW_g>jD_)*G&>H2Q3fM; z1MF*o5w-pqx8fLFdPAd4}7_&K2)F{g74F7ZchOFc_Hk zp!koH?6Yht$kRA)#>+zWWY7n zT$f>~dqW|91l2|L;3j;o*OW^oZSTP@=Dl(6D|IGD1e@MTtHn*J&KXWbzwO(Eb z2;S!j+_Ew1UG>!AO3#SkNd5`x4VltQtC-SA>!A^I-zSwu>~MB8Q*?c$*TOaMki9B= zMtt!rKiV^T@+me4m8-&`J-D&NjRG7C&b`frm2z~tJp?hiPa)6COUT?nR&SRJISA-xFM$B<(R&V4h zj-%B5<4^C+GdqHYtDG+a@(v!tRs;gllOnmq0r%I^&lU_p9J=wzht}IW=OZQBVoz+M zwESF_=h}#7`$Jb(?fVhWcOa1-ZKbC+uFPC1{)6!Q>;$~(1?vuQ(G%+QtG|h86n{(k z9rt#WHykMFY={HWHW-&{moRd zSOj?eW=CvkLk)+q@GcKgEWlQ~N2`ej%EEPBI9ISz+!GJ0NDq0)o5V?c%2Jjf%b8LV z1K;Mz9o#!M)y2?uj?_l{)6?fK|1>y)agRQ$$ITiOy&!;NG?m)k^zPA}>^#j_YDb3u zT|cjOYsq~J3iUWdxqA&i(Z{^T#Y%8;0a`5~J5?BVgZ8}RPr|24R`%-VDMn$C*yW3h zBa<+{e#j#K>t~o&Gy}Q?ceuvkkWYR_q2f;yRe?smEO~)P%ON_v;3D``;xYpTkwxu6&Z;1FCazIZ?^zWygCrBe|5T zK&+<|g&N~3qrq-OS-{o#$57 zaFJm(Z!#-%+*HK=XSWt(WEA}|1@a`Ylat){M|Lhv_87O$Ve>idv+(b?SdTg8SBqIK zw$%?uUSOqg&3XwAoF*=(YwCkcA5l7+kSAHDIZj=hBFj|j>RsfYfkKD51e@|0(CgEC zAoM4BvAXWli$Sulbwdla)F^T^W76Our8#5(P?S-4@84$YUzl?^5Dt{lZGw6e^fw{S z^rYYId`ILY?C;=Op%8q``knPOK&=sqD(HTSpn1y-yT0qkKBI9$C)Rd@8-s(9^&geu9__5o&6B1Sny zJ>MVcJgk$0o=Pt(;*oa;keS5)cohz9ZnAzl&8_J#yxG#y<1{;cU}uAroe+>!rMaKg z{WPBSJaTG|DSctH|8!@Tbf*UE>w$mx-$VmGWc&UL7#G|0VH}Kg>Ezm|xRE~eaW2yL zPfg+6DDFQ(Nr3=sb0<7nIaEk>ARYB?ZgrTI>;}w)e3RS=ZJwW z+C}3-p@W-!XX=}s$S1i)BANo?0Q1unCFkPp-sW?YNg1p9(K$x{Q)tabj7SP4Ub&pN zFl%m)6VHFL1}+*H-Y>Xvi&ki3ToP#Vq6D*AwxT;QvJ#Z^Vpk$eC}8dE9JZ7vLPkwL zc9v|Het2-KJgP+m1da(gI1-o9DUW(w-ENbc+vbpEGi>W0mJ-*i5CrHEbY)XF(w;QM z^4et*?W4nF8jjYh3{GAoj>qLdh^L&bIV(|gH}7~Fu{fG~jiQHZ(Q6NO)g{hmqpBNU zl#q|rL)3`B^>YSoJ+az@t!I{6NNM69_S3E?Z|}Z-$EZ`P!b1qRn|3pNJwMON4Hq!_ zA-@8{v5b}YrHsrxz$SiV|I9Y#?NaV>Z#idE6FZc(N4LKjBWf<_zurU$)Zn$tv1x9h zD+FHb?0ly=GHt29xg5D&U6h3n`~`x3or*m-dCW}9e9T%G0(~F$zRKaIQfSv|-U%kJ zNbw&PkqTqkrdx{*{OACl@hsx!=+<&T%n;A!oGeoHypMMdHM=|fp?@QM64fdwir#{UoWo300jNB7m zdJN5+pH!T$xM}7(6WtS$SrlL!(&}WVPP5`LV>V^GBVqUEmn?dq_P@q+GL{ebH7nd| zdYNfKRwe_Nhq4x2b*Uohkk&YH$E(-}`dZKQ;)HHprpk}Jbvr_}Pj9kNO*(&fptn|! zwt4v+O|#tERf2vOH&wB96;gFtgxa=|m>e!5N56`DTs4SHdEe_1SI<7l_i*_{+3{xU zYODB3dEztts+hO3bAv#=CVHGF%goH>P8EmwzTE)8(2hsyxzJfWn>#~VM-~{#4ws4J znG7jh{h8o8r()trgnG_Y{PKDkA+4ntDUoC)2!5tY{bNvs*>;Fo(^r5={19J2K+}k=(YtJx_}dekcZ^aO9u4_SlVZKoZ-o`t z4T+hJB zKU7vYSESu5AG#++s(VD&h$hIfjm>zj%mE-a>W91Hd3nr$&m=YMm2?44e7YIXKsIM>LP-42#yAkD=i27y?O->;vE)UsVLYV)IFfLFghyrab z3tL%ZJMWQOQ8?O6peg@VZA$8sQXcSwR>qyL_ba1bnD4W$?kSEmjJ# zWdD=;q22P(o>SqG4{lNqPNbam_|RFL$2j(3SR?q@g2>K|7)M4Sg@=R9!Qz4uTeldu zafu2XYJDw9CT+v18#ys0L$eF9^Ia0O`B_=2X#kISsM~4vXawdP_?$&@28z0o(T#*9 zCENuy`)o;R&)C-*F*StJ;90|+Pir6YL?|!8Uzph)nYdAMD$6hF4CkHkmf~aidIFdG z1Rv?0y$GF{<(#6Zd~NUMc8Rt|#=Dj9hM#N@eKK{X$H~OcP4zrUAo5X1XLRcM;(%Gv zI#iY-)$S#=Y>-(IxWERUd2Z6Z13acv zjne5n-jen%S3VNN(h5~Bh6}*8HvK%TD~BdKFVH`_({8p+Ep4Wa9h~*GGFipm{nxG- zC2M*=ZR-bLvAR;l~Cy2aW8IRkzl1Gc-R&Tausk(NnSd%-g;RcvX$ zvK|W$eupRb62Dn(GvpRK)hcEyY?TsLZgkL1m2`JV-y%ozHs)<`&Xp3_Z<1}lthE)` z;j>qhCJ*g%^I_GT*5tRLW>MS`i7kz(TqxtNlnadYp2V}7bJ!{BOvpbw8m;nk$Ds}7 zyBjhW8ii0IT{XbN3l{2y`@5rjWqs_I0cKoto%|9DvJ*11R4HW0n_(*Yh@K-x{(Slp zqa`#uI=1|Al?Y1V6Cp2Q+xNX~+Q>NBb??0oZ8b5~tWe}_3ElPJCJ>GI2scjDn4rzF zDGZU_ojgSdmb)^BE;4!H@b@EBmulPWQ$VZxFV~nPoZIaEamohYEcN{= z?3pIasuKBfwx_eQesVq$-tDh2NEMw`g%!dTO5kdi`#nt2wwV?_%l20De%Wi{*;Foj zl`Bo!oXPuJgJQA9OO=SXDky~LBAF5LqADBJtUAe&qHZXya+@mdT-rINPChFMhCYwP z>jx+T2_yOea#d&RGYNN3j4KsqneRK9-SDnSZ5m|=Vhiam<7FZ)Sc>I)cH-H3Ta)RK z)OQ`17~kfWQ$Xn6v-OgZ5|bmWvn(MQ9-@lofwN5izp<~TDRx?$2)yQNqML;Aa@8UR zJ-KGJ7!>n)MA@RzjerCBKXdT!5fifGt04yO=2#tFB=T`ETF7@X@q3>} zn^5&6PnL!EzfOa3R7K(_eoC1r5>Hhoe#TE-CMx3TrzuaJ!KWzK0z9sy|ZU!;7XOmibVx&6PPY73fV(`k&smQ-B+lPN6gJ*VHZ~Z5X$`K#pD^K)vmpM~h zFgGHP)CRy))?ttv?A}z1bM_zFLG6#F*0tR8egYGVT(XDl&+faKSm6;m_dLcR|F5fa z4`=#+<9{a!Ng^sn2R_JQDU|c4bZ}OroaYdd(?{kor+1N@DPbg*oXT04Y_sJ!IY*eo zwsM-oW*Bpr@teNa@At>=dR^Cc?YegDkL}*;^|~MT^LgLMlDK&CscMHFk@GuOhplcl zdCJZ2V)5sAfCjbH%3BpZ$ulcyiPv%2rY_2Nk1wYEqjA)xqmDTF_8Lp1aO*mlaZ!LEs zAP#b|BE&H0WkG>Q&1Q>WHhD>2$VRbQT{>7cSa$k;2GG{HTz*Vl_&LWwSJW{%B$wc# z#20=HBl!I3^q4wyVYd49RK=&ae$G?feT2T9BLZ9kPD^o|TtDR4tB)p5TMaT?!e#p;bMUj!>!zCl??YQH7gGW>l#r9et{1WOyc_{s_mt_wZAf zm_aEE=;rzfdVP@dI!1VaMr=zhZlCv!GDCGa?{t? z*h8CEMKsj>KIXx=5Js-#5eZX`2ogC0X#>e;PPummi!DaD7&!2IY907c6Q}v8-OPdi z8YEix4`HJmO8cr3kl;W?kY!g@maKEa)$%1d)sh%zx{!wX^-x5U-u{AFSFW08i5Z19 z(%S9$b#wb;sz|&Nog3g=*w3_phy}FKkA_b%unO7PuW7eVBu$LNw6~;#KeNMezw>@y z=&;wWuQ?Wes8UF~c+|nzQcHOEl`F0xHR2>TsRllld{70Tgt4E#Agk_4byc}OX`$QE zzwV}sAV1c2zXgkMN9t;d9Kt`tU&F7m@qq4Lx|V8JgeFeWJyS>1Cb)B}hr!8*K8&zOw&4q*%p%C@G&=0Jb zM0_}DMR}$#`EB(d2KSuS12*i_x=j1j6V~P?X2^zf;ow|M0)?vixmb7JLB$MEVtn&H z7m)7@(Ak{28_}Ud^H@;FtrHG?L5FEMy*F8D0H$w5uHV(9Y3V$BP04BE!XHM$AoN)j zO5|`)uDVEV{h9j(mL3=`+PzNP%{S_2L;<7@UU=H&AVG&JFp z>h{5*n%9Vh`$iT{D4tid?nHYh&Xg;{eBDV21<4>Q{QJ};)1qvp`Txj#4^!>-^XK6= zm>^}kiox~hdBgPzB^cc&wf;7%t039i>fRTOzZ6lz`0)bSvQW{Ibf+@xeo6hBPt#*L zE=VD?XnDzDnwTDKW{*7rDHLA4Tv+o8PJY9|qE~XcidMEM(nUJ8e1ZwAe2dFDI8^`B z*mbcvampPFUaX-xE2g3qm9p1s-4y5@?B#u$18b$(z( zE3r-{eB)`1i?(~u9kV6A+N>;4G-D7|GBb3AF|?>ZI1sKb|NXzt_3p}^xTgx9t%3CZ zIL(?LG;+W|3S~Sh#@xod{U`lK@~?`oDtO0MeOa>dNiAWmR}GYiotCYq(qqc1$e@~< zANvtcTgC>$`(5@@QmdJABGJD8vqHmZOqr|M6lTaFg#)UkC z0Q=C~>Gf4%e_fk(%kiv$&oltye9sWZp42>p$uM8GF>G8e6>#8lXt5LIyzb7sG}Qv1 zy+3045Lkh!dUd#pt>%Aqczah-@flU}H6|h?F*B=$u5(czh)ot>oJsx}_PBVZ7ARR5@=Fchb9}B^<3Lt&B|h*q}D?r_~JD$7?(amwXpa=n4GXVx8CBQ$I98DRZ>X1y7I zVS1=zGn0h-gLr37ggp)i>_#Y=4-h$VsFoYdHuv$u$QT7Bboc3sm^N!hS_w%M@mXDE z`o5vG?q=+r8-(g(EszFkrTBB%9e>n%AE)TWS)HLEL7c~A7>2Vqr|JDc2<@s@MaKZ9 zhGNgb+ehtudKkXhLg+_T^+M>oRf@6piiC{Tic?-@&hDHE(W2EgTz_$I^F5AH=E|d( zo_kW85zdBcO=Sn86R^Ww2tdUc5-$H}!2NxW0`(Dw^QRhkZpMrxUPDH&WJiij$rj9x3A zUpE%5H3Q_HLW*7{H~nU%-I^`<^`-lzk00vChh==XN~Xu!3x%Whk7Vq}l9EIsWG}Jr zYyHz*b8C=G-y-Slb+B^XV6b?iL#tE?XGqzy;v$1-MuQ)(-;fjk{x^5FUMRvL0(1@D@T%5}Tm%z-r?WT+A1RHp8A?DVJ0Qs0^$!q&DH~ZDiJ*EY& z6gGdJW1F-y^wTNa>nnbx>$d6A+&h-6`_IlDr9Tm)5zM4ULq|V|qoK?>&=qi)`P_4@ z>Ti4Hxf{5bK~vbyGq~STf$iY-jb3IG5mWr%hr^-ZY6~t7`Vin^VJ%-_EMOzx>7`UA zaPzD{Jb8U!tu11|KTe|*p)^*zQ{z7AlLGzXelC>0u!%0nPMDW?yDH-HKb4(N5vS*4 z=!2@qLab89J#&2uJrMT`eQ1%LHywVRL6Re!`yVRZlbY@*z=xdVFM6s+TxH+j)fzC=@3O5c)a9ljeigGL!;!GZ@Kij2g zJ^<=~!jBJ!;N6JH5ffd~Gu}qzyKti!_19Rm3D zb%yiKvh%{Hr}9-I^zIf2U%goPMo^BwH1WmeCWGt{!n4Z`1pVyV-Rbvyag(C&Vak%+ zbEB#oaW_seGM=8$(Il;%DyXk)uZf}7Q*4Jh&7WnjUL(WH&BFr=v^1Y^pzF-&O%6qs z@6)-q0F16Axo>H86mLqBQQW1cdno6Y^4AxOh3q0 zTav{0*RFd^lQ2kQ@rq!3*G^%-t9Oy@0pliru0Cb^`HE4P6w!{#&@8~zS&=wUNIly2 zh%J16Nw}M_fcg3ZWwlgZ~erS`A@B z^M6&VFMhMx-}4~f4YjN%>&#K+Ue7?+babHI)J`O{`5n;vxXIHE{tkQG!RX5~2Nw5C zK`zeb6~;Ezq);6zl|42?OvOBt)|4zr9Aa*1J6?{-m=2voH|A#M8i_4x!)+=DOT@C+ zpO?Sk?itkgU;DRoS^HsqFfz_355_*Rn(F0S7*+NoWKYST=Gmb)(i!?K414Fwh*5Sa&_nt2KxpvpW~D%bs+v@#ya`8?u5 zo3Hw`X$Uje2#?g>`BPWR#79ui>|J@RM|2Ez_|Lip+P(E(Kc z5TjM_+ms(}?|N-Mfai|JBj62wI=x9H`M$#z{VTMbMP^KKjU(ohPb*Nt zHFVPZ&()Hia4Yn`(ke3LHUqAZEWMKZW z<>;EwK5x5!N!`DLo2*9D33oW8Um@&|xrXiBSDE?exsK?Q{*W6TAL*I@9`YWk7mzwt zC8j7)Re$Ir)8Dy}Gr18Z#tYMySb1U)BsN%X|GhRjJJTn?AV{=2fQw-@@5vi@?;X@r zpHpe6_@z@RO3Cc4f&#yPROQ9+gTg+l-6G@ZPa3VG`<&#*?}JC)q6FqV+gEDy$Yx^O z@h5F2xS__h1^?$eO5k}zY#g7meOcWYWu+=F+ed(TX|KV)(KMpUNl!pbW42uMl^G?k zjAe1q6OOQye7?7k3exhLyX2A)!%ytxdb_7|dY4Zu#L!8v9{46WofNTVsYF9W^J{y} zWdg+4$z-u?c40|PtSp^OyQVPH^iNb!`@MiSPK=)98n|2o_)kDPAND9iJ8(#GZ2jkR zP{H&iZK31%0EZ8a#y>_*`Z2FcYnutVgDu{tXxM#Vn9MuG@^>Z;8Nf}wIwF$2PQuC| zI|tJdQ&d+&pt06<;=JwMLIb(szvB^OWo{fwk5-u<|2gd@z3&9I!1 z1{+r$^r>})(A>m);%8f9(QevQ3svTfJIx{_cY8XjS*$Vff@nnKADLKM4d*{#vt@t= znYyC!{Zy%8Jse@!XmRX@C&C;&d9K}>l{Q(%{*N`-IwoD{!z?yZR-H_=Fq8no-_Mb- zfRg;^4AJalgpc1awy}o!F&(cV?uMSa-Bp$9?VqvCmKu{aT*;7m=KWuOZDrQ+#dfA{ zH&Ybuq^u;fv;$4-dK+<7g7WMJ-WB_fs#C)g;&qPTmhqMCm(sE-H8pINdY2j{^!7zi z7R}dP^bOrwhjW55g&Cud|LXd}d;zuNoCdm1=0)Vkvwds3b%(7Hx`W8D*gk6M0|e6b zB>B&gywQWF*AGw9gC#*4@xvj$6P$|aOz84eaQG|EyIggD(Lt+?{v%dXH{-jgph+dv zXwVGpnaV*9`Z6`NoVXKnMY#T0Ws?k#)kNr->fG<#Xae_?VsH;s?Om%5po5gLpG@uv zAyuD!uGqB_>jXEixdFB8P*qUB_}bo3u%<9I1YY;W{tAAbC89qx(Q6wZr0fHOvH+ zsa|FuB3uOZyso&!!Z4^nmx%Pt?_!$i2iauf5WD<=}SLL?aM<6Qm5W2&w#e z(C?Yq3|49G>-B0$US{~X@om%>Hvr1g9+7hqIFuEuYu27f?_Qh ziny*h7?D#THl0}+QGaVW+|a1`#|z~TTDgYH;Xu2Ex?wxL2@q$+@QH*W%sW_r5>`NA zl3^)~#E834!rBKXq>S3T4e9J##C4WWuki_9a=qAfrA=%ZnVY;(96^q!i{|x0g2seKH#Zg1m$)ae<#Jw3w%H|}k2wfUBHyHQ06uV&YYrw0fWQUw+Ef;8(ZYo-r*t zlUL4bbqzbv;O;jz@_Gh~iG85VWDH)9FZT^!?LvIdToXz>GdxD4sg zos%xScDM-;6cYz9)ygxLrR&+O?C2dnTeg+gdfr~)!wp7W-d^->jI#Cv_tMC{{qF4q48Q=PJ`l5_f!&a}^ z=U%j@miqNl^4i6-5RIc(`nwUJqq8PkqwsDsJp@P|=sO|4PQ49dUeKwj31qIlE#W7$B@$1^O3Z>oI-oxg}|4Nnu6%tRkYahq0E-&jX{~zRs_Wm<+c%m z*It*)0oRUYsWd*U@{TM22a1h>>evp@en1PcWs^()Ee|P{?U$;~-eTDsuo8}pza*TF z^uFU|GT)_{otVW3NYj(M(XXc)(L9pI?=Tjb`)_{Z>AEG(p)DPCZ#+*{PN~K;ZyZ!R z@Jo;)&{BLb>?B3NqGV9Lct4_C>}J(3x4Vw&x_T(QJ}WbGq5YWB*1Oh*-)Ry@zUY^_ zdYQymuU9$B3zd#>U+7)L!@`$s61&nCLW1tL)}O^~ssUzkiT0USnOse?7m%24SKN$U z5e$Ndf(=O{r$UXd;NV5med=Be*AF8pq20o4RDU#I+WB2wh zlKa$s?__a<2Cu33i_CTnu&?l`a*#4(0dfAK?4>YM2g9+||K6?7oJw7KP_&58jA@~Q zb-1?x=}q5BFRms4c|y?o)GD~pVXS07+NvG~--o{B9BmgJZnq}H1`Dc_ARgt)k^y*! z2?49G`S|Vp8qM}g4i<(!kCWFc+Y(M`{s~(XuweDKi&F%_#TZFR&WGKoL<~fK(nT-b zu&)}>&WLaTGTB(t?X9l`gaZ;D&SrN10t4E3XrcD(etMJUX~}{;40rz-&NZYpWvyPmy+gR1UyUzOwVOkq*vVLWxM?y5*TN#T7!Z{5oy)rmD zr}VWIZfSe)hUhQRP=6%-nMapM>sXy{8gwBwnRHoV+oxvHP3VqvD9#X=jb=y%<3RcZovWufr4jbXK#{twzJ=kbe}F2gDYDJiNOtQgFX zYZ{pD<&Ybt-~5{u+^nG-JXbFNw5{1x;k0uXk!<}cGkFnf990;s^YMuV&}j8^C<)St;DH_BJ?eqGxTv_r zGJY3Agw&>)PHC~^u@c`eJW1=u6V|e+^5_L#ZxQ`~Ip+$Lfoff4n=y?4-NQhv2P>`iw zZI0rXCh|vPa2)JDK)mZkJ5&@f(F!d!LZM^J?0rTBlU;pN?kxv1Q$TPrTy}3{Ibw--J8odH+kCGKYmB0g8T_fIefV7 zG!>m97PU5BzE+Wv7Sp7^#&=E_kirEi&30viU9jCKmkCb^hIIR_Zn!ceQs5UbLf1)P z^*00jn4g||dFr1Nj{f~_T*DQYT0kC@vCONVhET&U&g+9)(Qkl2$zQ+`gdpEu-m+x# z1mk1)gv4-H@Si4Ne+fo~Nk7NRY7)Q@jXrrotSfUgmq}4~z%ij4qFH)yEn~Q$J8ms- zD`oT3H2W%pV{PMAE_cy$rs$)zV~OVpclY}ln>n8w@86Q%yj=LZGz~>5RTsmefX#6u zaF45&58g^F!q4ceWUrIi_hhqkl9QwUs|raWZa~rNyI3LR`Gc{dXt9m>+43jsEY{WofXq|ev2<{Mtz{U3{8LR7dbYuL!7pXau7PZ{61zNP zK3&RblXY?S>k*eR<#pRSH%|zWAFJI4fBKa(xEjJ9zm_54@ohfUht;j|?_RL=g;}gd!a*6<4I?PSIXmCYS zmt1Zyu^@x`Ch0A&?d~y9D7;sxf~fyA-&^3}p+mpzy9(3YmMugvAD}g27X{Bzrf+sm z;&0Cei#z4?!KEOUr)>#zXZr1p74^b&t>9PmM~}mwx(uwH$YZ~6g+_$(ySCP)_R@#t zat@>J4m9S>%%jSrY55T(wIa!ic*YIsztoqW#evM8e3-wKy8RnQxPBi3V zSa~YB@PUR|@k-5j68NNw$xVxQXxsn1>NF&6R)^;9h$sFYtY&y@Mimt3+_7BtkbjR# zaoVg%PBfaNAfuFSih8}R9e_ka+{V`*R`Q{a*jJe{8x-0f7z*lo732<@*r{%CoCp3c z>;NBy9f-M2a>UzngI}I$+V!i?O%N*2R|Y&M6^h|vep3iCXA8npm#27iC6@g+SGpZW z0-sm3&<()fRDJ`X-^njlTZOc=V>#cs|gQd^XT{nWM#i zU3OUVcnRp*6Z>Iry-GgonCku{hsSk5;0Jj#x!#OqwwK3;AxYS5Gtf5$okX8@U$=Pw z*?*?XfA4B!}qN7lH)^bR>P9h-D;ID~)QGyOKRiDRY+wg>LHxlX|OPfGC390o^4_LOi&S+lysC z@BO@&S?{c~;FF|%Z0hq8MXaf~*y3J%aIm0&z;@T6PdMXW@0EJ_+F%ztif-&>m=i{v zd*Z6EJop-Xa_ve#*z3A-va|RdV-UG7p+;72-9_PLW=b>msJes;v#zT0r2wh<#?1Ba zgu9R=en@wyGUo(3SdHLA3UXwbv#?50YPoT7KpEt_7Cm?vExy=zpt5Tt$54!99oe{F q(P5y`ZaheZLGAN|e_A6h{w=SSEvyDQgQC`b_9W{MRvlbC{C@y$pr#W5 diff --git a/1ano/isd/quartus-projects/MuxDemo/db/MuxDemo.tmw_info b/1ano/isd/quartus-projects/MuxDemo/db/MuxDemo.tmw_info index d6359ab..11a475e 100644 --- a/1ano/isd/quartus-projects/MuxDemo/db/MuxDemo.tmw_info +++ b/1ano/isd/quartus-projects/MuxDemo/db/MuxDemo.tmw_info @@ -1,7 +1,7 @@ start_full_compilation:s:00:00:12 -start_analysis_synthesis:s:00:00:06-start_full_compilation +start_analysis_synthesis:s:00:00:07-start_full_compilation start_analysis_elaboration:s-start_full_compilation start_fitter:s:00:00:03-start_full_compilation start_assembler:s:00:00:01-start_full_compilation -start_timing_analyzer:s:00:00:02-start_full_compilation +start_timing_analyzer:s:00:00:01-start_full_compilation start_eda_netlist_writer:s:00:00:00-start_full_compilation diff --git a/1ano/isd/quartus-projects/MuxDemo/db/MuxDemo_partition_pins.json b/1ano/isd/quartus-projects/MuxDemo/db/MuxDemo_partition_pins.json index 1d3bfc8..68344d2 100644 --- a/1ano/isd/quartus-projects/MuxDemo/db/MuxDemo_partition_pins.json +++ b/1ano/isd/quartus-projects/MuxDemo/db/MuxDemo_partition_pins.json @@ -4,7 +4,7 @@ "name" : "Top", "pins" : [ { - "name" : "pin_name1", + "name" : "Y", "strict" : false }, { @@ -60,7 +60,7 @@ "strict" : false }, { - "name" : "ze", + "name" : "I1", "strict" : false }, { diff --git a/1ano/isd/quartus-projects/MuxDemo/db/prev_cmp_MuxDemo.qmsg b/1ano/isd/quartus-projects/MuxDemo/db/prev_cmp_MuxDemo.qmsg index ed86d59..b7e1192 100644 --- a/1ano/isd/quartus-projects/MuxDemo/db/prev_cmp_MuxDemo.qmsg +++ b/1ano/isd/quartus-projects/MuxDemo/db/prev_cmp_MuxDemo.qmsg @@ -1,132 +1,132 @@ -{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Design Software" 0 -1 1668776328091 ""} -{ "Info" "IQEXE_START_BANNER_PRODUCT" "Analysis & Synthesis Quartus Prime " "Running Quartus Prime Analysis & Synthesis" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 20.1.1 Build 720 11/11/2020 SJ Lite Edition " "Version 20.1.1 Build 720 11/11/2020 SJ Lite Edition" { } { } 0 0 "%1!s!" 0 0 "Design Software" 0 -1 1668776328091 ""} { "Info" "IQEXE_START_BANNER_TIME" "Fri Nov 18 12:58:47 2022 " "Processing started: Fri Nov 18 12:58:47 2022" { } { } 0 0 "Processing started: %1!s!" 0 0 "Design Software" 0 -1 1668776328091 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1668776328091 ""} -{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_map --read_settings_files=on --write_settings_files=off MuxDemo -c MuxDemo " "Command: quartus_map --read_settings_files=on --write_settings_files=off MuxDemo -c MuxDemo" { } { } 0 0 "Command: %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1668776328092 ""} -{ "Warning" "WQCU_PARALLEL_USER_SHOULD_SPECIFY_NUM_PROC" "" "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." { } { } 0 18236 "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." 0 0 "Analysis & Synthesis" 0 -1 1668776328333 ""} -{ "Info" "IQCU_PARALLEL_AUTODETECT_MULTIPLE_PROCESSORS" "4 4 " "Parallel compilation is enabled and will use 4 of the 4 processors detected" { } { } 0 20030 "Parallel compilation is enabled and will use %1!i! of the %2!i! processors detected" 0 0 "Analysis & Synthesis" 0 -1 1668776328333 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "Mux16_1.bdf 1 1 " "Found 1 design units, including 1 entities, in source file Mux16_1.bdf" { { "Info" "ISGN_ENTITY_NAME" "1 Mux16_1 " "Found entity 1: Mux16_1" { } { { "Mux16_1.bdf" "" { Schematic "/home/tiagorg/repos/uaveiro-leci/1ano/isd/quartus-projects/MuxDemo/Mux16_1.bdf" { } } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1668776338530 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1668776338530 ""} -{ "Info" "ISGN_START_ELABORATION_TOP" "Mux16_1 " "Elaborating entity \"Mux16_1\" for the top level hierarchy" { } { } 0 12127 "Elaborating entity \"%1!s!\" for the top level hierarchy" 0 0 "Analysis & Synthesis" 0 -1 1668776338598 ""} -{ "Warning" "WSGN_SEARCH_FILE" "Mux2_1.bdf 1 1 " "Using design file Mux2_1.bdf, which is not specified as a design file for the current project, but contains definitions for 1 design units and 1 entities in project" { { "Info" "ISGN_ENTITY_NAME" "1 Mux2_1 " "Found entity 1: Mux2_1" { } { { "Mux2_1.bdf" "" { Schematic "/home/tiagorg/repos/uaveiro-leci/1ano/isd/quartus-projects/MuxDemo/Mux2_1.bdf" { } } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1668776338608 ""} } { } 0 12125 "Using design file %1!s!, which is not specified as a design file for the current project, but contains definitions for %2!llu! design units and %3!llu! entities in project" 0 0 "Analysis & Synthesis" 0 -1 1668776338608 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "Mux2_1 Mux2_1:inst14 " "Elaborating entity \"Mux2_1\" for hierarchy \"Mux2_1:inst14\"" { } { { "Mux16_1.bdf" "inst14" { Schematic "/home/tiagorg/repos/uaveiro-leci/1ano/isd/quartus-projects/MuxDemo/Mux16_1.bdf" { { 528 976 1072 624 "inst14" "" } } } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1668776338609 ""} -{ "Info" "ISUTIL_TIMING_DRIVEN_SYNTHESIS_RUNNING" "" "Timing-Driven Synthesis is running" { } { } 0 286030 "Timing-Driven Synthesis is running" 0 0 "Analysis & Synthesis" 0 -1 1668776341082 ""} -{ "Info" "IBPM_HARD_BLOCK_PARTITION_CREATED" "hard_block:auto_generated_inst " "Generating hard_block partition \"hard_block:auto_generated_inst\"" { { "Info" "IBPM_HARD_BLOCK_PARTITION_NODE" "0 0 0 0 0 " "Adding 0 node(s), including 0 DDIO, 0 PLL, 0 transceiver and 0 LCELL" { } { } 0 16011 "Adding %1!d! node(s), including %2!d! DDIO, %3!d! PLL, %4!d! transceiver and %5!d! LCELL" 0 0 "Design Software" 0 -1 1668776341647 ""} } { } 0 16010 "Generating hard_block partition \"%1!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1668776341647 ""} -{ "Info" "ICUT_CUT_TM_SUMMARY" "31 " "Implemented 31 device resources after synthesis - the final resource count might be different" { { "Info" "ICUT_CUT_TM_IPINS" "20 " "Implemented 20 input pins" { } { } 0 21058 "Implemented %1!d! input pins" 0 0 "Design Software" 0 -1 1668776341684 ""} { "Info" "ICUT_CUT_TM_OPINS" "1 " "Implemented 1 output pins" { } { } 0 21059 "Implemented %1!d! output pins" 0 0 "Design Software" 0 -1 1668776341684 ""} { "Info" "ICUT_CUT_TM_LCELLS" "10 " "Implemented 10 logic cells" { } { } 0 21061 "Implemented %1!d! logic cells" 0 0 "Design Software" 0 -1 1668776341684 ""} } { } 0 21057 "Implemented %1!d! device resources after synthesis - the final resource count might be different" 0 0 "Analysis & Synthesis" 0 -1 1668776341684 ""} -{ "Info" "IQEXE_ERROR_COUNT" "Analysis & Synthesis 0 s 2 s Quartus Prime " "Quartus Prime Analysis & Synthesis was successful. 0 errors, 2 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "402 " "Peak virtual memory: 402 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Design Software" 0 -1 1668776341693 ""} { "Info" "IQEXE_END_BANNER_TIME" "Fri Nov 18 12:59:01 2022 " "Processing ended: Fri Nov 18 12:59:01 2022" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Design Software" 0 -1 1668776341693 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:14 " "Elapsed time: 00:00:14" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Design Software" 0 -1 1668776341693 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:36 " "Total CPU time (on all processors): 00:00:36" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Design Software" 0 -1 1668776341693 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Analysis & Synthesis" 0 -1 1668776341693 ""} -{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Analysis & Synthesis" 0 -1 1668776343040 ""} -{ "Info" "IQEXE_START_BANNER_PRODUCT" "Fitter Quartus Prime " "Running Quartus Prime Fitter" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 20.1.1 Build 720 11/11/2020 SJ Lite Edition " "Version 20.1.1 Build 720 11/11/2020 SJ Lite Edition" { } { } 0 0 "%1!s!" 0 0 "Design Software" 0 -1 1668776343040 ""} { "Info" "IQEXE_START_BANNER_TIME" "Fri Nov 18 12:59:02 2022 " "Processing started: Fri Nov 18 12:59:02 2022" { } { } 0 0 "Processing started: %1!s!" 0 0 "Design Software" 0 -1 1668776343040 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Fitter" 0 -1 1668776343040 ""} -{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_fit --read_settings_files=off --write_settings_files=off MuxDemo -c MuxDemo " "Command: quartus_fit --read_settings_files=off --write_settings_files=off MuxDemo -c MuxDemo" { } { } 0 0 "Command: %1!s!" 0 0 "Fitter" 0 -1 1668776343041 ""} -{ "Info" "0" "" "qfit2_default_script.tcl version: #1" { } { } 0 0 "qfit2_default_script.tcl version: #1" 0 0 "Fitter" 0 0 1668776343106 ""} -{ "Info" "0" "" "Project = MuxDemo" { } { } 0 0 "Project = MuxDemo" 0 0 "Fitter" 0 0 1668776343107 ""} -{ "Info" "0" "" "Revision = MuxDemo" { } { } 0 0 "Revision = MuxDemo" 0 0 "Fitter" 0 0 1668776343107 ""} -{ "Warning" "WQCU_PARALLEL_USER_SHOULD_SPECIFY_NUM_PROC" "" "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." { } { } 0 18236 "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." 0 0 "Fitter" 0 -1 1668776343184 ""} -{ "Info" "IQCU_PARALLEL_AUTODETECT_MULTIPLE_PROCESSORS" "4 4 " "Parallel compilation is enabled and will use 4 of the 4 processors detected" { } { } 0 20030 "Parallel compilation is enabled and will use %1!i! of the %2!i! processors detected" 0 0 "Fitter" 0 -1 1668776343184 ""} -{ "Info" "IMPP_MPP_AUTO_ASSIGNED_DEVICE" "MuxDemo EP4CE6E22C6 " "Automatically selected device EP4CE6E22C6 for design MuxDemo" { } { } 0 119004 "Automatically selected device %2!s! for design %1!s!" 0 0 "Fitter" 0 -1 1668776343411 ""} -{ "Info" "ICUT_CUT_DEFAULT_OPERATING_CONDITION" "High junction temperature 85 " "High junction temperature operating condition is not set. Assuming a default value of '85'." { } { } 0 21076 "%1!s! operating condition is not set. Assuming a default value of '%2!s!'." 0 0 "Fitter" 0 -1 1668776343513 ""} -{ "Info" "ICUT_CUT_DEFAULT_OPERATING_CONDITION" "Low junction temperature 0 " "Low junction temperature operating condition is not set. Assuming a default value of '0'." { } { } 0 21076 "%1!s! operating condition is not set. Assuming a default value of '%2!s!'." 0 0 "Fitter" 0 -1 1668776343513 ""} -{ "Info" "IFITCC_FITCC_INFO_AUTO_FIT_COMPILATION_ON" "" "Fitter is performing an Auto Fit compilation, which may decrease Fitter effort to reduce compilation time" { } { } 0 171003 "Fitter is performing an Auto Fit compilation, which may decrease Fitter effort to reduce compilation time" 0 0 "Fitter" 0 -1 1668776343705 ""} -{ "Warning" "WCPT_FEATURE_DISABLED_POST" "LogicLock " "Feature LogicLock is only available with a valid subscription license. You can purchase a software subscription to gain full access to this feature." { } { } 0 292013 "Feature %1!s! is only available with a valid subscription license. You can purchase a software subscription to gain full access to this feature." 0 0 "Fitter" 0 -1 1668776343712 ""} -{ "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED" "" "Device migration not selected. If you intend to use device migration later, you may need to change the pin assignments as they may be incompatible with other devices" { { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "EP4CE10E22C6 " "Device EP4CE10E22C6 is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Design Software" 0 -1 1668776343790 ""} { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "EP4CE15E22C6 " "Device EP4CE15E22C6 is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Design Software" 0 -1 1668776343790 ""} { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "EP4CE22E22C6 " "Device EP4CE22E22C6 is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Design Software" 0 -1 1668776343790 ""} } { } 2 176444 "Device migration not selected. If you intend to use device migration later, you may need to change the pin assignments as they may be incompatible with other devices" 0 0 "Fitter" 0 -1 1668776343790 ""} -{ "Info" "IFIOMGR_RESERVED_PIN_WITH_LOCATION" "5 " "Fitter converted 5 user pins into dedicated programming pins" { { "Info" "IFIOMGR_RESERVED_PIN_WITH_LOCATION_SUB" "~ALTERA_ASDO_DATA1~ 6 " "Pin ~ALTERA_ASDO_DATA1~ is reserved at location 6" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/pin_planner.ppl" "" { PinPlanner "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/pin_planner.ppl" { ~ALTERA_ASDO_DATA1~ } } } { "temporary_test_loc" "" { Generic "/home/tiagorg/repos/uaveiro-leci/1ano/isd/quartus-projects/MuxDemo/" { { 0 { 0 ""} 0 75 14177 15141 0 0 "" 0 "" "" } } } } } 0 169125 "Pin %1!s! is reserved at location %2!s!" 0 0 "Design Software" 0 -1 1668776343796 ""} { "Info" "IFIOMGR_RESERVED_PIN_WITH_LOCATION_SUB" "~ALTERA_FLASH_nCE_nCSO~ 8 " "Pin ~ALTERA_FLASH_nCE_nCSO~ is reserved at location 8" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/pin_planner.ppl" "" { PinPlanner "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/pin_planner.ppl" { ~ALTERA_FLASH_nCE_nCSO~ } } } { "temporary_test_loc" "" { Generic "/home/tiagorg/repos/uaveiro-leci/1ano/isd/quartus-projects/MuxDemo/" { { 0 { 0 ""} 0 77 14177 15141 0 0 "" 0 "" "" } } } } } 0 169125 "Pin %1!s! is reserved at location %2!s!" 0 0 "Design Software" 0 -1 1668776343796 ""} { "Info" "IFIOMGR_RESERVED_PIN_WITH_LOCATION_SUB" "~ALTERA_DCLK~ 12 " "Pin ~ALTERA_DCLK~ is reserved at location 12" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/pin_planner.ppl" "" { PinPlanner "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/pin_planner.ppl" { ~ALTERA_DCLK~ } } } { "temporary_test_loc" "" { Generic "/home/tiagorg/repos/uaveiro-leci/1ano/isd/quartus-projects/MuxDemo/" { { 0 { 0 ""} 0 79 14177 15141 0 0 "" 0 "" "" } } } } } 0 169125 "Pin %1!s! is reserved at location %2!s!" 0 0 "Design Software" 0 -1 1668776343796 ""} { "Info" "IFIOMGR_RESERVED_PIN_WITH_LOCATION_SUB" "~ALTERA_DATA0~ 13 " "Pin ~ALTERA_DATA0~ is reserved at location 13" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/pin_planner.ppl" "" { PinPlanner "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/pin_planner.ppl" { ~ALTERA_DATA0~ } } } { "temporary_test_loc" "" { Generic "/home/tiagorg/repos/uaveiro-leci/1ano/isd/quartus-projects/MuxDemo/" { { 0 { 0 ""} 0 81 14177 15141 0 0 "" 0 "" "" } } } } } 0 169125 "Pin %1!s! is reserved at location %2!s!" 0 0 "Design Software" 0 -1 1668776343796 ""} { "Info" "IFIOMGR_RESERVED_PIN_WITH_LOCATION_SUB" "~ALTERA_nCEO~ 101 " "Pin ~ALTERA_nCEO~ is reserved at location 101" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/pin_planner.ppl" "" { PinPlanner "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/pin_planner.ppl" { ~ALTERA_nCEO~ } } } { "temporary_test_loc" "" { Generic "/home/tiagorg/repos/uaveiro-leci/1ano/isd/quartus-projects/MuxDemo/" { { 0 { 0 ""} 0 83 14177 15141 0 0 "" 0 "" "" } } } } } 0 169125 "Pin %1!s! is reserved at location %2!s!" 0 0 "Design Software" 0 -1 1668776343796 ""} } { } 0 169124 "Fitter converted %1!d! user pins into dedicated programming pins" 0 0 "Fitter" 0 -1 1668776343796 ""} -{ "Warning" "WCUT_CUT_ATOM_PINS_WITH_INCOMPLETE_IO_ASSIGNMENTS" "" "Some pins have incomplete I/O assignments. Refer to the I/O Assignment Warnings report for details" { } { } 0 15714 "Some pins have incomplete I/O assignments. Refer to the I/O Assignment Warnings report for details" 0 0 "Fitter" 0 -1 1668776343799 ""} -{ "Critical Warning" "WFIOMGR_PINS_MISSING_LOCATION_INFO" "21 21 " "No exact pin location assignment(s) for 21 pins of 21 total pins. For the list of pins please refer to the I/O Assignment Warnings table in the fitter report." { } { } 1 169085 "No exact pin location assignment(s) for %1!d! pins of %2!d! total pins. For the list of pins please refer to the I/O Assignment Warnings table in the fitter report." 0 0 "Fitter" 0 -1 1668776344238 ""} -{ "Critical Warning" "WSTA_SDC_NOT_FOUND" "MuxDemo.sdc " "Synopsys Design Constraints File file not found: 'MuxDemo.sdc'. A Synopsys Design Constraints File is required by the Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design." { } { } 1 332012 "Synopsys Design Constraints File file not found: '%1!s!'. A Synopsys Design Constraints File is required by the Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design." 0 0 "Fitter" 0 -1 1668776344397 ""} -{ "Info" "ISTA_NO_CLOCK_FOUND_NO_DERIVING_MSG" "base clocks " "No user constrained base clocks found in the design" { } { } 0 332144 "No user constrained %1!s! found in the design" 0 0 "Fitter" 0 -1 1668776344397 ""} -{ "Info" "ISTA_DERIVE_CLOCKS_FOUND_NO_CLOCKS" "" "The command derive_clocks did not find any clocks to derive. No clocks were created or changed." { } { } 0 332096 "The command derive_clocks did not find any clocks to derive. No clocks were created or changed." 0 0 "Fitter" 0 -1 1668776344398 ""} -{ "Warning" "WSTA_NO_CLOCKS_DEFINED" "" "No clocks defined in design." { } { } 0 332068 "No clocks defined in design." 0 0 "Fitter" 0 -1 1668776344399 ""} -{ "Info" "ISTA_NO_CLOCK_UNCERTAINTY_FOUND_DERIVING" "\"derive_clock_uncertainty\" " "No user constrained clock uncertainty found in the design. Calling \"derive_clock_uncertainty\"" { } { } 0 332143 "No user constrained clock uncertainty found in the design. Calling %1!s!" 0 0 "Fitter" 0 -1 1668776344400 ""} -{ "Info" "ISTA_NO_UNCERTAINTY_FOUND" "" "The derive_clock_uncertainty command did not apply clock uncertainty to any clock-to-clock transfers." { } { } 0 332154 "The derive_clock_uncertainty command did not apply clock uncertainty to any clock-to-clock transfers." 0 0 "Fitter" 0 -1 1668776344400 ""} -{ "Info" "ISTA_TDC_NO_DEFAULT_OPTIMIZATION_GOALS" "" "Timing requirements not specified -- quality metrics such as performance may be sacrificed to reduce compilation time." { } { } 0 332130 "Timing requirements not specified -- quality metrics such as performance may be sacrificed to reduce compilation time." 0 0 "Fitter" 0 -1 1668776344400 ""} -{ "Info" "IFSAC_FSAC_REGISTER_PACKING_START_REGPACKING_INFO" "" "Starting register packing" { } { } 0 176233 "Starting register packing" 0 0 "Fitter" 0 -1 1668776344404 ""} -{ "Extra Info" "IFSAC_FSAC_START_REG_LOCATION_PROCESSING" "" "Performing register packing on registers with non-logic cell location assignments" { } { } 1 176273 "Performing register packing on registers with non-logic cell location assignments" 1 0 "Fitter" 0 -1 1668776344404 ""} -{ "Extra Info" "IFSAC_FSAC_FINISH_REG_LOCATION_PROCESSING" "" "Completed register packing on registers with non-logic cell location assignments" { } { } 1 176274 "Completed register packing on registers with non-logic cell location assignments" 1 0 "Fitter" 0 -1 1668776344405 ""} -{ "Extra Info" "IFSAC_FSAC_REGISTER_PACKING_BEGIN_FAST_REGISTER_INFO" "" "Started Fast Input/Output/OE register processing" { } { } 1 176236 "Started Fast Input/Output/OE register processing" 1 0 "Fitter" 0 -1 1668776344406 ""} -{ "Extra Info" "IFSAC_FSAC_REGISTER_PACKING_FINISH_FAST_REGISTER_INFO" "" "Finished Fast Input/Output/OE register processing" { } { } 1 176237 "Finished Fast Input/Output/OE register processing" 1 0 "Fitter" 0 -1 1668776344406 ""} -{ "Extra Info" "IFSAC_FSAC_START_MAC_SCAN_CHAIN_INFERENCING" "" "Start inferring scan chains for DSP blocks" { } { } 1 176238 "Start inferring scan chains for DSP blocks" 1 0 "Fitter" 0 -1 1668776344406 ""} -{ "Extra Info" "IFSAC_FSAC_FINISH_MAC_SCAN_CHAIN_INFERENCING" "" "Inferring scan chains for DSP blocks is complete" { } { } 1 176239 "Inferring scan chains for DSP blocks is complete" 1 0 "Fitter" 0 -1 1668776344406 ""} -{ "Extra Info" "IFSAC_FSAC_START_IO_MULT_RAM_PACKING" "" "Moving registers into I/O cells, Multiplier Blocks, and RAM blocks to improve timing and density" { } { } 1 176248 "Moving registers into I/O cells, Multiplier Blocks, and RAM blocks to improve timing and density" 1 0 "Fitter" 0 -1 1668776344406 ""} -{ "Extra Info" "IFSAC_FSAC_FINISH_IO_MULT_RAM_PACKING" "" "Finished moving registers into I/O cells, Multiplier Blocks, and RAM blocks" { } { } 1 176249 "Finished moving registers into I/O cells, Multiplier Blocks, and RAM blocks" 1 0 "Fitter" 0 -1 1668776344407 ""} -{ "Info" "IFSAC_FSAC_REGISTER_PACKING_FINISH_REGPACKING_INFO" "" "Finished register packing" { { "Extra Info" "IFSAC_NO_REGISTERS_WERE_PACKED" "" "No registers were packed into other blocks" { } { } 1 176219 "No registers were packed into other blocks" 0 0 "Design Software" 0 -1 1668776344407 ""} } { } 0 176235 "Finished register packing" 0 0 "Fitter" 0 -1 1668776344407 ""} -{ "Info" "IFSAC_FSAC_IO_BANK_PIN_GROUP_STATISTICS" "I/O pins that need to be placed that use the same VCCIO and VREF, before I/O pin placement " "Statistics of I/O pins that need to be placed that use the same VCCIO and VREF, before I/O pin placement" { { "Info" "IFSAC_FSAC_SINGLE_IOC_GROUP_STATISTICS" "21 unused 2.5V 20 1 0 " "Number of I/O pins in group: 21 (unused VREF, 2.5V VCCIO, 20 input, 1 output, 0 bidirectional)" { { "Info" "IFSAC_FSAC_IO_STDS_IN_IOC_GROUP" "2.5 V. " "I/O standards used: 2.5 V." { } { } 0 176212 "I/O standards used: %1!s!" 0 0 "Design Software" 0 -1 1668776344409 ""} } { } 0 176211 "Number of I/O pins in group: %1!d! (%2!s! VREF, %3!s! VCCIO, %4!d! input, %5!d! output, %6!d! bidirectional)" 0 0 "Design Software" 0 -1 1668776344409 ""} } { } 0 176214 "Statistics of %1!s!" 0 0 "Fitter" 0 -1 1668776344409 ""} -{ "Info" "IFSAC_FSAC_IO_STATS_BEFORE_AFTER_PLACEMENT" "before " "I/O bank details before I/O pin placement" { { "Info" "IFSAC_FSAC_IO_BANK_PIN_GROUP_STATISTICS" "I/O banks " "Statistics of I/O banks" { { "Info" "IFSAC_FSAC_SINGLE_IO_BANK_STATISTICS" "1 does not use undetermined 4 7 " "I/O bank number 1 does not use VREF pins and has undetermined VCCIO pins. 4 total pin(s) used -- 7 pins available" { } { } 0 176213 "I/O bank number %1!s! %2!s! VREF pins and has %3!s! VCCIO pins. %4!d! total pin(s) used -- %5!d! pins available" 0 0 "Design Software" 0 -1 1668776344410 ""} { "Info" "IFSAC_FSAC_SINGLE_IO_BANK_STATISTICS" "2 does not use undetermined 0 8 " "I/O bank number 2 does not use VREF pins and has undetermined VCCIO pins. 0 total pin(s) used -- 8 pins available" { } { } 0 176213 "I/O bank number %1!s! %2!s! VREF pins and has %3!s! VCCIO pins. %4!d! total pin(s) used -- %5!d! pins available" 0 0 "Design Software" 0 -1 1668776344410 ""} { "Info" "IFSAC_FSAC_SINGLE_IO_BANK_STATISTICS" "3 does not use undetermined 0 11 " "I/O bank number 3 does not use VREF pins and has undetermined VCCIO pins. 0 total pin(s) used -- 11 pins available" { } { } 0 176213 "I/O bank number %1!s! %2!s! VREF pins and has %3!s! VCCIO pins. %4!d! total pin(s) used -- %5!d! pins available" 0 0 "Design Software" 0 -1 1668776344410 ""} { "Info" "IFSAC_FSAC_SINGLE_IO_BANK_STATISTICS" "4 does not use undetermined 0 14 " "I/O bank number 4 does not use VREF pins and has undetermined VCCIO pins. 0 total pin(s) used -- 14 pins available" { } { } 0 176213 "I/O bank number %1!s! %2!s! VREF pins and has %3!s! VCCIO pins. %4!d! total pin(s) used -- %5!d! pins available" 0 0 "Design Software" 0 -1 1668776344410 ""} { "Info" "IFSAC_FSAC_SINGLE_IO_BANK_STATISTICS" "5 does not use undetermined 0 13 " "I/O bank number 5 does not use VREF pins and has undetermined VCCIO pins. 0 total pin(s) used -- 13 pins available" { } { } 0 176213 "I/O bank number %1!s! %2!s! VREF pins and has %3!s! VCCIO pins. %4!d! total pin(s) used -- %5!d! pins available" 0 0 "Design Software" 0 -1 1668776344410 ""} { "Info" "IFSAC_FSAC_SINGLE_IO_BANK_STATISTICS" "6 does not use undetermined 1 9 " "I/O bank number 6 does not use VREF pins and has undetermined VCCIO pins. 1 total pin(s) used -- 9 pins available" { } { } 0 176213 "I/O bank number %1!s! %2!s! VREF pins and has %3!s! VCCIO pins. %4!d! total pin(s) used -- %5!d! pins available" 0 0 "Design Software" 0 -1 1668776344410 ""} { "Info" "IFSAC_FSAC_SINGLE_IO_BANK_STATISTICS" "7 does not use undetermined 0 13 " "I/O bank number 7 does not use VREF pins and has undetermined VCCIO pins. 0 total pin(s) used -- 13 pins available" { } { } 0 176213 "I/O bank number %1!s! %2!s! VREF pins and has %3!s! VCCIO pins. %4!d! total pin(s) used -- %5!d! pins available" 0 0 "Design Software" 0 -1 1668776344410 ""} { "Info" "IFSAC_FSAC_SINGLE_IO_BANK_STATISTICS" "8 does not use undetermined 0 12 " "I/O bank number 8 does not use VREF pins and has undetermined VCCIO pins. 0 total pin(s) used -- 12 pins available" { } { } 0 176213 "I/O bank number %1!s! %2!s! VREF pins and has %3!s! VCCIO pins. %4!d! total pin(s) used -- %5!d! pins available" 0 0 "Design Software" 0 -1 1668776344410 ""} } { } 0 176214 "Statistics of %1!s!" 0 0 "Design Software" 0 -1 1668776344410 ""} } { } 0 176215 "I/O bank details %1!s! I/O pin placement" 0 0 "Fitter" 0 -1 1668776344410 ""} -{ "Info" "IFITCC_FITTER_PREPARATION_END" "00:00:01 " "Fitter preparation operations ending: elapsed time is 00:00:01" { } { } 0 171121 "Fitter preparation operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1668776344427 ""} -{ "Info" "IVPR20K_VPR_FAMILY_APL_ERROR" "" "Fitter has disabled Advanced Physical Optimization because it is not supported for the current family." { } { } 0 14896 "Fitter has disabled Advanced Physical Optimization because it is not supported for the current family." 0 0 "Fitter" 0 -1 1668776344431 ""} -{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_PREP_START" "" "Fitter placement preparation operations beginning" { } { } 0 170189 "Fitter placement preparation operations beginning" 0 0 "Fitter" 0 -1 1668776345119 ""} -{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_PREP_END" "00:00:00 " "Fitter placement preparation operations ending: elapsed time is 00:00:00" { } { } 0 170190 "Fitter placement preparation operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1668776345154 ""} -{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_START" "" "Fitter placement operations beginning" { } { } 0 170191 "Fitter placement operations beginning" 0 0 "Fitter" 0 -1 1668776345174 ""} -{ "Info" "IFITAPI_FITAPI_INFO_VPR_PLACEMENT_FINISH" "" "Fitter placement was successful" { } { } 0 170137 "Fitter placement was successful" 0 0 "Fitter" 0 -1 1668776345310 ""} -{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_END" "00:00:00 " "Fitter placement operations ending: elapsed time is 00:00:00" { } { } 0 170192 "Fitter placement operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1668776345310 ""} -{ "Info" "IFITAPI_FITAPI_VPR_FITTER_ROUTING_START" "" "Fitter routing operations beginning" { } { } 0 170193 "Fitter routing operations beginning" 0 0 "Fitter" 0 -1 1668776345619 ""} -{ "Info" "IFITAPI_FITAPI_VPR_PERCENT_ROUTING_RESOURCE_USAGE" "0 " "Router estimated average interconnect usage is 0% of the available device resources" { { "Info" "IFITAPI_FITAPI_VPR_PEAK_ROUTING_REGION" "0 X23_Y0 X34_Y11 " "Router estimated peak interconnect usage is 0% of the available device resources in the region that extends from location X23_Y0 to location X34_Y11" { } { { "loc" "" { Generic "/home/tiagorg/repos/uaveiro-leci/1ano/isd/quartus-projects/MuxDemo/" { { 1 { 0 "Router estimated peak interconnect usage is 0% of the available device resources in the region that extends from location X23_Y0 to location X34_Y11"} { { 12 { 0 ""} 23 0 12 12 } } } } } } } 0 170196 "Router estimated peak interconnect usage is %1!d!%% of the available device resources in the region that extends from location %2!s! to location %3!s!" 0 0 "Design Software" 0 -1 1668776346270 ""} } { } 0 170195 "Router estimated average interconnect usage is %1!d!%% of the available device resources" 0 0 "Fitter" 0 -1 1668776346270 ""} -{ "Info" "IFITAPI_FITAPI_VPR_AUTO_FIT_ENABLED_AND_USED" "" "The Fitter performed an Auto Fit compilation. Optimizations were skipped to reduce compilation time." { { "Info" "IFITAPI_FITAPI_VPR_AUTO_FIT_ENABLED_AND_USED_FOR_ROUTABILITY" "" "Optimizations that may affect the design's routability were skipped" { } { } 0 170201 "Optimizations that may affect the design's routability were skipped" 0 0 "Design Software" 0 -1 1668776346316 ""} { "Info" "IFITAPI_FITAPI_VPR_AUTO_FIT_ENABLED_AND_USED_FOR_TIMING" "" "Optimizations that may affect the design's timing were skipped" { } { } 0 170200 "Optimizations that may affect the design's timing were skipped" 0 0 "Design Software" 0 -1 1668776346316 ""} } { } 0 170199 "The Fitter performed an Auto Fit compilation. Optimizations were skipped to reduce compilation time." 0 0 "Fitter" 0 -1 1668776346316 ""} -{ "Info" "IFITAPI_FITAPI_VPR_FITTER_ROUTING_END" "00:00:00 " "Fitter routing operations ending: elapsed time is 00:00:00" { } { } 0 170194 "Fitter routing operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1668776346319 ""} -{ "Info" "IVPR20K_VPR_TIMING_ANALYSIS_TIME" "the Fitter 0.02 " "Total time spent on timing analysis during the Fitter is 0.02 seconds." { } { } 0 11888 "Total time spent on timing analysis during %1!s! is %2!s! seconds." 0 0 "Fitter" 0 -1 1668776346512 ""} -{ "Info" "ITAPI_TAPI_STARTED" "" "Started post-fitting delay annotation" { } { } 0 334003 "Started post-fitting delay annotation" 0 0 "Fitter" 0 -1 1668776346519 ""} -{ "Info" "ITAPI_TAPI_COMPLETED" "" "Delay annotation completed successfully" { } { } 0 334004 "Delay annotation completed successfully" 0 0 "Fitter" 0 -1 1668776346773 ""} -{ "Info" "ITAPI_TAPI_STARTED" "" "Started post-fitting delay annotation" { } { } 0 334003 "Started post-fitting delay annotation" 0 0 "Fitter" 0 -1 1668776346773 ""} -{ "Info" "ITAPI_TAPI_COMPLETED" "" "Delay annotation completed successfully" { } { } 0 334004 "Delay annotation completed successfully" 0 0 "Fitter" 0 -1 1668776347371 ""} -{ "Info" "IFITCC_FITTER_POST_OPERATION_END" "00:00:01 " "Fitter post-fit operations ending: elapsed time is 00:00:01" { } { } 0 11218 "Fitter post-fit operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1668776347999 ""} -{ "Info" "IRDB_WROTE_SUPPRESSED_MSGS" "/home/tiagorg/repos/uaveiro-leci/1ano/isd/quartus-projects/MuxDemo/output_files/MuxDemo.fit.smsg " "Generated suppressed messages file /home/tiagorg/repos/uaveiro-leci/1ano/isd/quartus-projects/MuxDemo/output_files/MuxDemo.fit.smsg" { } { } 0 144001 "Generated suppressed messages file %1!s!" 0 0 "Fitter" 0 -1 1668776348424 ""} -{ "Info" "IQEXE_ERROR_COUNT" "Fitter 0 s 6 s Quartus Prime " "Quartus Prime Fitter was successful. 0 errors, 6 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "941 " "Peak virtual memory: 941 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Design Software" 0 -1 1668776348716 ""} { "Info" "IQEXE_END_BANNER_TIME" "Fri Nov 18 12:59:08 2022 " "Processing ended: Fri Nov 18 12:59:08 2022" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Design Software" 0 -1 1668776348716 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:06 " "Elapsed time: 00:00:06" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Design Software" 0 -1 1668776348716 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:07 " "Total CPU time (on all processors): 00:00:07" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Design Software" 0 -1 1668776348716 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Fitter" 0 -1 1668776348716 ""} -{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Fitter" 0 -1 1668776350146 ""} -{ "Info" "IQEXE_START_BANNER_PRODUCT" "Assembler Quartus Prime " "Running Quartus Prime Assembler" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 20.1.1 Build 720 11/11/2020 SJ Lite Edition " "Version 20.1.1 Build 720 11/11/2020 SJ Lite Edition" { } { } 0 0 "%1!s!" 0 0 "Design Software" 0 -1 1668776350146 ""} { "Info" "IQEXE_START_BANNER_TIME" "Fri Nov 18 12:59:09 2022 " "Processing started: Fri Nov 18 12:59:09 2022" { } { } 0 0 "Processing started: %1!s!" 0 0 "Design Software" 0 -1 1668776350146 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Assembler" 0 -1 1668776350146 ""} -{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_asm --read_settings_files=off --write_settings_files=off MuxDemo -c MuxDemo " "Command: quartus_asm --read_settings_files=off --write_settings_files=off MuxDemo -c MuxDemo" { } { } 0 0 "Command: %1!s!" 0 0 "Assembler" 0 -1 1668776350146 ""} -{ "Warning" "WQCU_PARALLEL_USER_SHOULD_SPECIFY_NUM_PROC" "" "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." { } { } 0 18236 "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." 0 0 "Assembler" 0 -1 1668776350425 ""} -{ "Info" "IASM_ASM_GENERATING_POWER_DATA" "" "Writing out detailed assembly data for power analysis" { } { } 0 115031 "Writing out detailed assembly data for power analysis" 0 0 "Assembler" 0 -1 1668776350940 ""} -{ "Info" "IASM_ASM_GENERATING_PROGRAMMING_FILES" "" "Assembler is generating device programming files" { } { } 0 115030 "Assembler is generating device programming files" 0 0 "Assembler" 0 -1 1668776350962 ""} -{ "Info" "IQEXE_ERROR_COUNT" "Assembler 0 s 1 Quartus Prime " "Quartus Prime Assembler was successful. 0 errors, 1 warning" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "353 " "Peak virtual memory: 353 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Design Software" 0 -1 1668776351107 ""} { "Info" "IQEXE_END_BANNER_TIME" "Fri Nov 18 12:59:11 2022 " "Processing ended: Fri Nov 18 12:59:11 2022" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Design Software" 0 -1 1668776351107 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:02 " "Elapsed time: 00:00:02" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Design Software" 0 -1 1668776351107 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:01 " "Total CPU time (on all processors): 00:00:01" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Design Software" 0 -1 1668776351107 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Assembler" 0 -1 1668776351107 ""} -{ "Info" "IFLOW_DISABLED_MODULE" "Power Analyzer FLOW_ENABLE_POWER_ANALYZER " "Skipped module Power Analyzer due to the assignment FLOW_ENABLE_POWER_ANALYZER" { } { } 0 293026 "Skipped module %1!s! due to the assignment %2!s!" 0 0 "Assembler" 0 -1 1668776351288 ""} -{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Assembler" 0 -1 1668776352377 ""} -{ "Info" "IQEXE_START_BANNER_PRODUCT" "Timing Analyzer Quartus Prime " "Running Quartus Prime Timing Analyzer" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 20.1.1 Build 720 11/11/2020 SJ Lite Edition " "Version 20.1.1 Build 720 11/11/2020 SJ Lite Edition" { } { } 0 0 "%1!s!" 0 0 "Design Software" 0 -1 1668776352378 ""} { "Info" "IQEXE_START_BANNER_TIME" "Fri Nov 18 12:59:12 2022 " "Processing started: Fri Nov 18 12:59:12 2022" { } { } 0 0 "Processing started: %1!s!" 0 0 "Design Software" 0 -1 1668776352378 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Timing Analyzer" 0 -1 1668776352378 ""} -{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_sta MuxDemo -c MuxDemo " "Command: quartus_sta MuxDemo -c MuxDemo" { } { } 0 0 "Command: %1!s!" 0 0 "Timing Analyzer" 0 -1 1668776352378 ""} -{ "Info" "0" "" "qsta_default_script.tcl version: #1" { } { } 0 0 "qsta_default_script.tcl version: #1" 0 0 "Timing Analyzer" 0 0 1668776352440 ""} -{ "Warning" "WQCU_PARALLEL_USER_SHOULD_SPECIFY_NUM_PROC" "" "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." { } { } 0 18236 "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." 0 0 "Timing Analyzer" 0 -1 1668776352557 ""} -{ "Info" "IQCU_PARALLEL_AUTODETECT_MULTIPLE_PROCESSORS" "4 4 " "Parallel compilation is enabled and will use 4 of the 4 processors detected" { } { } 0 20030 "Parallel compilation is enabled and will use %1!i! of the %2!i! processors detected" 0 0 "Timing Analyzer" 0 -1 1668776352557 ""} -{ "Info" "ICUT_CUT_DEFAULT_OPERATING_CONDITION" "High junction temperature 85 " "High junction temperature operating condition is not set. Assuming a default value of '85'." { } { } 0 21076 "%1!s! operating condition is not set. Assuming a default value of '%2!s!'." 0 0 "Timing Analyzer" 0 -1 1668776352663 ""} -{ "Info" "ICUT_CUT_DEFAULT_OPERATING_CONDITION" "Low junction temperature 0 " "Low junction temperature operating condition is not set. Assuming a default value of '0'." { } { } 0 21076 "%1!s! operating condition is not set. Assuming a default value of '%2!s!'." 0 0 "Timing Analyzer" 0 -1 1668776352663 ""} -{ "Critical Warning" "WSTA_SDC_NOT_FOUND" "MuxDemo.sdc " "Synopsys Design Constraints File file not found: 'MuxDemo.sdc'. A Synopsys Design Constraints File is required by the Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design." { } { } 1 332012 "Synopsys Design Constraints File file not found: '%1!s!'. A Synopsys Design Constraints File is required by the Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design." 0 0 "Timing Analyzer" 0 -1 1668776352941 ""} -{ "Info" "ISTA_NO_CLOCK_FOUND_DERIVING" "base clocks \"derive_clocks -period 1.0\" " "No user constrained base clocks found in the design. Calling \"derive_clocks -period 1.0\"" { } { } 0 332142 "No user constrained %1!s! found in the design. Calling %2!s!" 0 0 "Timing Analyzer" 0 -1 1668776352942 ""} -{ "Info" "ISTA_DERIVE_CLOCKS_FOUND_NO_CLOCKS" "" "The command derive_clocks did not find any clocks to derive. No clocks were created or changed." { } { } 0 332096 "The command derive_clocks did not find any clocks to derive. No clocks were created or changed." 0 0 "Timing Analyzer" 0 -1 1668776352942 ""} -{ "Warning" "WSTA_NO_CLOCKS_DEFINED" "" "No clocks defined in design." { } { } 0 332068 "No clocks defined in design." 0 0 "Timing Analyzer" 0 -1 1668776352943 ""} -{ "Info" "ISTA_NO_CLOCK_UNCERTAINTY_FOUND_DERIVING" "\"derive_clock_uncertainty\" " "No user constrained clock uncertainty found in the design. Calling \"derive_clock_uncertainty\"" { } { } 0 332143 "No user constrained clock uncertainty found in the design. Calling %1!s!" 0 0 "Timing Analyzer" 0 -1 1668776352943 ""} -{ "Info" "ISTA_NO_UNCERTAINTY_FOUND" "" "The derive_clock_uncertainty command did not apply clock uncertainty to any clock-to-clock transfers." { } { } 0 332154 "The derive_clock_uncertainty command did not apply clock uncertainty to any clock-to-clock transfers." 0 0 "Timing Analyzer" 0 -1 1668776352943 ""} -{ "Info" "0" "" "Found TIMING_ANALYZER_REPORT_SCRIPT_INCLUDE_DEFAULT_ANALYSIS = ON" { } { } 0 0 "Found TIMING_ANALYZER_REPORT_SCRIPT_INCLUDE_DEFAULT_ANALYSIS = ON" 0 0 "Timing Analyzer" 0 0 1668776352944 ""} -{ "Info" "ISTA_NO_CLOCKS_TO_REPORT" "" "No clocks to report" { } { } 0 332159 "No clocks to report" 0 0 "Timing Analyzer" 0 -1 1668776352949 ""} -{ "Info" "0" "" "Analyzing Slow 1200mV 85C Model" { } { } 0 0 "Analyzing Slow 1200mV 85C Model" 0 0 "Timing Analyzer" 0 0 1668776352949 ""} -{ "Info" "ISTA_NO_PATHS_TO_REPORT" "fmax " "No fmax paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Timing Analyzer" 0 -1 1668776352951 ""} -{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Setup " "No Setup paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Timing Analyzer" 0 -1 1668776352955 ""} -{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Hold " "No Hold paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Timing Analyzer" 0 -1 1668776352956 ""} -{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Recovery " "No Recovery paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Timing Analyzer" 0 -1 1668776352956 ""} -{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Removal " "No Removal paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Timing Analyzer" 0 -1 1668776352957 ""} -{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Minimum Pulse Width " "No Minimum Pulse Width paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Timing Analyzer" 0 -1 1668776352958 ""} -{ "Info" "0" "" "Analyzing Slow 1200mV 0C Model" { } { } 0 0 "Analyzing Slow 1200mV 0C Model" 0 0 "Timing Analyzer" 0 0 1668776352962 ""} -{ "Info" "ITAPI_TAPI_STARTED" "" "Started post-fitting delay annotation" { } { } 0 334003 "Started post-fitting delay annotation" 0 0 "Timing Analyzer" 0 -1 1668776352997 ""} -{ "Info" "ITAPI_TAPI_COMPLETED" "" "Delay annotation completed successfully" { } { } 0 334004 "Delay annotation completed successfully" 0 0 "Timing Analyzer" 0 -1 1668776353596 ""} -{ "Info" "ISTA_NO_CLOCK_FOUND_DERIVING" "base clocks \"derive_clocks -period 1.0\" " "No user constrained base clocks found in the design. Calling \"derive_clocks -period 1.0\"" { } { } 0 332142 "No user constrained %1!s! found in the design. Calling %2!s!" 0 0 "Timing Analyzer" 0 -1 1668776353628 ""} -{ "Info" "ISTA_DERIVE_CLOCKS_FOUND_NO_CLOCKS" "" "The command derive_clocks did not find any clocks to derive. No clocks were created or changed." { } { } 0 332096 "The command derive_clocks did not find any clocks to derive. No clocks were created or changed." 0 0 "Timing Analyzer" 0 -1 1668776353628 ""} -{ "Warning" "WSTA_NO_CLOCKS_DEFINED" "" "No clocks defined in design." { } { } 0 332068 "No clocks defined in design." 0 0 "Timing Analyzer" 0 -1 1668776353629 ""} -{ "Info" "ISTA_NO_UNCERTAINTY_FOUND" "" "The derive_clock_uncertainty command did not apply clock uncertainty to any clock-to-clock transfers." { } { } 0 332154 "The derive_clock_uncertainty command did not apply clock uncertainty to any clock-to-clock transfers." 0 0 "Timing Analyzer" 0 -1 1668776353629 ""} -{ "Info" "ISTA_NO_PATHS_TO_REPORT" "fmax " "No fmax paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Timing Analyzer" 0 -1 1668776353630 ""} -{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Setup " "No Setup paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Timing Analyzer" 0 -1 1668776353631 ""} -{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Hold " "No Hold paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Timing Analyzer" 0 -1 1668776353632 ""} -{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Recovery " "No Recovery paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Timing Analyzer" 0 -1 1668776353633 ""} -{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Removal " "No Removal paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Timing Analyzer" 0 -1 1668776353634 ""} -{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Minimum Pulse Width " "No Minimum Pulse Width paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Timing Analyzer" 0 -1 1668776353635 ""} -{ "Info" "0" "" "Analyzing Fast 1200mV 0C Model" { } { } 0 0 "Analyzing Fast 1200mV 0C Model" 0 0 "Timing Analyzer" 0 0 1668776353638 ""} -{ "Info" "ISTA_NO_CLOCK_FOUND_DERIVING" "base clocks \"derive_clocks -period 1.0\" " "No user constrained base clocks found in the design. Calling \"derive_clocks -period 1.0\"" { } { } 0 332142 "No user constrained %1!s! found in the design. Calling %2!s!" 0 0 "Timing Analyzer" 0 -1 1668776353742 ""} -{ "Info" "ISTA_DERIVE_CLOCKS_FOUND_NO_CLOCKS" "" "The command derive_clocks did not find any clocks to derive. No clocks were created or changed." { } { } 0 332096 "The command derive_clocks did not find any clocks to derive. No clocks were created or changed." 0 0 "Timing Analyzer" 0 -1 1668776353742 ""} -{ "Warning" "WSTA_NO_CLOCKS_DEFINED" "" "No clocks defined in design." { } { } 0 332068 "No clocks defined in design." 0 0 "Timing Analyzer" 0 -1 1668776353743 ""} -{ "Info" "ISTA_NO_UNCERTAINTY_FOUND" "" "The derive_clock_uncertainty command did not apply clock uncertainty to any clock-to-clock transfers." { } { } 0 332154 "The derive_clock_uncertainty command did not apply clock uncertainty to any clock-to-clock transfers." 0 0 "Timing Analyzer" 0 -1 1668776353743 ""} -{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Setup " "No Setup paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Timing Analyzer" 0 -1 1668776353744 ""} -{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Hold " "No Hold paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Timing Analyzer" 0 -1 1668776353745 ""} -{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Recovery " "No Recovery paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Timing Analyzer" 0 -1 1668776353746 ""} -{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Removal " "No Removal paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Timing Analyzer" 0 -1 1668776353747 ""} -{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Minimum Pulse Width " "No Minimum Pulse Width paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Timing Analyzer" 0 -1 1668776353748 ""} -{ "Info" "ISTA_UCP_NOT_CONSTRAINED" "setup " "Design is not fully constrained for setup requirements" { } { } 0 332102 "Design is not fully constrained for %1!s! requirements" 0 0 "Timing Analyzer" 0 -1 1668776354331 ""} -{ "Info" "ISTA_UCP_NOT_CONSTRAINED" "hold " "Design is not fully constrained for hold requirements" { } { } 0 332102 "Design is not fully constrained for %1!s! requirements" 0 0 "Timing Analyzer" 0 -1 1668776354332 ""} -{ "Info" "IQEXE_ERROR_COUNT" "Timing Analyzer 0 s 5 s Quartus Prime " "Quartus Prime Timing Analyzer was successful. 0 errors, 5 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "465 " "Peak virtual memory: 465 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Design Software" 0 -1 1668776354353 ""} { "Info" "IQEXE_END_BANNER_TIME" "Fri Nov 18 12:59:14 2022 " "Processing ended: Fri Nov 18 12:59:14 2022" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Design Software" 0 -1 1668776354353 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:02 " "Elapsed time: 00:00:02" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Design Software" 0 -1 1668776354353 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:02 " "Total CPU time (on all processors): 00:00:02" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Design Software" 0 -1 1668776354353 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Timing Analyzer" 0 -1 1668776354353 ""} -{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Timing Analyzer" 0 -1 1668776355651 ""} -{ "Info" "IQEXE_START_BANNER_PRODUCT" "EDA Netlist Writer Quartus Prime " "Running Quartus Prime EDA Netlist Writer" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 20.1.1 Build 720 11/11/2020 SJ Lite Edition " "Version 20.1.1 Build 720 11/11/2020 SJ Lite Edition" { } { } 0 0 "%1!s!" 0 0 "Design Software" 0 -1 1668776355651 ""} { "Info" "IQEXE_START_BANNER_TIME" "Fri Nov 18 12:59:15 2022 " "Processing started: Fri Nov 18 12:59:15 2022" { } { } 0 0 "Processing started: %1!s!" 0 0 "Design Software" 0 -1 1668776355651 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "EDA Netlist Writer" 0 -1 1668776355651 ""} -{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_eda --read_settings_files=off --write_settings_files=off MuxDemo -c MuxDemo " "Command: quartus_eda --read_settings_files=off --write_settings_files=off MuxDemo -c MuxDemo" { } { } 0 0 "Command: %1!s!" 0 0 "EDA Netlist Writer" 0 -1 1668776355651 ""} -{ "Warning" "WQCU_PARALLEL_USER_SHOULD_SPECIFY_NUM_PROC" "" "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." { } { } 0 18236 "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." 0 0 "EDA Netlist Writer" 0 -1 1668776356011 ""} -{ "Info" "IWSC_DONE_HDL_GENERATION" "MuxDemo.vo /home/tiagorg/repos/uaveiro-leci/1ano/isd/quartus-projects/MuxDemo/simulation/modelsim/ simulation " "Generated file MuxDemo.vo in folder \"/home/tiagorg/repos/uaveiro-leci/1ano/isd/quartus-projects/MuxDemo/simulation/modelsim/\" for EDA simulation tool" { } { } 0 204019 "Generated file %1!s! in folder \"%2!s!\" for EDA %3!s! tool" 0 0 "EDA Netlist Writer" 0 -1 1668776356081 ""} -{ "Info" "IQEXE_ERROR_COUNT" "EDA Netlist Writer 0 s 1 Quartus Prime " "Quartus Prime EDA Netlist Writer was successful. 0 errors, 1 warning" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "603 " "Peak virtual memory: 603 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Design Software" 0 -1 1668776356099 ""} { "Info" "IQEXE_END_BANNER_TIME" "Fri Nov 18 12:59:16 2022 " "Processing ended: Fri Nov 18 12:59:16 2022" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Design Software" 0 -1 1668776356099 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:01 " "Elapsed time: 00:00:01" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Design Software" 0 -1 1668776356099 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:01 " "Total CPU time (on all processors): 00:00:01" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Design Software" 0 -1 1668776356099 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "EDA Netlist Writer" 0 -1 1668776356099 ""} -{ "Info" "IFLOW_ERROR_COUNT" "Full Compilation 0 s 15 s " "Quartus Prime Full Compilation was successful. 0 errors, 15 warnings" { } { } 0 293000 "Quartus Prime %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "EDA Netlist Writer" 0 -1 1668776356265 ""} +{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Design Software" 0 -1 1674689835773 ""} +{ "Info" "IQEXE_START_BANNER_PRODUCT" "Analysis & Synthesis Quartus Prime " "Running Quartus Prime Analysis & Synthesis" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 20.1.1 Build 720 11/11/2020 SJ Lite Edition " "Version 20.1.1 Build 720 11/11/2020 SJ Lite Edition" { } { } 0 0 "%1!s!" 0 0 "Design Software" 0 -1 1674689835773 ""} { "Info" "IQEXE_START_BANNER_TIME" "Wed Jan 25 23:37:15 2023 " "Processing started: Wed Jan 25 23:37:15 2023" { } { } 0 0 "Processing started: %1!s!" 0 0 "Design Software" 0 -1 1674689835773 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1674689835773 ""} +{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_map --read_settings_files=on --write_settings_files=off MuxDemo -c MuxDemo " "Command: quartus_map --read_settings_files=on --write_settings_files=off MuxDemo -c MuxDemo" { } { } 0 0 "Command: %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1674689835773 ""} +{ "Warning" "WQCU_PARALLEL_USER_SHOULD_SPECIFY_NUM_PROC" "" "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." { } { } 0 18236 "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." 0 0 "Analysis & Synthesis" 0 -1 1674689835865 ""} +{ "Info" "IQCU_PARALLEL_AUTODETECT_MULTIPLE_PROCESSORS" "4 4 " "Parallel compilation is enabled and will use 4 of the 4 processors detected" { } { } 0 20030 "Parallel compilation is enabled and will use %1!i! of the %2!i! processors detected" 0 0 "Analysis & Synthesis" 0 -1 1674689835866 ""} +{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "Mux16_1.bdf 1 1 " "Found 1 design units, including 1 entities, in source file Mux16_1.bdf" { { "Info" "ISGN_ENTITY_NAME" "1 Mux16_1 " "Found entity 1: Mux16_1" { } { { "Mux16_1.bdf" "" { Schematic "/home/tiagorg/repos/uaveiro-leci/1ano/isd/quartus-projects/MuxDemo/Mux16_1.bdf" { } } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1674689840766 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1674689840766 ""} +{ "Info" "ISGN_START_ELABORATION_TOP" "Mux16_1 " "Elaborating entity \"Mux16_1\" for the top level hierarchy" { } { } 0 12127 "Elaborating entity \"%1!s!\" for the top level hierarchy" 0 0 "Analysis & Synthesis" 0 -1 1674689840791 ""} +{ "Warning" "WSGN_SEARCH_FILE" "Mux2_1.bdf 1 1 " "Using design file Mux2_1.bdf, which is not specified as a design file for the current project, but contains definitions for 1 design units and 1 entities in project" { { "Info" "ISGN_ENTITY_NAME" "1 Mux2_1 " "Found entity 1: Mux2_1" { } { { "Mux2_1.bdf" "" { Schematic "/home/tiagorg/repos/uaveiro-leci/1ano/isd/quartus-projects/MuxDemo/Mux2_1.bdf" { } } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1674689840792 ""} } { } 0 12125 "Using design file %1!s!, which is not specified as a design file for the current project, but contains definitions for %2!llu! design units and %3!llu! entities in project" 0 0 "Analysis & Synthesis" 0 -1 1674689840792 ""} +{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "Mux2_1 Mux2_1:inst14 " "Elaborating entity \"Mux2_1\" for hierarchy \"Mux2_1:inst14\"" { } { { "Mux16_1.bdf" "inst14" { Schematic "/home/tiagorg/repos/uaveiro-leci/1ano/isd/quartus-projects/MuxDemo/Mux16_1.bdf" { { 328 688 784 424 "inst14" "" } } } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1674689840793 ""} +{ "Info" "ISUTIL_TIMING_DRIVEN_SYNTHESIS_RUNNING" "" "Timing-Driven Synthesis is running" { } { } 0 286030 "Timing-Driven Synthesis is running" 0 0 "Analysis & Synthesis" 0 -1 1674689841743 ""} +{ "Info" "IBPM_HARD_BLOCK_PARTITION_CREATED" "hard_block:auto_generated_inst " "Generating hard_block partition \"hard_block:auto_generated_inst\"" { { "Info" "IBPM_HARD_BLOCK_PARTITION_NODE" "0 0 0 0 0 " "Adding 0 node(s), including 0 DDIO, 0 PLL, 0 transceiver and 0 LCELL" { } { } 0 16011 "Adding %1!d! node(s), including %2!d! DDIO, %3!d! PLL, %4!d! transceiver and %5!d! LCELL" 0 0 "Design Software" 0 -1 1674689842018 ""} } { } 0 16010 "Generating hard_block partition \"%1!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1674689842018 ""} +{ "Info" "ICUT_CUT_TM_SUMMARY" "31 " "Implemented 31 device resources after synthesis - the final resource count might be different" { { "Info" "ICUT_CUT_TM_IPINS" "20 " "Implemented 20 input pins" { } { } 0 21058 "Implemented %1!d! input pins" 0 0 "Design Software" 0 -1 1674689842037 ""} { "Info" "ICUT_CUT_TM_OPINS" "1 " "Implemented 1 output pins" { } { } 0 21059 "Implemented %1!d! output pins" 0 0 "Design Software" 0 -1 1674689842037 ""} { "Info" "ICUT_CUT_TM_LCELLS" "10 " "Implemented 10 logic cells" { } { } 0 21061 "Implemented %1!d! logic cells" 0 0 "Design Software" 0 -1 1674689842037 ""} } { } 0 21057 "Implemented %1!d! device resources after synthesis - the final resource count might be different" 0 0 "Analysis & Synthesis" 0 -1 1674689842037 ""} +{ "Info" "IQEXE_ERROR_COUNT" "Analysis & Synthesis 0 s 2 s Quartus Prime " "Quartus Prime Analysis & Synthesis was successful. 0 errors, 2 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "400 " "Peak virtual memory: 400 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Design Software" 0 -1 1674689842042 ""} { "Info" "IQEXE_END_BANNER_TIME" "Wed Jan 25 23:37:22 2023 " "Processing ended: Wed Jan 25 23:37:22 2023" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Design Software" 0 -1 1674689842042 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:07 " "Elapsed time: 00:00:07" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Design Software" 0 -1 1674689842042 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:15 " "Total CPU time (on all processors): 00:00:15" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Design Software" 0 -1 1674689842042 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Analysis & Synthesis" 0 -1 1674689842042 ""} +{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Analysis & Synthesis" 0 -1 1674689842602 ""} +{ "Info" "IQEXE_START_BANNER_PRODUCT" "Fitter Quartus Prime " "Running Quartus Prime Fitter" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 20.1.1 Build 720 11/11/2020 SJ Lite Edition " "Version 20.1.1 Build 720 11/11/2020 SJ Lite Edition" { } { } 0 0 "%1!s!" 0 0 "Design Software" 0 -1 1674689842602 ""} { "Info" "IQEXE_START_BANNER_TIME" "Wed Jan 25 23:37:22 2023 " "Processing started: Wed Jan 25 23:37:22 2023" { } { } 0 0 "Processing started: %1!s!" 0 0 "Design Software" 0 -1 1674689842602 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Fitter" 0 -1 1674689842602 ""} +{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_fit --read_settings_files=off --write_settings_files=off MuxDemo -c MuxDemo " "Command: quartus_fit --read_settings_files=off --write_settings_files=off MuxDemo -c MuxDemo" { } { } 0 0 "Command: %1!s!" 0 0 "Fitter" 0 -1 1674689842602 ""} +{ "Info" "0" "" "qfit2_default_script.tcl version: #1" { } { } 0 0 "qfit2_default_script.tcl version: #1" 0 0 "Fitter" 0 0 1674689842622 ""} +{ "Info" "0" "" "Project = MuxDemo" { } { } 0 0 "Project = MuxDemo" 0 0 "Fitter" 0 0 1674689842623 ""} +{ "Info" "0" "" "Revision = MuxDemo" { } { } 0 0 "Revision = MuxDemo" 0 0 "Fitter" 0 0 1674689842623 ""} +{ "Warning" "WQCU_PARALLEL_USER_SHOULD_SPECIFY_NUM_PROC" "" "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." { } { } 0 18236 "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." 0 0 "Fitter" 0 -1 1674689842651 ""} +{ "Info" "IQCU_PARALLEL_AUTODETECT_MULTIPLE_PROCESSORS" "4 4 " "Parallel compilation is enabled and will use 4 of the 4 processors detected" { } { } 0 20030 "Parallel compilation is enabled and will use %1!i! of the %2!i! processors detected" 0 0 "Fitter" 0 -1 1674689842651 ""} +{ "Info" "IMPP_MPP_AUTO_ASSIGNED_DEVICE" "MuxDemo EP4CE6E22C6 " "Automatically selected device EP4CE6E22C6 for design MuxDemo" { } { } 0 119004 "Automatically selected device %2!s! for design %1!s!" 0 0 "Fitter" 0 -1 1674689842740 ""} +{ "Info" "ICUT_CUT_DEFAULT_OPERATING_CONDITION" "High junction temperature 85 " "High junction temperature operating condition is not set. Assuming a default value of '85'." { } { } 0 21076 "%1!s! operating condition is not set. Assuming a default value of '%2!s!'." 0 0 "Fitter" 0 -1 1674689842783 ""} +{ "Info" "ICUT_CUT_DEFAULT_OPERATING_CONDITION" "Low junction temperature 0 " "Low junction temperature operating condition is not set. Assuming a default value of '0'." { } { } 0 21076 "%1!s! operating condition is not set. Assuming a default value of '%2!s!'." 0 0 "Fitter" 0 -1 1674689842783 ""} +{ "Info" "IFITCC_FITCC_INFO_AUTO_FIT_COMPILATION_ON" "" "Fitter is performing an Auto Fit compilation, which may decrease Fitter effort to reduce compilation time" { } { } 0 171003 "Fitter is performing an Auto Fit compilation, which may decrease Fitter effort to reduce compilation time" 0 0 "Fitter" 0 -1 1674689842852 ""} +{ "Warning" "WCPT_FEATURE_DISABLED_POST" "LogicLock " "Feature LogicLock is only available with a valid subscription license. You can purchase a software subscription to gain full access to this feature." { } { } 0 292013 "Feature %1!s! is only available with a valid subscription license. You can purchase a software subscription to gain full access to this feature." 0 0 "Fitter" 0 -1 1674689842856 ""} +{ "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED" "" "Device migration not selected. If you intend to use device migration later, you may need to change the pin assignments as they may be incompatible with other devices" { { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "EP4CE10E22C6 " "Device EP4CE10E22C6 is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Design Software" 0 -1 1674689842885 ""} { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "EP4CE15E22C6 " "Device EP4CE15E22C6 is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Design Software" 0 -1 1674689842885 ""} { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "EP4CE22E22C6 " "Device EP4CE22E22C6 is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Design Software" 0 -1 1674689842885 ""} } { } 2 176444 "Device migration not selected. If you intend to use device migration later, you may need to change the pin assignments as they may be incompatible with other devices" 0 0 "Fitter" 0 -1 1674689842885 ""} +{ "Info" "IFIOMGR_RESERVED_PIN_WITH_LOCATION" "5 " "Fitter converted 5 user pins into dedicated programming pins" { { "Info" "IFIOMGR_RESERVED_PIN_WITH_LOCATION_SUB" "~ALTERA_ASDO_DATA1~ 6 " "Pin ~ALTERA_ASDO_DATA1~ is reserved at location 6" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/pin_planner.ppl" "" { PinPlanner "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/pin_planner.ppl" { ~ALTERA_ASDO_DATA1~ } } } { "temporary_test_loc" "" { Generic "/home/tiagorg/repos/uaveiro-leci/1ano/isd/quartus-projects/MuxDemo/" { { 0 { 0 ""} 0 75 14177 15141 0 0 "" 0 "" "" } } } } } 0 169125 "Pin %1!s! is reserved at location %2!s!" 0 0 "Design Software" 0 -1 1674689842886 ""} { "Info" "IFIOMGR_RESERVED_PIN_WITH_LOCATION_SUB" "~ALTERA_FLASH_nCE_nCSO~ 8 " "Pin ~ALTERA_FLASH_nCE_nCSO~ is reserved at location 8" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/pin_planner.ppl" "" { PinPlanner "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/pin_planner.ppl" { ~ALTERA_FLASH_nCE_nCSO~ } } } { "temporary_test_loc" "" { Generic "/home/tiagorg/repos/uaveiro-leci/1ano/isd/quartus-projects/MuxDemo/" { { 0 { 0 ""} 0 77 14177 15141 0 0 "" 0 "" "" } } } } } 0 169125 "Pin %1!s! is reserved at location %2!s!" 0 0 "Design Software" 0 -1 1674689842886 ""} { "Info" "IFIOMGR_RESERVED_PIN_WITH_LOCATION_SUB" "~ALTERA_DCLK~ 12 " "Pin ~ALTERA_DCLK~ is reserved at location 12" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/pin_planner.ppl" "" { PinPlanner "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/pin_planner.ppl" { ~ALTERA_DCLK~ } } } { "temporary_test_loc" "" { Generic "/home/tiagorg/repos/uaveiro-leci/1ano/isd/quartus-projects/MuxDemo/" { { 0 { 0 ""} 0 79 14177 15141 0 0 "" 0 "" "" } } } } } 0 169125 "Pin %1!s! is reserved at location %2!s!" 0 0 "Design Software" 0 -1 1674689842886 ""} { "Info" "IFIOMGR_RESERVED_PIN_WITH_LOCATION_SUB" "~ALTERA_DATA0~ 13 " "Pin ~ALTERA_DATA0~ is reserved at location 13" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/pin_planner.ppl" "" { PinPlanner "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/pin_planner.ppl" { ~ALTERA_DATA0~ } } } { "temporary_test_loc" "" { Generic "/home/tiagorg/repos/uaveiro-leci/1ano/isd/quartus-projects/MuxDemo/" { { 0 { 0 ""} 0 81 14177 15141 0 0 "" 0 "" "" } } } } } 0 169125 "Pin %1!s! is reserved at location %2!s!" 0 0 "Design Software" 0 -1 1674689842886 ""} { "Info" "IFIOMGR_RESERVED_PIN_WITH_LOCATION_SUB" "~ALTERA_nCEO~ 101 " "Pin ~ALTERA_nCEO~ is reserved at location 101" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/pin_planner.ppl" "" { PinPlanner "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/pin_planner.ppl" { ~ALTERA_nCEO~ } } } { "temporary_test_loc" "" { Generic "/home/tiagorg/repos/uaveiro-leci/1ano/isd/quartus-projects/MuxDemo/" { { 0 { 0 ""} 0 83 14177 15141 0 0 "" 0 "" "" } } } } } 0 169125 "Pin %1!s! is reserved at location %2!s!" 0 0 "Design Software" 0 -1 1674689842886 ""} } { } 0 169124 "Fitter converted %1!d! user pins into dedicated programming pins" 0 0 "Fitter" 0 -1 1674689842886 ""} +{ "Warning" "WCUT_CUT_ATOM_PINS_WITH_INCOMPLETE_IO_ASSIGNMENTS" "" "Some pins have incomplete I/O assignments. Refer to the I/O Assignment Warnings report for details" { } { } 0 15714 "Some pins have incomplete I/O assignments. Refer to the I/O Assignment Warnings report for details" 0 0 "Fitter" 0 -1 1674689842888 ""} +{ "Critical Warning" "WFIOMGR_PINS_MISSING_LOCATION_INFO" "21 21 " "No exact pin location assignment(s) for 21 pins of 21 total pins. For the list of pins please refer to the I/O Assignment Warnings table in the fitter report." { } { } 1 169085 "No exact pin location assignment(s) for %1!d! pins of %2!d! total pins. For the list of pins please refer to the I/O Assignment Warnings table in the fitter report." 0 0 "Fitter" 0 -1 1674689843095 ""} +{ "Critical Warning" "WSTA_SDC_NOT_FOUND" "MuxDemo.sdc " "Synopsys Design Constraints File file not found: 'MuxDemo.sdc'. A Synopsys Design Constraints File is required by the Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design." { } { } 1 332012 "Synopsys Design Constraints File file not found: '%1!s!'. A Synopsys Design Constraints File is required by the Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design." 0 0 "Fitter" 0 -1 1674689843158 ""} +{ "Info" "ISTA_NO_CLOCK_FOUND_NO_DERIVING_MSG" "base clocks " "No user constrained base clocks found in the design" { } { } 0 332144 "No user constrained %1!s! found in the design" 0 0 "Fitter" 0 -1 1674689843159 ""} +{ "Info" "ISTA_DERIVE_CLOCKS_FOUND_NO_CLOCKS" "" "The command derive_clocks did not find any clocks to derive. No clocks were created or changed." { } { } 0 332096 "The command derive_clocks did not find any clocks to derive. No clocks were created or changed." 0 0 "Fitter" 0 -1 1674689843159 ""} +{ "Warning" "WSTA_NO_CLOCKS_DEFINED" "" "No clocks defined in design." { } { } 0 332068 "No clocks defined in design." 0 0 "Fitter" 0 -1 1674689843159 ""} +{ "Info" "ISTA_NO_CLOCK_UNCERTAINTY_FOUND_DERIVING" "\"derive_clock_uncertainty\" " "No user constrained clock uncertainty found in the design. Calling \"derive_clock_uncertainty\"" { } { } 0 332143 "No user constrained clock uncertainty found in the design. Calling %1!s!" 0 0 "Fitter" 0 -1 1674689843160 ""} +{ "Info" "ISTA_NO_UNCERTAINTY_FOUND" "" "The derive_clock_uncertainty command did not apply clock uncertainty to any clock-to-clock transfers." { } { } 0 332154 "The derive_clock_uncertainty command did not apply clock uncertainty to any clock-to-clock transfers." 0 0 "Fitter" 0 -1 1674689843160 ""} +{ "Info" "ISTA_TDC_NO_DEFAULT_OPTIMIZATION_GOALS" "" "Timing requirements not specified -- quality metrics such as performance may be sacrificed to reduce compilation time." { } { } 0 332130 "Timing requirements not specified -- quality metrics such as performance may be sacrificed to reduce compilation time." 0 0 "Fitter" 0 -1 1674689843160 ""} +{ "Info" "IFSAC_FSAC_REGISTER_PACKING_START_REGPACKING_INFO" "" "Starting register packing" { } { } 0 176233 "Starting register packing" 0 0 "Fitter" 0 -1 1674689843162 ""} +{ "Extra Info" "IFSAC_FSAC_START_REG_LOCATION_PROCESSING" "" "Performing register packing on registers with non-logic cell location assignments" { } { } 1 176273 "Performing register packing on registers with non-logic cell location assignments" 1 0 "Fitter" 0 -1 1674689843162 ""} +{ "Extra Info" "IFSAC_FSAC_FINISH_REG_LOCATION_PROCESSING" "" "Completed register packing on registers with non-logic cell location assignments" { } { } 1 176274 "Completed register packing on registers with non-logic cell location assignments" 1 0 "Fitter" 0 -1 1674689843162 ""} +{ "Extra Info" "IFSAC_FSAC_REGISTER_PACKING_BEGIN_FAST_REGISTER_INFO" "" "Started Fast Input/Output/OE register processing" { } { } 1 176236 "Started Fast Input/Output/OE register processing" 1 0 "Fitter" 0 -1 1674689843163 ""} +{ "Extra Info" "IFSAC_FSAC_REGISTER_PACKING_FINISH_FAST_REGISTER_INFO" "" "Finished Fast Input/Output/OE register processing" { } { } 1 176237 "Finished Fast Input/Output/OE register processing" 1 0 "Fitter" 0 -1 1674689843163 ""} +{ "Extra Info" "IFSAC_FSAC_START_MAC_SCAN_CHAIN_INFERENCING" "" "Start inferring scan chains for DSP blocks" { } { } 1 176238 "Start inferring scan chains for DSP blocks" 1 0 "Fitter" 0 -1 1674689843163 ""} +{ "Extra Info" "IFSAC_FSAC_FINISH_MAC_SCAN_CHAIN_INFERENCING" "" "Inferring scan chains for DSP blocks is complete" { } { } 1 176239 "Inferring scan chains for DSP blocks is complete" 1 0 "Fitter" 0 -1 1674689843163 ""} +{ "Extra Info" "IFSAC_FSAC_START_IO_MULT_RAM_PACKING" "" "Moving registers into I/O cells, Multiplier Blocks, and RAM blocks to improve timing and density" { } { } 1 176248 "Moving registers into I/O cells, Multiplier Blocks, and RAM blocks to improve timing and density" 1 0 "Fitter" 0 -1 1674689843163 ""} +{ "Extra Info" "IFSAC_FSAC_FINISH_IO_MULT_RAM_PACKING" "" "Finished moving registers into I/O cells, Multiplier Blocks, and RAM blocks" { } { } 1 176249 "Finished moving registers into I/O cells, Multiplier Blocks, and RAM blocks" 1 0 "Fitter" 0 -1 1674689843163 ""} +{ "Info" "IFSAC_FSAC_REGISTER_PACKING_FINISH_REGPACKING_INFO" "" "Finished register packing" { { "Extra Info" "IFSAC_NO_REGISTERS_WERE_PACKED" "" "No registers were packed into other blocks" { } { } 1 176219 "No registers were packed into other blocks" 0 0 "Design Software" 0 -1 1674689843163 ""} } { } 0 176235 "Finished register packing" 0 0 "Fitter" 0 -1 1674689843163 ""} +{ "Info" "IFSAC_FSAC_IO_BANK_PIN_GROUP_STATISTICS" "I/O pins that need to be placed that use the same VCCIO and VREF, before I/O pin placement " "Statistics of I/O pins that need to be placed that use the same VCCIO and VREF, before I/O pin placement" { { "Info" "IFSAC_FSAC_SINGLE_IOC_GROUP_STATISTICS" "21 unused 2.5V 20 1 0 " "Number of I/O pins in group: 21 (unused VREF, 2.5V VCCIO, 20 input, 1 output, 0 bidirectional)" { { "Info" "IFSAC_FSAC_IO_STDS_IN_IOC_GROUP" "2.5 V. " "I/O standards used: 2.5 V." { } { } 0 176212 "I/O standards used: %1!s!" 0 0 "Design Software" 0 -1 1674689843164 ""} } { } 0 176211 "Number of I/O pins in group: %1!d! (%2!s! VREF, %3!s! VCCIO, %4!d! input, %5!d! output, %6!d! bidirectional)" 0 0 "Design Software" 0 -1 1674689843164 ""} } { } 0 176214 "Statistics of %1!s!" 0 0 "Fitter" 0 -1 1674689843164 ""} +{ "Info" "IFSAC_FSAC_IO_STATS_BEFORE_AFTER_PLACEMENT" "before " "I/O bank details before I/O pin placement" { { "Info" "IFSAC_FSAC_IO_BANK_PIN_GROUP_STATISTICS" "I/O banks " "Statistics of I/O banks" { { "Info" "IFSAC_FSAC_SINGLE_IO_BANK_STATISTICS" "1 does not use undetermined 4 7 " "I/O bank number 1 does not use VREF pins and has undetermined VCCIO pins. 4 total pin(s) used -- 7 pins available" { } { } 0 176213 "I/O bank number %1!s! %2!s! VREF pins and has %3!s! VCCIO pins. %4!d! total pin(s) used -- %5!d! pins available" 0 0 "Design Software" 0 -1 1674689843164 ""} { "Info" "IFSAC_FSAC_SINGLE_IO_BANK_STATISTICS" "2 does not use undetermined 0 8 " "I/O bank number 2 does not use VREF pins and has undetermined VCCIO pins. 0 total pin(s) used -- 8 pins available" { } { } 0 176213 "I/O bank number %1!s! %2!s! VREF pins and has %3!s! VCCIO pins. %4!d! total pin(s) used -- %5!d! pins available" 0 0 "Design Software" 0 -1 1674689843164 ""} { "Info" "IFSAC_FSAC_SINGLE_IO_BANK_STATISTICS" "3 does not use undetermined 0 11 " "I/O bank number 3 does not use VREF pins and has undetermined VCCIO pins. 0 total pin(s) used -- 11 pins available" { } { } 0 176213 "I/O bank number %1!s! %2!s! VREF pins and has %3!s! VCCIO pins. %4!d! total pin(s) used -- %5!d! pins available" 0 0 "Design Software" 0 -1 1674689843164 ""} { "Info" "IFSAC_FSAC_SINGLE_IO_BANK_STATISTICS" "4 does not use undetermined 0 14 " "I/O bank number 4 does not use VREF pins and has undetermined VCCIO pins. 0 total pin(s) used -- 14 pins available" { } { } 0 176213 "I/O bank number %1!s! %2!s! VREF pins and has %3!s! VCCIO pins. %4!d! total pin(s) used -- %5!d! pins available" 0 0 "Design Software" 0 -1 1674689843164 ""} { "Info" "IFSAC_FSAC_SINGLE_IO_BANK_STATISTICS" "5 does not use undetermined 0 13 " "I/O bank number 5 does not use VREF pins and has undetermined VCCIO pins. 0 total pin(s) used -- 13 pins available" { } { } 0 176213 "I/O bank number %1!s! %2!s! VREF pins and has %3!s! VCCIO pins. %4!d! total pin(s) used -- %5!d! pins available" 0 0 "Design Software" 0 -1 1674689843164 ""} { "Info" "IFSAC_FSAC_SINGLE_IO_BANK_STATISTICS" "6 does not use undetermined 1 9 " "I/O bank number 6 does not use VREF pins and has undetermined VCCIO pins. 1 total pin(s) used -- 9 pins available" { } { } 0 176213 "I/O bank number %1!s! %2!s! VREF pins and has %3!s! VCCIO pins. %4!d! total pin(s) used -- %5!d! pins available" 0 0 "Design Software" 0 -1 1674689843164 ""} { "Info" "IFSAC_FSAC_SINGLE_IO_BANK_STATISTICS" "7 does not use undetermined 0 13 " "I/O bank number 7 does not use VREF pins and has undetermined VCCIO pins. 0 total pin(s) used -- 13 pins available" { } { } 0 176213 "I/O bank number %1!s! %2!s! VREF pins and has %3!s! VCCIO pins. %4!d! total pin(s) used -- %5!d! pins available" 0 0 "Design Software" 0 -1 1674689843164 ""} { "Info" "IFSAC_FSAC_SINGLE_IO_BANK_STATISTICS" "8 does not use undetermined 0 12 " "I/O bank number 8 does not use VREF pins and has undetermined VCCIO pins. 0 total pin(s) used -- 12 pins available" { } { } 0 176213 "I/O bank number %1!s! %2!s! VREF pins and has %3!s! VCCIO pins. %4!d! total pin(s) used -- %5!d! pins available" 0 0 "Design Software" 0 -1 1674689843164 ""} } { } 0 176214 "Statistics of %1!s!" 0 0 "Design Software" 0 -1 1674689843164 ""} } { } 0 176215 "I/O bank details %1!s! I/O pin placement" 0 0 "Fitter" 0 -1 1674689843164 ""} +{ "Info" "IFITCC_FITTER_PREPARATION_END" "00:00:01 " "Fitter preparation operations ending: elapsed time is 00:00:01" { } { } 0 171121 "Fitter preparation operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1674689843170 ""} +{ "Info" "IVPR20K_VPR_FAMILY_APL_ERROR" "" "Fitter has disabled Advanced Physical Optimization because it is not supported for the current family." { } { } 0 14896 "Fitter has disabled Advanced Physical Optimization because it is not supported for the current family." 0 0 "Fitter" 0 -1 1674689843172 ""} +{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_PREP_START" "" "Fitter placement preparation operations beginning" { } { } 0 170189 "Fitter placement preparation operations beginning" 0 0 "Fitter" 0 -1 1674689843448 ""} +{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_PREP_END" "00:00:00 " "Fitter placement preparation operations ending: elapsed time is 00:00:00" { } { } 0 170190 "Fitter placement preparation operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1674689843460 ""} +{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_START" "" "Fitter placement operations beginning" { } { } 0 170191 "Fitter placement operations beginning" 0 0 "Fitter" 0 -1 1674689843467 ""} +{ "Info" "IFITAPI_FITAPI_INFO_VPR_PLACEMENT_FINISH" "" "Fitter placement was successful" { } { } 0 170137 "Fitter placement was successful" 0 0 "Fitter" 0 -1 1674689843513 ""} +{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_END" "00:00:00 " "Fitter placement operations ending: elapsed time is 00:00:00" { } { } 0 170192 "Fitter placement operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1674689843513 ""} +{ "Info" "IFITAPI_FITAPI_VPR_FITTER_ROUTING_START" "" "Fitter routing operations beginning" { } { } 0 170193 "Fitter routing operations beginning" 0 0 "Fitter" 0 -1 1674689843626 ""} +{ "Info" "IFITAPI_FITAPI_VPR_PERCENT_ROUTING_RESOURCE_USAGE" "0 " "Router estimated average interconnect usage is 0% of the available device resources" { { "Info" "IFITAPI_FITAPI_VPR_PEAK_ROUTING_REGION" "0 X23_Y0 X34_Y11 " "Router estimated peak interconnect usage is 0% of the available device resources in the region that extends from location X23_Y0 to location X34_Y11" { } { { "loc" "" { Generic "/home/tiagorg/repos/uaveiro-leci/1ano/isd/quartus-projects/MuxDemo/" { { 1 { 0 "Router estimated peak interconnect usage is 0% of the available device resources in the region that extends from location X23_Y0 to location X34_Y11"} { { 12 { 0 ""} 23 0 12 12 } } } } } } } 0 170196 "Router estimated peak interconnect usage is %1!d!%% of the available device resources in the region that extends from location %2!s! to location %3!s!" 0 0 "Design Software" 0 -1 1674689843882 ""} } { } 0 170195 "Router estimated average interconnect usage is %1!d!%% of the available device resources" 0 0 "Fitter" 0 -1 1674689843882 ""} +{ "Info" "IFITAPI_FITAPI_VPR_AUTO_FIT_ENABLED_AND_USED" "" "The Fitter performed an Auto Fit compilation. Optimizations were skipped to reduce compilation time." { { "Info" "IFITAPI_FITAPI_VPR_AUTO_FIT_ENABLED_AND_USED_FOR_ROUTABILITY" "" "Optimizations that may affect the design's routability were skipped" { } { } 0 170201 "Optimizations that may affect the design's routability were skipped" 0 0 "Design Software" 0 -1 1674689843901 ""} { "Info" "IFITAPI_FITAPI_VPR_AUTO_FIT_ENABLED_AND_USED_FOR_TIMING" "" "Optimizations that may affect the design's timing were skipped" { } { } 0 170200 "Optimizations that may affect the design's timing were skipped" 0 0 "Design Software" 0 -1 1674689843901 ""} } { } 0 170199 "The Fitter performed an Auto Fit compilation. Optimizations were skipped to reduce compilation time." 0 0 "Fitter" 0 -1 1674689843901 ""} +{ "Info" "IFITAPI_FITAPI_VPR_FITTER_ROUTING_END" "00:00:00 " "Fitter routing operations ending: elapsed time is 00:00:00" { } { } 0 170194 "Fitter routing operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1674689843904 ""} +{ "Info" "IVPR20K_VPR_TIMING_ANALYSIS_TIME" "the Fitter 0.01 " "Total time spent on timing analysis during the Fitter is 0.01 seconds." { } { } 0 11888 "Total time spent on timing analysis during %1!s! is %2!s! seconds." 0 0 "Fitter" 0 -1 1674689843975 ""} +{ "Info" "ITAPI_TAPI_STARTED" "" "Started post-fitting delay annotation" { } { } 0 334003 "Started post-fitting delay annotation" 0 0 "Fitter" 0 -1 1674689843979 ""} +{ "Info" "ITAPI_TAPI_COMPLETED" "" "Delay annotation completed successfully" { } { } 0 334004 "Delay annotation completed successfully" 0 0 "Fitter" 0 -1 1674689844077 ""} +{ "Info" "ITAPI_TAPI_STARTED" "" "Started post-fitting delay annotation" { } { } 0 334003 "Started post-fitting delay annotation" 0 0 "Fitter" 0 -1 1674689844077 ""} +{ "Info" "ITAPI_TAPI_COMPLETED" "" "Delay annotation completed successfully" { } { } 0 334004 "Delay annotation completed successfully" 0 0 "Fitter" 0 -1 1674689844297 ""} +{ "Info" "IFITCC_FITTER_POST_OPERATION_END" "00:00:01 " "Fitter post-fit operations ending: elapsed time is 00:00:01" { } { } 0 11218 "Fitter post-fit operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1674689844556 ""} +{ "Info" "IRDB_WROTE_SUPPRESSED_MSGS" "/home/tiagorg/repos/uaveiro-leci/1ano/isd/quartus-projects/MuxDemo/output_files/MuxDemo.fit.smsg " "Generated suppressed messages file /home/tiagorg/repos/uaveiro-leci/1ano/isd/quartus-projects/MuxDemo/output_files/MuxDemo.fit.smsg" { } { } 0 144001 "Generated suppressed messages file %1!s!" 0 0 "Fitter" 0 -1 1674689844748 ""} +{ "Info" "IQEXE_ERROR_COUNT" "Fitter 0 s 6 s Quartus Prime " "Quartus Prime Fitter was successful. 0 errors, 6 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "935 " "Peak virtual memory: 935 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Design Software" 0 -1 1674689844851 ""} { "Info" "IQEXE_END_BANNER_TIME" "Wed Jan 25 23:37:24 2023 " "Processing ended: Wed Jan 25 23:37:24 2023" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Design Software" 0 -1 1674689844851 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:02 " "Elapsed time: 00:00:02" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Design Software" 0 -1 1674689844851 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:03 " "Total CPU time (on all processors): 00:00:03" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Design Software" 0 -1 1674689844851 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Fitter" 0 -1 1674689844851 ""} +{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Fitter" 0 -1 1674689845373 ""} +{ "Info" "IQEXE_START_BANNER_PRODUCT" "Assembler Quartus Prime " "Running Quartus Prime Assembler" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 20.1.1 Build 720 11/11/2020 SJ Lite Edition " "Version 20.1.1 Build 720 11/11/2020 SJ Lite Edition" { } { } 0 0 "%1!s!" 0 0 "Design Software" 0 -1 1674689845374 ""} { "Info" "IQEXE_START_BANNER_TIME" "Wed Jan 25 23:37:25 2023 " "Processing started: Wed Jan 25 23:37:25 2023" { } { } 0 0 "Processing started: %1!s!" 0 0 "Design Software" 0 -1 1674689845374 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Assembler" 0 -1 1674689845374 ""} +{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_asm --read_settings_files=off --write_settings_files=off MuxDemo -c MuxDemo " "Command: quartus_asm --read_settings_files=off --write_settings_files=off MuxDemo -c MuxDemo" { } { } 0 0 "Command: %1!s!" 0 0 "Assembler" 0 -1 1674689845374 ""} +{ "Warning" "WQCU_PARALLEL_USER_SHOULD_SPECIFY_NUM_PROC" "" "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." { } { } 0 18236 "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." 0 0 "Assembler" 0 -1 1674689845483 ""} +{ "Info" "IASM_ASM_GENERATING_POWER_DATA" "" "Writing out detailed assembly data for power analysis" { } { } 0 115031 "Writing out detailed assembly data for power analysis" 0 0 "Assembler" 0 -1 1674689845652 ""} +{ "Info" "IASM_ASM_GENERATING_PROGRAMMING_FILES" "" "Assembler is generating device programming files" { } { } 0 115030 "Assembler is generating device programming files" 0 0 "Assembler" 0 -1 1674689845660 ""} +{ "Info" "IQEXE_ERROR_COUNT" "Assembler 0 s 1 Quartus Prime " "Quartus Prime Assembler was successful. 0 errors, 1 warning" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "353 " "Peak virtual memory: 353 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Design Software" 0 -1 1674689845710 ""} { "Info" "IQEXE_END_BANNER_TIME" "Wed Jan 25 23:37:25 2023 " "Processing ended: Wed Jan 25 23:37:25 2023" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Design Software" 0 -1 1674689845710 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:00 " "Elapsed time: 00:00:00" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Design Software" 0 -1 1674689845710 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:00 " "Total CPU time (on all processors): 00:00:00" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Design Software" 0 -1 1674689845710 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Assembler" 0 -1 1674689845710 ""} +{ "Info" "IFLOW_DISABLED_MODULE" "Power Analyzer FLOW_ENABLE_POWER_ANALYZER " "Skipped module Power Analyzer due to the assignment FLOW_ENABLE_POWER_ANALYZER" { } { } 0 293026 "Skipped module %1!s! due to the assignment %2!s!" 0 0 "Assembler" 0 -1 1674689845781 ""} +{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Assembler" 0 -1 1674689846176 ""} +{ "Info" "IQEXE_START_BANNER_PRODUCT" "Timing Analyzer Quartus Prime " "Running Quartus Prime Timing Analyzer" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 20.1.1 Build 720 11/11/2020 SJ Lite Edition " "Version 20.1.1 Build 720 11/11/2020 SJ Lite Edition" { } { } 0 0 "%1!s!" 0 0 "Design Software" 0 -1 1674689846176 ""} { "Info" "IQEXE_START_BANNER_TIME" "Wed Jan 25 23:37:26 2023 " "Processing started: Wed Jan 25 23:37:26 2023" { } { } 0 0 "Processing started: %1!s!" 0 0 "Design Software" 0 -1 1674689846176 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Timing Analyzer" 0 -1 1674689846176 ""} +{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_sta MuxDemo -c MuxDemo " "Command: quartus_sta MuxDemo -c MuxDemo" { } { } 0 0 "Command: %1!s!" 0 0 "Timing Analyzer" 0 -1 1674689846177 ""} +{ "Info" "0" "" "qsta_default_script.tcl version: #1" { } { } 0 0 "qsta_default_script.tcl version: #1" 0 0 "Timing Analyzer" 0 0 1674689846197 ""} +{ "Warning" "WQCU_PARALLEL_USER_SHOULD_SPECIFY_NUM_PROC" "" "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." { } { } 0 18236 "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." 0 0 "Timing Analyzer" 0 -1 1674689846241 ""} +{ "Info" "IQCU_PARALLEL_AUTODETECT_MULTIPLE_PROCESSORS" "4 4 " "Parallel compilation is enabled and will use 4 of the 4 processors detected" { } { } 0 20030 "Parallel compilation is enabled and will use %1!i! of the %2!i! processors detected" 0 0 "Timing Analyzer" 0 -1 1674689846242 ""} +{ "Info" "ICUT_CUT_DEFAULT_OPERATING_CONDITION" "High junction temperature 85 " "High junction temperature operating condition is not set. Assuming a default value of '85'." { } { } 0 21076 "%1!s! operating condition is not set. Assuming a default value of '%2!s!'." 0 0 "Timing Analyzer" 0 -1 1674689846283 ""} +{ "Info" "ICUT_CUT_DEFAULT_OPERATING_CONDITION" "Low junction temperature 0 " "Low junction temperature operating condition is not set. Assuming a default value of '0'." { } { } 0 21076 "%1!s! operating condition is not set. Assuming a default value of '%2!s!'." 0 0 "Timing Analyzer" 0 -1 1674689846284 ""} +{ "Critical Warning" "WSTA_SDC_NOT_FOUND" "MuxDemo.sdc " "Synopsys Design Constraints File file not found: 'MuxDemo.sdc'. A Synopsys Design Constraints File is required by the Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design." { } { } 1 332012 "Synopsys Design Constraints File file not found: '%1!s!'. A Synopsys Design Constraints File is required by the Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design." 0 0 "Timing Analyzer" 0 -1 1674689846390 ""} +{ "Info" "ISTA_NO_CLOCK_FOUND_DERIVING" "base clocks \"derive_clocks -period 1.0\" " "No user constrained base clocks found in the design. Calling \"derive_clocks -period 1.0\"" { } { } 0 332142 "No user constrained %1!s! found in the design. Calling %2!s!" 0 0 "Timing Analyzer" 0 -1 1674689846390 ""} +{ "Info" "ISTA_DERIVE_CLOCKS_FOUND_NO_CLOCKS" "" "The command derive_clocks did not find any clocks to derive. No clocks were created or changed." { } { } 0 332096 "The command derive_clocks did not find any clocks to derive. No clocks were created or changed." 0 0 "Timing Analyzer" 0 -1 1674689846390 ""} +{ "Warning" "WSTA_NO_CLOCKS_DEFINED" "" "No clocks defined in design." { } { } 0 332068 "No clocks defined in design." 0 0 "Timing Analyzer" 0 -1 1674689846390 ""} +{ "Info" "ISTA_NO_CLOCK_UNCERTAINTY_FOUND_DERIVING" "\"derive_clock_uncertainty\" " "No user constrained clock uncertainty found in the design. Calling \"derive_clock_uncertainty\"" { } { } 0 332143 "No user constrained clock uncertainty found in the design. Calling %1!s!" 0 0 "Timing Analyzer" 0 -1 1674689846390 ""} +{ "Info" "ISTA_NO_UNCERTAINTY_FOUND" "" "The derive_clock_uncertainty command did not apply clock uncertainty to any clock-to-clock transfers." { } { } 0 332154 "The derive_clock_uncertainty command did not apply clock uncertainty to any clock-to-clock transfers." 0 0 "Timing Analyzer" 0 -1 1674689846390 ""} +{ "Info" "0" "" "Found TIMING_ANALYZER_REPORT_SCRIPT_INCLUDE_DEFAULT_ANALYSIS = ON" { } { } 0 0 "Found TIMING_ANALYZER_REPORT_SCRIPT_INCLUDE_DEFAULT_ANALYSIS = ON" 0 0 "Timing Analyzer" 0 0 1674689846391 ""} +{ "Info" "ISTA_NO_CLOCKS_TO_REPORT" "" "No clocks to report" { } { } 0 332159 "No clocks to report" 0 0 "Timing Analyzer" 0 -1 1674689846392 ""} +{ "Info" "0" "" "Analyzing Slow 1200mV 85C Model" { } { } 0 0 "Analyzing Slow 1200mV 85C Model" 0 0 "Timing Analyzer" 0 0 1674689846392 ""} +{ "Info" "ISTA_NO_PATHS_TO_REPORT" "fmax " "No fmax paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Timing Analyzer" 0 -1 1674689846393 ""} +{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Setup " "No Setup paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Timing Analyzer" 0 -1 1674689846394 ""} +{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Hold " "No Hold paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Timing Analyzer" 0 -1 1674689846395 ""} +{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Recovery " "No Recovery paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Timing Analyzer" 0 -1 1674689846395 ""} +{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Removal " "No Removal paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Timing Analyzer" 0 -1 1674689846395 ""} +{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Minimum Pulse Width " "No Minimum Pulse Width paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Timing Analyzer" 0 -1 1674689846396 ""} +{ "Info" "0" "" "Analyzing Slow 1200mV 0C Model" { } { } 0 0 "Analyzing Slow 1200mV 0C Model" 0 0 "Timing Analyzer" 0 0 1674689846397 ""} +{ "Info" "ITAPI_TAPI_STARTED" "" "Started post-fitting delay annotation" { } { } 0 334003 "Started post-fitting delay annotation" 0 0 "Timing Analyzer" 0 -1 1674689846412 ""} +{ "Info" "ITAPI_TAPI_COMPLETED" "" "Delay annotation completed successfully" { } { } 0 334004 "Delay annotation completed successfully" 0 0 "Timing Analyzer" 0 -1 1674689846626 ""} +{ "Info" "ISTA_NO_CLOCK_FOUND_DERIVING" "base clocks \"derive_clocks -period 1.0\" " "No user constrained base clocks found in the design. Calling \"derive_clocks -period 1.0\"" { } { } 0 332142 "No user constrained %1!s! found in the design. Calling %2!s!" 0 0 "Timing Analyzer" 0 -1 1674689846638 ""} +{ "Info" "ISTA_DERIVE_CLOCKS_FOUND_NO_CLOCKS" "" "The command derive_clocks did not find any clocks to derive. No clocks were created or changed." { } { } 0 332096 "The command derive_clocks did not find any clocks to derive. No clocks were created or changed." 0 0 "Timing Analyzer" 0 -1 1674689846639 ""} +{ "Warning" "WSTA_NO_CLOCKS_DEFINED" "" "No clocks defined in design." { } { } 0 332068 "No clocks defined in design." 0 0 "Timing Analyzer" 0 -1 1674689846639 ""} +{ "Info" "ISTA_NO_UNCERTAINTY_FOUND" "" "The derive_clock_uncertainty command did not apply clock uncertainty to any clock-to-clock transfers." { } { } 0 332154 "The derive_clock_uncertainty command did not apply clock uncertainty to any clock-to-clock transfers." 0 0 "Timing Analyzer" 0 -1 1674689846639 ""} +{ "Info" "ISTA_NO_PATHS_TO_REPORT" "fmax " "No fmax paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Timing Analyzer" 0 -1 1674689846639 ""} +{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Setup " "No Setup paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Timing Analyzer" 0 -1 1674689846640 ""} +{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Hold " "No Hold paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Timing Analyzer" 0 -1 1674689846640 ""} +{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Recovery " "No Recovery paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Timing Analyzer" 0 -1 1674689846640 ""} +{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Removal " "No Removal paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Timing Analyzer" 0 -1 1674689846640 ""} +{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Minimum Pulse Width " "No Minimum Pulse Width paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Timing Analyzer" 0 -1 1674689846641 ""} +{ "Info" "0" "" "Analyzing Fast 1200mV 0C Model" { } { } 0 0 "Analyzing Fast 1200mV 0C Model" 0 0 "Timing Analyzer" 0 0 1674689846642 ""} +{ "Info" "ISTA_NO_CLOCK_FOUND_DERIVING" "base clocks \"derive_clocks -period 1.0\" " "No user constrained base clocks found in the design. Calling \"derive_clocks -period 1.0\"" { } { } 0 332142 "No user constrained %1!s! found in the design. Calling %2!s!" 0 0 "Timing Analyzer" 0 -1 1674689846680 ""} +{ "Info" "ISTA_DERIVE_CLOCKS_FOUND_NO_CLOCKS" "" "The command derive_clocks did not find any clocks to derive. No clocks were created or changed." { } { } 0 332096 "The command derive_clocks did not find any clocks to derive. No clocks were created or changed." 0 0 "Timing Analyzer" 0 -1 1674689846680 ""} +{ "Warning" "WSTA_NO_CLOCKS_DEFINED" "" "No clocks defined in design." { } { } 0 332068 "No clocks defined in design." 0 0 "Timing Analyzer" 0 -1 1674689846680 ""} +{ "Info" "ISTA_NO_UNCERTAINTY_FOUND" "" "The derive_clock_uncertainty command did not apply clock uncertainty to any clock-to-clock transfers." { } { } 0 332154 "The derive_clock_uncertainty command did not apply clock uncertainty to any clock-to-clock transfers." 0 0 "Timing Analyzer" 0 -1 1674689846680 ""} +{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Setup " "No Setup paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Timing Analyzer" 0 -1 1674689846681 ""} +{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Hold " "No Hold paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Timing Analyzer" 0 -1 1674689846681 ""} +{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Recovery " "No Recovery paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Timing Analyzer" 0 -1 1674689846682 ""} +{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Removal " "No Removal paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Timing Analyzer" 0 -1 1674689846682 ""} +{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Minimum Pulse Width " "No Minimum Pulse Width paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Timing Analyzer" 0 -1 1674689846682 ""} +{ "Info" "ISTA_UCP_NOT_CONSTRAINED" "setup " "Design is not fully constrained for setup requirements" { } { } 0 332102 "Design is not fully constrained for %1!s! requirements" 0 0 "Timing Analyzer" 0 -1 1674689846895 ""} +{ "Info" "ISTA_UCP_NOT_CONSTRAINED" "hold " "Design is not fully constrained for hold requirements" { } { } 0 332102 "Design is not fully constrained for %1!s! requirements" 0 0 "Timing Analyzer" 0 -1 1674689846895 ""} +{ "Info" "IQEXE_ERROR_COUNT" "Timing Analyzer 0 s 5 s Quartus Prime " "Quartus Prime Timing Analyzer was successful. 0 errors, 5 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "464 " "Peak virtual memory: 464 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Design Software" 0 -1 1674689846908 ""} { "Info" "IQEXE_END_BANNER_TIME" "Wed Jan 25 23:37:26 2023 " "Processing ended: Wed Jan 25 23:37:26 2023" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Design Software" 0 -1 1674689846908 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:00 " "Elapsed time: 00:00:00" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Design Software" 0 -1 1674689846908 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:01 " "Total CPU time (on all processors): 00:00:01" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Design Software" 0 -1 1674689846908 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Timing Analyzer" 0 -1 1674689846908 ""} +{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Timing Analyzer" 0 -1 1674689847410 ""} +{ "Info" "IQEXE_START_BANNER_PRODUCT" "EDA Netlist Writer Quartus Prime " "Running Quartus Prime EDA Netlist Writer" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 20.1.1 Build 720 11/11/2020 SJ Lite Edition " "Version 20.1.1 Build 720 11/11/2020 SJ Lite Edition" { } { } 0 0 "%1!s!" 0 0 "Design Software" 0 -1 1674689847411 ""} { "Info" "IQEXE_START_BANNER_TIME" "Wed Jan 25 23:37:27 2023 " "Processing started: Wed Jan 25 23:37:27 2023" { } { } 0 0 "Processing started: %1!s!" 0 0 "Design Software" 0 -1 1674689847411 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "EDA Netlist Writer" 0 -1 1674689847411 ""} +{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_eda --read_settings_files=off --write_settings_files=off MuxDemo -c MuxDemo " "Command: quartus_eda --read_settings_files=off --write_settings_files=off MuxDemo -c MuxDemo" { } { } 0 0 "Command: %1!s!" 0 0 "EDA Netlist Writer" 0 -1 1674689847411 ""} +{ "Warning" "WQCU_PARALLEL_USER_SHOULD_SPECIFY_NUM_PROC" "" "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." { } { } 0 18236 "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." 0 0 "EDA Netlist Writer" 0 -1 1674689847561 ""} +{ "Info" "IWSC_DONE_HDL_GENERATION" "MuxDemo.vo /home/tiagorg/repos/uaveiro-leci/1ano/isd/quartus-projects/MuxDemo/simulation/modelsim/ simulation " "Generated file MuxDemo.vo in folder \"/home/tiagorg/repos/uaveiro-leci/1ano/isd/quartus-projects/MuxDemo/simulation/modelsim/\" for EDA simulation tool" { } { } 0 204019 "Generated file %1!s! in folder \"%2!s!\" for EDA %3!s! tool" 0 0 "EDA Netlist Writer" 0 -1 1674689847596 ""} +{ "Info" "IQEXE_ERROR_COUNT" "EDA Netlist Writer 0 s 1 Quartus Prime " "Quartus Prime EDA Netlist Writer was successful. 0 errors, 1 warning" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "604 " "Peak virtual memory: 604 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Design Software" 0 -1 1674689847606 ""} { "Info" "IQEXE_END_BANNER_TIME" "Wed Jan 25 23:37:27 2023 " "Processing ended: Wed Jan 25 23:37:27 2023" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Design Software" 0 -1 1674689847606 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:00 " "Elapsed time: 00:00:00" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Design Software" 0 -1 1674689847606 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:00 " "Total CPU time (on all processors): 00:00:00" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Design Software" 0 -1 1674689847606 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "EDA Netlist Writer" 0 -1 1674689847606 ""} +{ "Info" "IFLOW_ERROR_COUNT" "Full Compilation 0 s 15 s " "Quartus Prime Full Compilation was successful. 0 errors, 15 warnings" { } { } 0 293000 "Quartus Prime %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "EDA Netlist Writer" 0 -1 1674689847676 ""} diff --git a/1ano/isd/quartus-projects/MuxDemo/incremental_db/compiled_partitions/MuxDemo.root_partition.cmp.cdb b/1ano/isd/quartus-projects/MuxDemo/incremental_db/compiled_partitions/MuxDemo.root_partition.cmp.cdb index a4f9676755faeb1e279ed9e3d20fee21c7a8e8bc..e334c3f8d1a54220c3003fa879a3a89c86c40343 100644 GIT binary patch delta 2580 zcmV+v3hVXwA^0JXaDS*CR~g4|G;P|n)K-fhMa*pql|~d3G-?;IxlMzqnuKr@Gz$}I zEgI295s@xLx^Uq~QNb>Xx+qeih)5SMx~R}a(Jr#cqSP+hSWu)wsUoFBYkdAQbKdvN zdCt6dZhI#&55EKFotZPwJm>j1&u>0*vw3N0=?d#tTff%&^?%l{v;OXGyKLLCZQ8WS zhBjR}A3vY9`RMxguIh_lV~1be+KzqDHkxut(_G#iTxQ$Ubx!-6wPQ{DYTD|;B$vh; zWj3xk<^A?Gx}9#?kGgH+zwMV>MXem$$Ya_0X7mkRzh1jbJww-*aznP4*N?q!NM9Ns zt0&DrRzB(9=zndpBk7&mo{iF9+MVn#x8;0euPgPW>q>p4Tsj^rm*mUsTGuCg%InJG zwe*zowd3D*kUN^OsDVOvJhTxzYv(jB&hFg(v5)Nj#D{n8{HSKln~uL|{pZ%TuPc%a zTMLLa;y@f&2XX2kv5uU^$tjG6(bTiAXISTB0xMtzth0#%lLM1q1e|}$>E+fBSl>56 z|CH$*(?4T!hpn&vpKr~)S$m7^HC#MzZ8oZ%^XKoe-?uk=I`y63x4n(^=WKjad!_9y zEiGF=YbvDcq;+lYw!W}Q=xsBJ`2;db6Xfj`Q?9;v0$rIk3IA*6*y0Iv*&CYRs@a4S zP4Mc}9$Y!K2XCEvf`5Np@*&bvZQDev=)Y5kX!0aaUI&+@6lAcgu}ETX2o;us4zd#^ zdGZM+Px98Ob3mcZBHZj0x6B{d64KC*cj#=pOgGy=s)NnhP2Xx@+tO`IXH_>Ri*AR_ zHNA&`O-q|?SkD!rQR*5io5rrOo@BJu*xA3dlK-*eEWZFAyHe zwn=iJxC$0p8QKncpu0vXw~id#vc+^)>OiBtw*FO=Tea3IN?s!gL*XED!c_sI( z*TMQdkTrQehDSVa@ENcV%>x*-p8PbDw8b$IaWT_)eL=%n4|bf?pM^6UK@$XTo8=G;j; zrzW|(o4xd7b2sh%HlQwv_okEWR^iec{U4jdw)pNcIf;L2QeEGivY%z^XFu64^^>-* zYx@)0K(FbgxlKrKSVK2jzs{nQJUx+3nqMO0mTv2Ns`Imn^{hI(pxx2$%XZxlo5Pw@ zCeXEOZTrdyr!E)Sn&zBp1Ejg$Vf{}doYHH>8*%E-8|>7%)+75};MB66J~p9Kf8SuI zWYaZk^^FOg(z4yqIv-)ZaGPshLlUGW8yl`ssvDy>v00dY3u1 zXF{i*yBJRC7W2yqoqF+NIJM23x;LUzM<;aZg^Q7??Ut#ZP3Y8y-+X6x-vvlto_P5^ zb1^dY0dwm3gqhlC`i*+_6aBtFp;OOpaHh_US$==FL|uMgyM!_|YWXQspPtaEji#TO z+qE_5_vK5-saZ)adZ1l4zkfBMQ_pWOC{mslz9imcQeT?TsSEQyHG9YJeAYX?zWVH2 zIdSOlp(Cp&_U&EWd*aa1)$6;#qpOFHuAXR4t$zOS9r_j_`F@ku_gS|u98GJ!O4y$M zC?bFDw`U}&uXd&6{I45Yx%PW(O;W|wZ$mog|IV7^ha~5JIIxza{O`9Ro%4UnPx3>O z<7e;3QhJAU+CptV+N8+`CC7U-KA!DASbIeIUbx7gvDBsN z(6(2dw;%ef73yGh%KCKe+%JuOFFTVY>OOxxWPwf+XN|y~lcTT*e9`H_k=6JxM-fJxOYM?JLl>$y%e+Mo)EPNvD54 zBljU8$vxIfoq8(!cTcY*kK0I+Ogfisyx%1GnDr!??9}&IFL}^L@9&0_PA$iAb}F9U z&XtOt^>{vAu~T0oy`4uYI`s*$-{kw_)=S^@G0;msXrsHk;pDr%;PscDbgo!0b?R+Y zty5r|p&aQIvfqYsq)%a9vKG&6eKCJKlFnK@>pJ(?Ov$6sr`A%E zOggt$?R=u5Q)|92ERXK3=v?lL*^wk`wW-e_ePKz~){?$m^qVAWYe{+clDAgutmplV zU7Vtn;;D}SUS};wdLQgJ*;&g`-S_*4F3q)f^qG*m+Y`=kIK^wA0^@UbLIfcZ=)A;_gOwVE(#t z;Gpg&cJn)Mu=lc}TW!WLxl^_|+-Iw9vDi!jVzW@RI|Sqs3&_nCd-cZxDx`UF?XB0A z{V|qRjQ;CH^NgT!KG$L4B`beisorAU>szVo>py8Ucu4xBOYI8lUf=C&>XYi+zE?Xt z_1^9E?ORiyUDlwnv`+=l{&|rkmJ|a`d#0OCV`>UZ^7ecq)rcK$PR@ViZ4%3ZtY?^i zTHoGD%84Y_?aGc@yVGeiVB6MozT4)ubwkO8=WNpSh?v`!iOH_NTHk-sjSbkgt2>=G z1GYVEnv#gNxouh8R_v4}O##Vm%i>lBB)6^8COdA>Oj#>z(-{Rsw&kAYw%Md<#p!)g zVzN;!x6KaI3Mo@RV4K3*sOf%k+cHldHL=syQMD0sqA`N>@daczUJior?5>~kZoVcCX}$ol5JTQ^r(P zT-FNPbVe?ei@dN+VzT4-V%sDpZ6yYC+azO6Vs~19In$WimbriMNG767bKAPq%eL)0 zm$j|7pTM1eSs8jXER2>)^}OjrbMN+`k19j@B$zenx+Xi;?$zB`@AjH(?mxH|-;Sm2 z+eKVt-TeO?+Cl5U1I^z2N0U~x-8W9#Pv(l6y#a0C9H;HUaoX-3r>z9jfNftNr|lQx zY}5N)E;*2ehjV`!+aIv4gyw*@N5*NpYn*M5j?-3xX~4GMjMG-4WI)?v^657q&rc z6r+pA7{kIC7B2iCY9b4wE{LfKF~+cP!2%N&L|Jsv1pyXNNi+r%g2oV1#rphb=DhEj z^PG9_T)0E+lix|sJ2PjVdCv23p5J`*cJtEG(q-1Kw0^bqYk#d@WBvWzcGzwvCYsZ@Q)wI=xNiK~y z%4}S7%KPnWbUWR&A9vfvf7>s&ids3gk;k(0t>_!Ne!X^=dWNnq<%Vo8uOEBekiIlN zR!^FLtbEeH(SO@yN76gBJsYLJv^&{fZp-<`URUZ#*OmH8xpX{MF3FeMwXRS0l-HHV zYw0QFYsbIqAa^)pQ3HkUcxWSb*3M~MoV{hoj@xg)<+e|J^5dE{Z#w>x^u(KHi0|K*O1ib;1Uj%P|D5sZN z-)DW#1pU*db4>rN$sM%5`hUJP^JeWGw%2g+ytUb=cFvzaVZZNg_H^pIe`tFf>(ATx zruItPTUuJSe%4e-*KzCG-fMkflhC_n67vaUlqSgAtEOCi@dUauYZCs~%(2B2=(0C8 z!4ZHrMnX0yZsewqZS2h(@VvtZW*)%6gK~R%2)X(n|ivj+d{qA8YM@$m~~^D#>j% zdkrAGQH?NqjJ!Y_|%R-su0-9Ja-GkI6}YRFmrZ=9K*`TR;1$ zcBvn?eO=q1&<1)ut<$ksIHR2v}8^&acbjc`h@6>r9=KX0&8=UR{KcY#yOcKXPK zPW^p@otpWy$f@^#n$$NZbV|#1Lzmyos|A_5-h{qAp;Ld`V5eq2Ey~mfOzLM7I`#5} zaO!>L)UFAgdj3KLcdV(FrrP(exYj>?ivDU_z&!-QY}}8?*d>Zi>46zJ3v9YSi*mram*F zQyWb`Gq-DN(C;f3kyEphTJ%7>Y<~ZGLZ@EXU{ItyEqqD5!KA)Cp;K?q`_$|mzw=q| z^!n#N^};`#PP z{*0wARfo2{>b=d4f%t5epeYv+Dx^!wSFBvJQ&>0t|Wl1SUSobZU+`VUkQn}WAb++xi$N9d55|Z3yz0|3vvVZsVO7f_UB*~<6*~a@#l8;$WlF3eekM)xKZS;X|IO)`K z9A~HE>Fr#p*jbO~(-k}QHPYL8sG?J!5c^HOKW@GBT^|Fz}X>kD3g=}G5` z^-`zaM%6k6wi(KiULpH!C`bAf<|S+K+}0O=vm@!O#j~z+m(7$sO1{^1o;JNnGOeW- zt9EKFCCQ|7d)3Y-Dmu01`@-_*WJTw4U(AjqS*uNb2I&h+vbL7=?V{f#SzAlWyO-Qq zv9q4{Gj?%`Qi`WO0(hOZ9O-?q-(+VkM|I!#R_v_%{*>t*XXkwtopn1Ospzcd{qBl? z&Sks0-{kv~)=N9&EY;t5eVU0?r6qrpScCtYfnS@-(xTvMM^ z=k~qU*{Sz#uW!$q`s}g>jir4mfcDReB(bCzXxcN~bQ)7rSdzEr8>vR@XmfJ@BX5&f z7Gyoc{L}jOPEt-Jv2Is(?Cegb&46uN)A??j+tv*w7oN9C(<5STTP7yE{%ZYy=5B1j zwp+W?X)|EkBc>^dXq(%X#cjn-Y0?ys+_o%kWk7POZM znpT|NCnY8u)pFbHFs+a>^#iskyp5XfC$}y0^idN#Z9RoKx6Q6hwke;vw%j%gNV4tW zT*mfu+qy|*$B9B)Zd;bDBb~N?8@p|8TjoMqK%G=CZke_Ri%E0ax=UrpsiYjBgDM5Zyft-DgGS58F`y{xL`akIk|xBHuu^Pj>tWkI%mF`H1r8cViiSs;2h+I|9e{$*w8(XcRDD%JC*56!*XgFdPZ>62jAr0c5eSi4ttW4+sJvbq1@ zT6{Z}w(k^ik#+O`b7%*x0}nQP^B+xG(RTkhZ9knWYW4=SeQTVyhsJ3;IZj&%rUBc& zF;3er$JwU$yIgW03y0TF>D@mU8;IMJxs2@(XxlqZ+f(DTt&G$5 v^f+z1#%Vh}PTS6L+RlvAwqu;OXU1u}b!~0`0{{U3|9Am>lTB!|iw|F_*9YvXcaoJtGqq2&C`e1{&O2WL!Ob$SpmzkI3$;_K^jsWhiFEg15M3R{}nSlf_ za)cWZ5O2KjLS;ShSVcf!cNG;~@jzG?3HsZ^#ow+MUWnQMzbszY>#zHDSG}%!iAk9H zO-)r-egE(K>Z`A+tGlcF9hl4IUNkS4I~b`?Jj{{zK?#yvF2|^k<7u;#K>;v-8trA& z$2K{dPN#t8{t~foc5BbXrm3eR+u;xa$vq!&9^ws%J&1oXm(JN?&JX6s!Q4EMTMZj9 z&N~_ZbQnNpcrT2nK&L-d)b^l!1|BnSOGz6KPeK9XT11|la=AmXemy|tYX6>sBslvO zmQ)KYWH0f|g%e_XSVF?nN2kYst799d)}4U^MI%}Lao4V0$y6}`6`UiZ0(ivmaq#%_ zT2|>j7*O7fa*FyrMDPT=6_M={j9Gow)btrUkcP}hu+rV5 z_+GF6TmHQokPm^QB%=e&%Y8uo56c@pJ*=zc*pR0oCR0-F8dnEd{AE?uCUXwNbavBho^*BI>|$>ht>ldVJSE2Zfqe(rC+S8eOMTV&-Ua zx&6^T2OgZ?VQbeP;N3QV8v4o{jUF*!IyZJ|w%D6|XmuUqiueTdE=4T+!5GE)sym>h-vm^{jZYob=yDHf~O89 z{!g~xdHyuvf9}bjb~5qj%EDA{)6dp^F3?TJt8R&UdfW6&gjzg6}jAFjz5=!1F0 zc|Ut-6J^sz40j{118Ioa^`2diOZ{{`Q{~HDd9}vk@ezoUmi27^0r}hkvK&SAKpLK3 zNym_6FVY-4{@!)?&+YevG6KXaH%dx zNkMXsCSGpU*6KWeVhuxhRZ=^S{wF(9N2$n>B@E#;MIJ}`c6mHaTg~foO|OsRDS68n zuh+*Q)6M5?a2_(Sn&DZ9J&08PPo3Ta9e79JW_X-7C{wNL^N^nyAFYl}@qWel#Fh=G zO;)#T+cY+{5jDBWE>`=VXx`Hn+-SO07<=n&soP~?em&eG20ms-XE0G zRvRX_%oIBGP-TULHc++)P?aQ6a`Gk5h!-aja@3KqS%&J?k0wrHs3mu5BBmz7rrWaE z#<6b5<|*53Jau!HG)u@E3iXiG?z)yun{rv5A2LZSwUW!@gvSR3+JWfDr^?AGi5p#F zXkueit^+!MtFZ%q)_xA?tY)FkWoySNs^)lEHB-E-?Nn4&t0^k$;80XnpHoy;hf`Em zA5v5e4ixxLPCF21sB*z-{2H0n`ZY4E`Dff^mnu~uqyW}sb? zfi{Z{OPk{xv~F>8)T(t^y1GdlE51!SoT6<~-&#j!B5u;gHO@_%qDGwJ6+2?<=jULE zjLyz~OjS>tn4Fj%otYS~a+>Aztpf+`x%wbT4??>Al_SN$;gO+g_h6|wTMOG^@X|~WZ(&}}28l5iRU8$De>Qw97YQAxPT29vTxU@8X zj!)AkPG>uA6(5@%U7yyzv~rEpdg?TdK3<-cUgNb~ecb9au2)V3Zc_TI;P_og_m_En zt{wZ=d3vrt=lZji>rSru_@GRO1CZeoSRXUhx1iU9?gku?oRRZ0!{LaHk7n?EW^^Mi z0%s;po8B<9jfC8U#|vRDHpxIc^B4|)H4aa0#((upRbkCW=-ypnJlOFRSb4bc0xY}hor zadc+Gl=8L}!rK;sVsGi4CyE|l4o39>uzkE2QB)5npr5!miy>{k`5G!XV~`I6?{_uK zH!~YHZJ0cb)yImiCrq3Cn}JGyC!#DLM6KGMN*6$GQuLLd#FJ&3uO3)zw+u^QE8fFn z$RqY3w(|79ukqvL`EB^gOOa~Z?d>U4t%r8>CvQnI@N#osvHyL+*yPD5k4T&AG|B$- zZN_+=3FAUbe!fx5)jFF}O`Dc#UDE2)%9CuBL`(i@`f2)jy)I`;ygp`sULWhcPBo2d zs`)12wG5rcY0}C`$2v)`Y2)RZmgO=m!uaDH!f+L058@MfKAmg-T>sDc|DKx%IQRTp za4wbeSTlJ*>*1xC$9R#;;L?9TOwb_@UNXjY(sg>RnpPh#2itmhG4$pIAp>o(yXPOu z_z~L5HCJ3OeXP%y`dFubx;&nORXrSm_RJ5CxL=}G?fFmWOgr(GkhZri4b)J{v!NIF zSuv1DtMt-*{ulc3w%|_io`<*^|2~Pb`M7m=Bl7e~?*AyLoohTI6)kl&P>jsisoHT+ zzbm*FwU-8wdA+w!v4RZ6>JCA~`~fJ}JEJwtT9oOq78%V*ZRgW}MDIn#)*v#kiPSzi zO%#`=iK2P~t;1SmG|^%fYEC~xUdFl!B2=Fgtz*7U)h2nRX{Gk{X@P5r2*O&VS}V1q zPZJHGLK}hky1a;~=A%Y;4D*`RGUV$GT+4$XtVODc)LuU=?-cmX9q@?Fh&Kjzluso{ z6Rbv~wWo9i-K%$hIcplKplO(=3+Nrrnr1Dq=LM1ZfF{y!rvvxjP=a*d3TYae4l)%e zi~MW5nzbaB>QJYM@EFk5NSRkWBAO)fh&V>{JN#xPsFuFP9r`i(n zgG3$?Cy47&+v?j!wrNJKkr=I7BQct_Mq;#Ujl^i!8i}%h>`ti6`E40y-SdzhjCcz2 z+V_<<98)BUhX|2w_#9E(0`-X`(zS7_h%tHc$M;V736w=+uAm{{$)T>iiVxvyuKEQtnjb z%dbZueF&+4rq^j6<#(W*uAzJXF&xvq*qWsBM^VqSJ73g$4$?g0L&%dSb!1zt# zTk=Ssk4RpcCz`Kh>QtBWMO!VC7CS1yvCI~!ze`BMvFsp3-b_C6_IuZnFLexhQFrQb zxp^2^GyAL0Pqf5reCOX>JEggl_j%8y7HA#_{;m+cs z>tRbo}iag;TADc3=+XJ75Ub{%ia~eOA!@Lff z9JUF68p9kz67|c~>!$QLHeUBKN5(vU)`x1d@QX~zhi2kK0rFx6kC|ri+uX?j$iNO^ zljziZ6P64qKszW^V?L>lOJ!nZycfq*%r$Z#QHN$Cb$<}_FF^XRNNFq%N)ATqLETw) zBqH_G`m&79E@>#A0mVv(V}n!=RBacX>hfEE0}OEtGCg3`v2 zqdX1x7%F%@Q|TY|2Jqhg_-eo2coTdrDmk+kM~3_BfLNvh-xU&! zqLQYGd zJ!;`wfb)4uv9~urP#j)8q$`+z$|(zUs*CF-^mCS zCjV}tzM?^@HKeArKcp8(EXkDT{^`>@XF#x$TF z4~aI`v!<5(PpcR`0!AD_&7Z)9YdsFIr8^>nv#Z{=+#qmSpdm6hWQUqeH*AMTlopal z+@WjSp(ndTPq9Nyrm6zY`sjH^7^LweFTCS54#K-a=4Uv-Lv(HyaNhagP|L3@4~wcu z*3|wp!GmXzw*2Il2uK`%nDA!-?>FCU4K&EKP!!s2bHR%~JR5lYx!y-T#{u3Is(-En zTqwWY0Uip^T?{yvYWR3ZZ+QrlwWy0^O)hYWpAMJ$!7uZJU+xFL!Vi9>AN&n|@T>gb zZ}fv-?FYZc4}Ps5{7rUvafwdomQkt z?T53Y#U%MVheiw4d42?kOeY9ov!~nP5u*vkpJ#_QSWQSi7;v7O`$|3Kkv?P+Lz?h5 zpz)XO29A)>;h?;LciQ0*&AUSE5lbmI8@CW1G{k%d%ocM;{w#oW2T8 zlX{#LY4VWcfws7RcADUq1IoJ88&qk)69B}YlZ{WziWN3q0jy(NyY0{hD+{l>*AM<6 z;AEff946rlvA2Nrov9sVLLU>L7dxTbywJx3ZRs$R>XUx(*ZILO@PmKQ5B@#C@s}&K zFX}u}cw!`LGTwc@489Kr6yWBx_XEvmsuf<>W_YqJ+V=o|Sa^8P!&d#%=C>c&1*buO zY=@dU?Y{u0)rLUcyJP z%<>SvCV;oOJ!+~tNbd@JXB2R5VdNf+YPo_hdG?hm!^PgJ=dD_)SRAq?6rVl{g7L{yrX?_ zoLC-z$AQxT+Cm(&1sIm~XKL9DP<#_&ur$zH#22i)`ztGZ%iY7}$|{orapALI!{_!R z1NiW>GnX@+nsAmAYAaB-5O3u+V7R4!b#bt#x}vwg`=sgsK673+?V6*EIG?i}WS;|! zgA1Mu7)Nk@I8&c^i0k({H(A>OBdcs4_d~o;K9@G5ZcmP%9s0!t5Q$f4Y~+Em!i_c(=G>`D~*A^6(d9Wq2A&eCoQf3 z8?2R_m)2K$;@{wjzseK;Mo;|Jp7?7#@z(P@#nZg z`j8j;!$9+tTdtIcaTj>Ff2dn{cO**{_41N=8E!;DRtpIHM;zc?A^s)@c!Bn>fro*YuSClyQyOE z@Kg1E?$H(6rhI`IAe< zlkx+28oh92Ko~5NrRCDrAU}qH1h0@U4>rJsS3CwR>)q~C{lN?Uc(Uq$vgr+~i^@Ox z!TI4T{AJqdDx}ZDy_T%-t`L8K16*|5did7@Qs@e=-4pz)Vmi6EFp zvJFk|3aj4+Fnf=9hOj1onrq-8od4~vJcNjMw1CGu!Gn4(ZN3#kgX>$M!Mni2!xi2g zG{9~0e~+K=_a?)QCoW;W_W_PS=iA*kc;ep={CvnCShKj$)}HSl%$NEK1-Grk9{?}z zWo)Yj(aK1+VN8hH5BjtCkUxtL`?I*wpT$S~S$x!=#mD?v+yoYXyuGd7dF?3=b@#6+ z4La?U`v-91>B?zm`^SC2pYQ>H5@7r}5AvHm@t^j@f5sF4Sx@}uJn{bsywllQ%uJte z0go@VfX6K@;PFN9@HpSz3OFZmxAX07e!_3}gMY~neup3YPQab#ue$)I+1)O%{{`ry zI0rS{=q^_BBbA|lfl_yQWx3Ro=RUy<42w zsHd<8)nh8&><53XAAH&mz6Ef1f1U9YKI;cR(+_@@AABo+;O>^&<|q6d!1)1^`g1KY z1x2!^3G-aL4jfawyTyr7zH8IuR{Hkehrdru4h-B%&nuDXsi~Rj=F#by4f^+SsVfDV z_sVOPNG(Hid*;*7+&9mkF;Kg_xkbN<4TV)aem3p&jxZDY)Hl(m?rDr5MJwtX>C?Iv-o$6Olgi?_#o0%6SwypS5=1v|2p}rkIvyB|K zVZl^k{yCzL&ebat_X5@M3Aa-{8@-t0lee-^1ne`3) znUVEP{F#yUjr^IB_09b09ZCb9jk6nUsiO7;rdObxcSyHv9Iu`}J0`1So-8z+c^R$* z3(l8(8_dK*_Z0bLJbOy<3NGaH4Rw~aw=F6xDu{|mR&t0pfFHHt{+JqveD8*tiF|3i zej<*4@Cgn~?AV2eA{N?@ZSOd?vyjhMaC@xCOtJ1fMYABm0Lr(x* z)7>qLWj+mBLIv!NZ>>~Hl3wQ1u&V;GhK@>u4!A=<1t>Lc`bR@VQ*H*9b~k@kK27OQ zd!auAw7XySpvlzy_zHgPL`*TFamc1=Ua=3UG-yAdX0_9h1AhVXh$Es(hDT}0SK8I3 zO0)+f^D+$j!H4YdG{r~Up=;csr@BL5OA zR|e0F%f0=p@;J{)w~_e>3*ldDGiok)j=Dp~0Od-((m!0q8lXpTjbtNQi<%9d_(^xw zd5ewqOFtW@DS!Q1yHL|4u*DBP3-}`F&7ZL-ozh(z80L@XtSDF1>u*?q!GX%%`BJa? zTvG7HN9t-Ut&L~el}v-4Wrv!~v(*p2%@2My;9OmAnVFtlH#0juA)GamO>6x{cKK=0 zi`}7@xI-_sLrvXv8Q{EoJuq1N>_&N2#7yEKt=X5`6@N&BUSWrtbiXoycMPOnKT9~z z=rUY_1CJH?ak$Iz46x`c<1KxD!o&D68EpckggEUq@uZ-k4J-)4-AL8_%3`1T072hK zFFv<^YPZ|R4ZjL*WS%~!N?n8+N}I2Fp}+2hzQ+sw4WK9pGKS1mR(% zS=%md=zsi&16+$n`a8so9@iDZe~+w(?(;Axv%*8+xhMI-p8`0WUU1qe_*5_S(*o7o z+nj_y&#&bN`@s+KgCFV#e<9!*Jy!V4ixSbz?f}aBseZVZ@FN1@1vj7hYrydr-4|BYcR?yh^l-Q1nCdI~~ z>wi7Oo@N(o8Xczt&U&{ivkg`>2og_Wud%D020a56?4(Y-YK0^*q+vG(V%yVyULp;; z2^D5br6DJ+NK+3@S1MUo2RW(O*(I}qSJJqL51TenspX>rn}Iy+@V|Dq1)V{ zXS+ks0o2u>&IQ(O^t{flu*nr)Z-;{-aet0F&spd5oskzfBQFHfapdd>puHmvU&z+8 zD<~3ebP+1JVFce4?HTFD2Y*U~&HZwhW{mV=ck!3FLoaoQUgi$H98g}mVMk1{(w+Um z*cG1mD?RaV@Wfx`iGQOf{%YWHe?5nHzOozt8c*TZ0&z?w(ky*OEa%y-{XvY zFOc}lWj4_J0+EF!q8}nzvgH*O-9?5Q0+AiEQ0CKs?+-+#U!0^NKj4h~pfmDA&d3it zBR}hm{G2oLADxk(2hw!``2`Q`Eq~4$-wLGTqUtthp|`sW{gNGOS}cFZS?BNC1%o1S zdU(Jc`k*`Xhwjja+@U)IsN=Jap8{?^8Jl|dmv+5P@L$>Cph)cEM-%myPfF5|zjGJ* z=V*-M*!>GYZ4;HrR0js49lQC-fq2s$E|d7Dc;cTLsBhRNZ4&=97x7);f`8!Yf%q)e z6A#v&131;ozG^rq5Nv37nxFm^pgtb+e7k72iOZ{`CDtKLh)+}fP+-YB-CiciFF++V zGXH3|iNoQj^fBELz>|Mee>u4{Nbdr95MG>!*0oF<(8AY_wxZLlz7R;q*{RJAZO~aX zsLKvdQ+hR^WF0lN;H@q#l7AfZ6?UOyoaWyj^@D%R4o_?H&)lIeK>udx;;zt;FU$xP zF1Y|unzG405<-v6C^S?z_u`CDq3=-{p+f540E*X_awnI2hF4cJUqrt&qhw*KmjP;< zvmzcP8hmtCtZ4BuQ=A-fG|{F%Pfn}24kLPn^N+U^cr z><;a4hjzL{mjLSK7Z*AscQ_+2%7zqv_z;k;{mNfn;-Ga&J2@?X>7dDIS1bN?ry zu@=b@s8IS@c4&jr0{+cxa84XDd;tY!hcpSl#S8sKFZ8WITTE_J{Wd@N?U{53aU?8( zGkECU3pgL<1+SY`v44x#=`#oX6<@wAvxe_w7nUzy98ppnm<)NpUt50e2mb@$v~F){ zO{q8aUb#tsxO9SEQi$Ig`WvJvVJG8QUB%-l6FyWV@(nI-U zv%%wopn&Il)XuH#?Oy1`Ug+)s-QFn-9m$%k-sdH|;)Na$(0^|4983ggw@+_x1RA5& z{zNP8C@X?7p5YC3@q$$eBjouI+cz~nv2kd_riI0gGZWLJ`IoGjnBK5)>a@R!beuS# zu2hA6S^LKfJ`VtfEYF~h!qxt*@jGnOlaM0uXIJ@|*L+1Hz=&qi8S;xB-L-4Y zTg+|`rKz#AU(f<6c0KkM3Z5v;HnsQMn3YG9tu=a~;*pI)xwf&hT@`Bu)7{R)-h8zj z*zJ07L1OkXb?d?2w{}hKJd6}vUYRv*#d(ekKeLo3-O_H4eS(5Yn(eV?{Pc-s^?$vp zwQ^Lp;smVj$QYepo%p0!yFKhHHv9a+i#)ThtJ%RpF$uAsv+b=_6q2dEKn-L&#Mm!( zDGe0%)#p`KtMh`}+%E%G3i~{!R5v!?u7`R;VdP=IYP7HV{@U7FwFkqfhrP9XhN8){ zhtYBEBdQg>-wJ!M8D>vjXQi;~!GC9dW+{8d9*0}?u(u_dg1cyV+l=8qaEr`*eXDpF zJ*Y_vPXEeuI^8WycZ-x(XexQAF((U@U2gfqu3gVn#?0k@#D2L2|MW+P@5K#Ivs)&2 zwv=M0;P)TQQq*Jc2BxxL2UwVSTx+E`!b)Lp%Rw#RabF8~*fsT`pye6fPk)D*L}pNN z%jLe!8{YIQJ?2Oi8R{ppz)0bvm=?P~@lb7=vsm4w_NTs`YfE%?*faJ}S2+8`h}w5F z-7`J6XQa%{xUC4UDeU*h_RMIoOR@KyeIB#lso8F|m|fF3iq?

aEbj{uIzI#eQ9B-$kITZ|q8bZ_eD=e}A-6*xS;}so$uF zoku!__OY-0E^s>C*$N_izo9@;N(%M7QWlItbF)h|Fl{KfS~p!QMxGI-YV1j|4Lq7G z(2|sdxD>_1NTC!o>Y+~JMv4Ya?MxdW4h3p7NX3$O?nsIT#Z&?Rsf@N^1H?$tr0RAa zo)l^aqEx*}#Tp=XJ%1V?4F!ojNX77&_1y3oPN+s69s0?;W_l_f|0YAohe6pRk?Gz> z@hqyl7ielft+nDQvHi4W@3|(o@_5>`6`OpOuX*aRXD+PlR^MAF>`SNDl7v%*o-P*b zB|~g)G2xsZ(FK~_9<3P2b}8u;+LQl|Tbp>G6w;|IP#;~E1%HZZLxJa{l+3h2ibH{2 zG09Y!K^CN&P+M&GsotQ6XMxfqKT`(bx`Yg=LDCKs%- zEe+R|YFR8P96HMWl(-ek;s(VUR9AW!+tTEeW1p1v0tz;?i3=;EJ?s}n%IfykN-1_D zw?eF)hl7C{=6^zdf5L2Jb+y*ed|9y8%ENBt5_w3b3*!Y1!$UP+LK-OS1*$7OdDx{W z3cHWlDR#T2eO9YCwDwhTh;k{TruIuf^&~*@P_IMDg4-xI(PE@xc!a7ZQm~MrW&N_- zr0BM6wZ0PZTsFw4hibKv;&Lkw`_~)nw_WTLn|)F`$~vm)?(JLMFTc_DRK}%J4AM_q wp@-cb>Jf$3rKUt?J?tK2Z%g_*NL%ba-cObW`ZJ!BWPy_MCo1@VvpFY?1qf8Cw*UYD delta 9915 zcmX9i1yt0}^MrJ%bc-Meh)5rKN{2MkT}LC`d=R9&C6Dfq?(RIKySwvf`1Ae$_Pwcn z`(}6E?9R?if<~`KlmyW59TDNbA;j?i1VIM-fAl}x`{{qYE8?LSR;rGe*K>I8qp}{3 zFd^cVFtYjwgOg*^Dy*QUxfZFi!{w!8S|96{LsOpDQqD$|zv%Qg-z*3DOfqDxhceZK zM85aMn`c623Q{WQ5iPZ5@%}VFo)vV<2DCZA7BBdB(m5Pp!?NEyMO(L)8u9dpof_P}*G*2Dce2_q1@E>wChl{T^C|2#D6P1Sv1N5YS zEnm`6)4bIb7yQmiU5A@lK3EF~prM38-kop84N*p19UwBPJzi_mbaHqCVn)hob@o;rqM<^$re}GReCN3@qOUMgs4$@AN18HlAMilajM4M+Q`ThK z<3RiE7jwDPVzuoCpW0i!Ve-hn?TB`vqVzc6_a9Te>1TQNR@3_(jnm1F?q@s}*L1M# zjh^dye%kkkhRQEf3zt-_o9#=(CT$<5kgI}5wi8W zi25~!jYb2fQMz4matAxEQvO<4wVTiQUQ@>U&D+AhnVqfco-kIKd?uAwXRh94se4#+ za&r)NI4{?n$_{yxZZFtCUBGYCDV}STUDG* zj_jGmMez_=&=f9lv5<3{K*Finr9Rcf=-73}`XU{D)s8LE(&PCN6|3@Atr6($ zpPUcSMt4-rMtdlEq#V;25lNGo#-*E@W_&32h7RUILSuSp9TwBQmmp`;5L-1m3^ z*B6ipDH@HgKC7m+%VQ!e=`D}Z@TinFVw_hKeYOQBtL}>NG~) z#%Rjo$CxU#TVzEQPkpB;oKgW^kdcT|@j^h3>I#mOGNn5Ixu>xO$69TYY}EnJ$Ua{l z1?pM*9KKwe^@t;Kw>G`Ls+DzEGFG^fno%|4jU#&IM~qfg9k{Xq)><4D<~2A&GNdf_ z8UU<6WYE#}jh!(t#*{!Dz&I8l>OdRUdwm6m8WP@Qf%F%?BeQsBigu*4sPa~l&myxd zu-Bo9SCcE$N+9mfU)b+b3E_ijI)cANX$6N4t8w`KBr&9ZUrq>5j1%#n8DYpsyL3_> z&R+ho-$bFIOsp}|oiisqBw0MOW$xJM>5{AIU8P)VTXhTc-kS5;0l^b{8=$l!^^Ngy zkdQ3&g{{}{w6b^f(!3uZ!oyadf&P1Eci#Mi|q}kFsmz>#3WY|QG4dSF zU}Z^)%e5-53-1*USz0cv{%u%x6bK>IMqa-Uu~;*{#J{#+%NgNW`HBL;J~vo>ENi?q z1)N73xh5c$cd!0k{WgyUm=*SFJ`SNN7T4yFqeP_;cx^G987FNQqY*8zh@e^Zc(`J_ zbqjTyraxVCd8Z1rA%oorm8_du1pSL@#zLMpS)@>PZH}4Y0Ei?-fOPAPxkDQL9JFFW6_`qQ7$r{P%4$t^wM+#VoGDo2EejlS?cnct?$7zeZvPvX)}d+cCuV6 zbj=Bw6Chbl-aU1OJud5J?TJe505K9ERfMGM+o6ajH!R=b2zv0$`@G_Cfv}Sf;Ev|n zQ(4mCnXxouUXZZblWO0cpkeTBcF6SB%QecC|Crd{*wWX+>icS|`sL2C($_%5< zEY)`Cxf<`g^DK;XDjM2gRG;cpG{fUzX8YMDS~Y)RJC@|U&O&2ttA#nP>H6k!-J2Qp z+$=#i83xOww#7Prb>bFuVfTPwvrt3f6Ry=i!O-xWo#FiVEJ!8oYbufGwxvpGLCF$f zGX>YuH)GwCGv$(Yt%^nz!V5p3Utn|ES=usrWRvXGHWzJ8sXV@*PY8o=e>1(({T`>H zb~1g)ZW?_Vj<#j^K>(!<-unwKe9?k3u~4&j;xCF>V$_mVEdZ#hpe%Dul{~%|rd7?G zKjj0VfA*=&vaCm&YlZVwm}JxEFY>q2P*xf!(a<_gaK+L^@@rS*?L7eE2UiYJL8L4q zQRk}VtCFN>Z*b;XcshG+?6AG-4(kkk9fpp*X?TsI05(d{2v@1LUj zY4@?)_r6j!-%}sz0@L)N9ECBW?g9M_W6WVR#Mv6f+F{P6F(f!z9~2XoFepK=B|n~~ zQA5--aMTR4n0Z%4Porzl>%9Qjn;sU0lnh!O^865z*iZydG=HeL1}9rXa(RR*IJ7gn z>r2-skpX+r2#aM6_g8ytl5LTf@siYH?sQ76+?-_He786NWy=v`=|Fztk4=v%T|7A0J$(r(JeX4D)(wcIzpUDn z)tO5;p)t`?AFcg+wOQzveJfon=ftbmK2;ga%iUfvOf-j^81>s|jwYzN&~pODswdVu z$+j!DRLB5qZyV@ShGB|Rp7%RCmmZs0o_ws9jd1yFqczUU`>(>-UP{LDpj%X;Z!(8$ z;pIF8gC@$m^bFg$-Gw6O^wv}r4p-|D31#pp|A=6?@XqFbS>e=C>`^KH5sQvaapU~t zrLbl|u-|CQ8|NRtblvuEc$JGG+$CC$qYcIK!-9an&{(K|So-P7*EqJydt_*UZjmF48349sZ5iPgb)cw@)AfE%l=KhD#P{*KzM!nf~x)s5}3 z3WD+K#5?Zb(GecwfheSEKP9g1*!=>6l<&85lAuD3j$_f#3HFz6wkQS@ zc5jMaMU;LHZJ&M{YVBI=XMDfk9IRdbpi>{cO|-UIJa2#M2kCb6$PV_W52&$QWr&?! z_A|&fL~`XOk+Dnw`xD9(x3u@N)Pno?$-4~u1= zqL_v~yv}?_tr%B2Ig%zhJ|z3Yj@HjrgJ{~Z)soX=g{NY~omZ9B`EB`&T8CJcS-i?v z5y*JgaMnv<)^lNMJV)QN?QHeH#sH(Etb=-&#g;V&Bw2Zu1djwbF&E5Llc9}2XB7zC z2Kjv^KRCgY$>!x2i$qJOcRVsLSS4+687ivbm{7hQAN1VdQz(4*lUm*v!-;F6ksh7v zW{=&@BJoR7xsjdAYz)UCna_Jp-_?6NmtBU%%sQk&)P|9aXDecD2+O}sy}OR*8JX+n z3t_N{nBTh!C=iZ0EtcoPJ2>GZpAGmDb8mI5{YroGJXvj5C~SjNZ%#W&WEg!i`XC#v zRxfhlTrgljlo4}fBP&FvP%E|6_*IkmdzL)>?V~2^rBViqmx)r#+xC03jiJY6l<%h; z3IjH{WSBVlM$H@D0K0 zWn-^NXw@A#@?69W>IClT@z@tUgwduh!NJrToXkGwA4u)W&mS z>~D4?IJxxSQl_zx!j%9s#s&a@&BTcS;=zZ|Hgvjf(sNS=CVj+qgP=xPnuZ?#YxE>_1R`PD{WzI^Pu~&=vvQE?6h7*?y;d#*2&nptH1i=3VXJkd*OuH+n^f`~Ak@*L8|5HyW9-=10t< z+WJ*7Y8w?@Lo|NHM8*5PT;zu)Vu6(R>E z^mNpiAlL|UPwV(B0(&rxEa)0#opk|+f+ln6n;W8@RiFgt34mKc=`;QrOIhvVjWPN# z3*uX#mpk8pzsgWd0{Yr4wG~q~);){R{5&ewr?%cXd36S1uyK-H#k@Thu^Sp2u9rf{ zY}HJl-d78s&va5H(!I){eqd`K5L)8>-brlefOtTh?;MBW<>_PNAYA8~DUUQiZa_bk z|F~)k_j`#Kz;h7wgNM%stD2ck^^MtDaCFIlE8ac(4a1iV1fG1aS7t)WEEkR3U9l$r zS|vI_nc!VN0{;)0$ zN5{eazT4L_21cIGZUgo{cw!HI!dqiTb`4#~&I|Fo1dji@^djz1i63_q#0}o$?0l^d zn4i!)^HddB%SZs}mD~FSh7Ve!r7kBJAnoI>B^pZvt}f={WUipULU&mF`u@5m^|uk9 zvi#g$>#gO(Dg%GJSoxXk`yokvhdCQ~1U9vOp!Y0pE~m6?%!9Pquse@l1G1S+tZe5q zih9Xu7;s>K|HOuNmeu8r=-OK=qCx4s_fFd=dU>Ocy>$lf2?u=R<$ZdO$V0A+N6=V; z&Eeef+osFL@E8$>bvU=$V}0|WJDU_=J5}6dG1LG1r$^y!SL3Veu&}ssRz1L#Ds@NU{P#MIHrj;+#(O-86tg zmueMV8>M%jJ@IEx8y;t0w;~)3Z&Bparyl&C$Btv^)@nc@Io{Lz$FZoUF%O>gkTyYI z0r**yoJSPJQ(>xTb32F|tG+wPy>GP1M<*Gm*6&*8WT&~?`z^jq%({o-?;|lx`pXrB zrYaEgXMT1N)_CiTI?hphm5COQc?=)zNAW(zLdcGn+C~zd* z-Y`x=rq0J5L%nyRv6s{HL*K6^=3@NLLjFNZ@NC9)ePuhiZ5kg)V|a33eMBw&2@KTn z#LnT27{k?G0QZXrO(3q?9&_kz^!m?%Bi&X0j^HZo!Z1Bu#$p$=!6E6PzJRJ0!7)mB zM^n4v5l(j_N0WtNk%!9!*)0`od}rR)@&aePjTKq`HCM4650`B2HBa~iT5|86pLgnS zzZGmb4SA2wQZN$ei9$`1`bro8u+7r#WwypY9wSBKMqFZ^L>L!l>FTnxcCmcyw?O>$ zY>DRT64W+@NR{OPY#+ru6Mm+qqG0A}Ex>2F(*nl} zp}eRU+@J8_K6)6EPvr@pgCq|eR=pU=YipWy4aPHVwnUC(ir-Vn2D)&4sU%Q89H z5Tf}&w~u-BmG{0b$~~)VJO_`9+5FXg#3>77{4GvcKZcf2Ol9j`3G(jWg4SVnQ2?nz zBi$T2y#cCGA$w*n#*9-i^8;8T1`v;Y_6`32Js%)|RrEn^K zG939oljr#f;%b}n01G@dhPrcN*WXB_Q$P9>OJXhRE!!(PSAS2pzqp^9*Uj}cPMo3p zJi5D(m>-F<<;prLPt$m#tmx)ggaKRR#U=By zD|~Ot)n<=^@=39(mk;1T8;^=Y!9U@lJ!D2gf4#kX?PwLy_k3l5w1V)r>G273wm2?w&FYTG)7T)lXCM<8 zl*)=rfN2A01%k147l&q*aI)f~t(N}0uAx4IoGAiC)E5xDP;h7)9CBs{5J68NcFgLh z@LKnsnsUx@{`yMLVYU3RZF_J&bAH+p^b+y86z@hEVFTtC^>W9b!x)~b(r;jH>)?~% zXa3c+(P=}|#uf~L*0EPqE}Xymh&#GAJUtjzfzWXuWecqQBw*xuu%?LC9_COM|~RZpQry1iT{U8pDuB85$o0uQMHN+yngNd__#wk9&X9N46#m*`oS(wL^j%t zt>%ZaBh!jwx}NC~;t8;)3+B3_5TD-$@C|8d5fKs*ii*)O^LCTBN6!Bgk%<>+1x5~4 zT0t88ZzOJBq0u`Zc6PnNDrKmyh5oINf+gB{*y)?wf%J9-KCgu6Wk?wguv@>+%~XhG zy*1@c!FYl!WIBcK;hv>Ln|R$Jl!%1Ntg?L7ye#tztaQ+NTm=>ob}D~bT-+t$RK*Wq zbnwM+?VS=SxN{otuTHL&{D}Ww8&dpLs2?9?x2>}_3SX*Q7Py!RMU5lldB~>8Vyr`yX-WYzL-Q^n z&0|oM`6br-F=GpFB~q~S`#h3=Ama&6)`dV7GRM~nRrBWknE-;@q@v=7u58Xt=-gDU zbTu071v~bAhs5D2XHVZ^Ci7Beev^pa3GSnV%dVd3?-0vc9)yrKZJIWrE0yGFenJE{ zHB?xb%%k7X1qdcp7k!J4wWbF#p42|2a0!${7>sv!`&sSF^%c5GHXvS2#APXPHgQ~Z zK}3F(=*=ys4BW{&XXZ)iXH$FPuZCvu0KHkRnznXkap3f-Y8BP;HUmUdG^VTV(8qlT zsSa}L!s3c*?sOwHb{zMzmy{l63ceu%->9}XG%kah3?_=^WXbb>SBY1em$r3(2IIIF z@?WyM>~6iJi%n$+sV?P#0maazk1UaYq$qN@?xmXmB=fQ2LxtF5HMCQB=HvkcV~12H zVCd&+#e47@7hYX-BIFOvxiEQG5-@$XY)0Jc{4~^bg2|d+DBtSQ3LEw2I5LF3e)%#T z2`hyzAHeOpJUYUMCw5c{Lls2KFK}2dy>xf)TgFyi&3EB@ucEE2p{?v8oS@R9gGG~T zj@AQ!QF)40P0n#W8q>@Ac<=CE2g{^uWbA7gO83dFH--j zK^e?oQ{8}a*UYwk|JDVp$7|hP;8gfIoA}OBcemUl^W;o;<-q?5bu8hRq5af-^Y4`t z|EE0SJ1uXV=i0XTq|_@LHB-wHv)6R#oy%rw{5bC1OtVs8t zSmFaxYv0QXavF){59mZO5}cuIoTF^)p=^99 z0jV;|o*ae#+GOvHIQz?%-4O9p5Cj7blPIHndb?rG{iJSeQ4zEd4}>G?;(OuRbe(U} zX4x_d9XPZ%d1fo>tU@=+6gG#6O`JwKiH)Ic!<6m~{Fj(6yYW8f<2d|=?t?9J)L}YX z!EN*S&#LkdTimyh}9J?Q2~jy+wR? zmN;aUfrt7Yi!zJ)y+-90A>oo(Knim7QKiE6gby{QXr5kb4h{G)>>-HbJbSc1l9v{| z6ZX)|aek5-U5C8a==0!<2FC>;;U}-g?G&97tHxLxupTQZEi^xYH%l&Dj(opGg9HWk zalM8q?~q+~ab0#t5%zCNHXuGJ^1XS>kG4(A^f%A5YZ;P<>kRl;3G&k8QEm3bclTyt z8?%qdU#;=u2UO5dvnZQx@sQ8*__gWJU{~E>R)v+nvh#FLx1ZOWx=?@)ETl7y5(cUg zN^H>7P^$%q?zNSfOEUC{EklUvLaE8DHh8Ew=fkuCPLRCv<4^qo{v}=d0alR@T5z5#%OqYV(v?`L1%3sg!l#VBrR(3MZYyQ?dkoYcCcbETH?VrV*J00&+R+Dkc?&vW@$mv)M z^-rN;EM1;rePW<|0)l(P-0G=e`e9}0v!hduSR4Twy@=5IOoHA`2Y?JJUC`6kIFxTu zvl)jT!|ufTLQy3u=`TH1+%Gp{zPjGMAERr=k54s`NVtj+<0L|(_atT#j04k<3kacO zy0%IjEzU3R``cUyU!(3$_jHcE)cO0!x|Kt^y8{SEaA34U#mD*n60VuSrgTE4} zGD3x-A&4YE6eQM)o)bJW)m$@Wba!XmGUd;NuRSu+$b_?j?X!~kCX*>mPfQv;%_P=J zSUnCMJ$5ji0hfaV-Mr&{x(;af%qvyrVVdT`v+n%wJJ^gd@2SS<19fn08x0x2r@qOE zcVQf{6$X}|i%dZnkLYK``KjcN{O9rQRk^tLzt|?{QqR1*>=EL1^*04cp=j7Oh1hNu z759uE|EXb$m-z>#2`7EyhN52o`0iC3oT?oD{rWV%62cdPyH&g=Qz8(@-*2Okz>)m7 zqm}F2m69;lFkUts;2i4&GmFrJ|`e^eo_pdeKx2oHk_HI=jiYBj16gQlrI zQJJhz+gb1_O5EuNi3M9Gj`J5Zk*?QO9 zYvH8(Gv(f-U)liL7q{QLy>O)<|1nJ9P%Rw(V+E?NRSefBx%yk@3{&8Ag@55I^AhFC zzD_Z~bp`6$u1#)*HVnXqQc|(6{w?3jj5TwCgKSEF*gn%tkXW`Z(fsa z8#jtjiOzh|BqCw-B$zO~W}qsPbs#Ekn0%V7bFdB`#r5c=+Q)=INfhrR@;vf(;9+qM zq;qAIXGVB>70d|E&$UoORAtF0@$Vs85Hi7=f;!_! zk;&9Aa{);NW`UhfNTT4jW{Q*ZUnp~mfwB`;;AjyAH%VKNz%)xVY%8lid9N_d+j(xwUD&&~jZ zptNHvl;{>cwTzD+{M~gKv3fHb=5qJ{OcQDsr<4)W!qAnu;5oB=R5(OtQqscOA?pS? z3YcV93Ji9%VrhBKc}}kbk+{fm3sL)0_KW^%92vSA@u{4`q8HdsRj<(q^z3yX_!Sx2crI=6K%z225(y8B@g7IV|oH4*0h_?|4?no4GVD2m6x>jkavpnM6( zU#4{u9H^B#(tQ5#&9>EPda959j!amS5{V|i07Ff+RbIvq9Hp`A3i@i_*?*Wuq3qF5 z5-oTY&FrmdWN?m}MtbGjz4+USSZqLUXKwC3LU7W1c4>Lul%$~prPxYl$thQpNCq=7 zq7wYUrnjZ2j@t@8u o5;YY(q%yXZx#N@6Iy6|8Pe8pBOpi7G6?4wdbn{$=!4Qt}e@s2K2mk;8 diff --git a/1ano/isd/quartus-projects/MuxDemo/incremental_db/compiled_partitions/MuxDemo.root_partition.cmp.rcfdb b/1ano/isd/quartus-projects/MuxDemo/incremental_db/compiled_partitions/MuxDemo.root_partition.cmp.rcfdb index 301e021d05dd3f37321d34dfdf7ee2408278b9f7..832f62ba679dbb64bd946dda2309894888781322 100644 GIT binary patch delta 2676 zcmV-)3XApj7t9xsM}Gq^00000005c_00000003M90000000000007Jj00000004La z>{?B1TvrvoZ)TFXiPNNsn*`$oVW5H(MV|3boGcC+GV9}KR&^BodxPOhEHg+E0ch3ENZ)Q9W z1sU+O-psxCoco>Md+wY2++*|_$Jgfn@!Oi}zcO5=58VT}?>}YCMtnCX4nOk1v5C`X z4?S?SIh^PDmf~RZiAT>rcCtX3JaqWWO`*I3{_WA6slyY;zeG6P$B#B^AZ?Av6UQfy zo}Qd|WTM`D@_%-o?`TbGo`KAJE5=kopRPB)0r9c6BK~R2ABg4`Bn!q{nZp>NxVr>y zq5oyDrwWp?V%c9t*0SkbcUMZzOO;Nb&GY{R0pKdH-Ti?BiD#K8b9t} z&DeEJ6lagAzAr*9`zM-DoIi(9J^aV^`VW17*u}X1INW$Q=DDm^Q)q<^^vEv}6_I3v z@)yjIzJImvM{vC;Jn~!zh4)gXumwH%&Xf5VQ2h4q5&H6(QXIxcb&T7I9Kj%m`EjwvB?h%K+<)&FhsFi5CH%Jg0 zhO;S>(f;s3tVWQ zh-guL4h)iTwhqdSozaX|WmlM}GZ)|?>2X;ml}tvNFj~<@O#|)FS7Sn74G(=aEA;CH zyhfovT$ znI7j`lv6^p?6z*m5DVXQUHz$T6)bAmO(9~8j3}e1n-xVh*0#DywU1{d8wQ_iM36|1 zEz*g$3-lb79snu|FZm!%HheYrE*SDQIZz)^tfEO@_MD1M$r#63R=optETY2^~XL1H;8u2n!f=>B3(gccOQzIbusI zJE+MFZeEEk^yn|TwFQqpWpB`yX1540s|u+OMEJ8sVCiUOS*tOL6qAWd-A-BPB@vETQHc&jIDcjly2|`lTcI#cmzINasU6B~M3JniPpp5XVZV}G5LqJHnkBM`AVhQu3 z>rCI%PLJ2Swd4iMnCQTGJlG1FV{Ug!W{|)=l~;*SX(fZ4YsreMG~ z7kyjj+3rv@98Fo7+>x%ag3BAWzT>3-D&v=@_ws!5v2eFhFv9M_-x2qnhqw*MauxLa zsV07zq{CilJnSJtvT+Cy5yj6`igaU;h@K96jCeRBx?axT{I%RhBp@97%Hwu+6wG1Q zDUD`qyyfKAoez7rhM+~bY=1gevAtYPJbJ32he?N9a<>REw|_u*c4}rXj5%Lsf3Ar)KXsM&cslG|8xMPku+sSZ zZFzap0>lf`#%e+o9D?qD$|sTJB_bbjvbm~Y!6E3bda$!kBI?R?)qiTO(h#l8=&Yts zIXWMUPa=@Gtp7WP2h4l1S#7^4Dfh(Q~agNL9oM`n5r55abO z4nCo$9Gm4$50C8?qeraC46WHL*3`sGooUP)`2zksgRf6AU@zbwUf4F$-f1c*ey`ey z$gtsY;;c5KPrg;5X6wv{!o3}BBeBu5HP%o@_$J1;n(NS|W`B4nn29&H6uim*h~lC2 zeUSuh((;SA2hCgXwK054p685OW?mDSY_Aa;u81a_N6#Jvm0szGbm};dzQ%Hcc*uw* z8)Rl2wLUo;e2_5ys+rHriT;!yVWWZ^_%%@7`K1yoW(Pc2w1rR1a~R#POws2c+C0l0 zKz3El?VJ`GSbs}j=_%zk=)cbTmbs|b2Avt%DeyC^WwQTZcFv$TU>5RstoIBecFkkI zo8LlPFJiBaD||qs*tB zlD9pArg^(fawWvJir6ww=;MIF)@9t(WEV0MKgz8q`G3D)Ys8a_IrDJ9ypIvhLtUZ4 zlk@~VNzK!14Be-251My!W)h<`o6q4-HR&-|k)OR$X00!Iug{EO=2hG&_KWB;hqhxy zUd>$wEI?bA0q^CDHcFZKJ8ak}HdJL@^$M8U(_dIu71W^JkQeI5Cxts$Om8wvE|;`A z0?wb{9)FbTp%!08)zHz0p6z6B!5zyB73$L?5SB_9Tb%K<03k1aZ}D5 zvLnc;h@AQSeJ`gXa<)ZsK39-awHd)x7)vqc2k4#>Ij@1DS$Gpw<2HI+YZb(5F?Y3- zHA#0?t)se&guKd78}Gv`uAB6_fNldf?YRMtU{5CYXm#Ic=HNFYxtECzSG>NNt?uQR z(0^CVbz;-^0ULD(BX8w-I=o=+Ew}wM8xGRE5I#V0dzg= zEcm`=eR47dMvv0C<8TikG3){EO%?0(hNw2{4j%knMZIl6Z`M&FgzI@U_v2=Dc^5Qd zXL!O1d%$&I>mHEFDy-P*!u_C9SPvPh{bL@;*b@ZU7Bly8-1N^!);~A!Zw1ajHStfp z26mMEqu5-%>BaqVQ0~`(thqT43l?CH<|ciwxv9P%C}FFAj-{?B1T}Kt3`}~r)j?<)xn}py5;X(vy75P2?#K|I(6W79!CRI~KqDVxI7A#n>=z>KTMXCUaF1ldh^#3O`0e{lQP8{2p?>lGa-ZS_8 z_&F40T90*oXXc#w&hMOiJ=dL1+X!x(KI4}+HM=Eft_Q<|xNiTha~p84jUKu8&U;2r zP947USZyFp)6K=n+C!(#K5$=wGIsdLw`xLp4gA~8n(-r}$G<^1T*r^q${=kqwC@mf@HyXHwhSn6nB%r z4c1OiJ$&}e&q1Sl$0vVKA+gK%l?sVzC)xMw_&IPxAvZDdTn1EC(i1sQ^=&K@w*5cO z*oKttmmsME{W@_eQ*MYfwYC2ggplpw(^Ds>?tgHy@_+1~()3nL+;#Rx)svM2r>7n{ zQyF}Ww`y(R>+76rMjZU(9)+5yR8-=|zch!cey0+Lic#vXi5u^Sc<&zXyzv%DL+=2| zvl|zQTdF^8Va@PGEEJ~?tG+KmF1Kmsi`qkH&meSn|GBvx{Z9>qm{-0Bx898PAcHKFSofecuj%-tu1rfFb6q_sW2px2A;? zD}?k~4$MF+fgdIa^*cAI5PtM{SC5R0J$v8+XM5|Z558xK(5PuBcZ0*d8u3HPTglnIqopguu?*6gS^Rb$hk1GYdYGFK37!MZ4{e`i5FxYU&y^eh*URK6H7?ov0Pzlmi;nE!vhV`%w zkAE3oQ}Q*cg^jU1hvmU4T&x!p65N!6D3=^8i@kK+X=(ux}(q#dhsHo4iugZz){vlx)jiDA$ zS86Diz$BwMSwFn_aJSQN@Xa`Q#jd&**A7|Odx$0m+u3qpyP z39F3ch|Efg=?d>hE7iVS6}G9Y-UyL#QOIOZ_@XTn%mnV_oN5!2HT^68lETH}bq_A= z7K5~Cvo+VXh22(POOu?|Gw zgNQU%kVcj@nv+N|nYcB6?*Ss+7=NW*AhInPsb`e0Ubhn-dP#(k;E@ir?x;s-FY_De z!OVYpIVdkQLzzPqNoz}&-!wycZ8^G}YK9UlTGF%6HbS6h|KRml5@Fgi*2M;YShTm3 zr<&>TLc0i$HbX#2+K)+4II@KKvD?Eh>5IM1^aw?FNrc~eg(Dpp4?ZE;B7XuW0(2b# zCpOaR2#fFvDRqP{&NL!Sd984hmC7cZcVvA9^uvBLZI-M|ZlkMJaCy_-cU<&;W&HB= zUY<`r749Yq8tgXwZMbhd#7#h!t6;_-Ym#k~csdhmr!$B=**FCVL&;VuMTQo{(BtV0 z!%ine*B1QEKc}0B1Vmt8d4Jr&j)FNH2Bp!p#(PfQZhSg(YaTR%%WiNL`^(iNLyr~A zVB+b9+zmp^2NobQc53Du<^p)od*yKR&9#Z%N)aPspLo0C5F5*bl^LIQ>~wGlC~aUH zpfk+w$_H0Z1lHJF5v%a0rI~D}SFD$xB4uaI(9q zV8J06u6nSwPYiWsx@xsnX^2*4bXL=+K02R@PYj4H>;H}EUiVRY6)zCC1NSUmGHz~IrwIrbMQ<3Cdb1m9=a?u)&FBYRdB)rCc<9I@U6s@AZb3iiC_@g{ z@CZsd_)*JZlwb`pc=V|p>{*V)bvu4X;Zd4$@C`lY*yLuwK}pKdDb^&8)@%}M%3`Ii zbnfkR9^CwVlHe3<9^YHp=ZV;Sy3>_V{HA?GWZHcbxBIkfet%Od`gonYCfwUFc1}M- zkj5Iy2wz2ii@OM2%8rMEiFk8!!JGV#siUCw8423mgWEH>`rNzlwR8N8o~Ddi;@-dt zC$_B-8_r{-jh;OKD!sA;(y8Mt#v04@;vpxRte2HGYCY}(IL8B&+{^B3?q$p#ie^j5 z0iHZk$Gnx?c7HitjBVi?GrcpMGx{F+eu%3V+0}vUriBjH(pU7PLnN=I>%4E7i)wAI zGb4KtoPQH;S?+&P;tcw|Za)10NQ!+8nI5n|Os}J_jo53AoOK1?bifN$AgWe=5q83M z$4s~!NiQLDw_-MY?ojR$Zq!M4tSDp8I++M6?cE#8)W4IUBHtfg?X~=+iXd9yRQM%xxl(>Jwh7Dpv zhwQ6v0e@3_b`^78ueMd8jZrZ*SC5k7bcS$=Wf{~A}H>@c)2t8sY<`CU~z)D4g))UffZQ!^%8zlDzS-2Z~g;z1?(=t-e$1->aaBzoM#r4{eF5MdTSnPM)aVDg^c)8od;D8bALH*&tu4)-v?c15g-2Nq(lD`?BW^p zuB2DcckUAyT9!y{H1=#M*i-htIw&ai7pvb|T$D3|?8xPmM9ysbaVDoEa<&>dUoFV# z@EO5phLz&n(-=M=a^3(%v+yda#v(@3;4UFn3u&mGtV#N0)jFzAkvy*w)W%&{RgHyU z#D85hEOIWuL;4@5>bFGf(dxdz&A@M3-4n6le5P;Wt9yM+eg<4-1bs!{=f2YK&5GS) zu(kf@*nGn{0Id!HC2o9{Jc)}rd(H3Ce<30vXITNR`wF1@VQ0bjW$%*{F)&8sxQ^oD zGk`?RSv~`t&so;#^`Jz2>I+zPAor{llsjH$IP;H*xF2>|8vB(PqqEuA6-ovkp7z ZX6dEHx>;6#O8hqfla>ml0TGit3y|#kJ=OpK diff --git a/1ano/isd/quartus-projects/MuxDemo/incremental_db/compiled_partitions/MuxDemo.root_partition.map.cdb b/1ano/isd/quartus-projects/MuxDemo/incremental_db/compiled_partitions/MuxDemo.root_partition.map.cdb index 381e8bc4b424eb96f48adaf7e5340e86e0adedda..15c5ce69d5f23b50ac41fb750c15c63a1c1cdce2 100644 GIT binary patch delta 1315 zcmV+;1>E|%7rGaaPk(y}00000008#`0000000000006oR00000004La?3hi9990yC zdtzctA~De*62WBU!i5--_^}W&8NrBVf^4-fg!l$*wk2vyt{g<)E#F zQ!AToZg?=xA~e3=jwen&|J=zJpE+^j+5W8h{GRnMtn0n5@EDFJh&6OT2kwJBK5*7i z(>yhW(J&f6M*%;xj{)NYlUM;le^k@^tsk?#R_U*{<9;mTe9VuvbN%!FSx5DpH$|ePL73L$io(0xe1t zlP1u&^~|IYcJM%*Gi(x zv*9I4wDj9lyJ%Y6fuHf?f3}MJ-vWs|u^+cJ!BxL{=iNmkjrP$3iSCNgKHRwyjWpV& zWlJRdHf>2hunn{XxmPE+?h4(rM!T}026x41AMad=MjCA^-v_qY@jqMc{5yFhO|LDx z$Xk(UTi<9)|H{0wb8pj;MZUi5B7d-RCA#;WT-$+urI$@kd)WHgf9l5ix%D@v8*8Vg zr#9BlPmi>N=cnh+Pd7GCP2V~9%6&Ghr}z1$tQYNm@dsu1uO7qweRG8(Z(5{3yZKo? zPj^2M6syS326F1b_-`9mOw$yp?!Uo2ryk5=&QAw&>KP#BJZPi7BOT{-I=%jeNmkWK z>+wC>+qU^iJ*!swf0wPNdk!okFWLu>)KOP=4|HYZPnP3kJK#C>;N(g)r*!?7mEGHL zPf@AovwI#t`rOT$^q}02>;UVrn!T^6#;$t6?5I)oGN)APe#CpIqMj-uT_wL0Jg&(% zl(YNQ>#>UZZD8;7caowNIi!+ZTxjW$Ln;kN4yklqY37<{e^>9T)cpeUa7F3f^+;E# z`zcjMKHZDdx#7C^RZ@+*p9W>lA(e)64yiQ$Uh2Me%h`ui>b~8|$RU-wucyj}nR delta 1315 zcmV+;1>E|&7r7UZPk(v|00000008#`0000000000006lQ00000004La?3hi9990yC zdtzctA~De*62WBU!i6Bhn79x!8NrBVf^(sgTzNg-&yMJcyWHNck`oq?bSU+a{ zsP!k><4HUA+sew;$boMBPSLv2?Y%oRAAZe$LCg8PoIA7)bpz^^k>!QcddV6UGH^;$8a=3tf2!sa3AFHfwPX9 z=BX)+hSB&r`T-fUyaBfZlUV_6f1#Q_X#Irs^-6!G9rt4y=VN}Xo$H_X&pN7?ZI69e zvA@Y3Yvla+BH<_q6dw9)`Ti0p8+DYp-El}*5TG#O{>kFHL9+^dS6KGMI zplrWea&=v!Havl@ESiG;u#1|Z#SPe*zr9%NT`P&+ zn+-2XqNU%a+C|gi4*ZNCe|J>m{}xE(iT${v39k9oyYDU`984Cj{n(m_ut7QX?lIx zMc$4?JNiaj`d8-F-FuskEb@(I7x{zTE7AS$RGkYf4^)!-E&|WdD%XAq>j3}d!Q>Lf3h5_?SSXhgOe-KoYM7QR(5a0 zJw>IS&+d8r=yNw~(t~n8vIDHgYWBXO8oTBJv!h1U%bZfF`w{P>tdxJ}RGXFMa)ZE*+)c zzw=|hjbCh7d{L7u1H08NQ#S2(AWXw$(Wcx7#V+LPX$ zZr|Ia+Qy4*y2{#K_1PA$ZG>(L(S%CmH&msjj+%DPniu+$R&?uU8_-Rz`qtL=jdho~ zvbHyUQSGs!+gFy!cdN+C+Aj674XkY&UijK3b!nBjvbJ}6lUCN&mv>-o3elux!isJR Zy;X!(4A$niqi3wFZA4A~2eWqxLjrY|xDEgS diff --git a/1ano/isd/quartus-projects/MuxDemo/incremental_db/compiled_partitions/MuxDemo.root_partition.map.dpi b/1ano/isd/quartus-projects/MuxDemo/incremental_db/compiled_partitions/MuxDemo.root_partition.map.dpi index f5d7f5d91257081c2907325576854f1026efb871..673854c7c6b28f69cbd279a9e6cef5d34f405eff 100644 GIT binary patch literal 962 zcmV;z13mn#4*>uG0001Zob8+KPvS5f$KT&yvGDq&>v$Mk>I@1d7(ET5(O0C~3iKQ+ zZXGAd{qa{j1O|g+zA&e)F`@lEwEeap)1fo#(>9I~aWebY6>@Da#Zvk4)d5U08lb_;<;B^&Xo*=Z%5As~xcZ#-rZAB?A%kDWgVtXDTWq5+AswxxaBEL|+3BO2mh zAe*~NUSNFy%dS5}AnVFc=kqCXdY#KwzkA<7Kvwf3BNAXvXC$Yitm}Y|1~~8S&;BWt6rThAXsK-~yObLTgUo)CDe?a|loJnYxxjfwXZClkkN*e0=u*z_B9ztVJx zO@^=rYsB|mzt$A6E<<sMNL=jKJoTAB`yyay{{}(dg2X zGZmRf=tNHU4;sdN&%;!vkx*o@|<4Z{cN{-kAs`*UVrzj%0#eR;z8Yf7uHE3MvET75%l^-ZPKL&Xn!YM=I?+Q&Vp z_IVGgec*#%@x=F@zk9FTn|B~n126on4*>uG0001Zob8+4ZrU&yhR=5u5yxvChY-l*W}<~jsoJ%oblQ%hggD?W z#A)Jm?X-)ZaTt&i80o>ZVON!~zaQJL{a{C}gWf;QW9<=VIibl}3!dsN4JQ*Cl4N?; zx*vZy>9yWFZ&2!Ij4&RPJ8v}pvo@4)UF7_+AtK&Be2;(wP}(>bmbb{x*8uo0u^gDA@S$f8PgO2QK~v4 ze@^0O=i+|QM_^g0Ml3Wt{en>hM6v44XEbBpEcMyU`H8@0^+iV{#(CAYvTvJ(i)Lg> z52)ZDr2s;UO$4E1eu>bJKt&c4T0aaJ^IGO&-*n^W_a=~FXm3qj;yw6!FK5P zI_+*R46JV0)eR#EEDMJw0;|v+{bfF3xpRLv9KF>pZmw_LtKoq6U7EKM0`&|vLd*C| zWEuY-SjNA?Rn)O`+l-(Un6MW{Hjd2DGy+q%?TOuPAIjD1h$?Lx!3ezE`O%DEE_cE| zUNpM$)J#QX3!RJUen*m=?b%F48cz68^t@yWfn{|&?!Xm6voLnf2Okhv6t>+$7vpRc z8Fn}eo7L@Tv7Wn@_4h*TS%7k`;nt+D0{BtH4>QIOyt?Jv>rMHr)@SW-aHVmW;iN97 z-p41ge!*V@0#qa@5=s(ug@Qt%L_zHe3WX&KhC)H1P@>RQC@2(46ikJJLP4OQ&Id(8 zNrE~a6bU5>>U2;flq7T%35oIRlc15@TitIu zYq6}rtv}GQ@4}A=UL&!%opPK^=zo)mm9O3<+~Ss3N)m5TfOWUND&Zl?99ponU`ts)=sCZ+|2XK6zIeAcqw((96^UTC)PrW>4#(y}6F`#2 z`r-efzb(0bLvsCxZ2(8A-_>PS{{zdc-j({B?@GPzyHcO}ZbxeMmelIIQmgMtt=^Ve zJ(T)k&xLY7=|j06_n_R*dr;Bo<*`wq6?0CL7p0AGQ%j5a_`t{B6diQaDe)Y-YU!Q;b?eXi=fBWj`?Qeg6 zy#4a&_GdreJvhGl-{A#lWI=_0{`ak%O-*GLPY>&4}V z_m>~vUR=Dp`>&qfe?FdHkLT&@)1SEc9nX^Cv ifCH1w0TUCan?C&UqkZewdpGaC9gox3-vP7J1a1Ks>xpOp delta 232 zcmV%rOC+2iB+{CK`Rp0AJRtK<3R_Vw-Y`upSj{Q8q8KR>_v;Z~pRf`mg1;&aWT$K0p5B_Z{DH`uyqf`tI`b z)2DYI-(P|F_%Tt&6+C_Yh9!3_kI2E(F~Ff*CS1_G0rPSP^dGjEo#y!V_;G7|`dOqfg{35YCV zM|M%!L_k5r4G|UDp9`RQ_KN zv3=F**1^Mv-id6ZM+7AELBvUjS0J_`{@X-4VTTDnm>35W^FU@kY`{2)|0HxG(=!g^ z0nq866=@GWya@-43lVvO$z-;}8gw(2EB<>slIRRpKYzWio`p0r&ptS*HH?*z@R0uD zfxbn{h87=&14W~=dSi5SG?^+U;KMl2l?&hz!xP}~mSR@vy;DT_49Y3$6^P(Tay25` zHO7UtM-2@hwhpN#PA^UdkVOK3#ROy~35zYG63S;}*wATFY9^!Wa!#ZNb*aN>3lFE9TgcEfA9_sdtepjlc!vb%@z0@>t^JC?BumF=?b;=uIg|l}n$v)tl<5 z+YbsUu$=mQ=B;mx{x~WWTAfBLD>PCkDSt5&G?~n%XrIkr8a>g~uGiq*E*kpE z1dWWCv2!WADrbyG4b&BGq+ge==}BOqvrX>Xmtv zZT^mV<4>`8Z9%F0QEK23pYCJWq8l_z5(BHRch}XpfgDaOF zyu5Gan!^_jEkjM_o3D?KZjO{aOPDl={>xsIz>C^zaDb%L=d9x~v1Ne;Pep_eMm5xH z>GG8$^^F`l5_D(UDeazKE#V9oVM)uXO*zxWQk0w(C)_J45C@_kA1D(G>ykQ#F3~->tSZ+5 zozvJ6KW9HjbWXES=e)Dy6jgP+oSG?K&UPv)r_~gdb8sjsr_U)Wr^6{Krw=Kr3J0Q( zFo*+jhRWAFjb9{lTE9rLtG3C^bivdox4aYjoGDhk&%@}D7 z3OG$P#?@)2F;b_g#z>tWJ4Whs+c8q7?~aig9hgqcz%%-R#}2I;S+QzF6-58&{_(&o z1vvY$OP5zc%w+*)!-ndpsYZTQb+i%Z`s%0^=b6>f78_V4<4BFh>R2l^nty7bon8ZN zEjrAoj&IbuY1L7y*45J0RoYnbtnY>TuNk&CcRCFRt`3zFGr zC$DX6F^hViD3_15rarRL#DAD$$Qf{J?^7WO9{IpZiH*LOgej+FCFL63Kj?=l0 z1!qd*c#}SHI@fVpd|Yy*-qb!*x!^=kNlo=NyFLLEL?KG}eMho0^@c!udQly*8 zygt#6P3t^8(Vr9jndZ8aYd$`akgyptTms86Q@PhS9d!5OfaHvvpMM#4M6A3AjvL|q z%dpxW89aD+>Bt%qa#sznIJnp(199dC9O!q$xjgR~T7m!SA&acLx6>d3-BeQc0WTkE ze>o3IilS2B0|j1xFwl(g_S1~=tU~&SS2z{@vuJ45@`3*0HGL}=_b&%Q=KAPS1@n66 z90W1sIvG(kil?qK^?!B1G76m>OPWQU9(TtvqtKgDkd(r;(4QlNftP)8%Z!)fEuh;X z*mNdR-Hy`6EXpOaOl&0e#7MrHRAdOP@MbDyl1d$qlj`HVl5m10p5a}H^uCo#4_~#c ze`M*9^0q59Z<`8=@zOj0Dtau5M)hW}eY_V@R0@}&pSanA!GAR09Eu9g805o{_)K(U z>ETP4AI$2Dw5}&iOujr)X&;njqbRCvsB|*qCdE+sNjzC5d}Uy<-FmnXw&EK_3|Yih z#57O;8yi1Pp2P5yk0BM?jrSC)mcn`H&-X+}yxiPa?0;l5HhD72BGTrPCfT39%^0t< zVSH%GFEol=(SO;N3au#>T}<_+@+4a&(UQMOKcSD;OF2{G<(PRnmb|1wm+ruRyEbf%fYr3PJf2pydY$tE!Ow^Lm4Natz2`( z^^#*bU&^thQXWsisuWH@d$x>@_+UD%_M8lzX(!$i5_`MSNDY+?LoaS*Dx3$(WU!tm`0O4iQN^PJLqJ>06VIfk{N^PAIqE1wZ5tuK@ zD>K!WsF99gURcdUzNFMb9zdmPl?2Jryo#rKsq98;VG&qzOjly9@`g!DT+>{UxODMVdu?5_$5Zj%>?ANZAIqC5x22X@Ol4$+MaW zvYG;A!~^Ag6mg$h?_10|wkhff>6qD>Nf;b#2&057G7U3l)D6y9(qA_)bNaxvS$~TL zXACZ>Z>nz^92i(M&@@ZU6+$m5mEe+RtgB~dHpld?^CoQ;v1!)gMGXUuGwP?!SUhW> zfBL|p!N!KgGx{O5apt0WHPMik;g061m%x@P(6jdMKXP!%(D30?k34G0?95BJODhXB zMV|1Fk3JbW6BM-*gYmS)FIONb1%IJtM@L?aR*bSfiF_~B!(_;WrcY~ZoL=8Jt7&Te^cjuQXVul$H%y<_(4^Kvg3!}=lb)iOC|r%yu2UQ>NT$~y z1{h>}C=vkwIN+SJ<}|l87g}&~TCh8K__u#b5Sm|o@t3^^&u(+4d7?ZB?z1AQ4b${q} zfcm@5V{X9}*3z2%LKyt-LAw7V2>p^14T8jeegze*Qx_p(41JWFfPb%|f|mq^j;{9R zwropBduP6_xhL;;?%N(6;}|O@MRxFqX5CPm!%Rjc>;0O%GoWl!*_vE>fT>ON-a(@G z2|~{S+R;}w)%zlNTgU!-YxTShz7Un1*_(TNI&_Slx8eIy$?3M}w>nDC+crxvpU)|&)g4~LwghS0e|R&iTY{{QoWhf(uaU_4HT2Y4@cOR=C10O zKAKXGL=E=z@khNI{TPtxot1tYHN*2#_;;x)^LUstPlUmr1b;ki+I%`pfj9Mt=e$4j``+`0D_B&L&;Jc|yD0P@Cy`+;G#3((4ah z;1Av3A9{crYBN02U!H)@p9~%Zg8E`Jux^m5J`EE?s zdbjI&n+u#0ro*XW@YBNJr-#AM2!o#)2LEgr{H!qe*B?YCj!>emShsJbyoegYOCAoo=|vXj{9XHmhv| zIM2=Pxz>DdyFQ)kd6V!upz-H@1E(=e`6f5qr1^9}X_qO+6~75N14#T?+ib$l48YEg zG&au(CaHTmkv0$63uuRHn*@IXP}Ui5P?>;x1BgE_8}Acvo0abigFg;9 z*_)liCVzYiHdwH}$=Fdg^d1pSVeqen!5;z~f0_D* zsZBd0O$;{UJsis5H()>keop%c(0ry^;B~FvljTRjBEWl|cIt1M-=1*`HbI|tLv5Y* zKY-I}JqLF3wp(JSqtM3U56))W(0`234Qg|0l7D;~`cFaV=YS6A?-zoE_hOk9Abddt zuk(A<)aN06-?8552b?!UufydwdV>w&24>w&x#j$sD%xwqS#^&xL}d41DxJg z!vwVN82qH#(VFTfSA{+l;y{?;1|YuEBGUK5F`cQo;C0{p6JhYZ!{94mbH_+vbJ=e8 zj(;Am^oL&MhEf`(CQ0568neCzU(po531~-?ZNh&CELM2d^{@^9c$oOV0Pf%R7s74KMDmK`mjkb?yF4yQKaQh@fPzP7I+iTa$>RAq>Ro0OJTQ z4QJ{T4{`lI?I-J4z{sjL5B!W5>Nqdd@m{DCyig~4p-%Baod%S{IoL6LzX6mgK1HvH zLNh8A-0zi<69L}}!h5@O+2-zSS8iT!TXR=7@3l8^9hmX; zx`N~clR_GS~2S&n;1Y=>RIOXz*qM}d7J_sJneR) zEp54MzP)*#@w9EzwtDxQ;D9p{z>+SM>d*BP;sP+b8-5I3X7gKBGMQN#YacU}Ek{YK=(`wvhsj2j( z)Hq$98mBL(#_0-h3OMb31%Gh-d9IMY8ic+YXr6NOg?tb00{3)ux8Nu-P(@i@GOveg zP!M2&uZ6*{3xj_n4E{~P`85WtFFSgBI(vJvtzG#AToumi>gX+aE$Y4%rV>Amgg;M@ z`VOF}oqw-Sli7FEWOhTE%)XZ82s)a-R}uP|2$0f zUjzxiHwb+vylv;?NiDm7zGr@R|6KEa*-ku-p3>V1y2JntjBAi*At1q{^_K^2@K=Fl zz2AMR*MiWmC#$ZTz<;Lt8)0yM`w4%(J6$K?UPS+7=Z^AFNddfvw2d~mQng0O)v0NCGtp94C;^DYb${&}GB zmzj&8+e**dn*IfV*?Yt@=r}!ZYWC4?SD|AO#*jOo7KuDe(9a zcm$kpZw8!`xZnBqmN4PBhQV(OgWnzozXNdZ`Rh)AX?DL0?4JO=E9an!8{N%?Y;U2v zGuM)zo6ogoxlhpV;p0!kjPtXoeSU6rZ?f=e*I~a(hJV9grC?jP{5lMNKj8cfalXLK zBt2O_6FdMe{^Rn&AoN3F26#9O{+l4(9|;rwDB#|MdI)<^1E%5?Veliu;KO0?m4N&E z>qwaJRblWW!{A4S!B+$BZ@D#L!jA@=A0R0`*DB4Bv5alPJjSg9#}w~wabncpwW)F| zeZwnbKY#H{4h-B%&nuDPp`nq!75&2_OXVkKsVfEA_sWY(q}D@qd*)4O?wjY=%hfJ# zZqe_KKWrD6i3mmgp8B}|7iu>@nHpdsS zr#IF$sUHr}lq$=znOUvGwH05N`4kVLP}+`Pvww{|w&d$dhyqM&-mph5@jHww-G9p9%Ya_C#KblKoa4O*71;G_LQz`3BLqw|fEd2Jo|t$zz_ z?C#;3uDgtfc2L1itJ?#WlX2X=U%s;s@51B?IqvE%%7SkfNtHJZNmK_arfZH77hRa+LpATD5LCN#XTSW!R=INm| zf-Y!j(TnxG37SI%?2T`&6mmMfo_{xC=S5;I9c6-c`a{11C^fG7M?F`%-!EIyWNN-w0Y7%4O)*;IkTq#ua1SXHv;$DP+9qUYgxqPTawW%(OvrzC zt4oz=4@Tzo&=m&ncEe4I_xeK@_(Ko$haTh){SSZWf7J-pt{6WBDE>0_*?$$rQ>m$U z`T*oz89X!2w{^_R;ykCjjh-)Kq50Rs8a3B=_WMH@0m_wnp`)h{Yk*dbYgx8TYprH! zAbz>O>b%89`&~v?XE*Zm%FLu4n3{dMTLBaF3^&xK`t~oR@?B&A>f?>uI#aDMSl!v z$0WgKCEYH#7=`NUDu+)3<;-{As2uSUku8PWP*|yasTvs_&Ac9N4?^D&guXKf{og_8 z9|xg-5`_L~5c+39=(~c@cL$;G0opN8Y_9tAAmP6VLf;#N{(ogy!+#Yd{JtRc{Xysl zg3u2F?eG_wSV379>QI4eKkLg1f{jm!4XCJ6Y#h2IKk`RIrnp@TwJ(#9+cMi^MjVe_p}_JscHwOPP?%ok&{`4LQ*!xhqiN>&?SX zsWzQgI?*PbM}JV^If_BuO?9ZekpWF3?NAyXy*rDF9^VX9$3GL`-|Sliy~y>%tRBR5Cn-gqIiu!v?3cWemjj8vOw9)RawM{Tdaa#uMI^GZR^XM9Nb|)B zTf&PtdVi=MuJT5H#T)rmZ{*e9$nSY0Z}dif-y8V@Abl5*KMcU$SIvVWOs^GLL3H@__sZ@a@~6aV%={5vA` zE!(7R;tiI zu;gvFmre4AP)UvKKiX~Mup=r%Ot%y8BcXy&qR-Xc-=j>GH zhJV_0-q#H`DLo%hvMx7u$x_Ieke_r5C1aC+UmFJhx*Kj%{CR)qhtR*CUHoBx=wv`? z%G&#AXMdr)_(ON~hkg`LyuOs#Ki}Fjzpv(t=#TkJ{y3nnIm_fxy9Z#W0PEMeQ=Lfi zHI2VL64BMpv2{S>&-R^OldbI(0-rZUIsKqtNV#o+<#H#L}R>$H`KKkta2D3AB5QUp@G3=-AfOj(!6YB zaJWCa+k(O2rOSp6{%BdpX$RDms<1DM|Cqt&0l<*uHK?O-#eegH(DQ@P`5^QsgV6g0 zq1%Gc?Ny=CKa+S?ZCSH;89s%O%`eF2*k{}ZG8Pg^#;Tc{AQ97Te0JfW$A9;6M)|En z=J{^rwo#t1$#UScocVWadH(#Ul+%eM&lf}`&s!kOV{#hZGRorvkfZO_@|1^pGQ)C- ze@Ff?fW#5`r2$S#Q^D*7)P0=!7e_~Le0_8j+060$M*=l-@qnQ;l_5_p);|R$hDyQ6 zb?|UgC^P8Ir@=!NQ=e_J8h@GY5h`WFbQB#bRMV}UJd``=-Jtr#YQie}*2?3PR7@AE zY-%mw=Natw;LmZ|Dcq{3(YMNk*0${E)Rb2Mb_1o+7B0c;7IWJ}X=?5452YZ*t;cww z;EBR+Q+LlTa`LFMwN@`wJZhs*u5ImXU&Yd3y54!Xo3EAw>s=2nNPp};rfxmB`_`?g zn}?Nx%PYI4X`JV{@Uu&)(k>hmn8)p@~f@0U&| zg?k=Ts#}}y)fvtfhM}nP>|u3W_lQcP_tUTkn_>6l z#ZC&h9(?9!m$G5(v7=KDcUzJvxQm9j-WYz5TV(d@TgAibK~++4`d6ltbiFWLFH+Lb zROg|_oL->pa?@+0qaRSl%w(Qnzubg>(oy%}hNs;vlRI0N;(w;#_aE$1)MM}prh36T zu(0zu&q=Y9lfvDW_ocw&;S_kdH4UMlMuH1IXgNj+<*7SHlFd~p2ysGYWP!86D!BDrS*8}{RutNpDibBy0O}HQ#y-Hc;P?8 z3*G0+H2Ou|!m(ZhrQykG@JOSzOrz&Qyih$qMz8&qFE9)vBL&-2 zGf&m!{^_%1$^$8Q{8iWe(=_a{JtS6k19ecALh635o_~fO?x%omDemh^_bviueQQ_p zdvo^Ae#1%OZc7`deybjC9%c&hv7fyhIh}O2g6O?pQJ^Sw3iZ5FFX)Hnc9*JPT2XM0 zG+h)U&oZWJ?CD}FcvM*+l9YtF6ve|zp%k?0p-$pfiV98LOe-KB1!^?tiY4#d(J3kv zQw98|GJj&j3W$}WO4Z#w0x8rEL|yeN6{~=_^{9YU6eRK>6~n8}bHfdsP^~-~<;lA+ zJrIw7lOg28pzM*zbi7e)MRmu4rtZ^P8c&Jcr!{xaRk@WX(57i@@>RYTsK;}qW)q6V)}QJXdIT0Ijcc>V#?!csN7IUnqGYEF?Cg!l z9%`HAdT(tSMy_(fs<)-$x>7BRbqbG;az7Pz}(0QoWq4a`VC^pez zq+<9JRZXN|Awz2YvfiXfTUI+?iTD5;WKh*Zwc1K?x|4_d>kaPPF7AoVJt^(#)O7v! zt@O)3#GcByREk0O(=_yO+e1B~5M7E&)U1cwgWPQ~uY<&55A%MqZlE{woTL{hDgUH` K{|B>gB!UH>W{<7_ delta 9355 zcmV;6By`)+Q}I)fM}Lt=0RR910046&00000000^c0000000000008kR00000004La z>|F_bT}8RSMbxUOMN|+_E?5?UmfR#wlY&5++_qPeo4hP#dGC2iniK+UQqqJjVA;x! z?4q)%EP{v|A_B6>b756>0cC&w-c#_2D9R>z|Nq=GH*?QDGkiCE2<*`gv-ps4VI-aKT>EJ=$IvUn9 z>gtJ&ijrRHC{rCj$wT7QRMtrz^0<;z@(W{h`bb$4XG3; zGiAuUOrzw=I)A3&dfHdkS5hZwW&eazS#L{aKgv|n>vYo9>*ULFiQ66RWt7J@Ig(DM zfF|CH*gCqhxqs=<2a&CHh=3$Mfj9;6GQ?)Y_e`dfc9`^o$#F0_4hqPlk46 zx+Y*e06M)>k@ir-COBX`7?CHKL}DAPK{pk-{J-}j34hLDsk&T>g)}nHJ~*jWkC%|} z(4OJGTo_`HT$fJIzZ?Bvf6_4)mZdYMxyo zb!AH?T7MoYCEkTdx1rwB2a3CiwMzHMZeg1DPYuBof@Jp~n@C(N{#*Xd1mrQWRLST7 zQxaE*|KsJQo<3fe&#@t!Ax2YD?>tu;feXrO5tBjWv5eD$e7KIsq>=TKUYCMYxbz8| zZ&F3wZbYPoZb6iR<<#ex_r5*$v!GDYsx;EFl7B|l$&{E$nnYq_w9nMn#!j%c>n(V< zjfTE5Nh3$hcsea$sD?g{cy?5gLU4p`$k*d10KN&b5M$L(n z^};;DHh;&w@nUH1Q0+s?4mwm{GYcdA*4;fg^usLEgBAfG0r+;@r2VQx0pl@hU>cmv)x+U`ceLcC}Azl~v z^)DYdWH7gU)zaRfC8$Y!_n%{9Q<1V~36ssC|FYL);6?4#I6zY9bJp>g*rLFKX9L1V zf*R^IFt~gqRm-6xg9+vqbNDZ$+O6dqX;(*2+2r>C_2ed++rw)aF!%uYJcKb zc3Wy}91DglpR&rvQ?|RVT*BEl!h)7%o3h@l}TBRpC_~SWS-1w{ydr0{&_NM2jt1DJs`)H zRXZ*UIOSHav6^L^)M}b>(h3x?nrNJ>)lB20R#T0WT0M50)ath5q*mV@C)GNzbmVEs z6lTITL!%?hMn_~FQx1c0;Oq&fUo$XR1~Hcjm=&wbqvk>*(@-95z&TYOwSVATUmk6~ zfn_od)Tk|wwNPVL1+;Y)&{m?u?DF^qt*a@ITC}c$u3p_=0pBtmPUujkzWI)>h`3A} z=Q)>YiafE#E7peA(_3JO^o))S>utK>F>*Ny5%%3!>5oLf5zHB^^)69fPF9+_$chuAvxStQtC!_EI$6H1QYk;~ zRO%Zy-!MNZC+sg_I(ZzXvmHyEE)C;#`h@9h$8qto$&vNC_UXzcPU61WTDW5MwyNH>;weX<=J*LixfKPUS$&UGi(e0)M7!+)m8a0x7rnaX>9 zb)b6)2P9|Y{LHXDV(C3`+!*g!g4Om&{~^NzBdbWrT{gVp;9`>uq%&9JK)pN8<$2f8 zGW-`0UF5oZ8wDbv8%xSQ;N>IjFVBNAMNuK}0Ryi;7-+_D`)Nk`>_YYruW(BGr*~*{ zu&-x$Rc`sBo-)D|s`OWipj@ zJdUal^NPYrEb$B{eJW1UJbX~X4`mgQ|b@R@K#q z%fYq~PJ-UNAY`B|*7f{D8ONioTyur>lE?CVDUW3;%fl&H6~ggo4|jzy@WFIk?KufL z(@wl4B<*cWL0SmI(2E;e7|0_oy(FJAp&xGx{tmpSAWp;a1GsHhwJCPUAWxsnZ%;)= z9FeLXh<^iu$b5lHY*PYE@Hz!G`v#GDxtU7rI+8?JqheYRnTK2<5J}?^U@0SKopeH$rGEaq`X7nJ2&EB zDqLnO>`qUx6R5gs8_sRE5ZHmfK4uGt#$%hLQPzrpTZye+_s>g{G+gcxPJj zVLsqfWP-<0&Q5s%abM)ALw-A{)Mgq=WMH1<`K_dq;!s(QlzG9UNRucYnWFYY@+cZ0 zk$*gjoH~+6k?%zEC~_R~m>NXpMO%veA(BV2GXN(8dGPLkuuW8&EX*WNQq(gR$_Wqgf0361MQFpZ#kz1PB9aZCBnn%gFhLh} zZJ4Uam|O(l#c_V?U}kUMV!jKOe~+9;tA8=W%t6U~M2>3SS$Z7lPDp=?bQh#gAl)7* zU&7$SloynAI~+fcD5p!vUVjtE zbSt(Zs{B>d^X&c_Qr?_RBK|k>OrJqY-qe+Cc@!zz!g98SGH8LF5y`up2(pR-Wq*VN z<$MP5(*HYU5$o8tpeJO<%t=ha;Mf8cj4~vVsc%?ZS5sS;s_E;gpH(xvzOT1#cHiu( z>gwL!y1M>8F+L@|OsNEyKI2_ICovV%zsj4mNyPfav+AlEY8R*KdTUeleTy6V7uWXH zR`=FcH8d=0s1Z{HX&HJqUvV*PDSrYzYyX}j`xg%lFP(Yhip6shufd(OY(#SV5%f*1b2(%)N$oM2z60+ZLd@LfzY=7IldH5e0 zRJei^w8!DU2mE+H_z8aSQ~ltl0Zx0gW$`aEm@LQJcpjEJ7jUz1dI@`+RLNldh-~6~ zM9#FShWc5lx~iJl4Gptr)z-{R)zvjLr0Q$xX4llGs>ErE_x1Dtc#+<(TF#%xo%nHog}Qww$n5BK)Z@Iv!*Fz&MV06M~Wo1s_+ zQ^UFa+FkgbK+{vx*~YmoX(=z9n&fwY183TK-7PI)oIGYz*tBZV zlA*!=WM*Nqd>!u0pV7$eAn=JW;kcl@iKs%98lMMTIajJMHD6){MSp{X?HYh$T)M*d z8h7Zo-J#b4>h3mA+69+bOKI{ee(=A0>HZHd^lMf$2qOFW4OFnMXnI#ixeoXyDtK*> zZR==lY)Lk?wYFzk8oM%XC%VLTa-qN;zNt1&D6Z~LQa%OMr?rL+v_W;l7Ht)AC9JuMtqy38l zcxq;qi}XG}ct7CuIw#rOAm!0=ZjADPKL9vyur{`|B-QG@i7qajRTaVq zQOWw^F{`3=^UOnlS+v(dA9ja65~;7!AkmvqEqxS7+d$DN{C`-0ZEEZ&f9azr^?1-= zM<0K}xzSGo8Q)pyw?Q);uZ4dXt1?gdDf6@+{29Rgrp@R46!-(++(F2BzZL6@CQJhd zDlS))1+8`l_+wm*UIZf!Ag2@fKLK`}O*(*cgm&7YCewA<;kp^6+a0>l9lF0e^Z+~5 zWU3tCtS>&#sDDmsLLcTQ{BS?`DB!&F!J(F%m+4ZqR`aG790?vg`&1#NKlqt`@U#5jXZyj=@q?f12Y)}$4%eMw&j*yl$?c5u1G`|; zSiQ~<{#&c=x>i4HMVi!p&I|pzU!!?`1P9j>#0Twgozb?mLrqrO3UHpAThq;%?pAd= zSMxgI+**e}=NmY+e#+0X!*!b10ZO~f(60D($k{;R&)8-iw%!9fC(u|wC+MW^X+@em zWG|pCu79l){8>O*r@cX?1MUqV{+w*Qj|X-xu#RnQvO`T)e$Ws86yRj9cMg;A8Q5XL z`dQkJGNJbf&^1ozDlhb2KwCP@r23#A{0n~Yo%TO~(`sD@w)3`I zWT&Ih#NrRuW}DD|4A9kLcWRV;6Z%Cj^h-c{^!F=X!n?7|@({i-fLFOaYRWlC-*>!s zdI0AZLhixHWwK2j>DF|%tFa~L`K-9tK`rb|m5MELfJ-dWwSd#xDwu%w9fzM-Ia*Qu zq<^x|hd~?&6WjpAms_Oty>LutYRo#_H~*|3d~ZKEU&gVF1U8rLX78xsa(Czzb||G$ zYLw(%pfT$!@D)Yzn}N18*(CgTz+#1GTo0S@Px*=e3*hc;f5lJuU4AR$yZzwz_`&b> zgWm_Z+3<3p&}G#yWEV{68tgdc&mblh?0@0l#a;0Hx z4u&PE$6?eEQ1IwP+v3G4SvVht9RV2D4~d$F+Ulac`!?V(fVL18wj7wsTQ&mJ7Jm@c zk#28kY)a$Yo}Jf{Y3j;k=bID=3m*j=KDX~~Z*J^z=5nM{6IM8(Rsv-U;a08!hI{=N zGnn9phl*+&86;DSd3#t~c?&eSIy!uox|P1YK~$f~WP zv2~0S>R2b#aZae?olqw@p-y%}oqr0H#W~n9e8B;fB0fv62tp$&1>EhOkz@Vf#{o|5 z+*Vn9tKLKR69C^F!n-@u$;QrPM|ysDOJheeL7CFiB}8J_qrdgA%j10Vg*^2DF*i9g2^e=hK` z4chbKWOja>%)S&SvkSoNLmWC>r7uh;JJTH*w|jwK1}DyPnQT|OBP-q=$j-~m@9uDZ zd*CbJDE0wb(d)JvL6?h>g=_;N)@I zyAp8xIj)et>4m-uXr6L2*-RJi0(Z4_HsL5TP(``CWL^zdqrk%g-|~ZB>j(diAN;$3 z^Ro#WKxhkCB(bk=HTGV~dPo?hzjz33_`T?M^oqvC?i<8+8<79Sy zoXma{C$k&CjCXC|QJE|qs;eV21J?*$Zm&7>6G$<#yD845-W(^hpTyhHTjJF1RxpeA z;_)_c#Gm6Jza3DI8T$@D_)oob|An9MyZqpHd+C0U7y4d5)$j8Xe!my`PI%jn(UV$o z|4i3{TmnO`4K4mx$bnGf_oJn@J;>T)BNCP`oYfv+}&x;_7i>%;JouEuhdm{QS&C(ITt** zs83ZTTNfOpqSU;J!+GFfd<0-y*AYMAqkixs{opJ7;41-l zx7;c};eST~&JU0jo@*6m$WX>KVIFPQfn$nyw>UAX@7k2PmA?9o@!$F-2L^7X=atCt z(9lS3SPms;z4B5eQmdi7J@Yy=_s#Ps0mLqEZqd(SLtze&pG`Zxi7dKd0?RB`1XbRg;(tDTuFX?*v+HWBW{F=8QIsmmvYAPx z#I_Y*mH8|Wf>79wU$KoGw&bfyNClWyzAazJsjxM_;^q{#=WCJFRG3}qGTZiiRZrFI z+@jAH5#)y1@;B(KpH#PP(AP;XY|^jXy{#MdRhhJy428}5mCGz_*w=QAPH17%e#OXR z(SJegZ2j_6C$q45zhaq%4g3`&3!C^WMiw^mSBxxd=GS*94Y(R-H`r1{=?hFxM>+41 zE??4@J9M;Hty1%3A>quc;S8|ge95=LOgv;ykxzwZPgT5tEAshsOx5q6 zti}((l<*4*q=|%vikf`Mx017&!vAnPw4^cnj^kG%%X<9)EI});Fs}mAqIU^=Ty|o$ zAAAkqTo(4PTsAa3lI!bLSQlkYIvfK^k0z`IoH`u3C^s}ZvTSsuNU(BHqJ#1q1%Ic5 zj_*_CIph`(Ea_jaK#Q^^e3V}ZI0uw;biQ#izoiYob%BlDU0l<37V%IHO4uoNd!TYU zjyw0uwCC_HOgfw9uI}vo9F8;datoSUa;($fST3TA=?Aw4m%VpIew%={+nH0sziG*GOvaXKYw_q9j;Tn z+a0>l9eSWU^dNWWzq>>KvqGqH#rSzZ@s~&?muXL>y57kF$h$IlW}Inho1esaPIVhK zU&KQ3uY)VpT;bW{4($b$EA?z!R}O1{W`%1}wn%HGX226a=&m|%vC)3|v$0O;BkV#= zlfZI6_$c5rp*MfVB7Hzpx_`ZkKb|u;lNGPOVF3mQ$}}a@E#h-Y!5bgi)tIi0N7|Lt zL08zJCiATHgRk;~uLhi}>*XWEql-pHhx-+0Ez0Uzf1+K!4tkP1^kjGFDR!u-yG{k1 zcdy$!@}J$v%r7#Na-eJWX?6v4(9`WulkR5(@Y;6m^|K1+8C?x01~)R- z ^|iW)+jd%V#1dZF+0Lf;RxhbKJk2Y<#7{;VJTIY0RC{ot+5ia@r~Q0e>$vx16x$)m2WyxAbfIR(|lU{ovd9!TISkcaPmJ5?$^NproJb z+j|M$ArPK&^O;Wrj=$o4vE?>=x(|3qfJ=LU#Lb#MKiC4JG{_8^+Mn2h5j!u^v}G|KY#Z^|H2FXOE2_YUg*2M z(Dwjs87L-Kz1K_leO~DMz0kk%Yxu9dgg@woe#i^`uowCfpe_C)Cst4vg(_6w+Rym1 zg2aZW#A;NODK-pU>>>6LyI9lcI23T!yIq+LSkWMeJcS)*S6c@?92M-OS$Ne7No3Gr zmjq&~^*=A6gMThXh1pU%Y*VkS|@iIDqOvJ*ecbe^KvU%r}GFZ97oZpv(O{m zg|2Xiu5^d4a)+*VhaLr}t3MqLtlQ}Mf?Z*gD;{HqgCcT{I@Vd|myBsLJ&k+>7oExqkV9rLtgBRyd*$YuI;4(a(2b* z*snPwzkd!S{t^`%=o^8^R9&T=a#5bfk^$u30uO8IC`iWu5d

5TlQGx92D z?Ehd%8Nea0R7Yyfq9*6|YH=996hcmHbF+kXWAn;i~{$S!_0Qg8K1i4OU?yU=%| zF^*&RJ%HLKDwCRIl{b)wcgTbChTr}{R)lDFPoCdr>fB{eetXt#;O_NeqR z-G2_ilYg=P>f~aQzEdO`>4N%*hrSquWJ+L!?b${#JnN}qE>c-z5k?3;g*eam$XZlXB&R8jT zXtg`E#vNMg4xQx=tpn7}FHUequ60J9=!|>@NY`=qXJ@G|1BpMA|C$ERt9H1~{Wn2l zEs}N6&FxT=(!Z(<&WS?}H=)4nkS5_bd!c{gg}w!7i^)x@-|7dyts>n)Tomfy41XTF zw*bzEdBN*uIqc$f`piKVeE71=LcW)sYG|k_Qc^iE8S-(zw*18p{ubc0ZcBP$xK$Gx#RC1Q>oY&M{q_J{f!Z)DOL-{$C!NY?f zh39+J&aLg$Ug#PxbW?z?o~0PND1U3RdaIZ0tQWd3K)bzj&>x`PKE1sJXpC0-6RohL z%ninP1#hS;FIc59LOubptwVkNOF9RZ&S+dR(m&jj++|_^@W7IxLq1c~amoR8r7G;p z{6A*!c>pkEc?IeST>jsDFZ2R0bjAz)IWP2nUg#DtbZc2?^v@KYRhw2VT7QC1AtW;k zlWF!Dw}Fg@NRsht<|av`={7vOaM0uXIAi?QA@h80; zWS%dG$~{}y`&rvZ|tgxxEfS+eD+k-#HX{NBN9!K8_6B^sH zy;W0Q0hkRGM_afAGh57V522~Cvp*Sw6uTZ1g@PvvvrX+i*K6fbW`ApqUMP4}Mj>3= z*x9a%#ldu)^RPExEC<%P9$b)^eN5bXu=lN9Q#%hM1(#Q5P2)Jvap7l{Ql?wl?Xf{n zP)V~r){me5Ygv6lwN@RKah!m~9T}tZixZzJ)@~2`ip@TM@FLIb>tc3rP)vo`&)N3Y z3JR5}y+90PJH*&8c7G`)6!z8UnO3Xwg4^6L?N$o=JSJ2(Hs7v?ctT<1VZUm$ulhc1 zZLQdYVbsIk+Vw+G=GnvOxb_hhNAJgB4>rT>$&0KMc0Ksa&n#vA*kgOE9`?3GQ*ajz zZ@n@69=FKM*SCU)(SypQ;Pfv{C)0Jpbe%|vLsON97;|cYuz$*|h z1uf5TIUQyY8Geb1;UV7ereDc1CrZ_h+J_}Z3LnL!*o}#YXw#&{3NE!V_3dO^B5riA zb6*!%IO|+W9DjYg;bbl-{4zBmUWP(xw}#O*f{q*rXS}6JBUPSH{sV;uena8Ym7=j)O-Wt(_=5 zE|^@yl-~F^dSM(r=i`Oq`7wI!uY7@F7#UfxEj4pgU4Py`eSu7QAPb&)({}$f4ts11 ziKX2@9fYNj+Mlb(p@;n`pk0dny3)RjKv>_{mHghExwGH4QrO$l!l~b=hnLi*S* zUk{v4cD8`1yB!s01 z9!3hGpnp*haS}IDlxS*aS^{w>5Tij=EPCgTN>QSiDBwSlkv1%W7%9qB-Oj_4LhL|P zRWDPq5{O-o5=cowBo9(CylFi*T+a#B$fH(1d6!HN#N*#+2>CDwdqgswXcU`M-3g$n z{j?UxQ)2sR&E9imZsqZ`X&jq;g|B()v3@SB?0;6DC=~Xk(-9=$R3WE}=@Vp#O%xMO z>QTHvv)dz%fozwer;wifAKco+1EG*i)dKO+Wwk&sEh+Gvl%koINO35zE2c6PW>5?C zCX^OicdD1@;aMOwuFM`APUAKlO-n9{qMgpOvo{=jh;5eZytQ!{xy%Kt+Ln^*O0g_f zDSsR~%Knr%j%9I)VkN2zJ&bKBbIP$#N)v#B4K3rs%4iS!MUk+&y|tmX^d$9O+kHPE|wPEremly|7${{a91|NnRae3n~G6jvC453^n* Fa|ILImhb=o diff --git a/1ano/isd/quartus-projects/MuxDemo/incremental_db/compiled_partitions/MuxDemo.root_partition.map.hdb b/1ano/isd/quartus-projects/MuxDemo/incremental_db/compiled_partitions/MuxDemo.root_partition.map.hdb index 7493ba7a4b078e4b9efd033326b0cea95bcb2450..89f6ac4eb940848a7974781454545e568e3b24e5 100644 GIT binary patch delta 9000 zcmV+@BiG#fQN&S@M}PGd0RR91002ZI00000000^c0000000000006`&00000004La z>|F_%Tt&6+ASf#Mf}paA4F(q=!^~u6vWPG}J)N0`p6;f*XOi&Vvopy|AdqBYGJyn8 z_8r+>SwwafH&6s*e~Ro%Km-&4{rsNW_lfv$0VMDLZ{O;!dw;9%+*_xckjT{6HC4Cj z{O6oHb!xfmY#ocmu0Y%|B<|{~j|UvHoKexMG>rc=7w4yAxn4%zDzcpX8Ff0=>lo%) z#zja-3u1$UlUp}F+HEV0c~=IUf9hQ-?;QUN{C0j9*Ra{kuJYZ}zbYB};CFE17Kp`>>q zt#BkAH|ktC;%?-ziqqV@zmCVGQT2*GC1-RiiY_~8d|{{Chb$P$EM;NNB6~!zryXJ9gymJv9B07AJ&@_-|Y3;(oXYq z&{n>%9>-ipHBwTLoW%^4wG>mzhX25kx}e5XUl0Aqanv*FG&od(c)^T^3?yM!ZHL&4 zNWpJ(e|jHu;C`6)+0xYsi4(Y2E{&~Zz8xfcJB5{IywPemDxcjj2W@hjR86?jyt8^# zS)sTCQ-P+s4hKj)SRSiUsA!$Oe$FL)+%09FFI};Eysbk&wb7vlWqXw&GW968a(R0E zz8)bvn8KqnbWY3^aXp4ga;G9;5mvQ~Y|2?- zER~Y8{e=6u1zfgy8=|b(thNVM$ z*rAHXD^xRwS7Sl}NYU1Mxz8;aC%*l=$F^1g`M@NRsi^hgmjg&`5S1&zu z1rFA%7#Uu*uDp8j@Cs6K@3S>hjs>E7e-SyaKaEJvmm-p*9^*I;iu%sdpAW)BE%)o7 zA2Uxrsw^r`MW=94Qe93IdeRW1?4e^+nLpKOm{;{WA64d0qtN&Nk|hd?%c zlYw&l&Dm6rw}EcojAGXDrOTJDe>jxQ8457#4X#<)do)QLQ4a;+coC2xsE2O?j~3L| z>y|jmRa9M~Odr&Js$QjvuR2!zn5r_S>R6>Lt0zORXP$w#5b2exmo8tkYn6u%{ zYF1+lHx&nXv*>XvJd4gAccb3hHu-75s~Cn;bWD{8QNA7ygkSLCPlh;Re=p*jc~N5@ z-GRR1#yiZul6tVMhPkl)yWJzo+gxmakL%0lK<79j?VwWKCd&WxvU+rSy~>BtvA)r$ zWGOu-N)>HTs&omeuUAfa^~zaBe!4y^Q^yKJ8bzy8RiHiyV9^l+_@05zq>GPbP2T3*TkNJuxRSc4TdH}L0 zlaVswZdCGktnc=Dtm=@hhB?sVUG5Qiz25T%Wjq4i-;Px2!<6fiI%Zx)WUHYIx=wYE zh;Npz>!A;Az{k$i*;QETs^KIbf?m7<#6TX+(o6Aq8u~%RhF^j=f3mTD{P{LcKd0ip z#dXNje=5%oAmbd7ik3PLC`RV1RL;F5p1qQ4A!<)|BlGI!uyboj5#6N`F~22%>UjZ0 zvk+w}EJQ{za&B@dqRUaS){V?7BIoXsBJxX9L|(mtR$(DBipaV9r8vES3O!a$;Gz1q z);i{^RIL=Ccm-+Yf86a-L<@;f02GJjZeZgiB-0F1;^BZ1FT;8OQ`2M@eicCAVuN{t&K;$`06upRH z*jQ;rn)!D}6swxZ}~qETfOQqe2am zIk72k-NL!jzdb435J%cRYi8@{%q7FEBeS|jX3SnRe>!t?Nn2-I=jh1DqLI$o&IF<8 zRZ1grGgKRPb7EU#;OV?c8%OM%y?9akNXN{!88a8p9vSW$Sv18Vb!Qar#e&Gmlz}u%_j7uK0v_QZLUm@?A0#~B4TYd7BdA=TN}QWIV}=h4Om z!*!jrJ7>=Bn$gkG)z&e)v!$(TW=GfT*0$EJt{Lr}&Zbo%^i*%kuX*5xYmr9RN1>Qr zhbT0{u28@R+D&`mOS;tV@pjZWF ze>n-TQF@po7Wy$D$uX5p^kh;xPd)FEtIZ$Giw^yML`_J}S5`Nv>PG zY;477JUtYTCSPm(A>dKRWHlj`HMVLpZG?RSP;INCL-f2y`+I5wbfKl>?iy1*l47HD z4H^5oB~j9OG1zuGX>ieQ4RI}3Gf5iI!U5w;)9Sa+2)_oaB{9?|@yXvsOk*hWH z6`(s8$+@_l}?LS}%RSiTMmqVukieJXjd-^1lXkB~#x0LrTd97^%I>5{Igo(~#De@6n(Riib& zVzo}M=Yz0E0V|(B*1D1f+PnvQL*o&yYjUlta&wL(i5&KO={pBZrgo-c=9ActNkhh7AzY&H0f zT<8sQ=r6?jK4*vQuFW3Lf7>CobF+WS8_G2cXvrkLtsMG3Idn%rxmFIOdeeggHS2kE zLDO(6(D;ciaCFF(?zDt<*ILsBC`Zn8>z(yXAn_Bmb(Sqw^Ts)@#`YH@KW2y2th67H zLO1?6pmZ1O3px7(h@Z&52iRgY`}P1UY->^ueN+y822k1LKOGNfe-PPe-8uWZ$Qjni zRxxrvAcY;XLJs|u9D1T0dbJ$-GeGf+wY9f&?uoQ+2%5m3XaxLofMt&OB#^xCm*u%( z#5x*Kce}mZm2!#&0&{q3fC1p#g-L)PV29!??@_v6golO=}1F38&ktsKD z-*Ss%%KxIM?6e+-Vrd@9vj?kgmE9WD2_ z{{o|h{elqkR!y(GNSjxR?=zK|-W3PUNyd46)JP)_zIve}d~dtMdJ z62@}QiIlo~f8cl^4P)|&0CZHgSSlo(E-R$U5SJS)BvZ~Ez>mNPL`C1LllNdYo$p^z zE~XA1OyLQuLb>!5W7jM zJvW)o&7sAviXPUei#=nn==%YqQA_Fh>2$h00QUm-e*xTCP4}cT>5?h2CT?zW}P{bMw$)aEsJ@=#L?hNjS>hXTQJy zpssB>m2WS0wWf+?+=I%^tC(c4=*~L#+jHO7!@a_^cMz*aK36D}om^!EI>xU`dca;1 zM`6|Ke*t~@pgmi@gOXeT9kVIANXJ2@hdczX^*!WW0JDZ8mZtHzhAFUyb#Bi~3!6pQJ;EEixLIDul6D2id8 z5B$CtNljEXRpOQJGWK=O4807bq^?XRgy^9ze@_t5WokTeo5fk3Dl=eZwyF;G<>1bh zET2gvoee`dk;S}=nLVBD(`7MVR~`zz@~Ts9f4Z-~Tv>8TSS6gPa0TR%jX4$8We&<| zh_%MRsyR%r6?;?`AI{=^74Bo;j~;r8Vk<3K zf8%jRz7tW?JekYn3gq-jaN<2MJTTnV(c0;}0LO9_>S~HYXBn$HWqQCFp*2Ty%)8p! zyj;+0O1fNA^LhIv+gJ9n9|!yG0Vt##e{rVzOgb6aR{-66LV1~7U%E2i6jFUyR-Hzz zfmA*{F_`a7lq&0srO4Eei&IIPD`6+ufxuZbuf8-N= zt|!rkr6O1AbT&U&a`=84e5qITIf}0X)Yzu8Log=2*UR@fYrdRVaUPe$zSS8S$WOO>(1Ob;b8SgkZGoX{MGJ?Y+b!7=NJ)(qMFL{BkS z=z)vlX}a=U3@p{?aw(^2dy+Nte?8b|YT#7%X~|NVd$@9sbIy^?^;TAu)2w-WX9f41 z2AdyDm1TE%bley!#LfWsfWgLU29BH_#77YC!prYSoG`X!Bq#(pn~2JuqXJF9!6Qf| zltV!e$IGE707`b|$Wj8>)OtcslnXrx(Cxq$i+Vg!jALFJ%p?l&wDq&MeZ^1D7ktX zq{HdpA@rg%0p(6PKhQH+j2APBA!oy@OI7(G>1TljMu636s^WQe1K7_rfIX)H?70nK z&uajCegoJGfNjd)yRcb|e=cekqt7;r(dWRZveUy^<4`J&3sq_RYx*dgI$f4f^lwUZ(KCW`^6YnjghqwdltKJ<@_R`ZJ+kH!}3O`uzGx}{moyR})2e$c$e z-PSCfZU>|0op9X&e{T2*2JD@H31`^5G6zgXV~#K;Hb>OLeE`mh*z z54?yM+_8N5C!_ehbg4f+FO@hbp2rg$(+BhVl%(f_rl;S4c^xl}^77~_z@brsq4BC1 z`LFuwMrrA5awxxThhM!rL`rgyeWygf+D2RIVM{r5svLTbe;j%)pfZOzPcHO)KzT(> zox$oB)bl|dbOG?ZcG%V$ALu_sN9g$=^o2mP&bURPz(K%^0F>G4vp@=+@pEFKp9d1Z z*jxnFG=Cb@)Gq+Y9wL^(k5k7%_%Aky|5Ahai-BiX&9v71k_IJT3cRqZFLRZ)zi9qt zxzNk&L!&Gve_sI)x5bu{4EXQZ*36& z1K@>g-)(@(_Exvch29~D-YJLPC5PS(sA$f)2SA$F{$%q#@zh^3 zJvW`|jq^E3`s8)M-3=j>nkd z_Dy~ae;j1v>v1viXYvL=A&354tnZU@p-%xS8kl2SiKon!a_A@J&{cBiYCvT^I4&2u zMh-nv4n0Z^T??qpO6%l8j{%e)WU1aWsm^A;OwiFmqE6%nLxAiDsEOr*8 z@(gbEvXSy(YZlciyg853+Ph$91J3>0@S5?le<=7yHP^J_yGUf$-rCaEVtplwA8O#e zt_nJySvo!%PmNSxP(Y0lJT}m3`8XS2t!QhX)7~+svn?La;&f=BCsl~I&Dtm4-rC-- zQG2o;mULM+9FA1D4LrgU+U<%&vKG-|+-PpF4oIQCN6Vp~29!f?bnVKqRpaH6XxpM#pSUz<15#UE1v$;)5Y3%qt5~%pDC=M%S)Cn8(r!Rhf5)RStyy@p){k_g>0J}PD3hG z_+yyBc_pXzc4jUc{>4T|cO@NZ5?xOP`YJD-YBkktkaP69P`p=JrS)Zkpea(N@Pe_7ffeuZ3ho=VB4jZeyju9idB0NMi6;T6SrYJM`6 zFY%u*^rW-SOH)qjzas`i)L)Xfo>*Ua*0p-qq_4ukai^tcj z8r2-rlMV9TQ{=Kwl|xUHLr<4O&j6H{Rq>{t`!NfTiL`?tYn>?lTGK7?lEGbK z<@OBYz}D-YZ7_Sd6?+jJ#ird;mzH<3A`C`j8m;f3O(&h`gac5et1( zj9f29J|;#!4rD}M0A(!JU7Ms@F@NGbBl<&w+N|9!pL>_simhoJJJeFF*4o1Wl}*`8 z?U0(44!2ZSYv~byvU57|=(1Mq$%3TrW$9(E*!GB@0q?GHT@I+sN-M<3F*~GY-<3dG zd2p?vu~l|bH5;wAe?w~ejsqESu(A{Mu*ObkcMW@_rBF@Zqb#8{p|Q1c=sG#{XgTy4 zK&8HPEU>as^C`K)$H}3`i}gK0j66|{JW1ZrlU*o246FI3Cku+Yljtd^-~$l&HdOCm zvJ}UsSgikh%Bgbkr^%tG%b{n;p=SchQ!43ykw2?J{MizGe>W6%!)GLTZLT~=f>*+k zp4%Y)Jm9wiALlEr8edNqGl zwXXi69kM1e_9YkDF-t4-WI<53hc0%JGeU0&_;(JMh>@4tY1>_E$Ym~aX0*MlX4@~@ zA!{OImjj8PfA^omYxRMbWWM5xZ0pjg^*q_~?8$H1D_oHsI-+x*P)$F?!EMzlQHRxLbl}=1syJ7|1 z`gT`ri2pyp*y%jqqbEu(|Z7w zdc{tbe_}Of+}T#F*4kZw#V@LzG^y_gjGH%$e}LLugYRyua}65Xqpr?@lZA%-P+g=( z;N8q>cG|}dsj-|6q;QsLl|#Gb(0*}K50nf2njHFdIrIfN^!?~lVYlukhfV_&ItzyD zo^qidl0!c%hkg`LyjByNm+md~m!qBVYQt_Xf4Stn0hLbU`!s-^4y>$mTg1qH>msAf zrL92XC;3iXn;hCMht7~gJLJ$#IkXE73|L$Emfpw&8vmf6#(WYP%RYLySziNc;cSJs_5u6(dJnr2TX7 zqb}0^bC1h_q=$u$G{+G%AL?<(sl{8s+B=jfc>PY`HZV3ax~#Z#`SirH@zGVo@sA9R zu3EZm?9h*Dk~~?@XrLpyVJ;X_MGJKl6lq^W=>CS#>4wk;HiSN?A#|o8^gtvue>!Ih zSHk4F#mn%zc|1K7PqE|p*hDSVC8_wDQ_OIQPc{(ETd!dYP zb}L?cBmeZP8#c6{9NE|j{6j_6f22i1%K~Q(o{$H|l$WVd;E30Gu%Q#{;d}^3htS3o zLj;YZ@g`=PkSXF89O zozoIK9^q2LDL5;8^a!6FI2n5&;Zj}~ie_}f>!R2j#58=ma5nq8j~PSVP~Dhu4Ts^{ z?{SB4|1g*uGmN2!puq4C0grHqF>4!;bNmM44#{J&yuTn^b%Td!`-6V5 z&ix^shtU>6fnahp+#%c^f8mO;T^_41_7@mDcva5>5$LhIpNApEDd6siyJd#oawpsD zZm2I-mm+AKfa&WZC0vhiiuz8s2z_yHrCVY*;&jEbU-&Ab)2~jmk-wR|bgN(BFWAFh zV5q)BD8&<@6b94!1xvtaJ((d~soaw4Gpy(Th}YY40890P`b;-If5j&2beoESHWfX> zS5tweuM1Ow9s%PvkM_2%=nMvtbi z`3npw8IBK~he1&-P&WN5?zA`%Rj{KfQ1;-zPV-P~?Wd?RRS=`Vsn8WO&cufyB%CB|fADBVLHKp;W=ILI0)Y$h|!ieNRMWS{da0hGwiW(co?(g zo4gyG8#Ax_e`L@MBZn{1#tLLC^8z0;E_Q`)1Pm#L9tKkb(hQ3kOpO9((Xi`%qb&wg zqrhlOI1hs&TvKCY^GQ61`6;IR3k*oO>ITFpFf=tN{+$X2LTzfa z_7FeB=zRlXOc%!G4&yn4+m#fjPjT=^aN)N{`aA5eynSKi6 zAwA>V!x(dh2|w&-8mziftV*HU@^{wQS|F_%Tt&6+ASf#Of}paA4F(q=VP-O!EFw-%PiLl~r@QIynIydTY-TbO7)WMfG9d|| z>^ri%vZ(ATZlDOrCQp%F42Xatpr7CST;3D$p~#l^|F>^-*MGg$ckZpz4J0!4bxqZ+ zI{!JRPMuorI$OtLu}cwm2#LG+>f-^&EN4{oDh=a5!^QdOSgx0mw~8z$e@2~-^*V-m zmNAl+X;9Q@b)HI=pRayQo*(w{*6W!kjlazHSn*)0@`{JQOxe{>7gSC@tW#0()l+42 zmihVWWjYV$S%0^;o7Tgzp5ZNseQVZrkE|Gf2eR#g2uN&4#5W<*y}J?LJe5w_VagAt z#=+D)5bK2v7^hu>KPu$iObMwpk_E9rLCSYBT#&4(^3BTvi=l3msJChh955b?$OS(Z z+ZlU2F8eCH4HYA8>Z}2vB#$38%OrUj=j|FqV19DdaNGf1f_TAf zjYz?-cYk^pbl`rN_Sw?a35gT9S1ygOWWEg~dpU)bX1v~NH!7dqumEjxn^aA>(!9NT zR9T_815<&fx*7*aJXjv9QK)F0zJAIjeAq2zpO22Mo@i~?Pi=IlLD^Pih)g}otz4cS zzpqEg4yN#^44o4*MO=@ek~~KdF`bMsa$9DHaeu5DGCE~T7*Exlj;Mrl!w9QdMmFWV zFqTTm`F_HE-2&x6im*-JKGo}-w*t6wbgVo!xMCz!Px?@CWO*cC16^qExcovL!=($= zj}1(R_B5%E9KoMzsAm|5SEyzVRcJdMs!*#rRH38Ep$c_Uhbq)V9jZ{LbEpiDQ^r#s z$A2j;-5P5A3R!4RR>(rlUm**%e}ycx11e;JJ)m05Z3Ji1woeDP)+7yVu}K=(YLhgu zrGM%3j{i%$u-a$P0~P@G)X;v$$4UM%|vz6MRGL(awXq@$WG?Yj~g6_k4~kV#_85nKTP!l*ME#D zKWMHWaQ(exns-&-4Q0lv&ZT{Dd3khfV&srjqZ8{v6I+J;0T*@#@Thjqr8ppCF8FE@ zK(-o&fZNGEB8|UOiM`UN)O%58Dz$#a(D-t4`b2;#Uw2j64m3)Yp!MP!&~S}l${2U! z-bmHjp;8o8!$FWu-(;X1e{(jK<9}_S+qa^abz*eI=-44_&Om@!uXWAJ-lIw4h5x4Tt(F-%Jf0qr|MOz_^M;YkEtqSs*Y94vU)P~dgd8;E0JEg zdUVB_<%1KWLr~+vH~euVNTZ(J@sXM1T2u*dKnu zhd>$Ph~0>9X%wwWRhgohES-#& zb$ZnK1mXPUli_1p=)ySQxPN1yPjw@{k>{YP_D}Wyl>fhW^8n|bJEv8APM_!WJV>fx zKg?G=sbY}y(>;(ynT(VXccYTWV|};JV^xQ2H7tN0?{tsI>(!n&DB}U>&U=hXAEsQF z)G_laB3lg|&~>_dM0~S!-2i=P13rGH&aT2zR}ClmAoSu5AO`YimVaJ~&*RV!A~yaS zypfGf$EoLoxM$I_CGOKAPyeYrLx7BPM5=i}EOsOFRVwFR63<>qbt-B)-N?MUN$lJj zQbbpyV!9idhurFO1BzxH%2Z%pF>-ElDWV5a@r)apS47U;B}L?yrieUx2Z?j;eko3! zXyFZRWWH(w57jwn5q}ND$b6Nm1sYcG?gVM&-0e~VgS2w)b19MVaAct(xJqZBW~DStl9yL>!$dKBgCxd#zD zk*5xo`~6Cr=_pZwd6rl1^(%@)RW(xP9UdM{>hVZ>+EbT@XB5@t;qm;sJUk9omxsr@ z$YX0aGVip-<1}@7I35#ZkIGH(7I1Ue!9ARJ1$fLrh7}S|&rtd^=oLohDSbvtw4c;K(OC__0hL`bCuF4A~Jemd9n}L!zqG~$ZW)mMp<4CXYFXEW; zImqgjKZkm*70)B(wO_h4veiHwc~R5t5qT~XMIT}qUaPbs&HTFqipJ15>cNR^b4=NW zE72pHqwdP)XhPK&m{)}PZ~n55 z+3g*zvxf)g&6z!S-tbVz+~K(`ZEZtC9UUXX&Y)EEDy0#*DXI;-1+lF$@O0j!jU&!m zHm9Rye*3c4j-mF}dBe-*k1T5+Zf_fEZ<#-T>HOKwyg*upp>5Y*q(%)#`J%xiMwX4Q zS~2U0wSUVN#GZfo<&A0!=aAR=!&#DnCaY*iT^~l|pyX>`NUA|mbMU$|3Rm3dAGc9#m`(hv zYp>U;4O4Pn9ScP5b0wYh95Z~NdW$Yq8jBr^EPvP2+`@&mLT^q%Ik;lC*Pg>Z4u>{S zjqCAp=m~P@X>#c4fU^C$EdJCD9xG`S++YZ;bRMA5h3<-}P|3XjnfD{M&Yw4@wWDSB z-1+n8&S{@LtF@zJ{`}T?vpd>m&ueXQwu%a(r+Qm{%L6xDg*3WC3dQtlM4=USfdV$r zPJha)guMXey3&=%B(lj=w<`CidVBS{y-^`+?@TdrmKeDYkaY~T4T@D@ma_mGrH2J# zp&td398=juS0<(N)bk#>+7wbi1y{I*{h5qTujf73{c1IfQNfK#a{bcf<6|T7^gukC ze68^Zfkz#a)r3?Q*Q&|1A@&JCwY`cC(SP$E?eD0K(1R@{ch;El*%TY4YslC)ETLLg zT?43WEb#K0P~T@Qp_-NcF4p%SV&wC7NX@!00Eu7BGbIO(T&aqLj8@sCGf`+H{kq{rfGU&{u`H96AF~{GzVOol0aZwg+IewsSe9R$R-mvVS`0 zwTA9zC$h#c_Hi+?3rL%O(S(*=XePHvEA?bOE!Aoc29z^%qQ8{WiapsN>>#kTUd1Op z5bYocJtS}MQWx4f%ej`O39DvJD>WOG)MU%Bc>J*U)K{_CbG@q}E7-^ig)0xF;So|X&# z1E73Hkn?pP*8e1i_Y%#po~&nNYc+pt29rO52?a!3Ts7%0%UfT-0x4W0i+^%xNe=Co zLkHy0MRMq3IkXHYy4JT|XdNFo{~jh6dbk|A22fr#;82P$OqX;`^?cAMIs$mE8ZGg$ z)jGYN55lemRz82Mb0teQZR;Dr9tkXdkuM@@mN-fdJz5U^v>bXepxizcQi)8uh>2Ef zj3*n^#iz(+pDKr*CWoFbhku?Shn^{ient*GOAb9-4n0Q>Jy#ArPYyj_4!ra_D>I&>aBfTG^NCPWSiKtmn-I zO~ZV+4?ocbj&`}yb1b2qwbpb1%8@hEdS^WsNc==?oo9>Hym5i6v48!=$dB3~H7o54 zq|l8&1}NRd`a;fr0OBXI@5gPinti)~6}B}ghyGj+eF9L~F z5$||v?RpGwp=UfT)_?i8a-qMIL;o94TB@`p&&yGo5j@$T{{4fvxqoz#ZO$XA9%1f5 zkjy`ck$(nK*ssruh4y3nBNRH|LR;)_m6R=|cGh}e5Kulg$ou`}bT(N?^`)|13*m$*Pnp zl=F$?0g0Xz>-WVdp!X!QkuMbUiE1CebQYc0pLMR1eaxQYjt0kKK9%Y&_Y@M{j+Xmb z@`Szi0MD*OF;&h(xmW+_ms(iBpK_%UpmSdE2^m8qV_!4uhFE#lrQDzG zFGAD8;&L*ROMf0vUX&{wkSM?w-6XsW2n)U(75PG{m?{jU6z9dsfkHXio5*HU&g^-4 zI7=AIIVV!;?vCTWG>pk70?<*}VyTdDx~z~YLtL)EkW4vu06z?6iHg2gC-44jI^Vmb zTudFv_SMT%LN?kU$E3AzcqLu}z!oPJT^(|_+OKafnObLC8Gpkj<)gCTa4 zR(ozTU6@0QT@^j7Q5So{UeWgfMx&O}i___Jxex9I?tQqkn(j(x(j{kX{S|!ej7wED zwweA?qN`lQjr{K1BIg;zXYEzx6M?;nLU%HkUjkM0xkczOxJ7C)^v96MBphY$vftl- zK-adM%73>NJ6cl3GVVd;7FA5rUvy`kyY0E}4LFvoP*+nFI?GtqDbsz<2(3AqW8T%) z=H-H3Q_|&{TFl!o*`BhG{RG%=2S6d^_52Y)ccN5TUo3^j>j~u!#@D_htj*{1&Pu1`3%R}=H1%;`Yt5Y#O|5g(q_Ez# zN3n#liZurtPj{*UxhK?hB~Wm$=`JAsnNm8BEnGfTDCV*W$KXdnfaNeE$Eq4=IDh64 zrvuCBZfbNiIC5?@F0Te@NM}zLa>Zh~i@Ux=VM#fcFQxm^2RRd%qvogV)#Q!>y^~M$ zxSm8CmWo`d)7gA~$>IBH@TFeS=O})3x5hS|9e^?Eyd?mFziZqrwfi*kGE#X<|n#} zxk49Q98c4g7h+(kMwd%DP1_T!neWa%Qv;{6PfM1{+{2Z-oO6zBuDi0ToMO$}J1e;7 zG}!!Lsw}(9qvOUO^v|T?=|1-)Ldn(B zARSHx51|*G4k&lZ`M$3HVt>4tNenm}UR|on2T4BzEHDDBPE!@nGaJBurUC3(4Pei1 z0DDdY*mE1eo(F7G2H*M3Vst^X7=5-`j6MfOm7N~W8V6EwT&POhU(^3QxNvq#XK}Wd zb>3Iur6Tt_jPiSb8Z@@P0B+7Ruh`s+Rr+F68uq1TsB&RbRJo`rTz@WZMuRSCiYi}j z3YV`mh09l)!sSwM5iUtr0E(YrcXFi|c@>ac2GiLzH{YdPG3g(o>NseqUJVw)7Jp3+ zy+#iGrX2b$K>3Li?BgouKJo5CdVo8yp6cBJWiLGqvfa1ks(c4f`~=?eUBH^MCSKbt zM%Oiq(f69g==;rLbbmb2wPiHSdJ$R&c{lFko*3OgO{dE{Fa|tnZz2q5OuPu&H;8^}R=oyjQO7ePW^a zi;;K0w7lSs<;y=A#TTVZz41kvUa|mq%X&4vi8F zjhDp8m+Pw=rKPXPq5Qxde)aAUDak?hofiFS8*QnFE#=VZa_Cud=-GhE9O4|g&~pLh z6)|-Nt6Nad2X)YS!1LN+YfHSZ_aGgi=Y!Da1I;?)7KH)_0WSbhW~_85meLsX@5{tzW^Y6h*$L1fE?r*IM(78kBr7@WQUX#8uk< zqWPEQLcdZU8f7v0Dxmm@F3Vop0QNFqr$cUjU^c$L70(soslL`$`$y9+2OmCL+foV0 z)eS*Denn&OD;tAf)foKh#^7IT4F2`T;NNHrehuKf41epqo7|l)CUM)f;5_qFum6L( z|C`{#I_ozgz9j{ITMGORfcS|9>a`7EuWJDNy#}z~ZvcCJ1K1w`+sLYULxcDm8^qt# zApVEI3)jAz0hR5oZjlSURSvyP4!vCt{Sly|Ip+=lXblJRe!%xmD&bAM?btzyh zb_S&K3~u%E;qswthH4ewoJVQxU9d9&=YDN)&BS;Ve50CcTJc>ZvTJLZ)jG@iN)$iT zz9TG(45@G%c(^6B(-nzi9iqj!(cEA?kV1WrltVuaD2Lq0x|QRrCd$Ln zycYl!jzLDK_KAQ}_MuD5<7*~Xu9;9g{i&ymweLos0YW}gSV5PUjV>Qq?Z>KP4-f6@ z&F6xEPRyq)donqEUmOoamH3K5Q9=Ewhkun;xeq#i29Apdr1N|pJ(b0aJkEO!I8HAt z_jYH>eYtLDqpHC?*&yCC-Ccp;JCd_psNfrpM`BvD@Mf(gM*%5xi=(4M8=VT-HaDDt zRH*PrF@f_+PVMc?TsHiRjgIb0I?^P%o(l9-UOLrks@Wjt=ysuaud+((%LGAFsDFTe z;2Q|pln+up48r!fVg-(smqWknY8?4P^VN35V*ID|w7cwc#@983{vOb>vDA$YqSpIn z@o{`@`qLVQEZ93#nom0fS^4nK0V-2D?;>~K-K%8nC`jD{PE#CfuvY8IdOT7aMF(4I zt}z{yLx%w6SwS{e;`^c98dp!&qqSBu+8};Rt~yVpWYfkcp=$u01=Hab#dvCQ zGL=Z$6eNl@$E;Sq8L*UF*mODg|_EI z&&~aN-Mi2zzGBUi1K?&sDBcP^q+__PkKQvbQ*@VO;a^}w~#~M1}K}_YW>u}+r>!U z)?!QBTC9b>SKiVc+JO;rr_%qufo5%hlaYEOd8QXsf+Hd;n1VywAJ@ zHnbCzZkS;O)TWg^05p3gP^6NFbWb3K1N=g)n$8(O3a^i+!mkSX7k}B?P+%&p=@xj& z;C3f#h`s>ISgf-)Nwr}9#Cb;ahX%D-yG=g#F0&O|(>QjBrC6=ChXN{_ zvPbQZnw1W-R99>1;Ua*tbLQaDWv$qg1xek@(#u`3Z4o~M-dW?i0#KQi#>B{RlkgfA ze@*}yaj>!z^svTGXlD(3gr!hT-?f&|n$XxfIdr`odZZkB6rfUHIvQBnsQHv!;bY{` zW5xO&Cq^DGMxG#V=!q^AABNR@(~|{7-AVK$RPX@^d>g8}KUs?7Q!Lj1J>_J%_*3N2 zQ{~XpJn{eDJOZ_YAlc_PfW5#L+gVfS^T1k6+FDnC(GFP?8T*opY@eqU zda@v>+d~(+$l0Mc1pGUPi^Rx_?X>NzHRKW(IXBwgRkQ7v?T|H*v9AD$pZA}`e{1!D zmt?-`ifrxBsr5YB^6bfP+Dl!L?K-iZ_W(O+O)5ZGT{g)Y^FikkZZLjkZ{=XKoT}d^3Bojwe?9$s3!F(7aH|`gVxqR11ejD|0dV> z|16=JzR%UwH}GzSX5W8W3f1hp6)a>kRyF9G0hLZnTf1Tf-TGEnY~-s~nxWoii`Ba4 z?XJp#$7Z*&6|1TI4p*$eQriKFU)1mQXhOGlg+^_wW~O%oD)ovTEyZfif4GyaSgo}? z1B+i&J84qi2N*YR7XJXXvj*SIR_7WtwtHQj11Ad&`N6tKjljE^)$Fvl9a3XC6G-7K z(;|m<%AvjDrtU8n`gJ+<8*=C~a_IZer^0UCRSum2D0CJK*FEGyKPZQONDlo7pm?n& zwkX|Q>Mch*;njxSo^r{1e*r3;#`kUjI}=!0=gtx%_o<7FGMBahiJ#;P z-Bu2LAUf1}nn5$ujVO>g;Z0)X55>rvffSne7CH3R$ohgX7VE5;f49T(>{avp44}M` z=DsFW##5@+ufu1-gD*V{@IBww`SWMjH1p;_SG!W%S*v?guK!;xp_44dImnL+sD>UMjX-%zpKyr30E==}o#hz@C!t*T^)|f7c z4t1PbYild+mjNxHrwf8BjznOQM%*hShu2S4H>?LYUp97uXt=ty%M zLGz(5cbrz6La>*n$FKs?2c z<6{%GP?w}u&D<1;GTr897Y_P+@DGaluX>s1>xnyQc@BJ4&VM_r^86Q0Do-a;d43&3 z<#`!`c}z|lr@Q$Vkl#+r^W8wqld)$}PT9Y8Q|9T2#P5kRzS*sK?S=f~FKyg73+2eh zj^iIHswOQGe_9qebMT}*Fs8grjRHr!&Vvn|To30%I68zjo*W`*9E~?QQ~t|N4~1b5 zqjBNQ-`~$PTy?&j?a_n(lgCqFhz)0IsBS=Z^Go4&)g#t`gzLdYcybihS+c(;XN15qZt&29?lx6kK1r5f1K$&Ms`k1?0AGr38&z!?9n59 zcHm^}frLwWRVbR#4X=t~uM^Yo>B8CU>po@-bwhPy#x)#Y#q$1waMcYS&UvNYx5nPV=M^X3+gj{?I|`{f2Z424791}5x$xVG<{W=3iJ>_HXp|| zb{DUW>d*P7oZb8d#%5E{4F<$$oZ(}}28~}_-XteSqj6IOn@L9*UO3fr-kvi?Ww?Qy z&5gc82{&>$MYz~zP<(FloneAa==28~_BYmLRWqrf=TFer@G)VS>8G*WLqUu*Pe`ij56kdoo}(0LdX)dFSH zzu``c15pJ#r~+jV{_8Xk#nyg`DpLh93Y-dEG2={p5JDoV9w_A;*632guUr|L3JQ$I z89W5jRyb2bilD&oLc>oDriNnS1qP31e-wmY*KUTCU><6`>}x+7f|)wDgcBPS+csf% z7_(IPbqB*g48;Uj1H*gHa4AEqU_==_no(ek7yd6S&ulrP2|d>gO*dH{;hi0LV*Vl$%rh$X-b%Gded7boVhS-0lwlu>YuMH1lwtRzkgL7l%Ri6x+e_`bC zCE8eljAdTnW5&g<@Qr{W#n8iGYCxJ{F@vd5;4B(;y>GO|U}_W?Z3*XLP=sr0jBGxM z=Q#&5HfV-o2m2vLTT*_Cnf?L;60W)dF$xS#4T^uIg1%6j8m&FZ4>5Y*fEd$-ak;~I z&fpd$#pzQV{E1xc29TjgaDkGtOU}Qidymq?@e3Rn{&BjW!gxr}IQKBdoMFNb`I!c* zt`w_MsJ8rrHFg#=^a#J0VHl{Yhf=IMjVr|-@n1oE6K51VO${kcEBJo^vp^$+1xG)i A6aWAK diff --git a/1ano/isd/quartus-projects/MuxDemo/incremental_db/compiled_partitions/MuxDemo.rrp.hdb b/1ano/isd/quartus-projects/MuxDemo/incremental_db/compiled_partitions/MuxDemo.rrp.hdb index 17af2aed3787e8dcfb5e25c9a6be4f79a5ee3edb..0fbb07eab6f6f52d0a53d887402c325b48291486 100644 GIT binary patch delta 11235 zcmV<9D;(5@W7lGkM}M_p0RR91007G?00000002u200000000000037p00000004La z?0pNE9YvY;3Cpr9DvQV>0^%Xd#YG4+lRF^HWacC}FgNECF8{wwZW9QEOiU(_0EYW5 zAOf?YTYuBvIp687uIkfu5|TLe zOifi+eee5y^;LECt-8<7g+k#S6AFdBkcPy49rz;Z7}NOU^|CFg=4M`Rr*XQ<4*&a0pJPQYRd;T~8`}aZH*$qDyeTRCwQ6C=?iFJDz6c@yTt@ zda;>0BrmB=uYc4rjn~t^QeRJ<$V&gjQ>pi*(vOmge40&Z^=bO0TyTe?y^ON$(<5?{ z0$TVh#IDtAI)={}c@wfzazsE1Z$q4bcoSj=;!fk~xF5#H!T5eKJ`WV;!Uv2Kj>kWS zUc`YYWqxalC%~T9D~cbeKc1H|TMF?+*a0Ps^AUM)Dt{FA!TIZsCO7tP7bMZquX)-~ zGYi>EJaXZH*itQ_;He8%E*@IAY-G{t*f27h>a|UqHrb_O3g+P$S*w6s3^#-0FUPXR z?vo~y6fn|~!0yRyiNjQCWxZ7F5Q%{r#qUY5zW zU@0TA@v?Zi)Yp@eKOS9pmn`dS*tBxWvd&g7WxjghV9Fqq9kRvSP0855yO2<317b0X z+?FVP7q8@By%P}s9Z>?y8PEIgS2u}`BCBPHV-T6Kt?GYb z#(!nDPyg(^S8+#QzyE2|rt^F<94+HA)qO^C>{xkKI{2N3|eZ{x`YsXjW-{8U1 z1{eQdd+Lh*mQ zTf8E+#k4biDHn`v$5V3a7_XOYh)MJLNQ#>btY&yKVh19Pf4$QOVFT~Z-G>n<4rMB~ zz7_f5#S4ZOj_}^$;^9?GPgy>+YJcq+3rCirrf~GDn>KM=bNmt}&Eed`UX#EZe-~o| zNu|$OM~nn=93UGiC5Cl1fdv~QvPYvD`g7^>Rin*Qw7AYEBwIA8O_Gy1Icr9`O>oCZ zFe{UC39)a$CMsCUFxMZLqeD(W4( zRngP|ECZQG{S()XtR7vldQ{4oa`?vsuLLpJ*DYP1L71lwm{tUc5=UlC$wqC=m|BPIv^r$#v0-{P-l%nxvq_uQ)zj4(eSd6tGY&W-o6+8} zj;>2MqmRd!GrD3-IAv7u@UO#Lu(4qE=*ZA1!^?+PE*KqNJj7|1)3*ffw7i6sZKiu5 zu6xg5Ti?K7|4@5hscoRtF*K*U184jdIor;@X9{jznXD3QNM10!r`%2@L%ltH10u`R zCeMbgYwH{+^|cMP^?!Ht40W^(v^B5u!S=Gl)-7GQdWjFq%OO!YyR)r-?$Gk~5@LUk zS1m6tQ=pktb77<4JK8&s^D5ju4p=vmp@q9@6#Uub)uX$CJ@)E zV$yseI@0Q;JWWo@H&-h98=Z>1jpmK>iJtg)iOb1$T+X*GxU@8mPqQa3=i6=+k57-( zr?oGwTySEiq-pZ;@_OY3FM4IW(P`YSf(l%O__xva$B=F>^ZIx@wy)##cz=%fXCu!$ zdCtd&ZxVJyhJUBPvdvU(Sucm&N3cP0M$XR+`y(bF_u!e&f@Qd9934Jo<ma|K}k_m34C_O>kkIH zG2VWs**Tvg8Ym zqE~Eor6QY_iY;mNY2`Lw*<{I|W}nE%>!qA2@v_alY)f8Jk;$71uMICcB#q0Yl~ay& zT3%%1+9rnZi$2o-IYQzr2Kj-;$y#3?-KR*7q+&sX!=eg)us^_sRc|a>+Z_H!7 z$bV(X(|<2mY$$?D$GA;WC+DhZ_3?7hR>GdJn-_!(^u^|$f2iX{w3X*val2$&j+e46 zsg%c4P*uY2XwPoZ7S~rasy#2kX8MV@gv8&zG%~{^JHRfk%VHo$qwEr%*I*xS3vLAW z1jM=c_a&6=hFf>HAkQ&5wyGK#vqdR->VF!b7@4m~wP2%iS8zURFOMSgvKG)Rnu89b zaDx(Jen*s3VGR*CqA(w+5SitFLi9;gtcfD?B4pOxiBMdd2-VsRw1oM{h|pvf3e&HV z*RkS)8mTX-)-hj^YSLV3R+)8xqHsPDQJ9ZZtTHPAMW`1Q;soX^`f6OY8)~Fun12_l z8OT=}IG-C)n2%J1%-TTFcLK)F28@Umh_^&{lusl`1m>dAGE!Qj?v+*1B102IhItMF zSrIKV^MO4-ip)ncHT`xfaQ_1%DEGsvOrq%!Gl9CuKeh^5G_h1dMWTkISE`XRZ#Zf! zv2xT53@b;?j$!4f8O&CWnlWSLNPi3%X%6{JTWZFEm7``5#O)Yc8r($MG^dVDv&=C7f`D(J85v^JQPr zN$(vT;aKL2GLnylY`uZlcz}YfZql6+dEi^y(*c@5d8HWnZP1z#i z)R~JGwk)19y?OHVMKc#Kn6`M~@RXKC(-%PNlo<<~&7?(HhI`v4-G2z*n!r(d{DL!w zmyE1DW73&tEjhCA2i)Q#giYeW<}zavQ-KUp zn#R1Xj;GGV>i7`0X@6MW$eoEcWQnx>3CO=4>C-Buv$$h&hol{}on;3i(mt`5WgP5U zhWZ&$Y-~6pN@Zsizepusq^qJEp zPnj~UdCJVGlbWYZpE7M`Q*(36w8>u7fq@5#*@K;()zaD=ncQ2H!SNM|KU<`2@v%)t1c9Zo$zwR4ChxhR~|`pEvXEeyZU z#Bg=QaHfUf4_*d(_&PAy^uGc${tD)53#ke58G!0-;6DP%Yo6|&zOJ^;VtY?lZ@IH= zpq$>FbcZzNR!d{{g{>L(r;}jNZ5H@Dvcc!2z>C@8wgGhj;P~^5W*JYE)ZsuBuqSFR zAhduUjDL4n8h^A`JsJAYcA8*w-!WbW8cpxnnm-Gb8Tu}*{X-GFvnPIqP;mmar@`l= zl1GEK!GWF%AeKqsTdM*KP)Y8wv0N>$%_SIA^+Hqzw0}_qZ=PhmM5Q8^_QhfFVZb^1 zvg=l70;ff~b6!p?&jD|xKgPlj0p~NBw$9FCZ-3js+%?b-`$S(@^npfbyjlZNLCL*m=p`bt`-&WnC}pLrb~ zMFD-6bKE}$I4RSQIjp7PdD3yM*oXp`G}eCvyDgdl`-D+-#?Qc*VJO_8C(%|hr%?E> zx_=Fl=GlDvL^fm6b_%Z4%+QuJ_)~zhF8`GJbeI9Z0Gz8Tc}(cSc}Y8_2=n-<>hEd} zvZ)6bKFV(h(eoh6e30MWGQ3^|L541Q3Bzllz+0=0>;pCf{ALZWq6_`m;kMy8kPS}g zNn*UxK9~)DD~z|n=Y_$K4}+hO9d2{o5P#qtq5f&Ef>x8#Y1xz$T7kD#J%4%*cokh( z4LI+za5pT@E)S@xnk?H_V}hzZ6C6CsG!>VxQpGh{7yc~Z$?cwtAXKs7Aj=3`6ItOp z$t1@!(d<6a!m&032V3%N#KAnD7BOKs8+hu-d9rm*4tOi|j)ik`z?Jdqa==A3(tk?< z=cyh(IMP|}$AqrxYO=1Oa9NlQmxsZx2!pQ=gI^g2zbXv={xJB}Vek)x!LJE}UmFI$ zE)4#`?C_6cnl*DyYjuyh9&nbqk3Og2Ibh}Rp?3N&XIEZkV zu9R=ggkGmxuFy|sLQ{98;mU6>=YMFpQqJQg80tDuv{vzN$_`&Aj#I|JH5+`r_MFnb z7vS_sSE-{s*j2TvHpf$Go9CK<#$SCmgB10YY{u7goPtmF!)q*WtzxGE%02C{)Z2hH z$SSZf9Z38++nk1-Q7=|?^bwK8>2pJx)+4>h#9_R(+Tf#r_6+Yd#qS1`b$_WhyV8J1 z1Bky|K0c;itn%?JU~{&$-49K8x$5M?Lt*eI0QVm_()OdnqSNQ8sU77q{qP7qITyMq z2z?aLo(^-FzB~;6zA*TOVerp}!G8ic{tC@4lcpZ1Mq*9Y<-A8i34RKK{DbyUp!rO< zo7cK^JlR(5dkho-qvz?&_J7|2Eo`X{&ty~Hhc!($_|LP$D@Sgn{=WgI_XbYvI?ds2kIm}YW?L|4@)kYOA27jE^rJq_QY;Uc? zFR3401s5*OguWFc27(3tw5DEPa}>5YnA*B?-EV()82soQaMf!o;8o9_z~-{u^uG?D z&JX>JA4+Z1YSa8qSj_r-W93euJxz8g|1V&1rsq5_cHy7Mp^Zvd~e?J!oti#e1F z7U}(AXUN|TgFg@k|9@T>{6WCoj+Y&ULso|ozhXkyVNo-eq8AnRba3%)0Divgl%dH> zmdvbND-*(X*Y5B965Q6`U!K#=g9J8e0^6g*GN_~V{XK(y?Im3k&&QEx0LDdz-K7EE ztsd&>PJjAHt~h=<@W#8#g{a9m$ln_x@UKm+Xu?sb6f_*m9GX3pZO2=;)B$= zSu~3w3@j)CIXv7v@4;lnZrPF4Pr3c?JhNhVNNG z(!`A%6;WtIrGe*rb>;jp_yvH|x;oCX#Bih+hAF=Y@Hc~Iu)kDn>o4||<_vbW^%cvx z);nGdGQOu6k@fcV%;{_E>cU)F>@DYdx8)Kr@-ct^K!00z2WA~)tpQ?{o@HJ?+`cp~ zhthNzIC$9YM_W2e#d25MoYcd1!WPAIIVuB2+IqlwrqSCqYp}oA-`O@Vmlaon2Ioq- zPpz*C#J@ife{~@K1A+K!0`b=d;;#cfY^PO2@`H^eyS|ZR|JX>f8$h-zcO9Ok&np%C zOMT^h_kRLE1SZaMXw&pF_&Rs0uoz^mxO zzvO_c?zly6Mep07e^siwi@q1JF%Q>RH3~0lS zzqd7#>?@5VySgR9g zP_7(ze-60n$?w*0yFxz@g#KQb=??}e|9<^w)oJ%(v>gaXSSGdN@#TTJ#p6qD#}#|= zH2Sc?UgfZwEG$T!=6((ZmJw}xA(5vsq<_-)QT_-l%ktf)`cn}4RlDi5P=c%FuZ6++ z5iR`Hwdz&OLOKEWTIz+jR`EOLfUBK(w;b@Q^1{_&@DBiHj0p$7kl^KvK^A87!`N5Mfmr)O`4vf#!BSnx4$1Pldv zgCpPM|A{c=pR~iB2QKBlPXUg<+_$@L3dDaJ_}!qtci!aYrj}w)U$NBH+?;O-@n^ur zwTw+;LAA0bo7g8*?PtR&ZVspTTz@#lE#VaZ98U51aEdR4Q+yE=yuEGShwUi$xA)8| z_2pV8_b*`L;VRb(_gh22{~7}R62SP&y_4S-i2q6;{`NrpR|D~P1meF2e6FLlnwh@d z0FG}ofaA^vaC{RS0ms|B0Ous0?|6H6nDTqV;NJ>^ZwQ0m3wZAN>pp<#_J4dA*#80a z!JLB~g82$aR8sJbY{gXB562=A7?D?W<#0sk78yBF!;lO^Si(0ZZ0MnDAwTuW#Ny&l7GMaaS-|^VGejC4F1y~+aC>6{uto7 zcj^(WK@FISSA@ae8wOt)27g}#c>eJ^8m4@87@S|G4CuGB!r*HF&+oalVam?|oF65r zJl9fFP)*h~VV>)^f%_EiZgFDN@7iQsO5YNFPljj-TuRR?k(DDOqeCketQ=h`zuQY& zsnESvUQD924%ybsr=huSo#{i4$D zTcDq&y|PHZ{^9LisDH0@(qj@Ti}mZ*Sy`~3+BIoPD~tB)MxKujVrQqHex~WHEZ(nM zXJr9@-N?!!{<@Ksh5U6RD~tKlJCqLO$D`p(6J;zgy%OcTL%M3&;-OPlFVs~!PYQuE zuftWK;C#uq!CV~DQ{<`h=&98kxYExz)LGWjG^u$~>WeFyA%ETge%yxZW5yVYol8fD zi>1Yt12Jk6!9~X|+y}9_<%pIkM@(%l7Q1nKv1?YTuh=}}&|*tdOG|<;Di9YL3zeCt zp}wA@nc_d)4^1?twTfSZEbG&Ezyw-d0D~t1Am%uG2pb})I~!ht4CL?9<5QVHWD_dpANYaa(tg+jA3ZW(q+S|6tpIr z;8DL+a4jS`(D}y6oX#Hn<^~pa5Ad9>zlK9?B z=G65skNr%MVkn&Jr{H|S!*YC1Y)1v@=cF$et(j_++Kvc1uf1KB)@0M5B~-xY`0h$~ zsRp1n(y((PwT{k8gZAc!ei=|&ocRY#R7-9HmVS4C&os^GuLPlQ2Ri@Q>_C%g`BB~Y z;T1K_sDH*Gn`U{pf4545_5kWOI}Oxq6n};0;)>M6XAcNG|D_+1(~N$v zU#V*nSQQ3e4frJ3&7a38ozPzD9pDf3%qn-ASKx3Gh5=M=FP1vZCzGPLK2p!f(%N{Y z-^euRS$?R?J!`_?Ys27Y1I{z-Rii6cFB)CFa##)4nrvF@FY)V7gI<~+dRczx<$kEE zyML|#oOiH$`^G-KQJz!dCbf~)Y<{NKb8?#oz0wbL*?v_7pVFIp4XxokqU&%OHav!F zIP*Fji8~#;qM)mcH}&}$59bGE#0AC(b=b-9w176s8A&&Oci2!UYwkzAxo_FlWj;jE zHQ0$yv7gwU{;@+c-icl()3IPwWGE>VzJH6zvh=x?4EIC~vn>n{WMa54Vwh!N_+BQ4 zZ$}JATNob9#PC4GaD;{7`(W^l5LW*nqL^l(crqKs!x2S`h2p7f6pus{lPnY)vr#-A zQ5+%^t-wX$X`~r^@nl4CkWi=_K>sfr#WNAbM4=E9kiLxQADPca4EqT~Yn9>^WPdZX zqkH#=;eSO7!#k`DdX*6e#hwwv3l@fAwr1=dQ9Ng%*gumI z`$Y`TS{NoqMl`#})x?P684JbVfdYTEYjNX_I4B}`QV3dsh{6F`2o8w|9v1>ZBRvoV z$=-~cFezeqL>SaWa|jqb6Acx#fZ~SVCkORMvBQ|FyAnQ2R7$`5M~;;cU5c)rY(BBS1eCMH1Q-R zXX4}IttH#so(AU^olkpEdQ*wCl> zo8W?<4mdqH6|aLKu?81@S){(j{c{*;)}Im7_T^qQdyl%=d;3{@KjLLz=?n)msQ=b)l;4%)l;GKhsFNDKgCb;}|3E*5bgYT+#47TH=N2R{hUoms>TpDJ^Wnu8k!{Aqh!Pf)M z%So&hYU^%Ke^}`9-+z@2;JB&*9Pe)c$JGts_&@_Vt^o(0MHKLkT)s}ZwgE<52M#ka zn^->_g#Ji`o-)JYG*jgA+(#qyWamS$G|O)c zLVqmC@{dR8>CW@-G|N8`g#IMZ_$%ac+NUDb&C~L9*iDh@DSvsupN>@L8>gQMLVq?0 zeRB}{bD7b$OU18dLZ@A--4TTTS`hl{KxaAOO|$(Qf%rRvtiKEB43}AH#@`*J{GKr7 z-}1xRG}kruk22Yxc8&aFzX3chq`@BxgFg`le=-dIR2Y0?1ou2Ya@}9}B`Dk{1inkX z-}>7?3Lf8hwSTy~tEB~aMlzq7VMKY#SXaNK#CnK6nkedgU#3p95(zusAPTmSs*PSI?aN&1I{}454vj> zn=AGQOBQ#!d@<457ClL6D_;9;^eCkj#z)~GD}&TBCBrU}H(n72H6{=BGC-iPD;O$h z(njGhRDV)~XH2HSoBVLH6V4v1cp%cwq}5Ns2G9jI_*_)7K7Ba3(8r?E(|oJ{X?PSq zpN&FX@RD?);E{g8Pr*j)4j0%CmA}pg=iF$!FZ*`1(BlJYuep|OML`ty%K=xz_W;02 z@9w`e1#gHH*APYr`l1KdA$==e#NvzUuAp&w5{UmS$KBs022EN`uL`cpt>*=HYt z?SIn*uZG;OGHHKUqpQjbzXlqAuJO)l!g;{AHQ;xC3id1QufUc4JD~9%3leb9NqDm# zPIhXqX@KC7{=iQm7LbBbhi{<3KX3&}NgeJCLVq&|eHYLfT%Tt8-C^*1>av|i=rAqY z9f364I|0Y(m%Ju9gjM6YKGD$)9=_x?kAL43Xr4K9vbIs@+3#t_JQ~)P--N;c1UN10 zEX^x*I-fzL+0Wt6_Dwomw^-+0t=|om0i$qwq}+YNnP&P7pgH^Y&u$;o2J5`b_?cnG zA5kBit= zWk6$ZO@C6zN*U_fnX{sOypAs`s22lE*hAh1x~`GM!^`@Yo^e>)veDs{3ySZYH@tG` zvXN8%UaQi1F^3*812w9g4MLh(hdB|F$($hc+#qy02z_i2`nVu;XArt86B?Z}fk)N$ zwTqVF6CTC#ykdzx#-%#dU=^uWGk-TOBCgx^{KB0c-*(!>?{qTH_ks70@_6~q?D#Ba z{%=${e>zvnIfx|B7n>!|+bhgta@w?8l*h+QH@!vWsSopHf#r-ZAd=$-fMg)zYQW4# zT)O@u>feQJzN|X?)lHj_EnL8VoVCo%#SI2dSyDhNHot^9;0M})J=bHYUw^{1S~s5# z4{g5rvZ*7(#}z|V@f{lTA- zbxZh7Z$#f37dqRrzt>V;zPKIKh_>()%gWdDZ$e#x226Z z&hf<0ttI2I^!sC*kf4!ne{7j?`sd~Kt*W(puWZBt*xZqE4t{gs(`xlv!yxQw^UU0knrGM8e;h)Eh>CWc+ z?J!R$oE-kEM*msg-+5bW)^|AV@V9o$NMt;EI0vqOk7`8kH^LumhI=G0@=Eya;4?qB zmM!Ct{k?Yh+hUjCFG=#Y8N)2xM{{4_8V=_O@=Ls#sscI8IMp0`cuCDcOG6TtnjOd~ zk${-NomNtSk(UwM#zD^w5 zQ(Imq7v@l#*l+&~yWwQ@4Y-6q>^{8-)2#6nW*BpL-R$#6Bl^XEd`VnsC5};ce>j_O z&Xcu-|0rg(?5FxAquOUwzZl3tUXCXf#C|=eO>aau_}fB_HGc;!KO|#Ez4TJ`lUjy! zcMM+{$)-R{*ju}69HJeRury(qzj0q zhrfXKi<1-nFdlnEjY2+*F7zoo%#DaUlthsdPPMFbOC&lfQIPOhLSmaQP)^?0>M_m; z(sOzJv7h27T7R`Xp!(K72N|!gQ>L4n29mP~uQvMxlm`sa0Cc zpj)T3e9g4>57jAW4;rF5jA6^6OPu_5Lhixx2Pt@(1+%d3!LTF$E3WoRaBP->M)ZEd zumowMAOYD53KG+n>7P6Qv}x0xrVsH(G5V-*C;rW1kAERN#4B-%NmrWEL|XzS_(4dw z7PHPdp;i}MOv{{hT<4XT=#?;ja%wRrXif<~$0H4}!>=Vof}UsiGzZLbWKJ?{cyog* znw-r)hB&80h+!mV*q%5{o5nq62F>=?x7*V=-FDhyu1>azJ!;#rbld5Dzop|duH_Uz zaDOouBY#eg?aAxEJk-TLj{5|KN$NZ1t*Lgj*Wm-F~rSY@FxKGD@ z8qJv8%T3Ui_uJ0+@Xv+YPFuz;eLeO{|FN(TLV=EgUy+W^;Od zYq4~DvLj>^nuj^)wBPcX#Y$wPU|)JDXIIMo(|@1KgAgfr;*Y-jr;X?r`-3uly!9`f zZiF4?i9}8pnm$Dj_^&Jd%f$V2AwSse?(EmR5`K?$a_SHCN4gdnW8ZlhV@!9pfjA3{ zv$aHG#moX&UMFcn?KRSL>05HvkeYo@+mYbNSRk5=hPW2P;gm22I_)qAai>JWQa@<| zl7FKhv2rKzlsG|}Fw7M2pUH?1b!+_+2`%;lrxpVVl<+T|wHu~eo6(L0BvD{(3#AzT z=sh;v&I#4YF@+E8NGPNy;_UjkBuVvK(eb_f}ws|FG7Gr^Noln!o3;&?9BQ zG_vO!@fN4CC$Mj~%!QTT>syP2|J3Qd6o1jv#Q|Gnz-%oJ8n>hN^s5p6XvB^jVuu{~ z{%`yxBV!nAO$KSkw@LyhCDRtIwqXT+!?fB&^D{bGb2QBKMw6Px)#s0`wk_MRVTp?( z`=D!d?{BppvoDHobn_eGq{RNLny1;08 NoeKUx0JHWhkOl9>PN)C? delta 11283 zcmV+uEbP&WbuZmsOYN8Dk35RBLA);pmI?ba3KNzWmoV)#5HmeW`EzeroVHlyQ{1Ebe)7G zPCZjo)m7j7eqVi6U45(WvuiGwd(Wg?ZXcvRaeoUwk2=OA{%E~yOR94-uWu)D+RCSa zgWO3ntY=KBC)N~2UTl;!iBC8Lr>9aU9I{|L)4x(*Nu9__|3p)%ccs#gl8StiO-c1h`lVcOhoZfVvhC6% za*_g?`#*^7YuB|7t{i>`vJDv`Ah~xTPC~p9u@!NbiFCpb6XRfFKbV*Yatq-D#!1KG zA43;nZ;&#-Eyd$t&s!D657ZycOPMK!cr5IM62_Add4F)q<@Uq*>&_}S{%pu>?Iz#a6qgpmr(GO#jBV053CqoavC<2j866D*w~m^DxzQ!j*(#n++w&19Dg~U zRd$~cNPZRNRP`EE@Bq6Gk?qomS$)Rv>eDVnS|-;^695#B16V>pZjw+;4oaw>kzs46 z<63h$-G7#=0y}7no@ZBxt!&A*mWN5Xzd+=$q22U2L!_HnUG5&~7OmsW0+VKgO1ek! zUa$T;{w)LK1~BSk8~~GYUsV6gA#X=COVaFdRyyHf#603Y8RInIB)T|6 zjwxk%qMi@(lIk2Hua}wR^m@s=CtTSPg$|=h_Q@xbA>V<@kl8W)v+F+jon8I@r?IhfTrwOj6Efv}MsgGT&bTMGrLl4Le{Xz&_Q=Vr^c7R~ z*PAEi_u|c7hJz7x+gZ=R`Oq{cPSh*+oJ-$q#@Xi!hz9?udP@E^qM^Rz+y61+OZ9Ja z;Aw-6|F0Z)o;Ta@zw_j$pKSa*gSXYY?0<8$pBKV5eyF$Vch$S><34E9UoHQT=N?1` z?xT6cNiS_&N!|1j!+pq0pbRl%pB;Ncw@>Pss(rmFuP!jTJrz-xWxbNww6WyF0tL0e zdg|G^seBy%9&FJ>6bFvMWAr71$*1Jm8By0ySqZEshq4EGrn)S*8F!78W3v=k|9?sf zjaISc;4+VJKhU z=dr~rVpB{y&u-7E;#@~h5 zKvL>+))6Ct9Mfb&ONn7!D6n8tK=yc0Lw_zGS~F7Hpo{DIm}H$!YLet6PR`IsHwo?> z2&N@ew`xV$L@1hPi4aqpVCuHiyBJH3OfOmE;*{nzrWNE3z)~=^yJTf*SAWha@gZZP z6eH(G1&<60WB~EpX4Uec{-MPy2W$0-%*4u}(KEOr)ewT5)9C@9v%dp5r+a8~(b<8N zI&%>JO2O1t#pSe2Njcl8q?}$;QqG-3Njb-!l5!3_CFLATN-8#x#CbB1c*0Svbvl1s z%-NIUVovvui#h#2F6Qijaepyq56Hgdw2mhZoO0_%oo*?MI$cv1t-t`Mi^{A{HniB#GY2c+O*!C{4Jqv%@92tzQ~G$EIi)Mcg%d^vPXqL@CpH$Z9U1OFd2ncO_2QAi zrTv_4IgLx;PRmPL*=D*I;^+tan!9`Zdiq~C%EZLS@4!S*r3Mwbt)UFO2_ z@<>$9Z)@&Z*gw=#KMUa7p`MXzjEJB`|v(*hSI{!Os`8KgVP zygt#69qTwf(SM&4{aMZPPM-7eVVs1Wk>M$@Y%`Tx*F%u|6gDW%$oZM!K*adtA3OtE zyaE@EBZDWeUOuv(f?RaV3um5el0XLYso2mT1o0}fcX$>4t7pS<-o2j&5$KMRvJZF{ zg#MS~prj}&1wKpT^#=pp7;Qh@D39r+e|Uux>z{$)wSPlP7q4F5zh=qeAqeE=1yAXi z*E{Eas3Ge#M6oEEx{lQM0Lv(HvMp&Ib$UD$+l(TgltQEw4u}2RGZ=Vv8THJzvQ1w; z0-w%7s{2vem`AxpmfaIXsV7GAX;RT4vU%VoN|~g3IrCC3X_QOKbsWoN8`&K14RG|W zS-x`Zihso;%ZJrytJ6l?Oh{}iz4PZ{$78`>y)%3t?L`!o!c6oN*N8DB%{Nb?ViM}f z!@&DpvH50X`O4)(C$stxt?LOBmp>ht^Z}IRgD9qLt#lgnnxe0M6DP}rS9UD6TMyIW zE8fFn$RoBQR`c+`z43AKd=}&65TxR}Z9RplrGGFL{mEOB47}XjUi|-Duy1}HHs=xP zb4gA9=h$Y9*4Z#wWXb0nMX%UwOGP#*60UK3t)NE($%DyJOlba|1DmWwRQ^>6_8Kh7ZxS0c6|{yER56YZbq|B3Ox_2vQ2 zJ%7&!$5MSBOOpq*6!yhD#*18rEdBSQ!G=7z^cb~C>f~HCsXkf`+EUmXcJqRefxg(% z^AB~rjJEQeD{7Z)%kfgSC6)4M3aV0=g7$E669d;*RI5FkVKe>2TSDS*R|?Tm*a>!V zeHH^bs%4k(yb1ewTW}M&Cn543*oSc2u774{Ec8O2V{&|zH8N_8QgeVfE{M#Rr0OUI znBuw=HBCWeUe*Mv#d9L`6;wAg-9&}6rzVv@lp_(7a_I&PK2V` zL?~o;lDc}ZmKxUtKinQf=1VRJNnM2&(Ls#Nm!xWRt|Y6}dO$Hf$ttxHP=vk#T7Pi@ z^Cf*DSKS9o#OKV57v|_jCFxUZ1V!H|7(2IPV^<8BeURs_Q(7OWvwZ^NcpX$d4xt)E zcC)OK7Bb3rL57j}Kqll+mcIf#qb3vTKi-)Z9_9m{kP9A1IXmSc#3tlv!}zLbv1T$# zBrwnN@fFb`af(zUWnOWFEHQBuLx1fta)fpeBS&aZ8#zK_$H);HIONzhh|H_DgvNuB zBRm*@lRysM{SQ_eg`1Ik0pYUw>I0|LhUB zcEb$A?x4pR=OZpaEE@3_G40=^M`H0*k>}Y$Uf>A;qnK^TpHP$yY*48gwJZDz@>^VyZ8fPz^T~k*# zFwodIxK!=yA}=YG@-(VEY>vq7ibILcP1!u+oMp2bYvwjAt8E-;sDGWablKd&WerOk z>INEW=FVL*w_Z&*lw}y$D`%d5#~~q)&+({_Tn~ zMh<~sjKi)mO7i%{k7Y40LB!#kpfSubn55sY=3l14wCLrI9;0 zZAcTRL+(Ez&z*3imeQ^R5g$bv_0x8iamV@@@;sXS7%AJy!LG|tKLd)D4TlG*?5yG! zNu~VG07D!DIjNKJMmR?mAkT0vBD=kF{(Ss51|8149?rvt2Y>v0KllZH@XP$*8vv(2 zIy>;s7;K)q5(p2^T?4pXIY%vfoKpFt@Gl70BIPKpojYe%ZDURS?74Gi&uXZjS=-n+ zcW&*R`o`Jyb82hUF;^gZ8g0|2AB+!B%6u8oZd4SlGk*me9v;~r4Xza4RM!7Kz&U}; zYi?`qXeqRoYk$HyTMG^aNA~v5@Iue@LLUaS!FiKWEFso#oWDpN*OF&%_z^&Jq!v1w z=d~4tGn&TqHE$f%87#Hz+d!j& zz>ixRTqxB{V@=S^;A;okp% z_@=VJVpOtjd@PsC!?_rPRy}}9kM=JK;I%VrG=I`i)~0=_AAAsSj=uD|wKIWJ1Kk;~ zCx$Y>o63%P2yotEZf?v2_$psq~1jvQEUn-D3j7rvrr!EtEnPJ!Cg3lCP zePPHsa`7eM@v*RS;xzv#Omgeq)u2auLBu6}VFy{5}I11>y zjDO?)3BXAi?l@fmYpHmVbX-%pmTMa;KZ4y7G^JY@wa)k@7*h;|+jMVe2K`6H21)Zw zzI`g4F@&1nT(X&>ElKc=fU_?9l=_UH0lx;E%PV1Am(Wev=L_qjNp!;imD_n+{Is31Ymay)Pa7 zW*BdRFY<#Q>jytBJ>2BFe!w|G-P2qNtxZa&rc+L63Eoup{An5BWpr*W;JnMi-7r7D z*sE2AvTR?-1g-XTaPTNolOI~66^F7m{29QL+ddaTsAR!(!w6g#SYbQKB*(MC?0-Jh zz_C6B2V3%7z`;Bp8!=%x6L{*#c(Qd?26z+oj)$`|z%}DXGr&bP(u)D-sUE&O(pK!j zgs#EWZ|IL-KXrh{+LJ*R2k2XOkNz0g|h zYcE?B&hb>*K!$X!gm9dS0a!;F)cpET;EPn%Yvw_5) zwarP`IhA6yjy^oFIC*YJ(t3mw89R(Ol^c8{(2n7qr1<@SvM%vvR}%0j0P&Z}#~-K^ ztNC~yuo>Ih;)ce&Ty}EqVL$j&fV&SIN&C@^;PiP`Vn^9bKP*7kXF}I_p^pUG(P1{z zhy36l_Jg1A2mg{E{1<@ZFMn5CH*?lO+DHs#ZO(hlm*C$)kbTfT4m6+XcJNxaf+yQr z`*-LpJyy2mIQ2?*W_V}tlgMap>a_=k#oNFn$ z7Noz}flrgR7dm>I+xk;HleYEeKnAn4-EV)tAN;5caIM$)hLdAYU~}1S`d<%U$PWFY8%k}| zYSR2(Sj_rtW91H@9e+)>DgO^(ai(WIFSg;I%Aj8Bu-^e*VcTJ>gqJfY7cA2I{LYYn z><7Q!5B`83{6WC&j+Y&ULsk#NZpDO-VpTKOqUSa2Y2f1P0Q`>G$^G@qmd!0)D-*(H zuJ#Z965QO=Q(Vx&g9J80f$h=53aF#?J)M2sEd{+MnvWt^0)NIuh8=}o-mUKM>_~q4 zNUkV;2zce)p{OQ(JBk_x3L`q#xonv(7R^Ut9|8>L54rle4RxWH7+k>B0J=g{*&1Lf zZ`lY?dqP!rp{uPKpV)5c?3mwHZ0Rj_EU+06RlXK9eCFTRg)dWQW;s1m6VAwlS_hOX zL|eHY7`|Juuz$I`wSQh)XUj4DUHEQ$(Y88|I-)#hX3%{WFd2s6*?@5mF73{=CmN#m zeK?!0QNT#mS<$|APA1g3nNa6tLY=?di0ZA1%aa07M8I=m2 z?cJ4g{ov;TPU|W-%M!zpp6{pp0>JkGOh4_7-Q3=exisHZ%=B{0MPTG({+{0Ej#kV%$QlF0N&9In-?S=wqv$vJeQ)h0 z;y>z%zkkXTf3+w68sPnQT5U*vteRxkR+H=>t4Vep$ad$h!?W~7g?vw;yO`}>;K#wl zS+3ZDUxevU?d2)oR=gRWs?cRb%>G)tEl-$JA6F1%Ee!snWxqHmtt{IQ}x8A>HJK{xZ-! z1U zf%D7GzTU3B-h69!aS_i77j$>_b)-M3(^~W|ekOebaQtN)QQri#YRBJOs!8^(YLeYr zO@Fd)dy{Fse;den*9If1*ueqS+g+T2YXtms97>G=h{T@4-3>M7y1D|(;xIw{*%hlTBqHM(SLRj9ATK$^2Zi?7v_&GG#``i!qey(eO;Qv zLRnalIL-YE3JfFK^g<#}V@RdnM|mAsmSww7^(Qa%8)nmKp#)pY-}Hm?Yg+iLXw|Ej zg>(|`wNwgkD&u#~0M~Zr$r<2f<+&^U;2#A%`=GhXPx;k=^X{QsscX#&Wo<*}8h>zb zZ>X)ww=X;)1k*Nb3?Bo7^$~;(d@aD){r8VRS32^vR$b?%{Nq65FE<}SBMoI^O>Zh! ze*$3k9`Ow2ns6@0p$+~|X64XSe5wi@p9Tl*oSnWEng!Qa!Gh0#!(%AO8ywjt|Ihj< z|C||aJ#cC6`#j+I%Y3{0Mo;_~fPbG1{auUd@ja}3XLr8PUR#@O3Go-f#kGtz<3Vd> zC>z@+wAwHEQ{3cF@nwICulQ5^lRw2*{VBfYPw{n7@b)|BDa!8vx@k^G<$?C;nTW_**^k-}c1c=869f@R^R*+RSu&6@NIsTLq3g zs=)C*aCjVV?*yEac(&v1U4F{%_Je=l4}Omy{0D$%p1^1{A^q49U{~0DJ`N;T+}Xd z7C82X5LEjlFxTPp+`P7Nc4I@$EPl#9F|e6=t=6>^U)Q;b8$l>7$FJB&8J6VhMu-7S zD_@qM#8g_EUvYCv%YX9|k+f8~UF$N}@_gM+-R)eW&leG7!EE{k`gu*eYk_`}_R=E# z%7?dep}ww@9+Oa7tY5j#(t`cOu1Qi_TC`s=@?3NfJ3IOGGf8J@@qWcROAGibMwS-w zSBxwz!ZW9t~frC}V-?PNXREr2qrpO18~=>pDmdeJiDw4zjGt*d6VoECt6=17EjtTn$FO0w%&8k*9sivo zjUjion}YKN56jUxu>}>RUy!_9G&I#{YFh*7qLvn|G?Yz(7El47sZwFwt6a3$XON{d=ZKMt{o-eJjw}$7U;OQE21q zqzWz9I2UJ!4gkut^^VToew+uiYOJAb$ZD--xhH-oyXm~mM*k%rk&}%6kXxy35?JF0 zUkmt5*v+5EC>+;P=<4MU^~@`Fs8`@{5{3a(Y{?he)F+dIw>}cj$dcN4y4%Pk=oxOP z&3`@X{NU^T;AaBPGwd}ZtJf|WS-W~r8?2#hQtK~r>raASoE>^ecIc&UsI9v$1DtoT zySm3ey-{2ca+9`^)NFpH*Ku;21ijo1wb_0}0B`6@yoT1{JfiF25^OjO*Wt|T;RxL6 z*c}D!MZBrc&v;lrC?hUVMrenf6i*9iqko){bl?Yw6@_NaeW+LWEt}ibhX~sH+VCm% z<6DwHc1XrM(CcJ68jKnlO7LT-h%8Hdjp1f28IVxG5j!KILg5A zU@C_D1BSy53_k&bV}!8!rvXKyf#T_O6psWHbq0!!=_noxC}tWcHl?F@GN3p_D1VxO z3&JxLf*a_#?oPVx%GEnT3j$)U9;!XU(dUVFyHyy=p0mW+uiv7}2 z>>f~THc%V@3is%q5-_}MV0e#_L0@IWL9uth@S=espRO7E1{BX5C=N_z!~p@ra|VW~ zff2R#aWyrdc-BDix1hjZcr9+!5z_;Lr-h&ih#*W$LvTny@T3q38tFkGh=2EH)P$J< z!(+mrO*Dsq!7C0Hv+ z=Jjxo7y1WY=zG1;|IZ8kuU_bX^Fsg73;iQ6^nG6FAA6zi2Rg;h0x30IqeJxpFXaz< zp?~6q{wdHN4gZ;!@`t_9kAHZfAN4~29O#s8I|Oqxq(h+wb2F|#oi9y1jmeq#=yYYnmQOdd;1p1>RragIX9I?*28QKM2J$}#1{3;JcN1*z(*UOj zXW?})B*tLFuL#uF*?$fr$@-OEZ69)?*?ZK@-aEkH`(Y;o8~;2QOn>NAK&KggN%+-H z?X;h0+P|;C{xwbp+W#ULOz07yQ|$an@U>pbPxn)Ph97*LAAG$Z{7gUiS%9Y*cS#+0 zHt-x5$#a%%)O^@&JO>q(bJ*@}7`ErQDQLmVpfJJDO=W))`aCc6`CjM?{2G2?0B`Gy zeIXp~Qo-i8ivZ`M8Gn3NwY9GWA3Z8`C;p0=jpt%NGcNIiU+M?H%n!Z+a9&PgrBHK6 zOY*})oBuAa0>>3q;P^-tIIgS$$49HcaTPf5EFy<@xKRt(D=(`a@ywu)wPXTI_$+fD;xa4A_`AH6-|eUT`))X!X1m6I zG?o2H*T_G28-Kv#LK6H5KloFA@TdLY8~xy$0=VP(k?sD%Z$M!`A#h#l{odUMQtE7 z{^G8I365c4+lBusFvE72kY?D1(rzg^Z1)Y`7Bt1OB!AvDnBJb!42~ua$lYZ?Hen+O z?+DCrc=WY^VmG1C9%}9h3j8_FwBH0n2`$=@?iCnd@dL?|;D3Y4G^2`m`d}AnfM&wG z+$OLqcEbGzQtV`)*f)h4Y{o9&FyZ$@CF_&V0%-x!Nfx{taMsy>&|POSx#B>uq;aRs z7gLRG(SIi?-HJDVA3REFg7HC^Ze);JrexSH@WyMxppD5xoeU7j?GA<#nzTWffl6v{ zjL9T;jT=sO!r5ab4+PqoG`T6*0J^{gUx-T9Cl4nZ`e;--ns4+!4G+Rs(@}^EHcJ-@ z9_iQI6l}y4xWII%d?_8AbEE0L?7PuIhYzSdbbl?|gn}R(kO8g@-)Vr8-rj#n$`8t* zTntA#Jp){`{oo96P5a*gj#uMy#}-?A7xrhmk#I-`3!2I%ybo~K92o0+%>jpI!I#zN zW&mGd=WFPhPBaAK=cr9(io=Wy(fK=eQc)(z)qsIo9P=knDoW=hngeSyz%}i4e(-uf zcz=T*e3l=)5peg|q2p&7&SEY|g?=&teW4fnqSWX*vAn6==^KGgv(G*T+ZzS14Y}W@ z(*B5!E-TNy1T_9^{r@ff@}8ggvL88h`~W8VGlQ)?9?7=fZ&n- z)J-84kb+SU-$j9Y;0ltGdbq<2{XH-Aoqs^5aD9^Lclp8ZuE=&8p@+tFcLb7bZvz~s zU-Ft{KUR%r`b0+uc=(dnB7Re#cJADI-9|mneor#yalf|w&JX@4z-d`qVNs#Y`V1n; zehz=OZ{}foi}k#%^^;NQF$!k~%IzndNv6*MnzL`u{FXl5U_Ea$ey*SKhgSw?D}VG* zi)XF0E?e8{ywLSt=#~IoH%o7ip0|0u-Ai|e7kX)cPJY+LX8B-%&i2{(6+mNeO@30y zN*U_9Gv@{Scm-cp&|VBIU=Mj0=-P*u4zB1~zH&zMijl$9i}UYYG`M>Cis6(0PFJPp z#T4b-4=J_u=M1?B`uCJVgK3xB=PMKAQxUg%@I&~0Am_Ecze&LkdHTh=dGflqkk zi;MCF_86Dyl!H;ET+Q5sh`4V1^9y%+eA{V^-|1wY?*s1}2;)2YgREu;)4~bxWvL>&>UbeVea7Ic9Af zhlj70thJ*3QmqST#tuIETCW>U3a>+rbkO`xsNV9^8HFw$#mGmEh@>-O_3t=Xm00*OGEr zy8W?TNYF^TKeo;|{qyqrHq}~vudK!aSlyAa4t{mu)785D;XY$?&wn4h$g_`iH9KT5 zOozCS+3waV37ynkpmt<8#M&=zEinoA+2@r`uk(W2-Y;EF3HLmvOt&`QZHIb7VdZdN zHM-CG{?^%AwZ6k@hr6{~M3bWV(~%X$@k&p3_aQMmMB5u!X_K>L$VljpG<<*`P^`Lo^8mv5uhFp+Jp79Wt&~)1n65sHWvR zs3>sG16E; z46+Rr#HKCPKX?3TY;14Uhj^nHeU!Tc|K_pB5FX~0IK`wZRcWj(o)Y{Zq+N?z=Nwb3 z7hFiotae=El$h$2P=2y%Q733t2{*@MRj|XY#YcjkXZQjK%n&jsC^o#g!4*x`=6`@V ztAvkXB!6bukvLSFCOoDF&5qW$JJLAae%hk0PPU6ZYWuNt`{{kRr4uu*;S}Fwn;Z%G%lkof7T^5blw@btK$9=bp#hkK#w29@{#tozPPK* z=$H4~&-if9h1*YCCMZ{jn-}}9M^GXvwD47v2;hW z!)Fw#hdJo9-}9NpYGkBfe|jimSIYgQZ^;=VRr{W9M~ow7foM`1qFNM(RYDnPwL=}mtr9Uy-J~%{hJx72 zo!C?27-`HfRlt8LBRz0UVF&9|1D2S(od+Ds%Fuk=Y?TA5Q1;(~eis5zVvEf!u zs8)^!KCmO9kRFf6zh($|7*aOQsCMgesDBmR+khSJ!&)_-61xv;?w-R!kAwkJ&7P~q zTb#-s&%WI{7glbsZz~e+Q>PD6M4v9EZIuDDtvG1Hj_~PMHT+SH9ofeYIq>~`^d%!@ z7;9AqY09@sJSQd97F})C3fzY2YGch$>13UwYNl74R5h+Le{8dD*^Uj1T@;xIU4ONE zf1CA~c~N||n_mqlr#xZRO^;nys?%a!B16BppAuK&v^Zv1%=Fj`F)>KWDaSo2Z37bQ zv=kSK*1mOL6e$TVK2ED)hua_O5rxG4 diff --git a/1ano/isd/quartus-projects/MuxDemo/output_files/MuxDemo.asm.rpt b/1ano/isd/quartus-projects/MuxDemo/output_files/MuxDemo.asm.rpt index 831573b..bfcbd6d 100644 --- a/1ano/isd/quartus-projects/MuxDemo/output_files/MuxDemo.asm.rpt +++ b/1ano/isd/quartus-projects/MuxDemo/output_files/MuxDemo.asm.rpt @@ -1,5 +1,5 @@ Assembler report for MuxDemo -Thu Dec 1 18:12:51 2022 +Wed Jan 25 23:41:55 2023 Quartus Prime Version 20.1.1 Build 720 11/11/2020 SJ Lite Edition @@ -38,7 +38,7 @@ https://fpgasoftware.intel.com/eula. +---------------------------------------------------------------+ ; Assembler Summary ; +-----------------------+---------------------------------------+ -; Assembler Status ; Successful - Thu Dec 1 18:12:51 2022 ; +; Assembler Status ; Successful - Wed Jan 25 23:41:55 2023 ; ; Revision Name ; MuxDemo ; ; Top-level Entity Name ; Mux16_1 ; ; Family ; Cyclone IV E ; @@ -78,15 +78,15 @@ https://fpgasoftware.intel.com/eula. Info: ******************************************************************* Info: Running Quartus Prime Assembler Info: Version 20.1.1 Build 720 11/11/2020 SJ Lite Edition - Info: Processing started: Thu Dec 1 18:12:50 2022 + Info: Processing started: Wed Jan 25 23:41:55 2023 Info: Command: quartus_asm --read_settings_files=off --write_settings_files=off MuxDemo -c MuxDemo Warning (18236): Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance. Info (115031): Writing out detailed assembly data for power analysis Info (115030): Assembler is generating device programming files Info: Quartus Prime Assembler was successful. 0 errors, 1 warning Info: Peak virtual memory: 353 megabytes - Info: Processing ended: Thu Dec 1 18:12:51 2022 - Info: Elapsed time: 00:00:01 + Info: Processing ended: Wed Jan 25 23:41:55 2023 + Info: Elapsed time: 00:00:00 Info: Total CPU time (on all processors): 00:00:00 diff --git a/1ano/isd/quartus-projects/MuxDemo/output_files/MuxDemo.done b/1ano/isd/quartus-projects/MuxDemo/output_files/MuxDemo.done index daeba45..ad48799 100644 --- a/1ano/isd/quartus-projects/MuxDemo/output_files/MuxDemo.done +++ b/1ano/isd/quartus-projects/MuxDemo/output_files/MuxDemo.done @@ -1 +1 @@ -Thu Dec 1 18:12:53 2022 +Wed Jan 25 23:41:57 2023 diff --git a/1ano/isd/quartus-projects/MuxDemo/output_files/MuxDemo.eda.rpt b/1ano/isd/quartus-projects/MuxDemo/output_files/MuxDemo.eda.rpt index 308b028..1383a25 100644 --- a/1ano/isd/quartus-projects/MuxDemo/output_files/MuxDemo.eda.rpt +++ b/1ano/isd/quartus-projects/MuxDemo/output_files/MuxDemo.eda.rpt @@ -1,5 +1,5 @@ EDA Netlist Writer report for MuxDemo -Thu Dec 1 18:12:53 2022 +Wed Jan 25 23:43:19 2023 Quartus Prime Version 20.1.1 Build 720 11/11/2020 SJ Lite Edition @@ -37,7 +37,7 @@ https://fpgasoftware.intel.com/eula. +-------------------------------------------------------------------+ ; EDA Netlist Writer Summary ; +---------------------------+---------------------------------------+ -; EDA Netlist Writer Status ; Successful - Thu Dec 1 18:12:53 2022 ; +; EDA Netlist Writer Status ; Successful - Wed Jan 25 23:43:19 2023 ; ; Revision Name ; MuxDemo ; ; Top-level Entity Name ; Mux16_1 ; ; Family ; Cyclone IV E ; @@ -45,34 +45,34 @@ https://fpgasoftware.intel.com/eula. +---------------------------+---------------------------------------+ -+-------------------------------------------------------------------------------------------------------------------------------+ -; Simulation Settings ; -+---------------------------------------------------------------------------------------------------+---------------------------+ -; Option ; Setting ; -+---------------------------------------------------------------------------------------------------+---------------------------+ -; Tool Name ; ModelSim-Altera (Verilog) ; -; Generate functional simulation netlist ; On ; -; Truncate long hierarchy paths ; Off ; -; Map illegal HDL characters ; Off ; -; Flatten buses into individual nodes ; Off ; -; Maintain hierarchy ; Off ; -; Bring out device-wide set/reset signals as ports ; Off ; -; Enable glitch filtering ; Off ; -; Do not write top level VHDL entity ; Off ; -; Disable detection of setup and hold time violations in the input registers of bi-directional pins ; Off ; -; Architecture name in VHDL output netlist ; structure ; -; Generate third-party EDA tool command script for RTL functional simulation ; Off ; -; Generate third-party EDA tool command script for gate-level simulation ; Off ; -+---------------------------------------------------------------------------------------------------+---------------------------+ ++----------------------------------------------------------------------------------------------------------------------------+ +; Simulation Settings ; ++---------------------------------------------------------------------------------------------------+------------------------+ +; Option ; Setting ; ++---------------------------------------------------------------------------------------------------+------------------------+ +; Tool Name ; ModelSim-Altera (VHDL) ; +; Generate functional simulation netlist ; On ; +; Truncate long hierarchy paths ; Off ; +; Map illegal HDL characters ; Off ; +; Flatten buses into individual nodes ; Off ; +; Maintain hierarchy ; Off ; +; Bring out device-wide set/reset signals as ports ; Off ; +; Enable glitch filtering ; Off ; +; Do not write top level VHDL entity ; Off ; +; Disable detection of setup and hold time violations in the input registers of bi-directional pins ; Off ; +; Architecture name in VHDL output netlist ; structure ; +; Generate third-party EDA tool command script for RTL functional simulation ; Off ; +; Generate third-party EDA tool command script for gate-level simulation ; Off ; ++---------------------------------------------------------------------------------------------------+------------------------+ -+---------------------------------------------------------------------------------------------------+ -; Simulation Generated Files ; -+---------------------------------------------------------------------------------------------------+ -; Generated Files ; -+---------------------------------------------------------------------------------------------------+ -; /home/tiagorg/repos/uaveiro-leci/1ano/isd/quartus-projects/MuxDemo/simulation/modelsim/MuxDemo.vo ; -+---------------------------------------------------------------------------------------------------+ ++-------------------------------------------------------------------------------------------------+ +; Simulation Generated Files ; ++-------------------------------------------------------------------------------------------------+ +; Generated Files ; ++-------------------------------------------------------------------------------------------------+ +; /home/tiagorg/repos/uaveiro-leci/1ano/isd/quartus-projects/MuxDemo/simulation/qsim//MuxDemo.vho ; ++-------------------------------------------------------------------------------------------------+ +-----------------------------+ @@ -81,13 +81,27 @@ https://fpgasoftware.intel.com/eula. Info: ******************************************************************* Info: Running Quartus Prime EDA Netlist Writer Info: Version 20.1.1 Build 720 11/11/2020 SJ Lite Edition - Info: Processing started: Thu Dec 1 18:12:53 2022 -Info: Command: quartus_eda --read_settings_files=off --write_settings_files=off MuxDemo -c MuxDemo + Info: Copyright (C) 2020 Intel Corporation. All rights reserved. + Info: Your use of Intel Corporation's design tools, logic functions + Info: and other software and tools, and any partner logic + Info: functions, and any output files from any of the foregoing + Info: (including device programming or simulation files), and any + Info: associated documentation or information are expressly subject + Info: to the terms and conditions of the Intel Program License + Info: Subscription Agreement, the Intel Quartus Prime License Agreement, + Info: the Intel FPGA IP License Agreement, or other applicable license + Info: agreement, including, without limitation, that your use is for + Info: the sole purpose of programming logic devices manufactured by + Info: Intel and sold by Intel or its authorized distributors. Please + Info: refer to the applicable agreement for further details, at + Info: https://fpgasoftware.intel.com/eula. + Info: Processing started: Wed Jan 25 23:43:19 2023 +Info: Command: quartus_eda --write_settings_files=off --simulation=on --functional=on --flatten_buses=off --tool=modelsim_oem --format=vhdl --output_directory=/home/tiagorg/repos/uaveiro-leci/1ano/isd/quartus-projects/MuxDemo/simulation/qsim/ MuxDemo -c MuxDemo Warning (18236): Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance. -Info (204019): Generated file MuxDemo.vo in folder "/home/tiagorg/repos/uaveiro-leci/1ano/isd/quartus-projects/MuxDemo/simulation/modelsim/" for EDA simulation tool +Info (204019): Generated file MuxDemo.vho in folder "/home/tiagorg/repos/uaveiro-leci/1ano/isd/quartus-projects/MuxDemo/simulation/qsim//" for EDA simulation tool Info: Quartus Prime EDA Netlist Writer was successful. 0 errors, 1 warning - Info: Peak virtual memory: 604 megabytes - Info: Processing ended: Thu Dec 1 18:12:53 2022 + Info: Peak virtual memory: 603 megabytes + Info: Processing ended: Wed Jan 25 23:43:19 2023 Info: Elapsed time: 00:00:00 Info: Total CPU time (on all processors): 00:00:00 diff --git a/1ano/isd/quartus-projects/MuxDemo/output_files/MuxDemo.fit.rpt b/1ano/isd/quartus-projects/MuxDemo/output_files/MuxDemo.fit.rpt index 5c35021..e3adce9 100644 --- a/1ano/isd/quartus-projects/MuxDemo/output_files/MuxDemo.fit.rpt +++ b/1ano/isd/quartus-projects/MuxDemo/output_files/MuxDemo.fit.rpt @@ -1,5 +1,5 @@ Fitter report for MuxDemo -Thu Dec 1 18:12:50 2022 +Wed Jan 25 23:41:55 2023 Quartus Prime Version 20.1.1 Build 720 11/11/2020 SJ Lite Edition @@ -63,7 +63,7 @@ https://fpgasoftware.intel.com/eula. +----------------------------------------------------------------------------------+ ; Fitter Summary ; +------------------------------------+---------------------------------------------+ -; Fitter Status ; Successful - Thu Dec 1 18:12:50 2022 ; +; Fitter Status ; Successful - Wed Jan 25 23:41:55 2023 ; ; Quartus Prime Version ; 20.1.1 Build 720 11/11/2020 SJ Lite Edition ; ; Revision Name ; MuxDemo ; ; Top-level Entity Name ; Mux16_1 ; @@ -147,12 +147,12 @@ https://fpgasoftware.intel.com/eula. ; Number detected on machine ; 8 ; ; Maximum allowed ; 4 ; ; ; ; -; Average used ; 1.01 ; +; Average used ; 1.00 ; ; Maximum used ; 4 ; ; ; ; ; Usage by Processor ; % Time Used ; ; Processor 1 ; 100.0% ; -; Processors 2-4 ; 0.2% ; +; Processors 2-4 ; 0.1% ; +----------------------------+-------------+ @@ -325,6 +325,7 @@ The pin-out file can be found in /home/tiagorg/repos/uaveiro-leci/1ano/isd/quart ; Name ; Pin # ; I/O Bank ; X coordinate ; Y coordinate ; Z coordinate ; Combinational Fan-Out ; Registered Fan-Out ; Global ; Input Register ; Power Up High ; PCI I/O Enabled ; Bus Hold ; Weak Pull Up ; I/O Standard ; Termination Control Block ; Location assigned by ; Slew Rate ; +------+-------+----------+--------------+--------------+--------------+-----------------------+--------------------+--------+----------------+---------------+-----------------+----------+--------------+--------------+---------------------------+----------------------+-----------+ ; I0 ; 91 ; 6 ; 34 ; 12 ; 0 ; 1 ; 0 ; no ; no ; no ; yes ; no ; Off ; 2.5 V ; -- ; Fitter ; no ; +; I1 ; 90 ; 6 ; 34 ; 12 ; 7 ; 1 ; 0 ; no ; no ; no ; yes ; no ; Off ; 2.5 V ; -- ; Fitter ; no ; ; I10 ; 46 ; 3 ; 7 ; 0 ; 0 ; 1 ; 0 ; no ; no ; no ; yes ; no ; Off ; 2.5 V ; -- ; Fitter ; no ; ; I11 ; 87 ; 5 ; 34 ; 10 ; 7 ; 1 ; 0 ; no ; no ; no ; yes ; no ; Off ; 2.5 V ; -- ; Fitter ; no ; ; I12 ; 83 ; 5 ; 34 ; 9 ; 21 ; 1 ; 0 ; no ; no ; no ; yes ; no ; Off ; 2.5 V ; -- ; Fitter ; no ; @@ -343,17 +344,16 @@ The pin-out file can be found in /home/tiagorg/repos/uaveiro-leci/1ano/isd/quart ; Sel2 ; 80 ; 5 ; 34 ; 7 ; 7 ; 6 ; 0 ; no ; no ; no ; yes ; no ; Off ; 2.5 V ; -- ; Fitter ; no ; ; Sel3 ; 89 ; 5 ; 34 ; 12 ; 14 ; 1 ; 0 ; no ; no ; no ; yes ; no ; Off ; 2.5 V ; -- ; Fitter ; no ; ; Sel4 ; 121 ; 7 ; 23 ; 24 ; 14 ; 2 ; 0 ; no ; no ; no ; yes ; no ; Off ; 2.5 V ; -- ; Fitter ; no ; -; ze ; 90 ; 6 ; 34 ; 12 ; 7 ; 1 ; 0 ; no ; no ; no ; yes ; no ; Off ; 2.5 V ; -- ; Fitter ; no ; +------+-------+----------+--------------+--------------+--------------+-----------------------+--------------------+--------+----------------+---------------+-----------------+----------+--------------+--------------+---------------------------+----------------------+-----------+ -+------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Output Pins ; -+-----------+-------+----------+--------------+--------------+--------------+-----------------+------------------------+---------------+-----------+-----------------+------------+---------------+----------+--------------+--------------+------------------+-----------------------------------+---------------------------+----------------------------+-----------------------------+----------------------+----------------------+---------------------+ -; Name ; Pin # ; I/O Bank ; X coordinate ; Y coordinate ; Z coordinate ; Output Register ; Output Enable Register ; Power Up High ; Slew Rate ; PCI I/O Enabled ; Open Drain ; TRI Primitive ; Bus Hold ; Weak Pull Up ; I/O Standard ; Current Strength ; Termination ; Termination Control Block ; Output Buffer Pre-emphasis ; Voltage Output Differential ; Location assigned by ; Output Enable Source ; Output Enable Group ; -+-----------+-------+----------+--------------+--------------+--------------+-----------------+------------------------+---------------+-----------+-----------------+------------+---------------+----------+--------------+--------------+------------------+-----------------------------------+---------------------------+----------------------------+-----------------------------+----------------------+----------------------+---------------------+ -; pin_name1 ; 76 ; 5 ; 34 ; 4 ; 21 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 2.5 V ; Default ; Series 50 Ohm without Calibration ; -- ; no ; no ; Fitter ; - ; - ; -+-----------+-------+----------+--------------+--------------+--------------+-----------------+------------------------+---------------+-----------+-----------------+------------+---------------+----------+--------------+--------------+------------------+-----------------------------------+---------------------------+----------------------------+-----------------------------+----------------------+----------------------+---------------------+ ++-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; Output Pins ; ++------+-------+----------+--------------+--------------+--------------+-----------------+------------------------+---------------+-----------+-----------------+------------+---------------+----------+--------------+--------------+------------------+-----------------------------------+---------------------------+----------------------------+-----------------------------+----------------------+----------------------+---------------------+ +; Name ; Pin # ; I/O Bank ; X coordinate ; Y coordinate ; Z coordinate ; Output Register ; Output Enable Register ; Power Up High ; Slew Rate ; PCI I/O Enabled ; Open Drain ; TRI Primitive ; Bus Hold ; Weak Pull Up ; I/O Standard ; Current Strength ; Termination ; Termination Control Block ; Output Buffer Pre-emphasis ; Voltage Output Differential ; Location assigned by ; Output Enable Source ; Output Enable Group ; ++------+-------+----------+--------------+--------------+--------------+-----------------+------------------------+---------------+-----------+-----------------+------------+---------------+----------+--------------+--------------+------------------+-----------------------------------+---------------------------+----------------------------+-----------------------------+----------------------+----------------------+---------------------+ +; Y ; 76 ; 5 ; 34 ; 4 ; 21 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 2.5 V ; Default ; Series 50 Ohm without Calibration ; -- ; no ; no ; Fitter ; - ; - ; ++------+-------+----------+--------------+--------------+--------------+-----------------+------------------------+---------------+-----------+-----------------+------------+---------------+----------+--------------+--------------+------------------+-----------------------------------+---------------------------+----------------------------+-----------------------------+----------------------+----------------------+---------------------+ +-------------------------------------------------------------------------------------------------------------------------+ @@ -475,7 +475,7 @@ The pin-out file can be found in /home/tiagorg/repos/uaveiro-leci/1ano/isd/quart ; 73 ; 102 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; ; 74 ; 103 ; 5 ; I2 ; input ; 2.5 V ; ; Row I/O ; N ; no ; Off ; ; 75 ; 104 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; 76 ; 106 ; 5 ; pin_name1 ; output ; 2.5 V ; ; Row I/O ; N ; no ; Off ; +; 76 ; 106 ; 5 ; Y ; output ; 2.5 V ; ; Row I/O ; N ; no ; Off ; ; 77 ; 107 ; 5 ; I15 ; input ; 2.5 V ; ; Row I/O ; N ; no ; Off ; ; 78 ; ; ; VCCINT ; power ; ; 1.2V ; -- ; ; -- ; -- ; ; 79 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; @@ -489,7 +489,7 @@ The pin-out file can be found in /home/tiagorg/repos/uaveiro-leci/1ano/isd/quart ; 87 ; 121 ; 5 ; I11 ; input ; 2.5 V ; ; Row I/O ; N ; no ; Off ; ; 88 ; 125 ; 5 ; I7 ; input ; 2.5 V ; ; Row I/O ; N ; no ; Off ; ; 89 ; 126 ; 5 ; Sel3 ; input ; 2.5 V ; ; Row I/O ; N ; no ; Off ; -; 90 ; 127 ; 6 ; ze ; input ; 2.5 V ; ; Row I/O ; N ; no ; Off ; +; 90 ; 127 ; 6 ; I1 ; input ; 2.5 V ; ; Row I/O ; N ; no ; Off ; ; 91 ; 128 ; 6 ; I0 ; input ; 2.5 V ; ; Row I/O ; N ; no ; Off ; ; 92 ; 129 ; 6 ; ^CONF_DONE ; ; ; ; -- ; ; -- ; -- ; ; 93 ; ; 6 ; VCCIO6 ; power ; ; 2.5V ; -- ; ; -- ; -- ; @@ -550,54 +550,54 @@ The pin-out file can be found in /home/tiagorg/repos/uaveiro-leci/1ano/isd/quart Note: Pin directions (input, output or bidir) are based on device operating in user mode. -+-------------------------------------------+ -; I/O Assignment Warnings ; -+-----------+-------------------------------+ -; Pin Name ; Reason ; -+-----------+-------------------------------+ -; pin_name1 ; Incomplete set of assignments ; -; I10 ; Incomplete set of assignments ; -; Sel2 ; Incomplete set of assignments ; -; I9 ; Incomplete set of assignments ; -; Sel1 ; Incomplete set of assignments ; -; I8 ; Incomplete set of assignments ; -; I11 ; Incomplete set of assignments ; -; Sel4 ; Incomplete set of assignments ; -; I5 ; Incomplete set of assignments ; -; I6 ; Incomplete set of assignments ; -; I4 ; Incomplete set of assignments ; -; I7 ; Incomplete set of assignments ; -; Sel3 ; Incomplete set of assignments ; -; I2 ; Incomplete set of assignments ; -; ze ; Incomplete set of assignments ; -; I0 ; Incomplete set of assignments ; -; I3 ; Incomplete set of assignments ; -; I13 ; Incomplete set of assignments ; -; I14 ; Incomplete set of assignments ; -; I12 ; Incomplete set of assignments ; -; I15 ; Incomplete set of assignments ; -; pin_name1 ; Missing location assignment ; -; I10 ; Missing location assignment ; -; Sel2 ; Missing location assignment ; -; I9 ; Missing location assignment ; -; Sel1 ; Missing location assignment ; -; I8 ; Missing location assignment ; -; I11 ; Missing location assignment ; -; Sel4 ; Missing location assignment ; -; I5 ; Missing location assignment ; -; I6 ; Missing location assignment ; -; I4 ; Missing location assignment ; -; I7 ; Missing location assignment ; -; Sel3 ; Missing location assignment ; -; I2 ; Missing location assignment ; -; ze ; Missing location assignment ; -; I0 ; Missing location assignment ; -; I3 ; Missing location assignment ; -; I13 ; Missing location assignment ; -; I14 ; Missing location assignment ; -; I12 ; Missing location assignment ; -; I15 ; Missing location assignment ; -+-----------+-------------------------------+ ++------------------------------------------+ +; I/O Assignment Warnings ; ++----------+-------------------------------+ +; Pin Name ; Reason ; ++----------+-------------------------------+ +; Y ; Incomplete set of assignments ; +; I10 ; Incomplete set of assignments ; +; Sel2 ; Incomplete set of assignments ; +; I9 ; Incomplete set of assignments ; +; Sel1 ; Incomplete set of assignments ; +; I8 ; Incomplete set of assignments ; +; I11 ; Incomplete set of assignments ; +; Sel4 ; Incomplete set of assignments ; +; I5 ; Incomplete set of assignments ; +; I6 ; Incomplete set of assignments ; +; I4 ; Incomplete set of assignments ; +; I7 ; Incomplete set of assignments ; +; Sel3 ; Incomplete set of assignments ; +; I2 ; Incomplete set of assignments ; +; I1 ; Incomplete set of assignments ; +; I0 ; Incomplete set of assignments ; +; I3 ; Incomplete set of assignments ; +; I13 ; Incomplete set of assignments ; +; I14 ; Incomplete set of assignments ; +; I12 ; Incomplete set of assignments ; +; I15 ; Incomplete set of assignments ; +; Y ; Missing location assignment ; +; I10 ; Missing location assignment ; +; Sel2 ; Missing location assignment ; +; I9 ; Missing location assignment ; +; Sel1 ; Missing location assignment ; +; I8 ; Missing location assignment ; +; I11 ; Missing location assignment ; +; Sel4 ; Missing location assignment ; +; I5 ; Missing location assignment ; +; I6 ; Missing location assignment ; +; I4 ; Missing location assignment ; +; I7 ; Missing location assignment ; +; Sel3 ; Missing location assignment ; +; I2 ; Missing location assignment ; +; I1 ; Missing location assignment ; +; I0 ; Missing location assignment ; +; I3 ; Missing location assignment ; +; I13 ; Missing location assignment ; +; I14 ; Missing location assignment ; +; I12 ; Missing location assignment ; +; I15 ; Missing location assignment ; ++----------+-------------------------------+ +----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ @@ -611,33 +611,33 @@ Note: Pin directions (input, output or bidir) are based on device operating in u Note: For table entries with two numbers listed, the numbers in parentheses indicate the number of resources of the given type used by the specific entity alone. The numbers listed outside of parentheses indicate the total resources of the given type used by the specific entity and all of its sub-entities in the hierarchy. -+-------------------------------------------------------------------------------------------+ -; Delay Chain Summary ; -+-----------+----------+---------------+---------------+-----------------------+-----+------+ -; Name ; Pin Type ; Pad to Core 0 ; Pad to Core 1 ; Pad to Input Register ; TCO ; TCOE ; -+-----------+----------+---------------+---------------+-----------------------+-----+------+ -; pin_name1 ; Output ; -- ; -- ; -- ; -- ; -- ; -; I10 ; Input ; (6) 1314 ps ; -- ; -- ; -- ; -- ; -; Sel2 ; Input ; -- ; (6) 1314 ps ; -- ; -- ; -- ; -; I9 ; Input ; -- ; (6) 1314 ps ; -- ; -- ; -- ; -; Sel1 ; Input ; (6) 1314 ps ; -- ; -- ; -- ; -- ; -; I8 ; Input ; (6) 1314 ps ; -- ; -- ; -- ; -- ; -; I11 ; Input ; (6) 1314 ps ; -- ; -- ; -- ; -- ; -; Sel4 ; Input ; (6) 1314 ps ; -- ; -- ; -- ; -- ; -; I5 ; Input ; (6) 1314 ps ; -- ; -- ; -- ; -- ; -; I6 ; Input ; (6) 1314 ps ; -- ; -- ; -- ; -- ; -; I4 ; Input ; (6) 1314 ps ; -- ; -- ; -- ; -- ; -; I7 ; Input ; (0) 0 ps ; -- ; -- ; -- ; -- ; -; Sel3 ; Input ; (0) 0 ps ; -- ; -- ; -- ; -- ; -; I2 ; Input ; (6) 1314 ps ; -- ; -- ; -- ; -- ; -; ze ; Input ; (0) 0 ps ; -- ; -- ; -- ; -- ; -; I0 ; Input ; (0) 0 ps ; -- ; -- ; -- ; -- ; -; I3 ; Input ; (6) 1314 ps ; -- ; -- ; -- ; -- ; -; I13 ; Input ; (6) 1314 ps ; -- ; -- ; -- ; -- ; -; I14 ; Input ; -- ; (6) 1314 ps ; -- ; -- ; -- ; -; I12 ; Input ; -- ; (6) 1314 ps ; -- ; -- ; -- ; -; I15 ; Input ; (6) 1314 ps ; -- ; -- ; -- ; -- ; -+-----------+----------+---------------+---------------+-----------------------+-----+------+ ++--------------------------------------------------------------------------------------+ +; Delay Chain Summary ; ++------+----------+---------------+---------------+-----------------------+-----+------+ +; Name ; Pin Type ; Pad to Core 0 ; Pad to Core 1 ; Pad to Input Register ; TCO ; TCOE ; ++------+----------+---------------+---------------+-----------------------+-----+------+ +; Y ; Output ; -- ; -- ; -- ; -- ; -- ; +; I10 ; Input ; (6) 1314 ps ; -- ; -- ; -- ; -- ; +; Sel2 ; Input ; -- ; (6) 1314 ps ; -- ; -- ; -- ; +; I9 ; Input ; -- ; (6) 1314 ps ; -- ; -- ; -- ; +; Sel1 ; Input ; (6) 1314 ps ; -- ; -- ; -- ; -- ; +; I8 ; Input ; (6) 1314 ps ; -- ; -- ; -- ; -- ; +; I11 ; Input ; (6) 1314 ps ; -- ; -- ; -- ; -- ; +; Sel4 ; Input ; (6) 1314 ps ; -- ; -- ; -- ; -- ; +; I5 ; Input ; (6) 1314 ps ; -- ; -- ; -- ; -- ; +; I6 ; Input ; (6) 1314 ps ; -- ; -- ; -- ; -- ; +; I4 ; Input ; (6) 1314 ps ; -- ; -- ; -- ; -- ; +; I7 ; Input ; (0) 0 ps ; -- ; -- ; -- ; -- ; +; Sel3 ; Input ; (0) 0 ps ; -- ; -- ; -- ; -- ; +; I2 ; Input ; (6) 1314 ps ; -- ; -- ; -- ; -- ; +; I1 ; Input ; (0) 0 ps ; -- ; -- ; -- ; -- ; +; I0 ; Input ; (0) 0 ps ; -- ; -- ; -- ; -- ; +; I3 ; Input ; (6) 1314 ps ; -- ; -- ; -- ; -- ; +; I13 ; Input ; (6) 1314 ps ; -- ; -- ; -- ; -- ; +; I14 ; Input ; -- ; (6) 1314 ps ; -- ; -- ; -- ; +; I12 ; Input ; -- ; (6) 1314 ps ; -- ; -- ; -- ; +; I15 ; Input ; (6) 1314 ps ; -- ; -- ; -- ; -- ; ++------+----------+---------------+---------------+-----------------------+-----+------+ +------------------------------------------------------------+ @@ -680,7 +680,7 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi ; Sel3 ; ; ; ; I2 ; ; ; ; - Mux2_1:inst14|inst2~5 ; 0 ; 6 ; -; ze ; ; ; +; I1 ; ; ; ; I0 ; ; ; ; I3 ; ; ; ; - Mux2_1:inst14|inst2~5 ; 0 ; 6 ; @@ -852,7 +852,7 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi ; Total Unchecked ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; ; Total Inapplicable ; 21 ; 21 ; 21 ; 21 ; 21 ; 0 ; 21 ; 21 ; 21 ; 21 ; 21 ; 21 ; 21 ; 20 ; 21 ; 21 ; 21 ; 1 ; 20 ; 21 ; 1 ; 21 ; 21 ; 20 ; 21 ; 0 ; 0 ; 0 ; 21 ; 21 ; ; Total Fail ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; -; pin_name1 ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; +; Y ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; ; I10 ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; ; Sel2 ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; ; I9 ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; @@ -866,7 +866,7 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi ; I7 ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; ; Sel3 ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; ; I2 ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; -; ze ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; +; I1 ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; ; I0 ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; ; I3 ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; ; I13 ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; @@ -976,13 +976,13 @@ Info (334003): Started post-fitting delay annotation Info (334004): Delay annotation completed successfully Info (334003): Started post-fitting delay annotation Info (334004): Delay annotation completed successfully -Info (11218): Fitter post-fit operations ending: elapsed time is 00:00:01 +Info (11218): Fitter post-fit operations ending: elapsed time is 00:00:00 Info (144001): Generated suppressed messages file /home/tiagorg/repos/uaveiro-leci/1ano/isd/quartus-projects/MuxDemo/output_files/MuxDemo.fit.smsg Info: Quartus Prime Fitter was successful. 0 errors, 6 warnings - Info: Peak virtual memory: 937 megabytes - Info: Processing ended: Thu Dec 1 18:12:50 2022 - Info: Elapsed time: 00:00:02 - Info: Total CPU time (on all processors): 00:00:02 + Info: Peak virtual memory: 941 megabytes + Info: Processing ended: Wed Jan 25 23:41:55 2023 + Info: Elapsed time: 00:00:03 + Info: Total CPU time (on all processors): 00:00:03 +----------------------------+ diff --git a/1ano/isd/quartus-projects/MuxDemo/output_files/MuxDemo.fit.summary b/1ano/isd/quartus-projects/MuxDemo/output_files/MuxDemo.fit.summary index 84b0097..808c593 100644 --- a/1ano/isd/quartus-projects/MuxDemo/output_files/MuxDemo.fit.summary +++ b/1ano/isd/quartus-projects/MuxDemo/output_files/MuxDemo.fit.summary @@ -1,4 +1,4 @@ -Fitter Status : Successful - Thu Dec 1 18:12:50 2022 +Fitter Status : Successful - Wed Jan 25 23:41:55 2023 Quartus Prime Version : 20.1.1 Build 720 11/11/2020 SJ Lite Edition Revision Name : MuxDemo Top-level Entity Name : Mux16_1 diff --git a/1ano/isd/quartus-projects/MuxDemo/output_files/MuxDemo.flow.rpt b/1ano/isd/quartus-projects/MuxDemo/output_files/MuxDemo.flow.rpt index 7e2cc1d..1e34c68 100644 --- a/1ano/isd/quartus-projects/MuxDemo/output_files/MuxDemo.flow.rpt +++ b/1ano/isd/quartus-projects/MuxDemo/output_files/MuxDemo.flow.rpt @@ -1,5 +1,5 @@ Flow report for MuxDemo -Thu Dec 1 18:12:53 2022 +Wed Jan 25 23:43:19 2023 Quartus Prime Version 20.1.1 Build 720 11/11/2020 SJ Lite Edition @@ -41,7 +41,7 @@ https://fpgasoftware.intel.com/eula. +----------------------------------------------------------------------------------+ ; Flow Summary ; +------------------------------------+---------------------------------------------+ -; Flow Status ; Successful - Thu Dec 1 18:12:53 2022 ; +; Flow Status ; Successful - Wed Jan 25 23:43:19 2023 ; ; Quartus Prime Version ; 20.1.1 Build 720 11/11/2020 SJ Lite Edition ; ; Revision Name ; MuxDemo ; ; Top-level Entity Name ; Mux16_1 ; @@ -65,7 +65,7 @@ https://fpgasoftware.intel.com/eula. +-------------------+---------------------+ ; Option ; Setting ; +-------------------+---------------------+ -; Start date & time ; 12/01/2022 18:12:42 ; +; Start date & time ; 01/25/2023 23:41:46 ; ; Main task ; Compilation ; ; Revision Name ; MuxDemo ; +-------------------+---------------------+ @@ -76,7 +76,7 @@ https://fpgasoftware.intel.com/eula. +-------------------------------------+----------------------------------------+---------------+-------------+-----------------------------------+ ; Assignment Name ; Value ; Default Value ; Entity Name ; Section Id ; +-------------------------------------+----------------------------------------+---------------+-------------+-----------------------------------+ -; COMPILER_SIGNATURE_ID ; 198516037997543.166991836238102 ; -- ; -- ; -- ; +; COMPILER_SIGNATURE_ID ; 59579634461495.167469010663258 ; -- ; -- ; -- ; ; EDA_GENERATE_FUNCTIONAL_NETLIST ; Off ; -- ; -- ; eda_board_design_timing ; ; EDA_GENERATE_FUNCTIONAL_NETLIST ; Off ; -- ; -- ; eda_board_design_boundary_scan ; ; EDA_GENERATE_FUNCTIONAL_NETLIST ; Off ; -- ; -- ; eda_board_design_signal_integrity ; @@ -97,12 +97,15 @@ https://fpgasoftware.intel.com/eula. +----------------------+--------------+-------------------------+---------------------+------------------------------------+ ; Module Name ; Elapsed Time ; Average Processors Used ; Peak Virtual Memory ; Total CPU Time (on all processors) ; +----------------------+--------------+-------------------------+---------------------+------------------------------------+ -; Analysis & Synthesis ; 00:00:05 ; 1.0 ; 395 MB ; 00:00:13 ; -; Fitter ; 00:00:02 ; 1.0 ; 937 MB ; 00:00:02 ; -; Assembler ; 00:00:01 ; 1.0 ; 353 MB ; 00:00:00 ; +; Analysis & Synthesis ; 00:00:06 ; 1.0 ; 396 MB ; 00:00:14 ; +; Fitter ; 00:00:03 ; 1.0 ; 941 MB ; 00:00:02 ; +; Assembler ; 00:00:00 ; 1.0 ; 353 MB ; 00:00:00 ; ; Timing Analyzer ; 00:00:01 ; 1.0 ; 465 MB ; 00:00:01 ; ; EDA Netlist Writer ; 00:00:00 ; 1.0 ; 600 MB ; 00:00:00 ; -; Total ; 00:00:09 ; -- ; -- ; 00:00:16 ; +; EDA Netlist Writer ; 00:00:00 ; 1.0 ; 595 MB ; 00:00:00 ; +; EDA Netlist Writer ; 00:00:00 ; 1.0 ; 595 MB ; 00:00:00 ; +; EDA Netlist Writer ; 00:00:00 ; 1.0 ; 603 MB ; 00:00:00 ; +; Total ; 00:00:10 ; -- ; -- ; 00:00:17 ; +----------------------+--------------+-------------------------+---------------------+------------------------------------+ @@ -116,6 +119,9 @@ https://fpgasoftware.intel.com/eula. ; Assembler ; rendlaptop ; Ubuntu 22.04.1 ; 22 ; x86_64 ; ; Timing Analyzer ; rendlaptop ; Ubuntu 22.04.1 ; 22 ; x86_64 ; ; EDA Netlist Writer ; rendlaptop ; Ubuntu 22.04.1 ; 22 ; x86_64 ; +; EDA Netlist Writer ; rendlaptop ; Ubuntu 22.04.1 ; 22 ; x86_64 ; +; EDA Netlist Writer ; rendlaptop ; Ubuntu 22.04.1 ; 22 ; x86_64 ; +; EDA Netlist Writer ; rendlaptop ; Ubuntu 22.04.1 ; 22 ; x86_64 ; +----------------------+------------------+----------------+------------+----------------+ @@ -127,6 +133,9 @@ quartus_fit --read_settings_files=off --write_settings_files=off MuxDemo -c MuxD quartus_asm --read_settings_files=off --write_settings_files=off MuxDemo -c MuxDemo quartus_sta MuxDemo -c MuxDemo quartus_eda --read_settings_files=off --write_settings_files=off MuxDemo -c MuxDemo +quartus_eda --gen_testbench --tool=modelsim_oem --format=vhdl --write_settings_files=off MuxDemo -c MuxDemo --vector_source=/home/tiagorg/repos/uaveiro-leci/1ano/isd/quartus-projects/MuxDemo/Waveform1.vwf --testbench_file=/home/tiagorg/repos/uaveiro-leci/1ano/isd/quartus-projects/MuxDemo/simulation/qsim/Waveform1.vwf.vht +quartus_eda --gen_testbench --tool=modelsim_oem --format=vhdl --write_settings_files=off MuxDemo -c MuxDemo --vector_source=/home/tiagorg/repos/uaveiro-leci/1ano/isd/quartus-projects/MuxDemo/Mux16_1.vwf --testbench_file=/home/tiagorg/repos/uaveiro-leci/1ano/isd/quartus-projects/MuxDemo/simulation/qsim/Waveform1.vwf.vht +quartus_eda --write_settings_files=off --simulation=on --functional=on --flatten_buses=off --tool=modelsim_oem --format=vhdl --output_directory=/home/tiagorg/repos/uaveiro-leci/1ano/isd/quartus-projects/MuxDemo/simulation/qsim/ MuxDemo -c MuxDemo diff --git a/1ano/isd/quartus-projects/MuxDemo/output_files/MuxDemo.map.rpt b/1ano/isd/quartus-projects/MuxDemo/output_files/MuxDemo.map.rpt index 1c9abb8..22da6b0 100644 --- a/1ano/isd/quartus-projects/MuxDemo/output_files/MuxDemo.map.rpt +++ b/1ano/isd/quartus-projects/MuxDemo/output_files/MuxDemo.map.rpt @@ -1,5 +1,5 @@ Analysis & Synthesis report for MuxDemo -Thu Dec 1 18:12:47 2022 +Wed Jan 25 23:41:52 2023 Quartus Prime Version 20.1.1 Build 720 11/11/2020 SJ Lite Edition @@ -43,7 +43,7 @@ https://fpgasoftware.intel.com/eula. +----------------------------------------------------------------------------------+ ; Analysis & Synthesis Summary ; +------------------------------------+---------------------------------------------+ -; Analysis & Synthesis Status ; Successful - Thu Dec 1 18:12:47 2022 ; +; Analysis & Synthesis Status ; Successful - Wed Jan 25 23:41:52 2023 ; ; Quartus Prime Version ; 20.1.1 Build 720 11/11/2020 SJ Lite Edition ; ; Revision Name ; MuxDemo ; ; Top-level Entity Name ; Mux16_1 ; @@ -259,7 +259,7 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi Info: ******************************************************************* Info: Running Quartus Prime Analysis & Synthesis Info: Version 20.1.1 Build 720 11/11/2020 SJ Lite Edition - Info: Processing started: Thu Dec 1 18:12:42 2022 + Info: Processing started: Wed Jan 25 23:41:46 2023 Info: Command: quartus_map --read_settings_files=on --write_settings_files=off MuxDemo -c MuxDemo Warning (18236): Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance. Info (20030): Parallel compilation is enabled and will use 4 of the 4 processors detected @@ -278,8 +278,8 @@ Info (21057): Implemented 31 device resources after synthesis - the final resour Info (21061): Implemented 10 logic cells Info: Quartus Prime Analysis & Synthesis was successful. 0 errors, 2 warnings Info: Peak virtual memory: 402 megabytes - Info: Processing ended: Thu Dec 1 18:12:47 2022 - Info: Elapsed time: 00:00:05 - Info: Total CPU time (on all processors): 00:00:13 + Info: Processing ended: Wed Jan 25 23:41:52 2023 + Info: Elapsed time: 00:00:06 + Info: Total CPU time (on all processors): 00:00:14 diff --git a/1ano/isd/quartus-projects/MuxDemo/output_files/MuxDemo.map.summary b/1ano/isd/quartus-projects/MuxDemo/output_files/MuxDemo.map.summary index cca625d..27061de 100644 --- a/1ano/isd/quartus-projects/MuxDemo/output_files/MuxDemo.map.summary +++ b/1ano/isd/quartus-projects/MuxDemo/output_files/MuxDemo.map.summary @@ -1,4 +1,4 @@ -Analysis & Synthesis Status : Successful - Thu Dec 1 18:12:47 2022 +Analysis & Synthesis Status : Successful - Wed Jan 25 23:41:52 2023 Quartus Prime Version : 20.1.1 Build 720 11/11/2020 SJ Lite Edition Revision Name : MuxDemo Top-level Entity Name : Mux16_1 diff --git a/1ano/isd/quartus-projects/MuxDemo/output_files/MuxDemo.pin b/1ano/isd/quartus-projects/MuxDemo/output_files/MuxDemo.pin index 4de0898..1b30489 100644 --- a/1ano/isd/quartus-projects/MuxDemo/output_files/MuxDemo.pin +++ b/1ano/isd/quartus-projects/MuxDemo/output_files/MuxDemo.pin @@ -144,7 +144,7 @@ RESERVED_INPUT_WITH_WEAK_PULLUP : 72 : : : RESERVED_INPUT_WITH_WEAK_PULLUP : 73 : : : : 5 : I2 : 74 : input : 2.5 V : : 5 : N RESERVED_INPUT_WITH_WEAK_PULLUP : 75 : : : : 5 : -pin_name1 : 76 : output : 2.5 V : : 5 : N +Y : 76 : output : 2.5 V : : 5 : N I15 : 77 : input : 2.5 V : : 5 : N VCCINT : 78 : power : : 1.2V : : GND : 79 : gnd : : : : @@ -158,7 +158,7 @@ I6 : 86 : input : 2.5 V : I11 : 87 : input : 2.5 V : : 5 : N I7 : 88 : input : 2.5 V : : 5 : N Sel3 : 89 : input : 2.5 V : : 5 : N -ze : 90 : input : 2.5 V : : 6 : N +I1 : 90 : input : 2.5 V : : 6 : N I0 : 91 : input : 2.5 V : : 6 : N CONF_DONE : 92 : : : : 6 : VCCIO6 : 93 : power : : 2.5V : 6 : diff --git a/1ano/isd/quartus-projects/MuxDemo/output_files/MuxDemo.sof b/1ano/isd/quartus-projects/MuxDemo/output_files/MuxDemo.sof index f0edabcbb3419dbb75dcea523619b6b19aabe488..e4290a74375a97cccd4d9972381389913d2c744a 100644 GIT binary patch delta 47 wcmZ4fNp$%q(Fs48o%daw`1?PIYW~aE{+AJinShuXh*`G(Wn|STXIh#808n=pApigX delta 47 wcmZ4fNp$%q(Fs487r*P6`1?PIYW~aE{+AJinShuXh*`G(Wn|STXS$pQ0A#op+W-In diff --git a/1ano/isd/quartus-projects/MuxDemo/output_files/MuxDemo.sta.rpt b/1ano/isd/quartus-projects/MuxDemo/output_files/MuxDemo.sta.rpt index bb95031..b5b09a2 100644 --- a/1ano/isd/quartus-projects/MuxDemo/output_files/MuxDemo.sta.rpt +++ b/1ano/isd/quartus-projects/MuxDemo/output_files/MuxDemo.sta.rpt @@ -1,5 +1,5 @@ Timing Analyzer report for MuxDemo -Thu Dec 1 18:12:52 2022 +Wed Jan 25 23:41:57 2023 Quartus Prime Version 20.1.1 Build 720 11/11/2020 SJ Lite Edition @@ -91,12 +91,12 @@ https://fpgasoftware.intel.com/eula. ; Number detected on machine ; 8 ; ; Maximum allowed ; 4 ; ; ; ; -; Average used ; 1.00 ; +; Average used ; 1.01 ; ; Maximum used ; 4 ; ; ; ; ; Usage by Processor ; % Time Used ; ; Processor 1 ; 100.0% ; -; Processors 2-4 ; 0.1% ; +; Processors 2-4 ; 0.2% ; +----------------------------+-------------+ @@ -247,7 +247,7 @@ No synchronizer chains to report. +---------------+--------------+-------------------+-------------------------+-------------------------+---------------+---------------------+----------------+------------------+--------+------------------+------------------------+------------------------+--------------+---------------+-----------------+-------+---------------------+--------------------+---------------+-----------------+-------------+ ; Pin ; I/O Standard ; Near Tline Length ; Near Tline L per Length ; Near Tline C per Length ; Near Series R ; Near Differential R ; Near Pull-up R ; Near Pull-down R ; Near C ; Far Tline Length ; Far Tline L per Length ; Far Tline C per Length ; Far Series R ; Far Pull-up R ; Far Pull-down R ; Far C ; Termination Voltage ; Far Differential R ; EBD File Name ; EBD Signal Name ; EBD Far-end ; +---------------+--------------+-------------------+-------------------------+-------------------------+---------------+---------------------+----------------+------------------+--------+------------------+------------------------+------------------------+--------------+---------------+-----------------+-------+---------------------+--------------------+---------------+-----------------+-------------+ -; pin_name1 ; 2.5 V ; 0 in ; 0 H/in ; 0 F/in ; short ; - ; open ; open ; open ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; 0 V ; - ; n/a ; n/a ; n/a ; +; Y ; 2.5 V ; 0 in ; 0 H/in ; 0 F/in ; short ; - ; open ; open ; open ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; 0 V ; - ; n/a ; n/a ; n/a ; ; ~ALTERA_DCLK~ ; 2.5 V ; 0 in ; 0 H/in ; 0 F/in ; short ; - ; open ; open ; open ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; 0 V ; - ; n/a ; n/a ; n/a ; ; ~ALTERA_nCEO~ ; 2.5 V ; 0 in ; 0 H/in ; 0 F/in ; short ; - ; open ; open ; open ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; 0 V ; - ; n/a ; n/a ; n/a ; +---------------+--------------+-------------------+-------------------------+-------------------------+---------------+---------------------+----------------+------------------+--------+------------------+------------------------+------------------------+--------------+---------------+-----------------+-------+---------------------+--------------------+---------------+-----------------+-------------+ @@ -271,7 +271,7 @@ No synchronizer chains to report. ; I7 ; 2.5 V ; 2000 ps ; 2000 ps ; ; Sel3 ; 2.5 V ; 2000 ps ; 2000 ps ; ; I2 ; 2.5 V ; 2000 ps ; 2000 ps ; -; ze ; 2.5 V ; 2000 ps ; 2000 ps ; +; I1 ; 2.5 V ; 2000 ps ; 2000 ps ; ; I0 ; 2.5 V ; 2000 ps ; 2000 ps ; ; I3 ; 2.5 V ; 2000 ps ; 2000 ps ; ; I13 ; 2.5 V ; 2000 ps ; 2000 ps ; @@ -289,7 +289,7 @@ No synchronizer chains to report. +---------------+--------------+---------------------+---------------------+------------------------------+------------------------------+---------------------+---------------------+--------------------------------------+--------------------------------------+-----------------------------+-----------------------------+----------------------------+----------------------------+-----------------------------+-----------------------------+--------------------+--------------------+-------------------------------------+-------------------------------------+----------------------------+----------------------------+---------------------------+---------------------------+ ; Pin ; I/O Standard ; Board Delay on Rise ; Board Delay on Fall ; Steady State Voh at FPGA Pin ; Steady State Vol at FPGA Pin ; Voh Max at FPGA Pin ; Vol Min at FPGA Pin ; Ringback Voltage on Rise at FPGA Pin ; Ringback Voltage on Fall at FPGA Pin ; 10-90 Rise Time at FPGA Pin ; 90-10 Fall Time at FPGA Pin ; Monotonic Rise at FPGA Pin ; Monotonic Fall at FPGA Pin ; Steady State Voh at Far-end ; Steady State Vol at Far-end ; Voh Max at Far-end ; Vol Min at Far-end ; Ringback Voltage on Rise at Far-end ; Ringback Voltage on Fall at Far-end ; 10-90 Rise Time at Far-end ; 90-10 Fall Time at Far-end ; Monotonic Rise at Far-end ; Monotonic Fall at Far-end ; +---------------+--------------+---------------------+---------------------+------------------------------+------------------------------+---------------------+---------------------+--------------------------------------+--------------------------------------+-----------------------------+-----------------------------+----------------------------+----------------------------+-----------------------------+-----------------------------+--------------------+--------------------+-------------------------------------+-------------------------------------+----------------------------+----------------------------+---------------------------+---------------------------+ -; pin_name1 ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 4.44e-09 V ; 2.39 V ; -0.0265 V ; 0.2 V ; 0.033 V ; 2.94e-10 s ; 3.12e-10 s ; Yes ; Yes ; 2.32 V ; 4.44e-09 V ; 2.39 V ; -0.0265 V ; 0.2 V ; 0.033 V ; 2.94e-10 s ; 3.12e-10 s ; Yes ; Yes ; +; Y ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 4.44e-09 V ; 2.39 V ; -0.0265 V ; 0.2 V ; 0.033 V ; 2.94e-10 s ; 3.12e-10 s ; Yes ; Yes ; 2.32 V ; 4.44e-09 V ; 2.39 V ; -0.0265 V ; 0.2 V ; 0.033 V ; 2.94e-10 s ; 3.12e-10 s ; Yes ; Yes ; ; ~ALTERA_DCLK~ ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 3.45e-09 V ; 2.38 V ; -0.0609 V ; 0.148 V ; 0.095 V ; 2.82e-10 s ; 2.59e-10 s ; Yes ; Yes ; 2.32 V ; 3.45e-09 V ; 2.38 V ; -0.0609 V ; 0.148 V ; 0.095 V ; 2.82e-10 s ; 2.59e-10 s ; Yes ; Yes ; ; ~ALTERA_nCEO~ ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 5.61e-09 V ; 2.38 V ; -0.00274 V ; 0.141 V ; 0.006 V ; 4.7e-10 s ; 6.02e-10 s ; Yes ; Yes ; 2.32 V ; 5.61e-09 V ; 2.38 V ; -0.00274 V ; 0.141 V ; 0.006 V ; 4.7e-10 s ; 6.02e-10 s ; Yes ; Yes ; +---------------+--------------+---------------------+---------------------+------------------------------+------------------------------+---------------------+---------------------+--------------------------------------+--------------------------------------+-----------------------------+-----------------------------+----------------------------+----------------------------+-----------------------------+-----------------------------+--------------------+--------------------+-------------------------------------+-------------------------------------+----------------------------+----------------------------+---------------------------+---------------------------+ @@ -300,7 +300,7 @@ No synchronizer chains to report. +---------------+--------------+---------------------+---------------------+------------------------------+------------------------------+---------------------+---------------------+--------------------------------------+--------------------------------------+-----------------------------+-----------------------------+----------------------------+----------------------------+-----------------------------+-----------------------------+--------------------+--------------------+-------------------------------------+-------------------------------------+----------------------------+----------------------------+---------------------------+---------------------------+ ; Pin ; I/O Standard ; Board Delay on Rise ; Board Delay on Fall ; Steady State Voh at FPGA Pin ; Steady State Vol at FPGA Pin ; Voh Max at FPGA Pin ; Vol Min at FPGA Pin ; Ringback Voltage on Rise at FPGA Pin ; Ringback Voltage on Fall at FPGA Pin ; 10-90 Rise Time at FPGA Pin ; 90-10 Fall Time at FPGA Pin ; Monotonic Rise at FPGA Pin ; Monotonic Fall at FPGA Pin ; Steady State Voh at Far-end ; Steady State Vol at Far-end ; Voh Max at Far-end ; Vol Min at Far-end ; Ringback Voltage on Rise at Far-end ; Ringback Voltage on Fall at Far-end ; 10-90 Rise Time at Far-end ; 90-10 Fall Time at Far-end ; Monotonic Rise at Far-end ; Monotonic Fall at Far-end ; +---------------+--------------+---------------------+---------------------+------------------------------+------------------------------+---------------------+---------------------+--------------------------------------+--------------------------------------+-----------------------------+-----------------------------+----------------------------+----------------------------+-----------------------------+-----------------------------+--------------------+--------------------+-------------------------------------+-------------------------------------+----------------------------+----------------------------+---------------------------+---------------------------+ -; pin_name1 ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 7.16e-07 V ; 2.36 V ; -0.00476 V ; 0.096 V ; 0.013 V ; 4.39e-10 s ; 4.15e-10 s ; Yes ; Yes ; 2.32 V ; 7.16e-07 V ; 2.36 V ; -0.00476 V ; 0.096 V ; 0.013 V ; 4.39e-10 s ; 4.15e-10 s ; Yes ; Yes ; +; Y ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 7.16e-07 V ; 2.36 V ; -0.00476 V ; 0.096 V ; 0.013 V ; 4.39e-10 s ; 4.15e-10 s ; Yes ; Yes ; 2.32 V ; 7.16e-07 V ; 2.36 V ; -0.00476 V ; 0.096 V ; 0.013 V ; 4.39e-10 s ; 4.15e-10 s ; Yes ; Yes ; ; ~ALTERA_DCLK~ ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 5.74e-07 V ; 2.36 V ; -0.0201 V ; 0.072 V ; 0.033 V ; 4.04e-10 s ; 3.29e-10 s ; Yes ; Yes ; 2.32 V ; 5.74e-07 V ; 2.36 V ; -0.0201 V ; 0.072 V ; 0.033 V ; 4.04e-10 s ; 3.29e-10 s ; Yes ; Yes ; ; ~ALTERA_nCEO~ ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 9.45e-07 V ; 2.35 V ; -0.00643 V ; 0.081 V ; 0.031 V ; 5.31e-10 s ; 7.59e-10 s ; Yes ; Yes ; 2.32 V ; 9.45e-07 V ; 2.35 V ; -0.00643 V ; 0.081 V ; 0.031 V ; 5.31e-10 s ; 7.59e-10 s ; Yes ; Yes ; +---------------+--------------+---------------------+---------------------+------------------------------+------------------------------+---------------------+---------------------+--------------------------------------+--------------------------------------+-----------------------------+-----------------------------+----------------------------+----------------------------+-----------------------------+-----------------------------+--------------------+--------------------+-------------------------------------+-------------------------------------+----------------------------+----------------------------+---------------------------+---------------------------+ @@ -311,7 +311,7 @@ No synchronizer chains to report. +---------------+--------------+---------------------+---------------------+------------------------------+------------------------------+---------------------+---------------------+--------------------------------------+--------------------------------------+-----------------------------+-----------------------------+----------------------------+----------------------------+-----------------------------+-----------------------------+--------------------+--------------------+-------------------------------------+-------------------------------------+----------------------------+----------------------------+---------------------------+---------------------------+ ; Pin ; I/O Standard ; Board Delay on Rise ; Board Delay on Fall ; Steady State Voh at FPGA Pin ; Steady State Vol at FPGA Pin ; Voh Max at FPGA Pin ; Vol Min at FPGA Pin ; Ringback Voltage on Rise at FPGA Pin ; Ringback Voltage on Fall at FPGA Pin ; 10-90 Rise Time at FPGA Pin ; 90-10 Fall Time at FPGA Pin ; Monotonic Rise at FPGA Pin ; Monotonic Fall at FPGA Pin ; Steady State Voh at Far-end ; Steady State Vol at Far-end ; Voh Max at Far-end ; Vol Min at Far-end ; Ringback Voltage on Rise at Far-end ; Ringback Voltage on Fall at Far-end ; 10-90 Rise Time at Far-end ; 90-10 Fall Time at Far-end ; Monotonic Rise at Far-end ; Monotonic Fall at Far-end ; +---------------+--------------+---------------------+---------------------+------------------------------+------------------------------+---------------------+---------------------+--------------------------------------+--------------------------------------+-----------------------------+-----------------------------+----------------------------+----------------------------+-----------------------------+-----------------------------+--------------------+--------------------+-------------------------------------+-------------------------------------+----------------------------+----------------------------+---------------------------+---------------------------+ -; pin_name1 ; 2.5 V ; 0 s ; 0 s ; 2.62 V ; 2.74e-08 V ; 2.73 V ; -0.0384 V ; 0.169 V ; 0.089 V ; 2.7e-10 s ; 2.62e-10 s ; Yes ; Yes ; 2.62 V ; 2.74e-08 V ; 2.73 V ; -0.0384 V ; 0.169 V ; 0.089 V ; 2.7e-10 s ; 2.62e-10 s ; Yes ; Yes ; +; Y ; 2.5 V ; 0 s ; 0 s ; 2.62 V ; 2.74e-08 V ; 2.73 V ; -0.0384 V ; 0.169 V ; 0.089 V ; 2.7e-10 s ; 2.62e-10 s ; Yes ; Yes ; 2.62 V ; 2.74e-08 V ; 2.73 V ; -0.0384 V ; 0.169 V ; 0.089 V ; 2.7e-10 s ; 2.62e-10 s ; Yes ; Yes ; ; ~ALTERA_DCLK~ ; 2.5 V ; 0 s ; 0 s ; 2.62 V ; 2.22e-08 V ; 2.74 V ; -0.06 V ; 0.158 V ; 0.08 V ; 2.68e-10 s ; 2.19e-10 s ; Yes ; Yes ; 2.62 V ; 2.22e-08 V ; 2.74 V ; -0.06 V ; 0.158 V ; 0.08 V ; 2.68e-10 s ; 2.19e-10 s ; Yes ; Yes ; ; ~ALTERA_nCEO~ ; 2.5 V ; 0 s ; 0 s ; 2.62 V ; 3.54e-08 V ; 2.7 V ; -0.00943 V ; 0.276 V ; 0.035 V ; 3.19e-10 s ; 4.99e-10 s ; No ; Yes ; 2.62 V ; 3.54e-08 V ; 2.7 V ; -0.00943 V ; 0.276 V ; 0.035 V ; 3.19e-10 s ; 4.99e-10 s ; No ; Yes ; +---------------+--------------+---------------------+---------------------+------------------------------+------------------------------+---------------------+---------------------+--------------------------------------+--------------------------------------+-----------------------------+-----------------------------+----------------------------+----------------------------+-----------------------------+-----------------------------+--------------------+--------------------+-------------------------------------+-------------------------------------+----------------------------+----------------------------+---------------------------+---------------------------+ @@ -355,6 +355,7 @@ No non-DPA dedicated SERDES Receiver circuitry present in device or used in desi ; Input Port ; Comment ; +------------+--------------------------------------------------------------------------------------+ ; I0 ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ; +; I1 ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ; ; I2 ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ; ; I3 ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ; ; I4 ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ; @@ -373,7 +374,6 @@ No non-DPA dedicated SERDES Receiver circuitry present in device or used in desi ; Sel2 ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ; ; Sel3 ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ; ; Sel4 ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ; -; ze ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ; +------------+--------------------------------------------------------------------------------------+ @@ -382,7 +382,7 @@ No non-DPA dedicated SERDES Receiver circuitry present in device or used in desi +-------------+---------------------------------------------------------------------------------------+ ; Output Port ; Comment ; +-------------+---------------------------------------------------------------------------------------+ -; pin_name1 ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; +; Y ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; +-------------+---------------------------------------------------------------------------------------+ @@ -392,6 +392,7 @@ No non-DPA dedicated SERDES Receiver circuitry present in device or used in desi ; Input Port ; Comment ; +------------+--------------------------------------------------------------------------------------+ ; I0 ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ; +; I1 ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ; ; I2 ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ; ; I3 ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ; ; I4 ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ; @@ -410,7 +411,6 @@ No non-DPA dedicated SERDES Receiver circuitry present in device or used in desi ; Sel2 ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ; ; Sel3 ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ; ; Sel4 ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ; -; ze ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ; +------------+--------------------------------------------------------------------------------------+ @@ -419,7 +419,7 @@ No non-DPA dedicated SERDES Receiver circuitry present in device or used in desi +-------------+---------------------------------------------------------------------------------------+ ; Output Port ; Comment ; +-------------+---------------------------------------------------------------------------------------+ -; pin_name1 ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; +; Y ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; +-------------+---------------------------------------------------------------------------------------+ @@ -429,7 +429,7 @@ No non-DPA dedicated SERDES Receiver circuitry present in device or used in desi Info: ******************************************************************* Info: Running Quartus Prime Timing Analyzer Info: Version 20.1.1 Build 720 11/11/2020 SJ Lite Edition - Info: Processing started: Thu Dec 1 18:12:51 2022 + Info: Processing started: Wed Jan 25 23:41:56 2023 Info: Command: quartus_sta MuxDemo -c MuxDemo Info: qsta_default_script.tcl version: #1 Warning (18236): Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance. @@ -478,7 +478,7 @@ Info (332102): Design is not fully constrained for setup requirements Info (332102): Design is not fully constrained for hold requirements Info: Quartus Prime Timing Analyzer was successful. 0 errors, 5 warnings Info: Peak virtual memory: 465 megabytes - Info: Processing ended: Thu Dec 1 18:12:52 2022 + Info: Processing ended: Wed Jan 25 23:41:57 2023 Info: Elapsed time: 00:00:01 Info: Total CPU time (on all processors): 00:00:01 diff --git a/1ano/isd/quartus-projects/MuxDemo/simulation/modelsim/MuxDemo.vo b/1ano/isd/quartus-projects/MuxDemo/simulation/modelsim/MuxDemo.vo index c02b06b..de48588 100644 --- a/1ano/isd/quartus-projects/MuxDemo/simulation/modelsim/MuxDemo.vo +++ b/1ano/isd/quartus-projects/MuxDemo/simulation/modelsim/MuxDemo.vo @@ -17,7 +17,7 @@ // PROGRAM "Quartus Prime" // VERSION "Version 20.1.1 Build 720 11/11/2020 SJ Lite Edition" -// DATE "12/01/2022 18:12:53" +// DATE "01/25/2023 23:41:57" // // Device: Altera EP4CE6E22C6 Package TQFP144 @@ -30,13 +30,13 @@ `timescale 1 ps/ 1 ps module Mux16_1 ( - pin_name1, + Y, Sel4, Sel3, Sel2, Sel1, I0, - ze, + I1, I2, I3, I4, @@ -51,13 +51,13 @@ module Mux16_1 ( I13, I14, I15); -output pin_name1; +output Y; input Sel4; input Sel3; input Sel2; input Sel1; input I0; -input ze; +input I1; input I2; input I3; input I4; @@ -74,7 +74,7 @@ input I14; input I15; // Design Ports Information -// pin_name1 => Location: PIN_76, I/O Standard: 2.5 V, Current Strength: Default +// Y => Location: PIN_76, I/O Standard: 2.5 V, Current Strength: Default // I10 => Location: PIN_46, I/O Standard: 2.5 V, Current Strength: Default // Sel2 => Location: PIN_80, I/O Standard: 2.5 V, Current Strength: Default // I9 => Location: PIN_65, I/O Standard: 2.5 V, Current Strength: Default @@ -88,7 +88,7 @@ input I15; // I7 => Location: PIN_88, I/O Standard: 2.5 V, Current Strength: Default // Sel3 => Location: PIN_89, I/O Standard: 2.5 V, Current Strength: Default // I2 => Location: PIN_74, I/O Standard: 2.5 V, Current Strength: Default -// ze => Location: PIN_90, I/O Standard: 2.5 V, Current Strength: Default +// I1 => Location: PIN_90, I/O Standard: 2.5 V, Current Strength: Default // I0 => Location: PIN_91, I/O Standard: 2.5 V, Current Strength: Default // I3 => Location: PIN_84, I/O Standard: 2.5 V, Current Strength: Default // I13 => Location: PIN_66, I/O Standard: 2.5 V, Current Strength: Default @@ -108,7 +108,7 @@ assign unknown = 1'bx; tri1 devclrn; tri1 devpor; tri1 devoe; -wire \pin_name1~output_o ; +wire \Y~output_o ; wire \I14~input_o ; wire \Sel2~input_o ; wire \Sel1~input_o ; @@ -126,7 +126,7 @@ wire \inst14|inst2~3_combout ; wire \Sel4~input_o ; wire \Sel3~input_o ; wire \I2~input_o ; -wire \ze~input_o ; +wire \I1~input_o ; wire \I0~input_o ; wire \inst14|inst2~4_combout ; wire \I3~input_o ; @@ -147,16 +147,16 @@ hard_block auto_generated_inst( .devoe(devoe)); // Location: IOOBUF_X34_Y4_N23 -cycloneive_io_obuf \pin_name1~output ( +cycloneive_io_obuf \Y~output ( .i(\inst14|inst2~9_combout ), .oe(vcc), .seriesterminationcontrol(16'b0000000000000000), .devoe(devoe), - .o(\pin_name1~output_o ), + .o(\Y~output_o ), .obar()); // synopsys translate_off -defparam \pin_name1~output .bus_hold = "false"; -defparam \pin_name1~output .open_drain_output = "false"; +defparam \Y~output .bus_hold = "false"; +defparam \Y~output .open_drain_output = "false"; // synopsys translate_on // Location: IOIBUF_X23_Y24_N8 @@ -358,13 +358,13 @@ defparam \I2~input .simulate_z_as = "z"; // synopsys translate_on // Location: IOIBUF_X34_Y12_N8 -cycloneive_io_ibuf \ze~input ( - .i(ze), +cycloneive_io_ibuf \I1~input ( + .i(I1), .ibar(gnd), - .o(\ze~input_o )); + .o(\I1~input_o )); // synopsys translate_off -defparam \ze~input .bus_hold = "false"; -defparam \ze~input .simulate_z_as = "z"; +defparam \I1~input .bus_hold = "false"; +defparam \I1~input .simulate_z_as = "z"; // synopsys translate_on // Location: IOIBUF_X34_Y12_N1 @@ -380,9 +380,9 @@ defparam \I0~input .simulate_z_as = "z"; // Location: LCCOMB_X33_Y8_N8 cycloneive_lcell_comb \inst14|inst2~4 ( // Equation(s): -// \inst14|inst2~4_combout = (\Sel2~input_o & (((\Sel1~input_o )))) # (!\Sel2~input_o & ((\Sel1~input_o & (\ze~input_o )) # (!\Sel1~input_o & ((\I0~input_o ))))) +// \inst14|inst2~4_combout = (\Sel2~input_o & (((\Sel1~input_o )))) # (!\Sel2~input_o & ((\Sel1~input_o & (\I1~input_o )) # (!\Sel1~input_o & ((\I0~input_o ))))) - .dataa(\ze~input_o ), + .dataa(\I1~input_o ), .datab(\Sel2~input_o ), .datac(\Sel1~input_o ), .datad(\I0~input_o ), @@ -529,7 +529,7 @@ defparam \inst14|inst2~9 .lut_mask = 16'hBC8C; defparam \inst14|inst2~9 .sum_lutc_input = "datac"; // synopsys translate_on -assign pin_name1 = \pin_name1~output_o ; +assign Y = \Y~output_o ; endmodule diff --git a/1ano/isd/quartus-projects/MuxDemo/simulation/modelsim/MuxDemo_modelsim.xrf b/1ano/isd/quartus-projects/MuxDemo/simulation/modelsim/MuxDemo_modelsim.xrf index 80f72db..6ad85f3 100644 --- a/1ano/isd/quartus-projects/MuxDemo/simulation/modelsim/MuxDemo_modelsim.xrf +++ b/1ano/isd/quartus-projects/MuxDemo/simulation/modelsim/MuxDemo_modelsim.xrf @@ -1,9 +1,9 @@ vendor_name = ModelSim source_file = 1, /home/tiagorg/repos/uaveiro-leci/1ano/isd/quartus-projects/MuxDemo/Mux16_1.bdf -source_file = 1, /home/tiagorg/repos/uaveiro-leci/1ano/isd/quartus-projects/MuxDemo/Waveform.vwf +source_file = 1, Waveform.vwf source_file = 1, /home/tiagorg/repos/uaveiro-leci/1ano/isd/quartus-projects/MuxDemo/Mux2_1.bdf design_name = Mux16_1 -instance = comp, \pin_name1~output , pin_name1~output, Mux16_1, 1 +instance = comp, \Y~output , Y~output, Mux16_1, 1 instance = comp, \I14~input , I14~input, Mux16_1, 1 instance = comp, \Sel2~input , Sel2~input, Mux16_1, 1 instance = comp, \Sel1~input , Sel1~input, Mux16_1, 1 @@ -21,7 +21,7 @@ instance = comp, \inst14|inst2~3 , inst14|inst2~3, Mux16_1, 1 instance = comp, \Sel4~input , Sel4~input, Mux16_1, 1 instance = comp, \Sel3~input , Sel3~input, Mux16_1, 1 instance = comp, \I2~input , I2~input, Mux16_1, 1 -instance = comp, \ze~input , ze~input, Mux16_1, 1 +instance = comp, \I1~input , I1~input, Mux16_1, 1 instance = comp, \I0~input , I0~input, Mux16_1, 1 instance = comp, \inst14|inst2~4 , inst14|inst2~4, Mux16_1, 1 instance = comp, \I3~input , I3~input, Mux16_1, 1 diff --git a/1ano/isd/quartus-projects/MuxDemo/simulation/qsim/MuxDemo.do b/1ano/isd/quartus-projects/MuxDemo/simulation/qsim/MuxDemo.do index 17dd910..aa9aa26 100644 --- a/1ano/isd/quartus-projects/MuxDemo/simulation/qsim/MuxDemo.do +++ b/1ano/isd/quartus-projects/MuxDemo/simulation/qsim/MuxDemo.do @@ -1,7 +1,7 @@ onerror {exit -code 1} vlib work vcom -work work MuxDemo.vho -vcom -work work Waveform.vwf.vht +vcom -work work Waveform1.vwf.vht vsim -c -t 1ps -L cycloneive -L altera -L altera_mf -L 220model -L sgate -L altera_lnsim work.Mux16_1_vhd_vec_tst vcd file -direction MuxDemo.msim.vcd vcd add -internal Mux16_1_vhd_vec_tst/* @@ -15,4 +15,3 @@ proc simTimestamp {} { after 2500 simTimestamp run -all quit -f - diff --git a/1ano/isd/quartus-projects/MuxDemo/simulation/qsim/MuxDemo.vho b/1ano/isd/quartus-projects/MuxDemo/simulation/qsim/MuxDemo.vho index 862248c..1bc8e2b 100644 --- a/1ano/isd/quartus-projects/MuxDemo/simulation/qsim/MuxDemo.vho +++ b/1ano/isd/quartus-projects/MuxDemo/simulation/qsim/MuxDemo.vho @@ -17,7 +17,7 @@ -- PROGRAM "Quartus Prime" -- VERSION "Version 20.1.1 Build 720 11/11/2020 SJ Lite Edition" --- DATE "11/18/2022 14:55:36" +-- DATE "01/25/2023 23:43:19" -- -- Device: Altera EP4CE6E22C6 Package TQFP144 @@ -77,13 +77,13 @@ USE IEEE.STD_LOGIC_1164.ALL; ENTITY Mux16_1 IS PORT ( - pin_name1 : OUT std_logic; + Y : OUT std_logic; Sel4 : IN std_logic; Sel3 : IN std_logic; Sel2 : IN std_logic; Sel1 : IN std_logic; I0 : IN std_logic; - ze : IN std_logic; + I1 : IN std_logic; I2 : IN std_logic; I3 : IN std_logic; I4 : IN std_logic; @@ -102,7 +102,7 @@ ENTITY Mux16_1 IS END Mux16_1; -- Design Ports Information --- pin_name1 => Location: PIN_76, I/O Standard: 2.5 V, Current Strength: Default +-- Y => Location: PIN_76, I/O Standard: 2.5 V, Current Strength: Default -- I10 => Location: PIN_46, I/O Standard: 2.5 V, Current Strength: Default -- Sel2 => Location: PIN_80, I/O Standard: 2.5 V, Current Strength: Default -- I9 => Location: PIN_65, I/O Standard: 2.5 V, Current Strength: Default @@ -116,7 +116,7 @@ END Mux16_1; -- I7 => Location: PIN_88, I/O Standard: 2.5 V, Current Strength: Default -- Sel3 => Location: PIN_89, I/O Standard: 2.5 V, Current Strength: Default -- I2 => Location: PIN_74, I/O Standard: 2.5 V, Current Strength: Default --- ze => Location: PIN_90, I/O Standard: 2.5 V, Current Strength: Default +-- I1 => Location: PIN_90, I/O Standard: 2.5 V, Current Strength: Default -- I0 => Location: PIN_91, I/O Standard: 2.5 V, Current Strength: Default -- I3 => Location: PIN_84, I/O Standard: 2.5 V, Current Strength: Default -- I13 => Location: PIN_66, I/O Standard: 2.5 V, Current Strength: Default @@ -135,13 +135,13 @@ SIGNAL devpor : std_logic := '1'; SIGNAL ww_devoe : std_logic; SIGNAL ww_devclrn : std_logic; SIGNAL ww_devpor : std_logic; -SIGNAL ww_pin_name1 : std_logic; +SIGNAL ww_Y : std_logic; SIGNAL ww_Sel4 : std_logic; SIGNAL ww_Sel3 : std_logic; SIGNAL ww_Sel2 : std_logic; SIGNAL ww_Sel1 : std_logic; SIGNAL ww_I0 : std_logic; -SIGNAL ww_ze : std_logic; +SIGNAL ww_I1 : std_logic; SIGNAL ww_I2 : std_logic; SIGNAL ww_I3 : std_logic; SIGNAL ww_I4 : std_logic; @@ -156,7 +156,7 @@ SIGNAL ww_I12 : std_logic; SIGNAL ww_I13 : std_logic; SIGNAL ww_I14 : std_logic; SIGNAL ww_I15 : std_logic; -SIGNAL \pin_name1~output_o\ : std_logic; +SIGNAL \Y~output_o\ : std_logic; SIGNAL \I14~input_o\ : std_logic; SIGNAL \Sel2~input_o\ : std_logic; SIGNAL \Sel1~input_o\ : std_logic; @@ -174,7 +174,7 @@ SIGNAL \inst14|inst2~3_combout\ : std_logic; SIGNAL \Sel4~input_o\ : std_logic; SIGNAL \Sel3~input_o\ : std_logic; SIGNAL \I2~input_o\ : std_logic; -SIGNAL \ze~input_o\ : std_logic; +SIGNAL \I1~input_o\ : std_logic; SIGNAL \I0~input_o\ : std_logic; SIGNAL \inst14|inst2~4_combout\ : std_logic; SIGNAL \I3~input_o\ : std_logic; @@ -197,13 +197,13 @@ END COMPONENT; BEGIN -pin_name1 <= ww_pin_name1; +Y <= ww_Y; ww_Sel4 <= Sel4; ww_Sel3 <= Sel3; ww_Sel2 <= Sel2; ww_Sel1 <= Sel1; ww_I0 <= I0; -ww_ze <= ze; +ww_I1 <= I1; ww_I2 <= I2; ww_I3 <= I3; ww_I4 <= I4; @@ -228,7 +228,7 @@ PORT MAP ( devpor => ww_devpor); -- Location: IOOBUF_X34_Y4_N23 -\pin_name1~output\ : cycloneive_io_obuf +\Y~output\ : cycloneive_io_obuf -- pragma translate_off GENERIC MAP ( bus_hold => "false", @@ -237,7 +237,7 @@ GENERIC MAP ( PORT MAP ( i => \inst14|inst2~9_combout\, devoe => ww_devoe, - o => \pin_name1~output_o\); + o => \Y~output_o\); -- Location: IOIBUF_X23_Y24_N8 \I14~input\ : cycloneive_io_ibuf @@ -451,15 +451,15 @@ PORT MAP ( o => \I2~input_o\); -- Location: IOIBUF_X34_Y12_N8 -\ze~input\ : cycloneive_io_ibuf +\I1~input\ : cycloneive_io_ibuf -- pragma translate_off GENERIC MAP ( bus_hold => "false", simulate_z_as => "z") -- pragma translate_on PORT MAP ( - i => ww_ze, - o => \ze~input_o\); + i => ww_I1, + o => \I1~input_o\); -- Location: IOIBUF_X34_Y12_N1 \I0~input\ : cycloneive_io_ibuf @@ -475,7 +475,7 @@ PORT MAP ( -- Location: LCCOMB_X33_Y8_N8 \inst14|inst2~4\ : cycloneive_lcell_comb -- Equation(s): --- \inst14|inst2~4_combout\ = (\Sel2~input_o\ & (((\Sel1~input_o\)))) # (!\Sel2~input_o\ & ((\Sel1~input_o\ & (\ze~input_o\)) # (!\Sel1~input_o\ & ((\I0~input_o\))))) +-- \inst14|inst2~4_combout\ = (\Sel2~input_o\ & (((\Sel1~input_o\)))) # (!\Sel2~input_o\ & ((\Sel1~input_o\ & (\I1~input_o\)) # (!\Sel1~input_o\ & ((\I0~input_o\))))) -- pragma translate_off GENERIC MAP ( @@ -483,7 +483,7 @@ GENERIC MAP ( sum_lutc_input => "datac") -- pragma translate_on PORT MAP ( - dataa => \ze~input_o\, + dataa => \I1~input_o\, datab => \Sel2~input_o\, datac => \Sel1~input_o\, datad => \I0~input_o\, @@ -629,7 +629,7 @@ PORT MAP ( datad => \inst14|inst2~1_combout\, combout => \inst14|inst2~9_combout\); -ww_pin_name1 <= \pin_name1~output_o\; +ww_Y <= \Y~output_o\; END structure; diff --git a/1ano/isd/quartus-projects/MuxDemo/simulation/qsim/MuxDemo_modelsim.xrf b/1ano/isd/quartus-projects/MuxDemo/simulation/qsim/MuxDemo_modelsim.xrf index 4dbcb47..79c3e65 100644 --- a/1ano/isd/quartus-projects/MuxDemo/simulation/qsim/MuxDemo_modelsim.xrf +++ b/1ano/isd/quartus-projects/MuxDemo/simulation/qsim/MuxDemo_modelsim.xrf @@ -1,10 +1,10 @@ vendor_name = ModelSim source_file = 1, /home/tiagorg/repos/uaveiro-leci/1ano/isd/quartus-projects/MuxDemo/Mux16_1.bdf -source_file = 1, /home/tiagorg/repos/uaveiro-leci/1ano/isd/quartus-projects/MuxDemo/Waveform.vwf +source_file = 1, Waveform.vwf source_file = 1, /home/tiagorg/repos/uaveiro-leci/1ano/isd/quartus-projects/MuxDemo/Mux2_1.bdf design_name = hard_block design_name = Mux16_1 -instance = comp, \pin_name1~output\, pin_name1~output, Mux16_1, 1 +instance = comp, \Y~output\, Y~output, Mux16_1, 1 instance = comp, \I14~input\, I14~input, Mux16_1, 1 instance = comp, \Sel2~input\, Sel2~input, Mux16_1, 1 instance = comp, \Sel1~input\, Sel1~input, Mux16_1, 1 @@ -22,7 +22,7 @@ instance = comp, \inst14|inst2~3\, inst14|inst2~3, Mux16_1, 1 instance = comp, \Sel4~input\, Sel4~input, Mux16_1, 1 instance = comp, \Sel3~input\, Sel3~input, Mux16_1, 1 instance = comp, \I2~input\, I2~input, Mux16_1, 1 -instance = comp, \ze~input\, ze~input, Mux16_1, 1 +instance = comp, \I1~input\, I1~input, Mux16_1, 1 instance = comp, \I0~input\, I0~input, Mux16_1, 1 instance = comp, \inst14|inst2~4\, inst14|inst2~4, Mux16_1, 1 instance = comp, \I3~input\, I3~input, Mux16_1, 1 diff --git a/1ano/isd/quartus-projects/MuxDemo/simulation/qsim/Waveform1.vwf.vht b/1ano/isd/quartus-projects/MuxDemo/simulation/qsim/Waveform1.vwf.vht new file mode 100644 index 0000000..44bb38a --- /dev/null +++ b/1ano/isd/quartus-projects/MuxDemo/simulation/qsim/Waveform1.vwf.vht @@ -0,0 +1,3376 @@ +-- Copyright (C) 2020 Intel Corporation. All rights reserved. +-- Your use of Intel Corporation's design tools, logic functions +-- and other software and tools, and any partner logic +-- functions, and any output files from any of the foregoing +-- (including device programming or simulation files), and any +-- associated documentation or information are expressly subject +-- to the terms and conditions of the Intel Program License +-- Subscription Agreement, the Intel Quartus Prime License Agreement, +-- the Intel FPGA IP License Agreement, or other applicable license +-- agreement, including, without limitation, that your use is for +-- the sole purpose of programming logic devices manufactured by +-- Intel and sold by Intel or its authorized distributors. Please +-- refer to the applicable agreement for further details, at +-- https://fpgasoftware.intel.com/eula. + +-- ***************************************************************************** +-- This file contains a Vhdl test bench with test vectors .The test vectors +-- are exported from a vector file in the Quartus Waveform Editor and apply to +-- the top level entity of the current Quartus project .The user can use this +-- testbench to simulate his design using a third-party simulation tool . +-- ***************************************************************************** +-- Generated on "01/25/2023 23:43:18" + +-- Vhdl Test Bench(with test vectors) for design : Mux16_1 +-- +-- Simulation tool : 3rd Party +-- + +LIBRARY ieee; +USE ieee.std_logic_1164.all; + +ENTITY Mux16_1_vhd_vec_tst IS +END Mux16_1_vhd_vec_tst; +ARCHITECTURE Mux16_1_arch OF Mux16_1_vhd_vec_tst IS +-- constants +-- signals +SIGNAL I0 : STD_LOGIC; +SIGNAL I1 : STD_LOGIC; +SIGNAL I2 : STD_LOGIC; +SIGNAL I3 : STD_LOGIC; +SIGNAL I4 : STD_LOGIC; +SIGNAL I5 : STD_LOGIC; +SIGNAL I6 : STD_LOGIC; +SIGNAL I7 : STD_LOGIC; +SIGNAL I8 : STD_LOGIC; +SIGNAL I9 : STD_LOGIC; +SIGNAL I10 : STD_LOGIC; +SIGNAL I11 : STD_LOGIC; +SIGNAL I12 : STD_LOGIC; +SIGNAL I13 : STD_LOGIC; +SIGNAL I14 : STD_LOGIC; +SIGNAL I15 : STD_LOGIC; +SIGNAL Sel1 : STD_LOGIC; +SIGNAL Sel2 : STD_LOGIC; +SIGNAL Sel3 : STD_LOGIC; +SIGNAL Sel4 : STD_LOGIC; +SIGNAL Y : STD_LOGIC; +COMPONENT Mux16_1 + PORT ( + I0 : IN STD_LOGIC; + I1 : IN STD_LOGIC; + I2 : IN STD_LOGIC; + I3 : IN STD_LOGIC; + I4 : IN STD_LOGIC; + I5 : IN STD_LOGIC; + I6 : IN STD_LOGIC; + I7 : IN STD_LOGIC; + I8 : IN STD_LOGIC; + I9 : IN STD_LOGIC; + I10 : IN STD_LOGIC; + I11 : IN STD_LOGIC; + I12 : IN STD_LOGIC; + I13 : IN STD_LOGIC; + I14 : IN STD_LOGIC; + I15 : IN STD_LOGIC; + Sel1 : IN STD_LOGIC; + Sel2 : IN STD_LOGIC; + Sel3 : IN STD_LOGIC; + Sel4 : IN STD_LOGIC; + Y : OUT STD_LOGIC + ); +END COMPONENT; +BEGIN + i1 : Mux16_1 + PORT MAP ( +-- list connections between master ports and signals + I0 => I0, + I1 => I1, + I2 => I2, + I3 => I3, + I4 => I4, + I5 => I5, + I6 => I6, + I7 => I7, + I8 => I8, + I9 => I9, + I10 => I10, + I11 => I11, + I12 => I12, + I13 => I13, + I14 => I14, + I15 => I15, + Sel1 => Sel1, + Sel2 => Sel2, + Sel3 => Sel3, + Sel4 => Sel4, + Y => Y + ); + +-- I0 +t_prcs_I0: PROCESS +BEGIN + I0 <= '1'; + WAIT FOR 5000 ps; + I0 <= '0'; + WAIT FOR 5000 ps; + I0 <= '1'; + WAIT FOR 5000 ps; + I0 <= '0'; + WAIT FOR 5000 ps; + I0 <= '1'; + WAIT FOR 10000 ps; + I0 <= '0'; + WAIT FOR 15000 ps; + I0 <= '1'; + WAIT FOR 10000 ps; + I0 <= '0'; + WAIT FOR 20000 ps; + I0 <= '1'; + WAIT FOR 20000 ps; + I0 <= '0'; + WAIT FOR 10000 ps; + I0 <= '1'; + WAIT FOR 10000 ps; + I0 <= '0'; + WAIT FOR 5000 ps; + I0 <= '1'; + WAIT FOR 5000 ps; + I0 <= '0'; + WAIT FOR 15000 ps; + I0 <= '1'; + WAIT FOR 5000 ps; + I0 <= '0'; + WAIT FOR 5000 ps; + I0 <= '1'; + WAIT FOR 15000 ps; + I0 <= '0'; + WAIT FOR 5000 ps; + I0 <= '1'; + WAIT FOR 10000 ps; + I0 <= '0'; + WAIT FOR 15000 ps; + I0 <= '1'; + WAIT FOR 15000 ps; + I0 <= '0'; + WAIT FOR 10000 ps; + I0 <= '1'; + WAIT FOR 5000 ps; + I0 <= '0'; + WAIT FOR 20000 ps; + I0 <= '1'; + WAIT FOR 15000 ps; + I0 <= '0'; + WAIT FOR 5000 ps; + I0 <= '1'; + WAIT FOR 20000 ps; + I0 <= '0'; + WAIT FOR 25000 ps; + I0 <= '1'; + WAIT FOR 5000 ps; + I0 <= '0'; + WAIT FOR 5000 ps; + I0 <= '1'; + WAIT FOR 10000 ps; + I0 <= '0'; + WAIT FOR 5000 ps; + I0 <= '1'; + WAIT FOR 15000 ps; + I0 <= '0'; + WAIT FOR 5000 ps; + I0 <= '1'; + WAIT FOR 5000 ps; + I0 <= '0'; + WAIT FOR 5000 ps; + I0 <= '1'; + WAIT FOR 5000 ps; + I0 <= '0'; + WAIT FOR 5000 ps; + I0 <= '1'; + WAIT FOR 10000 ps; + I0 <= '0'; + WAIT FOR 10000 ps; + I0 <= '1'; + WAIT FOR 5000 ps; + I0 <= '0'; + WAIT FOR 10000 ps; + I0 <= '1'; + WAIT FOR 10000 ps; + I0 <= '0'; + WAIT FOR 5000 ps; + I0 <= '1'; + WAIT FOR 5000 ps; + I0 <= '0'; + WAIT FOR 5000 ps; + I0 <= '1'; + WAIT FOR 5000 ps; + I0 <= '0'; + WAIT FOR 10000 ps; + I0 <= '1'; + WAIT FOR 5000 ps; + I0 <= '0'; + WAIT FOR 10000 ps; + I0 <= '1'; + WAIT FOR 10000 ps; + I0 <= '0'; + WAIT FOR 5000 ps; + I0 <= '1'; + WAIT FOR 5000 ps; + I0 <= '0'; + WAIT FOR 25000 ps; + I0 <= '1'; + WAIT FOR 10000 ps; + I0 <= '0'; + WAIT FOR 5000 ps; + I0 <= '1'; + WAIT FOR 10000 ps; + I0 <= '0'; + WAIT FOR 5000 ps; + I0 <= '1'; + WAIT FOR 10000 ps; + I0 <= '0'; + WAIT FOR 5000 ps; + I0 <= '1'; + WAIT FOR 5000 ps; + I0 <= '0'; + WAIT FOR 10000 ps; + I0 <= '1'; + WAIT FOR 5000 ps; + I0 <= '0'; + WAIT FOR 5000 ps; + I0 <= '1'; + WAIT FOR 15000 ps; + I0 <= '0'; + WAIT FOR 5000 ps; + I0 <= '1'; + WAIT FOR 10000 ps; + I0 <= '0'; + WAIT FOR 5000 ps; + I0 <= '1'; + WAIT FOR 5000 ps; + I0 <= '0'; + WAIT FOR 10000 ps; + I0 <= '1'; + WAIT FOR 10000 ps; + I0 <= '0'; + WAIT FOR 10000 ps; + I0 <= '1'; + WAIT FOR 25000 ps; + I0 <= '0'; + WAIT FOR 5000 ps; + I0 <= '1'; + WAIT FOR 5000 ps; + I0 <= '0'; + WAIT FOR 5000 ps; + I0 <= '1'; + WAIT FOR 20000 ps; + I0 <= '0'; + WAIT FOR 10000 ps; + I0 <= '1'; + WAIT FOR 15000 ps; + I0 <= '0'; + WAIT FOR 5000 ps; + I0 <= '1'; + WAIT FOR 15000 ps; + I0 <= '0'; + WAIT FOR 5000 ps; + I0 <= '1'; + WAIT FOR 5000 ps; + I0 <= '0'; + WAIT FOR 5000 ps; + I0 <= '1'; + WAIT FOR 50000 ps; + I0 <= '0'; + WAIT FOR 10000 ps; + I0 <= '1'; + WAIT FOR 10000 ps; + I0 <= '0'; + WAIT FOR 5000 ps; + I0 <= '1'; + WAIT FOR 5000 ps; + I0 <= '0'; + WAIT FOR 30000 ps; + I0 <= '1'; + WAIT FOR 30000 ps; + I0 <= '0'; + WAIT FOR 10000 ps; + I0 <= '1'; + WAIT FOR 10000 ps; + I0 <= '0'; + WAIT FOR 5000 ps; + I0 <= '1'; + WAIT FOR 5000 ps; + I0 <= '0'; + WAIT FOR 5000 ps; + I0 <= '1'; + WAIT FOR 10000 ps; + I0 <= '0'; + WAIT FOR 5000 ps; + I0 <= '1'; + WAIT FOR 5000 ps; + I0 <= '0'; + WAIT FOR 25000 ps; + I0 <= '1'; + WAIT FOR 5000 ps; + I0 <= '0'; +WAIT; +END PROCESS t_prcs_I0; + +-- I1 +t_prcs_I1: PROCESS +BEGIN + I1 <= '0'; + WAIT FOR 15000 ps; + I1 <= '1'; + WAIT FOR 5000 ps; + I1 <= '0'; + WAIT FOR 5000 ps; + I1 <= '1'; + WAIT FOR 10000 ps; + I1 <= '0'; + WAIT FOR 15000 ps; + I1 <= '1'; + WAIT FOR 10000 ps; + I1 <= '0'; + WAIT FOR 15000 ps; + I1 <= '1'; + WAIT FOR 25000 ps; + I1 <= '0'; + WAIT FOR 10000 ps; + I1 <= '1'; + WAIT FOR 5000 ps; + I1 <= '0'; + WAIT FOR 10000 ps; + I1 <= '1'; + WAIT FOR 5000 ps; + I1 <= '0'; + WAIT FOR 10000 ps; + I1 <= '1'; + WAIT FOR 10000 ps; + I1 <= '0'; + WAIT FOR 5000 ps; + I1 <= '1'; + WAIT FOR 5000 ps; + I1 <= '0'; + WAIT FOR 15000 ps; + I1 <= '1'; + WAIT FOR 15000 ps; + I1 <= '0'; + WAIT FOR 15000 ps; + I1 <= '1'; + WAIT FOR 5000 ps; + I1 <= '0'; + WAIT FOR 15000 ps; + I1 <= '1'; + WAIT FOR 15000 ps; + I1 <= '0'; + WAIT FOR 35000 ps; + I1 <= '1'; + WAIT FOR 15000 ps; + I1 <= '0'; + WAIT FOR 5000 ps; + I1 <= '1'; + WAIT FOR 15000 ps; + I1 <= '0'; + WAIT FOR 30000 ps; + I1 <= '1'; + WAIT FOR 5000 ps; + I1 <= '0'; + WAIT FOR 10000 ps; + I1 <= '1'; + WAIT FOR 5000 ps; + I1 <= '0'; + WAIT FOR 5000 ps; + I1 <= '1'; + WAIT FOR 5000 ps; + I1 <= '0'; + WAIT FOR 10000 ps; + I1 <= '1'; + WAIT FOR 15000 ps; + I1 <= '0'; + WAIT FOR 10000 ps; + I1 <= '1'; + WAIT FOR 10000 ps; + I1 <= '0'; + WAIT FOR 5000 ps; + I1 <= '1'; + WAIT FOR 15000 ps; + I1 <= '0'; + WAIT FOR 5000 ps; + I1 <= '1'; + WAIT FOR 5000 ps; + I1 <= '0'; + WAIT FOR 5000 ps; + I1 <= '1'; + WAIT FOR 15000 ps; + I1 <= '0'; + WAIT FOR 5000 ps; + I1 <= '1'; + WAIT FOR 5000 ps; + I1 <= '0'; + WAIT FOR 10000 ps; + I1 <= '1'; + WAIT FOR 5000 ps; + I1 <= '0'; + WAIT FOR 10000 ps; + I1 <= '1'; + WAIT FOR 5000 ps; + I1 <= '0'; + WAIT FOR 5000 ps; + I1 <= '1'; + WAIT FOR 10000 ps; + I1 <= '0'; + WAIT FOR 5000 ps; + I1 <= '1'; + WAIT FOR 10000 ps; + I1 <= '0'; + WAIT FOR 5000 ps; + I1 <= '1'; + WAIT FOR 15000 ps; + I1 <= '0'; + WAIT FOR 5000 ps; + I1 <= '1'; + WAIT FOR 20000 ps; + I1 <= '0'; + WAIT FOR 5000 ps; + I1 <= '1'; + WAIT FOR 5000 ps; + I1 <= '0'; + WAIT FOR 5000 ps; + I1 <= '1'; + WAIT FOR 5000 ps; + I1 <= '0'; + WAIT FOR 10000 ps; + I1 <= '1'; + WAIT FOR 15000 ps; + I1 <= '0'; + WAIT FOR 5000 ps; + I1 <= '1'; + WAIT FOR 5000 ps; + I1 <= '0'; + WAIT FOR 5000 ps; + I1 <= '1'; + WAIT FOR 5000 ps; + I1 <= '0'; + WAIT FOR 5000 ps; + I1 <= '1'; + WAIT FOR 5000 ps; + I1 <= '0'; + WAIT FOR 15000 ps; + I1 <= '1'; + WAIT FOR 15000 ps; + I1 <= '0'; + WAIT FOR 5000 ps; + I1 <= '1'; + WAIT FOR 15000 ps; + I1 <= '0'; + WAIT FOR 5000 ps; + I1 <= '1'; + WAIT FOR 10000 ps; + I1 <= '0'; + WAIT FOR 15000 ps; + I1 <= '1'; + WAIT FOR 10000 ps; + I1 <= '0'; + WAIT FOR 5000 ps; + I1 <= '1'; + WAIT FOR 5000 ps; + I1 <= '0'; + WAIT FOR 5000 ps; + I1 <= '1'; + WAIT FOR 5000 ps; + I1 <= '0'; + WAIT FOR 10000 ps; + I1 <= '1'; + WAIT FOR 10000 ps; + I1 <= '0'; + WAIT FOR 15000 ps; + I1 <= '1'; + WAIT FOR 30000 ps; + I1 <= '0'; + WAIT FOR 35000 ps; + I1 <= '1'; + WAIT FOR 15000 ps; + I1 <= '0'; + WAIT FOR 10000 ps; + I1 <= '1'; + WAIT FOR 5000 ps; + I1 <= '0'; + WAIT FOR 5000 ps; + I1 <= '1'; + WAIT FOR 5000 ps; + I1 <= '0'; + WAIT FOR 10000 ps; + I1 <= '1'; + WAIT FOR 15000 ps; + I1 <= '0'; + WAIT FOR 5000 ps; + I1 <= '1'; + WAIT FOR 5000 ps; + I1 <= '0'; + WAIT FOR 5000 ps; + I1 <= '1'; + WAIT FOR 5000 ps; + I1 <= '0'; + WAIT FOR 10000 ps; + I1 <= '1'; + WAIT FOR 30000 ps; + I1 <= '0'; +WAIT; +END PROCESS t_prcs_I1; + +-- I2 +t_prcs_I2: PROCESS +BEGIN + I2 <= '0'; + WAIT FOR 15000 ps; + I2 <= '1'; + WAIT FOR 5000 ps; + I2 <= '0'; + WAIT FOR 5000 ps; + I2 <= '1'; + WAIT FOR 10000 ps; + I2 <= '0'; + WAIT FOR 15000 ps; + I2 <= '1'; + WAIT FOR 10000 ps; + I2 <= '0'; + WAIT FOR 15000 ps; + I2 <= '1'; + WAIT FOR 25000 ps; + I2 <= '0'; + WAIT FOR 10000 ps; + I2 <= '1'; + WAIT FOR 5000 ps; + I2 <= '0'; + WAIT FOR 10000 ps; + I2 <= '1'; + WAIT FOR 5000 ps; + I2 <= '0'; + WAIT FOR 10000 ps; + I2 <= '1'; + WAIT FOR 10000 ps; + I2 <= '0'; + WAIT FOR 5000 ps; + I2 <= '1'; + WAIT FOR 5000 ps; + I2 <= '0'; + WAIT FOR 15000 ps; + I2 <= '1'; + WAIT FOR 15000 ps; + I2 <= '0'; + WAIT FOR 15000 ps; + I2 <= '1'; + WAIT FOR 5000 ps; + I2 <= '0'; + WAIT FOR 15000 ps; + I2 <= '1'; + WAIT FOR 15000 ps; + I2 <= '0'; + WAIT FOR 35000 ps; + I2 <= '1'; + WAIT FOR 15000 ps; + I2 <= '0'; + WAIT FOR 5000 ps; + I2 <= '1'; + WAIT FOR 15000 ps; + I2 <= '0'; + WAIT FOR 30000 ps; + I2 <= '1'; + WAIT FOR 5000 ps; + I2 <= '0'; + WAIT FOR 10000 ps; + I2 <= '1'; + WAIT FOR 5000 ps; + I2 <= '0'; + WAIT FOR 5000 ps; + I2 <= '1'; + WAIT FOR 5000 ps; + I2 <= '0'; + WAIT FOR 10000 ps; + I2 <= '1'; + WAIT FOR 15000 ps; + I2 <= '0'; + WAIT FOR 10000 ps; + I2 <= '1'; + WAIT FOR 10000 ps; + I2 <= '0'; + WAIT FOR 5000 ps; + I2 <= '1'; + WAIT FOR 15000 ps; + I2 <= '0'; + WAIT FOR 5000 ps; + I2 <= '1'; + WAIT FOR 5000 ps; + I2 <= '0'; + WAIT FOR 5000 ps; + I2 <= '1'; + WAIT FOR 15000 ps; + I2 <= '0'; + WAIT FOR 5000 ps; + I2 <= '1'; + WAIT FOR 5000 ps; + I2 <= '0'; + WAIT FOR 10000 ps; + I2 <= '1'; + WAIT FOR 5000 ps; + I2 <= '0'; + WAIT FOR 10000 ps; + I2 <= '1'; + WAIT FOR 5000 ps; + I2 <= '0'; + WAIT FOR 5000 ps; + I2 <= '1'; + WAIT FOR 10000 ps; + I2 <= '0'; + WAIT FOR 5000 ps; + I2 <= '1'; + WAIT FOR 10000 ps; + I2 <= '0'; + WAIT FOR 5000 ps; + I2 <= '1'; + WAIT FOR 15000 ps; + I2 <= '0'; + WAIT FOR 5000 ps; + I2 <= '1'; + WAIT FOR 20000 ps; + I2 <= '0'; + WAIT FOR 5000 ps; + I2 <= '1'; + WAIT FOR 5000 ps; + I2 <= '0'; + WAIT FOR 5000 ps; + I2 <= '1'; + WAIT FOR 5000 ps; + I2 <= '0'; + WAIT FOR 10000 ps; + I2 <= '1'; + WAIT FOR 15000 ps; + I2 <= '0'; + WAIT FOR 5000 ps; + I2 <= '1'; + WAIT FOR 5000 ps; + I2 <= '0'; + WAIT FOR 5000 ps; + I2 <= '1'; + WAIT FOR 5000 ps; + I2 <= '0'; + WAIT FOR 5000 ps; + I2 <= '1'; + WAIT FOR 5000 ps; + I2 <= '0'; + WAIT FOR 15000 ps; + I2 <= '1'; + WAIT FOR 15000 ps; + I2 <= '0'; + WAIT FOR 5000 ps; + I2 <= '1'; + WAIT FOR 15000 ps; + I2 <= '0'; + WAIT FOR 5000 ps; + I2 <= '1'; + WAIT FOR 10000 ps; + I2 <= '0'; + WAIT FOR 15000 ps; + I2 <= '1'; + WAIT FOR 10000 ps; + I2 <= '0'; + WAIT FOR 5000 ps; + I2 <= '1'; + WAIT FOR 5000 ps; + I2 <= '0'; + WAIT FOR 5000 ps; + I2 <= '1'; + WAIT FOR 5000 ps; + I2 <= '0'; + WAIT FOR 10000 ps; + I2 <= '1'; + WAIT FOR 10000 ps; + I2 <= '0'; + WAIT FOR 15000 ps; + I2 <= '1'; + WAIT FOR 30000 ps; + I2 <= '0'; + WAIT FOR 35000 ps; + I2 <= '1'; + WAIT FOR 15000 ps; + I2 <= '0'; + WAIT FOR 10000 ps; + I2 <= '1'; + WAIT FOR 5000 ps; + I2 <= '0'; + WAIT FOR 5000 ps; + I2 <= '1'; + WAIT FOR 5000 ps; + I2 <= '0'; + WAIT FOR 10000 ps; + I2 <= '1'; + WAIT FOR 15000 ps; + I2 <= '0'; + WAIT FOR 5000 ps; + I2 <= '1'; + WAIT FOR 5000 ps; + I2 <= '0'; + WAIT FOR 5000 ps; + I2 <= '1'; + WAIT FOR 5000 ps; + I2 <= '0'; + WAIT FOR 10000 ps; + I2 <= '1'; + WAIT FOR 30000 ps; + I2 <= '0'; +WAIT; +END PROCESS t_prcs_I2; + +-- I3 +t_prcs_I3: PROCESS +BEGIN + I3 <= '0'; + WAIT FOR 25000 ps; + I3 <= '1'; + WAIT FOR 5000 ps; + I3 <= '0'; + WAIT FOR 5000 ps; + I3 <= '1'; + WAIT FOR 5000 ps; + I3 <= '0'; + WAIT FOR 5000 ps; + I3 <= '1'; + WAIT FOR 5000 ps; + I3 <= '0'; + WAIT FOR 5000 ps; + I3 <= '1'; + WAIT FOR 5000 ps; + I3 <= '0'; + WAIT FOR 10000 ps; + I3 <= '1'; + WAIT FOR 10000 ps; + I3 <= '0'; + WAIT FOR 5000 ps; + I3 <= '1'; + WAIT FOR 5000 ps; + I3 <= '0'; + WAIT FOR 10000 ps; + I3 <= '1'; + WAIT FOR 5000 ps; + I3 <= '0'; + WAIT FOR 20000 ps; + I3 <= '1'; + WAIT FOR 5000 ps; + I3 <= '0'; + WAIT FOR 5000 ps; + I3 <= '1'; + WAIT FOR 5000 ps; + I3 <= '0'; + WAIT FOR 15000 ps; + I3 <= '1'; + WAIT FOR 5000 ps; + I3 <= '0'; + WAIT FOR 5000 ps; + I3 <= '1'; + WAIT FOR 25000 ps; + I3 <= '0'; + WAIT FOR 10000 ps; + I3 <= '1'; + WAIT FOR 5000 ps; + I3 <= '0'; + WAIT FOR 5000 ps; + I3 <= '1'; + WAIT FOR 5000 ps; + I3 <= '0'; + WAIT FOR 20000 ps; + I3 <= '1'; + WAIT FOR 5000 ps; + I3 <= '0'; + WAIT FOR 5000 ps; + I3 <= '1'; + WAIT FOR 25000 ps; + I3 <= '0'; + WAIT FOR 10000 ps; + I3 <= '1'; + WAIT FOR 5000 ps; + I3 <= '0'; + WAIT FOR 5000 ps; + I3 <= '1'; + WAIT FOR 10000 ps; + I3 <= '0'; + WAIT FOR 20000 ps; + I3 <= '1'; + WAIT FOR 25000 ps; + I3 <= '0'; + WAIT FOR 10000 ps; + I3 <= '1'; + WAIT FOR 5000 ps; + I3 <= '0'; + WAIT FOR 25000 ps; + I3 <= '1'; + WAIT FOR 20000 ps; + I3 <= '0'; + WAIT FOR 10000 ps; + I3 <= '1'; + WAIT FOR 5000 ps; + I3 <= '0'; + WAIT FOR 5000 ps; + I3 <= '1'; + WAIT FOR 5000 ps; + I3 <= '0'; + WAIT FOR 5000 ps; + I3 <= '1'; + WAIT FOR 10000 ps; + I3 <= '0'; + WAIT FOR 15000 ps; + I3 <= '1'; + WAIT FOR 5000 ps; + I3 <= '0'; + WAIT FOR 5000 ps; + I3 <= '1'; + WAIT FOR 5000 ps; + I3 <= '0'; + WAIT FOR 5000 ps; + I3 <= '1'; + WAIT FOR 20000 ps; + I3 <= '0'; + WAIT FOR 5000 ps; + I3 <= '1'; + WAIT FOR 5000 ps; + I3 <= '0'; + WAIT FOR 20000 ps; + I3 <= '1'; + WAIT FOR 5000 ps; + I3 <= '0'; + WAIT FOR 10000 ps; + I3 <= '1'; + WAIT FOR 15000 ps; + I3 <= '0'; + WAIT FOR 5000 ps; + I3 <= '1'; + WAIT FOR 20000 ps; + I3 <= '0'; + WAIT FOR 5000 ps; + I3 <= '1'; + WAIT FOR 10000 ps; + I3 <= '0'; + WAIT FOR 20000 ps; + I3 <= '1'; + WAIT FOR 10000 ps; + I3 <= '0'; + WAIT FOR 5000 ps; + I3 <= '1'; + WAIT FOR 15000 ps; + I3 <= '0'; + WAIT FOR 5000 ps; + I3 <= '1'; + WAIT FOR 5000 ps; + I3 <= '0'; + WAIT FOR 10000 ps; + I3 <= '1'; + WAIT FOR 15000 ps; + I3 <= '0'; + WAIT FOR 5000 ps; + I3 <= '1'; + WAIT FOR 5000 ps; + I3 <= '0'; + WAIT FOR 15000 ps; + I3 <= '1'; + WAIT FOR 5000 ps; + I3 <= '0'; + WAIT FOR 5000 ps; + I3 <= '1'; + WAIT FOR 5000 ps; + I3 <= '0'; + WAIT FOR 5000 ps; + I3 <= '1'; + WAIT FOR 15000 ps; + I3 <= '0'; + WAIT FOR 10000 ps; + I3 <= '1'; + WAIT FOR 5000 ps; + I3 <= '0'; + WAIT FOR 30000 ps; + I3 <= '1'; + WAIT FOR 5000 ps; + I3 <= '0'; + WAIT FOR 5000 ps; + I3 <= '1'; + WAIT FOR 30000 ps; + I3 <= '0'; + WAIT FOR 10000 ps; + I3 <= '1'; + WAIT FOR 5000 ps; + I3 <= '0'; + WAIT FOR 55000 ps; + I3 <= '1'; + WAIT FOR 10000 ps; + I3 <= '0'; + WAIT FOR 10000 ps; + I3 <= '1'; + WAIT FOR 5000 ps; + I3 <= '0'; + WAIT FOR 5000 ps; + I3 <= '1'; + WAIT FOR 10000 ps; + I3 <= '0'; + WAIT FOR 10000 ps; + I3 <= '1'; + WAIT FOR 5000 ps; + I3 <= '0'; + WAIT FOR 5000 ps; + I3 <= '1'; + WAIT FOR 5000 ps; + I3 <= '0'; + WAIT FOR 5000 ps; + I3 <= '1'; + WAIT FOR 5000 ps; + I3 <= '0'; + WAIT FOR 5000 ps; + I3 <= '1'; + WAIT FOR 10000 ps; + I3 <= '0'; + WAIT FOR 5000 ps; + I3 <= '1'; +WAIT; +END PROCESS t_prcs_I3; + +-- I4 +t_prcs_I4: PROCESS +BEGIN + I4 <= '0'; + WAIT FOR 25000 ps; + I4 <= '1'; + WAIT FOR 5000 ps; + I4 <= '0'; + WAIT FOR 5000 ps; + I4 <= '1'; + WAIT FOR 5000 ps; + I4 <= '0'; + WAIT FOR 5000 ps; + I4 <= '1'; + WAIT FOR 5000 ps; + I4 <= '0'; + WAIT FOR 5000 ps; + I4 <= '1'; + WAIT FOR 5000 ps; + I4 <= '0'; + WAIT FOR 10000 ps; + I4 <= '1'; + WAIT FOR 10000 ps; + I4 <= '0'; + WAIT FOR 5000 ps; + I4 <= '1'; + WAIT FOR 5000 ps; + I4 <= '0'; + WAIT FOR 10000 ps; + I4 <= '1'; + WAIT FOR 5000 ps; + I4 <= '0'; + WAIT FOR 20000 ps; + I4 <= '1'; + WAIT FOR 5000 ps; + I4 <= '0'; + WAIT FOR 5000 ps; + I4 <= '1'; + WAIT FOR 5000 ps; + I4 <= '0'; + WAIT FOR 15000 ps; + I4 <= '1'; + WAIT FOR 5000 ps; + I4 <= '0'; + WAIT FOR 5000 ps; + I4 <= '1'; + WAIT FOR 25000 ps; + I4 <= '0'; + WAIT FOR 10000 ps; + I4 <= '1'; + WAIT FOR 5000 ps; + I4 <= '0'; + WAIT FOR 5000 ps; + I4 <= '1'; + WAIT FOR 5000 ps; + I4 <= '0'; + WAIT FOR 20000 ps; + I4 <= '1'; + WAIT FOR 5000 ps; + I4 <= '0'; + WAIT FOR 5000 ps; + I4 <= '1'; + WAIT FOR 25000 ps; + I4 <= '0'; + WAIT FOR 10000 ps; + I4 <= '1'; + WAIT FOR 5000 ps; + I4 <= '0'; + WAIT FOR 5000 ps; + I4 <= '1'; + WAIT FOR 10000 ps; + I4 <= '0'; + WAIT FOR 20000 ps; + I4 <= '1'; + WAIT FOR 25000 ps; + I4 <= '0'; + WAIT FOR 10000 ps; + I4 <= '1'; + WAIT FOR 5000 ps; + I4 <= '0'; + WAIT FOR 25000 ps; + I4 <= '1'; + WAIT FOR 20000 ps; + I4 <= '0'; + WAIT FOR 10000 ps; + I4 <= '1'; + WAIT FOR 5000 ps; + I4 <= '0'; + WAIT FOR 5000 ps; + I4 <= '1'; + WAIT FOR 5000 ps; + I4 <= '0'; + WAIT FOR 5000 ps; + I4 <= '1'; + WAIT FOR 10000 ps; + I4 <= '0'; + WAIT FOR 15000 ps; + I4 <= '1'; + WAIT FOR 5000 ps; + I4 <= '0'; + WAIT FOR 5000 ps; + I4 <= '1'; + WAIT FOR 5000 ps; + I4 <= '0'; + WAIT FOR 5000 ps; + I4 <= '1'; + WAIT FOR 20000 ps; + I4 <= '0'; + WAIT FOR 5000 ps; + I4 <= '1'; + WAIT FOR 5000 ps; + I4 <= '0'; + WAIT FOR 20000 ps; + I4 <= '1'; + WAIT FOR 5000 ps; + I4 <= '0'; + WAIT FOR 10000 ps; + I4 <= '1'; + WAIT FOR 15000 ps; + I4 <= '0'; + WAIT FOR 5000 ps; + I4 <= '1'; + WAIT FOR 20000 ps; + I4 <= '0'; + WAIT FOR 5000 ps; + I4 <= '1'; + WAIT FOR 10000 ps; + I4 <= '0'; + WAIT FOR 20000 ps; + I4 <= '1'; + WAIT FOR 10000 ps; + I4 <= '0'; + WAIT FOR 5000 ps; + I4 <= '1'; + WAIT FOR 15000 ps; + I4 <= '0'; + WAIT FOR 5000 ps; + I4 <= '1'; + WAIT FOR 5000 ps; + I4 <= '0'; + WAIT FOR 10000 ps; + I4 <= '1'; + WAIT FOR 15000 ps; + I4 <= '0'; + WAIT FOR 5000 ps; + I4 <= '1'; + WAIT FOR 5000 ps; + I4 <= '0'; + WAIT FOR 15000 ps; + I4 <= '1'; + WAIT FOR 5000 ps; + I4 <= '0'; + WAIT FOR 5000 ps; + I4 <= '1'; + WAIT FOR 5000 ps; + I4 <= '0'; + WAIT FOR 5000 ps; + I4 <= '1'; + WAIT FOR 15000 ps; + I4 <= '0'; + WAIT FOR 10000 ps; + I4 <= '1'; + WAIT FOR 5000 ps; + I4 <= '0'; + WAIT FOR 30000 ps; + I4 <= '1'; + WAIT FOR 5000 ps; + I4 <= '0'; + WAIT FOR 5000 ps; + I4 <= '1'; + WAIT FOR 30000 ps; + I4 <= '0'; + WAIT FOR 10000 ps; + I4 <= '1'; + WAIT FOR 5000 ps; + I4 <= '0'; + WAIT FOR 55000 ps; + I4 <= '1'; + WAIT FOR 10000 ps; + I4 <= '0'; + WAIT FOR 10000 ps; + I4 <= '1'; + WAIT FOR 5000 ps; + I4 <= '0'; + WAIT FOR 5000 ps; + I4 <= '1'; + WAIT FOR 10000 ps; + I4 <= '0'; + WAIT FOR 10000 ps; + I4 <= '1'; + WAIT FOR 5000 ps; + I4 <= '0'; + WAIT FOR 5000 ps; + I4 <= '1'; + WAIT FOR 5000 ps; + I4 <= '0'; + WAIT FOR 5000 ps; + I4 <= '1'; + WAIT FOR 5000 ps; + I4 <= '0'; + WAIT FOR 5000 ps; + I4 <= '1'; + WAIT FOR 10000 ps; + I4 <= '0'; + WAIT FOR 5000 ps; + I4 <= '1'; +WAIT; +END PROCESS t_prcs_I4; + +-- I5 +t_prcs_I5: PROCESS +BEGIN + I5 <= '0'; + WAIT FOR 25000 ps; + I5 <= '1'; + WAIT FOR 5000 ps; + I5 <= '0'; + WAIT FOR 5000 ps; + I5 <= '1'; + WAIT FOR 5000 ps; + I5 <= '0'; + WAIT FOR 5000 ps; + I5 <= '1'; + WAIT FOR 5000 ps; + I5 <= '0'; + WAIT FOR 5000 ps; + I5 <= '1'; + WAIT FOR 5000 ps; + I5 <= '0'; + WAIT FOR 10000 ps; + I5 <= '1'; + WAIT FOR 10000 ps; + I5 <= '0'; + WAIT FOR 5000 ps; + I5 <= '1'; + WAIT FOR 5000 ps; + I5 <= '0'; + WAIT FOR 10000 ps; + I5 <= '1'; + WAIT FOR 5000 ps; + I5 <= '0'; + WAIT FOR 20000 ps; + I5 <= '1'; + WAIT FOR 5000 ps; + I5 <= '0'; + WAIT FOR 5000 ps; + I5 <= '1'; + WAIT FOR 5000 ps; + I5 <= '0'; + WAIT FOR 15000 ps; + I5 <= '1'; + WAIT FOR 5000 ps; + I5 <= '0'; + WAIT FOR 5000 ps; + I5 <= '1'; + WAIT FOR 25000 ps; + I5 <= '0'; + WAIT FOR 10000 ps; + I5 <= '1'; + WAIT FOR 5000 ps; + I5 <= '0'; + WAIT FOR 5000 ps; + I5 <= '1'; + WAIT FOR 5000 ps; + I5 <= '0'; + WAIT FOR 20000 ps; + I5 <= '1'; + WAIT FOR 5000 ps; + I5 <= '0'; + WAIT FOR 5000 ps; + I5 <= '1'; + WAIT FOR 25000 ps; + I5 <= '0'; + WAIT FOR 10000 ps; + I5 <= '1'; + WAIT FOR 5000 ps; + I5 <= '0'; + WAIT FOR 5000 ps; + I5 <= '1'; + WAIT FOR 10000 ps; + I5 <= '0'; + WAIT FOR 20000 ps; + I5 <= '1'; + WAIT FOR 25000 ps; + I5 <= '0'; + WAIT FOR 10000 ps; + I5 <= '1'; + WAIT FOR 5000 ps; + I5 <= '0'; + WAIT FOR 25000 ps; + I5 <= '1'; + WAIT FOR 20000 ps; + I5 <= '0'; + WAIT FOR 10000 ps; + I5 <= '1'; + WAIT FOR 5000 ps; + I5 <= '0'; + WAIT FOR 5000 ps; + I5 <= '1'; + WAIT FOR 5000 ps; + I5 <= '0'; + WAIT FOR 5000 ps; + I5 <= '1'; + WAIT FOR 10000 ps; + I5 <= '0'; + WAIT FOR 15000 ps; + I5 <= '1'; + WAIT FOR 5000 ps; + I5 <= '0'; + WAIT FOR 5000 ps; + I5 <= '1'; + WAIT FOR 5000 ps; + I5 <= '0'; + WAIT FOR 5000 ps; + I5 <= '1'; + WAIT FOR 20000 ps; + I5 <= '0'; + WAIT FOR 5000 ps; + I5 <= '1'; + WAIT FOR 5000 ps; + I5 <= '0'; + WAIT FOR 20000 ps; + I5 <= '1'; + WAIT FOR 5000 ps; + I5 <= '0'; + WAIT FOR 10000 ps; + I5 <= '1'; + WAIT FOR 15000 ps; + I5 <= '0'; + WAIT FOR 5000 ps; + I5 <= '1'; + WAIT FOR 20000 ps; + I5 <= '0'; + WAIT FOR 5000 ps; + I5 <= '1'; + WAIT FOR 10000 ps; + I5 <= '0'; + WAIT FOR 20000 ps; + I5 <= '1'; + WAIT FOR 10000 ps; + I5 <= '0'; + WAIT FOR 5000 ps; + I5 <= '1'; + WAIT FOR 15000 ps; + I5 <= '0'; + WAIT FOR 5000 ps; + I5 <= '1'; + WAIT FOR 5000 ps; + I5 <= '0'; + WAIT FOR 10000 ps; + I5 <= '1'; + WAIT FOR 15000 ps; + I5 <= '0'; + WAIT FOR 5000 ps; + I5 <= '1'; + WAIT FOR 5000 ps; + I5 <= '0'; + WAIT FOR 15000 ps; + I5 <= '1'; + WAIT FOR 5000 ps; + I5 <= '0'; + WAIT FOR 5000 ps; + I5 <= '1'; + WAIT FOR 5000 ps; + I5 <= '0'; + WAIT FOR 5000 ps; + I5 <= '1'; + WAIT FOR 15000 ps; + I5 <= '0'; + WAIT FOR 10000 ps; + I5 <= '1'; + WAIT FOR 5000 ps; + I5 <= '0'; + WAIT FOR 30000 ps; + I5 <= '1'; + WAIT FOR 5000 ps; + I5 <= '0'; + WAIT FOR 5000 ps; + I5 <= '1'; + WAIT FOR 30000 ps; + I5 <= '0'; + WAIT FOR 10000 ps; + I5 <= '1'; + WAIT FOR 5000 ps; + I5 <= '0'; + WAIT FOR 55000 ps; + I5 <= '1'; + WAIT FOR 10000 ps; + I5 <= '0'; + WAIT FOR 10000 ps; + I5 <= '1'; + WAIT FOR 5000 ps; + I5 <= '0'; + WAIT FOR 5000 ps; + I5 <= '1'; + WAIT FOR 10000 ps; + I5 <= '0'; + WAIT FOR 10000 ps; + I5 <= '1'; + WAIT FOR 5000 ps; + I5 <= '0'; + WAIT FOR 5000 ps; + I5 <= '1'; + WAIT FOR 5000 ps; + I5 <= '0'; + WAIT FOR 5000 ps; + I5 <= '1'; + WAIT FOR 5000 ps; + I5 <= '0'; + WAIT FOR 5000 ps; + I5 <= '1'; + WAIT FOR 10000 ps; + I5 <= '0'; + WAIT FOR 5000 ps; + I5 <= '1'; +WAIT; +END PROCESS t_prcs_I5; + +-- I6 +t_prcs_I6: PROCESS +BEGIN + I6 <= '1'; + WAIT FOR 5000 ps; + I6 <= '0'; + WAIT FOR 10000 ps; + I6 <= '1'; + WAIT FOR 5000 ps; + I6 <= '0'; + WAIT FOR 15000 ps; + I6 <= '1'; + WAIT FOR 5000 ps; + I6 <= '0'; + WAIT FOR 10000 ps; + I6 <= '1'; + WAIT FOR 5000 ps; + I6 <= '0'; + WAIT FOR 5000 ps; + I6 <= '1'; + WAIT FOR 15000 ps; + I6 <= '0'; + WAIT FOR 30000 ps; + I6 <= '1'; + WAIT FOR 5000 ps; + I6 <= '0'; + WAIT FOR 15000 ps; + I6 <= '1'; + WAIT FOR 10000 ps; + I6 <= '0'; + WAIT FOR 15000 ps; + I6 <= '1'; + WAIT FOR 5000 ps; + I6 <= '0'; + WAIT FOR 5000 ps; + I6 <= '1'; + WAIT FOR 5000 ps; + I6 <= '0'; + WAIT FOR 10000 ps; + I6 <= '1'; + WAIT FOR 5000 ps; + I6 <= '0'; + WAIT FOR 5000 ps; + I6 <= '1'; + WAIT FOR 15000 ps; + I6 <= '0'; + WAIT FOR 10000 ps; + I6 <= '1'; + WAIT FOR 20000 ps; + I6 <= '0'; + WAIT FOR 10000 ps; + I6 <= '1'; + WAIT FOR 15000 ps; + I6 <= '0'; + WAIT FOR 45000 ps; + I6 <= '1'; + WAIT FOR 5000 ps; + I6 <= '0'; + WAIT FOR 5000 ps; + I6 <= '1'; + WAIT FOR 25000 ps; + I6 <= '0'; + WAIT FOR 10000 ps; + I6 <= '1'; + WAIT FOR 15000 ps; + I6 <= '0'; + WAIT FOR 5000 ps; + I6 <= '1'; + WAIT FOR 10000 ps; + I6 <= '0'; + WAIT FOR 5000 ps; + I6 <= '1'; + WAIT FOR 5000 ps; + I6 <= '0'; + WAIT FOR 5000 ps; + I6 <= '1'; + WAIT FOR 5000 ps; + I6 <= '0'; + WAIT FOR 5000 ps; + I6 <= '1'; + WAIT FOR 10000 ps; + I6 <= '0'; + WAIT FOR 15000 ps; + I6 <= '1'; + WAIT FOR 5000 ps; + I6 <= '0'; + WAIT FOR 10000 ps; + I6 <= '1'; + WAIT FOR 5000 ps; + I6 <= '0'; + WAIT FOR 5000 ps; + I6 <= '1'; + WAIT FOR 5000 ps; + I6 <= '0'; + WAIT FOR 5000 ps; + I6 <= '1'; + WAIT FOR 15000 ps; + I6 <= '0'; + WAIT FOR 10000 ps; + I6 <= '1'; + WAIT FOR 15000 ps; + I6 <= '0'; + WAIT FOR 10000 ps; + I6 <= '1'; + WAIT FOR 5000 ps; + I6 <= '0'; + WAIT FOR 15000 ps; + I6 <= '1'; + WAIT FOR 15000 ps; + I6 <= '0'; + WAIT FOR 10000 ps; + I6 <= '1'; + WAIT FOR 15000 ps; + I6 <= '0'; + WAIT FOR 5000 ps; + I6 <= '1'; + WAIT FOR 15000 ps; + I6 <= '0'; + WAIT FOR 5000 ps; + I6 <= '1'; + WAIT FOR 10000 ps; + I6 <= '0'; + WAIT FOR 5000 ps; + I6 <= '1'; + WAIT FOR 5000 ps; + I6 <= '0'; + WAIT FOR 10000 ps; + I6 <= '1'; + WAIT FOR 10000 ps; + I6 <= '0'; + WAIT FOR 5000 ps; + I6 <= '1'; + WAIT FOR 5000 ps; + I6 <= '0'; + WAIT FOR 5000 ps; + I6 <= '1'; + WAIT FOR 5000 ps; + I6 <= '0'; + WAIT FOR 5000 ps; + I6 <= '1'; + WAIT FOR 15000 ps; + I6 <= '0'; + WAIT FOR 5000 ps; + I6 <= '1'; + WAIT FOR 10000 ps; + I6 <= '0'; + WAIT FOR 10000 ps; + I6 <= '1'; + WAIT FOR 5000 ps; + I6 <= '0'; + WAIT FOR 5000 ps; + I6 <= '1'; + WAIT FOR 10000 ps; + I6 <= '0'; + WAIT FOR 10000 ps; + I6 <= '1'; + WAIT FOR 35000 ps; + I6 <= '0'; + WAIT FOR 5000 ps; + I6 <= '1'; + WAIT FOR 5000 ps; + I6 <= '0'; + WAIT FOR 5000 ps; + I6 <= '1'; + WAIT FOR 5000 ps; + I6 <= '0'; + WAIT FOR 5000 ps; + I6 <= '1'; + WAIT FOR 5000 ps; + I6 <= '0'; + WAIT FOR 5000 ps; + I6 <= '1'; + WAIT FOR 20000 ps; + I6 <= '0'; + WAIT FOR 5000 ps; + I6 <= '1'; + WAIT FOR 5000 ps; + I6 <= '0'; + WAIT FOR 5000 ps; + I6 <= '1'; + WAIT FOR 5000 ps; + I6 <= '0'; + WAIT FOR 5000 ps; + I6 <= '1'; + WAIT FOR 5000 ps; + I6 <= '0'; + WAIT FOR 5000 ps; + I6 <= '1'; + WAIT FOR 5000 ps; + I6 <= '0'; + WAIT FOR 5000 ps; + I6 <= '1'; + WAIT FOR 5000 ps; + I6 <= '0'; + WAIT FOR 10000 ps; + I6 <= '1'; + WAIT FOR 35000 ps; + I6 <= '0'; + WAIT FOR 5000 ps; + I6 <= '1'; + WAIT FOR 20000 ps; + I6 <= '0'; + WAIT FOR 5000 ps; + I6 <= '1'; + WAIT FOR 10000 ps; + I6 <= '0'; + WAIT FOR 15000 ps; + I6 <= '1'; + WAIT FOR 15000 ps; + I6 <= '0'; + WAIT FOR 10000 ps; + I6 <= '1'; +WAIT; +END PROCESS t_prcs_I6; + +-- I7 +t_prcs_I7: PROCESS +BEGIN + I7 <= '1'; + WAIT FOR 5000 ps; + I7 <= '0'; + WAIT FOR 10000 ps; + I7 <= '1'; + WAIT FOR 5000 ps; + I7 <= '0'; + WAIT FOR 15000 ps; + I7 <= '1'; + WAIT FOR 5000 ps; + I7 <= '0'; + WAIT FOR 10000 ps; + I7 <= '1'; + WAIT FOR 5000 ps; + I7 <= '0'; + WAIT FOR 5000 ps; + I7 <= '1'; + WAIT FOR 15000 ps; + I7 <= '0'; + WAIT FOR 30000 ps; + I7 <= '1'; + WAIT FOR 5000 ps; + I7 <= '0'; + WAIT FOR 15000 ps; + I7 <= '1'; + WAIT FOR 10000 ps; + I7 <= '0'; + WAIT FOR 15000 ps; + I7 <= '1'; + WAIT FOR 5000 ps; + I7 <= '0'; + WAIT FOR 5000 ps; + I7 <= '1'; + WAIT FOR 5000 ps; + I7 <= '0'; + WAIT FOR 10000 ps; + I7 <= '1'; + WAIT FOR 5000 ps; + I7 <= '0'; + WAIT FOR 5000 ps; + I7 <= '1'; + WAIT FOR 15000 ps; + I7 <= '0'; + WAIT FOR 10000 ps; + I7 <= '1'; + WAIT FOR 20000 ps; + I7 <= '0'; + WAIT FOR 10000 ps; + I7 <= '1'; + WAIT FOR 15000 ps; + I7 <= '0'; + WAIT FOR 45000 ps; + I7 <= '1'; + WAIT FOR 5000 ps; + I7 <= '0'; + WAIT FOR 5000 ps; + I7 <= '1'; + WAIT FOR 25000 ps; + I7 <= '0'; + WAIT FOR 10000 ps; + I7 <= '1'; + WAIT FOR 15000 ps; + I7 <= '0'; + WAIT FOR 5000 ps; + I7 <= '1'; + WAIT FOR 10000 ps; + I7 <= '0'; + WAIT FOR 5000 ps; + I7 <= '1'; + WAIT FOR 5000 ps; + I7 <= '0'; + WAIT FOR 5000 ps; + I7 <= '1'; + WAIT FOR 5000 ps; + I7 <= '0'; + WAIT FOR 5000 ps; + I7 <= '1'; + WAIT FOR 10000 ps; + I7 <= '0'; + WAIT FOR 15000 ps; + I7 <= '1'; + WAIT FOR 5000 ps; + I7 <= '0'; + WAIT FOR 10000 ps; + I7 <= '1'; + WAIT FOR 5000 ps; + I7 <= '0'; + WAIT FOR 5000 ps; + I7 <= '1'; + WAIT FOR 5000 ps; + I7 <= '0'; + WAIT FOR 5000 ps; + I7 <= '1'; + WAIT FOR 15000 ps; + I7 <= '0'; + WAIT FOR 10000 ps; + I7 <= '1'; + WAIT FOR 15000 ps; + I7 <= '0'; + WAIT FOR 10000 ps; + I7 <= '1'; + WAIT FOR 5000 ps; + I7 <= '0'; + WAIT FOR 15000 ps; + I7 <= '1'; + WAIT FOR 15000 ps; + I7 <= '0'; + WAIT FOR 10000 ps; + I7 <= '1'; + WAIT FOR 15000 ps; + I7 <= '0'; + WAIT FOR 5000 ps; + I7 <= '1'; + WAIT FOR 15000 ps; + I7 <= '0'; + WAIT FOR 5000 ps; + I7 <= '1'; + WAIT FOR 10000 ps; + I7 <= '0'; + WAIT FOR 5000 ps; + I7 <= '1'; + WAIT FOR 5000 ps; + I7 <= '0'; + WAIT FOR 10000 ps; + I7 <= '1'; + WAIT FOR 10000 ps; + I7 <= '0'; + WAIT FOR 5000 ps; + I7 <= '1'; + WAIT FOR 5000 ps; + I7 <= '0'; + WAIT FOR 5000 ps; + I7 <= '1'; + WAIT FOR 5000 ps; + I7 <= '0'; + WAIT FOR 5000 ps; + I7 <= '1'; + WAIT FOR 15000 ps; + I7 <= '0'; + WAIT FOR 5000 ps; + I7 <= '1'; + WAIT FOR 10000 ps; + I7 <= '0'; + WAIT FOR 10000 ps; + I7 <= '1'; + WAIT FOR 5000 ps; + I7 <= '0'; + WAIT FOR 5000 ps; + I7 <= '1'; + WAIT FOR 10000 ps; + I7 <= '0'; + WAIT FOR 10000 ps; + I7 <= '1'; + WAIT FOR 35000 ps; + I7 <= '0'; + WAIT FOR 5000 ps; + I7 <= '1'; + WAIT FOR 5000 ps; + I7 <= '0'; + WAIT FOR 5000 ps; + I7 <= '1'; + WAIT FOR 5000 ps; + I7 <= '0'; + WAIT FOR 5000 ps; + I7 <= '1'; + WAIT FOR 5000 ps; + I7 <= '0'; + WAIT FOR 5000 ps; + I7 <= '1'; + WAIT FOR 20000 ps; + I7 <= '0'; + WAIT FOR 5000 ps; + I7 <= '1'; + WAIT FOR 5000 ps; + I7 <= '0'; + WAIT FOR 5000 ps; + I7 <= '1'; + WAIT FOR 5000 ps; + I7 <= '0'; + WAIT FOR 5000 ps; + I7 <= '1'; + WAIT FOR 5000 ps; + I7 <= '0'; + WAIT FOR 5000 ps; + I7 <= '1'; + WAIT FOR 5000 ps; + I7 <= '0'; + WAIT FOR 5000 ps; + I7 <= '1'; + WAIT FOR 5000 ps; + I7 <= '0'; + WAIT FOR 10000 ps; + I7 <= '1'; + WAIT FOR 35000 ps; + I7 <= '0'; + WAIT FOR 5000 ps; + I7 <= '1'; + WAIT FOR 20000 ps; + I7 <= '0'; + WAIT FOR 5000 ps; + I7 <= '1'; + WAIT FOR 10000 ps; + I7 <= '0'; + WAIT FOR 15000 ps; + I7 <= '1'; + WAIT FOR 15000 ps; + I7 <= '0'; + WAIT FOR 10000 ps; + I7 <= '1'; +WAIT; +END PROCESS t_prcs_I7; + +-- I8 +t_prcs_I8: PROCESS +BEGIN + I8 <= '1'; + WAIT FOR 5000 ps; + I8 <= '0'; + WAIT FOR 10000 ps; + I8 <= '1'; + WAIT FOR 5000 ps; + I8 <= '0'; + WAIT FOR 15000 ps; + I8 <= '1'; + WAIT FOR 5000 ps; + I8 <= '0'; + WAIT FOR 10000 ps; + I8 <= '1'; + WAIT FOR 5000 ps; + I8 <= '0'; + WAIT FOR 5000 ps; + I8 <= '1'; + WAIT FOR 15000 ps; + I8 <= '0'; + WAIT FOR 30000 ps; + I8 <= '1'; + WAIT FOR 5000 ps; + I8 <= '0'; + WAIT FOR 15000 ps; + I8 <= '1'; + WAIT FOR 10000 ps; + I8 <= '0'; + WAIT FOR 15000 ps; + I8 <= '1'; + WAIT FOR 5000 ps; + I8 <= '0'; + WAIT FOR 5000 ps; + I8 <= '1'; + WAIT FOR 5000 ps; + I8 <= '0'; + WAIT FOR 10000 ps; + I8 <= '1'; + WAIT FOR 5000 ps; + I8 <= '0'; + WAIT FOR 5000 ps; + I8 <= '1'; + WAIT FOR 15000 ps; + I8 <= '0'; + WAIT FOR 10000 ps; + I8 <= '1'; + WAIT FOR 20000 ps; + I8 <= '0'; + WAIT FOR 10000 ps; + I8 <= '1'; + WAIT FOR 15000 ps; + I8 <= '0'; + WAIT FOR 45000 ps; + I8 <= '1'; + WAIT FOR 5000 ps; + I8 <= '0'; + WAIT FOR 5000 ps; + I8 <= '1'; + WAIT FOR 25000 ps; + I8 <= '0'; + WAIT FOR 10000 ps; + I8 <= '1'; + WAIT FOR 15000 ps; + I8 <= '0'; + WAIT FOR 5000 ps; + I8 <= '1'; + WAIT FOR 10000 ps; + I8 <= '0'; + WAIT FOR 5000 ps; + I8 <= '1'; + WAIT FOR 5000 ps; + I8 <= '0'; + WAIT FOR 5000 ps; + I8 <= '1'; + WAIT FOR 5000 ps; + I8 <= '0'; + WAIT FOR 5000 ps; + I8 <= '1'; + WAIT FOR 10000 ps; + I8 <= '0'; + WAIT FOR 15000 ps; + I8 <= '1'; + WAIT FOR 5000 ps; + I8 <= '0'; + WAIT FOR 10000 ps; + I8 <= '1'; + WAIT FOR 5000 ps; + I8 <= '0'; + WAIT FOR 5000 ps; + I8 <= '1'; + WAIT FOR 5000 ps; + I8 <= '0'; + WAIT FOR 5000 ps; + I8 <= '1'; + WAIT FOR 15000 ps; + I8 <= '0'; + WAIT FOR 10000 ps; + I8 <= '1'; + WAIT FOR 15000 ps; + I8 <= '0'; + WAIT FOR 10000 ps; + I8 <= '1'; + WAIT FOR 5000 ps; + I8 <= '0'; + WAIT FOR 15000 ps; + I8 <= '1'; + WAIT FOR 15000 ps; + I8 <= '0'; + WAIT FOR 10000 ps; + I8 <= '1'; + WAIT FOR 15000 ps; + I8 <= '0'; + WAIT FOR 5000 ps; + I8 <= '1'; + WAIT FOR 15000 ps; + I8 <= '0'; + WAIT FOR 5000 ps; + I8 <= '1'; + WAIT FOR 10000 ps; + I8 <= '0'; + WAIT FOR 5000 ps; + I8 <= '1'; + WAIT FOR 5000 ps; + I8 <= '0'; + WAIT FOR 10000 ps; + I8 <= '1'; + WAIT FOR 10000 ps; + I8 <= '0'; + WAIT FOR 5000 ps; + I8 <= '1'; + WAIT FOR 5000 ps; + I8 <= '0'; + WAIT FOR 5000 ps; + I8 <= '1'; + WAIT FOR 5000 ps; + I8 <= '0'; + WAIT FOR 5000 ps; + I8 <= '1'; + WAIT FOR 15000 ps; + I8 <= '0'; + WAIT FOR 5000 ps; + I8 <= '1'; + WAIT FOR 10000 ps; + I8 <= '0'; + WAIT FOR 10000 ps; + I8 <= '1'; + WAIT FOR 5000 ps; + I8 <= '0'; + WAIT FOR 5000 ps; + I8 <= '1'; + WAIT FOR 10000 ps; + I8 <= '0'; + WAIT FOR 10000 ps; + I8 <= '1'; + WAIT FOR 35000 ps; + I8 <= '0'; + WAIT FOR 5000 ps; + I8 <= '1'; + WAIT FOR 5000 ps; + I8 <= '0'; + WAIT FOR 5000 ps; + I8 <= '1'; + WAIT FOR 5000 ps; + I8 <= '0'; + WAIT FOR 5000 ps; + I8 <= '1'; + WAIT FOR 5000 ps; + I8 <= '0'; + WAIT FOR 5000 ps; + I8 <= '1'; + WAIT FOR 20000 ps; + I8 <= '0'; + WAIT FOR 5000 ps; + I8 <= '1'; + WAIT FOR 5000 ps; + I8 <= '0'; + WAIT FOR 5000 ps; + I8 <= '1'; + WAIT FOR 5000 ps; + I8 <= '0'; + WAIT FOR 5000 ps; + I8 <= '1'; + WAIT FOR 5000 ps; + I8 <= '0'; + WAIT FOR 5000 ps; + I8 <= '1'; + WAIT FOR 5000 ps; + I8 <= '0'; + WAIT FOR 5000 ps; + I8 <= '1'; + WAIT FOR 5000 ps; + I8 <= '0'; + WAIT FOR 10000 ps; + I8 <= '1'; + WAIT FOR 35000 ps; + I8 <= '0'; + WAIT FOR 5000 ps; + I8 <= '1'; + WAIT FOR 20000 ps; + I8 <= '0'; + WAIT FOR 5000 ps; + I8 <= '1'; + WAIT FOR 10000 ps; + I8 <= '0'; + WAIT FOR 15000 ps; + I8 <= '1'; + WAIT FOR 15000 ps; + I8 <= '0'; + WAIT FOR 10000 ps; + I8 <= '1'; +WAIT; +END PROCESS t_prcs_I8; + +-- I9 +t_prcs_I9: PROCESS +BEGIN + I9 <= '0'; + WAIT FOR 10000 ps; + I9 <= '1'; + WAIT FOR 5000 ps; + I9 <= '0'; + WAIT FOR 5000 ps; + I9 <= '1'; + WAIT FOR 15000 ps; + I9 <= '0'; + WAIT FOR 10000 ps; + I9 <= '1'; + WAIT FOR 5000 ps; + I9 <= '0'; + WAIT FOR 25000 ps; + I9 <= '1'; + WAIT FOR 5000 ps; + I9 <= '0'; + WAIT FOR 10000 ps; + I9 <= '1'; + WAIT FOR 15000 ps; + I9 <= '0'; + WAIT FOR 20000 ps; + I9 <= '1'; + WAIT FOR 30000 ps; + I9 <= '0'; + WAIT FOR 5000 ps; + I9 <= '1'; + WAIT FOR 20000 ps; + I9 <= '0'; + WAIT FOR 5000 ps; + I9 <= '1'; + WAIT FOR 15000 ps; + I9 <= '0'; + WAIT FOR 5000 ps; + I9 <= '1'; + WAIT FOR 10000 ps; + I9 <= '0'; + WAIT FOR 5000 ps; + I9 <= '1'; + WAIT FOR 15000 ps; + I9 <= '0'; + WAIT FOR 5000 ps; + I9 <= '1'; + WAIT FOR 5000 ps; + I9 <= '0'; + WAIT FOR 10000 ps; + I9 <= '1'; + WAIT FOR 10000 ps; + I9 <= '0'; + WAIT FOR 15000 ps; + I9 <= '1'; + WAIT FOR 15000 ps; + I9 <= '0'; + WAIT FOR 10000 ps; + I9 <= '1'; + WAIT FOR 10000 ps; + I9 <= '0'; + WAIT FOR 15000 ps; + I9 <= '1'; + WAIT FOR 10000 ps; + I9 <= '0'; + WAIT FOR 10000 ps; + I9 <= '1'; + WAIT FOR 10000 ps; + I9 <= '0'; + WAIT FOR 10000 ps; + I9 <= '1'; + WAIT FOR 10000 ps; + I9 <= '0'; + WAIT FOR 5000 ps; + I9 <= '1'; + WAIT FOR 5000 ps; + I9 <= '0'; + WAIT FOR 5000 ps; + I9 <= '1'; + WAIT FOR 10000 ps; + I9 <= '0'; + WAIT FOR 10000 ps; + I9 <= '1'; + WAIT FOR 5000 ps; + I9 <= '0'; + WAIT FOR 5000 ps; + I9 <= '1'; + WAIT FOR 15000 ps; + I9 <= '0'; + WAIT FOR 15000 ps; + I9 <= '1'; + WAIT FOR 5000 ps; + I9 <= '0'; + WAIT FOR 5000 ps; + I9 <= '1'; + WAIT FOR 20000 ps; + I9 <= '0'; + WAIT FOR 15000 ps; + I9 <= '1'; + WAIT FOR 10000 ps; + I9 <= '0'; + WAIT FOR 10000 ps; + I9 <= '1'; + WAIT FOR 5000 ps; + I9 <= '0'; + WAIT FOR 5000 ps; + I9 <= '1'; + WAIT FOR 5000 ps; + I9 <= '0'; + WAIT FOR 5000 ps; + I9 <= '1'; + WAIT FOR 10000 ps; + I9 <= '0'; + WAIT FOR 20000 ps; + I9 <= '1'; + WAIT FOR 10000 ps; + I9 <= '0'; + WAIT FOR 20000 ps; + I9 <= '1'; + WAIT FOR 5000 ps; + I9 <= '0'; + WAIT FOR 5000 ps; + I9 <= '1'; + WAIT FOR 10000 ps; + I9 <= '0'; + WAIT FOR 5000 ps; + I9 <= '1'; + WAIT FOR 5000 ps; + I9 <= '0'; + WAIT FOR 5000 ps; + I9 <= '1'; + WAIT FOR 5000 ps; + I9 <= '0'; + WAIT FOR 5000 ps; + I9 <= '1'; + WAIT FOR 5000 ps; + I9 <= '0'; + WAIT FOR 5000 ps; + I9 <= '1'; + WAIT FOR 10000 ps; + I9 <= '0'; + WAIT FOR 5000 ps; + I9 <= '1'; + WAIT FOR 15000 ps; + I9 <= '0'; + WAIT FOR 5000 ps; + I9 <= '1'; + WAIT FOR 15000 ps; + I9 <= '0'; + WAIT FOR 10000 ps; + I9 <= '1'; + WAIT FOR 5000 ps; + I9 <= '0'; + WAIT FOR 15000 ps; + I9 <= '1'; + WAIT FOR 15000 ps; + I9 <= '0'; + WAIT FOR 5000 ps; + I9 <= '1'; + WAIT FOR 5000 ps; + I9 <= '0'; + WAIT FOR 5000 ps; + I9 <= '1'; + WAIT FOR 10000 ps; + I9 <= '0'; + WAIT FOR 25000 ps; + I9 <= '1'; + WAIT FOR 5000 ps; + I9 <= '0'; + WAIT FOR 5000 ps; + I9 <= '1'; + WAIT FOR 10000 ps; + I9 <= '0'; + WAIT FOR 5000 ps; + I9 <= '1'; + WAIT FOR 25000 ps; + I9 <= '0'; + WAIT FOR 5000 ps; + I9 <= '1'; + WAIT FOR 5000 ps; + I9 <= '0'; + WAIT FOR 5000 ps; + I9 <= '1'; + WAIT FOR 25000 ps; + I9 <= '0'; + WAIT FOR 5000 ps; + I9 <= '1'; + WAIT FOR 10000 ps; + I9 <= '0'; + WAIT FOR 10000 ps; + I9 <= '1'; + WAIT FOR 10000 ps; + I9 <= '0'; + WAIT FOR 5000 ps; + I9 <= '1'; + WAIT FOR 15000 ps; + I9 <= '0'; + WAIT FOR 20000 ps; + I9 <= '1'; + WAIT FOR 5000 ps; + I9 <= '0'; +WAIT; +END PROCESS t_prcs_I9; + +-- I10 +t_prcs_I10: PROCESS +BEGIN + I10 <= '0'; + WAIT FOR 10000 ps; + I10 <= '1'; + WAIT FOR 5000 ps; + I10 <= '0'; + WAIT FOR 5000 ps; + I10 <= '1'; + WAIT FOR 15000 ps; + I10 <= '0'; + WAIT FOR 10000 ps; + I10 <= '1'; + WAIT FOR 5000 ps; + I10 <= '0'; + WAIT FOR 25000 ps; + I10 <= '1'; + WAIT FOR 5000 ps; + I10 <= '0'; + WAIT FOR 10000 ps; + I10 <= '1'; + WAIT FOR 15000 ps; + I10 <= '0'; + WAIT FOR 20000 ps; + I10 <= '1'; + WAIT FOR 30000 ps; + I10 <= '0'; + WAIT FOR 5000 ps; + I10 <= '1'; + WAIT FOR 20000 ps; + I10 <= '0'; + WAIT FOR 5000 ps; + I10 <= '1'; + WAIT FOR 15000 ps; + I10 <= '0'; + WAIT FOR 5000 ps; + I10 <= '1'; + WAIT FOR 10000 ps; + I10 <= '0'; + WAIT FOR 5000 ps; + I10 <= '1'; + WAIT FOR 15000 ps; + I10 <= '0'; + WAIT FOR 5000 ps; + I10 <= '1'; + WAIT FOR 5000 ps; + I10 <= '0'; + WAIT FOR 10000 ps; + I10 <= '1'; + WAIT FOR 10000 ps; + I10 <= '0'; + WAIT FOR 15000 ps; + I10 <= '1'; + WAIT FOR 15000 ps; + I10 <= '0'; + WAIT FOR 10000 ps; + I10 <= '1'; + WAIT FOR 10000 ps; + I10 <= '0'; + WAIT FOR 15000 ps; + I10 <= '1'; + WAIT FOR 10000 ps; + I10 <= '0'; + WAIT FOR 10000 ps; + I10 <= '1'; + WAIT FOR 10000 ps; + I10 <= '0'; + WAIT FOR 10000 ps; + I10 <= '1'; + WAIT FOR 10000 ps; + I10 <= '0'; + WAIT FOR 5000 ps; + I10 <= '1'; + WAIT FOR 5000 ps; + I10 <= '0'; + WAIT FOR 5000 ps; + I10 <= '1'; + WAIT FOR 10000 ps; + I10 <= '0'; + WAIT FOR 10000 ps; + I10 <= '1'; + WAIT FOR 5000 ps; + I10 <= '0'; + WAIT FOR 5000 ps; + I10 <= '1'; + WAIT FOR 15000 ps; + I10 <= '0'; + WAIT FOR 15000 ps; + I10 <= '1'; + WAIT FOR 5000 ps; + I10 <= '0'; + WAIT FOR 5000 ps; + I10 <= '1'; + WAIT FOR 20000 ps; + I10 <= '0'; + WAIT FOR 15000 ps; + I10 <= '1'; + WAIT FOR 10000 ps; + I10 <= '0'; + WAIT FOR 10000 ps; + I10 <= '1'; + WAIT FOR 5000 ps; + I10 <= '0'; + WAIT FOR 5000 ps; + I10 <= '1'; + WAIT FOR 5000 ps; + I10 <= '0'; + WAIT FOR 5000 ps; + I10 <= '1'; + WAIT FOR 10000 ps; + I10 <= '0'; + WAIT FOR 20000 ps; + I10 <= '1'; + WAIT FOR 10000 ps; + I10 <= '0'; + WAIT FOR 20000 ps; + I10 <= '1'; + WAIT FOR 5000 ps; + I10 <= '0'; + WAIT FOR 5000 ps; + I10 <= '1'; + WAIT FOR 10000 ps; + I10 <= '0'; + WAIT FOR 5000 ps; + I10 <= '1'; + WAIT FOR 5000 ps; + I10 <= '0'; + WAIT FOR 5000 ps; + I10 <= '1'; + WAIT FOR 5000 ps; + I10 <= '0'; + WAIT FOR 5000 ps; + I10 <= '1'; + WAIT FOR 5000 ps; + I10 <= '0'; + WAIT FOR 5000 ps; + I10 <= '1'; + WAIT FOR 10000 ps; + I10 <= '0'; + WAIT FOR 5000 ps; + I10 <= '1'; + WAIT FOR 15000 ps; + I10 <= '0'; + WAIT FOR 5000 ps; + I10 <= '1'; + WAIT FOR 15000 ps; + I10 <= '0'; + WAIT FOR 10000 ps; + I10 <= '1'; + WAIT FOR 5000 ps; + I10 <= '0'; + WAIT FOR 15000 ps; + I10 <= '1'; + WAIT FOR 15000 ps; + I10 <= '0'; + WAIT FOR 5000 ps; + I10 <= '1'; + WAIT FOR 5000 ps; + I10 <= '0'; + WAIT FOR 5000 ps; + I10 <= '1'; + WAIT FOR 10000 ps; + I10 <= '0'; + WAIT FOR 25000 ps; + I10 <= '1'; + WAIT FOR 5000 ps; + I10 <= '0'; + WAIT FOR 5000 ps; + I10 <= '1'; + WAIT FOR 10000 ps; + I10 <= '0'; + WAIT FOR 5000 ps; + I10 <= '1'; + WAIT FOR 25000 ps; + I10 <= '0'; + WAIT FOR 5000 ps; + I10 <= '1'; + WAIT FOR 5000 ps; + I10 <= '0'; + WAIT FOR 5000 ps; + I10 <= '1'; + WAIT FOR 25000 ps; + I10 <= '0'; + WAIT FOR 5000 ps; + I10 <= '1'; + WAIT FOR 10000 ps; + I10 <= '0'; + WAIT FOR 10000 ps; + I10 <= '1'; + WAIT FOR 10000 ps; + I10 <= '0'; + WAIT FOR 5000 ps; + I10 <= '1'; + WAIT FOR 15000 ps; + I10 <= '0'; + WAIT FOR 20000 ps; + I10 <= '1'; + WAIT FOR 5000 ps; + I10 <= '0'; +WAIT; +END PROCESS t_prcs_I10; + +-- I11 +t_prcs_I11: PROCESS +BEGIN + I11 <= '0'; + WAIT FOR 10000 ps; + I11 <= '1'; + WAIT FOR 5000 ps; + I11 <= '0'; + WAIT FOR 5000 ps; + I11 <= '1'; + WAIT FOR 15000 ps; + I11 <= '0'; + WAIT FOR 10000 ps; + I11 <= '1'; + WAIT FOR 5000 ps; + I11 <= '0'; + WAIT FOR 25000 ps; + I11 <= '1'; + WAIT FOR 5000 ps; + I11 <= '0'; + WAIT FOR 10000 ps; + I11 <= '1'; + WAIT FOR 15000 ps; + I11 <= '0'; + WAIT FOR 20000 ps; + I11 <= '1'; + WAIT FOR 30000 ps; + I11 <= '0'; + WAIT FOR 5000 ps; + I11 <= '1'; + WAIT FOR 20000 ps; + I11 <= '0'; + WAIT FOR 5000 ps; + I11 <= '1'; + WAIT FOR 15000 ps; + I11 <= '0'; + WAIT FOR 5000 ps; + I11 <= '1'; + WAIT FOR 10000 ps; + I11 <= '0'; + WAIT FOR 5000 ps; + I11 <= '1'; + WAIT FOR 15000 ps; + I11 <= '0'; + WAIT FOR 5000 ps; + I11 <= '1'; + WAIT FOR 5000 ps; + I11 <= '0'; + WAIT FOR 10000 ps; + I11 <= '1'; + WAIT FOR 10000 ps; + I11 <= '0'; + WAIT FOR 15000 ps; + I11 <= '1'; + WAIT FOR 15000 ps; + I11 <= '0'; + WAIT FOR 10000 ps; + I11 <= '1'; + WAIT FOR 10000 ps; + I11 <= '0'; + WAIT FOR 15000 ps; + I11 <= '1'; + WAIT FOR 10000 ps; + I11 <= '0'; + WAIT FOR 10000 ps; + I11 <= '1'; + WAIT FOR 10000 ps; + I11 <= '0'; + WAIT FOR 10000 ps; + I11 <= '1'; + WAIT FOR 10000 ps; + I11 <= '0'; + WAIT FOR 5000 ps; + I11 <= '1'; + WAIT FOR 5000 ps; + I11 <= '0'; + WAIT FOR 5000 ps; + I11 <= '1'; + WAIT FOR 10000 ps; + I11 <= '0'; + WAIT FOR 10000 ps; + I11 <= '1'; + WAIT FOR 5000 ps; + I11 <= '0'; + WAIT FOR 5000 ps; + I11 <= '1'; + WAIT FOR 15000 ps; + I11 <= '0'; + WAIT FOR 15000 ps; + I11 <= '1'; + WAIT FOR 5000 ps; + I11 <= '0'; + WAIT FOR 5000 ps; + I11 <= '1'; + WAIT FOR 20000 ps; + I11 <= '0'; + WAIT FOR 15000 ps; + I11 <= '1'; + WAIT FOR 10000 ps; + I11 <= '0'; + WAIT FOR 10000 ps; + I11 <= '1'; + WAIT FOR 5000 ps; + I11 <= '0'; + WAIT FOR 5000 ps; + I11 <= '1'; + WAIT FOR 5000 ps; + I11 <= '0'; + WAIT FOR 5000 ps; + I11 <= '1'; + WAIT FOR 10000 ps; + I11 <= '0'; + WAIT FOR 20000 ps; + I11 <= '1'; + WAIT FOR 10000 ps; + I11 <= '0'; + WAIT FOR 20000 ps; + I11 <= '1'; + WAIT FOR 5000 ps; + I11 <= '0'; + WAIT FOR 5000 ps; + I11 <= '1'; + WAIT FOR 10000 ps; + I11 <= '0'; + WAIT FOR 5000 ps; + I11 <= '1'; + WAIT FOR 5000 ps; + I11 <= '0'; + WAIT FOR 5000 ps; + I11 <= '1'; + WAIT FOR 5000 ps; + I11 <= '0'; + WAIT FOR 5000 ps; + I11 <= '1'; + WAIT FOR 5000 ps; + I11 <= '0'; + WAIT FOR 5000 ps; + I11 <= '1'; + WAIT FOR 10000 ps; + I11 <= '0'; + WAIT FOR 5000 ps; + I11 <= '1'; + WAIT FOR 15000 ps; + I11 <= '0'; + WAIT FOR 5000 ps; + I11 <= '1'; + WAIT FOR 15000 ps; + I11 <= '0'; + WAIT FOR 10000 ps; + I11 <= '1'; + WAIT FOR 5000 ps; + I11 <= '0'; + WAIT FOR 15000 ps; + I11 <= '1'; + WAIT FOR 15000 ps; + I11 <= '0'; + WAIT FOR 5000 ps; + I11 <= '1'; + WAIT FOR 5000 ps; + I11 <= '0'; + WAIT FOR 5000 ps; + I11 <= '1'; + WAIT FOR 10000 ps; + I11 <= '0'; + WAIT FOR 25000 ps; + I11 <= '1'; + WAIT FOR 5000 ps; + I11 <= '0'; + WAIT FOR 5000 ps; + I11 <= '1'; + WAIT FOR 10000 ps; + I11 <= '0'; + WAIT FOR 5000 ps; + I11 <= '1'; + WAIT FOR 25000 ps; + I11 <= '0'; + WAIT FOR 5000 ps; + I11 <= '1'; + WAIT FOR 5000 ps; + I11 <= '0'; + WAIT FOR 5000 ps; + I11 <= '1'; + WAIT FOR 25000 ps; + I11 <= '0'; + WAIT FOR 5000 ps; + I11 <= '1'; + WAIT FOR 10000 ps; + I11 <= '0'; + WAIT FOR 10000 ps; + I11 <= '1'; + WAIT FOR 10000 ps; + I11 <= '0'; + WAIT FOR 5000 ps; + I11 <= '1'; + WAIT FOR 15000 ps; + I11 <= '0'; + WAIT FOR 20000 ps; + I11 <= '1'; + WAIT FOR 5000 ps; + I11 <= '0'; +WAIT; +END PROCESS t_prcs_I11; + +-- I12 +t_prcs_I12: PROCESS +BEGIN + I12 <= '0'; + WAIT FOR 10000 ps; + I12 <= '1'; + WAIT FOR 5000 ps; + I12 <= '0'; + WAIT FOR 5000 ps; + I12 <= '1'; + WAIT FOR 15000 ps; + I12 <= '0'; + WAIT FOR 10000 ps; + I12 <= '1'; + WAIT FOR 5000 ps; + I12 <= '0'; + WAIT FOR 25000 ps; + I12 <= '1'; + WAIT FOR 5000 ps; + I12 <= '0'; + WAIT FOR 10000 ps; + I12 <= '1'; + WAIT FOR 15000 ps; + I12 <= '0'; + WAIT FOR 20000 ps; + I12 <= '1'; + WAIT FOR 30000 ps; + I12 <= '0'; + WAIT FOR 5000 ps; + I12 <= '1'; + WAIT FOR 20000 ps; + I12 <= '0'; + WAIT FOR 5000 ps; + I12 <= '1'; + WAIT FOR 15000 ps; + I12 <= '0'; + WAIT FOR 5000 ps; + I12 <= '1'; + WAIT FOR 10000 ps; + I12 <= '0'; + WAIT FOR 5000 ps; + I12 <= '1'; + WAIT FOR 15000 ps; + I12 <= '0'; + WAIT FOR 5000 ps; + I12 <= '1'; + WAIT FOR 5000 ps; + I12 <= '0'; + WAIT FOR 10000 ps; + I12 <= '1'; + WAIT FOR 10000 ps; + I12 <= '0'; + WAIT FOR 15000 ps; + I12 <= '1'; + WAIT FOR 15000 ps; + I12 <= '0'; + WAIT FOR 10000 ps; + I12 <= '1'; + WAIT FOR 10000 ps; + I12 <= '0'; + WAIT FOR 15000 ps; + I12 <= '1'; + WAIT FOR 10000 ps; + I12 <= '0'; + WAIT FOR 10000 ps; + I12 <= '1'; + WAIT FOR 10000 ps; + I12 <= '0'; + WAIT FOR 10000 ps; + I12 <= '1'; + WAIT FOR 10000 ps; + I12 <= '0'; + WAIT FOR 5000 ps; + I12 <= '1'; + WAIT FOR 5000 ps; + I12 <= '0'; + WAIT FOR 5000 ps; + I12 <= '1'; + WAIT FOR 10000 ps; + I12 <= '0'; + WAIT FOR 10000 ps; + I12 <= '1'; + WAIT FOR 5000 ps; + I12 <= '0'; + WAIT FOR 5000 ps; + I12 <= '1'; + WAIT FOR 15000 ps; + I12 <= '0'; + WAIT FOR 15000 ps; + I12 <= '1'; + WAIT FOR 5000 ps; + I12 <= '0'; + WAIT FOR 5000 ps; + I12 <= '1'; + WAIT FOR 20000 ps; + I12 <= '0'; + WAIT FOR 15000 ps; + I12 <= '1'; + WAIT FOR 10000 ps; + I12 <= '0'; + WAIT FOR 10000 ps; + I12 <= '1'; + WAIT FOR 5000 ps; + I12 <= '0'; + WAIT FOR 5000 ps; + I12 <= '1'; + WAIT FOR 5000 ps; + I12 <= '0'; + WAIT FOR 5000 ps; + I12 <= '1'; + WAIT FOR 10000 ps; + I12 <= '0'; + WAIT FOR 20000 ps; + I12 <= '1'; + WAIT FOR 10000 ps; + I12 <= '0'; + WAIT FOR 20000 ps; + I12 <= '1'; + WAIT FOR 5000 ps; + I12 <= '0'; + WAIT FOR 5000 ps; + I12 <= '1'; + WAIT FOR 10000 ps; + I12 <= '0'; + WAIT FOR 5000 ps; + I12 <= '1'; + WAIT FOR 5000 ps; + I12 <= '0'; + WAIT FOR 5000 ps; + I12 <= '1'; + WAIT FOR 5000 ps; + I12 <= '0'; + WAIT FOR 5000 ps; + I12 <= '1'; + WAIT FOR 5000 ps; + I12 <= '0'; + WAIT FOR 5000 ps; + I12 <= '1'; + WAIT FOR 10000 ps; + I12 <= '0'; + WAIT FOR 5000 ps; + I12 <= '1'; + WAIT FOR 15000 ps; + I12 <= '0'; + WAIT FOR 5000 ps; + I12 <= '1'; + WAIT FOR 15000 ps; + I12 <= '0'; + WAIT FOR 10000 ps; + I12 <= '1'; + WAIT FOR 5000 ps; + I12 <= '0'; + WAIT FOR 15000 ps; + I12 <= '1'; + WAIT FOR 15000 ps; + I12 <= '0'; + WAIT FOR 5000 ps; + I12 <= '1'; + WAIT FOR 5000 ps; + I12 <= '0'; + WAIT FOR 5000 ps; + I12 <= '1'; + WAIT FOR 10000 ps; + I12 <= '0'; + WAIT FOR 25000 ps; + I12 <= '1'; + WAIT FOR 5000 ps; + I12 <= '0'; + WAIT FOR 5000 ps; + I12 <= '1'; + WAIT FOR 10000 ps; + I12 <= '0'; + WAIT FOR 5000 ps; + I12 <= '1'; + WAIT FOR 25000 ps; + I12 <= '0'; + WAIT FOR 5000 ps; + I12 <= '1'; + WAIT FOR 5000 ps; + I12 <= '0'; + WAIT FOR 5000 ps; + I12 <= '1'; + WAIT FOR 25000 ps; + I12 <= '0'; + WAIT FOR 5000 ps; + I12 <= '1'; + WAIT FOR 10000 ps; + I12 <= '0'; + WAIT FOR 10000 ps; + I12 <= '1'; + WAIT FOR 10000 ps; + I12 <= '0'; + WAIT FOR 5000 ps; + I12 <= '1'; + WAIT FOR 15000 ps; + I12 <= '0'; + WAIT FOR 20000 ps; + I12 <= '1'; + WAIT FOR 5000 ps; + I12 <= '0'; +WAIT; +END PROCESS t_prcs_I12; + +-- I13 +t_prcs_I13: PROCESS +BEGIN + I13 <= '0'; + WAIT FOR 10000 ps; + I13 <= '1'; + WAIT FOR 30000 ps; + I13 <= '0'; + WAIT FOR 5000 ps; + I13 <= '1'; + WAIT FOR 25000 ps; + I13 <= '0'; + WAIT FOR 50000 ps; + I13 <= '1'; + WAIT FOR 5000 ps; + I13 <= '0'; + WAIT FOR 10000 ps; + I13 <= '1'; + WAIT FOR 10000 ps; + I13 <= '0'; + WAIT FOR 10000 ps; + I13 <= '1'; + WAIT FOR 10000 ps; + I13 <= '0'; + WAIT FOR 5000 ps; + I13 <= '1'; + WAIT FOR 35000 ps; + I13 <= '0'; + WAIT FOR 5000 ps; + I13 <= '1'; + WAIT FOR 15000 ps; + I13 <= '0'; + WAIT FOR 10000 ps; + I13 <= '1'; + WAIT FOR 10000 ps; + I13 <= '0'; + WAIT FOR 10000 ps; + I13 <= '1'; + WAIT FOR 5000 ps; + I13 <= '0'; + WAIT FOR 5000 ps; + I13 <= '1'; + WAIT FOR 5000 ps; + I13 <= '0'; + WAIT FOR 5000 ps; + I13 <= '1'; + WAIT FOR 5000 ps; + I13 <= '0'; + WAIT FOR 15000 ps; + I13 <= '1'; + WAIT FOR 5000 ps; + I13 <= '0'; + WAIT FOR 50000 ps; + I13 <= '1'; + WAIT FOR 5000 ps; + I13 <= '0'; + WAIT FOR 10000 ps; + I13 <= '1'; + WAIT FOR 25000 ps; + I13 <= '0'; + WAIT FOR 5000 ps; + I13 <= '1'; + WAIT FOR 10000 ps; + I13 <= '0'; + WAIT FOR 5000 ps; + I13 <= '1'; + WAIT FOR 5000 ps; + I13 <= '0'; + WAIT FOR 5000 ps; + I13 <= '1'; + WAIT FOR 15000 ps; + I13 <= '0'; + WAIT FOR 15000 ps; + I13 <= '1'; + WAIT FOR 10000 ps; + I13 <= '0'; + WAIT FOR 10000 ps; + I13 <= '1'; + WAIT FOR 10000 ps; + I13 <= '0'; + WAIT FOR 15000 ps; + I13 <= '1'; + WAIT FOR 20000 ps; + I13 <= '0'; + WAIT FOR 5000 ps; + I13 <= '1'; + WAIT FOR 20000 ps; + I13 <= '0'; + WAIT FOR 20000 ps; + I13 <= '1'; + WAIT FOR 5000 ps; + I13 <= '0'; + WAIT FOR 5000 ps; + I13 <= '1'; + WAIT FOR 25000 ps; + I13 <= '0'; + WAIT FOR 5000 ps; + I13 <= '1'; + WAIT FOR 5000 ps; + I13 <= '0'; + WAIT FOR 20000 ps; + I13 <= '1'; + WAIT FOR 10000 ps; + I13 <= '0'; + WAIT FOR 15000 ps; + I13 <= '1'; + WAIT FOR 5000 ps; + I13 <= '0'; + WAIT FOR 5000 ps; + I13 <= '1'; + WAIT FOR 5000 ps; + I13 <= '0'; + WAIT FOR 10000 ps; + I13 <= '1'; + WAIT FOR 5000 ps; + I13 <= '0'; + WAIT FOR 5000 ps; + I13 <= '1'; + WAIT FOR 5000 ps; + I13 <= '0'; + WAIT FOR 30000 ps; + I13 <= '1'; + WAIT FOR 5000 ps; + I13 <= '0'; + WAIT FOR 15000 ps; + I13 <= '1'; + WAIT FOR 5000 ps; + I13 <= '0'; + WAIT FOR 10000 ps; + I13 <= '1'; + WAIT FOR 35000 ps; + I13 <= '0'; + WAIT FOR 5000 ps; + I13 <= '1'; + WAIT FOR 10000 ps; + I13 <= '0'; + WAIT FOR 5000 ps; + I13 <= '1'; + WAIT FOR 15000 ps; + I13 <= '0'; + WAIT FOR 5000 ps; + I13 <= '1'; + WAIT FOR 10000 ps; + I13 <= '0'; + WAIT FOR 5000 ps; + I13 <= '1'; + WAIT FOR 5000 ps; + I13 <= '0'; + WAIT FOR 20000 ps; + I13 <= '1'; + WAIT FOR 5000 ps; + I13 <= '0'; + WAIT FOR 10000 ps; + I13 <= '1'; + WAIT FOR 20000 ps; + I13 <= '0'; + WAIT FOR 5000 ps; + I13 <= '1'; + WAIT FOR 40000 ps; + I13 <= '0'; + WAIT FOR 25000 ps; + I13 <= '1'; + WAIT FOR 5000 ps; + I13 <= '0'; + WAIT FOR 5000 ps; + I13 <= '1'; + WAIT FOR 5000 ps; + I13 <= '0'; + WAIT FOR 5000 ps; + I13 <= '1'; +WAIT; +END PROCESS t_prcs_I13; + +-- I14 +t_prcs_I14: PROCESS +BEGIN + I14 <= '0'; + WAIT FOR 10000 ps; + I14 <= '1'; + WAIT FOR 30000 ps; + I14 <= '0'; + WAIT FOR 5000 ps; + I14 <= '1'; + WAIT FOR 25000 ps; + I14 <= '0'; + WAIT FOR 50000 ps; + I14 <= '1'; + WAIT FOR 5000 ps; + I14 <= '0'; + WAIT FOR 10000 ps; + I14 <= '1'; + WAIT FOR 10000 ps; + I14 <= '0'; + WAIT FOR 10000 ps; + I14 <= '1'; + WAIT FOR 10000 ps; + I14 <= '0'; + WAIT FOR 5000 ps; + I14 <= '1'; + WAIT FOR 35000 ps; + I14 <= '0'; + WAIT FOR 5000 ps; + I14 <= '1'; + WAIT FOR 15000 ps; + I14 <= '0'; + WAIT FOR 10000 ps; + I14 <= '1'; + WAIT FOR 10000 ps; + I14 <= '0'; + WAIT FOR 10000 ps; + I14 <= '1'; + WAIT FOR 5000 ps; + I14 <= '0'; + WAIT FOR 5000 ps; + I14 <= '1'; + WAIT FOR 5000 ps; + I14 <= '0'; + WAIT FOR 5000 ps; + I14 <= '1'; + WAIT FOR 5000 ps; + I14 <= '0'; + WAIT FOR 15000 ps; + I14 <= '1'; + WAIT FOR 5000 ps; + I14 <= '0'; + WAIT FOR 50000 ps; + I14 <= '1'; + WAIT FOR 5000 ps; + I14 <= '0'; + WAIT FOR 10000 ps; + I14 <= '1'; + WAIT FOR 25000 ps; + I14 <= '0'; + WAIT FOR 5000 ps; + I14 <= '1'; + WAIT FOR 10000 ps; + I14 <= '0'; + WAIT FOR 5000 ps; + I14 <= '1'; + WAIT FOR 5000 ps; + I14 <= '0'; + WAIT FOR 5000 ps; + I14 <= '1'; + WAIT FOR 15000 ps; + I14 <= '0'; + WAIT FOR 15000 ps; + I14 <= '1'; + WAIT FOR 10000 ps; + I14 <= '0'; + WAIT FOR 10000 ps; + I14 <= '1'; + WAIT FOR 10000 ps; + I14 <= '0'; + WAIT FOR 15000 ps; + I14 <= '1'; + WAIT FOR 20000 ps; + I14 <= '0'; + WAIT FOR 5000 ps; + I14 <= '1'; + WAIT FOR 20000 ps; + I14 <= '0'; + WAIT FOR 20000 ps; + I14 <= '1'; + WAIT FOR 5000 ps; + I14 <= '0'; + WAIT FOR 5000 ps; + I14 <= '1'; + WAIT FOR 25000 ps; + I14 <= '0'; + WAIT FOR 5000 ps; + I14 <= '1'; + WAIT FOR 5000 ps; + I14 <= '0'; + WAIT FOR 20000 ps; + I14 <= '1'; + WAIT FOR 10000 ps; + I14 <= '0'; + WAIT FOR 15000 ps; + I14 <= '1'; + WAIT FOR 5000 ps; + I14 <= '0'; + WAIT FOR 5000 ps; + I14 <= '1'; + WAIT FOR 5000 ps; + I14 <= '0'; + WAIT FOR 10000 ps; + I14 <= '1'; + WAIT FOR 5000 ps; + I14 <= '0'; + WAIT FOR 5000 ps; + I14 <= '1'; + WAIT FOR 5000 ps; + I14 <= '0'; + WAIT FOR 30000 ps; + I14 <= '1'; + WAIT FOR 5000 ps; + I14 <= '0'; + WAIT FOR 15000 ps; + I14 <= '1'; + WAIT FOR 5000 ps; + I14 <= '0'; + WAIT FOR 10000 ps; + I14 <= '1'; + WAIT FOR 35000 ps; + I14 <= '0'; + WAIT FOR 5000 ps; + I14 <= '1'; + WAIT FOR 10000 ps; + I14 <= '0'; + WAIT FOR 5000 ps; + I14 <= '1'; + WAIT FOR 15000 ps; + I14 <= '0'; + WAIT FOR 5000 ps; + I14 <= '1'; + WAIT FOR 10000 ps; + I14 <= '0'; + WAIT FOR 5000 ps; + I14 <= '1'; + WAIT FOR 5000 ps; + I14 <= '0'; + WAIT FOR 20000 ps; + I14 <= '1'; + WAIT FOR 5000 ps; + I14 <= '0'; + WAIT FOR 10000 ps; + I14 <= '1'; + WAIT FOR 20000 ps; + I14 <= '0'; + WAIT FOR 5000 ps; + I14 <= '1'; + WAIT FOR 40000 ps; + I14 <= '0'; + WAIT FOR 25000 ps; + I14 <= '1'; + WAIT FOR 5000 ps; + I14 <= '0'; + WAIT FOR 5000 ps; + I14 <= '1'; + WAIT FOR 5000 ps; + I14 <= '0'; + WAIT FOR 5000 ps; + I14 <= '1'; +WAIT; +END PROCESS t_prcs_I14; + +-- I15 +t_prcs_I15: PROCESS +BEGIN + I15 <= '0'; + WAIT FOR 10000 ps; + I15 <= '1'; + WAIT FOR 30000 ps; + I15 <= '0'; + WAIT FOR 5000 ps; + I15 <= '1'; + WAIT FOR 25000 ps; + I15 <= '0'; + WAIT FOR 50000 ps; + I15 <= '1'; + WAIT FOR 5000 ps; + I15 <= '0'; + WAIT FOR 10000 ps; + I15 <= '1'; + WAIT FOR 10000 ps; + I15 <= '0'; + WAIT FOR 10000 ps; + I15 <= '1'; + WAIT FOR 10000 ps; + I15 <= '0'; + WAIT FOR 5000 ps; + I15 <= '1'; + WAIT FOR 35000 ps; + I15 <= '0'; + WAIT FOR 5000 ps; + I15 <= '1'; + WAIT FOR 15000 ps; + I15 <= '0'; + WAIT FOR 10000 ps; + I15 <= '1'; + WAIT FOR 10000 ps; + I15 <= '0'; + WAIT FOR 10000 ps; + I15 <= '1'; + WAIT FOR 5000 ps; + I15 <= '0'; + WAIT FOR 5000 ps; + I15 <= '1'; + WAIT FOR 5000 ps; + I15 <= '0'; + WAIT FOR 5000 ps; + I15 <= '1'; + WAIT FOR 5000 ps; + I15 <= '0'; + WAIT FOR 15000 ps; + I15 <= '1'; + WAIT FOR 5000 ps; + I15 <= '0'; + WAIT FOR 50000 ps; + I15 <= '1'; + WAIT FOR 5000 ps; + I15 <= '0'; + WAIT FOR 10000 ps; + I15 <= '1'; + WAIT FOR 25000 ps; + I15 <= '0'; + WAIT FOR 5000 ps; + I15 <= '1'; + WAIT FOR 10000 ps; + I15 <= '0'; + WAIT FOR 5000 ps; + I15 <= '1'; + WAIT FOR 5000 ps; + I15 <= '0'; + WAIT FOR 5000 ps; + I15 <= '1'; + WAIT FOR 15000 ps; + I15 <= '0'; + WAIT FOR 15000 ps; + I15 <= '1'; + WAIT FOR 10000 ps; + I15 <= '0'; + WAIT FOR 10000 ps; + I15 <= '1'; + WAIT FOR 10000 ps; + I15 <= '0'; + WAIT FOR 15000 ps; + I15 <= '1'; + WAIT FOR 20000 ps; + I15 <= '0'; + WAIT FOR 5000 ps; + I15 <= '1'; + WAIT FOR 20000 ps; + I15 <= '0'; + WAIT FOR 20000 ps; + I15 <= '1'; + WAIT FOR 5000 ps; + I15 <= '0'; + WAIT FOR 5000 ps; + I15 <= '1'; + WAIT FOR 25000 ps; + I15 <= '0'; + WAIT FOR 5000 ps; + I15 <= '1'; + WAIT FOR 5000 ps; + I15 <= '0'; + WAIT FOR 20000 ps; + I15 <= '1'; + WAIT FOR 10000 ps; + I15 <= '0'; + WAIT FOR 15000 ps; + I15 <= '1'; + WAIT FOR 5000 ps; + I15 <= '0'; + WAIT FOR 5000 ps; + I15 <= '1'; + WAIT FOR 5000 ps; + I15 <= '0'; + WAIT FOR 10000 ps; + I15 <= '1'; + WAIT FOR 5000 ps; + I15 <= '0'; + WAIT FOR 5000 ps; + I15 <= '1'; + WAIT FOR 5000 ps; + I15 <= '0'; + WAIT FOR 30000 ps; + I15 <= '1'; + WAIT FOR 5000 ps; + I15 <= '0'; + WAIT FOR 15000 ps; + I15 <= '1'; + WAIT FOR 5000 ps; + I15 <= '0'; + WAIT FOR 10000 ps; + I15 <= '1'; + WAIT FOR 35000 ps; + I15 <= '0'; + WAIT FOR 5000 ps; + I15 <= '1'; + WAIT FOR 10000 ps; + I15 <= '0'; + WAIT FOR 5000 ps; + I15 <= '1'; + WAIT FOR 15000 ps; + I15 <= '0'; + WAIT FOR 5000 ps; + I15 <= '1'; + WAIT FOR 10000 ps; + I15 <= '0'; + WAIT FOR 5000 ps; + I15 <= '1'; + WAIT FOR 5000 ps; + I15 <= '0'; + WAIT FOR 20000 ps; + I15 <= '1'; + WAIT FOR 5000 ps; + I15 <= '0'; + WAIT FOR 10000 ps; + I15 <= '1'; + WAIT FOR 20000 ps; + I15 <= '0'; + WAIT FOR 5000 ps; + I15 <= '1'; + WAIT FOR 40000 ps; + I15 <= '0'; + WAIT FOR 25000 ps; + I15 <= '1'; + WAIT FOR 5000 ps; + I15 <= '0'; + WAIT FOR 5000 ps; + I15 <= '1'; + WAIT FOR 5000 ps; + I15 <= '0'; + WAIT FOR 5000 ps; + I15 <= '1'; +WAIT; +END PROCESS t_prcs_I15; + +-- Sel1 +t_prcs_Sel1: PROCESS +BEGIN + Sel1 <= '0'; + WAIT FOR 400000 ps; + Sel1 <= '1'; + WAIT FOR 400000 ps; + Sel1 <= '0'; +WAIT; +END PROCESS t_prcs_Sel1; + +-- Sel2 +t_prcs_Sel2: PROCESS +BEGIN + FOR i IN 1 TO 2 + LOOP + Sel2 <= '0'; + WAIT FOR 200000 ps; + Sel2 <= '1'; + WAIT FOR 200000 ps; + END LOOP; + Sel2 <= '0'; +WAIT; +END PROCESS t_prcs_Sel2; + +-- Sel3 +t_prcs_Sel3: PROCESS +BEGIN +LOOP + Sel3 <= '0'; + WAIT FOR 100000 ps; + Sel3 <= '1'; + WAIT FOR 100000 ps; + IF (NOW >= 1000000 ps) THEN WAIT; END IF; +END LOOP; +END PROCESS t_prcs_Sel3; + +-- Sel4 +t_prcs_Sel4: PROCESS +BEGIN +LOOP + Sel4 <= '0'; + WAIT FOR 50000 ps; + Sel4 <= '1'; + WAIT FOR 50000 ps; + IF (NOW >= 1000000 ps) THEN WAIT; END IF; +END LOOP; +END PROCESS t_prcs_Sel4; +END Mux16_1_arch; diff --git a/1ano/isd/quartus-projects/MuxDemo/simulation/qsim/transcript b/1ano/isd/quartus-projects/MuxDemo/simulation/qsim/transcript index 0e84f7f..788a77d 100644 --- a/1ano/isd/quartus-projects/MuxDemo/simulation/qsim/transcript +++ b/1ano/isd/quartus-projects/MuxDemo/simulation/qsim/transcript @@ -1,7 +1,7 @@ # do MuxDemo.do # ** Warning: (vlib-34) Library already exists at "work". # Model Technology ModelSim - Intel FPGA Edition vcom 2020.1 Compiler 2020.02 Feb 28 2020 -# Start time: 14:55:37 on Nov 18,2022 +# Start time: 23:43:19 on Jan 25,2023 # vcom -work work MuxDemo.vho # -- Loading package STANDARD # -- Loading package TEXTIO @@ -14,34 +14,218 @@ # -- Compiling architecture structure of hard_block # -- Compiling entity Mux16_1 # -- Compiling architecture structure of Mux16_1 -# End time: 14:55:37 on Nov 18,2022, Elapsed time: 0:00:00 +# End time: 23:43:20 on Jan 25,2023, Elapsed time: 0:00:01 # Errors: 0, Warnings: 0 # Model Technology ModelSim - Intel FPGA Edition vcom 2020.1 Compiler 2020.02 Feb 28 2020 -# Start time: 14:55:37 on Nov 18,2022 -# vcom -work work Waveform.vwf.vht +# Start time: 23:43:20 on Jan 25,2023 +# vcom -work work Waveform1.vwf.vht # -- Loading package STANDARD # -- Loading package TEXTIO # -- Loading package std_logic_1164 # -- Compiling entity Mux16_1_vhd_vec_tst # -- Compiling architecture Mux16_1_arch of Mux16_1_vhd_vec_tst -# End time: 14:55:37 on Nov 18,2022, Elapsed time: 0:00:00 -# Errors: 0, Warnings: 0 -# vsim -c -t 1ps -L cycloneive -L altera -L altera_mf -L 220model -L sgate -L altera_lnsim work.Mux16_1_vhd_vec_tst -# Start time: 14:55:37 on Nov 18,2022 -# Loading std.standard -# Loading std.textio(body) -# Loading ieee.std_logic_1164(body) -# Loading work.mux16_1_vhd_vec_tst(mux16_1_arch) -# Loading ieee.vital_timing(body) -# Loading ieee.vital_primitives(body) -# Loading cycloneive.cycloneive_atom_pack(body) -# Loading cycloneive.cycloneive_components -# Loading work.mux16_1(structure) -# Loading work.hard_block(structure) -# Loading ieee.std_logic_arith(body) -# Loading cycloneive.cycloneive_io_obuf(arch) -# Loading cycloneive.cycloneive_io_ibuf(arch) -# Loading cycloneive.cycloneive_lcell_comb(vital_lcell_comb) -# after#33 -# End time: 14:55:38 on Nov 18,2022, Elapsed time: 0:00:01 -# Errors: 0, Warnings: 0 +# ** Error: Waveform1.vwf.vht(84): Symbol "I1" has already been declared in this region. +# ** Error: Waveform1.vwf.vht(88): (vcom-1590) Bad expression in actual part of association element 'i1'. +# ** Error: Waveform1.vwf.vht(322): Illegal target for signal assignment. +# ** Error: Waveform1.vwf.vht(322): Bad target (component instantiation "i1") for signal assignment. +# ** Error: Waveform1.vwf.vht(324): Illegal target for signal assignment. +# ** Error: Waveform1.vwf.vht(324): Bad target (component instantiation "i1") for signal assignment. +# ** Error: Waveform1.vwf.vht(326): Illegal target for signal assignment. +# ** Error: Waveform1.vwf.vht(326): Bad target (component instantiation "i1") for signal assignment. +# ** Error: Waveform1.vwf.vht(328): Illegal target for signal assignment. +# ** Error: Waveform1.vwf.vht(328): Bad target (component instantiation "i1") for signal assignment. +# ** Error: Waveform1.vwf.vht(330): Illegal target for signal assignment. +# ** Error: Waveform1.vwf.vht(330): Bad target (component instantiation "i1") for signal assignment. +# ** Error: Waveform1.vwf.vht(332): Illegal target for signal assignment. +# ** Error: Waveform1.vwf.vht(332): Bad target (component instantiation "i1") for signal assignment. +# ** Error: Waveform1.vwf.vht(334): Illegal target for signal assignment. +# ** Error: Waveform1.vwf.vht(334): Bad target (component instantiation "i1") for signal assignment. +# ** Error: Waveform1.vwf.vht(336): Illegal target for signal assignment. +# ** Error: Waveform1.vwf.vht(336): Bad target (component instantiation "i1") for signal assignment. +# ** Error: Waveform1.vwf.vht(338): Illegal target for signal assignment. +# ** Error: Waveform1.vwf.vht(338): Bad target (component instantiation "i1") for signal assignment. +# ** Error: Waveform1.vwf.vht(340): Illegal target for signal assignment. +# ** Error: Waveform1.vwf.vht(340): Bad target (component instantiation "i1") for signal assignment. +# ** Error: Waveform1.vwf.vht(342): Illegal target for signal assignment. +# ** Error: Waveform1.vwf.vht(342): Bad target (component instantiation "i1") for signal assignment. +# ** Error: Waveform1.vwf.vht(344): Illegal target for signal assignment. +# ** Error: Waveform1.vwf.vht(344): Bad target (component instantiation "i1") for signal assignment. +# ** Error: Waveform1.vwf.vht(346): Illegal target for signal assignment. +# ** Error: Waveform1.vwf.vht(346): Bad target (component instantiation "i1") for signal assignment. +# ** Error: Waveform1.vwf.vht(348): Illegal target for signal assignment. +# ** Error: Waveform1.vwf.vht(348): Bad target (component instantiation "i1") for signal assignment. +# ** Error: Waveform1.vwf.vht(350): Illegal target for signal assignment. +# ** Error: Waveform1.vwf.vht(350): Bad target (component instantiation "i1") for signal assignment. +# ** Error: Waveform1.vwf.vht(352): Illegal target for signal assignment. +# ** Error: Waveform1.vwf.vht(352): Bad target (component instantiation "i1") for signal assignment. +# ** Error: Waveform1.vwf.vht(354): Illegal target for signal assignment. +# ** Error: Waveform1.vwf.vht(354): Bad target (component instantiation "i1") for signal assignment. +# ** Error: Waveform1.vwf.vht(356): Illegal target for signal assignment. +# ** Error: Waveform1.vwf.vht(356): Bad target (component instantiation "i1") for signal assignment. +# ** Error: Waveform1.vwf.vht(358): Illegal target for signal assignment. +# ** Error: Waveform1.vwf.vht(358): Bad target (component instantiation "i1") for signal assignment. +# ** Error: Waveform1.vwf.vht(360): Illegal target for signal assignment. +# ** Error: Waveform1.vwf.vht(360): Bad target (component instantiation "i1") for signal assignment. +# ** Error: Waveform1.vwf.vht(362): Illegal target for signal assignment. +# ** Error: Waveform1.vwf.vht(362): Bad target (component instantiation "i1") for signal assignment. +# ** Error: Waveform1.vwf.vht(364): Illegal target for signal assignment. +# ** Error: Waveform1.vwf.vht(364): Bad target (component instantiation "i1") for signal assignment. +# ** Error: Waveform1.vwf.vht(366): Illegal target for signal assignment. +# ** Error: Waveform1.vwf.vht(366): Bad target (component instantiation "i1") for signal assignment. +# ** Error: Waveform1.vwf.vht(368): Illegal target for signal assignment. +# ** Error: Waveform1.vwf.vht(368): Bad target (component instantiation "i1") for signal assignment. +# ** Error: Waveform1.vwf.vht(370): Illegal target for signal assignment. +# ** Error: Waveform1.vwf.vht(370): Bad target (component instantiation "i1") for signal assignment. +# ** Error: Waveform1.vwf.vht(372): Illegal target for signal assignment. +# ** Error: Waveform1.vwf.vht(372): Bad target (component instantiation "i1") for signal assignment. +# ** Error: Waveform1.vwf.vht(374): Illegal target for signal assignment. +# ** Error: Waveform1.vwf.vht(374): Bad target (component instantiation "i1") for signal assignment. +# ** Error: Waveform1.vwf.vht(376): Illegal target for signal assignment. +# ** Error: Waveform1.vwf.vht(376): Bad target (component instantiation "i1") for signal assignment. +# ** Error: Waveform1.vwf.vht(378): Illegal target for signal assignment. +# ** Error: Waveform1.vwf.vht(378): Bad target (component instantiation "i1") for signal assignment. +# ** Error: Waveform1.vwf.vht(380): Illegal target for signal assignment. +# ** Error: Waveform1.vwf.vht(380): Bad target (component instantiation "i1") for signal assignment. +# ** Error: Waveform1.vwf.vht(382): Illegal target for signal assignment. +# ** Error: Waveform1.vwf.vht(382): Bad target (component instantiation "i1") for signal assignment. +# ** Error: Waveform1.vwf.vht(384): Illegal target for signal assignment. +# ** Error: Waveform1.vwf.vht(384): Bad target (component instantiation "i1") for signal assignment. +# ** Error: Waveform1.vwf.vht(386): Illegal target for signal assignment. +# ** Error: Waveform1.vwf.vht(386): Bad target (component instantiation "i1") for signal assignment. +# ** Error: Waveform1.vwf.vht(388): Illegal target for signal assignment. +# ** Error: Waveform1.vwf.vht(388): Bad target (component instantiation "i1") for signal assignment. +# ** Error: Waveform1.vwf.vht(390): Illegal target for signal assignment. +# ** Error: Waveform1.vwf.vht(390): Bad target (component instantiation "i1") for signal assignment. +# ** Error: Waveform1.vwf.vht(392): Illegal target for signal assignment. +# ** Error: Waveform1.vwf.vht(392): Bad target (component instantiation "i1") for signal assignment. +# ** Error: Waveform1.vwf.vht(394): Illegal target for signal assignment. +# ** Error: Waveform1.vwf.vht(394): Bad target (component instantiation "i1") for signal assignment. +# ** Error: Waveform1.vwf.vht(396): Illegal target for signal assignment. +# ** Error: Waveform1.vwf.vht(396): Bad target (component instantiation "i1") for signal assignment. +# ** Error: Waveform1.vwf.vht(398): Illegal target for signal assignment. +# ** Error: Waveform1.vwf.vht(398): Bad target (component instantiation "i1") for signal assignment. +# ** Error: Waveform1.vwf.vht(400): Illegal target for signal assignment. +# ** Error: Waveform1.vwf.vht(400): Bad target (component instantiation "i1") for signal assignment. +# ** Error: Waveform1.vwf.vht(402): Illegal target for signal assignment. +# ** Error: Waveform1.vwf.vht(402): Bad target (component instantiation "i1") for signal assignment. +# ** Error: Waveform1.vwf.vht(404): Illegal target for signal assignment. +# ** Error: Waveform1.vwf.vht(404): Bad target (component instantiation "i1") for signal assignment. +# ** Error: Waveform1.vwf.vht(406): Illegal target for signal assignment. +# ** Error: Waveform1.vwf.vht(406): Bad target (component instantiation "i1") for signal assignment. +# ** Error: Waveform1.vwf.vht(408): Illegal target for signal assignment. +# ** Error: Waveform1.vwf.vht(408): Bad target (component instantiation "i1") for signal assignment. +# ** Error: Waveform1.vwf.vht(410): Illegal target for signal assignment. +# ** Error: Waveform1.vwf.vht(410): Bad target (component instantiation "i1") for signal assignment. +# ** Error: Waveform1.vwf.vht(412): Illegal target for signal assignment. +# ** Error: Waveform1.vwf.vht(412): Bad target (component instantiation "i1") for signal assignment. +# ** Error: Waveform1.vwf.vht(414): Illegal target for signal assignment. +# ** Error: Waveform1.vwf.vht(414): Bad target (component instantiation "i1") for signal assignment. +# ** Error: Waveform1.vwf.vht(416): Illegal target for signal assignment. +# ** Error: Waveform1.vwf.vht(416): Bad target (component instantiation "i1") for signal assignment. +# ** Error: Waveform1.vwf.vht(418): Illegal target for signal assignment. +# ** Error: Waveform1.vwf.vht(418): Bad target (component instantiation "i1") for signal assignment. +# ** Error: Waveform1.vwf.vht(420): Illegal target for signal assignment. +# ** Error: Waveform1.vwf.vht(420): Bad target (component instantiation "i1") for signal assignment. +# ** Error: Waveform1.vwf.vht(422): Illegal target for signal assignment. +# ** Error: Waveform1.vwf.vht(422): Bad target (component instantiation "i1") for signal assignment. +# ** Error: Waveform1.vwf.vht(424): Illegal target for signal assignment. +# ** Error: Waveform1.vwf.vht(424): Bad target (component instantiation "i1") for signal assignment. +# ** Error: Waveform1.vwf.vht(426): Illegal target for signal assignment. +# ** Error: Waveform1.vwf.vht(426): Bad target (component instantiation "i1") for signal assignment. +# ** Error: Waveform1.vwf.vht(428): Illegal target for signal assignment. +# ** Error: Waveform1.vwf.vht(428): Bad target (component instantiation "i1") for signal assignment. +# ** Error: Waveform1.vwf.vht(430): Illegal target for signal assignment. +# ** Error: Waveform1.vwf.vht(430): Bad target (component instantiation "i1") for signal assignment. +# ** Error: Waveform1.vwf.vht(432): Illegal target for signal assignment. +# ** Error: Waveform1.vwf.vht(432): Bad target (component instantiation "i1") for signal assignment. +# ** Error: Waveform1.vwf.vht(434): Illegal target for signal assignment. +# ** Error: Waveform1.vwf.vht(434): Bad target (component instantiation "i1") for signal assignment. +# ** Error: Waveform1.vwf.vht(436): Illegal target for signal assignment. +# ** Error: Waveform1.vwf.vht(436): Bad target (component instantiation "i1") for signal assignment. +# ** Error: Waveform1.vwf.vht(438): Illegal target for signal assignment. +# ** Error: Waveform1.vwf.vht(438): Bad target (component instantiation "i1") for signal assignment. +# ** Error: Waveform1.vwf.vht(440): Illegal target for signal assignment. +# ** Error: Waveform1.vwf.vht(440): Bad target (component instantiation "i1") for signal assignment. +# ** Error: Waveform1.vwf.vht(442): Illegal target for signal assignment. +# ** Error: Waveform1.vwf.vht(442): Bad target (component instantiation "i1") for signal assignment. +# ** Error: Waveform1.vwf.vht(444): Illegal target for signal assignment. +# ** Error: Waveform1.vwf.vht(444): Bad target (component instantiation "i1") for signal assignment. +# ** Error: Waveform1.vwf.vht(446): Illegal target for signal assignment. +# ** Error: Waveform1.vwf.vht(446): Bad target (component instantiation "i1") for signal assignment. +# ** Error: Waveform1.vwf.vht(448): Illegal target for signal assignment. +# ** Error: Waveform1.vwf.vht(448): Bad target (component instantiation "i1") for signal assignment. +# ** Error: Waveform1.vwf.vht(450): Illegal target for signal assignment. +# ** Error: Waveform1.vwf.vht(450): Bad target (component instantiation "i1") for signal assignment. +# ** Error: Waveform1.vwf.vht(452): Illegal target for signal assignment. +# ** Error: Waveform1.vwf.vht(452): Bad target (component instantiation "i1") for signal assignment. +# ** Error: Waveform1.vwf.vht(454): Illegal target for signal assignment. +# ** Error: Waveform1.vwf.vht(454): Bad target (component instantiation "i1") for signal assignment. +# ** Error: Waveform1.vwf.vht(456): Illegal target for signal assignment. +# ** Error: Waveform1.vwf.vht(456): Bad target (component instantiation "i1") for signal assignment. +# ** Error: Waveform1.vwf.vht(458): Illegal target for signal assignment. +# ** Error: Waveform1.vwf.vht(458): Bad target (component instantiation "i1") for signal assignment. +# ** Error: Waveform1.vwf.vht(460): Illegal target for signal assignment. +# ** Error: Waveform1.vwf.vht(460): Bad target (component instantiation "i1") for signal assignment. +# ** Error: Waveform1.vwf.vht(462): Illegal target for signal assignment. +# ** Error: Waveform1.vwf.vht(462): Bad target (component instantiation "i1") for signal assignment. +# ** Error: Waveform1.vwf.vht(464): Illegal target for signal assignment. +# ** Error: Waveform1.vwf.vht(464): Bad target (component instantiation "i1") for signal assignment. +# ** Error: Waveform1.vwf.vht(466): Illegal target for signal assignment. +# ** Error: Waveform1.vwf.vht(466): Bad target (component instantiation "i1") for signal assignment. +# ** Error: Waveform1.vwf.vht(468): Illegal target for signal assignment. +# ** Error: Waveform1.vwf.vht(468): Bad target (component instantiation "i1") for signal assignment. +# ** Error: Waveform1.vwf.vht(470): Illegal target for signal assignment. +# ** Error: Waveform1.vwf.vht(470): Bad target (component instantiation "i1") for signal assignment. +# ** Error: Waveform1.vwf.vht(472): Illegal target for signal assignment. +# ** Error: Waveform1.vwf.vht(472): Bad target (component instantiation "i1") for signal assignment. +# ** Error: Waveform1.vwf.vht(474): Illegal target for signal assignment. +# ** Error: Waveform1.vwf.vht(474): Bad target (component instantiation "i1") for signal assignment. +# ** Error: Waveform1.vwf.vht(476): Illegal target for signal assignment. +# ** Error: Waveform1.vwf.vht(476): Bad target (component instantiation "i1") for signal assignment. +# ** Error: Waveform1.vwf.vht(478): Illegal target for signal assignment. +# ** Error: Waveform1.vwf.vht(478): Bad target (component instantiation "i1") for signal assignment. +# ** Error: Waveform1.vwf.vht(480): Illegal target for signal assignment. +# ** Error: Waveform1.vwf.vht(480): Bad target (component instantiation "i1") for signal assignment. +# ** Error: Waveform1.vwf.vht(482): Illegal target for signal assignment. +# ** Error: Waveform1.vwf.vht(482): Bad target (component instantiation "i1") for signal assignment. +# ** Error: Waveform1.vwf.vht(484): Illegal target for signal assignment. +# ** Error: Waveform1.vwf.vht(484): Bad target (component instantiation "i1") for signal assignment. +# ** Error: Waveform1.vwf.vht(486): Illegal target for signal assignment. +# ** Error: Waveform1.vwf.vht(486): Bad target (component instantiation "i1") for signal assignment. +# ** Error: Waveform1.vwf.vht(488): Illegal target for signal assignment. +# ** Error: Waveform1.vwf.vht(488): Bad target (component instantiation "i1") for signal assignment. +# ** Error: Waveform1.vwf.vht(490): Illegal target for signal assignment. +# ** Error: Waveform1.vwf.vht(490): Bad target (component instantiation "i1") for signal assignment. +# ** Error: Waveform1.vwf.vht(492): Illegal target for signal assignment. +# ** Error: Waveform1.vwf.vht(492): Bad target (component instantiation "i1") for signal assignment. +# ** Error: Waveform1.vwf.vht(494): Illegal target for signal assignment. +# ** Error: Waveform1.vwf.vht(494): Bad target (component instantiation "i1") for signal assignment. +# ** Error: Waveform1.vwf.vht(496): Illegal target for signal assignment. +# ** Error: Waveform1.vwf.vht(496): Bad target (component instantiation "i1") for signal assignment. +# ** Error: Waveform1.vwf.vht(498): Illegal target for signal assignment. +# ** Error: Waveform1.vwf.vht(498): Bad target (component instantiation "i1") for signal assignment. +# ** Error: Waveform1.vwf.vht(500): Illegal target for signal assignment. +# ** Error: Waveform1.vwf.vht(500): Bad target (component instantiation "i1") for signal assignment. +# ** Error: Waveform1.vwf.vht(502): Illegal target for signal assignment. +# ** Error: Waveform1.vwf.vht(502): Bad target (component instantiation "i1") for signal assignment. +# ** Error: Waveform1.vwf.vht(504): Illegal target for signal assignment. +# ** Error: Waveform1.vwf.vht(504): Bad target (component instantiation "i1") for signal assignment. +# ** Error: Waveform1.vwf.vht(506): Illegal target for signal assignment. +# ** Error: Waveform1.vwf.vht(506): Bad target (component instantiation "i1") for signal assignment. +# ** Error: Waveform1.vwf.vht(508): Illegal target for signal assignment. +# ** Error: Waveform1.vwf.vht(508): Bad target (component instantiation "i1") for signal assignment. +# ** Error: Waveform1.vwf.vht(510): Illegal target for signal assignment. +# ** Error: Waveform1.vwf.vht(510): Bad target (component instantiation "i1") for signal assignment. +# ** Error: Waveform1.vwf.vht(512): Illegal target for signal assignment. +# ** Error: Waveform1.vwf.vht(512): Bad target (component instantiation "i1") for signal assignment. +# ** Error: Waveform1.vwf.vht(514): Illegal target for signal assignment. +# ** Error: Waveform1.vwf.vht(514): Bad target (component instantiation "i1") for signal assignment. +# ** Error: Waveform1.vwf.vht(516): Illegal target for signal assignment. +# ** Error: Waveform1.vwf.vht(516): Bad target (component instantiation "i1") for signal assignment. +# ** Error: Waveform1.vwf.vht(518): Illegal target for signal assignment. +# ** Error: Waveform1.vwf.vht(518): Bad target (component instantiation "i1") for signal assignment. +# ** Note: Waveform1.vwf.vht(3376): VHDL Compiler exiting +# End time: 23:43:20 on Jan 25,2023, Elapsed time: 0:00:00 +# Errors: 200, Warnings: 0 +# ** Error: /home/tiagorg/intelFPGA_lite/20.1/modelsim_ase/linuxaloem/vcom failed. +# Executing ONERROR command at macro ./MuxDemo.do line 4 diff --git a/1ano/isd/quartus-projects/MuxDemo/simulation/qsim/work/_info b/1ano/isd/quartus-projects/MuxDemo/simulation/qsim/work/_info index 1e6c294..d5e1db2 100644 --- a/1ano/isd/quartus-projects/MuxDemo/simulation/qsim/work/_info +++ b/1ano/isd/quartus-projects/MuxDemo/simulation/qsim/work/_info @@ -11,14 +11,14 @@ z2 cModel Technology Z0 d/home/tiagorg/repos/uaveiro-leci/1ano/isd/quartus-projects/MuxDemo/simulation/qsim Ehard_block -Z1 w1668783336 +Z1 w1674690199 Z2 DPx4 ieee 16 vital_primitives 0 22 G>kiXP8Q9dRClKfK1Zn7j1 Z3 DPx10 cycloneive 20 cycloneive_atom_pack 0 22 WOh:M[al;oVzG5c`D0 Z4 DPx4 ieee 12 vital_timing 0 22 J>EBealN09f8GzldA[z2>3 Z5 DPx3 std 6 textio 0 22 zE1`LPoLg^DX3Oz^4Fj1K3 Z6 DPx4 ieee 14 std_logic_1164 0 22 cVAk:aDinOX8^VGI1ekP<3 Z7 DPx10 cycloneive 21 cycloneive_components 0 22 zGMDhP>8e@2k@f0emXi5[`cD`bFC`UBKA5o7W??azG@W@@eFOTF0 @@ -91,14 +91,14 @@ R4 R5 R6 R7 -!i122 6 +!i122 8 R0 R8 R9 l0 L78 1 -V_[<5QT[cKCKWO]4zYkAiW0 -!s100 AQ@MMf;YNBo^>T:5oe^i`2 +VWfhRR@YRlVTX;G^41GT2V0 +!s100 Hf0j32A73^aefVai0 -!s100 KIXkSbDN1e_>fZh5:WOQK0 +VFQ@fMU4PNS9mD5ThzPj:k0 +!s100 c]0_zE^;H?0K<37=MgF>S0 R10 32 R11 @@ -133,13 +133,13 @@ R14 R15 R16 Emux16_1_vhd_vec_tst -Z23 w1668783334 +Z23 w1674690198 R5 R6 -!i122 7 +!i122 9 R0 -Z24 8Waveform.vwf.vht -Z25 FWaveform.vwf.vht +8Waveform1.vwf.vht +FWaveform1.vwf.vht l0 L32 1 VmNT^X?j@RMXm0]Ya8nNl`1 @@ -148,9 +148,9 @@ R10 32 R11 !i10b 1 -R12 -Z26 !s90 -work|work|Waveform.vwf.vht| -!s107 Waveform.vwf.vht| +!s108 1674690200.000000 +!s90 -work|work|Waveform1.vwf.vht| +!s107 Waveform1.vwf.vht| !i113 1 R15 R16 @@ -165,14 +165,16 @@ VFBWFJ5RYmb>P@;VkJH>QP3 !s100 ?8VU68hai5dW6h^-@a#VJm1(uF1@vM}t3E_5Nfsw9Sm#+W#7Uh4wf%$Ygo+|S(HY?jSt*%=Pi zJm5X3X1QDAXsS}0RcIvA7Z3NuhvKQY*ilnSC?38ygz#OP@ZKj`cP$)M}Isq^-RM=DAu< z))p)$Nlr*LgV>n^HS?q>1WJ5>@IovqqKmR(_DWqglL+s=&-O%8=3hmdr)2Z&w?#$6 zlx%BsOE#$Zpf)vQV8TbzV9N>!ZLwWh)0%zIf*Jrt}+w~9aO3iw#*BcZ8qtOtX2x0oeV?tt}glt1}Ovr6#RY)J|6|Imx9Mb z!Re&na8R(@@zT6e<#yAT%Z0b*2Una6$9Rp<#Awi-%?aT@t7g2oI$b8Oco*)@9bqG> zAi+iy!1K9!HhI%eP=|cvtW%OXd*w@1^x#5Ht9NUaoEiORgIyTbc;qCfo)r@gf;uVO fE?=Ue4VUFj_|@7hvf|@;9UB!bIGfkvO#bd)%U3jb delta 964 zcmZvaOK1~O6ozwW#wM|wYTCT!afmi)^M0p`N*|~@m4e_xgfwZ>+G1>&Nt-Ifrsz%z zX|EfxmOx#ubfF6$D8zzvA&B6eJBgdRx!;}t|L>W@;gm{jsl=9f z)Uhm_Mjh4Bu0fZPa~e@DHJM3{XRc-P8HGJ=AQD5Rsq0Ec&MUgNn-2zmfXR65U%2TQ z@M?1U)V?0x!Bbd*2^avgR2IKV=OtchNH4{jh{Y*!kUtP@!cXB9zbiZzWMPQE!eicP z-xr{|_{5I)Z@yn}MGZ#8_plrj&Cbq;6UnfWmuJWFvvMYoQ?kr_d91Md4Y8uFJE$g| z495hU$z)ER%BJKSf$_A$eW$s0sic!ic&G*@-NU94Zy9l-iwkK5jcATDMjIthVuu@*3x+KkW1W#!EOIC|p31vy&1&IR zEPObYR-}7cti3y==F~<*_)*1g z)$42NKB9M;NiWQ&W-V{a@~W1ROp~Rr@aN*kqaM{%8}Q)Qw`}w$?LF?6=*Ac7<~GKv zR>GYNjbWWmC%}LXe!~GY;0t_!J$M1z@C-KKGF*gpcmr#2A9i5{?!p}?!W_)NRY<`( zI7{}Zue!J;R?9;O>2ZHWyyWq;aYlsPZi>D>ibR4U9;b-KD56n{NQ5FBrU->70s#uY zpTg_K8x@B!7^Gi5AKt4>Z=P9m3(I8-{)T6uZD0EFXzc`>$|nfBQ5;ul7FI6A z2yG}zPBTXa8PP6jC5#(2t371pcqjVLjs`L82q-{KJF8^(64yv)n) zJlU=;+|`A*x+t`bRiV&8%T8X!M}YCv9Dbco^Nai(pW?^)_k4^W;QROxZ|AMNiKlpm z_w!wRCx3_U;BWFR{8hf0Z{q9uYF^Ll2SjV$wyphXxs(ZH837xRJ>GaiPB=Fg@MY)1S3KqC*QD@_Kuh3huz!Zu(R9h zwaVY?oh~10z0CTYDfR~IW*b;LYhX!M>>OeDum#LvS?;WR#XaLrx`*67?x6d=+vjd~ zd)!WUt=s0Va7LXWx6aw^7CEUKMvimS7!b9$q@4nA5yB*4#hQ^=F5L)17EXlWn9~k- z2b?ruQt|>755WZOHcS;Ka8$;rip$e457tSVxl#1ifOLITgW)^LOH~blBbG>;h{`qL zTNz%6(!pLB4ok-l;t3eH#LFhKf|4;?bV$Uc7CnH{?Gf>!+!qr+8p}m1$Eet-j^WRP zL$+v>%VJV(UPBE(*y3R|t%S@Ve#sUo-dp--a)!SgR9R@HrLFXr{2AiZz-o*hj@`&0*L}#VXAq z*h9tBnoanOil;Oi@F^8fY9=s3MZ0DUpIG7vbq_v#EU)Bo_0B#Fvq4KdrkrZ{NPZPt zw5YeP%6knJJ!FeVl~+j?p~8GytW;iwaT+bT&lb(fD@U)R{JpklQuk3tXV4u7E%AuV zS-1>_Y|*I7O7SVQ=&ahI!ORNoxEMW%^7=9#G&K?w?G3l=>YZ-+u*F;Ft@7HuMz7vW zdX@3JydrN&{5Ef~x6q4wxn7vfva9R@JIN;5I2&anY>@S{ciG!)JKGX>nTSVOM{M|p zk%`?j2E>Gujt#>+oUo83fy&lL;n;o&Ndtx08c5-!iNX@@$4P<=2|iAkh{VnZ#RkHb zA-gh(5t6CJI6)AE4cUxI_)iAnL3__i2zu&YnFt#DA7yLdnwmP_kHTMSN;OQWOeOp* zZKklJfTTMi8>wId{#LdQt}9yuGiti*|35X%g{vBJVOm2D{GnkET+xsXziWuVWeql5 z(vSrgHBk6Xg9R7Tn!@m_h7kOs!G!Y~3^=EOz*!9#&d3u|?89kwQXft!TMZ|bt%4KE sR=`inmcx(IQrREJm0t$Olr4qHa5VU0B`~1^#c)*qJj0n+Hh1Iy0gP5GiU0rr delta 1108 zcmXAoS!_&E7{|}K=T7grbGxVpmGhmR2eEXSE;C(hi6Uu&qP9*uoz|4Lmadf2TBcKp zkXYJGLy$l88IsdHMf-|L@*>=iZy#!9ini&=?lf z9D$|OOhhiI#e@)0VMF~m?fCSm_?X{m_Ez` z%zVr|%-m!WR^e_VtUS3h73U777t?0(SBvIYaEUyh`j5nU<;5yE2PfeW^g$;?U>#J! zJScz}Fcs3k6o15b@kzWE&&4BgPuvh!#F#iGj)@_$S9FOM5fas6k?@O|@oSY6^hYAL zbc)wqo<=!k3ucY~t<5u|&R!4Y1}i7$$=mzR9xP?FhP>%^`mmJp+vLTq)ZHFR4Hn4C z9wv8Z^IdM^G%&e|_iD>*G8%Y~w)7D1)|OV!yR@a&@lFqoCf7JY-l2!y zTHdbB-oUqOzsY&CDuW~{O{d#LT6vC`V)zK$!^ zPP02Pm_KDYxtSidA~^Q1a5m!WmgJ0*(*sTumSUDqMprwHSc=TA@;!f*HiU*H|Qf@km;9>4@7 z;4)l*GcW>2AqE4`D+l7&B6kw8!_ydaknxKCau)3+7M(kgV!B_wY!9Ne&oU{cfvnw{ zlXq!m_G(VrshP1uGrdPMty?p-OVjDp^mb_4?V5bMrnSvw)IBI=s~&i^Xqs)BtX0!! zai7bkfz9}Mflb)_&Di`AM9D@(aTB7b5m6X+VN+iNHeU!)P>;y3L*!)zk-4?*PiWDK M{$v|Jcwor*4+jLmlmGw# diff --git a/1ano/isd/quartus-projects/MuxDemo/simulation/qsim/work/_lib1_1.qpg b/1ano/isd/quartus-projects/MuxDemo/simulation/qsim/work/_lib1_1.qpg index 8468ced0d4c902069c22c6a633c1db55a7f7c5ad..92f968fb2fa1261cf4f29319e9ccdd4bb93c271c 100644 GIT binary patch delta 53229 zcmeHw2Urxx8}}`T9J|NK9Yqc-a0&vV6tQ6iD|WDg0v-s6iUL-!9VBY7M8U#fi9&2( zEMN(sCW1i)E5s7)U@X`o*n%y7@9gdXuJF(I<&r#4o==`fXXiJwGw=N7eP?E8-rb9h z^^47&61$LEsIySKPeB zx=Y0WbsQaiZSRwLw?z;4zWZHPabGlAv*QnofzzmR>+N3MPaa$#nJ`_)zGH(h*?6Vc zcQHN1Vuz=$`>Lj*-OF#SZ7&@mSy|E`b;;6LSz>~CI{oct3-choG`FVl#^R0>eo$SL zShtc`ZqWoeD9jzj@5;Q)nz=sF7oS#jaC@}zsd$g82$c`In9u|ntCpE6To8;9kf7uYp zvPjtjy1_ydb%}WVrly@Dbl%OAZMZ7=(xu^e^YrD$>BGdow`~%$-6(9vAjK((lbhkr z)!nQbmTwT>-)MYgO0SBid~b2%srR!%KjD>$1!WqYaY`k7=sJL)R!joSj}Y z_0-|-BuiQ-^V}9KHk?`^UffJMIk2tyf`I)GC1O|eDK3Ky4Bz;PPyV8;MWO{J(U9d( zS&PHQm_tLBLuD-vn_vzNSq_yvhZ;;`Q%s^EOQNzCiOn#Ha+XB%S|nOx66Gw3<{XJE zhgO(FIm@AWEe=(fLpjT#c`Xi`V-Dp#-)MkD^I9afz$D6963uIoXpKp1#FA)Pi$oht zqS-Obp;avoTVf8CEQhLE9NJBaH!eZ)v^|ej+n$oEQyx2NOZy^HeyM% ztVN#|%iiAt74RV@sk9CN5?>rjhCH%ww9mPE^1BzCTw#4eb` z=By$*)Z(x!=Fp7g(5e=P-Rk5}Gpsu%u@Ot6Wi1kWU=o|NBs$b0(H)a$#*%1NP2##N z56oe6mP3bH9QMQQPb*rw5JK5_d*^NFviT@C3~ zkI><7_ZygeD|T=*-QPI#fN~R+6~nYyKQ*PDLBr`|#eRFuj?LTo(~hUqkRa({8``(m zdYMjFalevA5wf0V&06`}-WX}=@Ig0uPGpLVUSaxZ!KtY0BdkQ~uM^H?>yK|Dix%Be z_SRc*zq~=OMusEj(O!cz3s3c$FA@)ukIA)_NqY_G<8{PPchITx+wDc;bQJ4tY`vv@ zm-f{?VmP3`(}p(_M4Rs$t&eG*C^cHzZ$OUW-lo$pb^b!M`LOZkoLPxdzm=YQpBO$L zzTdg?P0{+fCZ}^ct)X+S^?$xa>iYfu@E*-X=jNI&I&veIzPomSYhS7B!|ye_CN~qs zE@-4Kk6S_8L=JSdkalQwdzVdPQAjJZuG>8((>ARKb+{@GYPBmpcc{oMSoyA(M@QP~ z@!+7V($-G>-*2Quuj9<$S@!;&p7D4{>s!*oxu*O5?ucIBuy}n-{yW|I!O+5G(zWd` zjq=MDy=&Td_pN|u^sOJf)*hFREV{&Ke4|BaO`H6B_3(E3%je!Bt)wHD7oB2>YKn=X zdo>z1a-P&{5YJOH%oEdVz;Lf4vTB|zF;7h2r6Y8Y$f|j=!aOlXOGggKkyZ1g!aOm4 zD@W~pB4c^dV4|91qL`d(qn~e)RTI?$6UE$J>*Ly221Ic@8DO3aY$9n_3q>_gGR#v$ zo7PN+tESaFHN-s0tR72)t{PYKo=cb|?EBDT3rt-?_V_OFi=2RSu-L!kF=jo8Y6##O!fJ(?%JdOczDPU@sfkcF;zRpGcqy^~+tnB*IeD)@Pm zi7Gg$|E6VDy(08QXPZq}`lQ=2!#jtATkemjz*17L{cKmyeU7DE{pikj9e4e5cD_FK z)Y5ivgxg7*78)UGB$9InRxSum6dvDVvUx{dR^|8Nj+W`v~9b1;_crY^{&rq zxo4)yiwm!z(3{id5)qRV%~oc%UmF+5KNuT!kKhNaBt>(@0buP2t$rQ*CI zzG3ToXRZtjtl%nW$!*CMymFVy}=AzoVyJN-~~%_!S8^vrnE;)C0U z539mbUYny{yeGsSOKCA+(Lw704|nZoObuxs7}r(o=)Q*0o8@9`*C;If3uDSGCCJ2F zJk5N>X1xVdY!{t385pHwXzCO*YhCL%1p8-tv^F0dxt!w41Y@MA8fSc zdg!d5O{ec!@!-iAETxsVx}##j&sfTox3+r>D@Hc-bEZCg6S)4Qcui#og`WR1D|BFW zKaJ}y%3y5Jo-}chj>bkW@4VfDJH~I$nZGbuTX69UjYFSVLyw3~`%Nl6o0xCxR6KcA z<6iOf)HXe^q$-)8s#tLWOWNa!L*7rKwL1Rqs0aSxFHbPR_d73;t)4q&WcI#gHdCqb zbEXFTD8D{s|0QGUrwD&Li!%l@kNMrz7ir+$o$9kG*Uw^6mr=~)%I&^oeH ziR7qs$$n;gicL%D@*{;!sXe`;77dd`q%3U6L`Jq8qNg`0XeMQRE@I?sNl?>aHyP!8 z+x(*4I=4PhKZQ*5=`A^Mw(|vM)pvFg+46Y95!AVo=_}UI*K;!(7`Xjp|K7p+L2%<_4h6MFBwD|4910z|3&{dwM?L@C+>ZZ6qUfZj8 zZ!D7HM)nR=i)NdY^k3vjg~&C5?V5=rCr2ICvx!hyJRi^_T}6HSMbLn@Vzf(=r#C3R z)sBtE>RwrGOrnOZZoNT&l|@G%QQyWh-406oH;mH{p0#MwS}dh+y5Fv(g72`D+thK& z3G07Ov+<#VE&N;m$ow%WVTY_^S%8tzDW^1h>X1%IzN_J^17<^w`cBk@+AKHq7`W@u zi7+hY+Ue?voi|LtVm{wyIa3AZ&p$Oj6+Ahw&!N=qb1ko8 zNyPzv%&%K3v7~_~&S_>O1nju4*-IUZ2zS53bUt(Iv@F+V_5}Zgl7@cNWuK{{wQ{$T z(q+c3Eh98@E$(;EE!8=PCB15?-t@NqVnxY0Wr}wf%1Y$aY={l*=~}3r)q#@u24ESJbh4A^m3jfQqdIqnB{0>kSzFzV4G_`kyy&PZ!~^iZJoaai}`k7@a}f`rGq6> zYN(z6x{XYV$4nzx@X-LP-^=HH*_bl43R$l-Tut>>7|mE7D!FAkDaJfGxfhl)@`So` zaQYWm%HmhuW0K>n%nWu=&&mS_Bk|pLPABwEy|K8x`=_GrSEy^!pj+F-g_p`l=pDH1 z=r~U)W+Wa+q{{Hs~$MYzMT~?XtK>Aui2FAlaS%34Gp_}QDUT&hn_Mw%`vqZ z>79V3eEdfJZujwKSjr~OPfWKCdXS&6K|{4u1*)WCyUy=+>b>fybXYL%ah06PLP193 z#TGdi*Xhk~;Iwb3k;}AiI~m)Ho4L84QzqOIiDoCwZ5=u$+IUUV$&N*XMuj`yUx+1L zn(6mV;~UXf(ih$dGR^66Ij`KQktp143bS`?+&-C8#=Om9^N#DbQhST1uGNuiCZrlR zGd>+1@oJ4lmLe7j{lxFX= zHBTj)s5nc;QQdNz_;9=Zn<$OW^yz)<=m`b}=M0`lJC8!vbKaRz)eK069 zKD*8rg+Dp}ZsQ%%o8kp8o8`VV?wmjQ)PMx*)K@93u%ypM`pNz9g-A5@diRSPyp?7v zwo)ZU;iaZb`^!#~p@&*&N_GccF!`05l|I#QrMxiu(L&?pmm^k0TD*@_D`Xe3sI88w z*H(I$U`c&^)8oqY55|tVN%_x@Ja|?TK0LcSvwwP<*`3#=Ck~=oct?$5Bmr#_Z!jtk z=ds2CU7o6`+@y%$8IsxO#7`MnnC(VlAJYaSjRYGAbLx#twSi2(wT;FGVsPMcTgU0v z*gkBqeat8J5sU4^@b9q?&7T>_$Mzww%RXH94K=~`VT$b|p4dkmwhzNKO@kdT-j8K% zqq3H5tdaFNJ?@8BA`KXaKWiL|_Q@hL0yay`XS2o;vR21%eCp6A7DXj&BvUJ-VwXbDZW2_c!QAS-+@YBXh^$SjV6ZFlfo3i2a14dn3G;rJ$>ZidWKKX_jXQy8<(%Bn2soeB?!%Hv6Y{nWh zoKefR-%7<&9?83HIO6f`(@vAACIx=%Z?i5#$+3(u$1esXw-@8&BL&mMgC5OU&Y?kyO&M*HVS+{UwmhD_gQ+E-kLT!Vt;uv`%i-i1k^6{+WBPO)D)XEid^^X6wYv0f zemk#xHx^5|F4S}G^X=aRUOGbsjr1QnggF-On=jjC}~{p9NRdKdR#Da9_vx}(Z9wO<HqIn!*&Mu(Td;B`wow5px`tnWO59(8?X-&pae@qNt@)fhEB0)#yoVhsA=(%0n zF_dMOscX{ZvlMbmD0F?JH@Ye-2Nbo+I}z6 zV4CEQv`t?zSIas)ZqhP$(l6Bd-=gv>C7S3pRYRFW6I$Mxvn^%REo#`5h@NL9&HR!J znSGS)1dDZPoBXJY-qWlbNETd8*vq&Cb{uQu?Vwas`_@hG{Utr?i1B#?*~Csq;;oBy zc66}O{5q}clV}UG7NbOxH(xbRJ)|#7G!Bk#w`>vCn!!8#p3J^*6gSdDl_;L*;Ht@X z8AaK=_3yZo8R`&wMCRrd@LcDn>OldO+9+h^G{exn=6@LNhzWK1!c?>~YtL+Z+{#5^ z>Iuu{%*Oq3|GN=8J7k@o|C*-uN&+_pi7%w~G1Ut`VQ$<530ml^!f(r zjXmOa?S|3L5ly{~e@@=9*vshT)>&^gB5$YoJ}>eDj2&i7zBEwxJJpteE?89M0KdcE z9(agFjhO8eywK~OUhrXRx?{N42d3Tp?$=}&9hS5_vh2*!rqqdEQyW^#OA1~rGM;ua zA~?cgl&0vdfd)(3#ZbMpVs9aqbYEW`jrZ*X4*jN5J?BLZye?Th$T*kLh}X5Bl^Acn zVlZ{XJ?e<5Kta8*tLy~eWaHIHqs(W)?9*Z1ol7Py4oL^b!L(gwc*Ez zo0XE1wJ%08nv?Ag%-R+*dL`vKW!mjC5~IHU6Peqx&J%7WS1t6TW_V4vv!oBt$=zb$ zvbD?1vDSmT2Y#?I)*OBFMbp>MD>{q1bX@jmTFZ6~M_&y#N$cAScjuh+!_6^_?jjc_yHHzHIQN22|MbkOxByQ$lA38BIDGdiyui zt`p$-$`to!uT-_vEGrYYvfatB2_J%od-TYqe%cT?e5+V=Hl{>xw`H@$w-s52JE`NH zf;z7eZ$7;;Q}1MK*QO@MCkl#?3H4&bz~d`Z`yI6toi$$mWjD?4W3qeug7sPiJ;P!a zU-7fuG_3^|Q~c=Ow`N_hp5JXpJvH)A>cIGv{oYj8uU~-0%%0;~s3_6Wkf3ZsMZ*aX zjD|D}-Tlt=RIf&D)$B&bvqH7`#?>M$<=jh~dLf6BtQBcgu{?0Lsd(tEmGAV9do{6; zdE9EMqBLbof@UrjPkeg+54}ONy6u{0bg|cqmc~QwO^HZ&;cEHI1X16+U$-53w7=|_ zIJm#dn#)+qoFuhbYYiFe`LU8?^g1(U#QI6 zA(5_z?T^~MHOg)kdVIgB$H5(Arm@d@m!s9AR%k-3v6#l|O%Jy0b*k%5b83@LV4REC zHbIxso6)JM{^F3S!(1t|-9b$Y#kb8zCFupc?;d~FXoI(oj&aNMd+UrdJ--e$6pfg= zqS2j-vBvFeC#&Y1AC{xCw8oO^|LFJQulL_zNdu-icZ>|&VLoFhH7g<lTQ+n$y#EcCV!@Ga^sM{Re?bd$k1$n<+#*%)!PtslI z*&;`uZq)a%Mfj5>DZ5b|4aI^}UE1m@pu-ZNr9*=LTOm(%BHV(%-=E(TT%*aVt04 zHlBQJ%NK1`@2M68{Kp+)PPSn#%2t&G^o&2Z^l1!Ls2B2nhT-Fr`(_z6dLF8>*O=-x zzV+h=_F>OZq&_1){T{dSchRuM0XzE5ogPT(t_*Z47yrcc+Nx)8-EvXhkwyhSQaLk% zUJn;<%W|Bicj1t`_d#Ro`mSpx$6Ql89$mG$tl1;c75l{V8z)^hUjN7B^nq}}E_0}%@Dq=RjTAo{n+$qEa}xzOJlArwcPUR4mIqT$iY)2zxi!A z!7Qoldc)jp^`?8&waTcA4J7j~eK(F-7S!Xdy?3HnF4c2gM8D;d!GqGzXqer@+*cC= znKs*0f(?W@?$wevkg_GyXD+6(eHaXy<*{p?HMS2MY##;0K2~GabH#%&$aHu|EyCRWt(_Mefxo6ESDt}FjY8gDP;)*1GpH=XkE-lm-)4yI4lAkqi{mI8~ zcNI4)-}L^<=G)y)A367ESHMBk^_O3{sS6q9SF4aAJDK~7+N?GXz4$o(bj=L zOaB2)wI|D8bRf{ue?X(H1A&(Q0~+EE^j94SwDcd)XzM_rrT>72cL(~*4g^~I4`{S? zAkfl(K%=b#ftLOQ8f_g2wDcd)u#Og5I1p&*KcK;m7TP!vXz4$o(bj=LOaB3lwhjbZ z`VVNdbs*5ve?X(H1A&(Q0~&1|2(|mpf1A&(Q0~*%3Mhgc5E&T^H+By(u=|2GHkJ>p9Xz4$o(bj=L zOaB3lwhjbZ`VVNdbs*5ve?X(H1A&(Q0~&1|2(6NTL%Iy{RcGK zIuK~-KcLapfj~?D0gbi}1X}tJXt0BgHVy<@`VVNdbs*5ve?X(H1A#XF1MPJCA+egC zuacXIM1~@X&gniPksQJj2o-#2ghQ07raQV|*aV{3eZ>Mo+4$zo8$-Bl{ttbdK=?KN zWJ*&AmnPrq(hNc!LTDL(;epS%ZA~jMu6v?o^F?Z0qt<;(r-jt9FB4p zwap3-q-Qjsicnc7J(TtpMTm4ndir`OwIywfA}7!()K;VjqY-rx6}O~^qS&1}`biUM zcS;v|Oa!>({f>GiEfYxwOv<9Gjl&1mc1qY?Y0%VOUV*wh017I-@ zpoK{F1waQlNdHTK?jY|k0Wv{x3n5phg#h)&*FKaldbp4t0z$JX@rwZ3g~}GuJK!D` zDp?Fr6Xfz0KqGJf+7wSmf~v6BUu?lDl%(RZAgx9=_fI0`KT~{oJtkx*-Ye)`8smtMRpA`UYsX zKIrS`^Wk|u^z+Tq*+73U)+tw@L!0PLloI)Fraw>~$Tx+)MJ1xjRIqAwDxE+T;_KN| zDVq2#-BIyLfmxZ~(i3#3J>`)eR|j(3`0#D02rrlyXK? zT3uzJ{%Q1PNtp>N>+18S_DGpddrIEd0us_`JE|$lNr$%Cp}?KA6BL@blOAfG&*jqp zL^AYxCsh3n3QVV+P{DVgXg?suE_yU|gDa+-6yvcQiitJlnEJGs^xgDIP|nOfP)yh! zC}t+U9<8&s5qkKF-hmXmSz*fdLMiTz>MJGl46VwVltDM6D0{Rd6HFiwsrJF(u{CDcJls|nwC)&ak3UlU1b0RvkDow?V_`6)EvXcw z$_G%6yz`+r5xhu>RrS*j$jJw`DCB({3Tlc2CscJD)S(+vod9+4M1?0zn&DtEa3i;Hn1&c2{{83Y&r^o}-;4v6gIZ^kE;JBT3*R zJr2_5IvJLz^F8QDma~4S;yjcs=Q7kmoT3QiR3KF`2v|}C&259PN9zo>V%383L8_nG z_Im#e?X9Y}9JW8N{*3#xj4RFVB9taWQ5WHME0lT>I@K8kUV={bLUES>l%vc`P-ccz zJ?%#3m%%s>B9~u4w(`qtSHLyy3Mg$Hiai042)UH7@&%SaS;?yU$|@{@vSy;PD^OPQ z6}WLNz8MIZxmV$7pD6kBK`vI5Wg!FHNa5uPO%1JS-%6a_?Y7uW;k8oL0zhae(LYa5y**f3Y zph@RwM@fbao1N3STt33;T&c}RI+t+|3U}u+)S+|5_drk&RCXWt#eHb6KfWHVGruLL zbMdIGoNch;0W{dIWj#V7R_E3~z&fWuIS--P-H^*8xYG*-J_2=9Bh_P2w^-!y7(h9S zdJM&t{_o=6Jci;VuWi{nSMRlQKm&fG`$AVF{sv`qLV3SI!Ja7YDHI%rQlGK~7e9r9 z3%GmgXs=rZy;4$W#}$dEuBr-{y6)BjA}gVv8X%7s(A{$6@eE3xf});5sTo|ZI!e9r z3|NdqQO}{>-yn}lAXE7Qiur*nrhpW~cDMQ^^hlU}eN4u^gtFXF;wvb`^A+^SNPIn7 zXJ#v|M`BUp@2pIDzk^Ixt?Da>?U4ahm_pj2roG9pp~&{A>@}3(i4<>uLLXH81}Kb1 zm2X%IRd1o-l6nhX@)jnYE6DsEJzMg!R>`^VXgeL#*636L*l^-|PR938R4$jP4&FE! z|G-+4juPKt9sB^|T|#9aU|cP4&5F(GcoiSPI!-CqRx((NR1`DRJeo_XulSu51H~tz zLLCO%qK6pnELy8zj#8y-iy1gIN8Y+@VR5?5P)l{2didnVh}B08?X#2(m;_@w%9YDG zKPywo^q^2iQ$`>3JJp4@L`nE22YQ@=5;0o9qe_(M3}`7* zIRje7qt-~}0w~afQ9B7&f-}m*s5|m-0n~>_yMp2Z3UpyKo<}`VF-C#5wt!~y=m-?( z3MkNr(Q+PDqe_edjjn*=FZjUpYZ8vi9DyqK6*`~_Xl)0no_uOFQnZJAfL@G7^C&z= zV-#p@4`>>XLbL;*Krcp1cyuGm15~F%9}VlkgwpynS8W>d?g*KI*bb1n6OSH16s8FX z?g(fYkLGeB!Vt*zW;~)g0sSdxVkfpY6Cp;I@OewvybMg=Y~CswHFtw*(fV!hRjQa2>JlysHG#YvL05pL|p;f&B%|>MyE#lD`tb~jmFJXlN zn&ytXMaESSi@e?8COcS#z)kKvx*TQpVysXi#-e!)##0Z-nT%9Dft?Jrq$jXbgfbyU zm-BftP%#z(=Ivg9+BM|rfJgi8fP(5`RL!F>D`V<9@Pfsoz}}FW!lzzDftZd0R0$|_ zf^Q$F4K%qAc{BsL^aHd2 zt?LIvLOFM9k_jps4ue~UD=kM={TOE&HW68yfxWJNAgd#PH_R2DP@_AaYR9E^=TpN_ znI{tg!-RK#nAl)zp+D16v4+oE4(4 zu$=cE#5mDje4;;>H;j+Yapgq*@75CvvGt&taUv zWi3P=Lzrd!dpWOE@k5z$`ISzMp#JmXVe z7@_rEOb0i6wp(VFX-cYzRit%PTns?=HHX(ZA z$;bJA^Wmc*hyp_CNIuHkK-ZkHVh#Bp{cK)sXv`PqjmF z3m}!Yh=f%;CU&G`cdphI?2oM2!HY z2C`cXU^je#|Kf8_mcSpAwlf8i@2n zWuxmPa?EC?yF$(F+$GgR;0$E$3k1d@Utb`Q-{z|(P=(g{0)Yu!8UH?kX#!RWHsRx*C@~6* z$DNJVbnXZ~ITA%>L;D1&v3x2#VBwn+1j$?ZWSC|aeR^{dpL!j6PlEyZF(2i}*eX8C zkHET0Za$NvGMu>yAGPD6?f9q{@|X^Cc(T!&MyUDZa1=G2u|;9inOyoEkLe?q8Bn0o zoGag&i?-vV?p)N1kNR=Za6TH%MdSJC8ZMf`M|W}2Y(ARLMT_|8buL=YM_;f}#d|JV zJ;3Xt)KE|kB`OSsHJ3ATnE>X{feX5FK~FZ&d2h~6yfB2ZdAyK!7}F7{pD_9gA8r>u zDV&5THLTXa4@XI1U~378nh1r%lgUJ|wT)cfYBl*cCR28x&tNOA>AP%R5PqD>h6$Gp z^yPxAHP(hFPo$j8$jv_)ocMWT1S%fKF1HgW*J*WQ!olk7xPyoK2C1&7FdT^UMD!FO z&J)2mv^tuP;O_bNi3?*Zt|2ax7lbD+cWMoBDT1sY6Q{}*B!9voii!ZGgeQQAI=N$4 z9q?Ggt~yFNF$5ekWYzJaG5R@@=?>2)iYQpKh?*c~AS}eyQLqp<;f`0TskG+fp2!1_ zMQp65yio9TyD&YFBzESErDvkuBj*W&XuDl7_84Xh$fBsgz#xg?>qG5`A!E3_5`5*ve zmr}`|yOgV^SA9Z-7ZT%3BBzPy9)9wP8CUY7rQ=HFt1a&1pM6&aYj4*l115)qHX! z%EPPEXhCw#l)x@t;|0kzbAoCb3_RJ382LXlC$LM_Y(ee+{Ye45#qbI%7pa#1rAfhk zKTHZGTo=|iDZn=7Lg*Xk<@mYB5{+37J5La{It^Bswj8Wb*NR)#|LcR9lC8K#7p-_f zcq^2zsIfvXK~{kkMhcQYwZgm=V1?1>!HW8=5EfzZ2YWzlVfyu1;Z~$d04vNufeB!R z8GL5)1nGiO6Tk}dIk?&iFYsyX;5NJy>dW~TAOI9KH(tk7LnGAN9 z#@$)1Bmdg$mn-PO0$vc_?lRWZ*j<$%tHAD*tp84pqJC<3f$M=`E3{;N{dQ+7!hbqR zoj7Np`s@yzJ2$ZF2x=oI#Rl7UIDjlg6Wcjv##BsC6}sDkFl^K#fB7f3}p->{3> zz&di)@R*2mnr~tZ>wOIG4c?`2wp7Z~+3Yw4U>;Y$%imkBKQH0!5;IL1<6^Ojf z%l~iAu!#krpMus`@p9OqJV-@_+gSaq#5r02v>L{1XjBzKPW$cuLqBJ*`U!{ZsoU%4 z5TC(eBM;*o;p*dX9=fsvIE+W;X~1DT_#(r0(Hicae_s#N1gvE6g7A7+lwPBUrGl(A z1hRT40+W3Ep@!d2^)PNH=%GGJ-&sG06wjd*TUfmdly<1>JK)eAsdj-yyW60pN5P^a zcYz{~;O?wuGMJC^%aVA3lnHz?zbr`;Bny@$*@9%jvP8N2A6=G|3u^z*EKBO$G1|(F z`xRU#B&BiVes(6~{QtJe?Qw$Xq0r}?G3#5VG(mA$Fcl>1hpE60^Q~SpdA~nX|OY& z%-f)+AX#98K7wR{4Q6Nmqc#{XsQtfhgZRxJctB5pH#q*nBSH^F8rOUEnH~6r9EG8} z0Qnw;XUPISGkKQmh}Ip2XUXdvTy1-g`8cmIa`3&XJ+&y=xITH7bQUBF6vkbUEKnG) z+<#PIA_cYoXB4K6XUW8T7(JpDTehN17|LPiq4ESQjxvzy zBrJ}OaQ9Se$GDS;khU+#W4G%Y@eP7f|24 z1}|Hl12Vyp<2%ZpqP*O#*oFrJ3h{j{Z$&=d{sSoRDi~faO1%n0e=aJ%3Pb-H?w)_ul^=d+0BNa!}6o|1tCja|Q?AMK|g+xHmUoyz*fysCV!WM-y)X zZP6(GCfFGNDp9qKwLuSVf{iWb%BZGsBOm8&ELYHm0zR2vke3RQ1vXY8NdDNyP|B@; z)X1#be9ZrU*~rR%1tYUV^qs$CWU&a0%$=n}zdj=yfv9_+Eo$U(5444USFBoFx}msx zc&WgZQLQZt`M4)ag&h{9fba}H6<)KcBeh0XsNJ95Tcav2kc!;y|5FY(-g}^Oc=@2V zrT%9$rQYq6HQe|iN2!nglE$R$0FAL@X{lFZx}tSYpm2C)>o;b!Ie7_#T?F8D0DGac zsuV^22BWq;O8pH_>HMn_N zTPL1KS_$t!RR9U(4FmEVQuJ~2$QuR(4ncvhK}7PV0fG4jkv9$q{56}~gS>f=#=k{C z-Zda^6_ED_$og)$K-7FxiQh17fmF|+Lx{gVk+{5Wi3u!_n7{&w3H&D#SMaWua^BON zNIX&?aV&Cq4ic+S;B%0eILH%;MGZbuA;K|%1rifjATfdeL}KC-UnG!tv_N8a6!iks zf%u~nQI&OzN??Jg1Qv)&U{2JY^{E5#L67Hs(FwV}0#U&meBVpP5oOy*<*4E%qnt<_ zz=`8G@$e=CHW`|U|0D6sCJx!e6PvhT6W?nxsyg#5fV1^0co0y(Vp0^u*_x#3BgOAv z8N}(Dz}6g0oUaM&&cVb9o4|e?Oq{U^46kA0vWZhRf!A;_an2_2E)FJ6+62z$VB)Mz z;OiVroVE%4f`w6b6(fi3w8ART4dOpdbi=c5-5{_)HwY}y4FYqzVPBta5SQr^-aXS9 zRl+!g{gmH;WW+(4NT#k^G6D-EBd|a+0{@9*#3wm{a|$*AhxWH1nF#fN3&+0ky+KFg z--3=3&tKy4OMH9(E**{jSVybg*3wauCeTp=3v`sg0v#o=Kt~BI&`|;lbdh%XB$NsZHe=v@e8A|*EhFsz=OEe;(ZjB(YKqCk&&AHOLzwO$)ZR{S~FRYG=U)!SYU_*78oLd1%^mqfguuDV2A`37$SiM zhDcz6ArhE3L_5%A?5o(hfz%NdGzJxkZU8ExYsIZhiHcOzts(>#s0e`tDnj5t8J(^8 zy50E@KD4AKHG|Fg7(Gy99}|!Wd3v`0O0-Yf6p9~wsf3BQ$meV&O!$yP%|J6Jp!IyX#5V|}7gqBM| zXyUg@_Aap!RY$%0Y1lE%X`~mof+t|8AC9ptL0t-YX@SliE9Gr@H83@0# z1){p6Vng6JIvi`V9ELmMSVSC(i09DXHQXihB=Eysti0B6N74kt9f1YI9f1YI9f1YI z9f1YI9f1YI9f1YI9f1YI9f1YI9fA4buEYq2J3I8i2=hG}__i|!eJ1`mL`gF0RuTdW zl!U+nB_S}UB=tTz5f_}umfSQ9pZGD6nqeoGRwgh{R}BIo!%RRah^Gtja3QWNf0t4e ze5@2DCbg7;qzROQzyhTpus|sYEKmvp3zUMu0;M3ZKq&|;PznMIl!Cy#QaGD|Qot8( z%&=0tW#lN<4EQFFBE&(2c!K<0e3yRAcZFFkzDb&ZZvqSWCa{2S0t@&iuz+s@3-~6m zfNuf|_$IJ`Zvyjtds+bB8OYZH#%JPiK}@fzZqp;M!1M?#Fg*hQiRlq1iz?pP!WqRi zhSg^nTGtpfLBAal%)Up=YBuzjzfdym}SU@&`1!NOgKsJE|WD{6GHh~3X6IehtfqAkM?4&91 zDD7e|jeyleDh{ep)J7vGFor#9OdBvK33=q0elY<2k}VZ z0zn3fbCJ%5(fGBCv>$AId$fhX4lTg}JVWCEUJ!AGAR9hR2|+nZ#{qmi5eM**k#-P7 z!-q2=NJE7 zf_StJ2k`Yn9F!ybP7o+j6b?L54i2K>TaXZd133;#P$CZW(G?tYLaNRXgrSKzfG-N* z02+t`_?%%E2t3ge9K@nx9ONSNu2>ib1$6~soKZSNfz(PIq#?I%5R}6AA0dDjd2rwZ zpFxBm0r}#f2&LixJ}`v?aH8n}K{SfNK?cf$K&M=W7zt)QKL! zN7tY$I7$x6L1}wK3Gn&F-eB(VCH~%0TYGXoPL8FkXgnbpumALmdspD*IzF}M(g$EQ zUt%J)$y?^`+m}XOmejZXih(=BfXW6`=CrHh#}|X@CCYu{h$}%(?^~_ zLJJh=2_%p+X>yEANchyNGt(1Vd!V{5_K_^p+XP(OKm}!6a(sPq1yncHD6F`6bfYX3-qiX^k;PoQU5{EX{1onvKL&-vf+`G{lSJo@O;Xi^6C$k zD&d!6RD+>)pM0?e^&bp1-r;L>=1vHcintnI@NfrIJQy@c*NJ-w?urz{A!@=$d&1`+ zrA|phr1~@|-W|Sv2@;c|W%w%d5YYVu^m+)W(njPxv`(U9hJq;0e5pj4Q-qDDyntwO zoC-vD_5z~e+v#3FG&##u|0&UwH`L`Ws4JVT>l0OiFGxdc6r^xMxDt7QD5%OCR7r&B zVQ|f!Sb7cvzD-czusZo(GECZ!_TnoBKawF*_+G&jskuH6bzsR=MDo$De2*BQGN^#+ ziQ<6zkGPKKxF$!W@XG9PJm57!okvLVk>11+U}NM+FX2y#$r%B}BnXIc7j}ZjNazGo zD0IS{k4^ZAGF1fhTed2Zgib0S2!9f&Ja}GPS{#+A80N4fD5#CsSmVPj*0<>gE7h% z2qp((F5;sd_}+%^P7VY6Ddpj=D0PC=nP^B) zY>geOZ4DL~*w%;uuq5#Zl`0w|b;id@I%COklKWWb5?w3KgvkjI5%bfrHf}61BXZ`m z^AiJnl=-MYJDu1$^8XvT%n*1%A*K`KZjVPQ#%6p+Y%dAsL9k zRbi6UPM=3R;I=u@&U};~hurySPecU>Y(t3@e!xvW-)c`36#(NfwVt)KCd~01~SP>d65}Cw>p5e(Lko zP^(%{YiZrnoG05t1u2|#0eqqtcR@BB3g9(UAY8Mj8u~%dT=1_8s-K_KGZHE%Wu!MN?yeJGLB%9}Czq@{|Q%nS2N(H>YV-fK3$&8rGbpt7! zbVDxJ4f>N{2?AT>7L&*pxz8jJg=~?N{aqr;r(~_01fo6w zGag9HP~>E(6?}cudkPGKUIIxLA{D?eVdp{cQO8wql8_y2_(11WkOWTEr-IrZLB&(+ zRNL2(NfF6cLWZJwLbZ*H0JSB%wxG6$B0z1!;8zBrk=aq86z-AG6J*O&&hG}0o~UCM zh=f{W1+@kXYo()r08%JXh6+v@qM=rJTwVfMC`*(R1uN~=s4S|^Hqz6ewgf?Kk;2*% zr$HOZjw-bA)HG-#bnkSi!2v$_il3|ay-uWwZGvL8VFbBieRcC>2R===RJR7t_K2z!p&$b>jVPQ&k{>Ww>5$IFK^JW4E zr2-D(>o&tM_(&#LCn=mTZ-S_qxW8us^U%eCn_^bn;b>OoOeAa=_ bI`CNsKI_0|9r&yRpLO7~4*Y+j10MeeImtpX delta 8326 zcmb{0c~p$=UITBLp75!$p+G)*%t6YVQ0y4$oV5t0ZYOOcc% zl@baep{PiuWGOpQT7F&g{=R+so%1>8`_K0uXZ~@{>v~-G^FA{r-v~=iW+kVC^abho zbb)libV53kzVIZ`x=>DB08{nZL>JSZ`)BN=Mwr9V*fPPWxF@j{dn?7By>p0Y4*!CC zw5#k5dE-soGnLCcX7G0~X_yuj)TQN(jHoX#yP))*9EIYyonC`6t-=JF?@QAR&a*RB zm&75@+5}C`k$T8!3-NAQRe4NvJHl5DX{uprc$_kB17c{5n5LRxCL$>${PtSgd>LBk zLXjSMxuo@ zb-gG(>nZ+?+#*({L&Dgm-Fp#>Qp!%6wyxO2I(*|L+4nak<=b9PG$Us-sqKler$pjs z@nfHvkG4d{uqPYNAm8XBiIytUBJ9=p9oL!Ot_DoOa3b~*IkuAKv(x2*NLwiWMkf>3 zlI-hgpzVd+W76-PM_Wbq{Km)aVY(eT^}#ZzJ_*T<5iKxjN~7F5fp=GuFngf;AW?YW z17c#xxZ!uukW&5?e`kS2QrRZ2c8BVVh-{kJ*`;JE<#Q4KaG}Kg0Wt~FB0gdY}<%GeNYO3wt|U1RQ4u0i`G}J95S1XJ z3?ET-9-=Zt6yMM{XDBz%Pz4%F@D1hX8LC1<3BIBHUqfuvSPdeI^AY9dAufi93VcM3 zd5G!|QJjw`_b=j{p$0S*=Nrn+Gt`8J3VcJ2d4^ihPz)Mk*JbA+E`f+*d_>uKh}sZQ zjE^Wg4{<3(RNy~|8uJWwprIJwP3>HwgNO?J2T^05p*b`Z z=Nrn+Gqm{chL|Np6yqbx&O@|BmP z5=O;8X;LHIq%XHsq9{M??n_&UBTz*a;7%%y(-9mo#det>Rhre=K=X2Cu|N%0YagNCq2tAlK(;}LMXH$)2qUt459c=LeNR* z`dVVOoycD&GISC?8HXy_GX6RVLnpFsEXmHB{?}=}i+w=2SX`2w#fLrN`qReED$-aW z0)jsg@68}(0m`;$aGlWb>GH@^{lM1`J!(O`<+@T-sQM*&W80=Pd))!Clr*t$VI{IV znq+xVIGrTAL1&RLKfiHP41QgI2^8>$$E8R)O_v} z?kG*&tn=6?zIqghDf&?XU~N?I>E zUu%lFaPI$FuHp3Jjz5qEI9z~OP_(fAGG|*IK+EN>=)td9wD}={!4#f zF?cz$`&H5)+L15hG9}WsC>Bih_?2hki@tr6CrD;0O>JU!iM`(}wFi59IQoyU#nqd& zIJ&66pLOFR;ePjk!li-t;r4T@SQ7{LvgbbGs0RIZnc98h9koD*;j_g7b+BrmB0u+F zOFLRUnpOdWzXGFp6t&O4CTXjTcpae((Ph6}Vl3eF`)Zu*{Cy^HI$^o>vd!!6Z@Rh) z*xm;kv z44JsKWrUCk;s~^LaUZbq0E0g7LWlBtox^m;UF(oG0V(KH)g!XRj+)s{c zVe78DA68EUS@^&KIf`z^+7KE~i>K7iz}!NP)W1%IGauVz#-#g!WG=`9DNQnqLYs?sWdNe_wxE z*!L6e_V$e;eR=mOALIgV;Du)3N*!6&k}m_d;7Y~UAC^8|bm^AUCxDG?^AUK4#=rZM zPfiJ9$8J4-P~8O-1P9t)q(~nwy+@N{x5jf);$#S+nCh`lqBQ?vbw;IJ08sia zAh|pf#~a&(S>D;YN%$Nk;OwuGS~yc6k?Pb5Qs{NAn3S5s2yh5jV*W9(BU0lZVbZ2lID(+rd30Ky}a;~flT@6>JBp5WP zKNeban*ow;bF+N$mm`(%g!po~_ERLkYV0SFx#gF?7=M$xL4Z)yr#8||Pdq>h6}_~o zb+@O2V_k3wuE04s{vEZ1Zm}w)rueDEJzFa+xKu!s6@M#x94>9VWU~HsN+vbF4^+8? zh7F-_8r4R~)stcN zIJHu)StfKQ@SUqWP&yx}-i8#bca)-*JCskm9Z`CB7&NSlIu?T%Rxi>(zb;l`9Z?;P z3<8FqBZNkgN#m!PDEdbAjcXHi@)aoF5`BY<80=GXKx@?1wwD{6UAF?Pn~tG8B({6) zNf*+1yto@xI;v!cxhdP8)IE3jDTbLkL@>7`nc#W-_sk#9&v*X~*XX%dXFK5gK{>cH!M%*wC{`D@O5yIPPMT>s@X-5M1Gv(L)9AE#l z#hnmxQlp1W-x2W1U3BPbQ{4EGM&+MvIIhuV`z@cs>D`+{h6AGY4C`0ig-Z`5vUb*8 z*#wtb+rQ*tm$8|jZ*zd1VW`_SRLbdL5BZJ27E70f)A@qn_Kk3PQL08;OF2DQKjJN$ z$qRZCujm4o-X(MD`>&e9r8--5gWS1?q%MVm823nbZA5UpVmAtyi`SPXN;Ua`mYOIl zC8XuP;w6;Qt9q?8l0i%bXKN#73y_x6m{u(MxmUgVLhH}KY_LfvViiEaFLC{Vw2P z_A@p-Wf6^4t*#afPkAupcY{m8EDA?>?@?FSof1>V)7 zT=zI!Dw?g2FeztmEFgh9`(JR}zj& zN>&wp-0^HDSgY)3?u4K6zJ8T3GNgr5p~=3t&Y>e(zhBUiIq0D9Q{2o_y2Y!1`W<)8)6+t%Wa-cC5a^4e~4 z>E3`uqsy1!%#$ZsajV?d!Ik3IO4EYX$XVTM!SxoOt9GbkO_e#>)SdlM*GM619LUcG zZvH@7r_G$E9bCohPGJ=7GqR7p2v=Ty#|g+#o77 z@X;lYaNWV%d(Y`VC&!Nl4Ax17!c$sXvUJ~SRL_0F70<-~`BHYm)%+2d4Doq%8g2fu zX&L$0E4KgW(^^9>uwE+g&v{C|XL2OXXgAMXiqUWCa$;f}9x`+{XGZV?b_AaCgYHh# z_Bxy6)UUuWn=8B%|H`;{2jOtDRGLJ8#$+uh689VC; zL(uczD|N{|ST#1G8PlwD6KL0mA1J4;l%H5cKkpfF*pJzL%mlyxE1X&}!pYnexgIWE z?T~w{mZ??tJQKLQiQMOiB-xb6q93?gpJgS!q;-M?9Z}09kVK)okI-uYOM6#4o@gHg zPtqf7)*?$@9%@5#byRo#+eftM4g`aNpxu5te*=lJPbR`Zg!X&tnsb$4AIh+gkN@_O z4Evz`AN7I#Z-M-OeNg{fA9|xcqOcDJ>_g(;K2l&G6vnaubH`S|Z)0d)8w?LE_ayUS z8o(m2`0(Qp7$t94V>f1y0{L+Sl2s|MfBR(6K1}n5TN(dp16XkszZ-q$xAFO(Hny|D zD8_v{f+xJ8dJ*&_MdAvo7pu;-k>F?EfQM}m6yNLn=7@gMT+_usUVgh@Q9HlCebHAr6+k;3M_6BGSLlks^OWgJ34fq|wa?MX<@#jkP66=?y_jUt=i%0CNP>A7}9xKey&#oku6yQ!HIz!B$jdJ*bqTc=4bbZ0L# z-nc7S59k~V+$=?5SzVc?vFv!6T8vGusuHo*aAnhP4t6&y#R<-I6|itU1s;grItXwA z+(0jUps?mA!jA-oaHY_v2L|B#Z9iX6eB1Q1PJ&mL;h+;u&~qe&9$?hIed1KzwGk(r z+lq87Al!%C;T+&UV00U<%xYlO-rtn~SKf&2U`Nm9PyBEKk@h~p5$K_$=0UPTI>vUf zlQM0X`+f8@uvC?z`fY(aP0E<};~-{A+Nj$xm|#KVBzwnvnnAs5qH+F}ajV7f<_Ude~S}K*JKZ zBVmWY+1?!b0fP|gnyOWr*eVkL3)J#_R>ZHLSK-vy6ML0y9BS%JlECT2P?6)P_Sw{8 zvdGem7~C^r$V!kY6VCWT4an9xLRU?UFezuIs_!`^UI9TfojER}ll<>bpVOYHQ=2Z# z{?P`RL6I^XPDB2O^JUaDq`YOO^50>GI1Sf-Q~_QvFEA zR>|Gyv4g5B4(+U~-wyKZq8sFp*|bz6lsaIv3KxsoL<9APn3i5*fRe7WkZhvy!Lv$P zR4cmjOdaRso2X|y7Cgr>-en@mLrgN!Fkm9=pftRXP!-l};P?@ENGCm_%DZTJIgI)o z7`^wQ?L@^)Bo2~miR{7R*<*itLAp_(CWkVUQ*@ekd5}lNGibF1`Af{;DW#n_BTqJd zhWF8`zM__1SQx?81WVZ5+)4a5IZuRO^HJQRtJ3>*1Yq*~?rz0j6!o_wET}ZG`%Tjz zQ|{5fOJ3d=FV()$y%&cqw8-jvY>m)O#zKCy?K2z9cU%moT73z05&f?e>=5pO_uM+&*oW~*lQU18a7k0LN z*H;ma{uAI-_lgIvQ?`J0OTp|Tyv|}u^eC&0=k4zgNR>WV|8KNe(BFeB^oo6IFjw?W z30So(@DGc!!(KCz_N|!bf0eOh)^}=x|61iGbKC^A_rX(MK9Vr|>Wz&%a}X3~bML3% zQ}%^e6LOEpq`mJdTvrQxr2Xn2;`7`qmlC=P%#97`M|)RRizc7Xe)=#Ytn0{GTtCP? zwde=dK~6dlkeLt}3}=4vVj1LmE`T#POeAF%2Hy3|JqxCBJ~iSf_#R{C4>9-X)*fx)bp9$XKaOG~3j-6XCSWXPA02bpuO^N7zy=Hy#;!gIA9YyDB z3s`(7@M|zdRh5`PD>vp16fh)CTVdb%zx8epaJEpkVEosrVZGz&MxH}pZ3<{g-^J$4q`iwfR%V?Lt zw#U766@w4~Z{)_}!4-zkbN3;ZRozcNxD@-YpuE<4hBOuoei?>}Y(bSK^oq%Xm|4E% zMZC0`AjrHQE_009m94y=9^4i&*2sJkv?pfKADFSBGsk=2>TL*WdLSc+8hrA?YHhGL zG}8SsB3yEy8?_a&;4yZ1`TBqsrzri~NYs5!6S_29)&BKvb>cQ~+$+MN0LeHGR+o>DrA_F~7v!1=Cc(me52yj&l+@?jD@!k82CNRhoSjfP9iS~z*_&@ffi#Q)sd-$)_ zC-Nj>Yig?kcLFF2b1f$EBO1&rgnbjT0!5X(-dlkRp5M!8{8ZT$PlDT!wVf7S&ZqI7 z=v&%vWvsFAT}C_3D{@btfP*JJYb<1-*}HmJ6%@hyc!#m7r!|>y>6@h7sf)eWu(Ex- zKtfdLT?*P5TVh8ZEG^h7n>caB7O-o=)3vCHYnmx^t$>Jq8<@UwD@hsrr9}rgO$lFu z;eFV~kmT;sEER^w!ItqzQ4HCtX=)gP#27(Smsr(y=NQ?(UgnbAx0&MixE5SaLVIKqk z_OTcCLGL&evhk9~W_Ef8e68XR|C-lG=T4IgmeT`-xkf_yjkM^KH+Altv8O~~{7AyT z22&MPS0&KP%_9b$F#i+DjRB4kWefkcYWU}$MjBGUY+B@ARb;_e9|?3!S~I&pW?XNs zk@_g(GYD)1?LTRD(Q&HfUJj_#k4SMt)Q(M~=vyN_%ei0Meu6-}J(@OwNJ+LFL^omr zHn;81N57Z_>47ow)G)@kLojW(FCn&Fjh!?|D1$GkmOPcb|*U5Ff=Jq7%?T|(3C zdHj6HU2;7B_R@c?@!yX6Un@%j@Mr7PIgUC9KzPe9{1+L4>z!0)iDrFh|44O0660dv zsvdZSG75kCcI6RzlCD@vLs38TXqbx!$U;5<0kyKVP`%>4WB> z-TYNljnq=++RKd>dcn1vmNMsIbB3&2?Pr6MXTo*}FWMtLa9<32S)jv|{Pn>#{*;!~ zFE|pirS){g*^KAee#yHcc>uU7h2+VK$M1N2o%k(9?47rkBv!71A%X$B-?UqrGm6-= zJvx_nnc8+4ZafSedR3tC#3%S!8J&3=i=aoBRek(@gI_g9M!`g%tynpJ+a z-0Axj3?a-LL?cY2PlE!102;s$Bt(#qAn0$2<0c})h9UqI4IoeWO5AKf0GAC^{pdR( zCkz63P+-LUBgaW*eUE}-v4q612urT!e8pASvf#>+W{Kt_$&m>5$j))JIo z5g6rC}WaBe!Zc>BzaYI|AUZksr3V?mpOrfYPhdEPun9Cs$Lpc0v)|rQ!m9jBR~Qt1$k8=qd6#l z2f=V0VB{tsy~XtyEJaRI=SMj?0UcI>UQ(g@F$OPrF|AOEQU*E4zg30ta+)QkrJ+X* z*~2n`X$#Aks7;wp(~{~bxOR=&R_9kE!ILp`tj>)Iq=7Q1w4B| z1b}-Z5U8;ICqgu0P|NR;J3Dve2eUt3C?1o@?$|d`+3vkmGW)W<5v`E|5}HhT?>&2X zr$CcyYo1SDrgQqMCFQk`zHP0|R?oiSs&S6HbFWrJhPm;5n_{Q3W_LWDa6%P>@QdV+ zPdQ{18{T?4B8y%rCeArNPW9BzO!g|{f6QQutMepB^K-HzIzr8^7gCqDiLojK;EWZ< z*_G<4sx&B}CC28C-?VzJtr(Rx;PbnBB08czlq2SNrVs9^AqpEW80>|7NT(^5G)#5n z&ry1p!Z@S5GOHIpsT4#~bln`R_AcF2^yx~<>8q6j#*1W2tz~8wgOF?uSJxn`aC7@i z39~Z~c#O4Y;?zX=ApG%KNzIjoUfv2#{*}G#P|GXq;{o2qT4%1UwN$9HdbE`onpay6 z@uJA_V>mE?<2gQkfioaL6rhEk|0mB5&=56Jv4m)&uk(FPKHUMYCV$lWvb)H0PlJ9`B#t*VsWL(XpyAK=bX0=n!($F<-@P+q7 z;Oeq0lc&~wAy&_P_t}c|NH?ZAY;%)bNDL`-;D_pn!Wh|A<}rL>>=$%|)A$4awnP!Ko*Z%8Un0^}%J6DW2I~ z6XpF>3fcc7G&$m8*R`-z4*wBOIp!%jht^wwWE6{jkavKv@8;LR%du42Xrl%W>i|ex z51L7zgGyEC(l)%0oZ6z!{0ncC2V|&8NGbG{*4bNvp704*n#f|d0rWsEL@`X1b0Tj7 zO}~SKKfZbj*MBE6g#$kY!P26I>TbTT-JS}Qg@G0pxN@@%jH%_;L9ImyRNcK!igUuq zNv2aX+|>%UA3!{TWU|wG=cqaaXlI5_OSJ#E8^jEfMv<>UiX|!kOw&n7(mL-T3SL_d z^+Wx_K)rgD`##1NQ|=LiYNFR|n61ie4X{{N5_cV3HK)TRrZ|O-hAr#-cjo1*D&~us z@(XGU57TyB3_@uqNOVX)4ID0$R+YH-lr1F43*bo)6ySVJw|}&X;A)2GXkV@7FRcJ^ap7 z+j6B%D3mTp!8!po-(AId>t#*v{ldGeA=(XiLAMTRHl9!zdP^w7<0?r zFWl63RB~DA_(;W*l;!wV6`~rh%vmz*AfEc#lM-fPS-kUI;mQs7XCFrJ-$Fvkktt}_ z%V}YR9mcZIdkg!*o+82j5hJz#|F}E)6Yh>`_@9qz0Gtwoojt@@Gx*mM)nT{EPr-vN zI(fGzWe4LbQ}1SFKEp;vqlV@fohA}UzZR8uL{ZbHKBDt`w^XItAa^$8-l2qJRPl|4 zw7RjH`{Fa)_0uQ|88?}^r?4| zPP}Fb{Q+9i&dU7YS-=HPQtcrKEW2=TrzrN$i|i$Gf0vv*`Iog}B2P`cMgOpt#=bBt zVEEW$`aLB~95|#&HqL){1^jC4b;Y zd_7yYRxh3dpb8-=!wfTW#UUsjz;bJlE)ZJj3(CN=ad>gft;pJk7SGR3c|k;VV5k>O0KSk8V#$YqhQ)SPwy5yJR+dU5{MW6PCL`=Onr;J*x%y@sb@n zse05-;>dGv!XTVOlztk2Uj~tjf>9umEd{j+vCDwC7ZnWonUnS`0x}OXj6`Zu z@O4FB1@@+>GpKwQwCWKLv|(+1NTaZ?W@m8zfGIysYvQWz*f&IS8;n8$*`2DKp!*f* zi#~Owd$FC?8$t02RuG9yg!D*!sRg=8kQtV@#;fZQhDtEhc_fK>f8!ZGz=)AnI#EzT zjsele43o`4c6VxJg{DnH+kcV! zCrKD4uaSVNYLdihex!X48P5yM9@bk7T3POGfCVfi7CWI;zH{ectQkgoPfPH5-tXTE z(qr41nsxc)|EM5+#lexh_T9#9p34ry);S8AQad9i1E!bvL@W%HTy50dme059U28H+ zmMc_!NxD|Ayk2^+Zo06IufF`}B@H(7hV2@o{hF*ulNCcxC6)InWsAsCmqPp2Jg)kZ zcH)JibRpP*aG@9w5>w`R(m-{!*zfqbl$&%o+jm#v!o(b^VbQHto$?xgx{KdGn$JeD zCsDHsafUL1y=X&GEFY#M+Q2PAj0mmO>Vg*}*YX^VqQlvp-_~40J#@J~2gT zcLJB^fPpIQ#HhBE`rF{1SEs=5l{|PvD;_PGXDPuoc|4o_wXvk4f(*I=W>qcNr#?Km z8>Hni9!pRDfPJeGTCWk@nni&Vn%&iB)<|VU2h8s1eP*b7#1$|cn)(81IrxHePZO*Kk+4vC{)Ssm%6VU2uf!!Ce3>nORg^DC+d@Dk~amoQ1NyOF&Gc)C~)x zmk)9q$@QOSkOkCb(r=8hFJ{-Y%6_b>eDnB%vP*WV8QQ1yOlm{Sd!gJ@<8g-^OBW)& zVDeJkzT4c-hSs?UzLp|T57DK8o|f2Oj`iH!UH5fXxLPB6D?9Wa{_gDTW1vR*XTHU4 z)x@@hIgaF!#tyyA-xcQM%?zqan}*wP=I}f$v6Uu&znD(xZhENwu`NpVO)GS!>gjof z?2fa0F>0#M`bLT++iCbE?uO5yZHq7U3|wp74J979SYB?~yuI=C9AgVc@|N$uLo#=jlI4cL!8{K&PC(TTllE*;4U zTkg>^iOYkWHuWkI?6S_c`I<*8jZVc+tbeBD_;ikuQAX&lbL0Z#BHzooJzbw$xm6Q5 zu!X8bF6Yf0hjObVi;*v?pwdIHcOzkZYJGlC$IaJsA)y@Z!rw+{1toE@lw)+^LYt;} zgPYgGW!cizt49Sfp0XAb((~~mx6EpdDA!%jt}R2_SEH{7tHZ9cv(M4u*Vzq=_V*s_ z^Rd^UXlZF0FXvt>i~RtSr-7y!`1*C zWeJZecoUvgkSA1CxB=A(9QX(rL04G)L` z0YPA38!B+bK_D>T3EVj<+X5qM?iZHEsL>7Db_=1_3oR#tJXAm*(|sKVyXw+MSyD=& z*44?o@-RudLC4(H?QE%JhYV|weaajc?kbw zOZC7ZHDu%)f#izr>Qs{F=!4KfxJR4DlZgRwy*by$gDH5xH)t)H)u2o!Nyv*lHC#HK zL%$x!rmWbQ^}0u$X?tF*6(jhg|H7EePaN~`ONS~WQEZt7M2uB0JY{;k;ud_yA za;S35@^d^+u^e4-p>dTtlQf9*VT)7(I-7i^tw-SCo9^_X-LPwx&u@08ixh&x*;zmC z$=j7(eE(>M3!O!^P~U%wAwi&{p@Du$U@K;~@x0=&duLOAx6ws1Rr?P&M(?R2U>B)r z&MCf%yr*QTTi&U}cYCIZGMrLpJ}U3p>=lc#f<(*qTexdK(!)jH2KQZ@Xc5^x&tH(b zB}9|@wwLC#9#!C(t6b*jeaNQ>N}e8c(a&Dz`2tT~<>J)*S%97|{b_WFs4Yd**h0CA zD&t}Or*<2xS^8Rs(qe*3(lf_A|F3)vt1L~V3DVI6a?|K6veK!G^&qU`iqPQ~{xxvv z$C{f1{-QYbxr*7_=aHid-1vn*&{s1}ayw-t54EL>8lvc3Nc(#vZE^4wm?M;r>33v= zpx*(jraqt|oW6X%UsLK^vHl-p4zD0zMFTmfObyc%ZuA{^{pSceSE1&4m%C5Q->-e& zY!vH1pWgU@!ljwMu<4YVVrO9ZXCMj zyHsK%Nr8c|eft^LCVr-*#8+_|40|uq`LW*hZ1e~Zoq>i!`-lM ze~??y*u!V~#*ksU<_n+muC|4Qy#v?U)Z+>6zTbDdCqA>`D1StL@7Zw+ zD^SHLHW}PnwUUf?7w-t1_8)UhrAP`ZvnD?q%>RDdC~w9^7r>D+dJKcLZskmb=ST3- zT;Gwp4k(%X8ttXueJ8~bR>YGdf7vh^{#6e?7Xm()qkB=^FWK{%$)v#A$1EAF4<-WD zx43Ql2$7MIz1pKSJ2MUJ_2ds_OiMYgA_io~zAyQ$KC> z0=y3a(%+8=)ip7%Um+pCg z!nMrBQIs-WqSJe>npeGZC~}sk#b#gOXO`{*7lSb1bJsjoXhkDbCs_F*oBs-0BdUQW zLn_#>{Z*aG;Gbm{ZaRwI{6&(auI~DfzFCvy^qzaWR=b^_i8-tJ1!8XhFSGbLPRt!1 zu|>!8#Yv4Uf$^5O(1N=`zSBN6Zp$f%P7UAP_j4Q@_ZPZrds#88#dUG(@6H6qt zS}*+0cgc~hL=JG-7u08~f0pfij_2?U7wMyVBe+=ZJb8-#?5wy@C_8voOdQ@=Nm(Id z^5wxt?wIVrw50pbpBq!>RZ^xd==^;D@nXZisPjq{d-Sezr9unV^Y!R$jY>U;dnGlC zclKo1Xy#SF{rs((5k>X1gQsH~ETq~Jbc0t+JiEP}5>Z6BVVnlR!DkcthOktoP!NoicnbjtsVQE6SO_?! zfdPRagzW9x)*3{N!N-mSK>*VPjtNF~IMsVIR;*q=~IVw)&QyT24CEJnamq9<62 z*eFU``1oLP0+p6Hp|-?wl&$D7l^{@C=@V*4EJxY>GiXTywfnbY`=gHSrH_x5B2arL z+{wWXM1XRzKgQAo>?m?V9f{>AN8-!~wlG9nPXEr>>1f6nVUc4@)P)h2IyoAHArce@ zb8MTCB~-xJp~P^z z9m1Q?e*`O(j)N7YL{8X|M1*@Y4_fi`vWjI-(Bi1hGaPtJLNdK#N%|eTvadw6Z{2z#2P=5j zH!c1x`&`$~zylkKXlXU)gjoO3mzg_RUe)writ-QbJlR1ys+^SpUCFx)+i!t0*Ih|m z9?(t%d$gZT7)V&_J$LGvhW=@ryFT7$#dKfc>!3_B^@laMpp@`lt8U(Gp? z?PGpE8C>z3xx}8}FnRGL;y^>Nzy<(+7F+Q|#fux^TIrG;nW O%WtpzU&Xrs;Qs+LHV(D` delta 5981 zcmZ{obyQSe*r?|W)6~p>ba$spOLs^kAt53m4FUo(AfPl7GSWzQNJ|TffHX)-NeL<- zh)7=acR$zq)?Ih6b>6+-v;R8JiT6EE&p52S8B(3|kP2|`C((Tsz2sceYpQTL>&y2E zkZAZ|@R@V~4QVVyhz|q-fk1K>mm?M*DFUeCiRl3ZE~U^A^YfbhP5su=dj=1|!AlH- z)Q>D?Y4c8hFbYo5s!rg@OdU5#yd;ECjSl2?(pF-><= zQ({}#DAOSRc-#uCZuxlOdyQR~cx_p^x30CTZ6VHt`?q7bVDNU8nPvhN2IO$^5uM=W;CpKhzB3sex zNTI14uMG{?GbySc3poYl!;a^<^dm0@#_r$iGZ$qn9>h z6yDvsoUu+mm@v6P0V7@1wQ&97nGM0A+9V5g-*g-z#s*Xb!s0?7iLYwN7a;gQ01dN5 z&Mb1=My5|+KvdBnNvMMwX&a6K{9?NA9Q3WU<$(yc;#E&F_TZ5Ft99eT}v%fp?VNNf>CwtYob zJRqh63-s5*FJ!bo5iO8h_`y=}lyh#a?S6=a0%9rdxhQgPz#b}ZPS>>KPA#k4yKMvUPV z+f&B{4!>%zr0H?#>5Nzv+c%`HpCpwpZoR+g7_amEdILh<=`Ob&;ag`Rw5%FA^TX9g z==Na7CbC%J({-_vLF%`EnAV#)CcQB(x{S{zoeFY{d0m8nMrTPt7{E(11BN&n(4LF= zjK`lO86=Q znI5kYzxr58F~sM5w=}wLvJp4&9TNfF>9bMKII=1pL3s3TliI&cFT0le1*0S2NQOBPQ^peH5;HX-0SXWx3Xnl~|0ibz(0|Tg!N_CUKX<_e9$b4T*X}YleFM3_ucrs~*ev>hP8taA zJQ4NiHDLV(qCXBUCYC{io)EkerD4be8in0{kyVrgQ6%JByxG)^6m zgzxlMtY=7Y{Y<9-eE%ubImrB!IP5k7$Mjhg&37@_#65cD)iF&^cW)FtKo-7v$l#5c zm?7ZLRGwwHCylUJVD56pce5PeZo<3Wkk)4@AF#T51b2vOIjs)Qu41tQaP&iz!LwpU z@`gJE1ful9&FL8%5N1WVKq+B;ULP}AP8fl!J{@kQs(5c^s@ENUGnpt)IbV*$E0N%~ ztl)g0&YPw$qbYEfpTzD4czzyjd4d)q(Kdx!Y?Be-U^wOliB7Nw12Z3vpkj+>gf&`Z zeNEZ`{;P~su-*QML!N;k=v45tPW>|I<82rCvNl>_vF zjd*sF&2oOme3n1$Yt@$rFv6pu%pHMQP8?%<*#}`xUMm){icoi9D(9$@UJ4$CIW}C4 z7QqqKyr96z9rv5>9PX%FekRKgJ=+kTcudmUVw_>3aDOfFt5p^DK!5)(qTZxEl6w}OO%uXuwiQJ9LTWyRH8A*_oS`?bCS{gYzLwye=BjWoDB z0&i;1x$20i4X9tcLYspzhGpxJu>id{S2JV6Z73qM1`Zz&H>VD^th~1&9!wf{>O+01 z%H7)RwitWkzZkt`t+6WGIq9s`{v5olX9CT47d({-ahzx0K`%K#y)2h?Qm)uR1ID>K zz2NNZNf(IUBz0W7U%$2A;k+5TPqt6mSL#Fa?B=JmU{&sX+z)W$00$68h;4*)@I5cM z48!x-(_bk|cnsb~1fVAM{$GXByYaFxUI4frli?JiJd)lDZk=6mIzi(klvJJIVoCxx zkKD1meD@oYce(xQVUHpjq;K_xr#!nqxU#wHtUW>!;8G!ZT-p2)$WTn>&0#4@bHw=W zNv>FiyFZEhnfnUsD_yh~59)GL`a&XwA&gwP@bPGOGHaFSKzCa8wB`(NxmRNlruT7g z+i+gnGwfl4Gw&xocQ}};!!$^XeLPY;>@t`u=qf49J~-&|EVH^vqUlGOvdH$%NBQtH zEt9T~@0=sFYbBeNJPx_M+0vD5cPYnm37#>*z?#vk6JrQv8_CW)t5C4Xm>>=JpiNf7 zS4qU2?Zz@6?Mb|>#!0Jsv=T>iSQn+f5%mZvmZnBKXfAR)Hd9JP?!w=vx8soP_a69xn*=||n0H)vz*)w~2&Wyo;x1)z-)Q3wJ&2MMhHN*!k4!CyNg zq644SDtv^#Yz>k?!y6>=N_{c|u@)DDo_JH{;`4Ah`&|;HMk5XPp^_rB0B+yfE7w?A7?Ur@GX@4Ps2)*fDqD zbL7D=jB5iMb;8TsZ`ag!KzYNL#F{JsAA?lK0=ueSYK-^5Y2~3GY{ip=71oA+B%0gr} z2#=4s%KMdk1Cqx1t2K?(jyG*t*csrCPsN?;J)M~-j4;3Ej%;HySe#b+&+{v4y~fOc zC5iVds{#f0n9nE3H7@RF#;pW!+Vhkx$E`fjQ|`pYt`VqPKpNp+6Ac8Ne4BlOq`r)e_j}8&xC{(k)X>Mli#NttSun2*LYtN@FK@0V>CnpJ}NAxl$pP5d&(J_}gRPD&&@0;QeAiKUZH-}M<}`{p9KY#)4WyL zY~80>tx|r+s1ks)ldeV`027L$+=ADPzwWRSMa63JSWw^rvJ6YE?RKyv>+21 zfErh-d|F38OZ(t%`tOFK${0%?9gVpe1yTqVjm5WlKn1Ek%Qs}Xl%1ZyVc@%EQ5mcL zDq?r17ReBRDvwiB?pYw~Fnlnq?mGry_0;KLRRXDkQgBZU9+p2hdB>46(CgjbLfLfm zwC$Q7ai1GQ*N7WI2H6MQxHhW0Vkiyjw*5kBNSoYCWe2Q4Z#jA^Ys`#Yivr`fP*a}y zgxhV4cEuGtLwZ%y<-rN-bH;>2;f@d^Ct=Ue8U>AyE_My&+J-Eod>zkOoJw9_gv4@& zghx17s*YSH6Rfn}$;)<61~b0?LNe|-O`E;IQAWtE0Cd~<6tu`v%e!dH_;m*5|n zL{7wldn=b~BPDQqIU>1VG3TqkOj!SSPK|c$Ut(mq2tujCSN`>kXy&fKYKc2@)RNoF z!7cB9*Nrq)=2CgdIF>!`$*Lhq&F$;CeU+#DAb`Yt@z|cOq+a7z2>h^eP-e?o^0~@n zxVwR#c=GB8qagkHipb@X>#Cm|o>&Zcv8frt)QFYUUL|7&=6Nf;16ru;*x_@Ep|lrKN^%%)3k56f9~Q?Dph~#fBN`ck!gAdiNOQ;2#esC3=SdF(lc$O z>zXB@4#G;dmChNdPrQxQh3HnI@(Al&z<(N5Bw82E1VV!$SMVS8fMK?cA8fT#lNH-VX(0Q^lOAOmQ`e>DQ0LLq{Hej9$s7@)!Xn*dDs zO=ExqPj?GIH(su40UUvT69Kbc3-Grs_PdO~E)U>e*Bl1|g2BM=e{C}ua0C4Qo;v~~ z^$#o8QKT70zlzBX?>eu=)pLFRZEU`l+@$OV^vXP* z+K7(*=ok7;&67G&F#$=GhNCU{ZNIHO#kG`?9P=T=_o7Z+ZL+t^DcN9 zyp61lT`-J=pL8bZL$pQGCD9*Ff>6?g&q_Pp&ToJKDwHBww zfr?1mu1R1zZ|UhfaWwd0Mp8u~nwHCw%T5Eg%N(elzzOeI6kefYsQK*2p7_Lf zAZJ}JL!DnA*HfMX8C6(xG5~WWE3UmQO>wR=frPM3PS-a3Fw_c}v{?xyc=-5qu~> zW-4?oiBRs-nRHfMP-D43QoqnH;gVh2y#rb;L)ow=4x3$Eh&KmOeBE}c=Ok!`s+;Y_|nWMiUoQ6T!P(3B4cje~a`MMNy`~r0kRJpc2 zMv@sND9KI2%guY;T+B)+i8p#vog&>V0! z;0jN+Zp-b8N40O;?;y*E!cW7~L%xBSe+fJ}pz-)=g%bBKlxa3DJWc4@lTxGdHpa z$$J+?#V)-hR8;OD%NTgwe7fqEdE|@KG~6TQT>AOkh%_4>3oPu3aG+J0?UX$OwkdF6 zm7lUb5Z_><wOv^EWP9Y7VdD90$LOKw1l^)GVcNN-gM5Rr${Y-MmOYXOPdliRv(^G% zPjS`}h4Iv1R(fZ*3JU&=*IB~6k~80)cGRQ2x)ERI!^P~{qK4axptkGApG~Jk<$jkX zE48fgg`67}-y@O}2sb-KdobO~aY#_1RPa0JQjN>h{IaiUc>jXpYIOchJ72g~{6|)_ z%2mG(NzM6NP|&4pg(58QHr9Y3e#8qPtaWOIGXFo4HspUK?IRpOgNp@(nEy&zupI1< zs|98Jb+b5125+Y694gr!?3M?!alpMYd6%tT{{DKB5bvkkdOsWDCCZV8vNm?yV59k- z9V*vJztud2j4gR*8QT3@kY9~174Oi!pzGSnA)qB_a;^^^2b0rgOQKzOs*DZ1c zh3Qk1?;1+uw(Mp#I1@!Ku>?iG=fqN=xeCZc{JCF4?JU8jzt~FhwPcC?xt~{^%a~p- z%&iURNt@rL8hWudXcMRy{j%o7*1Dz^Zx#&5{Ijg+%gV}dDV_dY%;k^+T{_qQzgF%0 zr&S04msTbEqgCTIcnTny{h;*Xhl$9_4xr%VNwaAJZDL0L8$aw?k6H^TQ#zv?{`)0( zkWuEx3S#Aq2eK*#=DkPRu)WzNacMSZ)!(bQ!#9Y*rc~!wuM0Es#eN3v8Q8H!+4g-k aD`P^_zn-Evd+bSHdwY>vCh4;P$NvG!U5WJo diff --git a/1ano/isd/quartus-projects/Teste/Teste1.bdf b/1ano/isd/quartus-projects/Teste/Teste1.bdf deleted file mode 100644 index cf8d2a7..0000000 --- a/1ano/isd/quartus-projects/Teste/Teste1.bdf +++ /dev/null @@ -1,441 +0,0 @@ -/* -WARNING: Do NOT edit the input and output ports in this file in a text -editor if you plan to continue editing the block that represents it in -the Block Editor! File corruption is VERY likely to occur. -*/ -/* -Copyright (C) 2020 Intel Corporation. All rights reserved. -Your use of Intel Corporation's design tools, logic functions -and other software and tools, and any partner logic -functions, and any output files from any of the foregoing -(including device programming or simulation files), and any -associated documentation or information are expressly subject -to the terms and conditions of the Intel Program License -Subscription Agreement, the Intel Quartus Prime License Agreement, -the Intel FPGA IP License Agreement, or other applicable license -agreement, including, without limitation, that your use is for -the sole purpose of programming logic devices manufactured by -Intel and sold by Intel or its authorized distributors. Please -refer to the applicable agreement for further details, at -https://fpgasoftware.intel.com/eula. -*/ -(header "graphic" (version "1.4")) -(pin - (input) - (rect 136 240 304 256) - (text "INPUT" (rect 125 0 154 10)(font "Arial" (font_size 6))) - (text "X0" (rect 5 0 21 13)(font "Intel Clear" )) - (pt 168 8) - (drawing - (line (pt 84 12)(pt 109 12)) - (line (pt 84 4)(pt 109 4)) - (line (pt 113 8)(pt 168 8)) - (line (pt 84 12)(pt 84 4)) - (line (pt 109 4)(pt 113 8)) - (line (pt 109 12)(pt 113 8)) - ) - (text "VCC" (rect 128 7 149 17)(font "Arial" (font_size 6))) -) -(pin - (input) - (rect 136 256 304 272) - (text "INPUT" (rect 125 0 154 10)(font "Arial" (font_size 6))) - (text "X1" (rect 5 0 20 13)(font "Intel Clear" )) - (pt 168 8) - (drawing - (line (pt 84 12)(pt 109 12)) - (line (pt 84 4)(pt 109 4)) - (line (pt 113 8)(pt 168 8)) - (line (pt 84 12)(pt 84 4)) - (line (pt 109 4)(pt 113 8)) - (line (pt 109 12)(pt 113 8)) - ) - (text "VCC" (rect 128 7 149 17)(font "Arial" (font_size 6))) -) -(pin - (input) - (rect 136 272 304 288) - (text "INPUT" (rect 125 0 154 10)(font "Arial" (font_size 6))) - (text "X2" (rect 5 0 20 13)(font "Intel Clear" )) - (pt 168 8) - (drawing - (line (pt 84 12)(pt 109 12)) - (line (pt 84 4)(pt 109 4)) - (line (pt 113 8)(pt 168 8)) - (line (pt 84 12)(pt 84 4)) - (line (pt 109 4)(pt 113 8)) - (line (pt 109 12)(pt 113 8)) - ) - (text "VCC" (rect 128 7 149 17)(font "Arial" (font_size 6))) -) -(pin - (input) - (rect 136 288 304 304) - (text "INPUT" (rect 125 0 154 10)(font "Arial" (font_size 6))) - (text "X3" (rect 5 0 20 13)(font "Intel Clear" )) - (pt 168 8) - (drawing - (line (pt 84 12)(pt 109 12)) - (line (pt 84 4)(pt 109 4)) - (line (pt 113 8)(pt 168 8)) - (line (pt 84 12)(pt 84 4)) - (line (pt 109 4)(pt 113 8)) - (line (pt 109 12)(pt 113 8)) - ) - (text "VCC" (rect 128 7 149 17)(font "Arial" (font_size 6))) -) -(pin - (input) - (rect 136 320 304 336) - (text "INPUT" (rect 125 0 154 10)(font "Arial" (font_size 6))) - (text "X4" (rect 5 0 21 13)(font "Intel Clear" )) - (pt 168 8) - (drawing - (line (pt 84 12)(pt 109 12)) - (line (pt 84 4)(pt 109 4)) - (line (pt 113 8)(pt 168 8)) - (line (pt 84 12)(pt 84 4)) - (line (pt 109 4)(pt 113 8)) - (line (pt 109 12)(pt 113 8)) - ) - (text "VCC" (rect 128 7 149 17)(font "Arial" (font_size 6))) -) -(pin - (input) - (rect 136 336 304 352) - (text "INPUT" (rect 125 0 154 10)(font "Arial" (font_size 6))) - (text "X5" (rect 5 0 20 13)(font "Intel Clear" )) - (pt 168 8) - (drawing - (line (pt 84 12)(pt 109 12)) - (line (pt 84 4)(pt 109 4)) - (line (pt 113 8)(pt 168 8)) - (line (pt 84 12)(pt 84 4)) - (line (pt 109 4)(pt 113 8)) - (line (pt 109 12)(pt 113 8)) - ) - (text "VCC" (rect 128 7 149 17)(font "Arial" (font_size 6))) -) -(pin - (input) - (rect 136 352 304 368) - (text "INPUT" (rect 125 0 154 10)(font "Arial" (font_size 6))) - (text "X6" (rect 5 0 21 13)(font "Intel Clear" )) - (pt 168 8) - (drawing - (line (pt 84 12)(pt 109 12)) - (line (pt 84 4)(pt 109 4)) - (line (pt 113 8)(pt 168 8)) - (line (pt 84 12)(pt 84 4)) - (line (pt 109 4)(pt 113 8)) - (line (pt 109 12)(pt 113 8)) - ) - (text "VCC" (rect 128 7 149 17)(font "Arial" (font_size 6))) -) -(pin - (input) - (rect 136 368 304 384) - (text "INPUT" (rect 125 0 154 10)(font "Arial" (font_size 6))) - (text "X7" (rect 5 0 20 13)(font "Intel Clear" )) - (pt 168 8) - (drawing - (line (pt 84 12)(pt 109 12)) - (line (pt 84 4)(pt 109 4)) - (line (pt 113 8)(pt 168 8)) - (line (pt 84 12)(pt 84 4)) - (line (pt 109 4)(pt 113 8)) - (line (pt 109 12)(pt 113 8)) - ) - (text "VCC" (rect 128 7 149 17)(font "Arial" (font_size 6))) -) -(pin - (input) - (rect 136 144 304 160) - (text "INPUT" (rect 125 0 154 10)(font "Arial" (font_size 6))) - (text "S[2..0]" (rect 5 0 37 11)(font "Arial" )) - (pt 168 8) - (drawing - (line (pt 84 12)(pt 109 12)) - (line (pt 84 4)(pt 109 4)) - (line (pt 113 8)(pt 168 8)) - (line (pt 84 12)(pt 84 4)) - (line (pt 109 4)(pt 113 8)) - (line (pt 109 12)(pt 113 8)) - ) - (text "VCC" (rect 128 7 149 17)(font "Arial" (font_size 6))) -) -(pin - (output) - (rect 600 280 776 296) - (text "OUTPUT" (rect 1 0 41 10)(font "Arial" (font_size 6))) - (text "Y" (rect 90 0 100 11)(font "Arial" )) - (pt 0 8) - (drawing - (line (pt 0 8)(pt 52 8)) - (line (pt 52 4)(pt 78 4)) - (line (pt 52 12)(pt 78 12)) - (line (pt 52 12)(pt 52 4)) - (line (pt 78 4)(pt 82 8)) - (line (pt 82 8)(pt 78 12)) - (line (pt 78 12)(pt 82 8)) - ) -) -(symbol - (rect 416 176 536 400) - (text "74153" (rect 42 0 88 16)(font "Arial" (font_size 10))) - (text "inst" (rect 3 213 20 223)(font "Arial" (font_size 6))) - (port - (pt 0 88) - (input) - (text "1C1" (rect 21 79 45 92)(font "Arial" (font_size 8))) - (text "1C1" (rect 21 79 45 92)(font "Arial" (font_size 8))) - (line (pt 0 88)(pt 16 88)) - ) - (port - (pt 0 56) - (input) - (text "1GN" (rect 21 47 46 60)(font "Arial" (font_size 8))) - (text "1GN" (rect 21 47 46 60)(font "Arial" (font_size 8))) - (line (pt 0 56)(pt 8 56)) - ) - (port - (pt 0 72) - (input) - (text "1C0" (rect 21 63 45 76)(font "Arial" (font_size 8))) - (text "1C0" (rect 21 63 45 76)(font "Arial" (font_size 8))) - (line (pt 0 72)(pt 16 72)) - ) - (port - (pt 0 152) - (input) - (text "2C0" (rect 21 143 45 156)(font "Arial" (font_size 8))) - (text "2C0" (rect 21 143 45 156)(font "Arial" (font_size 8))) - (line (pt 0 152)(pt 16 152)) - ) - (port - (pt 0 136) - (input) - (text "2GN" (rect 21 127 46 140)(font "Arial" (font_size 8))) - (text "2GN" (rect 21 127 46 140)(font "Arial" (font_size 8))) - (line (pt 0 136)(pt 8 136)) - ) - (port - (pt 0 184) - (input) - (text "2C2" (rect 21 175 45 188)(font "Arial" (font_size 8))) - (text "2C2" (rect 21 175 45 188)(font "Arial" (font_size 8))) - (line (pt 0 184)(pt 16 184)) - ) - (port - (pt 0 104) - (input) - (text "1C2" (rect 21 95 45 108)(font "Arial" (font_size 8))) - (text "1C2" (rect 21 95 45 108)(font "Arial" (font_size 8))) - (line (pt 0 104)(pt 16 104)) - ) - (port - (pt 0 120) - (input) - (text "1C3" (rect 21 111 45 124)(font "Arial" (font_size 8))) - (text "1C3" (rect 21 111 45 124)(font "Arial" (font_size 8))) - (line (pt 0 120)(pt 16 120)) - ) - (port - (pt 0 40) - (input) - (text "B" (rect 21 33 31 46)(font "Arial" (font_size 8))) - (text "B" (rect 21 33 31 46)(font "Arial" (font_size 8))) - (line (pt 0 40)(pt 16 40)) - ) - (port - (pt 0 24) - (input) - (text "A" (rect 21 17 32 30)(font "Arial" (font_size 8))) - (text "A" (rect 21 17 32 30)(font "Arial" (font_size 8))) - (line (pt 0 24)(pt 16 24)) - ) - (port - (pt 0 168) - (input) - (text "2C1" (rect 21 159 45 172)(font "Arial" (font_size 8))) - (text "2C1" (rect 21 159 45 172)(font "Arial" (font_size 8))) - (line (pt 0 168)(pt 16 168)) - ) - (port - (pt 0 200) - (input) - (text "2C3" (rect 21 190 45 203)(font "Arial" (font_size 8))) - (text "2C3" (rect 21 190 45 203)(font "Arial" (font_size 8))) - (line (pt 0 200)(pt 16 200)) - ) - (port - (pt 120 104) - (output) - (text "1Y" (rect 86 97 103 110)(font "Arial" (font_size 8))) - (text "1Y" (rect 85 97 102 110)(font "Arial" (font_size 8))) - (line (pt 104 104)(pt 120 104)) - ) - (port - (pt 120 120) - (output) - (text "2Y" (rect 86 113 103 126)(font "Arial" (font_size 8))) - (text "2Y" (rect 85 113 102 126)(font "Arial" (font_size 8))) - (line (pt 104 120)(pt 120 120)) - ) - (drawing - (text "MULTIPLEXER" (rect 27 209 116 222)(font "Arial" (font_size 8))) - (line (pt 16 16)(pt 104 16)) - (line (pt 16 208)(pt 104 208)) - (line (pt 16 208)(pt 16 16)) - (line (pt 104 208)(pt 104 16)) - (circle (rect 8 52 16 60)) - (circle (rect 8 132 16 140)) - ) -) -(symbol - (rect 360 296 408 328) - (text "NOT" (rect 1 0 22 10)(font "Arial" (font_size 6))) - (text "inst2" (rect 3 21 27 34)(font "Intel Clear" )) - (port - (pt 0 16) - (input) - (text "IN" (rect 2 7 16 18)(font "Courier New" (bold))(invisible)) - (text "IN" (rect 2 7 16 18)(font "Courier New" (bold))(invisible)) - (line (pt 0 16)(pt 13 16)) - ) - (port - (pt 48 16) - (output) - (text "OUT" (rect 32 7 53 18)(font "Courier New" (bold))(invisible)) - (text "OUT" (rect 32 7 53 18)(font "Courier New" (bold))(invisible)) - (line (pt 39 16)(pt 48 16)) - ) - (drawing - (line (pt 13 25)(pt 13 7)) - (line (pt 13 7)(pt 31 16)) - (line (pt 13 25)(pt 31 16)) - (circle (rect 31 12 39 20)) - ) -) -(symbol - (rect 536 264 600 312) - (text "OR2" (rect 1 0 23 10)(font "Arial" (font_size 6))) - (text "inst3" (rect 3 37 27 50)(font "Intel Clear" )) - (port - (pt 0 32) - (input) - (text "IN2" (rect 2 23 23 34)(font "Courier New" (bold))(invisible)) - (text "IN2" (rect 2 23 23 34)(font "Courier New" (bold))(invisible)) - (line (pt 0 32)(pt 15 32)) - ) - (port - (pt 0 16) - (input) - (text "IN1" (rect 2 7 23 18)(font "Courier New" (bold))(invisible)) - (text "IN1" (rect 2 7 23 18)(font "Courier New" (bold))(invisible)) - (line (pt 0 16)(pt 15 16)) - ) - (port - (pt 64 24) - (output) - (text "OUT" (rect 48 15 69 26)(font "Courier New" (bold))(invisible)) - (text "OUT" (rect 48 15 69 26)(font "Courier New" (bold))(invisible)) - (line (pt 48 24)(pt 64 24)) - ) - (drawing - (line (pt 14 36)(pt 25 36)) - (line (pt 14 13)(pt 25 13)) - (arc (pt 7 29)(pt 7 19)(rect -14 8 19 41)) - (arc (pt 49 24)(pt 25 13)(rect -6 13 57 76)) - (arc (pt 25 35)(pt 49 24)(rect -6 -27 57 36)) - ) -) -(connector - (pt 304 264) - (pt 416 264) -) -(connector - (pt 304 248) - (pt 416 248) -) -(connector - (pt 304 328) - (pt 416 328) -) -(connector - (pt 304 360) - (pt 416 360) -) -(connector - (pt 304 280) - (pt 416 280) -) -(connector - (pt 304 296) - (pt 416 296) -) -(connector - (pt 304 344) - (pt 416 344) -) -(connector - (pt 304 376) - (pt 416 376) -) -(connector - (pt 416 200) - (pt 400 200) -) -(connector - (pt 416 216) - (pt 384 216) -) -(connector - (pt 384 152) - (pt 400 152) - (bus) -) -(connector - (text "S[0]" (rect 384 165 397 187)(font "Intel Clear" )(vertical)) - (pt 400 200) - (pt 400 152) -) -(connector - (text "S[1]" (rect 368 181 381 203)(font "Intel Clear" )(vertical)) - (pt 384 216) - (pt 384 152) -) -(connector - (pt 416 312) - (pt 408 312) -) -(connector - (pt 360 312) - (pt 344 312) -) -(connector - (pt 344 232) - (pt 416 232) -) -(connector - (pt 344 232) - (pt 344 312) -) -(connector - (text "S[2]" (rect 328 197 341 219)(font "Intel Clear" )(vertical)) - (pt 344 152) - (pt 344 232) -) -(connector - (pt 304 152) - (pt 344 152) - (bus) -) -(connector - (pt 344 152) - (pt 384 152) - (bus) -) -(junction (pt 384 152)) -(junction (pt 344 232)) -(junction (pt 344 152)) diff --git a/1ano/isd/quartus-projects/Teste/Teste1.bsf b/1ano/isd/quartus-projects/Teste/Teste1.bsf deleted file mode 100644 index 74626d9..0000000 --- a/1ano/isd/quartus-projects/Teste/Teste1.bsf +++ /dev/null @@ -1,100 +0,0 @@ -/* -WARNING: Do NOT edit the input and output ports in this file in a text -editor if you plan to continue editing the block that represents it in -the Block Editor! File corruption is VERY likely to occur. -*/ -/* -Copyright (C) 2020 Intel Corporation. All rights reserved. -Your use of Intel Corporation's design tools, logic functions -and other software and tools, and any partner logic -functions, and any output files from any of the foregoing -(including device programming or simulation files), and any -associated documentation or information are expressly subject -to the terms and conditions of the Intel Program License -Subscription Agreement, the Intel Quartus Prime License Agreement, -the Intel FPGA IP License Agreement, or other applicable license -agreement, including, without limitation, that your use is for -the sole purpose of programming logic devices manufactured by -Intel and sold by Intel or its authorized distributors. Please -refer to the applicable agreement for further details, at -https://fpgasoftware.intel.com/eula. -*/ -(header "symbol" (version "1.2")) -(symbol - (rect 16 16 112 208) - (text "Teste1" (rect 5 0 43 15)(font "Intel Clear" (font_size 8))) - (text "inst" (rect 8 175 28 188)(font "Intel Clear" )) - (port - (pt 0 32) - (input) - (text "S[2..0]" (rect 0 0 36 15)(font "Intel Clear" (font_size 8))) - (text "S[2..0]" (rect 21 27 57 42)(font "Intel Clear" (font_size 8))) - (line (pt 0 32)(pt 16 32)(line_width 3)) - ) - (port - (pt 0 48) - (input) - (text "X0" (rect 0 0 17 15)(font "Intel Clear" (font_size 8))) - (text "X0" (rect 21 43 38 58)(font "Intel Clear" (font_size 8))) - (line (pt 0 48)(pt 16 48)) - ) - (port - (pt 0 64) - (input) - (text "X1" (rect 0 0 16 15)(font "Intel Clear" (font_size 8))) - (text "X1" (rect 21 59 37 74)(font "Intel Clear" (font_size 8))) - (line (pt 0 64)(pt 16 64)) - ) - (port - (pt 0 80) - (input) - (text "X2" (rect 0 0 17 15)(font "Intel Clear" (font_size 8))) - (text "X2" (rect 21 75 38 90)(font "Intel Clear" (font_size 8))) - (line (pt 0 80)(pt 16 80)) - ) - (port - (pt 0 96) - (input) - (text "X3" (rect 0 0 17 15)(font "Intel Clear" (font_size 8))) - (text "X3" (rect 21 91 38 106)(font "Intel Clear" (font_size 8))) - (line (pt 0 96)(pt 16 96)) - ) - (port - (pt 0 112) - (input) - (text "X4" (rect 0 0 17 15)(font "Intel Clear" (font_size 8))) - (text "X4" (rect 21 107 38 122)(font "Intel Clear" (font_size 8))) - (line (pt 0 112)(pt 16 112)) - ) - (port - (pt 0 128) - (input) - (text "X5" (rect 0 0 17 15)(font "Intel Clear" (font_size 8))) - (text "X5" (rect 21 123 38 138)(font "Intel Clear" (font_size 8))) - (line (pt 0 128)(pt 16 128)) - ) - (port - (pt 0 144) - (input) - (text "X6" (rect 0 0 17 15)(font "Intel Clear" (font_size 8))) - (text "X6" (rect 21 139 38 154)(font "Intel Clear" (font_size 8))) - (line (pt 0 144)(pt 16 144)) - ) - (port - (pt 0 160) - (input) - (text "X7" (rect 0 0 17 15)(font "Intel Clear" (font_size 8))) - (text "X7" (rect 21 155 38 170)(font "Intel Clear" (font_size 8))) - (line (pt 0 160)(pt 16 160)) - ) - (port - (pt 96 32) - (output) - (text "Y" (rect 0 0 9 15)(font "Intel Clear" (font_size 8))) - (text "Y" (rect 66 27 75 42)(font "Intel Clear" (font_size 8))) - (line (pt 96 32)(pt 80 32)) - ) - (drawing - (rectangle (rect 16 16 80 176)) - ) -) diff --git a/1ano/isd/quartus-projects/Teste/Teste1.qpf b/1ano/isd/quartus-projects/Teste/Teste1.qpf deleted file mode 100644 index d0fbb87..0000000 --- a/1ano/isd/quartus-projects/Teste/Teste1.qpf +++ /dev/null @@ -1,31 +0,0 @@ -# -------------------------------------------------------------------------- # -# -# Copyright (C) 2020 Intel Corporation. All rights reserved. -# Your use of Intel Corporation's design tools, logic functions -# and other software and tools, and any partner logic -# functions, and any output files from any of the foregoing -# (including device programming or simulation files), and any -# associated documentation or information are expressly subject -# to the terms and conditions of the Intel Program License -# Subscription Agreement, the Intel Quartus Prime License Agreement, -# the Intel FPGA IP License Agreement, or other applicable license -# agreement, including, without limitation, that your use is for -# the sole purpose of programming logic devices manufactured by -# Intel and sold by Intel or its authorized distributors. Please -# refer to the applicable agreement for further details, at -# https://fpgasoftware.intel.com/eula. -# -# -------------------------------------------------------------------------- # -# -# Quartus Prime -# Version 20.1.1 Build 720 11/11/2020 SJ Lite Edition -# Date created = 11:23:17 December 02, 2022 -# -# -------------------------------------------------------------------------- # - -QUARTUS_VERSION = "20.1" -DATE = "11:23:17 December 02, 2022" - -# Revisions - -PROJECT_REVISION = "Teste1" diff --git a/1ano/isd/quartus-projects/Teste/Teste1.qsf b/1ano/isd/quartus-projects/Teste/Teste1.qsf deleted file mode 100644 index f00efd6..0000000 --- a/1ano/isd/quartus-projects/Teste/Teste1.qsf +++ /dev/null @@ -1,61 +0,0 @@ -# -------------------------------------------------------------------------- # -# -# Copyright (C) 2020 Intel Corporation. All rights reserved. -# Your use of Intel Corporation's design tools, logic functions -# and other software and tools, and any partner logic -# functions, and any output files from any of the foregoing -# (including device programming or simulation files), and any -# associated documentation or information are expressly subject -# to the terms and conditions of the Intel Program License -# Subscription Agreement, the Intel Quartus Prime License Agreement, -# the Intel FPGA IP License Agreement, or other applicable license -# agreement, including, without limitation, that your use is for -# the sole purpose of programming logic devices manufactured by -# Intel and sold by Intel or its authorized distributors. Please -# refer to the applicable agreement for further details, at -# https://fpgasoftware.intel.com/eula. -# -# -------------------------------------------------------------------------- # -# -# Quartus Prime -# Version 20.1.1 Build 720 11/11/2020 SJ Lite Edition -# Date created = 11:23:17 December 02, 2022 -# -# -------------------------------------------------------------------------- # -# -# Notes: -# -# 1) The default values for assignments are stored in the file: -# Teste1_assignment_defaults.qdf -# If this file doesn't exist, see file: -# assignment_defaults.qdf -# -# 2) Altera recommends that you do not modify this file. This -# file is updated automatically by the Quartus Prime software -# and any changes you make may be lost or overwritten. -# -# -------------------------------------------------------------------------- # - - -set_global_assignment -name FAMILY "Cyclone IV E" -set_global_assignment -name DEVICE auto -set_global_assignment -name TOP_LEVEL_ENTITY Teste3 -set_global_assignment -name ORIGINAL_QUARTUS_VERSION 20.1.1 -set_global_assignment -name PROJECT_CREATION_TIME_DATE "11:23:17 DECEMBER 02, 2022" -set_global_assignment -name LAST_QUARTUS_VERSION "20.1.1 Lite Edition" -set_global_assignment -name PROJECT_OUTPUT_DIRECTORY output_files -set_global_assignment -name EDA_SIMULATION_TOOL "ModelSim-Altera (Verilog)" -set_global_assignment -name EDA_TIME_SCALE "1 ps" -section_id eda_simulation -set_global_assignment -name EDA_OUTPUT_DATA_FORMAT "VERILOG HDL" -section_id eda_simulation -set_global_assignment -name EDA_GENERATE_FUNCTIONAL_NETLIST OFF -section_id eda_board_design_timing -set_global_assignment -name EDA_GENERATE_FUNCTIONAL_NETLIST OFF -section_id eda_board_design_symbol -set_global_assignment -name EDA_GENERATE_FUNCTIONAL_NETLIST OFF -section_id eda_board_design_signal_integrity -set_global_assignment -name EDA_GENERATE_FUNCTIONAL_NETLIST OFF -section_id eda_board_design_boundary_scan -set_global_assignment -name BDF_FILE Teste1.bdf -set_global_assignment -name VECTOR_WAVEFORM_FILE Waveform.vwf -set_global_assignment -name BDF_FILE Teste3.bdf -set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -section_id Top -set_global_assignment -name PARTITION_FITTER_PRESERVATION_LEVEL PLACEMENT_AND_ROUTING -section_id Top -set_global_assignment -name PARTITION_COLOR 16764057 -section_id Top -set_instance_assignment -name PARTITION_HIERARCHY root_partition -to | -section_id Top -set_global_assignment -name VECTOR_WAVEFORM_FILE Waveform1.vwf \ No newline at end of file diff --git a/1ano/isd/quartus-projects/Teste/Teste1.qws b/1ano/isd/quartus-projects/Teste/Teste1.qws deleted file mode 100644 index fe98db746fa30ed2f8d23f10ff305e390a14f8c6..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 1860 zcmeH|%}PR16ouC{C5H_fIEZKvA%Wt(6=kC+YUC(_hT+e(L`yNtAOfGEXK2!UG;7kd zQS+Xmzi(f!5h+Geljz7k=j`P^XRovOLf4Y&jVaZX*RfjKQ(JX4RmE$773C8&h9$I% ze~MoYA`X?tYvW0i+5xxozFhEvN`3gk2i)$<>73YQ?p%~d9#1Puv3J>d!Oq9avdeRy zAAFkyDY0Kth7%dEJQ1!ghVgS8$+;xr71Og#(s+kg85j*M&KiFT9Tr8fIau0zTbj|j zPFP#K{RnS|wNBLvFlbt+UKzHAV?tXT^`UDaMO6m{!AxdJZL6Yv9gLF6E;gGDNHvC2 zC9aR^bYEv@vC#rXo|#K;_!YoNK0! ziiw;7UIG3M#aIVNR!oAn*JwPlrs-d3CEg35tdWgwmr33oKP@Cubh -quartus_eda --gen_testbench --tool=modelsim_oem --format=vhdl --write_settings_files=off Teste1 -c Teste1 --vector_source="/home/tiagorg/repos/uaveiro-leci/1ano/isd/quartus-projects/Teste/Waveform.vwf" --testbench_file="/home/tiagorg/repos/uaveiro-leci/1ano/isd/quartus-projects/Teste/simulation/qsim/Waveform.vwf.vht" -quartus_eda --gen_testbench --tool=modelsim_oem --format=vhdl --write_settings_files=off Teste1 -c Teste1 --vector_source="/home/tiagorg/repos/uaveiro-leci/1ano/isd/quartus-projects/Teste/Waveform.vwf" --testbench_file="/home/tiagorg/repos/uaveiro-leci/1ano/isd/quartus-projects/Teste/simulation/qsim/Waveform.vwf.vht" -quartus_eda --write_settings_files=off --simulation --functional=on --flatten_buses=off --tool=modelsim_oem --format=vhdl --output_directory="/home/tiagorg/repos/uaveiro-leci/1ano/isd/quartus-projects/Teste/simulation/qsim/" Teste1 -c Teste1 -quartus_eda --write_settings_files=off --simulation --functional=off --flatten_buses=off --timescale=1ps --tool=modelsim_oem --format=vhdl --output_directory="/home/tiagorg/repos/uaveiro-leci/1ano/isd/quartus-projects/Teste/simulation/qsim/" Teste1 -c Teste1 -onerror {exit -code 1} -vlib work -vcom -work work Teste1.vho -vcom -work work Waveform.vwf.vht -vsim -c -t 1ps -L cycloneive -L altera -L altera_mf -L 220model -L sgate -L altera_lnsim work.Teste1_vhd_vec_tst -vcd file -direction Teste1.msim.vcd -vcd add -internal Teste1_vhd_vec_tst/* -vcd add -internal Teste1_vhd_vec_tst/i1/* -proc simTimestamp {} { - echo "Simulation time: $::now ps" - if { [string equal running [runStatus]] } { - after 2500 simTimestamp - } -} -after 2500 simTimestamp -run -all -quit -f - -onerror {exit -code 1} -vlib work -vcom -work work Teste1.vho -vcom -work work Waveform.vwf.vht -vsim -novopt -c -t 1ps -sdfmax Teste1_vhd_vec_tst/i1=Teste1_vhd.sdo -L cycloneive -L altera -L altera_mf -L 220model -L sgate -L altera_lnsim work.Teste1_vhd_vec_tst -vcd file -direction Teste1.msim.vcd -vcd add -internal Teste1_vhd_vec_tst/* -vcd add -internal Teste1_vhd_vec_tst/i1/* -proc simTimestamp {} { - echo "Simulation time: $::now ps" - if { [string equal running [runStatus]] } { - after 2500 simTimestamp - } -} -after 2500 simTimestamp -run -all -quit -f - -vhdl -*/ -/* -WARNING: Do NOT edit the input and output ports in this file in a text -editor if you plan to continue editing the block that represents it in -the Block Editor! File corruption is VERY likely to occur. -*/ - -/* -Copyright (C) 2020 Intel Corporation. All rights reserved. -Your use of Intel Corporation's design tools, logic functions -and other software and tools, and any partner logic -functions, and any output files from any of the foregoing -(including device programming or simulation files), and any -associated documentation or information are expressly subject -to the terms and conditions of the Intel Program License -Subscription Agreement, the Intel Quartus Prime License Agreement, -the Intel FPGA IP License Agreement, or other applicable license -agreement, including, without limitation, that your use is for -the sole purpose of programming logic devices manufactured by -Intel and sold by Intel or its authorized distributors. Please -refer to the applicable agreement for further details, at -https://fpgasoftware.intel.com/eula. -*/ - -HEADER -{ - VERSION = 1; - TIME_UNIT = ns; - DATA_OFFSET = 0.0; - DATA_DURATION = 1000.0; - SIMULATION_TIME = 0.0; - GRID_PHASE = 0.0; - GRID_PERIOD = 10.0; - GRID_DUTY_CYCLE = 50; -} - -SIGNAL("S") -{ - VALUE_TYPE = NINE_LEVEL_BIT; - SIGNAL_TYPE = BUS; - WIDTH = 3; - LSB_INDEX = 0; - DIRECTION = INPUT; - PARENT = ""; -} - -SIGNAL("S[2]") -{ - VALUE_TYPE = NINE_LEVEL_BIT; - SIGNAL_TYPE = SINGLE_BIT; - WIDTH = 1; - LSB_INDEX = -1; - DIRECTION = INPUT; - PARENT = "S"; -} - -SIGNAL("S[1]") -{ - VALUE_TYPE = NINE_LEVEL_BIT; - SIGNAL_TYPE = SINGLE_BIT; - WIDTH = 1; - LSB_INDEX = -1; - DIRECTION = INPUT; - PARENT = "S"; -} - -SIGNAL("S[0]") -{ - VALUE_TYPE = NINE_LEVEL_BIT; - SIGNAL_TYPE = SINGLE_BIT; - WIDTH = 1; - LSB_INDEX = -1; - DIRECTION = INPUT; - PARENT = "S"; -} - -SIGNAL("X0") -{ - VALUE_TYPE = NINE_LEVEL_BIT; - SIGNAL_TYPE = SINGLE_BIT; - WIDTH = 1; - LSB_INDEX = -1; - DIRECTION = INPUT; - PARENT = ""; -} - -SIGNAL("X1") -{ - VALUE_TYPE = NINE_LEVEL_BIT; - SIGNAL_TYPE = SINGLE_BIT; - WIDTH = 1; - LSB_INDEX = -1; - DIRECTION = INPUT; - PARENT = ""; -} - -SIGNAL("X2") -{ - VALUE_TYPE = NINE_LEVEL_BIT; - SIGNAL_TYPE = SINGLE_BIT; - WIDTH = 1; - LSB_INDEX = -1; - DIRECTION = INPUT; - PARENT = ""; -} - -SIGNAL("X3") -{ - VALUE_TYPE = NINE_LEVEL_BIT; - SIGNAL_TYPE = SINGLE_BIT; - WIDTH = 1; - LSB_INDEX = -1; - DIRECTION = INPUT; - PARENT = ""; -} - -SIGNAL("X4") -{ - VALUE_TYPE = NINE_LEVEL_BIT; - SIGNAL_TYPE = SINGLE_BIT; - WIDTH = 1; - LSB_INDEX = -1; - DIRECTION = INPUT; - PARENT = ""; -} - -SIGNAL("X5") -{ - VALUE_TYPE = NINE_LEVEL_BIT; - SIGNAL_TYPE = SINGLE_BIT; - WIDTH = 1; - LSB_INDEX = -1; - DIRECTION = INPUT; - PARENT = ""; -} - -SIGNAL("X6") -{ - VALUE_TYPE = NINE_LEVEL_BIT; - SIGNAL_TYPE = SINGLE_BIT; - WIDTH = 1; - LSB_INDEX = -1; - DIRECTION = INPUT; - PARENT = ""; -} - -SIGNAL("X7") -{ - VALUE_TYPE = NINE_LEVEL_BIT; - SIGNAL_TYPE = SINGLE_BIT; - WIDTH = 1; - LSB_INDEX = -1; - DIRECTION = INPUT; - PARENT = ""; -} - -SIGNAL("Y") -{ - VALUE_TYPE = NINE_LEVEL_BIT; - SIGNAL_TYPE = SINGLE_BIT; - WIDTH = 1; - LSB_INDEX = -1; - DIRECTION = OUTPUT; - PARENT = ""; -} - -TRANSITION_LIST("S[2]") -{ - NODE - { - REPEAT = 1; - NODE - { - REPEAT = 1; - LEVEL 0 FOR 400.0; - LEVEL 1 FOR 400.0; - } - LEVEL 0 FOR 200.0; - } -} - -TRANSITION_LIST("S[1]") -{ - NODE - { - REPEAT = 1; - NODE - { - REPEAT = 2; - LEVEL 0 FOR 200.0; - LEVEL 1 FOR 200.0; - } - LEVEL 0 FOR 200.0; - } -} - -TRANSITION_LIST("S[0]") -{ - NODE - { - REPEAT = 1; - NODE - { - REPEAT = 5; - LEVEL 0 FOR 100.0; - LEVEL 1 FOR 100.0; - } - } -} - -TRANSITION_LIST("X0") -{ - NODE - { - REPEAT = 1; - NODE - { - REPEAT = 160; - LEVEL 0 FOR 3.125; - LEVEL 1 FOR 3.125; - } - } -} - -TRANSITION_LIST("X1") -{ - NODE - { - REPEAT = 1; - NODE - { - REPEAT = 80; - LEVEL 0 FOR 6.25; - LEVEL 1 FOR 6.25; - } - } -} - -TRANSITION_LIST("X2") -{ - NODE - { - REPEAT = 1; - NODE - { - REPEAT = 40; - LEVEL 0 FOR 12.5; - LEVEL 1 FOR 12.5; - } - } -} - -TRANSITION_LIST("X3") -{ - NODE - { - REPEAT = 1; - NODE - { - REPEAT = 20; - LEVEL 0 FOR 25.0; - LEVEL 1 FOR 25.0; - } - } -} - -TRANSITION_LIST("X4") -{ - NODE - { - REPEAT = 1; - NODE - { - REPEAT = 10; - LEVEL 0 FOR 50.0; - LEVEL 1 FOR 50.0; - } - } -} - -TRANSITION_LIST("X5") -{ - NODE - { - REPEAT = 1; - NODE - { - REPEAT = 5; - LEVEL 0 FOR 100.0; - LEVEL 1 FOR 100.0; - } - } -} - -TRANSITION_LIST("X6") -{ - NODE - { - REPEAT = 1; - NODE - { - REPEAT = 2; - LEVEL 0 FOR 200.0; - LEVEL 1 FOR 200.0; - } - LEVEL 0 FOR 200.0; - } -} - -TRANSITION_LIST("X7") -{ - NODE - { - REPEAT = 1; - NODE - { - REPEAT = 1; - LEVEL 0 FOR 400.0; - LEVEL 1 FOR 400.0; - } - LEVEL 0 FOR 200.0; - } -} - -TRANSITION_LIST("Y") -{ - NODE - { - REPEAT = 1; - LEVEL X FOR 1000.0; - } -} - -DISPLAY_LINE -{ - CHANNEL = "S"; - EXPAND_STATUS = EXPANDED; - RADIX = Binary; - TREE_INDEX = 0; - TREE_LEVEL = 0; - CHILDREN = 1, 2, 3; -} - -DISPLAY_LINE -{ - CHANNEL = "S[2]"; - EXPAND_STATUS = COLLAPSED; - RADIX = Binary; - TREE_INDEX = 1; - TREE_LEVEL = 1; - PARENT = 0; -} - -DISPLAY_LINE -{ - CHANNEL = "S[1]"; - EXPAND_STATUS = COLLAPSED; - RADIX = Binary; - TREE_INDEX = 2; - TREE_LEVEL = 1; - PARENT = 0; -} - -DISPLAY_LINE -{ - CHANNEL = "S[0]"; - EXPAND_STATUS = COLLAPSED; - RADIX = Binary; - TREE_INDEX = 3; - TREE_LEVEL = 1; - PARENT = 0; -} - -DISPLAY_LINE -{ - CHANNEL = "X0"; - EXPAND_STATUS = COLLAPSED; - RADIX = Binary; - TREE_INDEX = 4; - TREE_LEVEL = 0; -} - -DISPLAY_LINE -{ - CHANNEL = "X1"; - EXPAND_STATUS = COLLAPSED; - RADIX = Binary; - TREE_INDEX = 5; - TREE_LEVEL = 0; -} - -DISPLAY_LINE -{ - CHANNEL = "X2"; - EXPAND_STATUS = COLLAPSED; - RADIX = Binary; - TREE_INDEX = 6; - TREE_LEVEL = 0; -} - -DISPLAY_LINE -{ - CHANNEL = "X3"; - EXPAND_STATUS = COLLAPSED; - RADIX = Binary; - TREE_INDEX = 7; - TREE_LEVEL = 0; -} - -DISPLAY_LINE -{ - CHANNEL = "X4"; - EXPAND_STATUS = COLLAPSED; - RADIX = Binary; - TREE_INDEX = 8; - TREE_LEVEL = 0; -} - -DISPLAY_LINE -{ - CHANNEL = "X5"; - EXPAND_STATUS = COLLAPSED; - RADIX = Binary; - TREE_INDEX = 9; - TREE_LEVEL = 0; -} - -DISPLAY_LINE -{ - CHANNEL = "X6"; - EXPAND_STATUS = COLLAPSED; - RADIX = Binary; - TREE_INDEX = 10; - TREE_LEVEL = 0; -} - -DISPLAY_LINE -{ - CHANNEL = "X7"; - EXPAND_STATUS = COLLAPSED; - RADIX = Binary; - TREE_INDEX = 11; - TREE_LEVEL = 0; -} - -DISPLAY_LINE -{ - CHANNEL = "Y"; - EXPAND_STATUS = COLLAPSED; - RADIX = Binary; - TREE_INDEX = 12; - TREE_LEVEL = 0; -} - -TIME_BAR -{ - TIME = 0; - MASTER = TRUE; -} -; diff --git a/1ano/isd/quartus-projects/Teste/Waveform1.vwf b/1ano/isd/quartus-projects/Teste/Waveform1.vwf deleted file mode 100644 index e40dd9b..0000000 --- a/1ano/isd/quartus-projects/Teste/Waveform1.vwf +++ /dev/null @@ -1,246 +0,0 @@ -/* -quartus_eda --gen_testbench --tool=modelsim_oem --format=vhdl --write_settings_files=off Teste1 -c Teste1 --vector_source="/home/tiagorg/repos/uaveiro-leci/1ano/isd/quartus-projects/Teste/Waveform1.vwf" --testbench_file="/home/tiagorg/repos/uaveiro-leci/1ano/isd/quartus-projects/Teste/simulation/qsim/Waveform1.vwf.vht" -quartus_eda --gen_testbench --tool=modelsim_oem --format=vhdl --write_settings_files=off Teste1 -c Teste1 --vector_source="/home/tiagorg/repos/uaveiro-leci/1ano/isd/quartus-projects/Teste/Waveform1.vwf" --testbench_file="/home/tiagorg/repos/uaveiro-leci/1ano/isd/quartus-projects/Teste/simulation/qsim/Waveform1.vwf.vht" -quartus_eda --write_settings_files=off --simulation --functional=on --flatten_buses=off --tool=modelsim_oem --format=vhdl --output_directory="/home/tiagorg/repos/uaveiro-leci/1ano/isd/quartus-projects/Teste/simulation/qsim/" Teste1 -c Teste1 -quartus_eda --write_settings_files=off --simulation --functional=off --flatten_buses=off --timescale=1ps --tool=modelsim_oem --format=vhdl --output_directory="/home/tiagorg/repos/uaveiro-leci/1ano/isd/quartus-projects/Teste/simulation/qsim/" Teste1 -c Teste1 -onerror {exit -code 1} -vlib work -vcom -work work Teste1.vho -vcom -work work Waveform1.vwf.vht -vsim -c -t 1ps -L cycloneive -L altera -L altera_mf -L 220model -L sgate -L altera_lnsim work.Teste3_vhd_vec_tst -vcd file -direction Teste1.msim.vcd -vcd add -internal Teste3_vhd_vec_tst/* -vcd add -internal Teste3_vhd_vec_tst/i1/* -proc simTimestamp {} { - echo "Simulation time: $::now ps" - if { [string equal running [runStatus]] } { - after 2500 simTimestamp - } -} -after 2500 simTimestamp -run -all -quit -f - -onerror {exit -code 1} -vlib work -vcom -work work Teste1.vho -vcom -work work Waveform1.vwf.vht -vsim -novopt -c -t 1ps -sdfmax Teste3_vhd_vec_tst/i1=Teste1_vhd.sdo -L cycloneive -L altera -L altera_mf -L 220model -L sgate -L altera_lnsim work.Teste3_vhd_vec_tst -vcd file -direction Teste1.msim.vcd -vcd add -internal Teste3_vhd_vec_tst/* -vcd add -internal Teste3_vhd_vec_tst/i1/* -proc simTimestamp {} { - echo "Simulation time: $::now ps" - if { [string equal running [runStatus]] } { - after 2500 simTimestamp - } -} -after 2500 simTimestamp -run -all -quit -f - -vhdl -*/ -/* -WARNING: Do NOT edit the input and output ports in this file in a text -editor if you plan to continue editing the block that represents it in -the Block Editor! File corruption is VERY likely to occur. -*/ - -/* -Copyright (C) 2020 Intel Corporation. All rights reserved. -Your use of Intel Corporation's design tools, logic functions -and other software and tools, and any partner logic -functions, and any output files from any of the foregoing -(including device programming or simulation files), and any -associated documentation or information are expressly subject -to the terms and conditions of the Intel Program License -Subscription Agreement, the Intel Quartus Prime License Agreement, -the Intel FPGA IP License Agreement, or other applicable license -agreement, including, without limitation, that your use is for -the sole purpose of programming logic devices manufactured by -Intel and sold by Intel or its authorized distributors. Please -refer to the applicable agreement for further details, at -https://fpgasoftware.intel.com/eula. -*/ - -HEADER -{ - VERSION = 1; - TIME_UNIT = ns; - DATA_OFFSET = 0.0; - DATA_DURATION = 1000.0; - SIMULATION_TIME = 0.0; - GRID_PHASE = 0.0; - GRID_PERIOD = 10.0; - GRID_DUTY_CYCLE = 50; -} - -SIGNAL("A") -{ - VALUE_TYPE = NINE_LEVEL_BIT; - SIGNAL_TYPE = SINGLE_BIT; - WIDTH = 1; - LSB_INDEX = -1; - DIRECTION = INPUT; - PARENT = ""; -} - -SIGNAL("B") -{ - VALUE_TYPE = NINE_LEVEL_BIT; - SIGNAL_TYPE = SINGLE_BIT; - WIDTH = 1; - LSB_INDEX = -1; - DIRECTION = INPUT; - PARENT = ""; -} - -SIGNAL("C") -{ - VALUE_TYPE = NINE_LEVEL_BIT; - SIGNAL_TYPE = SINGLE_BIT; - WIDTH = 1; - LSB_INDEX = -1; - DIRECTION = INPUT; - PARENT = ""; -} - -SIGNAL("D") -{ - VALUE_TYPE = NINE_LEVEL_BIT; - SIGNAL_TYPE = SINGLE_BIT; - WIDTH = 1; - LSB_INDEX = -1; - DIRECTION = INPUT; - PARENT = ""; -} - -SIGNAL("F") -{ - VALUE_TYPE = NINE_LEVEL_BIT; - SIGNAL_TYPE = SINGLE_BIT; - WIDTH = 1; - LSB_INDEX = -1; - DIRECTION = OUTPUT; - PARENT = ""; -} - -TRANSITION_LIST("A") -{ - NODE - { - REPEAT = 1; - NODE - { - REPEAT = 10; - LEVEL 0 FOR 50.0; - LEVEL 1 FOR 50.0; - } - } -} - -TRANSITION_LIST("B") -{ - NODE - { - REPEAT = 1; - NODE - { - REPEAT = 5; - LEVEL 0 FOR 100.0; - LEVEL 1 FOR 100.0; - } - } -} - -TRANSITION_LIST("C") -{ - NODE - { - REPEAT = 1; - NODE - { - REPEAT = 2; - LEVEL 0 FOR 200.0; - LEVEL 1 FOR 200.0; - } - LEVEL 0 FOR 200.0; - } -} - -TRANSITION_LIST("D") -{ - NODE - { - REPEAT = 1; - NODE - { - REPEAT = 1; - LEVEL 0 FOR 400.0; - LEVEL 1 FOR 400.0; - } - LEVEL 0 FOR 200.0; - } -} - -TRANSITION_LIST("F") -{ - NODE - { - REPEAT = 1; - LEVEL X FOR 1000.0; - } -} - -DISPLAY_LINE -{ - CHANNEL = "A"; - EXPAND_STATUS = COLLAPSED; - RADIX = Binary; - TREE_INDEX = 0; - TREE_LEVEL = 0; -} - -DISPLAY_LINE -{ - CHANNEL = "B"; - EXPAND_STATUS = COLLAPSED; - RADIX = Binary; - TREE_INDEX = 1; - TREE_LEVEL = 0; -} - -DISPLAY_LINE -{ - CHANNEL = "C"; - EXPAND_STATUS = COLLAPSED; - RADIX = Binary; - TREE_INDEX = 2; - TREE_LEVEL = 0; -} - -DISPLAY_LINE -{ - CHANNEL = "D"; - EXPAND_STATUS = COLLAPSED; - RADIX = Binary; - TREE_INDEX = 3; - TREE_LEVEL = 0; -} - -DISPLAY_LINE -{ - CHANNEL = "F"; - EXPAND_STATUS = COLLAPSED; - RADIX = Binary; - TREE_INDEX = 4; - TREE_LEVEL = 0; -} - -TIME_BAR -{ - TIME = 0; - MASTER = TRUE; -} -; diff --git a/1ano/isd/quartus-projects/Teste/db/.cmp.kpt b/1ano/isd/quartus-projects/Teste/db/.cmp.kpt deleted file mode 100644 index ac2fe13769e5efe9ac0e64b438938ea7b5169c34..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 201 zcmV;)05<=u4*>uG0001ZoTZV$3c@f9hVOfd&hw$hBzXXzZhAMFYT`Mfu4jmzn8)Xx(NcT ztyBp6AVZeM-VXCYo&?OY6gW?OWvOqsOIS%lSO`hp%gP8K?{KV4FEzQ!ApwoXpJK5^ zi@HGuM~T)?Ou?=pkh~_oL&(4R5H!?6F zF)=SOF)uPOGB6-hN+3*WbY&n#WNCD1Z*C?H00000000OB00000006TB0000000000 z0062400000004La?3T@H6hRQjdt>~-h!+J#Bqt4m9x}6u3(-rm2Jv9B=mru*7B7Mb z3Q_Qle4HHf2sz}CgNTR+WBsbTx_f3fo6Jfi2YacV>VJK9O?6LCJLj$hx-QQqBvgZ%n@u&t z)3YHzMw8sGdXuo(PA|8ARfDq-=S_#Ld_yR7-?Oz!^Ih|j8tPx$u5`2=$Ntsmv7iTG zb!|w~k?~*VtCiJEoB56amvd3AX4Sf-dcvJVwx=JdWOq;OnEh6qz)5yepIDtGyYI3V z#!n$K<2v#~c+L)LOPx4-cp6a`YA_ct)=pnrZ{HW5F(cGjC>GmG?>>C`?DqhEA52JM z76+GtFCVwxbLF|HXgc5E$dRYzWKz~ZHl^NQ_(~W4HY6PDZ2>`LgfUTgT9~4%H=u9g zUS=8obd9+kxtYG+AFNFyUUn77HzVQR&n*vUcvnB`2Saf^KIEt9>bJat^PX04>>E2o z1ziTUwobYDway`wk>SI>$M>{%VE^o+ud)y#r(tg>AvuRGefTy9!`H9^WfQZpB6!jG zIOzU5Hn)_y>8G$s2A9XSJ!ZPdX$%TaR>VbS8DdMXwiQW!o#SF@TEadSLYWN**_@3G z*_`gQ4vqFA*CDc$E?#y7Mw|2?c%qCVfprjVSIFkrkob}i%R@HG=d8&0MOODMu=@i5 z0RR7Z0c=rAF9Tr|z0|u!i&Y60;uWM85{srWGyT$zv@^_%L?iJvqM?yA#3L3gY=oUZ zU@gRoScx5ppCMsuV?}J7`=yN6BsV8>&-u=MMW}-EMAWk|vQh!8M6@8>lqD_UWlYzT z!t$J4CDkE)a<&!+C|M9_q%>*klfv)iYi;pz%sY^Gdc|xX5;b@ML&Q;Mi zh<7c23ZO8e8Q}{pJ1MH^0J%f$Vlo6|v&J79)2^WjWX?0!JMF*@1UvaIrYD?iEiEH? zbM*SeWaN*?W>Fngfw()4?*UY;YT&e+%hs#kxwqHg|05Z8cFMM}odz}1RwA~`>tpfH zks#EA)Q|jCVPLhX%twKK!)zv}Y^S{L5RHSrY=1rnD9hHe{A-a?*Idy^_o%nk`MA$z zKdjh4G8L7`$Mp`5#UpoHn9j-7qG#ZN~nrS>h^bm^o0o1O3 zKjn-4`!uZNT#-L+kk7bTS}!h@3X9#v(nds$xfvMa3o1ny&~JmcqIssC5z-MUv?v_+ I0{{U3|EZ@diU0rr diff --git a/1ano/isd/quartus-projects/Teste/db/Teste1.(0).cnf.hdb b/1ano/isd/quartus-projects/Teste/db/Teste1.(0).cnf.hdb deleted file mode 100644 index e81828f9fd31e8bf7b30fea1058ce9af302d2b31..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 766 zcmV4R5H!?6F zF)=SOF)uPOGB6-hN+3*WbY&n#WNCD1Z*J5B000000083w00000005o=0000000000 z0090100000004Laq>{f*0znYQQSncrMhXi{3JWW8dv`!$_WJCSev1E*yl z+_o^>lX-ZY&ay+1ZtmLICE<>uf!mcWM(NmlSNddZ*~Dxf8l)C>%zB;~Z=3CMSivML zg0OtLsnE+K%OXo7>%iiPaXXJJf!SGc%Z05WBotfs&6!D`3w-61%v?T^e->yt=*n0F z6sz@mP0WIuqD=%tv8X8ErpT&_uZjY0inOZe5tIvViVhp$luy;I6yFO=asCy5aHGwc zHk(Sm2s}Y+lZ(VQ@5&If;HF53V0GcOqJZyfPmiP3O2%ULvcKi8g$sd_V&rq8HRc12 zm~&P~j_(G)xzuuDMrOMzHT1TRb5sTe11@ywO99UP4}~b;=ntV+9uux<|NnRa;$mQAh+tq~I9yoR&j_TMfLI8KgWdh&ef*sr zLp=R~4A0;YCI$hZaJ+W$GhQIghosEcH8|MO-8J6TFC-|EnLz<4wKGy{F3dDhARlC! zdys!kpp8KD;)6qiJpJ4uMzb;mms6uyF7j(s(U~3r* wOITp81bGi+s;3LkN1kp#mvVs(oM66s3s4GdAk1dCfv)kMA+Ejv00030{{lowCjbBd diff --git a/1ano/isd/quartus-projects/Teste/db/Teste1.(1).cnf.cdb b/1ano/isd/quartus-projects/Teste/db/Teste1.(1).cnf.cdb deleted file mode 100644 index 47ee2c85b6ecec3d8a6c510bc8c1b8329ca902d0..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 1438 zcmV;P1!4LW000233jqKC0001M0BZm=00011WpZ4R5H!?6F zF)=SOF)uPOGB6-hN+3*WbY&n#WNCD1Z*I61000000012X00000003J80000000000 z005o^00000004La?3l}H6+sY&CmKXVL{wBnT!ff~h~$jsDhNrupkNZ@M9k{oEBGir zh!5b>g-aJMT)1@M!i9o@h`0EE-Cff?&78}eEIgnUI@Qxve^qr=cg-ZzMNu5pIHqxG z%pq7s$2FVM&*88#C4Sbe6~+0mb}-?cUH5?6bXYbv2#Rj!&Zbk{le+^33VaY2-StYp zEpn|w;v=O&K3%*U^Od@#z!rvMJEmH+$Ceeg&2D|nS1W9r{SsqorQK^Cav4(9wzPy? zhGRk8ZMR!)#5A|Zj-TdoBc_?SjrK%`>>=S7uiJsSx!$|K_NceD)7{?b_O`bkbbH<1 z?OR-BiSp&dL^j0>_w+_s_r=ajUI!Vb9^ros9dHoU^Hmg7c@zxwG3>ukpT|qw!801+ zl;7Q~9rBZ2lCApWzfw-^eIqrIyU)sV%pr46@vd8bO_KJI36Z(d6U&6}&&4^bIFH0+ zY8G>ite(@!mHo{^+U6 zUknQl*t%{Djdg3|c(KuO8w*SOwy^9hr2XN9R`|OFVxG!KlJySHW7<*~X-{$Je%Dd` ztZ^=r8SbNGIS!fKwM!Weo4=0iYI2o z!uv0mp^u+A@wd)y9fur$ISwtKE1$bGPT~hc&NLO(ZDNafnru>orkoMSX$@j*!m$T% zQW4et5hrIQudUha7bh`tF0h!}Bu;<+>atlqevs_BM(z(8Ho?wl{z)9P5iOw9fcrhh zFF=~m)p>WR^L~UnTQRPw|K1Ttw_J9N<&=nKQ4z#kA;n040z>3&FjVHAFmu7I4}Y*9 zwnH^n1IB9)$8f@L2aIRKG2H440rOM%VL2c+Fz`sD`I%JA3+;O@Y-ZH@kOR&F^lQTM z_B1&MqweRWFm~SjuJW43Q%@azxAdCnjXH>9~=`^Y_KoFj|?`l#Xk@ z;beq6CRTL{ysfyW*bkBW&%G3F7T}QOmkM>}-6KwoE^A^cn(`?HlK)IH?D?zWVc0Wo zR(rcvc*z|}7Bh(zPD&o5J(E?N8wU^Jkxb0^NB0}Abgd`ibUoAIRH17eTg<^e3+w3| zU34C5VDGgC@Ag4l;^Geg00960cmZrtJxjw-6ute@YQIFCRH}n06qPs#9bz#_A8AUO z*yIUz@fA_D2nEp=2OV5QH~)aMD4ldH-4y&8g03!3f{XVCYpfVJ9NxX>-gn+f3Ib3C zfa%SJy#R6$F^q6^OIVDXhRX%BxKjy26wBAQ`!bRziy>>eB5bwDG#-L=JZI+H5446b zO8vYlTwUQz6b$Alj#j9I;RqX_O7#W_CJ`xwFSP7Di@-V7E|j}s5}B4IdNhXJ2P;@< zneAipvy2!*_*)FloKipRVzG1i@tQ`at9nH?iJ}n3#^aTIisaoDK`y(M(tzi*nUhXv zj9m0O)$Ecw2wAM(kH58$Jk1C)`S^LTP!*TO;0YHr(=TVVr<=0x_dDx2NZ20hyl)_R z>t>M4+!X4Xr4?9S&>xY1&0$)ZxkX9JB_JCDc#g}nNZy4R5H!?6F zF)=SOF)uPOGB6-hN+3*WbY&n#WNCD1Z*KYq00000005r?000000049W0000000000 z005r@00000004La#FHU!8$l3--8QPCs^DNjRT&mlw|lnFhV}s)S)pc86jgFhJl5F{TYEly}obucC%ZRXQjD&^XBcF+4cEs6xJ8L&R;2p5k}1L@s*;AL+JfZ z;dXEJT<~z@es%_2Z!KxzR|ZY8v|?97d+4SPjDu-RI1h}6nFJgPJIc=XPT_r5+lG*= zw`{QPzB9Wvh|I`Leb=Cwf7S!gMlb^euSiWJ})OsE`u)u*I6gK*&VxVN<(91ZxolJ z9tA2*@lzOXt{A;nkevFyCuf1-S`x-4xz7Y(xS1jeehF8I6vu)0Q-P#-`qTGM5Ze1` zuqXsKsvU*^)7#a0B#VtK_S#B-nPQR)?vz}rc%g_E-cA}1GFIg%)xBaPj76hJJ;q|9 z#q+>7#T2*dd{hOC(=de>P8K(^hn`ZK=60*6rx$v)Qg2AgEPg^k1Vm6Ef-!KL{9&oc=) z%u+}mvvI*DDI5K77X6j!8)vD6Pf8vXV0gFFBPqT@4GT=_P4Pjfow#Z9?dtmbUjP6A z|NnRa;$&cCh+tq~I9yoR&j_TMfLI8KgWdh&ef*srLp=R~4A0;YCI$hZaJ+W$GdUnF zkEG1kH8|MO-8J6TFC-|EnSlc+b^Yp^tuSRWKt9N{0N0>kpgBNQ@xdWMo__8S4J-^6 zKm|K9{|Upamjd#g9D`jW;ypZFgB*jLJtE@+{DVT`J^kGLS;6K5Re%9V3l9(%m!w!( z6_*rc=B2ZN-Kp^OC&(vYcZvXM-(YuycY>WgTzwtc85DpL)-36=AeVwnk^o|mLU&ge zbj2KCYZ(hmSYWQ?hbs1T0s6?(4d_x%uz?fIS8oAIfenP&3^&j<-ZRA27XSbN|Nmc% Bn7;r3 diff --git a/1ano/isd/quartus-projects/Teste/db/Teste1.(2).cnf.cdb b/1ano/isd/quartus-projects/Teste/db/Teste1.(2).cnf.cdb deleted file mode 100644 index b8636ea0c9f2cd4e8161b797d45be079918a2eb4..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 1072 zcmV-01kd{v000233jqKC0001M0BZm=00011WpZ4R5H!?6F zF)=SOF)uPOGB6-hN+3*WbY&n#WNCD1Z*Iv400000004^u00000006TB0000000000 z001xq00000004Laq*TjFLopCd>jP17p`s$LL`6`fwLU=I)EBtW7QKa*BE>})A}CnF zKXvIRxNspNXq_{YNp5a$mFkpfGG{VplFa1x8)HUE2S_JVlprS=nq}xWWD(dij2Sa$ zu|7LyX*}jRRw-(o#PM_FAunv3Y|oKJO~E;wL6hHd?z_cU8JFK858{j6yiGFbEI(P? zRldXh#%ZzIC{?0zC2EvQ=TRf7m5)#cawTEUkene4>HvkvZ6{A5HNL2?U&<UdgG#=?}!Ue*DYVJr?{(abj~!Xy`7V@;BqF!lR%}|ue5*dLs~`xE<gFL{dt8 zl2&_E%f|y+_VMoi2A?WiTa8g`WbiAbwl0pFEhzxH4#zkQC zB%A5*7T_iPkz^eAHcYn@aLZ$qNBkUTkH1nEft`p$o?+nV)>~spn&><1NWKr9J|JGO zQo0ATP=HADr2D$Xb+SCs(L)Z24Oe;#dtW2dT>!hnm^dG*7xV7f(0&@BYJU#7SkN6B;i3o!qjrwQU~{viI7nLDHvj+t|NnRaY*9@w17Q#z>bvz(oDdwu zM}yQs;?RiQ?VEO+c9-3iXe3?{f=C)d8wU<9!p$FW7UD#lq&E^jL&DX?iMV*?O{-RH zGI`#ed1mIBnJ`t+G7$}|jBix|t6}XhH(^Ojcxlu1q_8|ETTKmcUtI0P0E!j_8VOC> zdP*2xfEMr{8M}YrGHjh3kL%J+Xr7QsQ#hJqB(pWNkHD9GjJ0`fRru!>+N z%30eftvf_h2;X+UT?3S=juQQ6ky6)O(M(ThT7$$bn{>|K8SdEzvO!kx+eRx!4@K!X>)YC#bA_0lQalZio0RR8}Vc*yQ diff --git a/1ano/isd/quartus-projects/Teste/db/Teste1.(2).cnf.hdb b/1ano/isd/quartus-projects/Teste/db/Teste1.(2).cnf.hdb deleted file mode 100644 index e6fed3d4379da25b123a55f20c4a821f553bc989..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 621 zcmWe(U|?9w%?KnJ7_x!T1W1Ra78Pga=P4K&=o#u6Dmay9=A2LC zycR4My~tv@mEmB`eV%{26Pr#XDLo5lDU+3pS@_D+bl;@woBXxU`QE9W`0hl->1Q2= zCM>`87vIa;TMP8}|Nj+?kCGBnIua5REMg*Voab0Fvmt_`qxtUNN40I*h7eWm8xvlKU(b2UwCVv{lH`h04A&PVEa-Lk{HWQv$>#4JMYqjH5e%Yl&iqm5 zF^=LoQC`GT*4y#@LkVvYPtmuI9{vyfT%F&)6n{=F4;qO+0jYzJh(TXg5RV{e<$C4R5H!?6F zF)=SOF)uPOGB6-hN+3*WbY&n#WNCD1Z*GT=x zpVIjXF-3Vu;xYQ5rHeUptZ8f%^snj#?zD5 zV@*&46zwW~V1?Zwfc^kHDNQKP&r#W`*rS@mZ4^yID;SK&f@(ezdIct zgEOczHA7&>J0zl?Q53xy4wC_6w@D=DnEf!W1cv;?Iy3kU00960cmd*IU}O+rU|?wa zXrs#rq?v%28;FBkoZ^FA1N?(Rm>42}{QS}%>OhKt9f)D_{!U)5&LPYU89=c|&)zqI z^nbBl7K0bgenUT_4RcOii{6&bnR% z@n;@0kOt`xgsMhX#Rj%IZThBAn3*C_Rj$5Ht}ZUFE>Ppx86HEK31yT%RKn!yu t#5h+US6^4Z5Do?fpp;BV{!vjN4KfqvMu@WDkRVS#_jssk00000|Nlw^VnzS} diff --git a/1ano/isd/quartus-projects/Teste/db/Teste1.asm_labs.ddb b/1ano/isd/quartus-projects/Teste/db/Teste1.asm_labs.ddb deleted file mode 100644 index 12a07faf126488d1675cfd5a7e62ece2d2c9303a..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 6447 zcmeHMdpOhkA9u}Mewx$Vli{3W5{4$G@$fY=vN^UW?rDIwQsi~t_*>Xn8 ztt2dp{A5lpAr8k#)^KteayP&4sOL#Nb&m7YKj&%N^ZkCeXWRGle!pMu*X#BEej$8( ze7D5;fh`}OKkzgJ_IrJTg8c%HLk;wF^mX*1@KC>_-cVx$J*d9EF7PtY0}dVSp#Sv? z@qr?|{X&56s_zwC`HOnFl^tKo>TYGLE5G_Coc~n@CRq!09O3$NQI?O~xTLw_JrDPk zOJGZ8-J-%cgD#q`etu{6BVy67*7)4>y0LW)b@$SA*^q6w^C&1BcYc1p$r6z;tIu8Z zG!kiwEfDM#iBcYqelWxTv4g(l*U_iOK+8tl#mL5o9x=1xx2{@~=Cb>ndOV!^0}6_X zCfj|dlsRm6a}#UNtua$vPu0>=`$e&m`ZQ$3fAmk9wZ5CBv{abMzoPG z=iKoVO&++V=YJL~zoy|@adm>MiY5p%2lw0!F>jV~da15JD!qnYe~5k6M0R>AqOiFC zh6kr}4#Npp91o+=?fu6Yvy7S}qzTpWJT2~M?xK#+;4Ls76C*1ywU^Mp zF?r%@$8u+LhsDXL0-@Wsy_eP9@4+FxrVN7Yyr^WQL>6-H0I1njMU1-km({Q;asG&{ zHXIRT{m&d+%>0l#*Y_K)7f7H7Eaj-c<7u6!U^ zDt!zX$GLHqbne`2o)NL<|#R?=LT%>xvA)rt~h0 zj^+|ea|INddjI4q%eW5)w21G9^djqbnx_G0Jg3IF_2Z;$9mh$5rMsaTec}Y64M)tX z0HQA@hO2aB;^f3YakhUMKWZqTrK2Kg9yR3%LbSq?isQXxRA;(}2>CdhGrQuO8#SD1 zgg>;^%8{a1B(gFc^X;X|fCVOfvt1r;Msv$l4JsuLR$AIMHuq9Q;=~A13$AE)djF04 zFYbk#50md=n6V=}()HKdH)?X3FF$ zPYAo8vj;$B$S{j-#ojnHQo!u>!?^oR_22t^Y)_Tg8K)T0V&0QZTy;!B%p6 zt{#5Qu&7}%*l9q6R_YipQABAdigsvTTsF}mm+}rNDb+fT{~FAkkkqvo0)A6^R6MxhthOkE;^QMweMT@tYS9i znN`%;i3?+Ce<rW+}D3MHMc1fZ;=;We|%&a;%OHTM)Cmz6ji9>B(MQ$EAe}m<>Yk>y2kefmUlE z!@l zJ3t;RUli52EQ-LaM%{yt7RU|ttg?gTU1HB`giug(E#+}|amPn~dq3)^8Gz}&DxRF;d6 z5}n;jj00p-P(7{N8D@oV^^4N^M$+nGx{w7g4X1*;;hN$lw5N_(<#?CG#av5D@Mz_O ztit}mlSfG6YDY*kaKf(`1)u-aXxU63VoM1e-bO)-#BG^5M@ticXV($5hX&~L2mMY3 z!zZVOPGe+ZVaYFZB|}0WE3$*F_@wNtFmMULjyV=-WX6KtZ~F((OMQ>a76i^zCs4a{ zF*?K?3>gl)3<$lE#BK2JDVgtqza!H)Ku|zsH`^u*4=eYvS)2E@oU)EWuSn>XQvNIf zRVLjc){}&QCQz|A1eKKVvIPLwFm=9oy`FTRvLdwYXgQ#g@E44}j+n<%&QDIiJZ6>C zXEFJ5M3iTPFq@w^Dh&?8cnldic@p3wi(^!}VD|?$k~je`Qoaa(eFQLH4w0G`X)|8G zlsvvV|1dRpMpzOrjVg7dy2)Xv=6DCgq^B@{xt~;umCaJXR=44h%+~!##4yln`DQ@B zf0XstQK7ZG5{)BeWC2Kk3)|4Kkpd54iB=HQq?3rLshF;NU^|n3V7;nNi#ajyM|7;U zS8WR*gR;mr7+M9g3$Q{wm-DKwQBA1%CK$oKa}kpY!0ytYjR0C=!MVW{!1H~ex$CIV zT4UF%{GIP0fCLAAsQ@O~N{^umu5B@Ik4;Xl{-j3On<&ywfpffgp2 z+opKDaf)CZfQHKXND=xElZUNPsOPm?^SiE;Ce~3${S;TN*Wa-*+_sI$85O!GU^h+5 zGZk3X1O=8x9L0~cIz~!wqvD&zw(_QdGCc|sE0%Rw0r1zn(Z=%R7L`*! z;bEq<5@JFdFvz%t@zC| zzo0C~ugEf(^VRi!>RQ%U*ZXB%?_3pddtrGwod2Mgr?;Lb@K~(6kS=ArjlT#AHruf9 zzXcQ1S~G0?qsaNPxo!F|K14^L&fsBTO+Ued?oN9i{wASiB_+p~F1#Ca**PPfeCaaf eT)K0$z#VacVVCrWM}P2^u9rWH;N8PmUj9FYA{yQR diff --git a/1ano/isd/quartus-projects/Teste/db/Teste1.cbx.xml b/1ano/isd/quartus-projects/Teste/db/Teste1.cbx.xml deleted file mode 100644 index 3fd1d11..0000000 --- a/1ano/isd/quartus-projects/Teste/db/Teste1.cbx.xml +++ /dev/null @@ -1,5 +0,0 @@ - - - - - diff --git a/1ano/isd/quartus-projects/Teste/db/Teste1.cmp.bpm b/1ano/isd/quartus-projects/Teste/db/Teste1.cmp.bpm deleted file mode 100644 index ddc725089573add66d9df1def9ecdfdaacde1728..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 592 zcmWe(U|?9w%?KnJ7_x!T1W1Ra78Pga=P4K&=o#u6Dmay9=Ao~9Hhmbz}uxDq4n-R!j7O=Xoz;wv8;vs1g4 z$%RaNSNbZ;@Y2@Hho-E&Y8-17dLlxxgcL>*Yk(Xg%zAkYYJ5p?)qlUJSSnSC%U(7jkoIy=5L-NC(W+(ou9)y{l)Hx z@aNJUi#oU&Icx$-Zn8B$k!4``|G$DUDK#M_BLN6DMhl+jSTeIALb78nQ_bVKf1Llu z$TTZGX8zOc^r%+$ugu-p=0~wI&N6dlnqy~5=rp|gW_xw#A;u+^jS)ht&L6&f^ypRo zP;2AEEDP3re_JZ;XlB4{C?8VVkQ~sF-m!eYJU26U00)~xALB>k&t4Id4rT`!Jet@$ Vy1j$etm*RZGWvW86!ZW80{|g|`lkQ@ diff --git a/1ano/isd/quartus-projects/Teste/db/Teste1.cmp.cdb b/1ano/isd/quartus-projects/Teste/db/Teste1.cmp.cdb deleted file mode 100644 index 01c1f8308a4a411fb393a052c0f375b49c5b8513..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 3872 zcmeHK`8OMi64tu9<@U8&rPSV*swJpB$St)CS0l02RuqY))?8wFmM*uds`emJJF!O) zYgHQBqGw1uhGiT2HFlT1Y86iGCzFNSsAIQgt{9zhD z8154q<{um+t*)w~rlKZo6yYD>Ev>DtDy^ml{Nd`VKP1#r+S)(dN7}^OKm12->Z#*@ zmtX<@CO+VafB1hWeeNIq$Fa3s242G9(!fgJwOR>uPBpD;M+8fhirGBSOENj6xU@_-8GDWt9Vb zowZx&9Zc9)i;dH(iv&+x-W+(w4PDFSew^-Livz3O{n&ii9(LHRLjf18 zfUPhY{9M0NZ7yswY!C`X=y>Er`YRJU&@UMzMD7MrDyvi8RZ}f(cs)uAU1Lk)z7Zz3 z(>AS9)0o_lTD+ijLDp zuhCePAZTEJT4j_TyE@*rOAlM!NIFSGIB^c6@Zgqe^vDOVHs{}J&YxU-qx-ZZ>7!n# zn0$q*m}!Y`y|3en*KtJ;ftsfk07nsEMS~c;7g3M=8U5LZpY!l@FaGZmEQO==s3UdD zfJg^;#F}6C?Dp3N!4B$ym3CPS?ct6a38W&~@ z2}>e39`#m`kzQv(K0Pr9>EsnjSbe}C#`3GrROiJ0xCSszYa2o}fKX#|Z%y?zSyfFv z7^=1udyNze8O??tbgb{Xwljxv+Fg%0!BspHHn1x*Y?FPZ$C^9n+=8X>Y!GyBE)K=+ zp#(^Y!15gNJJ6@Vvqf z!Hhj#3MhlC7dKGr#E$3f(oz@>Dcj(zY(zY6btaxwQWfH569YFaoT;~E4g_KjLEk(u zU`bp7!!vlQv!syoRwE)~Exv3kP6v#`1_z3A^?SgKE>jIBkROK8(lgX(KaT7(^0tfe zoWbYEByP=*J`&hzAf59C8GklBd9nMiBocCW@bE(uZPHJQh73kd2 zM9G;x0wEcJsS0wz#Ew=M;xCTBhLR@f$jnojrdT3q;?tadWfz@#WLXwV=tpk6?;hN6 z3oh& z6Be}Lb|rKa(X&C&D&M^${AKWSd(|^IGylt(;P8g72K!+9c%VUD~5`0|L$7XV*mNkx_3X@l2~v@3xlTZ-D|67de?^SCi7U z5cjaQx$y$uYDC)l2dP!L91Fr!kdNgP*0b6=G?8o)$f_UUF4O$KuxEBH&}Xad!-ya< zC+lV8L#o(xxkRU0LBoW&O)NFxS^wG2mdesi8MYnyDX2!+q;0P?wSBs!%w%j<=PbEc zeLQk*%n*MCXTCaFi~-#n7dv5cdZMzr(!$z`am#;V`~F1e&|`5O{duOxe_C^2&n1VK zFem|T(VtTThfai>>__`2K-S^?v_-9~(eGK-|&tW?}*-eioe;Fy3;sJ=RDd` zP;M6=1vX3#2%9s=A>9k_*SIYUxAJPTdH?||TPsU<+>NDGsai6LImM9N`*_c4e-e)f zsMwody_C&!qv((-fPa;k&Da*`hae)j-4}6y+aPWQ-8j1LSJ z0-Mmh;#VQ*1d3ccg67W}&_*WLx<5Q{`Xz-{GumlD3Jt$l5^C%YzS$)z%B>(~j#5u! z5y;pU4Y#WdU(K}X&34Mb6W3?O>CqEQ>Fq-gx)Qvhy!pYa1W4BbASn`0$}tOIJu*-d zi^?HW%k?*}^3?A`6(oe`=7S^D@|Wg2QC)QbRqy!cl+L-+J52XJq|Hq~U!R>uq3L!z zP^~8>aoJ^@sd~2;^Yi6v%VewkxUa)ITe@K`>cA8?`JwbbnF;VxGY7cCj01-!t0^J1 z+Rf!X87w()FN?IJP2pVV^z;yPuX3y1#NdsT;-&S4)-r>bkOLwJFMM>rO08^|LG*Syx-2O$0mDK@?bQM#huuSf3~hQwOZjCCVl z)^U?Fw9w~4 z7Uf-O8l=XvvLcOl&)nUgZ+<$nSe|&Q{eqCl_MZZReFK)anM03+Zr5B{XtPt06?#k2 zl}Fu28XG^hSdQ7k-3mKG8z?1Zsr9SJX)gP`DL7ps^tf6;{IAfd7iT1gy)_#m_bl_T z=bg#1>y?##dq>zJiXL+)(})lO03>0>2%Yr%1gC2$jbpACGk662H3`o<9P#XvdS-I5 zhJ(?QWvFETt;(uZo8Q%vu@Cb71nP7W>=_kGWAq#c) zN)m$-M_}P;V?c>`d2O$_UCHlfs+qbNf99$+e{Oa^a`hL$)!~Zk4aFCad7c!Y5UJB@ z6Z(os>xgF3i}j@0>5mU@?Vk{xJL55L`i8$P&v}AFo4N_=IZk-RJstqq_H5rhP&_Y7 zgs66$yqbp3_D>9KZDOC-0r3Q4`>Q?7Gp+>!%;x(MMFkXzv?C9g4#KlYSO#$qD((`@4vqrbQ}Nx diff --git a/1ano/isd/quartus-projects/Teste/db/Teste1.cmp.hdb b/1ano/isd/quartus-projects/Teste/db/Teste1.cmp.hdb deleted file mode 100644 index 865bf33a7de8ff432f3b45222388e7ea54ee90dd..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 12142 zcmV-!FOkp`000233jqKC0001M0BZm=00011WpZ4R5H!?6F zF)=SOF)uPOGB6-hN+3*WbY&n#WNCD1Z*GA~0RR910081C00000002u20000000000 z003?;00000004La?0pNETt%67hhuvMVAY0wVt|A|kt>vdAtF@cG$)aUU0P1py)d z`&Lf%`OdXYpX!7OOg&Rm=Tv>~`(3I|)j6ln>E1Jw$-H|`CUXEvn|x3VK8rTyD1Lvt z-q)q%=C<6A;*6`G2M%&a$*`R{s-4)dtmU;2ohF03ScGTsWpMTQ(CL&TN>abNZQC{v^#u?J z!Ztz7tB`Wl8l{kXOdA|oZqu*L$W+5j9;$l@B^Ru-kpBePW!fEkXO2&tevz!r$=a&9 zvND{6v{mXV32Oo*tfaM>IhvM~tf8IEOuF2@x7~IvbEVTx8UHrr$;-&Hjj`Z1lgIQN zTdF2Eaj$AB0TixQP;*r_1gR`r3?Yx%*P_Chwm@P6%B3J3n3K6){denWm3NG}ZQHkK z#+#ADCF$aJR`NfHoJBq$Wu6C|&L$2m$C9!+7BeWOvsxm{>gX7kP>MY{C7e!cqP?0#>eK}eiKrCqca={%P7_hsa;cFnsx9}wE!nRi=ziwx#e9dRo8pwUFDN>g0 zN@nX5RU7xAph#NJ?s<2dJPTWdh~mH)*~Yb&iDj4`e=L=-^N)JSq3ppn%P(>m9uSQGe8T1Sf5+=g5 zI~)S+Fr9csaS?V$1+Tow5=OQ#}bMzOD@`$T(l4_%0VL2+pse*JvClAWn^q*Vqj`y zxWdp$F}ldrLc;dL&c+Y+7kc{odn>Iy#X?`Pt+Kq_Cgs9Hvws9`V@a}yA$d4`u+&N= zmF}*dK9f8h+gRx6EA|vBh2FNVN?W0?klSd2@xTv(Z5$n(US+~^ve4M}jzaH>%2;a= zxwp%xmNRbzG?8lFpV#=d){f(h3itH`HjXFoaBqo1JR6L9WH+z}8aCGK4}xe8p!7!p zs{R~_DT=)sm$PV3ll6jGYnet@Juy+S&^n^pb$yhauHR9m)?e>Z+gop5KcCj)A1{76 zz3-PZ?Q2|A>BmReNUV@8bs2fyg_` zy4Q-AtAV^Du{-t|3KW6#8Pts7f{)ks%v`mY4nYpy48a|dfz`NZoEkZ0VsvUf1^L-r z*lm$(&_*MuTrZ8fL{&9WIXi)ghWmp?j(usFlXwrbZ+tEHcr!=$<2$kMhrV9+H^Vp1 zqOyND(gXc7I6gf#JTS4oGC4Fb27$3WG{o!-Z0hW7_J0w+h;Os^i88XZ?7?kRDXbRi^WYLC6%?K&Kz zk)v{VWPfj>s+t}}fBl8C7d8DZ02lrg4@E<7luwPW867)?%@2zqK$s47qhrzsP?vSG zHf?8>^Po2@+v*SFWS!>K2N?a9=^@05_w$&t$Zg1+@ZP8;hinVR$>At<>}GliQ>*C# z^e1mmGVy|ZSLsLIIA!d=iJV1d%yk(Se~xWte_I?TjV$?Wv(~F^jw`inRH}G{|7ZJucKq+Wd4O}zF>|)BrE(rivJGf8-Gh0I7rabq`fpi?4Owu> z?6*m`>2uYnc7Hu+tLbjo%?m>&#$rd$Kh)6SdSa>4AMvv2823v6=Z4@*l8q0{qq2i^$LQebPZ80SDv`&ZSjUHmc;DbdFI+mrcG^xq+$bHDnFC|! zi=OzfnaLuzENK{AGBDIQFfuqY(9km6uy~}gp?M%TxG1-@F*mq`a{k1@Tjf`AKT3&& z@ehv-H7{;#ZXRAboNFFj+&s|SGBmWPd2mV7;-==@(p7$L?lXRz5Y(Gc?AD_)?}bij zI>oZcO)W#iO@mECO^X%}HLO~-WT<7Zp}D!aWy#_J=xP|IoKDy8x$at6u3k~%+;;rH zx{+1m6KfW%I};inLC@-0LL>Yo1Ix^g-5y*H9ZIpg`9c%7bqYx5h+~SA=73U;#|gh* zQIKX6GULTD$^AKe`HCIH3eJLaF5o{W|CS9T))Ls?HfJs>WMXi546qL<_#!fOaIDc` zmaOM-#0S;5P2Fr`;y!kjR&r6hK2D;JpJyBlaZJ=fLz&mHjTKHh4<+sCYHxRH0)>Pt zWZo4yADgwpF&k=v3sS%}7UhL@@JsFBn*nD$y2|($ngWp%QdeNR*2oF?7Hr2W=SX3X zQ!0B5{yFJtl1WyAwYqs}ybhx$NExMwQ z2Tr-Vm8XfESzFf+tsWm6$(B}TliNU}oOBX+LPDsUSq5AXW_%cMnzwx|lqk6!V7S|L zZ`i@V2{K|+J_=8k0w)2Xc+uCGn_rE;TuDu z_q@@5GHiD2-w?v^LL!DM9ENov47~6$$8ZZ6!t}ocH2yN4ncqJFP<28I5~0XAesl!XyN~m1J5mJ5Tu^X zIPJrB@Dac{`jYDwCjzHAx@)p%dpNt(YQC#C~Xk zY-AjtsTa|&L6mrr-#27L30<^#HsglEC3ZG^)DC{B9elGL{4zWE<#zCo*}<={gMZu(ex)7!Dm(ZV zJNPG(!#|H{R?Rti_lUY0aMs1IKBwVZl{oGAXRL1}S6+p?x-&PWfahKOza_GriZ^S| zU2tY=BJ@V-xj;Xe2<@4w#bo(n3deM?TIJp1sdRP72;~hxge++QaM-PsGa<_*w^PSV@=E#nULoamDO{vfg zR_LRFHgs5=>0@^AkJ!O4u!Dca4*m;L~u?0%R5&p7DDW{*O#6Q}#vR_Ol%+M?f|vr^uVXjmv;>A)M(eSB3(p*`<* z$^hVe_K=T)R7z!h4_s%l+*jzRB=}4^u0ID;m?f6Kp%ie}smw)y)4CcaqPz>gxOTJ) z&TLAAz7Zn^f|)HuisxF6#u*d`Q=y#de*63F;C#oSR_&tK)*|MHBO&Sp@yqF<*PEdn z3yd~1w`F=SEM{H0v2q*G2AAWM|2wca(~CVXj>A8eLcQp)-vVBv?;>>1rBE*5_og^Q z7Vsb2!SAz!|HKY{Kj86!*9QuRtW4vzDOTU^NKH;EU>n_5)4dIiMR}eb{CvP^Oby4H zP=0}(@(Tgy7Z>;U7PE!kY)^4{e@CGwTT1oR%S9mLH=#OY-925)dkUSMrSkG@cPZ5q z&KHA`ANKV270PXeo;KmQXA@%HxlA0-o6>R!4VQp}4|w*%myTk#)LB?w6wRK^fGwi+ zqiD1kX`2D(Q~uqZ%ldn>y&Z*>sjRpRG&o{XPq3F;;y-4Izrqs#aZCJ_miVhI@mqkm zJ7~p_{6sy;uC6E9-_(=r8j$h*o9;qyZ)s&Q+gt1@rMn{hB$znMmCE=M=CXQHwcK7> z-rp1ZtSUg>87hwoCw&Ty>dBJUz7(d3sqWKtbMiA*CTYh|eztBVU0XM%&(+Jh&)3bQ zFVv0ci*;lAk{wgt9R=5csn%sr4C}7|j=z*=NH50m1&aDd2e*|IHL|7oGXL6mZdfHv-OgeQ|!-)!*0M-q&NVJ;}afO(uH(7Lf7vV~nU$nFFe? zr!*he2>7)I)P^P?A`i}6>r3}NYgfg=bDK3;-i^oi>+9(4*0#B(W$pl39WEY4=` zH4YkK`;{q_3)`;(oOciPmAYv1Y{m_pE#TnMkZZ_xt~kj96FYGXp8x}ITt_~G6$ic= z;2Ps6%=#OkYaMw4evOs#PXdjcH_?aL~@h$-NL3Tw4bVJ_n9kheF=9K|jY^!{jfz;R|-kzZecrx^R67aQvmd-F=-U z{>#Ac1O44An{o|}*{+^!u``!T_aNEz;NlZ44cn93LEf#vF(I12Voz~{J;hh;DZXY; z@wfIAU$>|DhCRhMLBZSG>aD1@Qg3V5%3@EdrzQRlOkA!~J?D6%4fyYE!2bX+{!$<0 zH(BDpZHd3x68{}b{4JLF?*gA{wH7net##n|UL81YOUsdWo%udEYF%%|ig`QWoWyHb zZ(ZG)J5neYw%?foF0}u^4t`e(xQN^j0Z%=D-3>6qp6&wspMZW3(7_wsg>tsP+}mAj zEwz`5ZQ0(^a(r+oLgv{FEZ%vy`JNQPanYF{IsT;^RzD3_p7cEIpTpt8JQaIhZ80+p zGX0Ak{2{>kEt#b@yB{J9nS7j^-7*be@$R<=K4r~H?I*LG0n-Jvs% zCz)%Vid}SOtsVRfJNQHjxR?zm0k3hqipWi+P%a`jZ3pM~lUelJnJM5Ra%TaaK62~r zl%EYaUrn!mt|g-C*`$S06XrQ)8+c4z<9szhRy=WiIym{MH-Ad+luFa8RZFXPuL$9H zf5!jzAi;dnW_g*9&SS@uXthkMp^jekcJ=qP7G;Zn+mBoW7$3|l7yI}Qf2FG&{hci2 z^5e&VXIZ*{JvGa^R4$yE3UwAxrsVf>Juv*T z?-h75wX&?EtM#}_ccG`R6!)El)ZypZkV5y_z@!+0=K#hdxOzC#9)I%N_mOnEHUdVf z+K#PrQ=!gFg*rbK>Vj0L3sa#kNrk!;D8u03AmF(LPl>mRFZ-_XQF|7JM^6NJ?lCOyJ{L{1|Mrp6EinR}K z)Z+*xZwZpJv0*`OLF6eK4^>Jri$wu@_=X!~p1*Hr$aaiQjbw|% z)fJE;{uD>Y*zxDabGaiMn~uya&Su++t;Nn|#hz?K;}O}$hUg!8@Qhqx=4qg-Wf>9X zo^FN)oXNZRv#`nb8t(jw`vL2LG}s+b?&{8Lu!HlJMcxn?Icx3s#8hQ?P*8g|<7_w= zk`^vp1UPLtb*M5vJ+*dv%A;891Z)sL9da4uc)`A%p|Wap^~j`vdNu<*;+G1pf+Pnz z-!)v`(S@Jfz$-m{JelhCaEP4%ooHK##(CK9J+9PU!CR2Ua*^-zl$TeqUuv(cXzQqS zcD1S7_X6hGjN_m0-2Y7Zy~GO~c*?J7+<;SZT35r!7oMFBv|-q=Sx-ru@%uznCet}) z3eFc?4@o0aD;h|@Jo-fe&r~C@Z4Pv0YpbaBY(_zgXh6*Iy({IS2OxH$u*)5_F`X3! z?M@H<7NE2^@$Z)iPi_L1agYB#(PEc=WwtPng6t*M|W8AgC6eI=*IP;ne8BDdQ8TESwlwJ3hH^8o!P= zIx#+f^~liZ!rZ{v_`=c2;e}^RH*&NSa=4u z7Yg${n;t{NaQWXgx-WeAFrfI$!y%o){69c{V-Xi{8E1tlt9_q?^)1FG&ym zs2Lj9U6%sRd+6Og+dt+iEf*enHa%|V-6nofu;KLD19g#^%goS#?V_(Pci@Y<8wIIn zQ^I*b%XA5L42DZMw`DpC_fPglMP~`$62p(oVn4jqAy7t$^(Mg`7uu*Nqhs+ys)|Bb zb1&M}Ez3fu`VySZ{tkQ@{E4m6U$;ocJJIW8Y6qhrLro_0V`SDvUvH7&Ziiu62*Z7e z818WxjtOD-Ng{^(9EKx9817HR@PNb6q8ajlIq9b;4I_lj4>=T#nnH}x$CFV!>`*Mw z6pjTfo=8UVs6%mh2*uW96puR;hiQsDa87y>WrA2dnWJ6#Hum;l~^t%n2x-b13%J6s{4O-I7r}?@;U=La`Sp z7>ZovlkT+fC5K_J5QaA;VtCbI*dv7D&5j$54Y5Y-hEFUx*X$NT@%Chq*u$ZC6~Bz` zpRNu_MzNPe@lpuIfypTLb|{_?p?GI9ihUi5=RznB28DTa@9!}DMl<9QS|`0bltDh> z%0Y3U!|;q|5Q96L%#DK`il;S&wgBZJiHw-*Fgz8)FxN367eB5Jb0{7Uq4+CM;LrOW zh(8dAI|Pqvg1qa-yd(q*9D;{6fs4-^3W8v7`b}tb7#`9LqAv~ygJGhff)-G0c_DaQ zd=|%?y1FakEAfia_aDiz4uU*HnPvI`DuPZ(3ASn^w`ID^3jISX^xanI|6_&zM=SI{ zS)uQ-LjTALeXkYz$5!b3fKG6*KuQf)|4{vjmGb+o&_A_8KLE6a{6Q=9LssaY zS)qRpbi%M5hPfHip45Q38P}i27xtdQ8X3s{3>d=DrV*jL(f%ZQKhA{LL&&H8#Ny>8_z*SMZlI&fTG2ab=`f#ZrgaD2QD z99Mz^pG9Qwes;P}xvCCEYypRwnDJ}0>Szr47dJ3IVTr%m68}lyX!^T`GPj5jyHp?G`KacdgL30-fZ9H_GkWAvi^3U6I^CR8GnbB@;mL6|G*5VYjM}uKTBkP)HU+Y%?5B?h=Tvp4*r-O{Bb+@ z6L#>e4&3nhQQZB7{{lt)34!TS?{{V&NWt}uSBraxTrK{OnSwUH1d1^D??Zi%pFnAJ z*gc*XlPPBq!)_1$DYz3H`@BCG2Hyj4)5J&mxciHHIwlx~LEIty=Z+b1cL`}m+)&yp zAxGSOgEu*@#5|O58cc6aXa+|U2jpIQK<2UIq_;R`7$W+rL$QaZ5Fcv34HWn@oN4cd ztMw{cYe%`CV?azCNFD|MOEe}KRSHiY?SL@h9cB~Q6?@PFl&}6Sc=P|j1ZL2Uy}%KM zeTE&eCvB}-T+4gpJ&aK^=AZm2JEl9E=uq@$UK@lHA{ltH($ zB*WfLG@jQCVoV-kWPm_sUoceBq;=AKG*W|MOh&;Q%y6<3&K|2qz|qbkZ>FFF3_%!t z1sd5NJ)Gju$D+~TerWt@xRbt~j6#RtIo*XCkMbL43OcbrLJ+o8KAQ~AxiRd%?7QHh zAqLd$xt7hN!bu0GfQ#Wf4{*}Q_g|FqLsKZ%hNCvdjv#jKn zE^U%F%5C<0lrfLk`SM#k_#XkMWgW$p#g5p|AfoK&@TY$ZBHxY;c%O&3llDPlt)oyJ zev2LD@h6;7rY`}Svu|&EYri(gUj~d90!vdEFW^Vk2B#M?I*sjMqm4D#;viWpw?eP5 zLYJ)2$6BF}vqE=Rp*s_y(K&Otq_(afT8(cI$(B}Ti|jEzr{ktj5x1FJvm!clyIx#) z(BFygK;`#ya+@y$ALwlJ4P_qqtjCLdnZFR*{LOY<&q1WOiP77kL?Y}dLZIbK+Q*6P(FwH_o3vQs=sljyz{ZI1k}vM9VS|?D`>@z zm!L*lEjV%w9A*jSwRE2Ww>37hJ)+|6}i|D zChXf-j$>(b-QgT&_tojZ4!46RB=KWR z-FGnet=UpDN2~--ui`DO$2!Lozj!SPhow0lyMzRdjE~388K-ZosLv>GiE;gf33@i3pUndc8)-#IC7WHsPtS@G7caB8D)gyM`nvbY@^nN|! zK{w(@@{m!&YzIH^i`TMq;&F)44zn-e68za--g;wNhWlvo*SCrzb_AIv-bz)L9BQ0O zj{S_JYSEIAfTijHij@dJ)Om6&M2(MF3G=)jP`g7a)NJ`-#8H{-^pU5d0=}r1$zq+` zA(qyIG~i1;NM9q4*OM==Q3zA04IH;`z-~B6d;we_9^o;)0n@CC6lNH;yzcP%qaOWY zUS9%NT7fkxJRY&`tMg`^fa`C)XXEbLG>B5o5BSxUsJWn;WVprZm6frtep zcJ+$gM34^(jTmPH-E(QR^OjkD%t`Ho@QOhPFp&%Cs2?dEA zL4l<}jY0`g98!xKbW+QAX~%AVaHpL8Xo%!chVj>Eb&zi*W$s0d73s(%hczv$mydJ5Z__E)xTYiExkJ;*C@is`OY zwEu@NGXCtNt&92pRyUrMUV_B-MPIieSYS*#! z^)!NJXWWoee9PlSU5vzXyq==|doEP5-X3CQ;s=v&za{j^GEUO95XhiGbJ9g zcGQ3Vn2qUdOr1HEGp9=O2Ea@iALhAmrdT>_$ILvU%xh&mdQRQKiCs9{Q!ITw*^zb>j-j*kLutR$pIPX8p9e8QDY|k3F!c!$%3t|OAA8w!|Fj zJJcc`E0NIB9nKMWlqc}9alq1m`>Mhmu~>1E?$aWiMwSdK;d6Fg0N;vaX$3Zz09Y8We->LH;X@!sKD%$Azhxq5tTY+mQgmg4!6 zhyhd2eyPW6oys1|zTG)jTIQ(F6bbXW)EN}vRH3Jfc{^pm%#;Al+TlGPt4BQQaUk2+ zp-1wE{BIp8!`P}eP$qoM#Bx$nzDTuot1ufT)dt*87-Y#&H`D7)s+_AW9y8XLUD&X| zRZ{q%t9S3u*ocKcC0}p%>k;IHC#=%+z;&fMEtV1~`o;XQaXn6p1BL}m54<50fFzu9 z%#+d#kYJ~UUsozG(HL+)P+`6(QV_GZas(;$v5S6xgLR}{V=Q2#+u1QkRS3v}Be%8$zyn4P)1JGwKo zotXtTK1_|YxHh387!7R`n!aFTVw*N5wXrcVp-@s&Emaef{zRI-H1?@KZ$=Xz?1Sk~ zXw^jRIcN9I?y}2Ha&wn^zI*=ech0>8Spi$h82jw=VINGa55{^JA;s?)5nDBMN7Zd^ z1|*pmvMG?%AN=}G0n9j7Cy~0OaVr9lCG0#beSG!t_*$3|vJwU=v$%}fidY9MOy9h7 z4kiL1FwL4RnL%zBvj$kM+k5Of!s?0{Taf`PA^Gu-zM3mrl2B8blq=j+d%2Qy-nY}! z-1UqLJ_#UnY7uY?fS0=98bIa&w*h#W3y$U2yc@vFU2tK3aJ#3YYlRCg$`5V@%_~_M zfL`yoKL8W?yawj9tXqz3gjcaZ_-rRl_Qg{spMuDzKmZb)DjqCPW_9v|EnZHy4 zq#>h%&Xy51We#Swh@k_roE-x0d^+3pF90*#bLs4;S|q%VtoGlUsm81#BR0T5tGZ!o zP#%^o>7W>Qttae%p}!6z4u=Rir4xTuuvb8KV@pFR)(7G$U{F$HSaE48H_(ZIls<&6 zf{~u+O3Gi$mj^GQ6v-uO$_g2#O^!K=zlx5d|J39-!f=S%v||wtfQ_UF*69;~khwviNcX%PE=Rzt!x4W-+wcG78pbtMSDtUtfS)%pT7JHh)FJv zH=u?g)jYy=h3m4)t!-IJcWXD1Q{2(Conbvr++j&zHl7ybsU$Syl;IKl9VKHYOkGCn~N_4J)!_ zDvl=UQjnVsSq}C9I=6!ht98*X(@3d#@ax}OF)bx9M6Od!!AaaIO{^cpKlV*E!bHJr zf|+Py=(5Reo>_X&u@K0An`nJ1@S?CXD%3+^9slPk8Yi`)L1e(FaXwECqP}9siRvU) zlo0l%lzB@IaZP%GvVXSe$|tB9;}d92ia16fg~#)Jk@W!L@RJK;f|Z-$ZwxON+L6^} z_mV;S;^~RPSa6H(f=gy6I{|;VyH~(0*%!Bjo8?5(S}AF@cON1Z*>V^N)U2QbjFsp~lK{4{S`c&= z4OU~fK&u!&0)y~?SO9L%;%*y&b8|Q0@S=?Eppq{b9xcLi!h*(Y4DG7W6l^u1a&r&) z_I~@!EQs7_GkgQG+FbLJ0tDfQK_K}gIpFk4rYiZN-B5eStGkm7|8UOt4jM(QC}wI) zsvaUj6D|m#B)K#a)IIr7*bZeUI6EgH`PxT4cAZ4+f%RIR)k4G($r?M zE0bM33IW9Ge%Xp?3LA%C7f-(Z2))G&h$jTSn&5dH#dnUyvpmoJQbQ_;O(`m5zSII) kNyRq?ol@f2-V~&>x!-+x1Oyq>iAW|S6aGc`9{>RV{{u>?(EtDd diff --git a/1ano/isd/quartus-projects/Teste/db/Teste1.cmp.idb b/1ano/isd/quartus-projects/Teste/db/Teste1.cmp.idb deleted file mode 100644 index 8a640d5734267bcebab0e1f6eaa8787723cd9b26..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 900 zcmV-~1AF`w000233jqKC0001M0BZm=00011WpZ4R5H!?6F zF)=SOF)uPOGB6-hN+3*WbY&n#WNCD1Z*J@a00000008&_00000006530000000000 z004vo00000004LaVqsul-~(b9-~lq2p;!Pa$OxoC;vgCXKx`HuW`nYU6ay2K4H5&% zfz*Hi$Sjz85DjDVBC$dC!^Am}*jz|#ZYWy@NGkxb3J_}mu?`R`05OO!4#emHqz@T@ z>_rBw$Q&RWIV?a@Aom~xeq;`i&4I>;g&9m36duSx5SasHv!n4v(D-6#d~`obpvg<3 z@ukrC(rA28cp?K?WDbxmhsKvj*&qJ@{|~YP7Y$DCwm=0Sw}ah(7^njr z{txQGY3<+tdXNDSSv{Z5^fEXWH_C@;s}T%7k|nYF8FAH=^+s3 z&#x*QxF8E_>tPTl;#o98-ftv8Rhuyo+OqqmyH>YkWYEXP9G%D_DSuK>#RyO?cI1AjQBB#W3aZ z!6E)ZuFMP;K=EZpb_aQZG{{&nAO@)kb9DwP02>5R%EDj(l=_)pwjZPnge9R$o&5cM z!0M4yvodf1WnloMnhS_Q2D^E>`na%xrI)WNv;iprDHVoFgPi5)?i%mw8sg#a!VZ>; zTfH<4W~n$-9nia;&R~}TRoi6dmDq7GXaMED#Bcu&3L21lb*Ne&e}C`LfOudS`MAc1 zxcUb80K>?pxFp5Os<@;mGcVna6GN{qUcG@KK2}yvPW}<`MlN8>?YJ;pDgiYC)ul=K z`8jsn7>eOxiQ=uaoczQRJ07rV@02upE7U;zppoomww zQ^E>l1O`X?@_|#q>Sa2MVKRas8JPQRjC4R@#}Br`{MC{=m?|NdssMjazYwT00kAbE al`ZchD+9$UP>pMlpQ8^r2><{90RR6I{c6Ji diff --git a/1ano/isd/quartus-projects/Teste/db/Teste1.cmp.logdb b/1ano/isd/quartus-projects/Teste/db/Teste1.cmp.logdb deleted file mode 100644 index 6f1e252..0000000 --- a/1ano/isd/quartus-projects/Teste/db/Teste1.cmp.logdb +++ /dev/null @@ -1,47 +0,0 @@ -v1 -IO_RULES,NUM_CLKS_NOT_EXCEED_CLKS_AVAILABLE,INAPPLICABLE,IO_000002,Capacity Checks,Number of clocks in an I/O bank should not exceed the number of clocks available.,Critical,No Global Signal assignments found.,,I/O,, -IO_RULES,NUM_PINS_NOT_EXCEED_LOC_AVAILABLE,INAPPLICABLE,IO_000001,Capacity Checks,Number of pins in an I/O bank should not exceed the number of locations available.,Critical,No Location assignments found.,,I/O,, -IO_RULES,NUM_VREF_NOT_EXCEED_LOC_AVAILABLE,INAPPLICABLE,IO_000003,Capacity Checks,Number of pins in a Vrefgroup should not exceed the number of locations available.,Critical,No Location assignments found.,,I/O,, -IO_RULES,IO_BANK_SUPPORT_VCCIO,INAPPLICABLE,IO_000004,Voltage Compatibility Checks,The I/O bank should support the requested VCCIO.,Critical,No IOBANK_VCCIO assignments found.,,I/O,, -IO_RULES,IO_BANK_NOT_HAVE_COMPETING_VREF,INAPPLICABLE,IO_000005,Voltage Compatibility Checks,The I/O bank should not have competing VREF values.,Critical,No VREF I/O Standard assignments found.,,I/O,, -IO_RULES,IO_BANK_NOT_HAVE_COMPETING_VCCIO,PASS,IO_000006,Voltage Compatibility Checks,The I/O bank should not have competing VCCIO values.,Critical,0 such failures found.,,I/O,, -IO_RULES,CHECK_UNAVAILABLE_LOC,INAPPLICABLE,IO_000007,Valid Location Checks,Checks for unavailable locations.,Critical,No Location assignments found.,,I/O,, -IO_RULES,CHECK_RESERVED_LOC,INAPPLICABLE,IO_000008,Valid Location Checks,Checks for reserved locations.,Critical,No reserved LogicLock region found.,,I/O,, -IO_RULES,OCT_SUPPORTS_SLEW_RATE,INAPPLICABLE,IO_000047,I/O Properties Checks for One I/O,On Chip Termination and Slew Rate should not be used at the same time.,Critical,No Slew Rate assignments found.,,I/O,, -IO_RULES,LOC_SUPPORTS_SLEW_RATE,INAPPLICABLE,IO_000046,I/O Properties Checks for One I/O,The location should support the requested Slew Rate value.,Critical,No Slew Rate assignments found.,,I/O,, -IO_RULES,IO_STD_SUPPORTS_SLEW_RATE,INAPPLICABLE,IO_000045,I/O Properties Checks for One I/O,The I/O standard should support the requested Slew Rate value.,Critical,No Slew Rate assignments found.,,I/O,, -IO_RULES,WEAK_PULL_UP_AND_BUS_HOLD_NOT_USED_SIMULTANEOUSLY,INAPPLICABLE,IO_000027,I/O Properties Checks for One I/O,Weak Pull Up and Bus Hold should not be used at the same time.,Critical,No Enable Bus-Hold Circuitry or Weak Pull-Up Resistor assignments found.,,I/O,, -IO_RULES,OCT_AND_CURRENT_STRENGTH_NOT_USED_SIMULTANEOUSLY,INAPPLICABLE,IO_000026,I/O Properties Checks for One I/O,On Chip Termination and Current Strength should not be used at the same time.,Critical,No Current Strength assignments found.,,I/O,, -IO_RULES,IO_DIR_SUPPORT_OCT_VALUE,PASS,IO_000024,I/O Properties Checks for One I/O,The I/O direction should support the On Chip Termination value.,Critical,0 such failures found.,,I/O,, -IO_RULES,IO_STD_SUPPORT_OPEN_DRAIN_VALUE,INAPPLICABLE,IO_000023,I/O Properties Checks for One I/O,The I/O standard should support the Open Drain value.,Critical,No open drain assignments found.,,I/O,, -IO_RULES,IO_STD_SUPPORT_BUS_HOLD_VALUE,INAPPLICABLE,IO_000022,I/O Properties Checks for One I/O,The I/O standard should support the requested Bus Hold value.,Critical,No Enable Bus-Hold Circuitry assignments found.,,I/O,, -IO_RULES,IO_STD_SUPPORT_WEAK_PULL_UP_VALUE,INAPPLICABLE,IO_000021,I/O Properties Checks for One I/O,The I/O standard should support the requested Weak Pull Up value.,Critical,No Weak Pull-Up Resistor assignments found.,,I/O,, -IO_RULES,IO_STD_SUPPORT_PCI_CLAMP_DIODE,PASS,IO_000020,I/O Properties Checks for One I/O,The I/O standard should support the requested PCI Clamp Diode.,Critical,0 such failures found.,,I/O,, -IO_RULES,IO_STD_SUPPORT_OCT_VALUE,PASS,IO_000019,I/O Properties Checks for One I/O,The I/O standard should support the requested On Chip Termination value.,Critical,0 such failures found.,,I/O,, -IO_RULES,IO_STD_SUPPORT_CURRENT_STRENGTH,INAPPLICABLE,IO_000018,I/O Properties Checks for One I/O,The I/O standard should support the requested Current Strength.,Critical,No Current Strength assignments found.,,I/O,, -IO_RULES,LOC_SUPPORT_PCI_CLAMP_DIODE,PASS,IO_000015,I/O Properties Checks for One I/O,The location should support the requested PCI Clamp Diode.,Critical,0 such failures found.,,I/O,, -IO_RULES,LOC_SUPPORT_WEAK_PULL_UP_VALUE,INAPPLICABLE,IO_000014,I/O Properties Checks for One I/O,The location should support the requested Weak Pull Up value.,Critical,No Weak Pull-Up Resistor assignments found.,,I/O,, -IO_RULES,LOC_SUPPORT_BUS_HOLD_VALUE,INAPPLICABLE,IO_000013,I/O Properties Checks for One I/O,The location should support the requested Bus Hold value.,Critical,No Enable Bus-Hold Circuitry assignments found.,,I/O,, -IO_RULES,LOC_SUPPORT_OCT_VALUE,PASS,IO_000012,I/O Properties Checks for One I/O,The location should support the requested On Chip Termination value.,Critical,0 such failures found.,,I/O,, -IO_RULES,LOC_SUPPORT_CURRENT_STRENGTH,INAPPLICABLE,IO_000011,I/O Properties Checks for One I/O,The location should support the requested Current Strength.,Critical,No Current Strength assignments found.,,I/O,, -IO_RULES,LOC_SUPPORT_IO_DIR,PASS,IO_000010,I/O Properties Checks for One I/O,The location should support the requested I/O direction.,Critical,0 such failures found.,,I/O,, -IO_RULES,LOC_SUPPORT_IO_STD,PASS,IO_000009,I/O Properties Checks for One I/O,The location should support the requested I/O standard.,Critical,0 such failures found.,,I/O,, -IO_RULES,CURRENT_DENSITY_FOR_CONSECUTIVE_IO_NOT_EXCEED_CURRENT_VALUE,PASS,IO_000033,Electromigration Checks,Current density for consecutive I/Os should not exceed 240mA for row I/Os and 240mA for column I/Os.,Critical,0 such failures found.,,I/O,, -IO_RULES,SINGLE_ENDED_OUTPUTS_LAB_ROWS_FROM_DIFF_IO,INAPPLICABLE,IO_000034,SI Related Distance Checks,Single-ended outputs should be 5 LAB row(s) away from a differential I/O.,High,No Differential I/O Standard assignments found.,,I/O,, -IO_RULES,MAX_20_OUTPUTS_ALLOWED_IN_VREFGROUP,INAPPLICABLE,IO_000042,SI Related SSO Limit Checks,No more than 20 outputs are allowed in a VREF group when VREF is being read from.,High,No VREF I/O Standard assignments found.,,I/O,, -IO_RULES,DEV_IO_RULE_OCT_DISCLAIMER,,,,,,,,,, -IO_RULES_MATRIX,Pin/Rules,IO_000002;IO_000001;IO_000003;IO_000004;IO_000005;IO_000006;IO_000007;IO_000008;IO_000047;IO_000046;IO_000045;IO_000027;IO_000026;IO_000024;IO_000023;IO_000022;IO_000021;IO_000020;IO_000019;IO_000018;IO_000015;IO_000014;IO_000013;IO_000012;IO_000011;IO_000010;IO_000009;IO_000033;IO_000034;IO_000042, -IO_RULES_MATRIX,Total Pass,0;0;0;0;0;5;0;0;0;0;0;0;0;1;0;0;0;4;1;0;4;0;0;1;0;5;5;5;0;0, -IO_RULES_MATRIX,Total Unchecked,0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0, -IO_RULES_MATRIX,Total Inapplicable,5;5;5;5;5;0;5;5;5;5;5;5;5;4;5;5;5;1;4;5;1;5;5;4;5;0;0;0;5;5, -IO_RULES_MATRIX,Total Fail,0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0, -IO_RULES_MATRIX,F,Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Pass;Pass;Pass;Inapplicable;Inapplicable, -IO_RULES_MATRIX,C,Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Pass;Pass;Inapplicable;Inapplicable, -IO_RULES_MATRIX,B,Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Pass;Pass;Inapplicable;Inapplicable, -IO_RULES_MATRIX,D,Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Pass;Pass;Inapplicable;Inapplicable, -IO_RULES_MATRIX,A,Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Pass;Pass;Inapplicable;Inapplicable, -IO_RULES_SUMMARY,Total I/O Rules,30, -IO_RULES_SUMMARY,Number of I/O Rules Passed,9, -IO_RULES_SUMMARY,Number of I/O Rules Failed,0, -IO_RULES_SUMMARY,Number of I/O Rules Unchecked,0, -IO_RULES_SUMMARY,Number of I/O Rules Inapplicable,21, diff --git a/1ano/isd/quartus-projects/Teste/db/Teste1.cmp.rdb b/1ano/isd/quartus-projects/Teste/db/Teste1.cmp.rdb deleted file mode 100644 index 1292ef898de76bbe56d9fcd997c53b494685c2cf..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 17213 zcmb4q19LAvuy$>p+O}=mwr#t=+U}{{p4zr;+qP}J|9kHzcqf_cPIl+XWM+4h$!<`A zfPkuDf&UwUfNcJ2tp8DUGiMho2YW(hMtUZCCPHC1D_c`S4rWF|CMJgeIy2*ctcowmiCVgCo=CG@{YKvh6ZpzE+MTpLLC>Z7xn|^Ydp_>P ztacT1I%bK4jXGf_>7*&|AgO-pTC1P>4IH~1U+2gpB6 zV9387(A9$hMM_N5sPE$zJH$IpPx=Q63?xvH5DBQ~d9OcMpntb_m>{pc9nwE8@A*ZH z!b-fmXq!3QsWj)MCv+{(;m0^B65>C(fY_49{p;%6=VqWl@T!K@3# zt@GOZ&+|c;Rnz5nblb8^b(D`3Y_V>e#tQLI2Pm;yR$9rXz>tWMLd z)PDRR^{dW=+}^%u@L`y7M*EbuuIuBwBR(vgR{f~n9qeyS%9MDo?yvI`mE`)#bHgykb1^6#qKFz9EL@VZ}`c4YTIDpa)H6<;w3-3 zE5YZ{UJ+MpVFdO0M3Yiq_Vl6N+39Zu%LxN6w#zr-i}d$*);R1@y#&Znb-gcC?w_x( zEY|bkP=?aduwX-7rbjpE?nB^Q@@^xbgllS~!kb}uk*k-2eY6Oid3cOd!rbBNL;N!L zKdl$1lz}Y43Je>uZP0SxZFr4|@{d1H&t8R*t&x%OO||*yt&4~B$;;kf=LcS&#QIlc z^IAN7IyLwIVoo`%!P%6kDI~h`i_-HD|KU!4aH%aNd&mZP|NDkMao<+hOobj@ z+>slM!;!Lv26#QH(K{1*mqoD2XI561d94o@9e$R$uLp6**X7u+_N+bnYW>bkD*;Yb zv#{R_0|oF#sajBnpnudsK8nzvR$h>aVP?0sdPQ)B0Cj#PGrK}_FudU<)~z@OhCj#B zWh?H;8lH@cqawE1hJhj80e-bbykUaW+o{yO=!VUO)Q#}`W_@$V;F$1OSvYEq7_XV> z#u>9z2g(&(0=t{M8w6k@ukBn1hfW1&3)uy2 z%;Tig$f;Qm8-}YZ|3FIU8QP7Lnr9w&_P8Z{B>ohB7Y)k9L&z)?)S~W^81PYqMs*v~ z9etgyDDF@72cXlf!AwnUM!}x0yl1VbtzV8XkljHKSE3hkfpKiQcB*em?cz?AF9K1k zC0p%DGjmrl*Ym>?Acw+P_yQ8NH~xdk(C}r2snlkBeBn!6DGa}^Xs?OC+FzEMbOIIX{NGUF)r2+4gTk9niRKSB7NcPqZjH+_ z-f11N>~oiOWk~+UEr!h3S~yHG^Hv~(Jk|XruO)Wc-_c2&*8{XM@A89K6~4G5HfxUw z;2l^Gq;-L-h5EL!>-6xee++qBWp|HZV^=N4FEKBn(sI%#;a4E0&g>zh-l@>hfRNHN z%hv6OVNam)d;$@m>5*NN!t}~8wf$31@8*K>&w7^if5;!K7WB&n~NuK4I_JL-_t0kKX#npMU@SW?>D3+Vw+sySXikEJ8=a1_;(x>C{YGn46|gt@ol9N zhLO82VM3>4d<#sy(UNepNeT>Bno}M_`GYTX5KA!=q*;0e@g3HB*_4RgipMwrmE|CoTRGRW$zOgwx}pvr&{G@TxL&XpQZF%mA3F_ zm}18~@^0CEbjxf`PxySxHT-hgWT2AvSZ*3tFCLQrg6gRCb2hAQsAfBF0MnhM9hI0( zhRTj$=9SwF$YYLV!;gdyeT|l>3{W8k18R9)dTKMU3|M&t+KKR}E~zXah8BRUUPKRx zn85&_6Ya&1$Az&8`fvB~{=MfzNUadvUm+gg9RNQD^LnLt1y3k*^DfgR6x17@H~1C< zaj^szd?2|WZ7Z+x$paaDIL&zd4y11SHpV0K!RcU#FyKPF!BOzrOtzs;_pOZ$%~WogWJdcseF{B4{QN|y0Wk_;V5py|(anSH5% zV=kf`JJeXOjuFpjepeMpOL5KDeA>SMHJI}aFdD@CYXg_8J+z!vgDRq*rR>PsvwQFI zpgs7M=owqW+BPDSIw$U-i{1cz6t23*v{a+s@1IM$^7S&jv8-pi*EimDlEKrZmd`Xm zkYuW@YAn?Qxk&zcK-GN5LSb$j|4G@*- z_B5p#W^Hu8&ShN+oJ4)hI|b zz8Q&mmAFvKA(W!8PLu0F>t<8E4fdbC zgk7^(tO2Q@Sppr0tE7k<&9zrzoQlnieLTUviWF@7)jc>`z{w9}&3z)$OYlKI6C*8DS0C7UuA3J%)bO;=LrI)t?)j1j9OO zVHEFW0#VM^=IP*5lecBC58M5-VLt~{uc}1|6w9BCKDH*z8f;LA+*u7#2`U zmo375CY%>Q-dV2vYnAh^4kdxTB6`ebQ^!rFQ_)%|O>UVDi^fBj=r0TBmEk zDj8;K+I%%Ng9FyEF6hOxx*f7f5MVQ1SN#^OWpM+fy<%4SvBe3xWWI0?l%;pB~1atip zmM}o@ve{QS1CocQX6jc)((?joFP}&_*J%C}>tewa?+dh(&6581GXp1m7emawujtChGq-&%*Y#lsWn&7trezsUqb)T} z9&NQxL&F4(V;UYDK6-Mm&NJFf^Q`=E5xAe1Repma)9fd-J-KgGrwdJr*jMA~*@|D3 zjk;6IcS+~za{rOf5fUW#pP2RNFFdy4~&`<&b3@+4W{Um@xtTM$&>u|`ttO6xOmUe zL2+hJU3XnS@5H97bMZ6IpBGA^2ag0-O57Ke0qD*WJnHof*0;Fu!o|b^Gb%&j#mqrt zLd51=vakJo`u&9v{UMTXe9$B8%NNc*0E3JU5}13lrr;kE$Ob}tO(WvYucz_CWeXXg z+PQBa0*YiJ#0X;GYPdeAWoW!)A5++A3<+_^(@^4o@NL6KLpR^Y@so##4-^~=1)t>3 zeD`ZJYx>L}0POUJYc1IE46fU)D&29iFosZ~Af24k5K^t(THLLMKe%JbOg9XEdpOp!1=Eaj12A7crkqD(8i|e12Q?;clSb4D1+DFy-RN>(7 zXwK=kM*{>zwJq!;P2d?u+@!!RbRg`hk6>x5_F$fUZ|V(}Szs_4(m) zO6Wuqg^kT@uBy6v8jX#9h2v6g-l)H4SSWnY{@uhOMiw9|e7SJ?usRKJDY5wpSk5uo zYHM!P<1v1LMC4q!&dc4nQ?%q|1|HSK&uiLeaJr&D=05?4uVzV`-#a~tDts?f+=8Ad z2(O>roZi@^X86 zxppb>Rp2{Z6Wpj5!;c4IYW|TFe$*0ShChUxfKq4C-4BQ2y>g;0YU%!TE|pg2;@{Za zt>3Kzo_=w~j6126!}Z3<2v;tG@_czAI2_qbx1ip~QsbZG+Su9Vt*O@VFtvRbIVw+& zivcclPBWV2_fh2I-DruZy}i96_!9|<=oirY?)8X7fwCu^f-tKSN)KTI7b4+}zKOwe zBgN+0UzLcbV)ob6pg%q8_ueO>SCM!oh*P+hpL46q4!_6wXmj1Cnd+&Njdlzh81{U9 zRKfrkO3k6#@B<$g_vY8t<(u+w@>-`I?7E;RhG}Dr?^UPq8|?Nd8AS zPGPXO7#=ioI~B4-Y1QibX9rTCg{uJ(=@#@#-}E*gLmu?`G4xFBRo3xwXz?;Q8F3f+ zF#W@GBgLqKI&vS&nwdR}z0_p!JX7JGoKi4Wc!F?I4scX1I9pv4kNeRGEA=o{y)*3|7 zOer+ob)dEZ9CZ-af6s+nvlHEh=H}%}FZw3uQv3$5)alvLCygiLav;(rEsQX)oe;Ve z$2WEpjlOKJY1b1z(n_>o!ET3-NVI#>Mzbqs-naGRcOa1!X3=wv2p$;L1I&>~ROxs{ z#qrftT?;Zdcv(W82(|*(Mi6~+0XuLe@LxkyQ{7X-*M;5bP}3tfzEraqv;fgThEufv{J%q@agJcjO9b}sn$9} zI#u-Ei@}-YTY7donFIORVRri`+0JN;`PCfr-p(#gMc2OQ%rt?HEbxv_LE6GjjSU(0 z`mUf6@Ix*kRr7y%i@ucHr6?`&e@#ZY|3#BwQoK0rgxp}<7;k%dh0OGc5ro^7t?d#9 zZ6iKDs->CEp;j@fM{0yopx+Bgk^lAoI)7L@0xm{sm^AOO*He!UM@CQ&HkN>~XgTBf=IK6TkPCT+U8kvSFzN~$BQ1R(_2AqaO z?7*yXUgtTS5Ci44?vLGkVkFvk|CoD|?Z@Nw2)%OT-MjBk)0@)qR}xeUIas0@w6mV1 z2&fgh%BY~A?+uwcEh2bnVIVW+&k?hkD|_DirPs7Rf?knQC7zlq)H#gnFbw>$_d>pa z?ry#rg_gbVgI5dx_oJ?aOQSE&mqI;e^ z7!1dP>|_ek@&NgEZe}tTtgn3~A)Xs?0~`d>*tSD9I%NZ?cC47QOzhh|Fx8<4i{p(%A z6jz`$%b%GL7HQ_a@2EzD8-}ddhDJCoC;WEVDIc=SnqXaVFQjLHox7F=qv$N_vOYu4 z*;7Z!Dtj|SQrvf0>5FV!gtrbeX9k6X1iQro^z{tKHP@xtIiu@Ji=lNDH)>zm4NN;m;vr!t!0Hio4lprHZ90q2na&5; zw-vVLaMPb4%?G#Jy4!RDb2ih~spTW<_Mo@cmqIQ5!GgQQVvm=byc?SBU%1X6M74vg zYb_#QB^MS#kX)bOrJ$&BimGD2z)308wqwu$D!4n!BzhIbpQJ9fVy^h7w7Vt^3Us}2 zee&U#Ru&^{j%<)GGkF7Hf~E}v-t_EzDZQvo~|aF zkH3rb4Ct+}uxMMU%Ib={{&_ef4U{@$9!1lj9@w{wUb83b4)HKH?QTa06cRQI&J(Ns z?VtsdUI+v}P7G=M{1)-+#?eOsUB5dzO9SkG?Hl@T8VcK}#7RyB9=Onh5EvG){x*Q> z2f$r6FFIje)3w|G^W3?e(YdeBEqeA_czo%`m2byLwGQR1H4G>pW%f++XVP@eYe|A!l1JLUAh7QtPs))zCT6gZj z&y^s&-}BM+DCA(u1;Gao3O}u|MT5R%>yFN$#hoi996&^CgXyt>af6-SiOhobh$ke<)pa@2rz91-;>zhO@$b{hyLNqJrybc7fgX&o zW(utljSeon<2FAr^iRJp_a9hZ6RT8r9Xsmu`iUJFDacjws<_IS@|?HL`$wU9Je^W; z9D?9~B$G_5)!)X|oz^m+we=G|uXq*=8W;tuXmZKD=PwuU3H zJA8#hwbNH)y`xC)L7DbhaHEis-9hHveOv^FPj$CfB+H$$bLcp$!u|U~lo(O-g9`)2 z>>N<(i`6?Gn~m_7JP$!-d~zuk9_n9X>NQR$=M&hn8_B&T63tuJvizldwV>FXU1jYi zGD;$N!|C{)(T#~|o(OSsgcHMRS@9NUxAHq{_! z{S92%kc@fQMK5n9V+@(W0O&>hkMw?aU|JS_-QD-~$&LIqSr^>GkKoP|s~@?AJ-&wR zHVb@v+a7NHT`t>{<0D0)(97(Z+PNY5ga)J+j>!j=M9H7)Zo9Xcn_IgHG1a#qif{@G zcO=y)r%qj#SUc&4=?9E0Ym9<=9>0_6b-7J zHNA(!>A}Ho5%=W8nAW93%F~Bs-(~xkwt!OcsxH$)Mdv?ewj;XB(8bL?RNic-Zb-We zQL(IE(}BOV#nBx6`$QP_eI;L$#r{pVD}3#u2c7Xv+Z#(M{<>8w>_w>TQ**SajO>$( zp`m6}!A=Z1N78V-L1TGD_BN&3pI4Y?3@s}*NkFFqbNp!@v3IaH#luywI8Fmd>gt%~ zO@a0y1iVQ~RI3{8`2SkS|K`1J%vErDKFYFM83=pu^)>|1Nz`ufOl}6c|19#qoF_!b z8*&}41AVJ{1KsN||LS;VZG`4P!_5l%;PTo8f- ze1f!2c}Y=9Y>(;;;21ndV02fgEN)E|oHr#5*^=<|R3#aa5pPn!DpxxiJTe4a_(req zlrz?xqBgrMYai-sf4~`P?snw{DckWo%o(m%$Io`cF#X|A;dI)#{Bd!MTxCkbev%s#4 zrxx9%<5T&rpKz0vNRtg-kc+A|Fu@O3n936b`oRRqP_2iWf!j@~qBBEuAy5<)o^#Xg zo43_OcmxdZp^IOe2S}dP?lyj?vc_#+p3uLCwR$>{Zu%E1?w=7%z=2$Kh2;A7zxn(!+iF8FQvtn_! z@WNjZMuO|RMCimG0F6LI$3BK`UfiTmE=VTD!A>#0o0+;#UEJW zL^B&2sYaVm_^yM)z~hy4JBs@kr`1O^y`j&WZ#SCjm#xE??&ypJw3j!w`#EiHC%*I% z*DB}7+}T=Ds^a_LdO~>QX4Q7q1SZ^Mvj3K->sL>g{Ux>uGh6+*ePx&`-MHmBoM8nve5 zVDVo ze2j+PHL$^~IMC81@eBYHLC#j+8)$&zq9%3owF>q-%iz5?OWZd)JSL%wx{@b!EMyUJ#MF&Cm<|oq&Z+pCtu+7g)aiSY$x8t0>5;xe zQ#gC{Lbv{FAM6llkEilgY&^u+sqX`n)M9X7sUPv4d&}SWANY>QL8YgnqwEo*U*NOL z#?qA(ZN%W7ZEUz0GfBV@F-`85DA8|A&@Hg{HGCJV8M+T&Ti(&0y$W^1LQlU`wv%NN>Dol_ z@BNWJXL@J7&Fe9PCCzDSsqESLOvzyO5N|2WUxR>Wgm{|tilt*)Qly83lUWQ_c z!)VNZG*au#P8mmWDoNF) zw-92h9ky_E^iIarJjPdFs13qao~ir7PWO$)M^LRx#rgT~uyEwrnXpH&Db*IBRCR}p z&utalc~zjxc26G=?qI7i$bcY}oxG)|@lWj8hRpEaSw%ofU3=skJ4a(n?B{JQlSgsz zVMe>fYKr!;@`5&mJvmvz^|v|s_mxj6ke6OeZnf9?&IPw~Wn_e%9n)v|JKpY(|EsSU zfl}xhA7F=&hjKQZe$A<$W-l18HVlvsT4t)JAMJi@xdYYkb{vUY@oZG5e)^Rr$1br= zsq^HwibkEB;l4%_E=$c7M|QBW*>?&FH@n2p zS_B!VV^anppTt4ET{mW4e{BYxshb>HuBz1;!ntGxn1%0)3n{Nvoa*v2UJZ3^)EL1` z8Y{XhS>l0F0*(AAwfB)c-Ta4yl;SQagnC7;6x*!yzCg~yCM@T;J@DJm{8K$jA1Ec~ z7@iN|V;IbOSZ;azIT2NBG#>#}4)J)bnX|!O%7au&P8fJJIJI`IoUoAk;2t%PB`8!F z+{zb9)@W=bfhb(nb9-Y#0`@+azbEi)R;rKX?xk3e^CFVD1w7}asGT1!(mR` zg-JZG*>w*8`zze9EEMNDaN4umFUpGsmFWc@a;1}5XG=z?w!YX8WlE%U?*Es2RjGAJ zMVw$&6&&{(r~{19Q<89>s0IrIT6L^ivLeXqYR`iWjK|tQS(5#OnvuFpyiux(hq@F7 zqNyryXj6CoO7M>Lp|d%8$ra8ipqXc%Ls(~+03SSkuLyr322@M*QBg~k$ekt|Yb;+| zGzb_V%{$CRZQ)g!vQnT4^o(B~8lG#;Y#GxdI}bc(7vs-emK!jBLge7t23TN{Pqrjy`IQywQT#R|)&m0JfgB z<)qqGFp*{h0Tobq@V>=S!`tpvDl@r1H$Q#qc%S{Rt=QTV!rAz+VArf^vw}941O7E| zd1%BKKpz-w#MW3nabiX>r0muH_qDpdMK63C{!!o$UMZ z@F}J_FM93?L}VMXgJ7{_Y3>TICp@+l57q!a?k)BVnX>4fT*WVhfU4L1VuV*~Pg-gZ zO?mv}HrG%&LJ_V;jEk{zNY{`)`^rbCQ#ek>Czkuod(vut{C+ZQx|nyiT_|njf8H6t zA0RXDc#!G79+Tiy_v-2*u3i+#RHH*Zzcxx-v{TMtdv&CP2NF__oxY6xv4+Af5&nn* zTTPL^T=8jBKkuR2)H`9f>)xjErqet>p63EpSN%$X%jq6u<%H`qh$%NDCS^%rbfL5% z&7z%$NPdp=SX^3teZO@X zPuE15&m0{vD|_4;F)R|p?m50KByioduN|qqhNxcbcM@c(W$@#CnlSz%$IpynpKS`y zi=btH)m3$^5HgxM7+k$ufw%8noEfcXR}gen*3S?2%aEIB8~<;Ndi13h%3rxnzL6`5 zcxE;9ePpE%oxBj4?GJliOr333zK&Kow>db|tSOmPTFa33?(XJ>A26pUYN)ECu<<)^ zVh#$9IbGkSkS@xDizQ*EJM61mU&=?)LTB?dUVc+W1B|@pC);@he#wGg)Pj+6dI16; zUHWSWQ`U%E%?K?UNTJ1j*a5z&U_dH=5KS__?m9~vcnEv;DDcvFWs_S!`3!$h*W^8Y z;crqpV<|~WG6@8c@kLj6-tPMp)qLgPbhQudB`uwJu?ZS1AsYQvHE*E8=4eE}2vI=B z0L`ayv!8$}V`~#U52=fQh5IMCzk>A)Sdws+Zxp zx2w64m-1A>G`LDtro5(=(M=^9-Jtw`A~a9VoujO;K3TH|LTk*^p%@Hau^VG4zc+nV z+mS@}MAyLftznJfa<*ez`_FDjf-vWWIfT!Cg1kFi@+8>{G)R^*(agJMp{mXTTbDym zKz!@CZ;$WO`u%CvavmN**Vek1R{*MK&~`QLPzUZo5zx{?iTXGs8@YdS@dZAp<_ws% zGzc{?4@#NTD!mQl#P(Fncp8hppSYGadu*EeerMdWQA;9J*k8_&GGLX48$6$j@E>Z-m6wLw7wVwbhf zECF+=W<+w?cY31;Vc&AVF|Qn@$Z0%wI(9@)wid{LXl*rzMz07d9+rtIK*Whk-2~Ze z3flT@zuh}3>_u_ZnI$C033f@Yx~^Iq=a5}NHy!I~I&1!&dIa`Q3WFV*iNDpDKo0IG zOc4JYic*Yw_zfQ_++Lk5L>rX!vc>8X1VhzgMZbftd0s zoRA=5_I^a%hga3L}|>ZX_r z#wrpK!0YutuzFSS7|)Ugca>Are*{xQS+Hgxy&f%Dn<$=aW{!{`x`CFLTPsBLw%C8j-vD; zwseOHa)J4RYF9Jc6PQ>$fONW$hQdAs^P@u`OvW;{G=4G6I9M z$JOhZ|Do1hmNdCnboNk-?HOo?DBB9yDo$|6`>$lNsidA)`>P0&rKH&WoIh zI@O7#KY0xews5f`aWH~YBc8e|H>#r!Ut7kJ|7n+C{ z^|oIr#zY7NOuo+TlQgY>S2P`~tjQ~6X2WqVCD~mKmnEeia}A1sKW*UwQSezS_m#Jh z{e6~D{tbBha4qH1F8_COx_`w`7uYVp-^9_qYPl~+AV)rxto2Ym|pAyj#*4GMJH3jj8$b6J2 ze)RX|jk!XIF9t@sxN;xiMqJ^|lIu^TnkoPrR6ma#=#T^RrQc+$niQxU*=B+vL z0G(eWB}8)it9%)Ym;l+yYDj8hNS;Qn-DgGQSk;q(|5(JZ1H|#93KlS>MdP5lMMa>Q zqa##Bc?8r5P9md*F4jXw_n>^aP-9?@;eMUUf&+V9QvRS(scq+fGf+I?If*|1F%cdA zC`13QZrbYse5fC2QSpRHH|@CElv6*b672A=M?FfX3)zV9{L~e1sFcCkcKJX5Gu6da z3-Ie7giVR)>xn8i0s$h|t-_@+p5t7jk$#Jy&_Q`B`2V2MH#fBG*y|RJH*c*}a&E6H zU}r;?Z71nr`%&FJ_O6a7+7Utz$^ej*Z(da*LgNtqC-jO)z}Je3AbSW(bD6ELjKmC3 zFuG=6%Y8lLguRo(xESA*s6Cf!{dIZu;Y%=qw%LC|?Cr9(QKpyJ!#rHD1&=hWOD+0Z zXp1f}|3~dE({<=CcSyvd-3621%UkwB@(apidmD|bfU zf?o)|lD@Ln)!D-`n01}cJLIdSvH!!7*MxJ5S^v_aP#S_7#!+HI4(Ja`RxT|HrX# zQ%iDe%70$TO;qEN`Ca|g9RarbznYiQj5ACGK0b5L*HSEDg)r3U%GbXgE>B&||3Y&M zbDx01bGx>YKn&WJ9e2#oaS z=?jwP_ABTM(2;x17J58qk({GhlZ5UdM#h+1I|Lmc?i9nyEv0I=FU%oDhAC4Wmk)O2#rirApN^3i zPjyb8%;;M4V92B3JVwq3-z6vQdP{h8Fs)^Z1>oivLdS+mJ=zjBWjZK=x#KP=A{ z#$+ZURvjoW_6}c?)u_}@zje2kv!dgl##YM4FzZN~pyX?KKP!h8OUi>Wqp}rE(x2-| zUx#c2UqR$KkMM7uw2GZ6od3nH*onB*mO6i6S(M(1lYvY7&M&XX-{B{CkA}kyGj`6T zgIm@$^pcteH_uNw_pI|$-0nln?fWDW9WYl9MAAcF5P$v8mM$0(Q%6Hd+vc{nXYaLw zXmA%l-iy}<@oq#%w|%eaEA{?M)Ye2#5jt;aAjgN_(E0e3~0L$>03dcwudpFvo2n_LI0+-M+I&Y(rL!c~fUYN@HM|sIn98`Mu+jt`1mX{jk4p zpVr_79iMW`=5U~bl|AU(u&M87@^8o0AHs>~LN~6C7_vh%6amjMS{?SM0H{t_ysb`j zxWzDN_w7I;F*@a&l<)8Tq8|>2-48~dhY5#h z-)O;Pu@f)gTxv7rxdmVdL777;PijsoOEuuoX4dSW(x9XudgE#F#zK?cNFH$rdJuAy zjp#pk!#mHlydxvH%;BGcC$A;Q1qbpZ9M_9~=WzFCs@wJ#P+YKh!^w7|5=-RiMc+G# z<_uac{^vzA5%(5xynZ{1JI7o(UOuyaFsk{E+--vNPhqWf8(p$jMefp8W5Zu=2 zbQRG4V=5UR(Q7lEYKfe(87Kdori4t~0})FC4-d|o3HAiO?6K^^*yori!B|{lWyvUhuvl6X@W~pfWj(MN?zW3NJ|o>pmt~Qe2-SNc8bVpgV2>Wu@;Wlo3{f6md-DU5Bemn!63VjLJOGY~^owt_ zJ-Q70UO#(zqOuPvh&G%A4&#TyhfkPRM>b)D)u0!^+trSewe?4}tZRCeKfGP04hFHY zBc=*uLH-30Ob2Z5h=w4kf9jz8G!aU#=qr!RMm3HVwErJ8DLIG{J>-!eg&}YBN z3DBbcM-cx{Iv;uNIlRHx{5H9zr7!S*+Jd=5C5XerqVk@uOttLYN5*gI7K$K4;y~_; zhdBZSwo%%@7<)rC$D7j{b-J_=OLmAj6Gg2CDhY8aOHTwvC8psuTgadA<<$nlxeYBJ zak`dH@P`gt_sb_|jBFSVJoKqDjKI!6jqIue&!p9_N%&RbNLE_ok8Ot-X~5*$_{I*I z+i7M<89}rFK|f6jf-dAoZPky!LS^8SWL8Y>0Po!ds*4|#e@7DY;{RnC07MOzPaVB! z$d<1Dz16_Wou{%&G7llO$7S~T^xABNm*%CBcER_Bp`VK+@)1{f^Bf#<_dSX#OAogc zRc3RRjXeS#E!lD=G1xnu4qXq-5?KWgv!yjYj_GJW)@KwKc*KK2yrAL zIFBu(c>WwSsLeU@!(C=Ics48#9()Q)LJTn!i}@_$&aDlWKEuP_tYX7nl^aJ%;jOG7 z@M$W>NI3-qSt@FtVWvw@!B_F66&F`yrRNgr2pUN)Or`M|FTAR7yHDvItl#OMOPa?F~T-QYS*$&%x)Kb;Hb-Q!^y%v;?F@Tx zn=k6uD(;YH749{Hfm^X=M>UDhuME#l%cee^V z`ZMo2p3%&u2W9axs13mB#RzH{crm z69T^{6r{~lhxv;!zj4q6&rhj((R#59E}C093=xk^PeTHl<&u(V@tl%rI|NmTdh9MucAf;E%J~fqFmKaxu`J8?P;S~UahD? zU`4K!nmu#(vr=VzudLv!ub`kybwx>x)aR6pMn?gtGwv4q0r8GROb!P%EQ5V>ZJ{WE zJcuU6Y~#U}TF9&acwKdSB3R7u)_e)?W|UDWC+gpABbT`^1BuhTZxAcBLq&ak)Sk(r ze3Ib(&YEo${A6P}*m2)PV|hmkWOQFp`oG_}!Y@9^9a6j@iw51xj%rP7Jfpzh7U_2W ztiL6 zAFFAn--ZvUJm~EOSFOHu&(zl@tKzu*!5EKR%VlXd_rxj#0G&{``$uDzORoE|IL12* zf_hy}_qBA~{k!QMMweiR1Abun(*HSoagNepqO8^GpY!fO&xZfqsORi;b$38ZgWl(5)mhO{TuQ|;P zo6&U3IDsRTg!2M*9jrwjKAs=*6jCHb zI7;cP^8WxKq zh;s9*i^WySLGZ0PeOYv^J%1B*)-SWP17iE_x=)tp-hOyUnlYO?D5!9gPbriRr!w54 zx2JvEzq`?NMhCl~?Yb=;HhvGYUcV{x$=)9P#`0x)wZfyYFyccYU60z-t^^L=*Kz0E zYlyd{kJEYK;@PA!lZjv+$IVcq+=qqfxiimy=L>!3fp!;0Ula3NDaUcoPAs-ys5)hs;!gbpumXOkA`c^EmZ*5yFjI5capGK&_ zeYwL!bQz=di^83?+ksR$T1)2+FB4HoCSp53aKBV}ndA1N!m`AAv+%twl&ONgY>=ucW6h?P1G#7dYhcU^3!{YLK{vR%EKs{)0H|LnXZ~;|Lyk z9x33Frv)8Y5=;k-J!XL{8JKtV3d}nShdhA_jZdl-+Mbcp^z0D-9{>RV|9AmZlD{tm zK@`UyhdV#do%1VEoQOyy{)21w>{!R{9&>X+V-dd+36(@a;R^l)g-|IZA`ywusjj8+ z2T({vfr5xAyf-^9ImOG{o%fmV`_7w5K^3$DKe*+7bkyj0aNjsF{) z-vgMy!Qgs6J0^xz1XgkF^=`YL_EU6V(0*S`1tWRa7BUFY8jU_Zzm=K|nl$J?tX5+W z(7Q%%NHJkBS2&hy#OmM%SJz`dT{VX4HdLYLd6t|Bl$CXbp`&nt)vH8rAJgrICYP0D zOn0xBOS60)nh%{viD_lz>R}5P?|0@u*<#8-Zq6SMuG0001ZoTZV$3c@f9hVOfd&@LNQiPfcwt&00@L5Dnn_XnS&Y3h+B|Dkq5J}4MaxdiPDU? z#&?){NOKVM-+!1Y$?-WO>F+lA9q@jI^4SUb<$puscUE@w77}pIAo7s?y#6!Ck$b&8 z+<(O}_xq*bT^IM&ZjHqHW$wjVyTm&3x(&|-8Ng9&1-j4Wq+Jc}t!`BZ=tsK26K+NW zG@5tE+C_u&G~z&-_7JKuv(4Rf_lVB&!GS{!|L95uxb#)yyC4DE{hI}x2R56w7nfUN zf!`bYxgI@Xql7dmh#_`aiKPwqojp&6zWZ@Za;sks8xBd%-_p-xsOk3M}k zisP9~ebx>#>|4crM9^qNDFpQ5BT?)((FWJk$&~>-{g|qRC#5s`&&Bx#zej9uI(l0 z5$MTe4!GKI@W`}oY2UuPE^fcuvhKDUY7f7e*~|7=7f|6b%;;}Atwn!(oa*#ww?nxB zn$4S!zQL20s`T7kZ&9V30UEL^YU-D3*Yng-@NgCS-X4jGQIJ*zajKk-(77Q-Y4X_xV&s}x_*YKt>pGy zX?b#X_dG7r+4lNzH^)%Z^*r>uX3P7dcbvtM*`(u(KJ{V{k;VVyQ^)C=lxK2?cXh}( zXReD=;x%a5*sVO(q}A8TLUY6WBY7Nl+F~Ttc!1_>?sxbF5!q;>bcVv5VM1|7?XpQ{ zz{^_5GD(N4HyP|bdgvNAszEnQew{;_h)%Wi^dZ+vE6euRHNmH3t>?`U1BuVa=bOpK zPM`P05EE*r&&%hr@X2u}g7Njn$Fax>&EM~I>BwvnRH(Lt4E9Uiu9QLpt|6bGf%feAd%A0=qLAIt$;fD!rs89~<(h-&0t8 zkDWf_V@+zvkubcmLTBJ+=gK0TN#_{`w35W${1JmxiV7T1|3EQn0WZMiVgJ#bZ;$j? zW-E^dBi)ymGf}3ghYLZ88=Dsy*lkc+Kx>eKB$nAXSX-E+(r^@;BnoLPvyBJo756_L z!JauRFPC6M3Q|tZ>x*sL`Q%9LUHy=twb?7`J;8FgxLpHV_QG!{579XEeJc-g=_@2* zuVER_J}9I;KL`2+Mfo+Gw+5a4z(Q*uPQGIHKOGb zrSywwZ}|(F7T|MKzD=%N@T-~&MfX=5dw<`N&)h^YDOJCG)Bokz-?n|ewkfuF;Wxiv z;!3deVZD5zul0DC$eb?mpLA{tb@N7uk7TR{Gu60?s`M&69CTH>lk?qIW@d#~;L?wW zklItTqoA6MWH+@V6Iaq`N6AZmGw?~dA&CN!03je2|LexbL9LPOM1YVj7^timS3XDt(%Vj*i)M$ zq}pkiG|#u|%aE-t7XWN$I|0uobIy!oTOT{Pm>)z}Z)K@xxDpQ5hhy_87-CC4Sn#c{ zp4-cN*XsQ`@0b5P&y6n{=J9yf^!C0_fz_gunF!XgP8f%Rc*-c}Myx!PNUv-#5=>q3M?VI6Nd zCE-0tR~!At)LW<305_c_7h>v75e-RAc%{hUT|YM;pF0c%@up&^Y&|X8y23 z|IDiSJkg4GB40H>7r{3{t;rFKlr=VtU3-Shh=(4;&Nb(vMf+lh;>KZYrVU%z8h-}P zprwvv@3sP`&=N|DXjE;J*|ui!Gs}Y0GG8fsieowjikH&eM_>n*zDM^pSNB)$7X)m8 zClO{p(&rhmZco=${QC^1d@(VlJjXrYyM46t27oZE4?O!f zf}z;R6(2?n#Wy)+WFzSdaFTdI3Jh*liZaLZHl7_@!r3~iy6m5POUee~37R6obU_FPt^NV)lQ9lZT8JD+& za1NbJ@TON%c(z@EnWF1CofT?G8!<;$&IkAr+wcaeR}q)hjsIhnzQfeX3x z?+j73_VKoCJqNiOj*9SZS8eV=c2+{UtZ&39OTRp=`z{D2b2y5Vd^pc$%O2FF=UH7W zFMW-bScgdUUg~!L&CWQ36>}xbk;x!gd$bYrVCW!4TW)*RKxOccFpL3vdX)%tLKw6> zGaWp$81UEVV;%fJeMP;4{uOXe!YSB$!%{_aH5v>O=L)sRcj&LtRXi#Ez#O)o(?|QLVE&B zemTTnfle+(Zfi2ZBoBrFFK-tFQs3m-cKy66G4gzZDp7JVEnC2y4-wTLwvJF8$vF<) zZ_~ovYj}k@2-_Jtg{C(;U4NScb~r-h+0J*$?egg&77{vP;-G3V;#se=#IeD#)=tSZ z=+JjRYc)3a!7#xQM8#;?$Khn2GyM9heI)^U>1ZpV%AK%#8Zor=Qsh9<&?bq^ z{TWDEI(VrfS)jzvorN7>pdJ>|U71u-ZP~gX%?JQ&zKI$$xeu-xvLx&!CO&@>)3b_R z3PcFLYU=2`S-raCSwJ7E22mF@1wDq{qbg+W%Li5!kq}a!+eHZed;S8vjNtlF7QTr$ zU8pO^j1X%F;^*bS9iDMZ;2`%A+=@xoWw?t972U=gNIsw3C(}LT9&tk!+Wj@um27YI zPYAPF3k*1b@wNbuuW#3v9CclUXj65w@yT`Jd!G5h4e_OMN_K@N6hU&g8|NpFI@I=^ zUVe*b0YYdg(tbfH(knFc2u0*2j|6eH7EC!(h4HMO)yzpCm;NRleLqjvXClehwT{(S zefbJ@a0;fQ7oomnjvC=r6UIK@S}UB(8JVc)$`kAZO9@+^fh5PW8l3F@+5}VOMwIxy zL0UT%CYl=Da~ukJyD2Z~!vZt{_%N0vqgEJ`X0Yo(g!p+gYbxe)AWaoHqbl6<4=woB z?|??_7~MRy_AWWELC5Z@`~-;56;#-PQUENjfGOEx8Xy!G<-_<2)Did12Jyh>qfocdcXkg-%T+<+FrCbwU*JK~7)s|K{Gl+~Ro z;D!uoPz!IF6uz(US2K|EHD5=CHPr2S)h=xy0@-nCj|%MFLge-}_KRB*ye9h7 z2;oAE7fkPJhD86vGSO3{ZmZGL6V;!*X;IyFdNzVcEQHcHeYYNtfYhXyh84b&>hGVj zVVCh4=$1jip=$fus86)BXi}{-R1b_+%oz_w^#muEV#dMQr9f>!y&MhZl7qx$y97Qu zKw#`W$~C@-PKFm->+d^gt*^%l?t<=NyRm3iUYLXWh;e%~gi(ny-3?~n$&6RiM)dSH5~7;dX`_^>meR)HP~Odra^tbMyOb zk{FuTYXH+9=hyj^A4&XQu3n3#4eBk1u<1z6b4dLwv_A@pqaxIU9o%PF9SRn@5T*Ej zYSfI!;5FZGM|wl-RA=z7u{rydpRD&Ul*1PgagZkI0O_*I>GGDM>-%EFbTe0A`ITLQcyJg1esTMzley%YD-YhHGBQ9s^Zdu600ugdqXC=&AV$@=blm3p+VmDQPZF%t5v#esDZEOz1f z$zQx=A`ldk@=#)gmA{*EH=4GT0uMa5cv^a{x>MXso*xBt zdp5bKzQTWvXIGEiID~UqzE-=Rl|-J{@VpjM*#H-lL8k&x4EhI;GU<6%u!>&iXz2)K zu;RP{_F{xm0(pWy@wBnD@#BC3+i(crk7kj`WTN^=Uc(cld3>C69z%4{Bo)f_==wUMnq_lRRgc!5_vn75@OE*#{J&Bu$rr<;QoB#jI}#*mk^%DN7_ zATxBD=rx0~iw}W-ENL-Y_57*G*;C69JA8phC*{nqn%GRHiRpMH&1GNwZ((4MqMoHRQIx$@HH8;aZvZ|IR^Nc6W7;#ayU0o9Ipt&&d zkPqR*`x1$`R%ZD!A2HBqgi)g4v+@ZjiGoCJ&nQWqj~_J9P7A%uMzkeGhaOBU5vl`7 z1|iWhO2(X+XlyiIPFPyDj>I!1$~_top+IhzFXfPOoD}h0AtbYa=9tl0&t|lU+rmg% z=8$tGgLV~?lS&)hz@e#{QAUGjoilsk8}Se*pp{cKd}%=zq3iJ_`~2+(g`Lsvk5_L2 zSD!g~4Gx91r{^h+&YVh88)0;aknu@6C1uXp2Y^Kzc&!epuCUV9Q#4+5yl6n51-wXE z3**nDda-^FadvzP!Hi;YX$zo?oBW6^`)*wl`q{ZO96``&<+FU;6QpW>i?p7K^e=WM zr2W081u_t)I`i4NC1?5hR1d08PiJ}C7gWW?6tlO9Mz#=pZNt2~ zSkp75?84E8B5N^=kpJqCHoCW4eUA`V!~!+0lXu{$BY?xZqhJCpG85AM}FEhft3GIJe`LSPrHtMQibvWF7 z{^(y85Apg+eIFosulwR)?RdH6|G?(k+Ode>Q|wZR^@<`3r`@Uw)p(k~$51K2h`h)P zN>E%@r+{SjFRwiV_Fbdz@<#ovg=?V%C>2f#(_n_B!odz4MO?XVJJLY^1n#aztmjA( z_TuffRo1BC<^V1qSsj42Y?p=MJ=wrrJTk+cF$eIyWeWO!g`@*Fd#k9oQ7LTj{8Do` z7FxUyaA=Q6nip9l6+Z2BW9g&1sSz*rmrX_I+12hB%4eQ=9v_Q$YDiE3S6*6N-(utuK-8~yk6+2@G$V%@eXC>*y zQ$J{bNJsBwd)$MDbdpl)pjKg_)(bgpJ!*I@Fu=1!Z=rdOJH;HM3K8-vy6n~Jote&J z=e7gSHkh{Fpduwh|3t(wi3Zr#zZwbk}dhNRN!s{eGh(Pf0aUJm}6LMzWE5tOHW>?Grf!AeT2*S zx$U9W{}tTJ>OaTSmTnE{iCdLP>eBoLu#RP+Z3RPvjYukEAMuaxY|m($SW7mTKE`I~ z&H;8vlI>{dHTs(0>Ok$E&c5^rbtbhg6v{7Xws(ZZIeaVb_~XxQ2A-zpTC7I--*-Hks_;%w0NGU*9?}7|-u>;-g*1 zx2gqdy=~&B;&oVyfOc?*5#p);s@f~zz`y4sIpy?c<`*@sdAC+On0?Ld+*B9*I`#ah zvdQuAFOOO!h1|3#Y~U=;*S%OdflK*!`c0mEU`2a`b&mvXZlP#uA&TG53zGZb1feN{ zNi6RURfm!>w|>{u5&|=-gg1hhXuLsbtBi{1xPQerQW)ZD5>g-U)j~OmC|C*Jj&c}f zJfeJtt$YLoI{o0NrsDAMnI6zacTBG~+4wP&01(_nIZuL?R|=q`8&$ag&(A@z2f-I5 zfzi?#DLATn!_I`Of<$aSCL|(hh-a}!{@iMu24M{u9+XUR)hua1F8{2woaSP@ZnI5- zoR+c4)VA-QQJ&tom)j{mrD)Z=;B@b!rS~+5zTvyLHzN7C%YEt3F$G0VXbL1RDLV7^ zDrq|S7kSvD(9nfyooN<%&`(~hOq&g_^@T>_5-E!be5cVuK)h&_@c3Pe@SG@*I>~YM zdyNi(vY4OHmO+;xJJbVVT{nr(a-6bZd$CJg;1BOQR_$4D{%=^yw2;%R>l-%Iur<4I{t+xIUjbUoxICLc%EKSbt{Pg%0Y$bYLn42- zIYj)f4BEg(`PL5~>y6L@^wGTkDDvC1%eJ4c0t+?hDFbF%{EuV562^Zlir6#Il`W$Y zA^s{@EjAOC`_6)?tv((G10|Xv{D9=FL8UL}`0h>{s-Z_ZDc+U-aNM){A7n-BEK2k#~u)&?d}V=I|a^(K!0|FKa%yWVFsdD+| zA3ryR@0t*fM$S|3w6*$5ukqa=RI}qLgaad9+^nSG2rmc7t>Du5SZ^L4gw!4phgz25 z!Q}lbgdx-7>weG>hyjGa?E9|vrsff&GYceUFb$3Bq(^1kQPb9PWai*H+H8;lDoWyR!x!FTd{$nt1Im9|XJM z<2z6{LXTa#joc`_L$~p8=rp zRe+_O>k`d*Z?);1dYZO^8r(>?Fjl|$l?FHd4xaZTHeRWXdYT!%UpL3u1PLjec8Sja zCJkWe7+~O*5E02TSF_*nEu}F^6>ys5bxpn%DMW#{#u#s$m|xGUKS(C!YY#9t~D33DL1 zQmAZSmtW0-1tuq+8;uwIg^T!L(jL2hAwGsO)+? z32*rca|X3vG2N_T^|V0{!LI&nWc9&oas}tkpE=v+E&l(zsP=F9R2Ms6Q^MKJtKPn* zcp9Eny9W->UU2LF5e``X)<%AW0rdc{MseZXS%>7u^Q{du&kHwpH@GzRwC%)WG|=7V zGLQ3w8ocMb`t)|?(z!!{_jQJnNgTxH-%mw^w6i6G0%x){p(7_HUicKmy=Zvv&r>F!69AQEb=zI z=gviX$4iBB^3vZ|{y$W2*##HN+YnBnqcbF*;obKZ<0o1i^`EG-6~c5-Fw?e$CTr~i zAW)`eK+A`=+m1yBb}*)mHfbk%CqAQ(ci>eCHD*i(|HuXZ{RTFd?HrDBV*W)ntYmw{ z5c~ZcuArh{@Q}Iu4-BlMW|<#6Z+RC_QO2D(@c1_OXP*^&5hayur+f89N$Yope2A+9 zt9K9#=66Talj)wHm{gbVO}ZXkOKk2DlC2aj4kOGPj=VnCWs+AaO)AgDWmVg9V&+1M#v zM-2R&WN(gT2&H%)gUnozaXD-eOL5av{V=9fx&wj&p+@TRgw0?&G+8Qyd<UN8*KF;yb-gb-WKF;7S z!0ya!{0QFQibdI<@OcG;!ICLKVkI87O||lxxO_rJW5pW^!zsaP(wewguAV&{G$oP@ z9ean2uJTsSqpl;hzE)zT8f$3Ys06=DTkgt{f=KuX)2^#De{oCmLG%4BpN0Ke5EfC}f=HHJ&;_3x_1{TGmq=if zcz6-`iwVbDJ9SM*Ao{J6V|TErJ$Nx*sM_*}69mo2@>c`N$BN4P^LeD^WLwPssFFb# z_96{^Qjp3PYtRj@CHWO;K9UR>hOj>YKu+*rl4#EhOi@!KF%f^a%Yx4a#C0;LZbHFV z1^}JMS*wTKfSuBkC8EQNp-{x5+2$nbQh@KyPX;EIGoVkYdhv||wCru<7eExtkWGDO zs^A=QSqs&!gu9{WxYnq{no4pcVQMG|Xj0u+1G+?~l%HG$1HsEEqY+K=N;30gJ}rBH z#h~f97cDK-Q}q#X59Kd?&8#wZRyd<*-U_dqsRcAJRwRZ9cq`irh*K_0y>rXFt$&Ac zK~521A!#o}qd9)X$EME!zs zrR!cA2F8t4xHj~o&tY+bD0R84qxjeI5@N0QK)N%OU@9AQc9F>ET#N?(LjU$MmgW}E z!{^uR?|C`3KGmwqT`qLy<`#Qf7#pKUJ`ZU(MEOwo-LjY*3Ae(F=Ql(}3F2u)Ql}0% zR8)%J9{ckpwtu`#RW{@bP5;=&^Zio{dw5lO`%zBre&hToAh3Ah5Hfg$WHE4sq`bE$ zu>YwPU^BhQpKz*-ww)Z1{3*w%@pPs1Ua$$1t24v3lgH>D{R>u;(o~!Iq=%6(^14)f z5*fo)3)%>gW+(XlJsw3fH2U=k0LeQ_F`c z_D$yJjX5^TA}B&tMGoWAc71iXKZ)gIIt1fg)5W zfNL>avcMn($TvT_y=WLFu6u|7wsKW>ZtJE5gcrL|Egq;amBv~r2%g)e`9@eAdNEHt8R zR?BeP3jLhHsiL9JQAeF@$}=p1wkDcktg?kDAyKv*UL?2$lRd%r5m?)287%_i;`sU84>Ac+Pytj|3ESVzv{G6}0e@bk~ z5R?j$o993kn&C3g8YfJUYS(b`1%)nP^DC=ClDOj?1chr|C543xmsClL_1mue?iz@> zR-AcbcvEB(da88S@HO>y8lLk?{M6vkRl(P){^)Qr!_=od3A;x-;7qp{H6!3Yy--yE zkpN#M!4uhE#Lx7Z%!oB2E>t0ErqVTET=~rYs$46n>bmB^e|6?>TxWT7OslASIMI z%8PO1xvfDnVnO-Yvuk_&&Yprr1W=xk=i5*8wvQq%U+Aab)Ryjr+j?YWql6b0`nWlT zE?#S@Bu`WU`wqE!P5C}B2@rF}x6FEU`>lZ+b7B0oa7BR!Wxb&cr{MEahp80BYMQfZ zt`URuK^FO>h!Ys%5s_RWbTA7x>h5q4DQ}lSc%FKnFUO$-s0UqL2E@W=-+8E z-zd+J#eob;=Mi8eyKDGAbSHPGijbja48GHDNctu3l{Ak7u?m!u&`gnz)x6U~J?&7B zsv|`5x<}J)9(sC06aqat-8HtgeMJ-pgN>+V`L}GdK5o+LZ#5J`Z)t1_xe$z z$!h*$gKRoi7i0e_dWB3^5eZ&Ql-Kb_8|z2+KG%# zi1Yh^7qV!EiBW5*;f1H`LC1BdMt4meltApg@ObHu+^K)_XyLG+I4qDo3>wAs(786s z5E?SWe!g54*Uv5Vi;?UyXY1r*D(wDTu<>2u@xsj;tE>po@rfL!l_=-TF|2|KuEgZd zka1!M0LIJoCs7WoD*y%0w>!xx{&%itrN&-*nH##SO4?;O5!WlfmIBwB%4&izV3J^N zengM0WMf0qs?L=f=ba;iz9a`wG|UJW5ucBlc1t8W6ufyteS+?72hK!$u9}z-;4HS0k+6#^4Aw9Mb9wVEbX2EZ`|B>qxDs5% zhpV08aaCXWAll@YQZe{FLd&>hpp3bpE;c8&Ov-poJU3Vwa z>(@C-0Tv)igAdn%YYzEbqD8gj@b&YGm+w1KzecBCs*?~)bT7T1lJA-q8QBbMhAieu zCaSEAy5I3xJpXR!`?LL8rPjex=NPbpJ}vK-MHceZ;EmR+sNo{WM5j!z;z+aDb%6C8 zoZqCfhz^}J9ToP`nyKhO8bY0hS&Z;qokT^wUzT%?xS*q@e)T&+jRZ42;v7#;i1ec3XbKFUS;>Gxe!zj_6A!P67a9;YK&j+>=CoSuf&{(bZvaG`v z94v3#;NM^VjidLE0J^1UG`=N8PKY=#xR4mlM)D>9Ixj);rD*hMDgX;TAI(Tf^$~WR zV-np@!c{u3xJ7AH3v~O@q!E(T)u(GR721CR<_2E_HE+!ylg+c~!ib2vPpgK@s*)vtTfr%y2XVoTBx3> zv4AXO_Ct}4ugFa}fIVV+OTmj(>@uHo9@V83nW2?AIlE0#b;tS)k7Vzn@ckoT1qBO< z(Enq|Gs&K;AAJSFiebp`X;+pxH-I-`C{;^e4FGA4#_+)BBB*N+;WK5#U3KS*LqpoDHV`3h?Xg zc8soi^BJzX@5(6d8wm0LxIi*F9#5l&m*x+H@;_MbvVWT-UaVxMo~KIeA7wYk?P=a= z5IKsZA!!DX0ao(e`6$swDH%5*g{uClQ!H}A+&A{w#I_;r<=_Qslxe#EL$a2g^rK*n z401rh*Xi``01dT0qQPn~$;Bfv*qh{Wctlhv6k|AGW}>xJxA@gG>}|CU3C-@y(I;tb zl5r!@_eztz((I4oWMRWGqz=P-nS^gB1a!YiQOhVGX$^@BddAT8J>hNuD2tbzM$jcF z_MB`{BLug-L4*4 ztp|VG>%Ps9lv4fH`~7dGME9I#uH2%>v159PRg`2ijyAA^x}>IUf`V-L^%88X`cPKt z_Xg*cQx5dfuWcz5n57DUs{w`aRDZY&kS^$K@bz4!rc|dm>!X}t^PtFK4*-9@jcI|s zCLq{bprjc41j->(fC}BeC`dmpjPI;wC6KzlWLrmSI5f11^=|B~@Hb$ceLm|9z1WyBisqc9~el9e!`re|1MQGn(UJ&L69es@|!V9J)|2*r~Qb`#=IA zQVWqdXGN;28Y(2CX&hf-f_y$VXoRxwD*RtQL_SQ~oP4{i>1rt^4aJp|_om|LjO{f{ z*EADw_4p<@f~B_P88U-a!5Ar^T4-j-ItC@z5yg9JqH3=eb5@&~#;B8k5N!Hf2iB$z zO1Mw0R?Q|9$q|j=TicZhGMkO<{7WfwrB>!02$5F z3TaI=AvI7`*u$jb%u-pFftY*2w^h<4;w$aA5p&m@=yj`@9!4{Xg!;0a8G5#AS4aH< zvP{Xa%PO=3er=dn#T65fB4qgQFZ$m~9{VniBJ|O@!)g$ZLKcDfZq`sh&JJEuRrFb` zbAT-Q0D(Yo$VrIXtU6DRGOd1_c34TMf68wD^bE$*tLY{K2rRm_#YX1&fwxcOR$}{I zai87rCZ?iyyvX;+q9_O9`c^lC-KqsV1XA8F(&RTZ`=Yl)nj*9@dA2mD{U(G%zO)XE zC4MhSb?Ty!-v-=RKaA7#+l|vO_7=1kAA1-HJK(0^Ez{LEWJVCIbyJ@T!s49D{Q+aJ zwDEY)_Y9ZTXq9EOD&hOY#|IY4W_iB%wswx)l%zKtMueVE#E8_%DfEeZ*bfm}9p}?I zkbEMfW>YiRoKH3EUoi?yGd&R-c73(JO$W>nY{`GLFLLFfPa6ipOnDspczq?M{Ji@5 zX|fz}DH)#sGd99sV zJpR`Wjuj0^WARyne5r6vvQYr_f*6dYY9Re2gH`~b6mxH>yveC3L0`=_rc6pxwg9EL zmZ4f}G0!vuBLOgzwoG>6Es&14!AGUB5_8WXmGeaX$xfN!2m3`&Oi`%V9fM(*eqjZY#@7HAH^J* zqLQ#Ru=SwtGO&vki|i#00J4h?t0~|Y--{9k!OoHHAo&X-fBqdRXB;4B88wvXzM#LS zcyqZ8yTR}rQ$#r=W5}2gnv*tKM(HJTJ#c-}zs6bxJ{lnFUnHEBhM&}~%6P_}T!7yK zh>1u^UPH|N_*#BP3NyX3g?eO|i};8d7`cFVRM4{U+{pYIxV!tyi}~Cf3AW!RIMtYA zi1F4qL!y087e5l^)lL3*`%b=onZha7+A%_HKLQ^(2bKdEwrbFl6w2 zP2+nQ<+4dU^yd;Q`{6!wc0Tu|mE`O4ScG|;=f{#>o~`RtDVi%&CVp#_W_K?a861}-8;1IU`2?Sc@!-ErZEwcc$gJMA43v) z7aEkwHkY>^wdO2>c2sr8kA;X@z5>Z0a^wohTxn`~Y}ERw8_}HzZTY|;_2DK=He&pT zX>*OS7tL1x*io}R z;h-{|5$o_ouN;spL&rT=ChPK8^!V4+N}`pdh84=djEmzC)WOiOFjd-93bF6U$mvX) zz54XmZxyD74Rl{HWA(|-yF8+`H_9!dunD|&C|aW;{^YoayE^=FnQyriuS=eBNAz8d zQ{oEFYVgboH{}uLR|;eC#7J3A#`ii?E+O@hgt#oahvGUUwoYlJHzK+0O~H0<+0gur z9sz24hrB}L?@5ri3Y^6RTs_ncutOnXagVK}@*Pol22TK4`T|Dr!ndN>o;$pGaCb`1 zgpSY=nz!`_U#PS{pK#AK3PR~`aL%K#uK+>?(Gk2 z2k4Jl`wbqsz@)A~v%XB_z=4OM>napKwBJyomYmoxH1$_awVKzrMuh^w3~zVyz#%Vz zcc1?KQUV;%q`65;oS15E;J#i#4yCBPNe}C;5{)P**0M2t1-7nMWoD(e<8w|p{#~`3 z$jI25bYq@6!5c?r)=~`_xQh}?t~ckw)T!n@Pjtj#Z7vz*wj42Ejm+hiw6Ov}QR-_P zrv;11((p1Wi=GEB2^z@Y^1f8X_1R5k4nCE*2WseJ>7Cp3?bGFRFrglAA%qKi(jqy9 z+OCN_*#sQ?{(@Ig&{(*dEts9^T>r}pQO8}cWBQ&v*S?9#-C&$im{_#^qC6+Xr@aPM zK0FBbV45-E&2nxj1fk6gB4_^C!BeS-T$e&GksWNw5$3&FDXD@4 zQv^Psimf6;NisX{B2DRp8vnnytcZh2(u9Do-(SxH#&_6w`k(=Kpk^)9hp$?ToT-@m zy9SxIsO;}tIHPf;y@XSKi+na4JgsMyTB!R(h5S&$*Y0p9 zKcYNkAA&^TWOe%Mvf^@^qa!3uQeME!V$rJUqk=Y;;byyJGX?XaRLji{C=%YR#TP1* zSYfzhwLA`i2VK$;3>F_^VCPJ@9$Z|lH2@G2H-A0`Fte-?i3Yw#dw5Pr(h%rhiJ{4NcUF~(LGKm2MQ~D>H%^(0azt6V?Y3o481<<3XGpQzUmRZ~YYpEoNd68_f zlbrDa6m!BGPx#6T^1hyU0~tZ>#=SN;=2vU|a~wOGTFE0dl)}9LeY=Q?{*_rs$$GZH zuqN&|u{4FwdbotLS2PaWXnPSyQf{^z^V7^ET@9OH<3^+Uah_qCXv2$dj4b(8iTy`8 zkkocx3E)Co?gs~e+KOt*Bi0zXA52vDiqpoBxKHcT0NTo9D`%-5xS+6EhMa+@`N}si zh3F~gc(PdD95eGKJa+v>p&)LdB_cqLGQW<0OHSSWei{j%AGRR=sUO3H7PXOL3avxl zXK`|_#MUXJF)(_+_3`pGlnQN9iekBn_X}FT%9?+6re4jwaXud++Gc)K8i41XpuD@F zd?HQ0RZ_%WU`Z8mdF1|a#JBRFnO zFcrG(2^MkvoWxQ8R|Lt~l7L)p$QK!`iB8}a1v0GoU zy~wAxBX*^?VEu0zdslJ!?SH0 zt=g-2kpZB*XS9f)on15ci;S#Ke93p?UH2xh`P0Ule1FjAI(~DA-^dtcP5I#%`c3)e zXAIIWD$kORHzOb=NRFWv*_{ zh;K{#tml5ktGqe|>{{S7*z1j8j?Ei3k@CsNhZGp6(wAHbaDp=?UXSpxlhs=RCZI!o z0yC@0DUVtEl9pimsuh?d=EzE;4hW@NVE@j{G&)Y329tlqX7$5qyt!;lG%4t(#L9L~ z!p#C)XkZ?4prn?I}u9kcaX_f8oc=wFZ%X0UfbRMA$CLoQw_XqJEiNl~?M!M_Jjb zdiCTXRp9IW@v6Gb&U2gtvNJkZE>gp?wVknxmk@GPI!u>uHai2Blg!L4JWBV?Tk~KR zEhlR0c?8D)874VZco{F0FyR z)}STB^%dXq*qtn`zqrT=meYEF!0QECt4{bT9*bU7b(H+L#P+?@=iik;zUkGX&~9_b zic`$r`+T*jvto!(Bi8&@f1CJRr4x+00vUooA@0DF|Dfipls&?JK|07|0x=U$IUloR z7;zUQPs|rm5ya)?C?u2Wht!Ayo9Kp)G&E%KcW3E8lj5a$K}lh@0k%>yJC$krc}EAu zLOU%7RHPSRbKR7ThTSd8npfR2$K4qT3we*w@39u;+W+iIZs z%#pJ2(s$7XTmlY}iB=Ct_11CPr{x(ZQ7WTDZ8at*gu7pAM95cT)XR6u&=2>OZ7GA% z2LcOA-kz$GLHPwr}zb1raQZ|h(^~^Py32gxD`0D>;BNfZl;ji~` zllNem6g&EODe=MqP#h^Anp6J!zuy-cGjM=xr8n&iu!ePGFutA~q~CNSqk-llU) z9kfU+M_>dZ>_{*`O;2yIQiVyn1>k7#I+XUri^f4OjY%K~xNH`ZH;JzeKQ#nI!soyN zfcaY4sBhGRS#JyGK{VopQ2E`tz)><4IEu^ueZWIs4(jV;9SoKpZ(OAPCApaEHtK62 z>x}T_}0{PSQrc>9QIYYlx z=TGQ!zwd^Lu=w#M0b{oD(!{mMh?}Dyz^Sl4yDSMaY|8 ztN|23!fkqS$)5TIV5@lWIw}#=L$c)L{C^rQx{-hz71aF>fM(Pxs$HGF zURKh7?f+f^K9B{e8uSLo=%I!O&(uj=V5gtqvgEY=p*{!k>{1mC1A|>5dRs*)8+_ne*Wfvt+hO&dF6!pOnu1NMM`!VDyQ#3kL9I0u3)h4wnMCV=%u$l zMgzAUy;(ZB95%r2)BK8x`MhX1_|WRVl5}cJ-O0N^y+Nm~gDQ`8f&z6h%>bkiP~AI= z3i^}%u`y9SP$aIA^-4gCPRkW85WuG9SG@<(9r;#xlCp8~@IH!Y;)i(UazI!NFcAs-myqG9O@7E2xdo(fbuI@oM_TSX`q)cVJZNTa8= zPR4w8V-TVux0RsADz& zNEPCOB&_Wq)JuYHKe;=zVC>_dmnhT_YGiE0;u$gKG~qvFNQyD7B5Tu#ltAq-1FKK} zRDhPTZbZ-**6z+V4FZ@$^>3(@qifUhQG_9MiP@hlJw-t7A86bjGh=k2FWWW<+2e*2D7f&>hGST; z;shx2h3%3?#zjVY7RZVwYsFvDVxmeB6V86(ZmW_C|F9XZJVee2Tw9RL9iBa2hKT%C zBlaGaMqMgNV7fR31eorl#zmqLR{}FoAkJu_goSI2)52g)O7p;+2jQXGb-9TWH)$ML zX+c;3(Pg?xk&wZ6;SvE(&B`qS+iBxw4xE``yNg&-xHnRZoF`f_-NJN`Ney*Jk18xwf-z9(+A7O!`o$o-9tAjlV<*YkY!K)h3EmHGERPD?+xjl9(5KD7U^ z1dphdnwuL_bXbNe_I3pX_N)8W?`li|qwW9L>f$P%95$pE4tK53RazpoLFUFbJTX`O z!4Nf{|NV8NXC@zNv-m{`(q+SX!Ud?m0iwWv_B-2H!;lpYYGGsTJGdGwBZX5UoB42W>p%obMBZ8Qyal#MqwAI(Cav zin1;+)56BI=ZVsTbdhUDy3jU)napY0TrL|{h(6cR^&70-GdBK+ahZ;M9XYpzvtsUQ z8!Sy)L8D>Y)Z0P~d;Cp6+yjnB7M-jJ=0~aK zO$iM`RNm`o0hx=TzY~sY7jy>InQJ+_z`u#_oTlI-i`QgHe^ld%GcIHQ^bMu40v7TY z*d4RQLVIj|d<-UF}@c^G?`4R}` zf$NPXJkXuxEzAk~DA+OU_D_e|1?gCP@j@dBY=FqPNj)8=GZ%1?mjRMEf*RV;&2ZvYg}6SD-<5xhUQB5kqyOlAqFs=&Jo zMIy7LfuUWIlW-O27j%iYz*a6HK+Iq|a~08OKfrv3N$4NbM;}v_hKkwD)b*g2JK}Ju z))FHQ3LJH)^=u(MZJbI4aIRylmQ|pI#@LdrBMPmH7S6oKG4-_Q#6vVYcTPhEwd`!C zVl4w~J@5NMp5X)g2Re=p&B_>z77M|v;G>=5A+KAxgE9)vrs#aFnOuse){Kz02>#1^weH!~0Wm)1ST z$kCv+B^#(~bX8fruf=Nnl4WRvDV7C}qB`bE+gZ0muKKDg8kX==cw5zKlK)^qsYHtBW^u2VM1jR9~?`*t?6!k{p{z@3TaW903IkWx=G&Uy1kty zJRQ%zJ)R+XKmDW>VE&b@V0i(*;@hMEW#%8#@!-}f-8WXkpwh3T-D57~yi(dwJNo4h zHM1+X*aoBJfdvw4!9IWhR-FL5rjc=>RP+$yH`?|9!hXp)b7W|<7rWS)5n=X_N9 zoFNx@xP@*q&vOE+!KrphP|)MbMvAFNkX-Sz%9LJttUZKPFqQWvN6~a%t5My;9s^E> zuoPO6H7?9&(raai`O^As3x8NGxTL2nFSE*uvI*b22T8Ye;cV)hC-0hf@fB4FF@E?) zCMFNTA?HyCF{fz@qbG^BiX{^A@5{u-kGB=f@Pb84q5Wy0r`_qlKjePdoApkejRvWRm_@}_D#QqJ^RdYqi-0wjGA3h}GGS^h3etU8P5ROyz{KD(lBr<2lYe#O3&j7?+@6Tai5mcWyMIp1Z}N z6x>beM-7i)Gj#pii?BW8xbX^OnROpu$4ek7&FFJg@P?rO;-{89RZ2IMx+hx(AxJh1 zW(ZLg<8Wuzv}nq7aW9>m>zlOPR3zzPbnygymcE&I`Fxll3D3zvsp^6wB~ZHa9R9!& zPRDg9k`#1Yrk1W-Z0fJm5SRpSVR88jXOcy>Y!8Giaw@T;YmKv|c+yY0to5-hfW)09 zD~!;*@;o!&GO*=cJC4mDTWc%{#u0v=ScBPz^dJ?vvtwBeP?5xWRuiSh#-^Sy5hh90 zKT|;i(pu|^RM(TVGo=@DI~zT4Ndp%3N`GQ&B_WJvzG6O@i^}qP`|pS^;M&OjLd{6B z7KV5ht@Ze{4603sFRi#jgdFh$og9C|kHHpLGD$I-gq0-g)+rwyJrF4^-MF;=(ucVj zMmjf_2EK`jaw(NMU;`k~mXJMAB*0ua_D80FiNnMkO4C=mr<15~4r z#UhYCW!abgCWi7Lv@}I!07x1_GJ}GPQNmK>cvxnnE~czLzI@Vy$_aP|j*oT-i1C58 z145M83_bp8?OMJ<)Fovep}5jCHX~Qo0BYsR{H3sI`$*=yApmWYQiMjO!bkvbd}qmm zVU;=NX-BQpagjQY<-DZLv2DkS22Q-s9~rm06mMOylX>3CNKUxzp41p&5uOl*EPsGU z694pDh+_e9P{6rf-#%05-*HWJhcgSqZi<1Zx^GM!G z76<{Lq+&9f4FX6jK$8HM^V8hbyjviDY#RwbNu_8awz`bbSi@BxVC^ErE)7jDqWtsG zmraj2J2)sF=DHq+tOhOuGbg(t>0VQ^r%9B;R}sZMR72&+7;Ipnr+HE>%xT1eaOb|7 zk_jl9#Kk3=%OX>h)q@}9+{R*3)|3NLtTi75#CG9;MxxX?Nv-j1K=k#3tw7wbVNkB* zf6(ODwX9w4Z&>*a04uk+CSp*2odAH@$S`Gsp{==xVZ|PW_=Uj_uckwV@cerqdK2&v z+;hsp^la`StBV*@UE?QrN zRF9?pU`G>$U#gsMsU$_`(jbKZj&eR3A4cShXpKe=DcOtHmcrKsU&%fGf)Q#3w7yaJ z$<|D2#(!@EfW3>M_c_U|nA5>Z$hASk8ucAytL7K|olqMq_OHN4cBQ{wB`@ zy$77EE4X~x!BFNwo4eEnRYfXyanGZj8f;T+8e*%ltyVhR2lgmxbps!+%)A->eaDNBA_Y0~0E4OIOia6h{+$mA?&r(XJDgf5XLRExw#rM6|fJz#|{ zb=Q(ir?ZPmd%2{uQT>BuXg)9}7d77QZ2j@L_cyWqn}~yO>@E<549GqpE`*GK8P|Ds zjd{^k*M&PecvG*~VZwd6d;gKyeu8hrgUeV0F$6g=sXjqBT`{-J-l%;4dvHe3{krpcf@%0ZQ$Trd#a_agSP=v((2`p&ZGX~!)a#@9I@x)~tDCp7&RS{%c&}P}_ zj}dJvi9t1~OHcO67*rn)IJZB-7*szP=v6N$X8GS@$Msb&Hb@WuKkbosjTUz_E|x@i zDU)`KO$TSOf*KbxA<4VkFY;?W&t?Cz;^dB3bY@as9vd&LC`4qtoxDY=;Zn91cJQRz=iX->VvXEy( z$UK9GfU6W0SY?!m>X&mf z(@hx*@7OeZh5ea#&~=v!?GvD2?^dC#O5Hb6wxVv>MyIxU_E*qsrj1dd zwo1~6JD`tOz&_7%QF*T0eoa^1{z(L32L8xY(_Q6K;f{t3W*jW4Su2PGuNg_LK1lmr zm*X2>#dQ9C3v2ebYGnLT5-<(13v5%=li^=~KF8{v_jtU;KJJe&@c%(2HC8%$@$u$; zPEm*FkCgq9v;8JRbT81q5f)^<;YJP(*Ah#La0JF4x>XUZC^EZokMNo~W&m;fU1OjZ z78h+mxtT3YET8CLH)T|C5gu|TxC0;{psPzEH0svWfat|z#~q^Qg>%SAY67B}rPYcx z`G#_rp(JT?xc5kvq9v_i4#Ehkj)HICn~hdAt$U4iAoyT^Y0bnc1a!4L5Y4EzShDcG zyICIUxzG52PW-{FEW1UYqg3UW0Hyq)tQ(Ass$XKicHQ8)4v=3fal&VWzoke|1=gNS z2OUO53d50buVNz`s!GD+{QA&-PYSl5b-hVut@-w zOzeh6xU*0l;!BP>Q=_AuUo#T4Oo=O&>-R|-Y=Mmlcsi3fI4bKE`qFe%Ooyem2RJwD zieqnJ0=SIe#YTdxpfWmF=3XR{aAQt*^f=V%3twJofhjQ3rPHVwmLi@a+-Xe}O-fPr zm`6|}Mt-6uM(RTe7hjrTM7go^e}J8OnLes)teJLA*9)?)wA*3UA*~m)2`d*W=k0Au z0IYcBpql{^WqzUcXV~R@M6~Evtl4rDnAHTdz59a9?yaaJ#yYM&GFZxE+LxQ4*Gl5q{9ky314HR5?%4pNSR;ReuyO-}a;8UI9N-IajZN zPO0f4ujNXH&_3WPzER_>RIrs?FICN*hXvumOqIN$UBA8?zrKw7Ke}r~Uf+i(<+g3G5o+u!7 zvDjb;lH#NRyi>rJS~L#FVJDma+gu2eeI}?Voo^QU_n?rk1XD?on)X|q&k0EeEgQWm zZ{zQIvOmH$kQMh+_ZKcM z!Hsnaxb#YiKtc79@-^)P5~P1SqBw)G9*o`@31d427}-U1dQvH!x`(xMIo_pBYrbtu z0a?7`cb#a9t|}+aaM_<;747ST>w}}wV zQTa}!$w4Ow;eziO3A~bMMd0`w_@M@7K16-s_?ZAlX&{-6dwpPp{;xNk^n3{RfMjF4 zk^mr|G>6Xvd2FE4fdt_|H`Q0rOYq#Gj-4UVoOFr*RVh``DB5wzcx{oW$0<5$&p>)o zc`E6FFj?^;$&kP;!S1ZQU#XsTf_v(1yrvy1P`~0g3)*5iblrpEiHTkH2>_g@VgN~J zcS&^6xp(ZFG}T%4PjO#QR$x_4JifueMZ#C-0dMyZqGyhs;604LJ`k^MgS!qS7aSf4 zR`RB@;@J?x9kR%g-}0rj>7&16AC>vc_oCD?zPs0?j3L;7iE3t537uwI=Ni%UX6d5xUwO#7l@fIW$ z@7KBkmwgGS|8amzm$Yo$)Qmf^1k)&r&j~3`pUf_tnrM%R3Bvn+$MDCC3BStqh^Ru@ z^&3tbb3u2ypTRSUCnB)~wg4#tDl_HZU{NLcB>#))BZ~uy@qO1G z_4bYCb?6o02DTfl&`L5SA}o+Op%LJ_C73j_{74O05bhDICW~tuV2@<;-)UXVJ^K;1 zm5GQprA+UPIXk_0O zPVCG(vjbH<`25s6bIj#5pm$f}JiPu8?=n5%dm!+k+{o!~%~RU#k-2@?klDmX&0t*Q zAThft{NcbMIWvanJbHc*k1DY_Uurtz&ofc`Zf}261%T;0ynAsftjNf$9NvDS(cElZ4^bixL~>d9SfP( ze&8Q>%2U0meNc$fI9`7k;Y7n}Y_o_D-*|X;yOni>Zh>W$@;;5{Dw?zT%X4k#*@Djp!Fr9j(IM| zq3BU;_%wZ5rq_Sn#vpS3KT?;eC#FZWOIb3;1{ zYTlIXd5btB6$qdoH<>!N#<&nw20FgcV{%{P*y$Ph5Q?Pe0{;%d8~HhX6Ns;b{)r$v zFTG5(3a0*do{P7tx%6&ma}3yj7dpgBx0NeoXFDXFNj#bpWrRwI9mA#UL9g(wFI}9Y z&3d$g8#;-&v&8^!f}#74WZe<<%MBv3iw+~_k;A&)D-+pmrIyH|1+1MDAXyi`zUL9pm=~=8t!c(^?Y_zXNvs4Q=B}M{8w2(!0lY94cu|dVuiZ|aya36be^}x78;9Mf4`A`3zZc53$jI2| zg_mo;`S^{M!!>%94@v3I5$~kc6dcv@=9L#3%!j)EB2;kD%Z0mLQ#MD0#jPi3bi&a2 z6irEJZ{&b4=wr}hmveBEr_Je8bhlYiF!(|^u~aLbd7$@NC7p#aaBgV_ z8i6k)DUX{W_`eI@@TvE&@v+3182_JnO7$U}yPn=2_DzP=KW04a>?|`Sxkv5V%77Fd zu&r-kEI~bvzyjdcKfZv+lz{zh7xwKYoO~~$&W0=4k(r@}je8k*(-JXQ!>Q1dcu*C{ zp*#r;UXqMxz}S&8ERBa~9V}VT?vXNFJ*V zGja3CT87>O5cEQ071&`J^PIj_(aH#gS?D?q!ZA~?o!7_kcj)e~uSfbCo=(Fa$i#3; z8Pgp>D+Ac|Kd}Zav=7Rmfo0bNoez(^uHWsH5NdSLg4c|zfNiU;2oa1kUK9>+kas^l zjra~Y5D?_bS`5bIk_Iytd}UkcCa`4)$|GK#SO8%gg8%}IHojE)J7Z0~F}27niV+Q8 zf$*1ls6H|$aPu*{P&pQRDZKl=PQ#9%)m1Iu3>Q3@Nn6IFQ4>W@#^5&a;MCUE?S#^d zz`prpJX{l^Uf3@Q5`-BT8wmriUTsP>xJN4oBAmrM77CLo49OyoU5Ay<1a>%ZFmF5a z;>}tT)JK;RfpaeM=T{;WoC4qj=9>+*md293WkD=%dR75jG%ltc{{gCRfLs$h)0x~4 zf4@w^Kqv(r|CFI)q~(0Z{e~a+&pxZZfB>O<5^^#H);EvH@#q{qB@wl}`RpOCV5(~N zw)6Pq4>VIhHKsnJt#A}wDNfUq=ESFs0eF65748Y;h?Jn#nb?7RYE=z{oMNU@8Ncfm zLstG8dRT)x&^1)vf^YGtoR1PQ^wWGR1 zNg3Pp@M!H;RMiTE6?()4&+lQ`Dul`)cw*yJs)~A+Dulmd=9@aQ#nE4~7HgnN7}Kz& zStqL~rK}m2rqRKbbk+$o`OGLAC)7NE>v^jNyY(?`>Y!juJ>kXTs>`qM9Rin8>jiB1{>)FgS((<%xLjgdqi4oKCm#9?3* zm4mX%5{7go$d!rzx`zyGNW;nJPqaf=Q6z_BH28wDD4|lv)a6orLKO;9CrE*@n zU;%Uu3;a&X;_4x`rJqA)Mfn-B+*UXF%UockfJWaC;dWIW?AIOSl2%uEP2F zQUVJ>Fn@e}p0hA{3JTDwL{^EaM0twrN&YZG8CON7$jTEby9Nr?ZEi@Tf@r2;i1u^5UOPJK^nW-1K@#@Yl(=9(dO1}Dz_ z9MK_Dqj6swfg)12#kH2b636nRu6Sy_1q%o}fY+G|v1Xq=6>(E-^x6I0gZYwz_bIVP@EDhVa=>L+-6>9F&?$ zuN%y$6Zr9cOyiYncud-=$nPR;>Rw+s`)m=q*Ydyn=LFjZ0!k`v0#=WKLL7`Q&RdAU zV{4E$VF-e3JnX;`4(e8o;;Mq0%%mW~rd`dUiA^FrK7(STVipDf6Q6;gz&7c)93jIb z5$=#)7MIXyoYLx|K2smEw+hYHRf+CCeUh0E_m0kTU_@rB)vp-ESM@y8APy=^E^M+} zSoS}*{%|bGwk!s3nW5b5^!!CLP!LSQctuXU5Ilb+q9vR=a=ORp$6@9Cd=TC3R)0c& z+%Q`Q|!6UU4`N%R}l@P32E%8S#uwR#zUdL#~dftV$YTFO851OOaZ|Oa70= zE<{+qVdu~#`Y3_vw}1!T`le6hNsPv(xI=7@N$*sd5hyDx(m{PiO(3pWF_P7M@i6hz zuriA5-3@S{CsSt=4h!2{m=cGteVy^Jrc(WbH{+|kb@*85yo0WnWvN~po1ZcbPaToHmR)38c+p@ z2S+5tf?BJYA^uGH1@%E2EZvPDH&{@@KMDK2jy*VwpC)f?FI@|gndy%F5VjSrE+d0Dy2O^p z@!8kV+4rW$Qd^ZD#jygFq@f(#&{Imy1+LXGQ%b7k*e`tcy;%020=6;M`3~@&g>%BG z^W4-l5Tq4J23UcCbHHdtbBy>O zCK4p&HfT*cSl(^Y>nYw}^1x7{dvaJU*OfLTj&QSntwub)-`i59xA)w#!T0XPcgFn>n!l2i zqr_~(vjiP2zQPT&b;-1yu_=FX9MCCnK&f!&bI=G!zA(9U=w9|!HJM%s1pBwZ0_Ip$ ziL(?gd!@3$_naVkCqlt0H0N%?4qgn@_^2R>P)6ze@@lqi9DT?4P?W!R?l7q!cg%!x` z39C0_rs5I>TAoPn^Q3@JdyBbe0y6HKEh#Ykblw;)pHlkgS({btMG#Do0kQ-*zE^y~ zv?yU`RPS|f@?^zgAcH>n>8NN=1Y5&|s8$T_SqhtxnSV6zA6x?eTtZHiYV{e%tsdR+ z2w!=F9bEZ-l@lv*drq0D~rg@c%`z)q4E<1*Ceb_emo``gwoHeYCv|N zN&Z+QnRYKYX#bATj{4eOMcZaeQbk8NkW-XZ~;_-*pdkb)pfWNF2m zLmGQ(C2*sWKPvF6icgYbTc#j2S$4%Mz0y9S7amn?K6=Ojb~2fW4L(*8(=wG3K#Fcp zbPaBZB>~e=5nUksMQWBHOTT67VVA>8wjSD_kCCgvXTRF=>l0y1w^9?8R|11sjv>s} zovH-3pSTaIp~&hz)Su)|Z9DALy0No)%N8evG(yi4Ho21`_L}Ep1{#MFIJiyV%!#0v zt!J5A!Hee5iXquE%S%a%+}E}$P<5mw%N7aaURvE^Hm`S<<^njSZ1w43q;#@S&Da!g zSrk}`(A_O%--}Y;E$a_o-33C1t626oak>OVw4q2sHdns=jX;W5rjYSnzPs8&l_8*J zRqv-4D(Hp0kRKN4j2$y~f3a}dSovg4jxsa_c2V1u$?qGF z5%axtr>~tWMYlT*pUHA~q%yt3`f2lVZx(rj?)1T+3*?Q@_uRM!o}(CpT-v-HX3u2! z-9+S9e?I(p)K6Hx*9v$u6FfERsS7@`&SFevZCeu};qW=pxyjsPBnTE2wy_H3V-F7M z5y^I#7LH9$9AZQ}8rjx29*`=jVG|V(9t_`&-1Hlg&r1aUhLJ*_!Dv5mh>2fWURsif zy=f3Clm%NRcCB2AB_~SiiUb5JGO(%d4_{_*i5Xgc-`D|#!@6BUFEU!$ZIgE!2TpAP z%GW`~$6g*`1a3NcCa3Ba=g@YN;pe=B9jwmVb^?@JHGAH)@lThD_S82`Z_3PE#eNpD zXMLUB7FC~Y$1BjkAIc%G7`9Xh9>i_K9v>BH7z?U~JFf{pvTO1BFlpF-eH}&!-BO8F zv!p4EAvHf0D6oOhmueb7bx@Q;?`&ZDQ<)&x#KJ+qg$d^_2(|*lsl1q%nU`X^`I7#g^#|6s-Amws-+;jijrr zZ7TA0vX@XUDwBB_Vbv3Bi#^>C1RDRY9aF59qy1VmM!zloHG}zw!}oIMyWv?R%MKQ* zY`g2at)zR)HR|x6RLLP=tKe1RIiPIpPi<8O(x$Ld^Vh9U&;lSY9f{&{5k@5h4R%6! zXwHR>L%~TjLKmeOf*7U{0X`@gTDm6(?G4^~?N6**Ly4nQ)!z7nO7J3`Ha~CG6maty-~12@$iZx6UIcFJF9`pq^+sIxm-y!HpCFy6HJQo z`?#Ipo9!h~zyvt<=g}2eK=I}*2<^fVZ32&l+G58yAWF_le*`^hlA#IGDHD?7b|%#b^5CW9mvBGz${@wnUiUfKJ?O?P9Pa>7LFjLviW;k?%QR0O>tshWT zidE(DFxlBY%3O_(Tj!Mt6DQPtkF0~NSZxCo>fe?WqTC6dH9KnNY@PYMXSxl&8pdoE z@ag(Wd9}K>qK#rd9O}yj)o^7m66LebE0t`;YLA?!lJULf{T%Iq@5kO}Bbo-!mV(DJ z5)iYGOKSa97=NPSdi^%bS%;gi0(`mxOvT{qPio$`aQF?5@UjfABY=`2mJ|6-sDQ$8AJeo1_xd zzf7I7KSj-Au+N}Q>~$Tr3DH{849UO|R3~_AR1f}D>HQ)U7(+jhOPWX2*YlMr{NWR> zDKZL|N&@@=Uj0ZsuL})9P|;7X429qp(i-#oZvztaNd4+|n74tw`^!qhUmVbIMVMuv znl!x{XN~d*$TI_c9L9DwW|LBC<1@ReGcwyQKy9;o-} z3-zM_tbi5*_jiWnU*|7eN6f#+_j2&x1)`6434*h9dS=7^h7n(Xjdlc`GVMY^{Rs7W zpz!!Nsdd)!&>zgZbg^iE*0Jc7JKM@^^!@e3{x1fGLP@uK$5+*+JJr_Y@aw`1-*2NW zAw`h#iRT2%{Y2f}59dV;P9d1+W2*PvkEXet;7^>dm2!*jYcJf|g*=7tluI9yhatmn zY1d?yK)?M*%$2{KoO`(=235-#qoc6IOlRp~Rxzq9{5UV?Nb5WeCL#ssu~N2o8ADfNI z^%xn=UP@a6yrk8tAdG{Re;uiCqsgLRD(%hC0Hg?1yXCpJbk1vR=-_+BkNrz`z$39zK_9U&W zPllQ#?mmbnnn1_?lPHNcBn}2&icY+cR((1DB{W$Yq_Wh6Necn7kn{C?=qn1Ex3_e9T9CFnbE}dhPCrV?!z?sDQ zFa!K~5K7%h_CLi#KK7ZCc8mK7mh@|pPy-sEgOpG|*l6bU3qh#~YgtqyhD-ds#lfL~ z8?2fXl<=VJ!`sKihyYwCNzSa*K!7sE}F2`EG4H|tA`9Cu;>7tBB zQ%DeCJb^Fb!K||a`j>nrEtBOt`Hm4-dE54E`|in5r6yy z)DAB1rjLQp#bS;iy&3{@nj!4{;^u>e|Kf{sfVKjceeO2jBIkp#k$u|SEWB9+dJj3$ zF(GiBVfdr|5>%3OBkSm*PHP7P};GFA@9-WZ4E#Y6?mN z<2fmP4x zU4Fy3)p!?%{EMhVIj;@nNhinC`qPo=m(hvV;NK4N*nf3RY3bG<{Ho#lNjTGI2o!x` zMI)_k%f(R?8=CzeA^$GQYfdD*ja{kt*inMC$k;xt(F(!q1<#V76>pj)tOi(@BKVo@fT=sp`p4&71xl{lEtNQo4xc#wUdlG4=vyAyGq%RmpvbEB`4 zbDa8pEXrV91(fJeU(T3(gs0S>r`^J!H!-czZKgfyDO*(4TE21ZyrzPyOr(;K+-P9U zuDP9c?xDt?--WjC0$i}ZOw6Ztj;NlzmdPvc!6N=h{kqp6Jx@3P&8R|s&1OzM8dC;5 z13%5S4~Enk!n#n57{PnDhs$Ys8&Oryx-@<_dC~MjD>SMRx1n|2lVk0Lu(hA62{)nf zS_Vsd*Mh=`7QUPjx{G?`vvbFLmjUCs7UGbvOsHIEAVN0@F)}OLxhDSBIgGKO$qPJ5 zn~;&%8ddA;lkr*(E%@KG!kQ$6A1+6NvJED#C;buKte_G2fYXY~v~iBYf3xSpS!6*I zEHX?3Um6o0L;u`!&x!%dHkA+EsBzNt`ewH2B;b(`5hAl{GRUOO5GS;Fn2{{-e)%r< znWv5@)1GdfN0AF(;q^Evg(l4vB~C1;_Y#B1lDN_2f9G-eAgy$KX00CLnKw&@JqdcA zaI1JJ+cvbh$vhN-oc#oT{OPpAz=nxxUIY1ZNFU5aC2#6wRg=-?Ov8GaJXDHL#O=?# z>o-Lul+|ks(lRM_R#ki?p1Ck`>QQ!9_e|&*1YUKj`a>_0vfa*%7tqv{jg=~WRn5pNNm4A?oYuTM zXHueW!GcY5zYOzQllbd6U5Y-7)id2nbRdvG;+WyJ7WUMV_#De`k-Cm>FXlrltbvkx z4}WraujSRh)FHr%qy$fQU(?%T56<>`V_HI)nMl8{(d+c9I+(2IV}}*r`|<8`*3Zf1 z)s*+;4E$m{;44Hi$gJF&so*O>=-Cac|ERKjPCVQwmsC)T>wwjRV(Jj5Pxo+I?OJY||4yrIFCHE8Y}k#{yXCsNY_pu90WNoq6H9u4l|ODyd2 zUCsqOhyX}+-EX1P`$9X&>fc0 z=9AoPE4@3!)fh$A-J#Nw>4Ro`!@2S?^XkM}h*4pkWy+!6ug%kLj(y7K+uw#nqo#bT zR59QEIwm_@_Aw?RtlzW@P8rzU@}pTlWfW?HcRXx7#&~g~M_(V;Ja|e_@!Zdtk&rSh z6fOqQwvmi`yf8KJ&rSjtwoo#ALTrvX7j*E?p{i}-NNi)mttV?RXd#S}N&!FRaEpEs zMCoA7!rW?X^p(%sXc1L8GKI$V;@p}w;tI`?1;|lafWicI!U|^c)RrWVJKxh1x55? zDBpr$&<=NGUHxn1lEGwi`-l(E`x?mzS$yY}oTOXv3&kvkX0ju^Tl_)>LNfMan87=5 zr;Sd|7sbB(KfABw*VTv0{gyYmqk_BnEG8VQ?Sl;85*ReQ7xAqwF@^jg&denU(fK?W zil#GV6{+U^F8Hy)3qJP=Qo+V>ffzW9sSi;=0b!b3+aR`Z9C7Mj*f9i{=vRyF<8Nz& z#z+9|YoV$Ve*v>khktHhT5cRHe?!OSbB^GO`k6;gUtqG2|AsTSz9W11o5Ld3n6gs5 zPCg11{m#$I<;iPh{U_VVO`+R*=ZI zbjQ}cqL>C^Q>83S4{ycBO`}>8=NJgqf<||fNVD%sUlWf1dJ4RyIG-Lle`zEMNtWOR zn>4(hd}*&dL!ICoV33O=g=C^X?fiXyi1_Qd+ZvkFW z{k998VA$LKBvB&4jU!Az5X}0>S<-&lfbis4@RHyUVDh44w1osiS796~pv@Z%1HU65 z{!{YDt5e&?la5f49WSlxOG%EXpq!`#e}C#M^GdNd7=Izng9yvf{;BD~!Rct?q|ceQ z$ARYOiHOcTrnp|C%p0abxKpc2cadG^oWsZy{9-jjCY3rpzx>O})f{=uR4O{B^-$Mj zcO%)N=P{x18{k61yNX@eQ`o>28B&D58^2~pXtfSR0owO-`8}gv!1GfCB5!ghl9`kx z@!vQ>Xx^aZ?l*$Lq}~7M%38cD+M_qDSgMhx0qvgwhx*u>e0Ly_hK{GFIMxbpQ68Jj^s0{!enov@! zGRi%QwMoc43mPa~o(|!W2gf|*E6f40G@y!1%M?%~+L)>N7Ot}&r?bb`18_5yMC-;> z6gO#<*|-G9*_bEUFKU7$=lSK;PILvw%4mH3TL!%VkBMSr zT9W-L8DQ$P@mf&9jK+SI1Q|$aBht#B9?n%#bA4=Xi(`jeB;$wn3yD}o@u{*AwUJ6O zn`jddsRdRdsBzRrO01-Iyu$*xUB|nG8M-z^$9sX*L4_zZQQ3E_2oJ2#Oy%)p{exiy z`=D_Y(&~r}lh&^bETJ`_8imydUVF`{u9TP}_*LLT;0A#o#IFnA^1|lDR@Oq2=*vr} zxYGG^vl^mGNVxuE+6a%?6DT!~HD1|kkIrBBiq2twHxu^vwSV*T|M=hk=xj@?Z^X2V zDM zQlULeeUk7ZXIDYtf=eWTii%Xs`?8c;m54COW53m5*|lT;KYMQiv)g)=hY!QmqfAi3!DPS`wxoyR%tZHoBZ||KHm0 z-rpY9_^fByzgLy<{kT%FNr?LKzt_M@$iItw_YXPRX8tW0q{bdG7>~L8JwyE6 zrC)RZC?<5KxbiWu6@d{xJt`rl^atk}#FYLXU{)Y{i9qd+HQ-ZWhpuIZBW6#)%NCg5 z4jgLKr4nJ7WUV_K_n7CCB+pV*s*eJ-h-xjvjPxrimFc+Gx>jG&z}1U?GL>cj`ZxZ` zzO#S*o4!w!g6c9=)&2{2y3t0+431N8(OTyG5-Tn44X1rrGr?{>tC| z%J(=yn40|7ovzKU+s(2IW`a>MpLW06=tyI9X_RzO`3goQy3?qlGiyZ$9i1hO-z{Yl z^Sdz=Pd#~ba<&e7bf#PA2+~X9K ztP>+4HM8a`(v+GY#7eSmDNROcMKPr&Sx|P!C~l3V)R#;+)FY+=Dt4|>kzm0iLo&move=vku7b!|~nF^Km72j)Tdl?;&986CM-^J7D zIhE$jC=q;y67EER0Ya9D9)bxSHsMT}#cC*AgtG`H+L?hc@n?0uGG!L4zDx-we3w8s zgU=z`9&-V&nF^Xr2_~OM0G)CF#^;guI=Voqh$o#j0b(EykG=~iriO1(5X_qsLKylC zrUA}%FD5KcdpB|U)-*Cg`k{AR{OZ5%H5h$)yJ}rV+CvFrUT2Hsjf%s^?1I>_6-;Wq zj@j+e)=_PE-UU66EigH%bPz*)2j`o5=gA8<3tK7n!N99Qn#MFYAlG^CYq}DMI zMgSSa&9{O{t%HLIlxzsPzz0P1SXRNT!I%NqV-k+kb+#B}(`i|VY8^Ch5Mc3XPrP-r zX1qH9qH(|vBoX_y*D|Sfa8WQV#^#20*z1**wJO6V^;DIi>Q%!G6EV!Mbf42fo$dv) zeG2;y)2b(p)|E|L$Nue;@xM_j%6^tNL)6pRrRb$G9# znFXq$-|p^BP@UJ&%yS*(>C4wY=nfKaJfk($H=3(o@aeweAy2ucpMoFit( zYVQsbo}~xS&rx!yMG*Z>YNOG+)mc$$9Cw9L^wvVzaGvr0pihg4 zd0x$Z8MqN7(+$F8AGB!#GQWc_(;hwEp)Cm(c=QA25OpNeEdqN2pgH{QOH$IN$4G$5 z_S6`UmCCO=;ByyKbUf?{@FxEauichxtZe-+#4Sd9O^t1gacWT-H{43zT9S4e-By{7lEh49@xt9BFn;!QliYFL&ZG3#^6 zeL6hi#yziTw`lgfrr)A-s2{%a7k~ZZe#ooW3MVqptALB(w15wu?hl}vV27d>Dqj`P zs|pUy0j72|L4a5p{Ieq&gKnD@Y1vJ1a9}VEy9pK-4Vm;KxF4DoY1d5<4w@1u;lV4J zl=2mR49&U;qO*-j9D-RfzqeVDo|g%N)r*%0`e_ZCsYXydFOxjt$4VXrl4RFP7g9Vg zlLRdF^lV}Ayi9UnxbUd`cYA-Dyh)6v3ufp}vG9fty$ay8TKn?B6D@A8{gWAY``5qe z`$VabUX1TKw*A!m0=|TfilPBn$3okjcCAhkwv6n4Ec$;eD%hdEq zdD3Si9>{@a7%Jey(5=#@GlmqV^H4ft^dojOU2req$ht^j?oe7|^kdw2us7-M_?y1x z<)8We|JBXa%@+&WJ(k0f!xtmv^;W*$wSF+QZjR1x4bPQ-TB4Xz`pW!G0DtKK8Vq~r z)EPn|mW~MdEMan2EDq-tQ-Ni*+^NNd)o-gIxxj+yH>Dg=I^X?QixIbm}HjTnb!A+6{E76g|YCmE^?LvCemW_K!^2$0-v=tRu{h$hJQY3U*%bki> zEV8LbYy{)?r)2a5Gfi!y;3Cv#h6kSqRZ`RKL6C$@rr_?Q+JWA~tA;tD?K^p<*H&TW z6&cZx^l>BlnE0Jn{qDcMc}=Ur+8hz84_b7?t6a(@dc;LiVbE6?>spdYFbd+9xS*>r z8k8;Cl9_et8Sv^Vj2f`yO3-Ij8mxRpS79i=A&(=88rIy@%W^?iVX#(;O@ZTvIb2$! zazR&NNUpC0mIJ{p$}#E3Ox8D5t;h#e7-fXHZj93wP1XqyQB~1JcdftG-{L!EWmiy? z4*8ZIRN+iplqxt<9Sok7YzI#Yj11ASVsKGCsryJ60&#g&MRO_yz9B_3wtSzW`F}t3 zQ$FI8JdRZ`zWGYl0klPnkXBp4sfm{u&OrI9C>j&=o~s@jH7c4aC=8bLl@L;?)8H#o z(U>6WyF_b4aJ?WEK{~WlnRR3-d?oU+AxOh?z7B&M6^*S}o3r|e*{Ent(3`U`qgDMm zKSzN9ltXVZm`L3;5G<&#9PE)&(+dZH+DhWh-E1A-KHvVnc3G^hI0LDp zJBbDuwDdT>hXAK@I%Wp*e1+BSu>ev}Rn6rMyMk)|+i!d7$KLBO3SFpG%@x3k#+42U ze0=%6D{zVCh(@8r6n~)YSTfZ{cvvm0MAf`SGh}HB*%!KA7o_J}wh&!lAckl?jMi#b zj1?FFErA_n9Rg}n(Gk94tiYg~r%(z5dC_XesG5%}5NGL%3ow}FACoIE0LALD!AI5} zTRd0$Ik4rWuCj%aZr6-0E?_Zc=}FpA*7=%`s!=MUpcn(IK=|t|QVPu8>&5!slkD8U zgB;+IjGjYqEdW~&t~;Dz=Q5Dq=BUmBrLb43g;LxQvZ5?MqVPJd?%bF%H>N!M+|ytS zWtj4TjI8*g@B5a2`VwzlRjjJn^@3cDjVac|S-!H2RDtTF&r&C29d;mz6l>&yl993w z4Fn%BM(Za)4_Xq{E+`o(TXDH#fP})~a#aEf7nF>Yt+?25_zp5s8yUY1Pr(HxBV~d( zGr=ri79{!llb~dzOb~$J7M-`(?qDgf-*s(G)CyI#4L; zshH%bub{R%PQEdcff^rS-i?1At1@cXWD{*V^K5Rm$Kpy6*FeH+Ze6{>hzl-5c;kV- zycuLgd9r68;qgUZPP;{IN^x#py_x3KU;0Cb-}@mR`)m5Rty&#mbz$02IvOJYtc`zg z0bpBFjVV^GP+&o`0Zl*PIBlUPR;@6}jfCI=v*}FWiB&7OC#3m-AXw1bL#L|MatoSt z3NlmCoMWt7f#e5Wu(INgNrLcWMh&H#z?+)sqo(&!GdT>H!?`$q)5YcaLky|vI!rSR4{CmIWWiR34XYX6+7df z)6f%N$r;>qY&aXkM`H}{);3Nsy;Rl{O>e8$iC(?l<|v%BspfibNH&Nx}7f^{b+Leh5Q6$={I#qfUzIkn^*XVA4rL=(DJkj_4pl z2!R?NQgm8JGY{$2uZo~DaLZ_}kHe`88;L4uq7hhTDf^Mfj_fW}bNd4R_azgk3|-YF zHemNd`Z=SqfhEyY136Sox4k_{w>6#CQBv{;|9`q>A4iF*h^s#&&KX z%J@jGdfiLlA))St!dRyA1l~XKkhBbOvh9U3rT%oB02`vt(M=s@P_SJl9uncR2`4Pu zetZ<_&_?XXCo?EsK>xuI$b~*B>}GtvFQ=Es;rihD1P{U4UCHIK9`Q6gwX@q-c<=l6 zcYnrzS-jR6IKN`NVQAiVkLuqp>@hvc=f69s^D>px;gU^ zSXwos3sT{kAaDiD-6P8ntl3xw!+?IYBN}7*4+6#GW?!97+ep#3`gOZ0@PDW~E0@}67vV?9r93Ny^2~7g_ zt7K$k+pS91X2n(wSSV0$oo{5yD|%Z-X4>+7UiFv#?EiK6=VxG%N2vOTm;|WB49|sp z@@WQsDlrorWZLg_$6RN~*fq0b<-DhpE9#kagyQMMjFg!JJWnRl)t5 z2xHO%96RLC8Snzs3QFee~Rj!BIAJ!rH7mF=INT}*_Nfe-X2fzB@S(PLem&34dER}r6GKK>x|J; zgNyQJkC>G{z5n$WpZmYQ$BUh6K-%B}oc1JLfj!AOK6DnUCO#)6FQGXjon=DGlrcabW2rQ*Z%SxI{L{Hg&=f#=~{Z z!xDrLbg+Bq8AR`!q{E!hDs!fTt@^ZNX5eH~DFwH<>2FpASrF0>qjz2R%la*9ME}M= z>EUmq*;!9DyV2I?T>iz?wxN+6d4(D8y&Y31@#xUIs zhUuk$;HBUAd2ZI#KQNrL#R0oDMvAF{TajTN)~I|{aLy(OdU+Z+w3cEA#Spt-3g?y^ z5O%;(Komx^o}!f)E^PP4&bHo@&L|1SXu-+Z);M@07{j?E&`uJ3q356ELuthr&N+?R z2Dzz5Ft~cKryyP8<-y5z+dyg7?fM`ONFD)7!WgF|M?;!w5Yq>>N*>0TL5+4&{}w|R zv6oSVpH&ROO8M}j+HH}QKlO5mxasvz?aKa5-zQ3U!LwcQyDg>X9c<|p zX^P52Jff&Ob&uJW-kmL-c8l8ZZnmX2V@p?m=`%m~K`cfzD2)lxy&t>ky+wld@4frS zi`a6H7=8)Az{4X+`-boc0r?VxjA{Uh)Ex?^L0*))kMPjMt?T?Y{*+RB+@DWIJYExj zwa@_x@8bS^4&wgeWBKuMzF>r`r%&j>IfKB(zw*_j1ml%^7#Xy&on5&v5AnGcY-)0C z+VZXTB~rnGu7UKR{oy&ehDZh15|SwGwAWIKD&_a#0beq;M@dXHZI2%B4{GedT=63H;RfOj zI_%au1fzG&bcYbs=r4kO>0Hlvi?*asdWCb+d7zcBysy|t(sn)_RL^2L# zBLZ5jGNWf;6f`PWO7UeQ?sat-tRAO7hiI0<{zU~r)ST;3rqqVvQ-Wyn9f03DD+hn4Lc|dE2Q(n%Ku6|YwLA}*H4%k+yuVkq z8fWJa&$Ak1%lBE0kNKqMo_jb}ir+6mjw&e`Ky})b46TOcCia_&v|wmDJk9ncUPPe< z14@Xhn=|gWosx9Q-RuXrxx}xq6^E#~V(tbLj^SL>gY9AFin*I%Vy>9G!DC9j%_SFQ z&|$fBol?9pYwzHXw;85Ximy|kQRZ(byineccL$S_>EyG#e{4$eSJJW7tyY!f38eak z`P?ed$sVa*EPi*{P$lW=*FUMiZKzZ~22VKfd@;3%;!DgUwLY=dfQ=4B;D5%2B!Dwx*cJ)77I=bMV|@F#~I zW?E8VtlfHxQHc#gB9aoT^Xhsa5mAXD`>W&X!0yuV7PBW-$JK#4y!BKQ z9(@pIk%Hg>oW-bjM<1xVPU?PnA5YSWVWWi^>VBsqTve-&8GTHQYsIhgv>9tHNE(M) z5g*9xb|tJHYfX3Rr%56+oUr8m!U>P`bs{gB`dZnul!{{zNIzJ%Lm(?}vb%k9c|5L_ zhNkoLgwvwQ86Pp7f#k+V&QH5Vt*<=eBW?yC@hMNf;gcSWnQG64jBur6cm>(bkm7(% zZw+fS)!q@dUBS$;2;eq7n(CY9O7|28u!gOC?@sn!aLEFPDLy^aQuU30rCz4f|Kc`ZFbZ%)VT)*zo56*^2gW^L4XHsgN^i z!;U%JxY=+6%%m)m+v|28TR^eYq%i!BD={!kQ{%Ixoh_{xuKwBXAIR+GKl}2Z{G#z@ zy*hgsO?EB3gbuXfP;_`ZEG<~fI}8%Ev?f?)4B7Ob_f2XBvIBKgp?v{i5+#OV}V7YftzRf8wm0z{=a9i##} zI2~s8Y)MeC>#ULEx;sfZ;fdh0*eGRmNH%9FL9wm5MZs6GKbs?omooAw-W_HrrPPh) zf@8i!qjp|RZ7Gh>Hj25_zm}44XpvTlCHN{^PlWOs8Uvb` zGX~JRI<*meA#D^JQ3pP?anVh)$6R;Cacv24Z4Mm+=!)Y)uLQ6HIWA5CbS>CvZ~N&0 z+6#8*u+P6{)UZ}tT0f{geDKhaRuAH^kB1K~&8$A~E_i&r^!|B|WNoQrTpv7)!xgb$ z3a+_*23;%9I{xR!?)yZ^0K76gYyURzxQpX*#gUzG{=mhiz+!*yVrAe0XmcHMgYqsJ z81lUe&+>)CSl|tH(jp-nbOAbgt?a^2>`vkgPxA#Zi^}^0z;?H-Gd#{0$g~suO?=9_ zGqz7T6&1jEaoE6z=5GgGX6G?gwvi$UCODGi5%9O+wCnua!xMc0_6emO+5$(Di(6NH zPA}_odYPV6Y#BtxpHm1AJg4|JKBwG_SnB3E#Q|>pIel%L^{EePVZimm1Od>)gp)J* zS#$)~!gl7@Z@sy|vmOdNYreFh61Co4;1Lfy0Hd7{M-XUtNCyO2TV$PBZ!gxVlC`Fh zhzAs-QAVn-IjV^r&*9XV24OE0W$2hesy{5YB zGf!jJ=bn1&Tl_a*>p_@j@a$#$?%B)u9rv@J)U#XtD(%G+mDr^INN&CP`O9ZtC#NMp zjaex9NhhP2#fAJ3`2myf3O(>Cccovho^ao#1#Dv=x zQ{;_ys5&)g02;7`~7(i++xIIj8*P zG4DEl&(D49=n3W|m-#m3-nCWKdvcllAQPXK0~J&5Yb4 ziav^4q$XF4sD6Z(Q!n$#E#eNv0PDxN?{h;Q5WlmB6oP&O%C#T9Mdu{B-u}{0{lvF= zYmKt)n<*{a`)EqqvN;*C8NzujkCd;9DK){v9{xL+mTy_Jn(fmLV<=+SdX$Z-DAuQE_{ja;;KCd_EV5glo-@2Gm%fq zu#NQeNv68y+eFFKoD<4e9sn)?zC*vYDVZHMF`0qJkK=9u_@3gw(SNmf;e=N-yVyB{ z^$1<;Q;w_MBKoMai){tsn{%uyhw=}GuN_LwuI4c{&(F186`3KHxa4y|17Fk3Dt zF186SQ8|>f)^^JzR1y>y+XRn$j$mT`>v+&wuCFLAb`jiy&`#;5_V_u?id0r*wgsOdF=qUKBz#vImM>mbx*=_Can)|CXymReyyOR0>SB=UXCz7dkQvDiX?0j{|a zhnVCZgG!|~dDvjiNjmzdWmoIk*E?TrZ-9qY>uL5@cE3EMud1=codr)BZ#~cb!{QF? zU#uoyPhoGIw)p1MF@njrXxjdTC7JM$*{3dGpzrM0yhZcpgM;^RY1Cq1I$_iQl_=r}sB!#-zkUCJ+Uwi1J zPuuka=Arw72nkp*P-Htg8u81Gqs_3Lp z(I87`1R=b}Fybef6e?;NBo1K-8WT6tS&k~ds%+{9RWpu@?|#>3F0w2&8kStYl4HUIJCSb2$pZHKkP7{w#7tBTBfin9uMe+p_K$7ZHddu z8Vn{REo_qOg9Z~@Sl$dZY{n6mOO7fDvN!6(gf#<>2`JDlM##dNqgv8oAXBYcj*g70 z9vzIpK#=B(p2iW@iwR!ElJ^WpPxoifA`1(u-Scy$<+V81!I&CSOBXMNVnCpFEGjhR@P{*sb zVUUKwX@I4xx^_WP?6%|q^s*Ap?J%K84PTICDX>bS8>Ez!b|vNvlJVx?_kyXGr>Q8m z^m|0H*EM%YwuvN&AUj8ox2HBc?pH#yp4X&ew>5ElaC$iUenI?Myqae#_Ns=#fS~Hw zgFxNx(d(*sJK3+O`h8t!b(IIpkQ;=4S?F?=-9=eFkG>q3uh;j9#~99i&078DwYt4B zts1`}tzMSDEv-In`97`wx9)%W+dt70Wx9~L>t$KGp>$lStTBHky}A)6%M$#+ES;z} zf>y_vh-Ss8HM~~eGju0w^|fZJws0U@l|{R4Kc*s;b}L|Xn?pyfUM5ILYY>r2Nwp=d zj*9}L2hFxd2UR1OwE7PHRf-cp60Rc|wfb(g2Zs*VTpc<;Cp4xbx>(?ch_1%b+tW;1 zoh27>tx?P<$+vP*7*?d)0~L+)q@9um`t;MK*VwM+;AA3Ur>&BwM-$=b@eZNK5F7;j z?MvR%eBq_KZEJ$ZnPkblN+7LkCG$O6aDFdsAN;vSQpOb6nrJQ4al{C2I#*s$HYSPu zr~=RSt4%3(m9OX(Max@w7&zz&tb*z2qqfg&()58GYL2XfG1BX)RYKxZMWQtcsc<@J0SxNW(iHPYC|M$ds=Y~ zNJQ*cg1sP}mG>(_AVnOq9rkY;v@lQudN%IQ=O~0|O@k7egb?9)#Ir{K({O_qy>LH1 zE?C;6?yXtUSH1%MVpVhAyN|8F8Q*T=(o$pG6*^>U#8Y0$5!2ge8~^3U{L`1c<0ET# zx8@biHkK?S5M2vGKv|W?bRc=P%U4hWyw)ao*n_r21TpX@mp%&c)k@=1LB?I|t2Xie z*ov?^YL-EgS}d6#nzqpd4;%K&^0`33qfwa)QuCT1dPrE6hu~q^DYo;$uYv&ima-Jm zMa{3K-ZjA*#{lKy5)zY@;2WoI4Vb;)Ztaqu551gk46pkmresfh}}FY@*IXmFhH8gbF5y2RMyKA@?=2+ATb6q zSsD={m6<0)hcDjcv&}?#Ax88#@rI8Ap*HvF0OvXF7PY2!uDqS1nUO}`$z(L2^c%nN z37_ntyk>gMT`75A+#=3mq@~#oPmV8!hHS3GljDJ8rvt=t(Q>KLS~(bO7u^**G!Y+K z*+C;~TdR^hd?_ozuYtM5ioofUKn>Q=SEORI6>(xTo1o-C-c`dY7Zg9ethn8;F(p$3 zElzYnDyzC8<~8EbTyfQ~xlS^#)e_bZYWG+>@UDoW>kjFp#FY^``a5 z`FYIOaTj_zdE6N5ADR1=(Jq|_wku2Z!?r8`VFj94mTDcvy0r)X=gV{fLc zX&-oj;a|Bqkn}-3Ue3Ac zbdX?9WSBe1>z8yH%3Z4!=6&b-Lj*B6Kw#M|6@<(whLHmq z!0Zwl#yMOa%EBuJ)B$#Aj0j*4E1c=ou{5!`Aa8pWMEj^#eO8t&b3xu;6J#$e9DXEb zSDQ}JhqvAY0ZAY<8?_W1|DWS-9rtu^8VRr z4tCI{a+e?d{1#f(fDeErt6DC9FafKvkcThou=0IvwgKN`b@%bnz~q&SkNeu1=+1Xl z=b!BB4gmeZL+iK2QMv^e{;^xs@pbz?6$M)gEJ(iU^f&vLS;_ExUmR9@vOh3bT$a%v z{xrsVA$TE|h}0;F(5_Rh3{Ut4(&fwt6Zj*%;OU)-^+NDME;(%wk2s2Joi)QVegQs4 z9-WdxCDG-BIU%?KWU_YR*$~i+1$FOocTMsJ*w6=^lY!Q!zbYh3y@_@}yF&x?cOUG>Eo zqO@#tw@8l;=3ZISi}oGK+I6Bdgh28SWZV4{ln7a#s-R9(An^ z{5xTB4E~X9`|sauNZjcAgFzC!jp2Y0NWWjU!~VOAO{CP^fcG)|{!QcG$#&RZC@{nE zw-=k_-))*?zij*dxM1;iYC*etcp%8c$=fCxqe z-^XIxnA^WUc(CN|RldU7Rc%e@NS+|6UrkRHH1?x0xw>Fqzf8*8>&8rJ`NG9B&%f~W zTb_QwStRR4^s7z(ddgktm#Yi*-4*UKQ(6Y1QN}Kx|L*m__H$!ATm|C`{l0{~Z>X!J z;0>xvkZqyM1NCdBB@kzjBKsr{7u+9W0w9QgTS01iBg2A*V(J8{64iFNVQEFGI1?=W z_gHa_(e;fWb(sksP_57c`ubR=vlzqCt6xPuWr8)xp%zz<#RaM0svv%>L_ggf<7$IE5}atL z&;^3DbZAf3ED;ooQijsmtT>)B3A$qj!S#UwHw4j0qT0!Swa2ltVo`X2(yGF9!H}$3 zk_-mXXz2dzwl4+m(qxaO#0t}0NK=#(Bpm8@AF3t%52W_6_~ zpQ?s7gU@=$nV`W8$Pc2zc&R{1-O}1i%PwjwI9zsP` z_!M&71(9CXfJY>`AVWn}_>|5dNF{7K5q4;YPr);2&rzI+Dp~PUl_<`SEm4z6?r{?0 zZtTFvpegPkDSoe==3-a6Yk@?A8BeDv6(3s@K_V(wa3U~xi38NKWV}5`xMbpybtR{} z1~kNKL_JUo_WdM?TCIIeWt*c=3q&=`ZG)QXftcaeBTjP>8oxs}&3&+c`(*rYlnOyy zk6!@rRLpL|kPTO#iJpq-V3dc>LQfGZ9n-nMBA*pRby|eLd63e%(h?KW!Lse?RJ6IE z?cdX}#Dc>a^>Tlw#VDu41^DmFX>s0zIH?Yt?+27u;DqY7fQ;%sMp;uBT&d(u6O1fR z(YQQ=xOcqvPyXQ-$Mi3p{`2`@N`2h5Mts5d8>W_sx>-X}5NBG*hb{s=Foa6=p^H%c?V+>&1-%VJ9%SG;HX`d^U~v_{x>*&*YQH{N z|LzxsU|<2h?B;h%5NE%qPI6?gzZMR|n$bGqDF*$4=-*XojR}(R_V_4AgIfFk z!l!-0%YJaIW`GWS)s=mv0KVUrJ+Cc{$YW6xAW7qCcD~+K5S%4~HQ;Q|p_;)Cz1Gke zC|4kr)~*<923s`iBjJTQG{}3QE7PH4%>aY}JI#!t>9Nh*$C?2;9eIw-wQY&;NGryg z0SYCBk!n%dEj49I7!0A^_Omf0fIt-ZpNnl_ma*$Is?}T@70qV6{r-hF5vbnjK^!@3 z0(qzrRpw5ff8xR7fBubsir@3If7ADg62lH;gT6#o8|eDrPl<~k-sq0bgWHi3GvZ<8 z9bla+9zi`+ci`BLkN_AENGe3OegBRF3zrS+2M4fe`4+fP*dON`@f37m6P`mrL*e{o z+xJ)F>_3NZXf5EAClv1R`3_`xP)9txD?5Qf9vyq^V?qKvp)_1d2L@~RRG;W zs&|c~w4~zUt*Ck^UzI9=34)%g^bUiCyA`AgGeL0UBGhGaAD*Npk9|ejlq%?ax`2y{ z9x=humtNlEUaXY9;r_W$t{cV$!~@phB?T#Bsc(+0T(5twQYK~cZk$G zr4xrpy(IxuYe^gS_oMw}P4|8v+dD*powB_{9N1K+B?C%w4CNDNyNdRnl&_#AW40Gm z+Sg{lmgqngO9NdA-;@GX3=6IhRp?RL-U{!m=r$x>xvS!IkOA9~0tZCFBR!n0B15Qf zmaE^Au!^1tdTFHT5^671k(kstOD{@jll9^`Nr*ObqD2jQNos6ixM--yg25+zJADXX zdDusk%9Rrg)0XekS^weNfBdz-?Jl5_*qF{Lls3>8M)QU~NiCO@uS$Z!1Z$4;umO5m zG>i*MjtUG-s09yRJ!Ur}?UMbc^BP@Bw zBgrSyPSa`LfE4IRN(Q?e-D%zqHdZwpJ>DLtc>`NDoZ9@0;{9=&H*mG8Vh?M^8{{-^ zl2UHgjCZKON9bwnN)6H09CcNx&(*8TE&l%ZCat6zy!BFbiB4%OS_@yXA5&gUL;27m z(QlRJtr!bDC)&FT=e5zf>RSe#Es5ITi?+@q62a#=kJlY%(6$ObPBd>Ma^p*JHe|6p z$hTz(rY+xR2>!cI{PDN_$fzM08m<{t4HD7^prnzH$uw#@I9hv5dZ1?Kp*S%Z7`S%mZ# zO>+lPvo!U4O(ysbsKuoeVh5nWXD8(R+El~2RmD~lo||gG`6j?D6iq6^wwhG7{rq!6 zR&0346yW8)iW68~gxhigr!C*7IsU{;zUQy~rw%tz71U+}d=&cz-GYs87u}34n+>%) z5$|Us7`=>r9Wi`HHUL0~97D!n6LlcYLnqS?rU*hX2Kh18L?s4YNa9{`z+Gon%Qw%VGNhzSfrUAp~vkFbZ%%K=~*+_>?#kJ<}W z;%AMa*IiWY1t=+n}DGf4FC@L+wn@~7CzNedT%ZDwuk zU!+N!VTzhf2zEv&pJKY9^&k;or=0XiX90+EuEz>5Ohj(y$O@lw(qM9jM*@lkJcFB+ zFuO-X$5|+>kt5%N;_AI8S73`tIq8vEDB_^I-OKjC;7=K=JV=SI z1bb6ObX#_)yq6=(_t~MJ{p?Tw(jRs5Ng*%Y?NtZm6uKKo@HQ*CR z57q~BEjY=M4}c3s57x&mLOH4Sa>3}q)-hUr+=tPFMJpD1#G$t_%n4&<^PwG>fG2Qy z#1JJ4EeifZnGk4n36`R>c2+pkVzJT6-aKZu_Q>w z@B*g43{hO~8!pGIX;@W4%YrH>T&+|?`o%=J!C79qto(4|e2ytAyGkwkv-Qqhe<~2z z)d@>vNga03cmk3OJD>=7j-!i&X6{To7ub8<&NLx@_4B6zNrA=)RzW-#*PnWMTz^U* zn?0-dv4yKy^(Ta{fkZgfGJLY{Yz7$K9l)^M@-uDF3^2SIfZ?zGsZaT-KkIf}%hYDa zOVRoXpI(pPM&rryRjDqUAi(XUUO^`rl~9j}6hHaUV*hVEGX3MADVW&3hp+jXR`@$y+P-oxQ2?V!HTrwRnQ1pV8uJr>mwotKeY_6N2x%44L>yP zxUE?`%c0F=?P5;qrj}6! zTjOR5relQfyiH&s0hS0XZyPJq1Y(Go&#Meb!p?s%3!jNVv=hkD4Gf03$p`X1tr;|A z=H=`1D&5BxuBO{%lzic9o?T=uFT{w_?_}m@yL@+cdD<;%W5d}l-;7=U_013b{hb&4 z)k!kDTwEp$nA~y9B@zqkeJ6nAYioi&37}`Fbd0Y-vZgF_n3gpdU!#jv2R-iAm@L}F zt}(s__a(W)0V7^-3y!RuRk6!*fIa11G>f59&V_ zzqdw+Q2)RBVek9CullGuN<|xdt#hih`#!Nf>^VvRSq$Xt;Nl|;DPNVq#suMnlhBfM z#K4?3f>fX;h!!l4a3CG=o)wO?q7-5ZE$?BE|MAc$0(AtXP*wy>e?RGn*TmPR6{QSg zD{e5Rm#T?WFi=fNPzq(X;tDBD3W5iq=rI4)RG{`_@UQkmfl>xkl~kZECN{3jdqaqDnrkD0& z2SOjIEFM?I2eX&Ks5S9_o{hIeJKPp;TENgCM*cTtF+GlRJ3r7zR^u=+r z*D`6&elOqlZV@M|}X0T)N!D(Ze%+fw~oIrFf>7=*npy z)$mYr91EKuAt~tb{7?(T)~*tZH%h^Q`AL8Pre7r0Ad?IXx*)YnlG7e)%=##gGNyXe zPxesbZx+Nqu!kCdJL93|^pOVC!arGc6VGqAoOrtr_0R|s;fM$UtrQ+(Wl}=aQ0Qwb zi^z1R2wrA%#LA!~guILIx|PLt1PUsP3EMX|0tM$AN$NNPB}MRKL9=KpJAtIHCngO}5SIY_&tW03Oie^BzDD-07i4`ig z_b{L&*lr9c!EhB528}K^O_-bV9M_dMRHya0$wjJDMe1A%uU#-pVy3|-VvLL*nY=oE zt0}8TIr?9J>0|%l=l#}LVi`UFo4rAU2h*hvMoBvq|H~4m`{kb0MGzDlk`t$L_e$Qh zLZ48rEwF80F(#JL!L1=53`PkzT`(q=4@wq=Lu2BX0>ND{CYHfcMMOP9Ltt>!1i2tz zpt6ODVVv7X3j{0NW`Sd38C^~4Rbha1rzbNdmbY+V#s5O%D2mVd`lQ4%yi#<_^Wa3* zw33n;ESD1Tcxm*6ORM3t{~mEC><1Ook2PykS&)pkhZbK#a@@y;d~lkftY*?YKQoNx z^@X}~@aZD5hhCNVa_6v7=?-Qk%hnlj@0A=sd+#jSZQF>ArP%BmcPX{ypmE!rb*Fd? zn~EpWZGw0{LB-3qt7vVi3V?ZUy!MS3X1`u|7MoAK?u`%X z+-%|WzGZ6IIgNcYY3wh4-CzISFZ49F;>|3LZK%*e6f!+E6D-+j^sE7ntX8gk1$TjF z#ss%Z;f8?|yuut$jRqA-2SEv14d6Qj1r|@v1c#8o60(}$5D-`*)*?8F1D1fb2oA%5 zh2YVa#H<;y?Z-YCaKI-5uFUK;697>0YTNxv=AJdpd`Ub}pm{+u-W~N^;aPwKv-lt; zIcnQ!9)r0))#9OccP!yujC%=gJAv=u9M0aVwJvB$Z$Hl~Gewvo@2Ot5-564w4t82H zDZx&+UBk?pCsgdrV1uOPxpPa(gX@t`8a3guS0)snY?P)g-foAFmVG!?1|Vww}@D{RQ%UAd@RH!b9c~2s^+71$e`G6?ow>44j zD~7sY91sQUZ%5MWf{26*(g)ol?nx#mFY|e_Vk;c z`sy-Bs2%?B>QDQ|&wQsh)439eb$oBb?LpN0>x$R5w&7yjJYLt-o8Zb6g8KzW$0JdM zNu)}xqlaD;@3d?oow7tV0fKvsr_HU77M6tqm8XKDjsHnkq$J z&+5j-ce#0w<5yL_krAcRt2k8M+=44+TEqUG+i=h8PLRB1EkTGCt*Pw$*u2X&+!-c# zcQC=CXGT2b)|H*}D>sv0dDnM;+DkwG=Bg|!c388@(o;N1Y5bv@NUXB>oH=;KZGk6M zS(Y1`I%x+lylY-Uh*g%s`bDg=43;lqm1Vek!TgHVIc}nQ;cl!=&`@Jn>K3|DdgU&2 zrlZ$LJ}P2fKN%YF&}rOLtN=hgF+2#cFXlApM#Y>)Tit;AIESfTt0AFSfjA)5vmuZV zC=X7xUY%mext(@6UqryQ(-_IN3b9T7^HeXNK0+e`6Tq>o zaO4dODTwa z_&_*F!ew9^*2p0(_BkfgVuc+V^P7lV!-_otW(o%p1WJGjums5tQY0F~!3t}|p*vmj zo?Am<#rgsO+AM?n%2^>~r7^X0tdS@nrUf+Mf+4pC^}F7H*n`0>u%+r61WC`W(flsa zBgl9eOnh3+36e2Z2cVS=5ok65#I++C?+&?F%9=;!*3u;s1f>0Fk&!+US)+dk0u!M*JhQ7jMuGXQxL;rKWv0q;zj;f30KtRIL<1Ip|tFQ@d zHf2&|kc{_ezgQ|x6lsM{ONP|_?dW?ndB{D&4=c37w&tXCfkx}6p&I(V!F1j0Q7>pB zXroOi=%UC-mJct6u0vfI94zI-Bc^<9Q5C?JjkMGYBfonV?7>f-(a6+yaGn4e8TJPZ zBzZ;`(K7;N%UMWCX=U`&(T0c$pu;A$_#gG*+ zq!}=rqQyVPGy`Vo(NG~NAcKqYiZRV_SYo!itXSFC{LnGYuv=qDJ6#Dd?vMzc%B!?C zXO@8D@c`}~o})BCoeSWuD&Ph1!^kFOZh4Dc6A=OBhjx4&9EBfCDk)e_@N>F>br zX_F=i%!v*f0z`=Py`s*>=cT1k3F_2?Krq-(+H=>O0U&rQ87D$ zeS>0VQW39yRaDFlVBbgsVKN@Q`Cp*y3(KdUV^qvF|4X=itMQ^@uGp6CkXTwd z@QWBs6!Wsc3jNqgF{3f8bWXy=4WsOr8=5Zg)&QfFZ_cO^rD_R!tf1zazj{;^^_HsE z$n+8x>dPs+Li0~meRAcpam#JuJ=x{CO?)h9ly|&lx7CLGrYKvBp`b5=2L=vJT;0&I z)NEdrmaV)sBMPsRioSh)`tpzZwKt6G(;@#g>eE3dGF;{-l0MB!prOOk)>&wa4MsjS zx+>{YRPx}PQP4;-Tva7~3Vvmz%-FTW#_X*9qCN#}R#9mfr`euk(x-z?X{x6UI;Bhj zmUD(DJmi$duBGcZrH$siNqwr?aqIae*P{NZ>eKSUrR5KofW4FtF{c&Pdi@2yX!D5@ z-D+KQM`wLH>(d98^tz^h`U{`+lHWI>3ltHt#M&$4>2X~Z^(k<3%6EkB!A?J9)Tf?d zKq7v~KlKa)x1$D94r zs`S_3t8a99@=rk*rCJ}6d&kqyiG!;4!6)3R{oDl#?6(j&u?uuCaj*qNgMg)B#SRL9 zv>QI^^QvkrB?Y5Os6+{#I}o*3)uHY+v7n&BaDvN+Rt@);7N)4-DKf6F`&D(sH_w@a z^C;-2WDd?RACzbJTyJ(RAKYE}VA?HeGb}So@n%qpU-N%_?2mkzvt{Mu+^_Nd8HEf&n8qae|fAj@8WaY3MR z(b~e~1sE3u8i+bof$Rks7er4cEqei#3!*2Lmb?H*KEe=ZJ6x!x%4I+wt?@R%ziGXi z>;acZ_jWxE#05NY+7{F(C&6Bn}%N$@6cg`0SFrR0*%r7O6eA%Nkhjp z09KQK`($rLjJmoLO>e9G5mZk4Wtn-d8x!4?)~V1Hts|>!6{-qdxXhp_8{-)}6)dx8 z%7*Uc&Pv~>#(}Ythy9D}lJo@xID(8Q2yg;n*@~3_0Z8}`L{@T=5*i&@%)6)AtGolU z2sPQonK6-R35bC^#ZLh50B&YKf0iRsx9-Rco0gS>IT9~@;A%-5C5O(Ci%4jvOp|dW zP5l<+LwR#TYK`eQOURt->r7bwnvv$O{M^ev`h8=S5a$~jvoV!#2s0I1?GTmNU~MJp zut8%KRoI|0iW+RNS`!smh0OPrOp=X(K~-2V=CeSWxI%|((|%9Yp}Q?fG)Iy)5T7iBaZW5Ex?*3$aDk64Lk3AQ`&zU*+gl9}<~xY0>t;D*3y#5G4% zYt=@o4o^7Glv1s@PBgsww@#It;_<(#P|Jr{U6DVr6}f!yWMHEeWizhI&wVm_kU+2 zu_+?0NGoW9paNBBCbR?x0w=+!9*^q{t3U|*$lBfrM)i0EI8s9V<6%KUhO}Z-k6`xX zLN0Cz0~PgnvU7#yaj3AAS7k&!J_7CgnIH4h?|t6;@AW)e=d|!RK?QD+x^7tqjQp=TV7=4wtFUV*sOmnJT<eZvSaWDHU?9R=03N;6Ml(_=w09>p>+cu4opxxj z*3q74x<6>G6ZZt3R(CjHyg|dm0plGS9u639(c$!PKqSxNJwlRAIh{EHsl7+3SsxFQ z(hux`{?lr{-OW*-r#dB}dZB)UBkFY9E7iJP+DjhB$j?`so_dSE&*M<6?#6<1v;Ivs z>!UgyG&Rc6DE(vpCTDKFhSll3$3)apwM ziSpud4<8%NS1H=?SuMDv9-1|pFB1%nCd&@Z8r7o-dgETt=oxCqDp=7*J(Fjs98IuR zq5B5UP&b-jZQR@T%$=cXG(m6N1JjnO#_|;_5V6su7L4(saH9mtSg!Vh@fNm33`mgV z6KhBJf-zcg)FhhZBz5Zw&xqitHp5>(ARG5^1#92)T-mt6%En{exHpHTcNdlxB{Y&N zZEeyVmflQQ`sv^CMQ{J<536H`^=5SJ`Ub@zMskQXQEn3mU}YhRb{&8L#5?eOkjL%V zt!UT5>7l+qs!atlV8*CzAQhG{_JtB;kPn^gI?7TwGzC`(l(Jy7>)A{8Mbz6gKJjx*c72VkHiJ#h$7@$icKrY(g@k(q8|Nj@x4{;Aj@~xF%s zEs9f6ui=D&B}iERvB_LGU({p$2ZOs2=jZ1y*}ri9O>=;@`uNYGma1CoKCTuxsRKsEF)^9C1B6I%|#&=IG#qgGce-{D=SEkE~j-o<#>GSh$}sP6ZL5WN>)^;@oVACQd7+q7`OltAR&SkHESt z>0mtqOlmO*&Oj?k&1g5LWLjAWGoCU#%~8uu6Q{920oM)D7O3F$;LKuYb)oHOP34qP zj%K`1#{brsaLe@BzrdEv8NZv)_}SyvQgvOGo2#sMt`VQw;WushzQE(hzV4rV^Dnyc zRHxpQXL0d?oHH(&%?JtYibL%gPe6}k7d&$vI+sijTWt(HK<`S{ePOFb5t{0zKkz_b zw8H!l^K^1BG4MdSTTyH@2|2x?qc81O?^pYsYT4zYlTeC*#~!s|spIb!#}186O0AG$ zr{sT7)^2`{x|W4nb_-PqGbB`Fz=Y@DEl#V`jF8Ym zPW#P)JLq(3iidAU2Su>r}qEJ-+1~P-su^2 zwI8P;)}SebQ$3_0Pk$Wdo2h1a3|sWRck!Ap_+ocl%Xzj? z)jBR}2keb_C&g86psGFv3c%7f{&fuo>oNo^jZjFR0@VX7%~AiFF}jRw8jSh@TmtPP z1sBLLHb2HS7{lr^GHJiWu^U0xU;@z9hBo#kwwEVI{dKw#N)PIe`h`qT)jHh>AK*SW z`GFMmFL&C(jJ!zUz71=-eW6L;=n#5TWRc>JYmT~(jW^h2^-Y1QwmYNgM0dC{_3TOg z_z;9Zy7u0iqV+8IMpTP$`u689?UK+&mD=X+B^Mw3Ct>mn{u5jxkRrIl$5g{v$#yu1 z(P4uFcGk#75(y<@9}G$Y$v{ZfOVKbmtlaiu1BtcMU?!yJ&mlI_NXPw0zP2IRFSJKj z?LryDH+B;GQ=P){4qd@1{6l~9uYT8iT&1dadG%aC<&{M)pp8oIfi#ucwU5v{<^l!@y;CmWSeacx?b>EGaxS1UyJFmf8YhcO3MoX{1PR8_=o)!B z%0)*D-@xdaczcFf!eg%HIxOL;^STkfdyWsU!S>V9)~Znv%9jsOqpENpj6{WRfiT?M zUi%lpeOo7;*?vU(23;?2yesFPSU>1$8POLCvyuwXcL8~h@GW5Sd2@o^y-uBW!txB@ zE$ev&wZ44nM}Pc}d}Kw>!G{9PfvI)ff?1Q6USw|3PF>&_<*VW;S3zhFN%4YUq0n2p zAoYd`;(Hc~u;O~h25n?+U65+S1W92b*pmGYdc6_kO|F9IeU{SP0iZXvD83?Ze-&Ip zA<|PmfUBZCH1B*B!~{;sNgj~cR?X@wQmvaHy2D5kffcvRV&)$sYQ0LLpG=Rv-IW=r zzNDyildK4sW9_30lJt~K5*`gH&|)B%pyaZciXa(p&#_9PGN`_P zkc{`|a0KQ@vO7Rls_!2p(+xVTkb&k^TDNOX^3zqncI$XG{V>GzraQ-!uGkLl8~;c}6 z^MC4(zW&Xh?GDCR+&Bhatt_!yG$xCw^=KysCW9!~7?%v9T*ZqlK@lFK0{90Zi&8=P zp~b%}f=Ec6hQkKe@(BzOEptE7oZOa2W8An!p}TKr$s30t5#7(!K2m41>b zZal0()e*+w8K2?Pe&ARB_%EH2YhHJzdBtqzzWNNLacQh) z_8C@a`4fQJ9+)l0@oqe$&oI~|7<~qSgn^LairZypJXrJ@b{Lr}))=_6l56loC!b-3 zW`3G&gzzQT;DXU-K)$31E;y+@|Cr=60K`QU9Wi2<-PTG)0Y0l1L_oz~ikwgEx1gM-_J!Ub#BHDf zqM$60wQf5hs90>p!{&IvifA@nqA^j_g`*OvS4?n!I2QQA8UrsIK`MR|1ZsmBbM{s& zN;jshII4hZM4+0eK`%&LP(}E`{_}`IKIz_%iYwDkhNl<8mu|}Qc#-f1$k@Q(c)eeY zS_CLGyqZXuCVYUHp)4Qq0f<6#Gb1o(ciPN|^Ib-K1)3QT>Gw)B;x(@Z!79!`Ra1I# z9M39n;PW*{c{b109CNz!?$VvpZqc0X)WCB}bh(@qT`m{2VE^pHe)TW>W5-Tw?zvk} zU9i&w)lXO+wO}Pl-KnR7L|Oz7GGv9;UMjR?(~JeX!yZGDMG%vK)iZZNTClPOn+O1) zSTGbf+j<=>mkx&{9R&is0u2l8*&Ij7n|=V?A|` z9vxgBR%oqT$A63{GFuWCMSC5OFu3iKsh$d27iAGW!WGcOv8_4D&Z<*CTz#YgQ@ODw zLX{fqCvm&zpUi;Uzy6JXvhVC)|EBK~r2;I_Qib*}QihEYRjU}SE+4~aMO$nN%x3Dt zkepiHA(`D4R(Ng?|8-%7V&)yzh{l<-`d~D;b-OE<$L;PF$*Ie;dam7_>akB-zO@*KNCQ)INvibhYJPOh?k`VzH~^Wx z^}dtoiz&gu#w$7)wjyXENi2q9141>misdU9@0b!qorB{Wk-%Fc=htNVVoH!`QqA;% zVNwe+zG6%X0$zez5o#xxOI%peT#x}(J;%+8oM!0xT7ihZIW!#%69l0Zu^vcUu93iO z1jWHHNkCFKJC6Nk7&g>OMgkXDYZTMu;4%plCf?6d@FTV+s0RSm;T2-(yj?Ti9o$51 zIfJ8tt53JV)|~7Nh`pq#%pcT1PbGL(qkVRKc86YoS^aL7w3D}-8@ZYg6)2Dtaf(0c z5gT9H3i5y#5Z-oFV2~Bw;i)X7`Dls*pybZ-s8i#)^46SbvKY_(=;!~z?|ShJu1TBZ z;Q>pG7@X1sTvTlo65}~%G+CQA@fBmd;DjdYRfmB-#&ea=WXoOy(IviOEWKAclkIU| zuLa9=u7eZ>^dl_5ARKkvwmkmICSdM_3Zk{clI zljL<(V^WqDiWCiKJy6DzGR%SEv5J3sJapom#d;jFSmiAkQA;yeetKzQ2ELtmnBM%t zQ#JtGW!@Q_S?caI2z55#-qGq&cV?*vJCULX@e|MriOa{`AF$f2Fztqc#ElI% z1@9M!wxRJJVM2Ro4|YhXgBlm3q9Zb&t)K@x5L_Qf^$$VNS|ZpA?<2dyh!XiX`S=BcGWiowtIL7^y0fIA3@?Su)<8GNYDNuCoWG(n_m^?pCDK z;5IX(#UAT7X38I0H{3&ub1v;KmnK7VZytgD>!#g5*?0D@f8(F*JNwta@lX1j23de& z3tWrPm?NEQL^}5w%OL4+HkwSe)Y&XRa|-kBQkc_j(VW7Z?s%Pp33>iCe`m9DtFB(K zr7(+6jD#BqnP{xRmD%ZRi!t?JXIqS^2RqwROg+@F7GvtcPOubHgYjQdetnH#F{U2u z1B)^Bb=tsaaawCBHs`ddG$X}--8{*s_*Ku>Vc~gv!yQ9E;Mw2W_y!~bLp}zHKxoTV zNCbjCiv00g(mE_}odk3?o_A+Fr)4c><9R#A^QB+=Rqy;rumANMPe=Lm^jSsY=_sF` zscp%4E|F(tq>H$-%m^>fL8t1K1!%PISL&RqX0v`UORQ!(bJps27Lum0wK2^q`F_yJFrzAO5>*M)9Nux5 zW@P(O!LBtrU@lpFz9Z8-wMKU}v%(tPkNo`4e(RqbQ;Ckb92?63st5t2V`NJQN|{w6 z8%Y_VR9N;2mAJ-W0Lkg?fVYqwmH(x| zspXd4kxByP30G!OHJ7Pd@PF6q&N+rV$i7t&II3zM9@V*AX{85FXh(-fZxv+h7!RbX zxdR%>s`8zheV<bBiLKUl&G4)WuVF) zTrs6P(iK$lnlX$)n{W^UwAwML=H-SV0K8@dQ`sQhfFct`pz3FQ*uoUeqHEnr8s3m3 z?Z4>CL1r)#glOy^-AS6C;9Ye9yhv*&cT5_n@(u~l+IC>hQ5vojSJ&ya;BRWt{d8D#Hwc21 z8!<1-6kXyJ`YR^5uS02jh*KO7gr{~3Cy8zqlAA~(M8N*5Uqzi|D`GN^c2|NprE`wd zStf|Fu>ityMYNT-%>vX}CWsK4a}`O&vhNI?q0TbFeVtyr-W7MUe1+>6@Ict3POsgd z^St>r;!f5zZF((c=`=HEN_VA6`t({%(P^)cbXQhMC0R%60`=dHWV}5H3bgM7>;Yvs zg#DsXEnGdkOGgd`y<1>IlnQ@sBYgMRf>24jPIe#fP&pSz66tGY&$fS|oM(sf<{irO zQ?2FkJy!wGRnWVuf=;_da~1Sh@8SGph_0TV>|hFy?g>^dyLlATwiBTxmct_qvYL8R%>bOfp(DBC2;Ic!#7am#|@ z2$CX%*%d70CW235+&55A4n^TqaT3C>inX3gD`}eI5eCmo0Hl#(;eC! zn9$=LqCXOkewG{JE=itSnj%5nXdMyxYl1C{L(;~EDrU?LW$RkR+)(4 zM2a>?lK+~(5BsCqn)rSokiuKp_G$s=DMgw)&Vf!ecfx=f&tEbZ_55+cV(^Pv431Wd z4`lDT3PWajZ)S(YdAJ`pitcH*Xm&_$$07OEhadN^ew8~Ur?ROi*GpMyjQ44X&WRSU z+R4wxY-$C1ZI8<` z4a`@}g|14`4LaFQQ7Xc+ww0nAxdC;X!kVejRVljH%PuG^7P>0VtdGbl@jg|cSuc}S zygv>!>tnKtH)w##YShh8GhODR>&De^4Z81eqm;@#cIY!pcG$o0G3L=>=lLiNv#z)t z?qiUJ`+#&KDIo;l5Z-YB9KyG^4se(gB6pV%DQb1ZMV$SQ+wnhkzx;9Ud*Wm2+)}+6 zmJlho#xTl&#oW37G3ZuA^EODonEj6d_Qh1qH6}|GX**b#pqr32xGwkNgNMnsK6sd{ z>jO+>4h4b-4{x%n``}@RZ4rMmCdLOnjEfKP$7$`%9<-Nuy^YhWEiDx!(*>n9pG`<> zb`2zWRRknm95!g7m*fcmNk|-J$>6759G5F5qp;?2L3c7s20!fr@LZJnB^`8Ry+2C^ zH~M0WLZCF$Z{d1&{K?>_U4S)NC)UFE>kQ99^`!d87suU!1vzO5=yKVFC;0*eE*(v? z-5pBCu3W%-(>%J%{M=zPK6luR&mA^z@VUck?Q_RJ89Qj-b9Z_5=kB#9AG|qHbj?K3 zS~5ePw_2|*)?mW$aT05WRUz-R-dyn2@>3=$EUokuI$a&JIgoKFxSt{*N1=<>?&b)UMCOU1dm}WZLQ>^EA9c zqMFk~^kg^twVA_)Cn|A+AMyFi=imJN<+HDo-y=V*{-l!;#scIzxCY%Rd-X!p^&|FX z+FhX+-MxEt!M;n&U3k{zp6D%_c2~G-VG82CF@kAf-1%K=x{rirA)S9k& z&85QoL`if3CbYv$cS&@WuSyxw1bw~|@XwkNb3rP@DmcU{N^ipi2T4V#4qb47Qu z3HGqb0%hwc>DrnTdgUu5M^qj{t?KcaG}ch~9;ghT-H}+9d2@>Ga% zdFqw#{jqQR4_-5-SDd67NqogE+XYg1LfHy)+cv2EHwq}~l_LgmqXJFZ=Vb@l7xl^> zEsKgOTz0valU`Y}BL{vRYTt#0#m_P76|_pC84!ZFi=82KbiDk>ltW`4X9ED77VKDv zP4y9UMGSFnGQjDCH@BjM2I88<#W8rPz))ZcTJTaOijHq3(9O{;NBul`^3f~r z007ZDoV;?0apV5kD{ph@1aF_CMW81@BjR2;n&&X#G5+4hD;LG$<#R0)Rj*vHj(Nw# zo_}B|n*Zs&Kli_UpO+o=CF>9*?lBFDYT>xW%PDyf6{M%bgh|-~#AVHiRJi_VhSSuF z3%a5qK_Z4%NoECAXShVjthk^n8WJQP*{F;Ma!2mPEa-{`D=sjXh57?Cv)B@rR&+(P zgcG*F5xF87*IGhBS2S301x7)xh#r;>^B^B0`_%`WViDYO;%+*$JWnPGC5MS#h+2

hIHO#UX#(DNfu&#S(9A!Su)<8Ejq8Ip&7}c&fSsMx~>U$IqU$| zTpb9V&TGc|Q#qWEn+vGQ88K#g0Pa+fIvQe_Esf%6HX`PR7h=wC-bWAX^7OPxu+*n3Z76n zouBaRM9%#5rvz%6rsM`J2FeXT{rlEDO-T;aeFqZ*r&BUhxPxz;-nnSZkqDx7@#k4K zH#5uTXa4-hf7dULwR3rxv|__NUu?Z=ocZbY5ijV_9BlVqpa;~Da+VFpSLYSoI>JT| z4a|1qh(qr|6iufD?XvVW(mA?q#Gx^oMtqGuX3MpqoSQ}nf~`^(Aw8s`lxm?#LKpIw}zMg~USvEE7gUxSmPHN}!ql4F4q4Rbd zM{T{@)l^z7M*h-`y%=xz3d(4khY=#J>j1UnhQ98_J?+2dYuQY;y&mRPgTZ3p;Vo*L z7V;)D!}EELiGcpyuxs#Y;o##n%VswFcZXFfnrAlq0nW~e5B(LfDW2Im{LgQD^Y8k9 zF509;r|PmqkwkKt8?htMqS*)GG)F5i>A3*Z zB(IpvJ^(119fc#&3P!WPTkSV$_Ir$UH2ZGNk=$rouA|vU*}9CdLpPYVC7C&Gy0{O3 zXKQ8_lNhq$g`_7fz{vofG&xK3g%5Xe*RgoJw7iPx|&vOPN;qpn1?+#zic?E84X_bDGj|9&po`3}7b^CEh@ik2Wldagpc07=~( zJ-0K(5&oy7bSJ;S$IQ(z(*r47NB!qcd$eSRx~Dss{x~OHA&hSRbM*3+LDw5lDW8?A+mC-@LC&)&8kj!l@d9S* zm-9Nk747Y%X1=%;@p2zN!Zjx0&P9VbaBwafD4<9*#lrQ z(OqF)fNs%z?2phDeu3iUw8kxI~?TOYYa~iq4V@>r4_fEgJqFs+T=V~|dSd@JUpJeS0`p7pL+C`3aV6F|X6Bdk zKoAWQu4zcY=GB;AM8D4d$Ybn}6jgH~*0=5w`UMfEJcAf+2E_25FaCGG>?b~|c3$hv zX#Ej)GY;#5@-qXWS&6a*43@bWTc9=@>l6*%p}HBzbw@DTyTULB?*Zt=)-pzOR~Xrl zh+V8XxgZ(FWbMq&*e(G`mrT9?nCOpGHv>b%cAC<6!Q_vamw`syf*yClG72^m+oism*q?{Z`B>{uU_QuvH+54{Hl< z5p$Hn1E1%Gk7j}&R8BW6QWFpO*tO@4nUzhm$oB75WqiNJdRtb({)O)>*_?7~F3?sD zd?)ZRWMFR}EvW)kNtt{^Uw5JgiLW_Tg@jIOKg${FhRmErX;DHv!obl zlSI|Abc_Q;)R7F&_Qf7J?gH?|AdluM0qt2m=nM316u%g8Q2X`^^_?BP_P{e5$#2&5 zw*bA}=E>H#0DlW_0k#a7o8JPojoXvWkC(+E_O}3g`d{l?z`s7?w_ui}bg9w(Qk&Ox%GvluTz*dJZesWjJV%Z#%BQuj2&WW4_)C2 z$;&qKgd~PQ-k;lEOA~fQ-|RGrOVf8e9lpNdbkH4*E^hz*>n(e!!w%X$y^t7wvnuV> zen4%u4*MT3Hfi>hv}(r#@@)(IZ!R_wNsadGP5S+t=J(5X*k8KX{rH>p#RdVWU;hnl zdpth3eSc}1_f37gYH1QZ?%26*;@b^IQLQ&fXrQwk-){E4xxu#pWuw}@;J4k)xYQ=C ze7kMvlUJw9TTN2!yEc%EImTKzGdZ^J{n?NBZ$JKzdHbGf$kwH+DJBeEYGVGzrBjOE zeg`bNbe`v-m(ytV=+b$z18gL%ISrPNaMY6P0QX*-y$OcziJI!b&F(m0(WR@Ij_raS zF43BeS_yS_3XGE;M0HLy36aN~nzK>`v-c6d*4-b+9LC(dmyDMQ@=$mThmtX%gU-cng&+b<< z9uNW#*SdN!R|-YV{44$81KWv{KOEJ}Zn5NqItZfp+`5EO%@hxt=4*i|qIt!rW(xcr zf(MyfnhqV+OgS_R8fwOEbQ{%70n9N;yD1%Pdhokw?FzN4_@L$U8TP>NO&Q`JA=M*x#!FV@LU4Qt%(#P)YGD_ zt++>$NlLJ2jVfdmE+~Q3hS3kwDZ3Q~(cp#lbai`OkfzX9WRg{S?YBLI+%$zIxLdC= z^%b%44k=GfqWX$7g)UfzZ@_r6`io4H3o?mnf=C#Xf&;?{af&dmNl;y@@@uy65O8ct z!o0SUk`O480D6;_5y>ldino#y`r4Y9NUmuvR7>G3qRp8&{H6Dp0W*^}Z`Uul*(d;8kzeVS? zjs2NV{_fq+jb-I-q0l;%zKh}VVEr_P%Y%8o7%mSMJ7c(v5gP?j)l=(?h1P*mCrWl8 zhqO=yh$}2ARvP4FYR@eQf@CO0aS!-NwCBquvW;h@1Zlcj3oVAtzp$FAL+)N*Q(eQ5uC^k=11YQ{o+x8~eMM1tMR1FDQG^jlB(4@^p1KQ) z!m|~T>_bq53Wx$&;qkkmC_EF~9Y{b%!6m7qlAtI&6FdSZAc7lwY6L~$**PxOoB_!k z=Am(LQ0%1_^0;Alsm92AL?5=CiD@l?9xyr{dH@=u2LKq|&10p1Tnnc_ zS~0qt2h5*P?c0MCjP9mlwV6L!$XE}mX~oh(RYrFcU3_RAB!V8*skV5P+)V()*pUE9 zJP>X5D!ZE-5JIRVTNC01nymj+%@|&P%P|fCpSrB*=lmp>xZ#Z3L4m3S~IpU`AJTz`-0< zhE=81530coo_QrlkZZ;Nst$BTRsLiFdY>EU!u~0)TF=4 z36^p5$YU%QB%@9%QsbE*oI{R51ML-t-nWOQ#xud9mB~$s2)9|08qWkP0TU8`We8gN z3O|M#uL=S%DiP=&`96)5U6Agd2_lbhlqemg!455^XgrhL?N=x-LN{UuqMXvt7WdC2 zfwyOEmxBgYUB=0DbHLsOmlBd2^n|80M|GoW!U9#)jjop{Du;?jHCi9zWRIfapW=Uw z;*w20A~a+9(E5}JkoB0mbA3ub8qcAtA#nkv5p#j`WHU)X1LhE~N;^h~S2cq60F(L6 z#NrHr6yVBs@YmV^84X|a_fuBDE?1X)neu?mdW!ukR@{wVauWN-bqG}l4b8!&i zoSK73W={m;=j+e%TAb@K&ZJQ@Y19V?Y1A*@`?ufww$U`s?!dk;yzC%8Z1WTnxs+Bx zP&FuE=m_vEOkc{Sga8me{+cVIx`FCh&QiSkRXL4guw76owvgDA#zB2ikVVJ!g6xdx z&@qjJnH!~v4Q*nudr2^+aY&6(3U`=QDh9GYjdK76wL~sJKVbxgq~&YAC#7+~v59kI z8V7z(`)g7f2ekz1R(mO9n;{C2;MbNl_sE{LYsT9HtW=rg z0p5?!(c}HuqY)9+5L7o8`$CFo7iqE=p|<*Tx@n>#q04k&NYR<#XBD$?XNxtCGq2PA#AoyB`O>v*R-g!ly$;YrgnSBs@xFA)53GPrL zl*Wls5GV$^K@S0cItBuTwUJ69u$?6~^F#A)+o2JXDZvbaIJSnRb7aU>1u<4lVlxb~ zW^+dp6jg1KXdz}gO5mcq4z!a=rYea(5mBo^VU_`yQLY5BJmI?$E}4=ZWUgG)02qN?pw z@%HMO2kHGyvHNr&Hj>Kr`^+cb!U-_bFp__x`k$}0`IUT*Mfu@=Z%i51!xnSUzjahyT-MdlxG z0H;7$zwt#L;4|pZ1E5_!VGug){g|r03!n+|0VK$pwxl~~Lbl!K;gt46+#gfp6J!kn z$%T?_-=F>Wkq=>g;4t-&#M1fcetg*u`{R5gN(A%)XYm0v^a$wo&-4K3u}28x7?MtV zKh8H!Mk60U%BgL{DGcW?FK4ZRZgIX5w1PhUEnYM~AOzC&lWqU~+ttN(8Lt24c(F~8 zJqYCexgGY$`NsRdU0!TcPHjuCAh^J??fWN(c7g(=W-Nd(BOl=S({uYb{TM*CG z%~!r+8(e^U_pw!lL2tlK6Xqs$aZTRc-%{b$%~Z~~e@>d6?%!Kq#A^fvA)L+S^|wsAN}J)P&Cy`08|2EkJ^MR=m8r`Rn5-)WV! zpTynX{QTv!uai;sJ``{AU17PWIwzeg=6A1Ou3m<|OUqpf1v@XS-lA!Dh0=wAU3cX# zyZ8QnSBRwg+9$s5n}0BX2YQiI2h`F8#|j+KWQMd3Kq}^V4vV#hLVd(%e4k4oj0)NU zI9F!>dWg@cGfWWSoRU5rdI&mV3N9qKb|6tdor2qMkh0P&Slj2GkyLP+b^IzC=Q(i~ zE|I07;9f`Ieo4VGVTG(_k{or3=*g>7V$sb~^G5!~xp4dteiS!HW0CFk(oWZkP)ei{ zYh5x{+e<%M`wC`K@{GYubix@gQid)3vejpE=`ZIs|KmOlC1D@te&FYuTX(&h`SJ~E4 zQpsbnp#4KO8-TQ2bZ81vAjW{{rQmj?AZ4s1t5xUFA=&W+I7tvHamCs$=ZW8t_XSiA z9tmw9+zs^h<;-hH`{Mg0{0s^%fevT{L)I4)uQ6>}%1j&dJvV~6AcaOo&0}NeRhvl` z?0{YJJzyGQp?3@N8|Jf21u3NNOCI|OtKLe+yK{t7rX?W&HU(I%*Zi^Az&zGCT~X`?E+z@UI4$>22|J0P%^ zh(!rFXLJV54^5dRnf|vmcLKxWX@VjGnlejL`*tNah@xA`czb-@A8MIdaN#U5?mj-#Y8;xCKg~dB5Z9jiIfI_Cf0i z^HWJ>^lRS@Mx{4`N&8~Xmn4%88}v6ff=T-V9U+SU$U0-Wp2x zFI>LiwQuyg{tM4y`>EHx(Iq6ib5F%=i5@Az4@?aRiqaXec&$mAiFR*>X!oUm;HBUA zd2ZG*&AoWWnAiTUzbCjA=Y=O9v}7u{<B@vp=Ew;2I0tgj+BSw z7P*PE=BRg|2HF}HypSYjR=@0KG&{!HjdIuz`Ac0O08Qi%>_jLZBJG3<-$n@Tr`Pxl zZ5$4tVeTy@2qYvNr1K{v9JCY25qt7p`zAf%3UCMDB)s+@tH9MgAO*DqB{abC%_gvn ztHTxWC)2D~$HAByXii(cHIA%2{ppMEd-`poL6VOI*`Nn0>%ycuYVp0o0$sZ@_gOVu z)vi6!R1A{5N)LM3|DV0L0oE#zPM0O&TO(~YaH>i%H@4k-RKKV((+4-?Q6%2jp$Fae!dZcw#S*Az{u z`mQO=tH#@?lx~!6&XFTSbgz*bc1(OVM&?p|>amyqg+86hf3A`IN9cV>S%uL&&j7o3 zZ-fq`-gDJ3$6#-H@rz$kFaECOGp}Z5=6vS$JUmG*=^vzfjNX4>A(sb+eF7r^kub;Q8(eUZ%TKH?YefB6R&N*(R5 za`otKjWJ?)j|-7EFnS9a$rQoDO}`dS3cUUy$VjFL7H)b##Z>i#Ak||LER^%>wIRep zkm}I|G4kA0IH3~FIWkstK^wqGt#|COg;6~g!6l({q8`C#+N?hRMl| zps2?pspBM}^nhZiPjXO|QGck0Dm)Yop-h(W0?-2=V{E$yNLW~9@-E(11acZ5V0c|R zYs@;G*rQcuCH6q(Op>dK-PxImcH&pE{Xl;<6tj{w&&WpnyxJ`MSS6hr+$dcn9(o4xw z$`c0(Pe9fWX@@Z-@8SlEJ_Exwsb1qneC>!joJG0FRLJ9^SU(E~c%bo?WqowUDj z_@w=n)2E~um0YQ8k_jd=i-5d?FL|7fP^aYOK>d=bST-wC%j6wsFzOwu^T+vS#L@=b zH5HW#xMAx3xgFOJ>K9PY#Px%z2Gla?_z7ORFDy~ZIla;%nX|r-+3YSLV z$OtkGrZb9)FMpu_K|LI>6r>;3HA_pBV8<3`LWB77{4}s#xZxLU#=|JcUQQ} zOofu}3M&iyKk_g9@Vf`8P|lGICpYFi$#CtDpCK7eP7DCRBq64n0>-Izj4FS8xgofi z?KI%Ut-J`WESPe^Y``-l!^wkr;w;Hqdm?9J z_sX}66@e~o`&Du_*3u1F5zW9FB*i(>t@d*~fe}iZ;ZQ<$jP937OaZPK`E z8YwszKw~&r6|sP?IjCGUTVXUQjQ>PG>A!l^7^+oOZC@i^*){Z=F49LerOS8^o^2T~ zIv2|S#d`56f8TT9xPZrc(buzD(yagDzxnk)@UFl^shamHf+>A;g9}ePQeZovZz_B6^izylil7fNIU=Lm z8l$QgbV*KUsSKhOzgaPxbxb@VYYEKyVcD^*m05@FRl%O7(aNlEoU5#-U$rvps00hz z6QpLH`fhF3k(gDpzB7JHHbE850lSU7f(XVt82ZI5k!5f9D6PtvZ-0G*wVa<8k3tuntdw zKf2g|PGYToODTA}{SST}p=-^OgZ5wT_VvKA-Wz-XSJ>_g_%?iincHkyaa#ZqQ7e^! zK~ZzW=9L&u?V-LT7|Ug_oXNZ`Z0_s>Tp8PTTes-7?gRYTfB#!P<~{E}fDZ&;DXA71 zUZ2bmdjUfu`%>#G)x)*0ZNkcgV6I0Z3+T* z$n^o=QxKF3El!I*fS)7ir^xdOK~U1QD^~T$Bb)&70rtD8I4L)Tk`peu;s}q|sf1Wl zfJeHy?3<%ljYK_%ol?#|FUn_omSa8IcWCN*S8fj6Y;w6S5IG0E%SFb$oq3U*_X#0zW{Qk6+Kvn{4zcP4U!d> zYJj~-T*Gua^#78%!{Bi~u~WN+>=~cU-QDdL8h49mc3usSfAh#ljLoh;KTs5vn$O!fr z@~ZsTE;u^wD~3frs1fYT_-2dNV`$ENofZb+Kr(VVO-Rk-{vy?rFZL5kym2yS@Y={O zMR>Epd-V;TJ)yI~ySoN&+%1|7-px=H{oQZ>^}qdl2Xnj_1g=$4wA^U#h~71F8C8|r z!vVy2?0W5~iiW|1R@48Tuc}|9C~xqVaTAzwZ5Vd%sE~V?s9H1!jlc!gWKF`uH5c0%3RF% zHSp-F^-SaU#5NU+2VU}QCe`reLTR#;ePF1tbnz)K$j|Xjd>SL8C(~apbpz^uXT0f+ z;7zB;j`r-&ru43x($ug~)rmcuJ)6>-F{MB9=^y{se>Pe-^nGbTP*E-&7)KK2(m|#P zE0=<4Vs+M(o*QtIO=(m?d*+s8Q;I1gmyZO=ru6JYkZelN4+P1kG$i{5g5+{(6fCbk z5JW9jfR@DGsRf=Gzv@o!B_lwPG_8`D0$j>TRQhx|iQ1CvATJT_77}>gp{-PU= z3XHnZA;@3S1rt~JigPQf6&XCZ;C>7Ag=EW?QuC<jyn{l0Ai_Dq{eeWa(fDS1mKblv3J82j%1pI1c(d>5UEzwDuA8jaeXpL)Z6OI z9!$U$Z@qkQ<)xaft~cp-4yN>Ra^d4A?bjDN=#pN8vaJb8wlN_@oD8MAl_wa00=Jym z!l!9Lfe!W)=tbojO*dC z`{UpLDZliNp~{rCFQVYI1y!mT6SeN0%G3@la$1?+qe6N+iLXgSP+gat}Cbc<#Sb~E|0pZUk{^Sbp=w0Kw@R#F(kxF|5KQt6xD(L{>}Py+}E1N>{9 zu(FC46?51hf97b>AQKotg>HfN&^cNx1Aupccd22DbIj2qidP!ZvWj&sS_J6FrjYLr zWI3#^TC|nLfXMI>|FMG=W$!~49Ay*5HeFmt9&3yNt4yP9+z<}K!@c$|A`&r%35h4R zFB==iGuha*?Rn6hC@Uswdc)T3ag$&;UqEpKdWa-gg6v+*3SnbE&CqJ0}uW7CA=ZqaN!$KRqU9rj=Lo`3bT zKl611IQ(Ow-#zX-^7u+uiF@_*myfE>R&0h8u%rVuV z3+~W~E~pABQZ;oUNaI-qacT;`ICA!Jy&{dL3xWi|W+U%`uWtnD&lf?YWDT&tu?~Ab z^mv;H(pw!(tY+!~xWQ@RB4`8GuC=3Q%NHS9C5f!4t@Egz;DH1Alq7z9ImMKD;d1ci z2xDYo7fN^I#>kCoD%yUn#SURMen{Nx%@zRH`qoG)+9glQ(7=WdQV}$gG!QO{;+r*c ztoC4)Z6s-0ToNSoN|dkz{gb*j_7xsA2}oV?0Ooi}H2~RaLu-;`dVCkh^_qh?2?_$K zZF}_e{F3w*z*|bL*G7QkYmR@2g!Gobx!ingwGR=fZAU&tko1R$A73sB17F%7A{^y* z9-=q6YXx74T@CRR&9k-0v|BOFPMfW@hKE)W&!X&Dl|8}CTX}3PH7W4V z`mO%<+$(}kP!Or1N^bP(bh*Z?p5<7*{lEu*|A*chcokK5j#n||2L}N~R6%qOxfF*p z45CRVKe0bY44PCj_<{~rLS3$HqN1y0ZSVJUJnT@vm7?rY5HNUmLa=fv)+?jK0Zy|u zaM`6m27stjiTavm!3owNITRgBFxC#x3KWa-XqThsSE<12kDC8QggtjURNDF)TKf_m zX!52oSWz1PUNdx{fAzQhjpffrN)Urn=~%$u6UR`tPzPF*VUv6yWjvw^dQr=^fXM*8 zhY0TXRxk=dQ9-`qeha@5jxJi7tX36*qJm6t2d7m$Vhpz2YhEq{C93fiHy9>D6@;LM zlpIt+`V}rlRFDZ`o`Vj5Jz`!1Jkm-~RFEHfy@b7@Ro;P{vk{~jvh|~Z3ysZ&U5P`h z*KSMhms?e+2>Pt(mn7vmGszReL-~PD!24?*F-hi|L*`X&4LEEpbD1P1=JcbZ<6D0s z#HRI?59(A6ri>0$Hxlq&UE&(TlH(-({&MWQE|`4m2%zGV3cVUbZ1Ho8!#y*H-Fp&f z6O716jxId!%2AZaW?#FS7SVD*eF=I*^SLY;K>F* z`Jo4`X^AIZi-kbXPTAjVJn=r~q=SNBk}VP3V@jHIU@p+vb0H8VfoIm+dv~i4SS3qp z-bPQaP@DVfIbfSx#m{WXw|(3{_=kgYWPw~?LvtV%x5jHJ`DR1co6@qam|V~|%#}*Z z1#ONj0t+x4YXK%!r1#>2F^~Xc6HqY~fgjx-7d%3_X{NhpL@Ps;BDmZVy?`fvvjw!T zUXe=61!H)?4r-|pWT@hT{90FJex?zWP{k!tBf?C09-G(IN-|V&$>RZ|BqdHd>@8Je zU*RX0H`*m*9>MfEvQ(K83uqY7;0ZOrm>huQ7$tOae_E%6A4TvHcq__jMVum^+LfEH z<4P`>MBqv^MIQ4U*?Erab6@=Im&(Y18pBBS`1A*T!ux;v;FxXj=14V}W29R}L7&cF zRZz)tSS8EOd%~P5Wmc(2H1>#Nh2|KzVzpqU9^s;)63B|>zQb>t)nm|`?^m4_vwB2H z(G(BL11%{+R*xXC({kkrCC0Wvvr>;+xVoBk#$`1Z)2K(u%0oSBCa3y+Dh7CD!dU62 zIr1S1{@wO8rT*%Y8bCAIB|mEZ(Fc7`)nhk1*hP<#@;R!XOU3j? z>ZN*&(VPY?#!L{=*%y^eMQW66L1ECxQ`FO3+>y;TS-Qp)GYav#xzsBY=`vD~L6 z7|m2m4vghSa@zFnz*ug;f;2x|sJHuTb4QG*k`*zQyEDIA65~4V86&)Ws1^KDAdR5= zVns=eo8X}g_uCAP3NM{q&E=6pJN)1^afU3 zt(pCm0u#r#!iR}NM|y)r^D~shk>0>nAAtPhIikqL%u2Olq&Ki4a39*w4I-1a@jInA zaFWXvnND`#O-3Vovt&wd;JQJRg`XT1I8A0(2T$2wNUpY4t3%sC`%|X$29AD?5jl;* zIZKHQhcMqCz-Sl-u{(avS-ACi<{M=2NRb4pF-wk*MN(l;^AaoTN4R`;ZcZ8NJ{`bJ z7Aav5yBShG83;w$>X-Fics+m(qt!2ES#|`L zr?e6TKB1pD@&4Of^!(5y&PR|HFMA!f?94SuwAE_gbi$Db=AJ81=!NT;N13dj2y zauj_4S~d>BoEj`mh^HQWf6Ap0DQr!Ma0c3QRLra;5u*!{^Z?Ce=X}=_`_hI87;c@H z95mc&0f*<}som5!Wf=uVku-K;@45=BDGfTCZ5=eM>D^NO^3@gHN2{CH<>Q!in91*+RE|cTFp6Usd zPnEBdsm=NHX@}xXuCq__)0AF&$=yxQAK62CQ*ZUS<@?_1|MGpm?ei0WTzxrztIe}R zU@B9LfUGK0jO;6Wt6lK4+;Lhw!to3irWkJX9x1~Mli?i)^3j#HygIwSGbxS zw=&xG_;@VCI_+3L}(9?$C0tRBzm z(X1Yk$~80@LQ10oD7dq%9`U0gkqT9Y2(L?wMJL)-8MkAMd1$i4^Fr3<;bMWF#3Fqnj9k&CqwB}eC&zwTGwnI zp2K2kat5WCnlzkr^%jmP&H5I15Su8iCSH`}D?T(m37%Tz5#v1RgXcDx@F;`7`>8EO7|j z1fC8uL`)2YAbmv_jKMlMv;s6jP+WZPXZFE5Xr@7MS+6MGzX^hGNfi=^jho>%Pd`Ov z=!f3HTNAAIZY!FrI!7u)7c8TCKzjm=NPTGW6fsn5h2zB4h%$6Z4B#O!1qv3Y zsUVUhDOdozo*34HJ?aY|RGU;SMGdyPGW42LNT~vBr}gN59SnzQ%Z#9oE z6WN$y)S?edaG+9IgrHa&6J+zi5Ixv?;(aAfnw1A#QbO@fS^Sf7p?20#6yH znVDm$U*YG`aM~nKm;|W}neZ@GnZ`+~zi5(XY_R5j!yvgjxFGUuN2C*%?2Cj)jp~9b z)3hd?0hf%x28R7SBINr6Mf_&p&rc05UnXy&=0u>moeJ zmPklIAU+D$jUdgw?FN7UuowFWjK7T>jTLG3T@Y=voFvK~07NRyiqs%3$l+&_cE-dP za?w`jNVD&PQU70qa-b2U*>}NGtp`84SxNf})|_U)2s*VSCrh{We=++mX*}2il7QZU(S?xx4X^;MBqJl21Ytazk+CMA5*=_q&Av-w6b=A{lw=6ku9rAy))9rgMv==v|I3L}57kVbjjt8}0I_<505@tDDhn0}vlVzZ@A!woZ*6&C_qT zWp0WtY@iF<$W+E&fzZ9KsV~4SQ~MX$B0&r!n7oi1q#|tx<}cayv;)`=kP!{Obs>_> zsV&Ktlw1~Z`MD;{bXA2|9Khv+(R`f;{IO4<0#LLB@vd)h;xljKz(8rgz z78L6Eb<;?oW)u60zMWdQuoq*%>|QxnH*WdXsuQj8lRx_8Fa1j&SkM}}kO!jvIwKI{ zEK8aN)NC3Bm31Cwot#V%!C51iRUQZeNqUafu+`GyEISyKPGyn6i>NCiRoCjVev5=| zrB;b%eOGGFIP|GqF{``O8U`lC=3**~4%O$GZ_yF_&e}kSp2g0ul<(02 zO?h(d81UM$@G?TouO0Y91tQ|Y8oNDcyJ%I^3Pg=ux4Y#cH6$C8x4(}b*L>;GDvlsM z&{Xbc-3t-DeTW$@P3YciS|s0^E#HRuYP!_4_>rv zS7}pz9yee2!Bljc7U_i-FJ4@Dr#3fUdGX@m8xa&sbj-L|W5o!ElZ>>>vpf4_FGRrVG9 z7`~`32qrrQ%u?=TUl|=>Ew(|Bo{cew(uu@xz`+1xzjwOSdpGltSCkj zhhDY?)JX;{j9VD96nKRt%du6DbvX02NayeQxmjYgOzg*1&JB{ge_UjCH@Iw7ctSrS zsb`b{s`=gM=c>A_rA$!E<7Sj-3XWDi;1n-#)nzT7Sl(YAkJuj|+uUVMBIwE-4xm=i zURGgtnOU!*xq<$(+BnK@Q;d<&{7r{-*^@e;=&l?cja$Ceql}I|^7s7e*L_VO8MLC~ zI>75YWtQ`C*B!G$9pHkP2uU9lWxAsvFrfqNE7Jik7-c$)CuwU-)B#1Xl<5ExXp3sp z0WP@5gg|lVkz8!Xm(&wp4gi#*LI{5a(9^c&$Xo9#fS2 zWx6$~@v5tq=*T7aAi5Kf8Xo>VkA3t-@cUF{x)YFOTKYSX8?afE(UGr-%TeGPCfsz7 zp6?DEG@B~A->*7FLcW8(CIT%^n&fYUJZN?FL4~T`^fearWWkHA0myvd7B!w83h=Q| zgz;&Nk~Pm?(H61d!NF=?)RR^9Jn&t8j07_C5WxSUsMo48LCq)6x>ZF8)Ii!0t~7Ml zq~XwQ>_M7`N_UH|opf*Odp|Bi26Sze2}9~`N+wQJdom@AnsZSvz48dO@arCsj`o2t z$l@KYg1H@4F}kvHwwG!^_xCC1*Z-3rdiSRWhC;QxD`$6pOjE#}!eCU*xY$>XgNCet zwj}iVU6q5TJc?LRI6rGou6EG&EET}-T6xML4xJse19*@n83qJfXS4@zv0u#LuvDE3 zyo05#Vnwmb<{P4ALDfAAKdlo<8MyCx65CY)U; z>|66N=WNGw7jJs-(!+}{c*C=Ad~?7WgktaBOZewEmt;_nl%#{k;*CwwOf&>@w&QxT z9Uu6o{`JrM)rfR7_2kCXQ{$uQ0fI{qvk=-0K8>lb7!-j8VQpW*JXdvwbA$slre(#T z2n5xzIOnlqt}+BNp@*4(#?)8D`~w!XSc>W5F=$MSAXxge=Dyi-T0Bf-9J;Opq5lt+ zbm9$2XxK=mdL$M&v8@>g$4r?H-XPb3jw`fCtK`vaemXkUsGnznT0oC>h!Ltf&>3a~ zL}dB?SZqsH214PRE2?e~Qe{#x!hGbW{x;f?P!C}OHXw&Px^u>s+rM-hZS)IA1*ABq zrzuBe9Se|T_a2)WJhF>>&w3G=tlWT-L{aD3N%!4Rb5v(Pn=!!+5?rjGwqrl zRa zK>;%2j8e3kuP{}s5v)Scl1l~)R%giyiinP8^a9Ai5!|(F<`8t}K*&A&16s*^cR({} zV8HE`fteoUR(SaQHT4{`Z_hn(PqLg>K_`GE ztaHoa$Od#myw%v`OPkAQDWL%?kHq;NITJ6RA#mMaA@gkS>1_7zE-~%6TSU8A5oz3# zXQs9u{f26~Uvp;vd;jM4jqhlQX`MPrZw>e&F-IGLt?)%6ZY2QMzOt-?3!aW!#gL!^ z1=^unFw8zGQkXu8qANfg*EO2_>>%+l`zy5LiIGO(pM*xuIfmKCRiB$99%dgiKhBO3 zCp_7IpJ+y(K1N)PUYdTZ=5!_eMEd#iDdLS4rMb@k>F%CswXMPat7g&S5#*KoWOV(p zjF>IpZ?56KDm7etgr{24vGvDs%ePj5fy-Op_IE$}SB8KnfNp44FUCe#T`Cf8Rc7v7 zI#m1_OZM$IE6(A90|ab~s*zZ+%FCgIgrES373`ofQwZj?-0py>7M7CikPhX%DUyad z_Y)b(4q~Oso1*Dgv@GgF9r#U3s(_b{018~p?f{ky2?*jGtGp?2)LK8n*;==3lFWHi zz%8}2bq6o5%|KUq)1np8DQ(STY0Z3hFsxYnf1$*ijPYRLLan5lC%S`*%F-{awbjXy zvK~``oTik0<(~=rvk&L{bW~_+FHk-We(qDL@o$tki?${t1$MVI#1N5u9^x{O4@c(y zlDNgjho@v^dZh&g2#8?`V{}HBZ;sH7^g6w2Fje*5l84Mw{7v61Wcq607PaPUhR<9N zeCEf#|q2kI5rxC4e9~{*k;K(cZn_qlq8v9r4N+Pa+JAFCU%tziIXTO!ZgaKG1hd9jN0

=Kk4;h1DqG%K!wp|+Ij7ebW&$vKh}a> zIITf*Q}NSlhh!1lBI=M8*GsQXQIcj|(0FK@lhfQasH#J!La;!Qk%){Z4ymiF;gfw^@l`fSNAk^h571t9m} zq6?qHq4B++nE#D+`#w?XTm<6XWJ*K6FaQ#MSrpAW_dvSITyg^mxd&*QXz#zg{dTt& zMv#Ryu`3L2x?4Cp6@yEnjHwnEQM!%7v9FNY6pW=y?f`&N)XaK`SB1tbNu~#SVfb4d z{kUY?2?V9P4UVu@Goqn)(@cS14^LDBYE}=-yfS*7t(DLRb7Pf9~5Ken&vJwW-$g58k&9 z@UTwz1W|#_J+QB=h*|^-QNh8-fQF#>E>4%dM_OMP4K{@SibtYf8FtE73`T<<;&Jg@ zY(Nw6KsQsZRa6(E$vvijrU+KTA}A=?w~=KJ5@?v`43I^fj%~heiy{p{-=T zJwcOz)jLO*P|1I!LX&_q*8EVqqI`p37>y=jN341CD^+)>&?ErdRyAzRdECbU3wnD071vFqgdx5N^Uj;_%NXNKrT(<{D9mAReueg^O5e=2liS06kGnnzy2lP{MFHVtT&_eU0y|!rQHe>g9UrG z!W6m2;$_nqF3D?xWGOrn=5U+q7Wjm{H&28|0@d2_NGjh2wDRzWfa5!0{A#;q_FZ$wBWy?eGxE679d*<(g_l|1AS8>|fj+=<5f&+#Tq19(2KOvh&IbB+nc5 zxC||y$jq@s$2TQ>#DxhTVdhvecaw4DN4?|Qe`I*BDQLkF4j~{}L1#4CW_kNHeU79W z+1da^LxG3%*HsER<;0nNQ(8?#FdV#B3FZ>d5xINmcR2zJ)~4A-H`}3Y76fxu(!5ix zm=fZkv)d7A45t@3v-TV%uJjelG`;1BL7|NbZ{+|-kz-aKF`{}4(~_vurNdX)a?Dlgcx zXy>4p$;5e3;#}qDKG`x!z(};=Vsr0F#iFHvMrt!jJ196U?EpfjDRh~LKq|^Na^6+! zqAf#18?rR{e@1XsI(Gnzi-@hF3q=StiSS`eO zqpFB2cT^33g?gVTL-O}x>Wug;_LU{sT(Eewc%^N8T?q1LEP{oZ4y}r9TRoMb3+^$! z2GvP&46{_s)J&{MW$1z+ib0BrfMASTZqJd*&;`MSNzgJ{9>IE`PFSocWs8DE#xNog zTy9g89GDu<&(HBq-zQ3(r?QzH)oHo4vySS068l`GU(uf3$P$c8S5=jv{#qRq z5UvLXmZxY=wa^v)Bfsm%zGW5KSA8w-6En~2yoXu4qGvqJWW*4tp7Ag%SM;3Ym|f9A zbBvaU4&0=2Mb|r>t+HEfeXMJ794l88U?T;FEPYOOzbkq|0z(;EmH-iHP+eB6&_)5< z+M*a#IhZwi2A$@P+k!((r;16^J$mnG88uCyn}C!$aBG9;zmgo(lvE$8r@9Vnd}mIp zw@=6plSyB=T>kGeZFU_pu{Kvb@42?T@uDxY=i0=zEhh|J-zs-594Oh<6^mYg0Lmqn zJm0BIpe@xH^68VvmW9|pj?ZF8h1|gClRA*+b_(RhN|(zKC{@4>u!+h>@?6uq49_)v zJD%djp8Emi)=Bk`)t%#(@6(-s=;J@;JAW|gPO192=jvI!v-I?$JFQq~gRu}s?U$}a zN}*JAr&krrxarpbk9`z<1*hH=KCAL@6n&3P;nQ`e|B|KXdwnU^DEjts<5#z*6n*=+ z@#TH6^TbPdh8w@iftRB1bZ&gAKv9UQx5ohPvkq4CkR4$Am-nKQuh(Y$@67D=Bvo;h za2c33DON360Y;xm?F)C;tyOVHt9E_S1}sOPYX9!9|Gr=S?a_R!HlphmySEFH*xK`| zLHH$2icBrZ{wilKyT!$cJ?^)hC0Ge2Q;HPB8OVHgi_b#lvs-)?GN0YzYeCHS>Y}8j zdax(q)XAr;ql$`aWb`PvQYv!Q(o-tp+@tWf;JahaQFbsJ;HdH-#16=GR%|?-RBGdp zwE@5Qd--^LJhFev?eu0hoQF*1PVsM*jEc$@;*t@-r7mg*hdT9p-iLx`7@V9T)l!hylgtlk*Ai{o73bwzwBvyOaDzWw<=lhHQVIRh@h}>l7abwyw6_s5B@#|orY zgK?$6adt4S$HDlMU-UH}^j$&wp|vy5t6C+E^jvIgCnA8u)@ViSs}>PZn7`1JtF09w zD6x|jr(?dsEzAgh`l*ZvD02h$fDovI5$7oN13SkuHee6ZF|~*xm=OUnH2_$z_UEY( z0Wme8BRObf)vonHLiL-+7(wTzSQkS3yL`BKbsUCtXHHGD}tCGV}eL8HG))PE{JBI zHG&GlEXfd3uSg~4g8L1JAPYGMpskIdC^45zxONaDRg$BXm@1ekgco(TDK>+j0W|H=~0|M_?RxA{7bdeP6o>KRJ^iz3LZ!tR0!vuAif$6UF-J#P8F zBFLw{|Brscho<_rWZhhaS=k({VMjovnIRa0shLt2$0(C6({*VrK7M}?>jkb7PY(;~VEuBL*iBP#*|7#tka*Y`-ERM%Z$gZB7d zX`Nno@KY#MDdVJNoc(QtA zr(vZguBaYYItE}+J#Ll=W5=k+QpX0HTw6k~)MF`R!?(1V>aNNf^%#}x zv#Q+f+Gdo+imFLX64b$943^p>X!n~XtBT@bg<6nGB01Vh=8EEexmE69cKEcvP+d_3 zW=eka6Xw^#C9SJ}`Su*a;E3~-uJ+D-6x^}jA7n6a|9C}+EZSdF8aiAOv(z{q7OpcK zO(X`FMHiwb%Li>AkB65y5+uG zx9qD#hWp(2i6@<0>K-gq)3!M??X3B-Cp4C9Cp4Di^h?sTX4<*Wu2V*dGkMMfX^v9v zy221>v~=#eoTsF_oD6WxL{2ams+e>A^~~)Qvcj>6XH!@c!;-lIc|8@#4|w+z@B2y$ z?Zk3=1=4LvX*DKaU2P$1hvaMvNVa%^TzU<3cEANf1Gcz0Yi9XtLtk}vEG?{r9u(rg zqqFjr%$K{SdXAp^Zq9dMVQDVA0o+b*0E3LQNk=txUtF;% zd7O>8a^Ydz@_m`LKlgus*%v+2)=pjhYCU5KN*U54BPc@+@uce4zJk`^3+{pkzzkIo zgUeb$zTYkw!>y6sZv~@v${qIFLVQ4{nWpOF(7aW?A|eTbYJKZ z5MlUUs=JV-vJW}-a2fv_$L#w=sT6UztLJ}_rJB1huDknUdc0vz=zueOWkoY?`94MS zE&u%2K5HL-o-TA{MRQhvWJP1Gz94aj=cA5n&vMnImRse6ofsZx^u>aS_4;>!M5+NF;crcZg9Uc5~O*^`o)tDM(Z2b=MoyVgXvEr{;nj;)|ed z_rz|)d9Vx_Ly!uz2p%>%tP0d8+ZF~ZuCwE1F{%e48&_Q0JiS;^oN5y+2wV)|wX}2y zQh}C3FZU7yXZa@$DZ}Mn&q) zxZL^KGL7mz0 z5VG|Jbeu^aL{5X$nFn|f^bkOusgaYNK9kQ(Q~T9m-aU%TA~{T*skL};8g(2V?SpXt z#D@=Zp09>u>FC)vf;QFJm+1SkYIu^&&Jie*VrY*C#1de$G*P6*X7vmER^$T z!ma%MWiqh~C6H9ISP2XhIw;2f^0`Pp<^nDz(FZ6sfFBk?I&gOB&Lk&uaZ>C98De)` z`qSiPE&@n`H$#zWY0dPYFEBKQZSj1^mNqphNhUvYfnEx}5;)KGmV+*<^OVZ6?YO`o zZF_>yp`mz+SW}phU2?ZS5kiC43|;E2BaZgr@>48qj04^?yhrVmE}V0|CSZL;8H!|w z%vPw7T@u%>{J3zj+4WKR!%9G}?-_niphX z5;xO(2I=ZOoLB~X%!>N&Yq+(D~XKLkUL6}w{A_ts;4}98zIyDtq zWb$HERybBNPiEqr%W5(c1nT`o6BN%EV2uE zoq;izGI}X4r=5DqS&~;o&T~9&F;bE}K|tw@Rs>`&ev;;#J87-9LM<3O7=QWtNeS zLlZe2mU4;Q=+)`T7du!^qq};RV>jgYe!(vu-}C;(Q&r^lrL9Cqz$0Ny@M{LdoA0e{ zrD+mtApaUcKAqyJROxcdt!> z8v=E}j8)Ax)PyvP_O9F~6aQwemp@{7Q#n$ixL|WV?*`b^LIqW7pr3A>MLK_ULlcZ+ zGoKSPx0jeP?J{tS=ETgiU+~<*v75!3_JB7zv3d-|bG4+o`{)mO?tOo@1+cie(_#*M z0n8XeK7sDmi4nax55%-EgF|!Yf`G7**lR@dzjLA>!ZW*QYhXp1J6{oU778vm){7g0 zG){y6cgR{cB z3kuKPjhj+<bTkW*N6zPXnFuQoCHB%$ZdSZrWE0|q8K$C%txx^RDE*`v39h`JJ zEzuQPpJR6Mc1yUG;<%x-)(R%*;0o}a;{jkAreb9{N_E+li-$GYSu2uOIkCGzw~-YI zzd7i(0Robq=y8dksyTBYckc}N;2WpmE0cKHCmr%zCS=Zxx>sG)kx+W zv_s)fkB+)*$Gy#W=!pLbIQ)bPO6!En1P4D)q{DC_ydpe!oulV_v|k>z!gJiOY$Sm# za&OROrb=$zW!?4)qP4tSG_5b{8GCVqr49R+=UaDI|J{7(a=b?ae1W>xO$-K*l}9sh zY5!}a+ffG_80I7sl+q690Og|&wl_XP_dZRkUMat=Rj`uK#*`1tuS@#_np4#$2F8H2 z1)23;if-xne0K8jxgGTZ9ca~W51oxn*pBVmW~#VeQm@*!lIcLIL(ASFSQ#0l zS=iQt_i4TC{63YDfjywjgyibPLVop2)<)*Ep<#z4Y#qQDwPe0Kp=dFdu)gwZQMBPv zzxNFCo2E@Usw5Y0b;xv5jzR^Ilv8JlG|<{rweY5=NQ=5p+EBD(I^ls?d_cH()2TTDD7`)aZ&9Keufo*=> z=YH+K^I<`Er0}9HY||&618fLmU16JOHw=}8`L8F2MkGS-4hg4KcfpuXzFE_}RV&h} zyC5pt+TMDCk!=BO)DbQSHgbS4;U|Ff$GXn!E5ur~>Mj@)&oN89h9o}y6s>v@-1x+E zu)JxmELId((t(qR10{3>_emE@mv9;As_=>Dn=NY{)n!*r6J_H04*k6d-fGDNW`i-` zKmeiR?y&Db)D#SF=NS{vw_BsFiwhE*ykENYyb*{h^^SD(LHn=qOdq>|elt4EDiKt{ zY1Zg5V#jpJ#AvKm8r2H>FtwfcN}0R1MB$JDKm=xXfoVaZxJ4182@O_q10-Zr9FvS( zOD{G?`lfHk&sei(e!%|BF2eZg^tBTZjk`s&i!lBcO#u+R`DcIa|NGl*cG+D7_1;i7 z(&9Wogj?^!u&-c#BeX z`*t(-?K^+)*&q7#AYM_bD7SA$90l8f88$RqNJCrXW?z|obHQNz1!Sz{f2DnM!C?Fa z{jS=#Sdm(*2o}a)B)~Oj3_;pA7euj>bEea3aE6O(rloIS}WtA6$;M()7?hxAEq+*W7FL{dMR*v#OH2S?&#$WLnEb6v4 zt;#{Mjojen1PZ#BkIqm*qx`dt%Q5{ET$MJ(J~RHJB@J$IcssJ4yq(%zd370oM>GV` zLi(#d(U=l9_?JHMlm45x4&eq1vDOKVsanD_;Eo#t#nS<$%=yezAg2vU&{1s*LD4iO zh|XwgFX*J@h7+ADK~Xd&i0)eGf2a>IY*~wGtSEZMR|GUfv@w*iYb5;&=O}8%1y?IH z&9fp1tJWS`41oz^LK_VM1a~MRR_FLw`Y4o!7XowO6YqACn~JZ<3tkpUjgg6yq4c?) z?3w6qTIo{!gQRun1f-nbYJs>X<^04)u*dnn>TnN|M(BvgX&M@_ys98QyDO-mzxH1} z|Ea$gAPY@=xi9M3`4~4lRE{Yu8aoh_ioaoNKo3v_`HF|FvuL1KV;qYH09XJ9z|V^n zse*h(%)2lajh+GzRgep!W??KE7&m*q9OEn+gXI`!(ZDIH2Oj6B&sr(mIE%)Ja*Q@> zpn^kQh3dNdSv2qjcX$Bma!g^-0NF>U#FKANuxRXBrjbGIs}7WR^hc|R`dop16ZKZ~ zqwbYKZ~RL4aRgkq8dGF$vv=fDy==KE)XJ1IW3I+LNlagrE*pU8jj6^=&q&$ZIG`Tq zip%(P$6Rr_OErQFv`W3*Ba=KD=j^YKlWDJ#FojN~c1+~NsKh%u`YAMV; z^_m&aA2Xnl+pB+}>$TWwsI9+qlGUcM&i{oSjZgV|evWVA(-;|b9M1N4W;2bV4D)WJ zTUc!FJ*gmyTvX!2n9>#~x>VRh7MZ40Wsuka)-oLsKmvHEQN_~CN6M2lNN`{stEv#F zg4kyhNO(RQ>4cSys#JwwmaD21gPN);Hh{fU>`qxuYhYehx{pmDF{hhpdp|-u_BLS7 zX8Kh$)8lRt?c5i>{BqpLTY|UpxEEn+Nm~%-P(4iVoo4z!`eSeU_zxe(POcGz5)wH; zI1RW1Y4b_Q2SR`*#LUs)0ks1p?Fh02aegC6%~(R~LV1Y+kS&0*3f5O>CX*F0?YtGN zf^`r>N`D?g*A5vU&2%~RdIbterYcckYBLX#RW2d;iI@x^4Pp4(L)XDNW(1%hLrD-H zHJ4puCowTa$}GsMcHpX2u#V0%OOyh%(xx-&U>!J2CB{Zsug#Fu*h!R_q#py=$)ncw zJpFLJroR~ENoHS#?+kR(U2hB4GrQ6ZoxH|i9m4?98xO1`e%%9{We1nQ<2hWxN0>wT zi#I(0oFvDIcMM!I?iS5H!gx1sN+|!2e);qNj}iS9V||2kra$`#NWNcg(JLQevm&1p ze^AlO zGy3K&wByolxxZp|5=v~3ggsIlk*c_fjDD)MrWbqeuV_=rOntm220YI$0=>9bE8G7$ z-}+;}`%&Oe^=7m+|3fL|@4MvoRSPDH0UFEi(Z$z<$=OBNZ`MdN(DLtP!f0jrvx@*2 z<_-ZZV5OT)C!EeM0@#QZO@zp|GY}(b5+nsyN^SsmrZco7rx6YZKtFko$TxJd163LU z7b}P-w4rqC^SK4HPz>!rW!a`#sx$(SPWl6((!w16(CMe(e`CGAPn1d> zCvN-wFRJ)$4~X=1q-?uXty8wW#eK5rW2RQvqbj!E3Mc}YfMoO2D=m3~@-3QB$g$(X zH{N177H)Ml^F_qxFa7iv|E&bK(sX*K(5kFcs7MVJT6OpeDnA2-*0WSKRJ~rBJWEx> z`{aU%Jc#HAR(`u@s<*04QuVsvtI25-G-GRW`qU>`6xO zmtb|_lEL*W51O&fhGVU3$y^729QGU4kO8-%HWMwUUo@kwRcqQ<*D^rm9LJdJQ2*Pf zLG@5K(HE%a+h50Z1?_)lxYZqjTiFY6N$D-7aJ)K2LIzP%8B|1$)C-cM zZqb~hy_p>C7k$n4?eC1fkNyB`k7?%8&H>|1KFcZ^$S!_R+o1cRty9(km{Z%J6;0{b z%##5+I|pEZ9kIckeSJrwsH#IJZw*1k8qFDuF19EQH-c3F1}6a(QVMe3Z@pp;z>3jC z?>xEkszc`h45QpN1lofWwG&oV0T}rHqy-P|01;ei$x$JYSUj3PHAaD8*6qv3%;@(y z*J=MUbuO^mUjN%?gZ(@I+b0wMMyc~1CFpan5Jm!#$}tZg!5s6n)l(>sYWP(rNRkJs z3vd=<#BDW&FCNF59ET;ZQkuRADX%R3|!B z81d|UDrE@rS#-f8`iMmkGZNWgQk^4Jm&@$|iqzwhXe{ygAQ&hmM%+sinmOnwTreK}9c`xlE*t ze3xqM(D{uqI2%cw(f_Wc{jZAp%o90?{gc~@zUV6Sd3^E}{cn8J_lZ*H?EpAIs`8IC z$0fDgL8>;ip3v))prxoc0X&-OO@O*i=^%M*l4qQ6HhfG#RnXp7r-gY`gI~uE+!F3` z4yi`Zg_}dFn+d7D`t*jk4cD{^;&l%~$|@32&M4!L z3ECAaXe?UtY3GTy1#4QfWL47wMGR|slmweeD=9v+0dvcEGBCb&T7qk6ET*Hu3B;Pf z+twE}Xy$3OhH{_1zN&4Ugn&_p9*9#piEgdtty))GMWm9dxpb(_$^%XG^M+Z6}II(P2 z2vYUBAR-ZRa{(o=K3Shb2vYUBAkqbB$w%z6UxTKyIW$8-7d&7Lk5rZR8?s7MN5_hy zdW$3?EK#UnvoaR1BuT1@B8ka95;X2X7u8vls@EkAZ~;Qgm8)J~^GXU;)z_JziR#J! zQ{kK?EBe?)_*ca|Lb9zv+NaQn@hPv$&+$#)CrX@;kmlyWX%XJp=0UU#q?a7^fBd>p z|CiQ6yiH|p{D?#sgA;$!=aN)vFTZ1L1Ez5XZqXb+-Aw%S^8fqXSN+++l2n)gea}KPihdEGYnbZKWxfq>!!D+#XYmZMi4MPsVad1RpyR>O)uY z)4AGJj-N2N_43+PE=irKU5zrMVt$H6;s-TzO+X_5*pJ;%`D{z=U#@S8C{HW@*Jm^1 z-za(Bdg6s%rgR?yJXkGJH2+2wMwrY zF}wLa#cR*`xX58|9YUcBQ>>EBSA#&6gbv2_~n60@2yQy#n$pBeaBJHax zhXK?8hAB!81Laz<_|<|D-arr?6T;O1lq@r@)ryhBD2IkVLdv0Ckx!1FBfvl)*k-j< z6A9Idk;6cRYq@2!0R;*6sSh1F3{D?LHdq8P=CVclMh*ijuGWgkiT;lGc~TC8SF=@e zLx6}$S(r|8wB}Mp)04z4YDpzFmA9h*mK`J!mw)DXC#o{rxSRnx>${>m2lQg|bsTl{ zxLi0wGa~2JN95QeeN!rI-12=Y?9c!32YtX(F*WBXBy6t2bPI2uMsRE`*jV?qePt@l z1>sR>-R8J<6&8Y2VJ--sHPW78#X_VOf>dEe5cFtLwLw>d@mRay=$BqzoASz)m$jXs zonO%A#mirng>Fbjl}$f*UA(*HGl_oWu*h>_IOQgJ3slJ2S-=C3qb4{T)tk|AW}2Wu zf~@2mNKBFrC*`($JPGc@8)zG%rVho~*S;8Bttn7JiQ>!2$WK!OAR%U(?wHtwsqVsYBl3Lw)J|(wtmyMf9`MnmW20|kttr}^I<0dEHJ!A+_A&JGs(Th2os6J zZPH52tl1oiC`7p{1SJkP!I)VCbEc4l5TteSLm$e_8q8*ESCly14-H~+!K9u}o=A)p zB@XvPBT$Ef3|hqF3Kp(DM~TB-5MvoMSFn}I^3}x%-qR1g#0(foDijcW99kS?zZ$s3 zI*RVN1JPJ$%ryU)@|CPdL6;e}6<~vl%mf%6L zHU+=-L60JQhg+Rlqb>meBH?mqxG@zDqI+RxjeQ6ZNvz!WiPw#UtOG*K4Z(B5|2?w= zFr>+E>b!&G8_a-DzWgZzD&AixVZ^@E-4%PxXLsf9 zmNUoQqS;-!nR4ded)FI3|5t+IB=S%h_7a#R^x3PGRl#MI&%+B^FiCsl*Tf)(VC3@< zq7_UMTFu%OBcBHiP%uebDMY}?#fp*7!-}i5M$M?B8cAyiMm~=U2^l@XO@TzDMf*@= z*>1QPLpgy$#QcC*G4gp>v7nqbhpjq5A(--c5}6vz38M^t&7@j+g2YpNEQY1p?>{L}A0VAbEh-`=LS9X%LKbl{v zOa=t1#EopH{e|~E?T_Y{YC15wS?c|{9s8q7RBiJ0Ema!$9_hV@?}1;J52yPc^(Op2 zdfK;ztQuQ6ws&d3(`n5pE5~2*{onNNe=YK%YL73ESTT=IHM@rp+7Vz|r~`wkT0&dU zF}Hzef5bXr%Bmsb)@1Ck62kbrU{4{o=-o;N5L-!<#LNy!JyS%O!8MzB=U%L z$B?jz1PN5|B_m=5Zdc7uT+JmB>mFm#+co6$B;iGTX>AM%r*5#0+4gmN?Colz%@KNal{6iBWY2bG!uSk1T!{#0c?bw zCa=uJv0HKqHZQwF7&52;RiE`?mPFlAgOv}i&QyC{Uhmg6?@q(k8Q*v=|GyU#a?FLo z+ba~BR+?xF=0f516bgUx?Vs}T4~Fb%^jG&x6w3A#RX>DfC`EzCwqvWZJ>8!hE0FCe zrubbx|0mm1r1CBw|5Mr1b1eMXo|5}YkaXQaJ#`~?v{xTaC{{9{u!kC6gd#KDM3?qz z#Pzl0pgk=HQ;lGxp7@0tPK5!FwW@A({jaVuHHMI*HUH-;`rqd3W|LB>#^tpw|BD*e zY)h}vmLg(c)b3B{eQW_aUGhxG)gs3>J+U-Sjd!rZv!$Ia?ZX$IvuV4pkg21 zKwTJ*ZaR&Mm8AuHKU*3px!h>#E>2PsoVNMI0k&ED?w8(8pCps2M6id%rd~LhG@D;Y zYFxf1S{d2lM9P!xv9|N@2=eVYp_M>`_KxIukAN0bt#=fn#K@|vaOw14{d#MhWfwW_ z*5&*w$J&4!GAX z0PaB~rSN!+>`>-L`>MhiuxChEYRTn~IHBr^(afQ*cmnZ0lm-baSJp@vD@F|)jXP^J z;!yP;kwQd@F?HGKq_wi5XAV&kF|N#5k8lu~Rhrjpy8}IpO)sT1ZSz`1$s_AOjzvuNl2Jyd$MdrT5 zSHCaOp4$N>Fvl9>!CI%~y_YV&;0(7y$F&nX}^-!9-;gEvx5}XgE*`fD>2< z;O$p-R$>j81P6ddB_N1~SI|fXo^FMo6f|IR^yRVBYMk(N@Eab(c++mG{R_jb+t(jR zT$jBbv(7}D-uB1M1H<@MUyh?|Ia-(9|XAt$)pv!oB;*u2ln3hv$U(2zduSMfDD-jpg<*fUr}JP!Qm0|4w_Iz^7vW7V$ncM<_f* zaeN})(dZc$jQQTx`O9{kzvi0D>4@PjmKv47@ZQl&IFon&=9(wB9B{Q}JAN~E{Hy=- zKl#soZ)?Zf=zPCk5u}Oa?O-O_CL;AkzVUvOaAX6Ft7f9vrCx=pVaKb8Qp$0aAbowc zS?J_J4HD-F4U8`SHVK}i^CLVA*l`MShEjd#u;V!Nc29;a2Ho3E5iu z+pL)EIIo72jBg;hT?{EdXv8_H&r2;S*sJWr`Cjlb7Ich^o?w5PyT{PZkeh3S+}tOq zh7|eosIJBj#;zPAsFz0XBoad2& zj(uNvc<~m@<+W-7wjQLc1;6t`Lj9E%8OnYyJw(yr**Cs9c9vPc;}hNA{TF}vH{Q_} zb=#2GIL|oDq8p#(kQk!}5i(2ceCSM+2vbS}SY|c&)E|%IlyBCyP$u59%^Uc-70SC! zxEZDtF8m$|Ux^G68Y}uHRt!^07BQrwHH2&<-N6vDSS+(tqQ6ynj3J8yQTi+-gsf~4J0TMf7Pb^SiJOpPzlLWGv11i$N!q=Z z&#@wct9m3UZ0PymlulQQ)gG7X8s}SeS0gx{66*@kDndmDvL#ex-5Y`MRV`Rj994)l z4;O#o*|&!BGrf0SJ>HN78@fevFgV`Hn3B|e;?ak{@qY-$bj3Hh1#>(h%Biddg6rVA zCOM!}0TCMvQ{T*R(M#5{u*0}+3Ej{Fcor7A1ANpFm*~1|(2=xYeldv0&>Mn_L7~=I z$m}aC)w;moj5=ujK7ghqO0K#LVc%lncT6-BCNBw$w?5;Lb(jq%pA z*gSqva~3lnbbC}F*jhW?)d7{Zbth&f&C%dphz9K;4kvt^EgcKl8Ml0E2M9O$fKPh; zJHKfN+8#~WTTXSzuU<3FbB$Imdf}LkU`3L6o9Tu7%Z$kk@X|%_&;g=n9R~a((@$7& zx3W@KteBzgXZ38>VL-ZYrX^AJ-HAC&Xq9vHesCnAjOa2BUF$IK2gmmS zCiG{gX}yBB<9jN3fZ$O*Ya#tonDWz%CUeZ(>l~dil1oOHIY>!5Yv$V%ZRTJbY1Owv z2;9b$Hghn0)xi2ett@J?XA-!C3Hs%9{HgBOm!dPRe2qjKtrdv)rtcG_&zH#BC_)G# zbJWvRyp%CAg-L*KRP59cs7p-Tj<5w4C*-zbe^kUKm8c$jf;hsQT%to2YZ9rGv<1ZF zpki3XJ(%}%xI)}xugl%j)ywBz_^uxq*3~xX0(~~;b@d8~jd8jfGsa9;TaDa)A~=1O zuEwYzK)p+VOjMmkyb@e%4x6;*To!wejpY?XL zu0G@KHf>0lW2*QGbYgW}?M7Dv4k|VUBTy!K&^N2Ai#PsgmorUM{b|+k*O;HO>Iy1R z0%OQ9{ISlVSvY9mM|ya=MVRcpXo&!gxQPilZk&b57I$ZJz8mQaeDPzob1t^>OanO z3b#k6Fzyz$`I5QC>3Uk6e&_3c`ZxZ!08#19=$bnr0u*!C8TVP4yW@%Z9FDSGo;7!f zb7AEuxH^($JDHMt(r9X$;ymJ7Fq^yMcE>S=FraG;-Dm`}x!W&SN44GPQdY>5J%X*d zgTD!7^=a^t zGkaJ4KoZBeByv(pzQ~TO`ZPydWwo8ryd-8${_#;a>!ZSTYCi0ue9C6D82d&>m$%Y3 zh3p@k5y3-;IG2n4I7WmxP-CRsSo>e}A2+dh{H2>MdIAbP`_=>$di5*erkMtA(QMIgrV{>lKI^Bx|HC3PY1l{Dw&;t6 z=|b&8>@TKFppTbTq`*nhqC4^mqa!d09EKXSmcQVsZu zq-&SD%YFmS-bS#(a$%;guoNMNwjy(!BQ3hGSXzuQw!&)bA;`?63zErvJ9* zNQ>@*g?{(|x^%71#EN3kU2?YtW}BCd)+!R1RF^FlJtUW;U_{NFaG+K)-yDo;Qyvkt zZ6lqS*BrFy#gMifBE<|>AGi^_Ok^$!I~$)~1*_f@de2t<`mOr(9G^Y;19qSTyB^^Q z(02ICI{!{+gH5fZ@d^mkDjLoJ-UU~h~<$)ZtNUEC0#sl`^s{BE(nS=<&Zn= zpe`E0P?0DGZcity$SR+VOoUG#D~5_hhI_rj@E;x;!^hi0hl<2Ga+;9{mNCS!VyH;w z%#Y5(u;GZN>d>JgL70F+NkZdx8sK%<1NwwRBk0*+o_n)mQjs{xkX%~y7$=!jWckTS zphOyqe3pZXPZf`aFs<^_FAbZk8^-453b1I!R+ZU!^r9qhi?U75@{%#>rt$JLhz4`3 zAlh86=7X3)w{6V?*raL(PfPRG)_0qMiEJUAlJ4u2%TY&|R4x}(a9u8E+5x~cJ~#J1 zP1sq}1uI)?;BuwF7n}0)NQ={YD`DZ4*jw$n9#BagjW?$oZ!g_A?iRIHV@@|-PrC8h z@BQvSv>ysiU~qx)V$8ASJi6@vYIRNTA<;8urvHWIMYwZr`d?UHtaxtvUszsIrI!!? z3(LzS;T9@M0tAfuZ7wD(FFI0AO9FoxoFL!W2v)&~cg-A73arC}icyItxzr{X@V#~! z6)Nt9v(p4~aB^mv;Ak5yHnv#Y^1%(Wn!Y;0sQ;D&6j?-fF&k|gidqOe9-ma;R=R22 zMBCqtR+xj6YYa~A7Wu->hb~|L_5bUC`pKa{HPz;!ODPGUty^HV7z%F<<@S|@E-r{T z#OgIigm>a_haeSb2ztE+{K{>KBPDsEK#ivr6m6YSQGdM#0ZDu4-g?bRC%0CW5GqhV zM?fg7UUL8(rMZ~?dJW1{?Zx!hYv4%oheUQKexFO~H5f0|;x{g-*P#AXpXO)!=Y7C}SNjYLzE0+vJ6x|Bt4Zy39EiADcb-Y0ANalR`h`zTniSsI@)_?Kv&nks zOW3fgjFb)1L8bsi(1&HaAlRnaI|ae5rG0rXy~Qp$>=NnH?$ z7|j+H>it+zG^qB$r z;-htNq%{SX;73<*03?BmRyH@69Mrib^Mj;Pd_FUQltTWWD(a5g>RiLy9xjzA55$!@8EVewusH&lIYn`jObWI&|fhp4O}*5MJA2g~#ijM-Q_0!ItWu6aznaG&ZCC zpgV>H|75TmEbVG2FMgxLNIX_{SdRaN4M}eMVh5ly36#j zD{yQ@n0RxZ>G@q?ybUQ;pn-Nv@@=Lo8vhVoR1Xoh+K1?3^g{&6wlKnfa_m;$Lxkhq z2E=e2HeP&RbFBbQHYsB05iU0Oo+K6Xq~gTm8Xo~l#ql{J2l^uw zH~4Ji6Xtd_->t8=mv1f2dvQ7&v?f-ze9hN1oz7;x*klS7w2;6vPUjDSc87fao9%+0 zV)^|ys|6qZxPA!K`*S<4f3sge-=_UHi_N~;zwuVEL$yE7H{buwZn3F~V;fq6e8Jp~ z8R8AFu$Qe74oHKWLbZuQ&unC0k5^v264dup$sZn%ECP zaVbm?5h3Cj+N~2uA=KxX<1^qU33!qy#jsYfVvf(?%kUgoC+AhwE9Ur&m3J{kpqMny z=~%If&j1kMv1wqsv7wqwyPPsx4~^>}<*E;^CetOgRf%h^t#dscJ>MO0qY%T4D+l|D zd0n~)<47@?wkC`#J7uvZ>B!onSNBI*$oOjl#MVkyHwbHMY>L*Z_UDwkx1Ba3&z16? z;D@(yfqq4UW>_LMbl4}i%9z6#*=ZbSU~6~fbeFADTq2AN(N0XM!jE4HiE>GN(zW~I zNXiE3y4jDKNBsSOZ6Y8 z(rDVF9@=~yamtKtxIJ{kxLedl@H4vMde99|zu!OnZSM#YGKDF47Q}!j30EjC313C~ z3bu|)%LM@z6H8(A##fmhEE{KusYUGc=YHI`?g0zS( z7?3@rLtqiBbEG2c3Sz=UD@cu11XoCRYr2Hk#(G66D;L~>)y(oLLSj=LT3i;FG=L@( z#hBn&Eji5?hf%Gwy7BKd79s4Ke4<$XPfcPsi^A|%4QXG~Q?qFA%6&3=w3?9G-R<`8 zJOlnoI2w~ed2wjN&MJ4QM_9K_NL|HT{m~p%yT3~VhPt%^47$E!`OIg0W)#KjRfE1^ zz^+@Uzo3IbA|HHUjUPdmeN|~N?0}XL72G07Z3IJu!B3Mm3urKeD^w%ZilM>i@mLBO z3e0%G;?SYNaE^$erHX`VTc^t;G#Jitjk$AFo+#jT&{Uzpc=a+$4uaqyZedrU!FXtJ zJ;;h+>u-Oaq`|P{l2`#A8&r=i zMWqiRE&p(V(8WGv=7=~hPy2l6GMC2gLTSt%-2t6<<BxKQuw*^;Ayb(Z`e=ykMcH#>`l#RA#GfObNnJPR<1=ihZ2)d*CnIsvi3NUX%YC0{e`z6+n9zmci7(mV(Y5CcqtPe)1 z5a3JYbcLU&<=haYdMtukIN*va!)t{g)uRi-;bc7kJ}jF1G%p^4lKeB8RvQ=%(p#ur z_4-0kvV10pb#xdr{#bD%1gReV(D1`mJ;E>VFfNLEERxQE4utFy>Ji>yEGg>IB>`x| z5N2M^7Cp|Ddn3oTNiZXR0v<0SLBS= zoBQ!dXPfi!P09CG`tj^xp6V3N8SC52SdY6!tz$iBtgk0y{gFTZkG}O+hOBQXvU85` zIqMrt@})c))+JkCWE^Y|2kOoW&x;yZvS7jRci7ys_1zth z#1_(?Z`pTJS-@<43kL|Y=9avQbIjHk*hAvdn7rCsQ8)xE>l^g&2+7V{-_4%=`gA71 zS<;-P<|NHY2zq#6)fI-2j?U20HeswJ8;2|3Zfz`=OAcCeT4^<(5yBLsu3wx<_ozU& z?O}Y0Ba`nR?IJZJUpN1!LVal;T^@GbKIBA>yBGeqS+v=tRHB9VD8~OHnwgV5*PrZ3 zd|~O8M%h|W(owdqHb+DzO!oNGKf3^TmoLn;(7-L4U4Wb63;Smu_y2tBXGDHdZ$=w{ zhh^V)XT&r{h8?B-6J4?kFky0*TXu9WwMH}P3z$l`?C7}HB9hy;r=Q9$K(Xw7!(_4x z5SASawTG@;fDJln#a#EzeUVxA9@7`Y-Z%G!y%ToMb6*%uxnvmj>2qIb z@x%zKc}eg?671zdoD2&R6#0f!$R4!OL^wIlIc0p7~LXi5@1t%~nGndGV8DdmM;ati%gN(|U8;li& zQQ1mOagGvF`AHryluWcFki$k9mL#JW4fUQiR4?`E32C_HHJTfuCm(Hg)B2{5V{ zRN3}GXES{Q+YKxrR@`tlT((vk_LM`HSwvv$RJ^Qx1y_P$j|=Wl`NKIPQMd#yPm+%z z$grmf;$lVcgdq+Jp$NgsOk1Ni4LT+tG$*O;McQZk#J-FzLNc{J%PSjSHFzt_Glu;w z<}Ta7uyyiD?Py<;Qdb4e*FH>5JLZFyGii<V2YjZ#W!WfulxhJ!`8tzR3q`44H?=1jSWFioffSoJ$JDwKhgmK9wx(f6qSsFk+Nv3Cdfu2Si zDvC+b?dznp%(f;#k_0tvFx~-t;O!%rp6Uf?P>AJ(i9ZNKU{wW0k3>l>E_VA3{1k-E zNJn;#E?t@aE1mWT>m^|cqLUU;@FIU{PDbltuC*eb%{yWpk^bF)6swmMMdCKVcs_Zq&7pZMCZ z`-*ksja65+KG*?73Wy3h)_V_lX4aey@-oLJ(MwTE%LgfD0nr(&-g|kaBuO z(#7>eaU+waX=A`y3#NOB$8tly3&pOq?oF=4A=CrAmk7cNH7h1-#f~cNY2sD4n4M&- zfc|Iq09S;+Seu|h!xEOHfw-tYGI4kWSIhr}>4;CdaBD)sfb^J;s~QjYf!e=7v>+bH zsu+&Xs!}C_HcG0X1A##ju_7lDH>chq>%I;f6B5Ri?GcwI?=R0x(VZ<-#N^2nIA7_h zmW~sUJApZ((!Cw338~-4@uf%`o1$r5_o4QcYPg6K@$0TjoOmC>!S{?~y&fFv@A!o8|KR^Drnc+N=orrxT6fiWVt{#LZ!(A_5*Q#B zXrs8Cz(Da4vyW(wF`}+DOgVvpUR!i&Y`Vk#r zn&eZqU;NyBeXTOFHji``gLzzJ*BhCYD)OK^kc|iC1Z7VdRDkELn*eJ3XVUOapwey!;5^2_?=T4 zLNI;x(#03N;mtUWXJ5J=Tl9(l-5+?%=LB(KzbyjI9#A^89Bby+>S!w4qC*(1ZtRn7 zQFLi+(Yn{Hm~D~adT6v(9l;#PpbF{%Ho0vN10Q1;ZT3<|g*?rOe2HVcBG_@I)#H1Jo1D8i+Y=S}{kP<77q~s;u!J>1EdY*knI|0SO>>Q`(i>()Wl#3C*_5i>ID~Nsma|9ra^nCFA1=M+kLh8!k1qT zsX22zXO17f@LUPeU%S!z|Nd3K{;xa=9I(DGmuHTF?rnq2Dq8n>1PowX!5pneY`qsE z%PO|c(R#$zfWy|&V9S88)`3J_!k*fg?oKO`FVLX*RNydu*_f7SeUI#Q8{B4NTB3De ziMkvFRkU6rYqURhBI{H-i^v)nxeo5AW|zPwkmEb<89GdE;~=Ks{>-)cl{_8m#_!sj+KApGWFK&ap}XUK-fc^FLk zW)FjL%;sNyV(|kJ#{d?K44JE5-9qx=2r6I3F@1wusCr${X1qfXbS#Sfu&=yR(np9W zLoP4?t2H{TnO_S*s$O65umpuJlY4#hGMPpxf*2`-5P^alqc4sXnK3DXm>L4rOTkrV za0^wh3+|R11+t)8!wGoRIa2kyAfVP9O9syx^IH@{6Ni>K#wEcv2UUF)4Vs!HSs-&sqhrQT36N2HnxcALQqf_&a?FoW#qVnlMo~o{ssikbpo;!% zfSz5wYyaZd<2%SI=el;*z0x|Ki@h9#R+V2Bc^EOBY^Q9dcv6wgl-y@2fO4$cRa(#O z!HsBXM&n3zw3OGX;^>(28WMjh=1aWv5JkCX-&kkAAT`z~jk`syQJT>>*HZ!gz90XP z)khCkKu2=A9=7ajWF~XVZp>T{YoM$fjA9eP0W=Ptj?3xias~7(Y$ugM&_;m~W)f2& zuxR17Ii}j7^8r901YbS$b2+AhmeOI}h|)Urb5(*8{thfEOeMQQ|)nbip=ek z6y%H1)L_aev&W1PR}GLBwbDA`-QlM2==1@ayHi&{(L}397tV%!9|v6kwfud<)AXC@ z>C|oG(5749|C!>Y7cieHQ*iylVw1 zlDub5tn$H3iPcVG6Wt+G0IxK<8A8RxW`&^=D%X6#8bbw}j9$q|j3QAw$%tcA8xpx` ze~f;s0LKuhFSy6*C!aumo^S%B6~?<0dMcbKpXLf@+8kkwSn+eH!t5{1h^e`tS-W(r zB@+Qpe9!lM<@=;WfOL7ZTnuNKkR%ac3<5^6z@QZT1P#{!bFfZduwS0xEs{iltq5`l zMI)ib5gtZOu^@>66U4wHn@)NFBU~dWi2xIX9VQ-_6hmG9CrJdDAewE^M@m6BE%n6= z8qF|gOR<7#pxU9|K5W#D8viynNp|$6U)vZ40ObXH7VWjZ&4HUuu97$qJW%$(DCrRl z9F{_Bkl8fS8%mZ+i;}SeAhA_=DgH|>56hY3$=Vc8MfW~t$&#%w$ah7_5qO*m3=M($ zdd0(x^Ow|oY|5__mQkjlC(9@~B7H8Uvn9wX@+=5Q+-VC8&$h5<;b%Tq$+eik3q_}=Q=P*YW<^l>E3|ab8Bxc zc3S^+OzB3$2mN>`6GAUZ-;^iDWUw`a8w-7~d%Z%`y5x#GF&{Mr&m(!RUhX%wS z{T=_-?@L~QRx{elcxm`K!g#fH>|7b&9l>KOCG^A6CX-oxq^XQ^T%&ZU9`?WjH&tf| zh`S~9l@X)IiV_f;;QqkbDJ=VLj|Q9yK?#UW@BkJr9GZe_0|td)u8bd*H>tmbPA|ZA z!>GvB5r;-)9BAYg4^UOc;ZGceuk3V$#b_>DyZ~Pl(LH__oaJeUNpr1au8d=-Fn@C* zUJur59M@A-#*e^bfeZ=h3QSXOZ=(dDe)QdGt27wc#YzIc=3p*BO>|!ZohDzttr(MP zz9juV!@N&K zlM^c^J6dv8wPA!R)KMVqJy%8#>L}o8+lp?T3pjDuDrphdOte?jQB^LWa7^{I7*)9d zCkDh^Xe?c?n9Jw{rU}v0eziP1ndNZUC@JB7dFs$x)wuxV?hO`==?u-Wt6aeDut8t0 z6vsFF_AIMhzz!UfTI~mby3K7=xqt)mE8-|23(^5ORAqF?X>&3-l{;AUs*xBh&+4sU zZeNG*M7n7VyUDTIHg2vXIoh*OkKpS01=;`6);x-fiOz-|-OKh;H?V&iN4H2XDF4?~ z9-2)`rb0yPYDjMpcse38uQm8wiD)wOogzER(zjY<7c5*lVHDY`?yG^mVYC9H9FX=0 z$es=L@7e}CQ~UQrTb8dNZ;rnePg&v_A;|twFl(SxOIuyyoww!wc-D_V#kilOORPHgqV`=9WDGhM2J^N^pI`lu zqV|jAaY zx@*-bD{Qstf*bZ)^$tRH%FpAf6M&}ll0#SG*G+_~@E5KGfwsqaYV0;vd5&AYPkFxh z$>06+fBCnLXR;sgnG1_*k1L!DG{K_U_ zX7-tbMYX4iF&R{(;G9KucWA~G52`OwBu>t)8-4v6`b`f?GZe*W-J)yVDvfH7!-t14 z`#)4dmH#GjL3)YVo{l7DU^n2DRH#OA`BXPgBQDUxZay-RD~#Y1#7+n-7iU^ z1!C%5s`u4dc2wpFrE<3&zHj`a&;Rpxht5&}MhE_eaeeS;@Js>k-9pPM_*)r8v;!Qi z!JtuR8Nh=*Ti~o@;u+jk?TXY{MG*0@wzmOb->xXxen+*fR$4zm4ID$(Yn+^9`%Unu zNPU3DF?zuY4F)W#M-d@>HbQ5a079S3&I)cWb(Wvw0kC@ktb^lg-9q#<+i%CVb^=20 zwv6+qO!o`Nsq37@cTFF#>Zg&Vbgq*BYxLo0rI^>?=e~8~-zae&bUw3r5CosRP~mw_ z>RGlEG?4K?+T52x13ze!TUT4(zs1e*Q)ZIVw|1YT})VRAHUFkVrSfGGl74{r= zyCN}BdL^4oWaWLCpkx`IcxwfvY@3VIYE5D;tcjw5n&*%dYJQhQ8VUmfk&l61ZP+}J zD@%QEi``*m)q-W0z9qYs3xs({k}S`OZNrKWF0zA*- zx>JIX-lcLy+7-ZAOWm1JO4R5_$IqnwhDeFEr58=Dn&b`u1Qcm_o*;&)^yRqsOlB>~ zGmYUz_MYM2?Crw8l~4We8D#xETw?Q{H5mGOZOSFhU29Q^by#c7z+856Cw^IVUoaC%h4i z8`|vi{#%YS%KP&z&-=?;Us6mQf0R1{H<*`HQLPP(KWe5ywbPI=tO(PM(&=D4QFS^P z1B7u!>H0DLsOsC$IYB`SAlq^MI#nq4$8@7@RqT+NMCtlvJMDj5Y;$!7bBMOp^>1n9 z^ZsJ=%kQ_9aZTy?82?oDZ9t&jUwnbszwX=6$Ls%R@7;rKTeJEgDm0G{sV0qpb_wMv zEhxx!r`BVxR}>6%g9&snIZZc`iha1oFyYI=pm##o##DEYY;prct!XpX6k|cJB zrA1H(m;`|YLM%{I8Wpr{2#;t|N|B)G?>8Rb7~ePNo^!5!_TKm0%Y?eU*F0;?Z$8&} z{>E>3#_zt~E#G|88+VC->E3;8K}~z?%uU?uvWca3YXntLgKr1@9wsiWFLrri$^FrT zRiXGRZv@l3{_4+s=I7pA-+C~2BcWDl-quqcX>d&Bauns_?t0RW?*&*zd|y#Tz=(;hyX_8GS}N+$GXdYsZL-){du1 zlCwHGs618hufq|M^F;H0E15mj@viy&d`7zF=h<5KXx+}j%1V%~F6E3S_Xz3Je#UX5 zix|I{8uW9^Kf2d&!~0`p9PlEN{3(}#+We-Bt4Kyc{L7?c*;Za)IctXJ^>Qr5h~)5uYF~Ceiy{N z4PG^&B8MLMqyl!F4k#I@q@&+r$qLwk5SnL6R0*%EzLSy&^P?Y*Cq>``LfHe;hQ-93_S0YXX?bf{mC6?9XU)j{#6Tz#b3j8e>q#ulk})Z%fC_ zTYgB#{M5hl&Ts$jNwhIwa_Vb>N22v7n5#A&2VZoJ-?uoW<7ngLYodHp`5iiT+*jlQ zMRkBt37%i=oMoS9k3xuOEmVlDi__MC9?UM!esiGKqRWGVOtWI;ly)1EAX0E`-6*jl zb6$RqI3Dv;#QS!{=2VVz<&+*V=SH19T$WZnW68=X1w%B@DL6PzJClxVOm+G=`AVpiM zh8`~4dNGNmB$b1o7&D)uq^|aI01218SM7k_bl&THeQm$W+tSzb zmLJmBf8~#U#GicsbQ0mF^>s=jfQYa9nb-PyRIz7$y%TK(6$5Nbqd01PZ6xzZB5Y(- zN~K*ZeSO%G-d6fej5I%#Yu4AJHl@K;il>+5j~lpoNz184#Xu-fWW{`)1s3yIa|tWSv55~S4S86y`@JAUZOH_ zD&j_ua0&aDt1=%qY2@Tk*Uy)DzPTTqFx`+#`Ai>-S52f;k<111C+FlFP``!(N&5o} zfqY6#bk_oRU%{R17OC<*6W9-h7Dc{< zzwH2)A{!)5o7eAO@hOnM`y=1}AN`)m@MJ9Ns1)i7P%sHk224rq|Bgf*S)Gm=h^$RV z?L$_kqvj#&(oySBsnSv7Ky-+!z5Q6kQ<=N*kcY3luQ_gT^3xeHIJ1;)a{aAtPS-#E ztMwbHGIIqwSIO#L=f)H~gZy6;sK{pizMS!pV3AI}=dN1)FM7}0&LXL#Ck-X7|4Bn3 zAyN^n!?QSxMz&XZmy~ci;B8mlrS&OPD%*o9IGE_nbis`dRh(>5sd{@n1x>b~S67SV z`+nr9cYR-EmGyV(S!LZqR=HzO5G)fWTd~txFk@^BWW6$ewB%ScItmmSi59K|L!|8@f}v7zF{my|)&rx)h-a==Oc4aHrc>_}J>e@&rrSNfH>uQAYR{1xtO%C6m^R>~70**Q zs(UPAb&UT&LBFR0nxB8x4E)@D-P`?fWX{wwOI_?0TNhhEgLelSG}SU;23kG6fCg^{ zH2B)T_vha8)zOonjEoK%G=4@5lS7|8^BSN}vKBM@%50qr9?3`~f?MJzmr@o_v=n;slcHe+LM$7h)cr%H%JTG z`g)Q~fVd>u9Bg_J*bdq|B=uRB1n<^LZu)7zVXRPHkmUPa5<`($Lm*CO+k1`@&$=XP z4Gd`zc7gRps}1%QUJVI#U2@m0NPvjQd5@Ayd-VK-jKqdC$j4^h@uZVM`>uAnKa@!S zJ-~wE9H=9bvo*0q&V~~Uab%?!&Je&A8 zp7eOyrpdx+RwZXqnl8e&VPQcI=Aeh|8zc)kHxq8Zh6!uOhKD@P{I0AWk4q}~3;sVp zo>o_!=}Rv>efi?UmmaQX7(8)EOb|!~g?Ax^X|R7g zeF%!V^Fyx*_GkJKMH~&jLr@$N6WncfTU-q5mmNV82)J99BFneU5 zbkP@c`3Re7Y@f1KBpZuXdLw}3F8RMOWAQ0}&(HDA&?ibHl&V)N{4egfIBa?&FACZO z?H=r3NH-Er3+d}e`7aS@5zixN$_80g^)DB6lQMJE-E2G|@+p#}xSl-mvtJDtl zZk0`Z3!V&b!e#r)mbA@IatI!bqFTVbfNmBSCJ_TRT^O6nuu)dtQhSz+e}(#Z<TEQGpquJ%8K|1FBwt`j41I?il zqvNWrtF!puXk_gC})O@F=xw=9I_b zv;y}7oi+SY6h0oO*`z!Kks~U=IMtG5emwei(|!xgGe4e5yQs1HZ>H%-?pU>dm+41u zX5NG@I$#F$uI{~Yf|x;)WTEkuSJyQ!sAfl|^eDTv?D*1&v$-$PyFZh=~;Zxrh(Vr3uxNbIj0pc;BlA&^U8)wS5uS_?) z;GxWVgNPe$w(7kQ6w_}jqSqU(>r{}4+BB#O zL9y{B7>wQU%Qbx)f@1ys9PwBA=ur06z%vACpKU83GvH=JyjWk1=w_FU2`(L&=~~H5 zkrNYKh9s$*eNFQBNF1~ShEEgMahjr=T@oFb!~l^@=YUALInVrff?8anpGLBJK80Jn zJK0n|Kl*Gfu7SoCEkpWclS7`0aeTHt<M8r(n4p|gX5tRqY9q}|zB@E2EIIT-7X zlZwdsiuR>y#o0zSQhF^3UHWD^g_tcbKQ|f1wARqLAvNYs;bL270_1*)Nif<9$)dM?|AF;!Eo>R z?q*_J;p-ybl>8`FaAiS}Z9Nqov>PAu9D>wYA?SQiCzt-xkW29Y03JOKHWzvAcSYuQv=f>+>CdBo+P zoF!uDtw6o&x^Kl;*=lLodaRZ$#Cb^Rh4I!hR!;Fzd8NRx*9DBS4^kwN6TIy%;Kwa{ zfo%4eE|_JnDyJ!o@A-nohb`8+4UB07!gwp?TLi{ZL-SwqeQY7^P)OLYS(s&+@kBu` zXFM54qmC6RP)b~VuqsHq@zPa)!6BGb1+sFO)xEOsvroSu(B7+deb(_=-1S)?wC+9k z^dET-uv)eswjQLB?+-8YcMmV~cihhpH?Ujzs@jVuD){&~;`5i!zx4d&!*>d7Oz>kw zj>#omiKJiQ++kbf0%kuIM#UvT)w^S!Smuoxk}D@XbZfbb;N2AZrZ~8HPldbkIt(?( z4TPkx^rymf{QG|Tcm4RMeb~foB}0@9r@C1x^=SD7rYS_C2&;>q5dmfuEz2}E)b|iZ z8S**=t7sWuZUM9Gwg)S|hG34CHO0)3b&G?GlM#YBS_b4;d9ik#kP}vwfE+D@;flP7 zSP{5q^{!&Y94%wgyi%aT&q2+!4*jciJXlrH&cy&6+OL+J@81-Ugdf!Bh-|fP*NvR| zZ?^<>9`cRm_)oDPrN<-drJM6f=;pMSKh*Oe_cvAZ0&Mn_axq9RJiPqEcRc;hcZYEn zTXgRQ{PVkui5`&Zo6nK5?7T-rYxZ(HqGW*eBXnc2_0`MNH{Zzu>&LwBb7L+LzZ>>* z^xls@qH{9OKlEuI`Un3^*i!X(y|iuKFLJcbtcE)4SQaOkFuOyKf;(q%65q>;=;A88 z&RLw`djWxEn=YubIEC*89zU{c9wOoVC^L#dC1yF}p4ta-)8 z)#7bsJCexADOW>Jh?lA2a7j9+IJQYb>m=xOd@zDn@Ka%R%liuyBI012Z^jD~4qUNu zO|Y*E#yc)YI`1Nm#wLhpBJ{1os9`%m`&;sU<-t_iHAhh|haKQ4{-*CiGBtLE0rW>i zTlaE2BDK#F@ao5GZmXB+m1(N~;II7nw|pQdjI?_oIXsTTh9Y~BQ zacH#hwrwFa)h=lDX`o*bt+waLu-64K{aw3_QDw40ZE-6_^@O09 zYL^59<_fiORV8lYS389 zcCu7Ww=pgKIcy-kzL|45-*CQF#vbju=NN7UDi%Agx5qQq^kZPg&o{jG#!qPVUW`1$ zu2T*BPj6!R)@U%#_ir43`~8m%*zIsj1TtR4{F4P@W5vI~(&v^4WYAc6gy0sGxZ+WV zAY&8T0{H{cwrq(&J+T#JY*GXd2UeJ|;%3A4HUu%Tq9{D;A;6#~V}S#|gu4A9C<@QJ zF%HN^QaypQp~tTv3eN;V?qHM+-MUAGAPUb9y*?@XJq~P~_Hk%ccrMuiKqJQn;HJHr ziUs1hJ85P1xOax;v?hH`+eCGx)6r4b#R#;MWPUm{E^4=+pnFHJ9uH{fr1=pB%)qq1 z=A^o%N>bID8wG1>IaQwnARpZ^rzGuP`UP|SVwh*HRiY}}FU2#`k?*e0Ky0y20sIu# zn&qfU6Cpww*QC9e1z!H0#w;ymGGdmhWp0A*673EZ-RG;gAL7d5R+P4`u9QWctPa)s zF7px*UAw2`u81>U?Ge#ipZl%f|C;ET)J(D`FDW(8+~Bl-3w+uwv+xK_Qq6fo0J2e&q?)5X^-@usZ5c zXmB<$7`w6%2={=6KqO*d%t!ljoOl*Au>IlDY|ix?86%+)zp06ilN1NRCDTY~j9shF za?(Vr$-5c)S6yo;5{f7#KB@GbAadO>+uxjwTwXIMf$6R%nV$|G341(ni*^8qRBN86VpZ#<(E;)gmTJ<^vyd6O&J(C>J?I(G zwF?0Cyddg!W(L@==3ITcggJM|hCA;OwKi!9b8dzW_sw6vd+#p79Ie8+U3X{!xLe5! z%c=AI8S%6nE@*A*5JcivO`&~-=p|z`Kr*HrDSJ$xSARbQGe!eME?YrRqL9=ZPfxoZ zheitx&D(y}wo25~?{?mja{$Fy+mb`O?ki$={8kdC7+D6)CCzo5Bkj5i9*!$^2eKQ; z*%?Am?7B;$9tOjYlVsgXosT5R{x6rr9p~I;#8}v)CrP{RlAs?@3?*D8%M|;X*R$4Y zn4>-*1jBkExbHP)<{^(Qzx$!~>wzU_?g#I=JK&TWf&1{^C? zVw?4*U6FB-3u0_E0xSxGtE<)VS%2c8+n{NrbXpys^=F{w)(B^si>dS{X4xa>L#?>m zS+OgwM&cqrOK_BFb*OJQCbeSdy2nJWB_)U8#iE#Te(RzV?L$b*KM=KMA6`rvH!Io! zUEJtiN{lsC-q%*`rFb6QYZIuq+bN#gyF8(luAK~;xBQUO`)hCevLF7}qCHzZcvpJn z&!=P%s>5xfC8ryZ>QJ=M@raRU^7FSf%xNqumf|@w7_DF~o(G@c8abI}#VQ%JMn;VK z1i6!5j;Brr$p{6>8acJGrl@d^RWeAa3|T(J$si==2~}c($p_cRom56%%c~hei^-s_2L_P0*>8GbqB`z3&3R5LQq^G(9#uSn zr4hNPS@0&OscYC8NDAR!y*kd1kLnKhqFuqI8yd4wdr2Eho3fuBrV+UQw0|mE7Kf^4 z6}X)L9Xdk%8>PndtTP(xGr6#VrU(vTiF?mEz29JS#>77fBi5kSY+f?y2DPVmfiMgi zJSP1x8oSL+3WCWcL7k?vzA-ekFPUC(FhsLg3qP6@2;tga7x;k-MB~C>F_1)!s=Xos z9+#uzpu(Yskzrf)73?egzTlvyHP`TX~6BLE(5osWnuk^L{sI(a*n|XyE5-r9MFQ zyFnxU!0!hC>c1O)%)c9~=)W6&j9)>#!STrsNIZS{7<>5X6z}9Fem5iS{b+%%WT-7jnKF{)`hkvfEsAc}O=%oVyBL2!VEoeEnT_ z(Lo87``4{6I@-uW847`Ve{RPKcl(PjqZ_;JMOP8kL7?8B+i8ECZ+`yW?xL&EogJ-x zzF=<0{=yC&o1jC0bU{bfWYKSWW0%w=h^B?vu7tqY%)HZBs>@?l?qjhX)Logga)0z- zRfJpm!%GjBmyiA1=Edj1N6PBLyISA zg8LQc;&4SE2irr7C+RD0kpmFxc|wY>SyA2_7u<9@b_0~p#FkWt7AtFl=v_n9Om(tF z?XwY7PqIj^89qaDb0SY_T5{4Rh1H3mSk?Xr#8CtuU31h_7@zp$j+g(9Z{m}G=YQjy zp-+?=N0Kky);Hg6t=e#R59vY)1@#3*x=<$Tx~{C$PmLK2g*6)n-V2nMD&HMe`Sb~g zk*J!c{AsqyhNa4PGgZF-`2YHAfA?!*zO!b2JYnN0Q;?A{3SySJL%FZ6ckx$R1Pk#V zF#4@v&QhZxN->I)RX$V;_0XvDX)?qPhVYMO)(?$goP^MjFl1%(fkKdG)&((ek!TlO z%*Ll^h9J$X3+@jibwiq27c5=VNVr=cSgcrQska@H_c+O+!eN!AK6P+ZD7goGv~4V} zI!MuC~ZA1d0HLVwIY&+2ufF!s|fItm~dc) z6SuQnGe01ti}dJ+we!QKHS-g~*V-<}3TN-y^CJRUN(%{KX(gFxRfv^@gI^IKvrHwj zh=Ec;BPj`oB8m82pV1m5u$AOvxkBpkL{pt^Tg?;!`eS)Svh(E=IDE5@e`@>46%LsK zKC$~L;Oa5Xp$Vgk@PuKl-XTY5h?1&7OGw6yrvxOdijb~HU?+2o(g{xy*h$k!M7W$q zbWVmrQde<0dV5NQiA_<==MLYr*L}k5_B^8*ju%a}>&A)YTdU5%@6W&Hmw)hYMc}9B z>4Bea;qG-9kV7SoR5}$D;uZS}W+5!nny7jOe{eJr(wvoGSR}0I9MZ=%y5JhY(0Eu8 zB%WFs==L@=E)E?E4>^$yGN&3-33FF<=+JlTrvTVz$ri!a`oscjB^c_Cf?HlS1XoBM zHiBW1)=!}ZjGkZ$Vu$u(l0~W{CAC}~J6R-1u4N7~LqrgVH3v@?33tdV%U}+-7&`7y zvPf8Sg-KD=$|&^Eu}DXsrE4W@Q^lD%Ou=BP3NaNA22-FV0qTM1Wp`d%ogTYoHYJtF zRS~7fp8Pu`qbGjU@1{f01x)%RfJyE3p3rtZWx9l!cNb>Pdqk~4TEfhm2{XU^^M37n z{zMpc&9=Hx_uT95utn!PGo{B33wW>w_LUiR7mSg|!1Ab355YQ{i#STszG#(i6QDVp z8?%woU60~?b?BVU1;-{4op3xjy0#IlvblhWs%hJ7*iBNcn6tTMi1O(GkW(XAWpfb# zOC=PY=xkQ6R;;qQY|~Tok9NJDq|{6;?;B&3ceKQL%}E2Sw$F0DuTB;bi(YWYl(x(N z0voz?%-wp&od2e;*)eCkFGe{rU|-F0J%{4)#^*oHdqj&-zMc6`zwmSGU;F5`!^4fT z`AeLXq`Wi;w9Ss$S52f%#wr***8b5IA*Lh*t4JH!N9h?sAW5QX*s&1Ik+$(`2|9q& zFe#;F>(=7?JM%<=A3G4D;ujK$7wy8Z9c-f^?-9rquPfirxg3QXC)@N6R>!IpP?^x%=#b6V(S zlk%IR`TQ_dHym)v&xP708u_vUK40SN+4?t5isv%{Oiri_0F$>?RYN%3M(k2iv?l{# zG7I0jazeO3<*u;}z&Utov?CtjeY2OhWH(bJ2Kqjx0B#QTaK z&^BQpJjs5Z`_+LjEY>_?I9z-5^lD#}j?E!lmqM5g*#L$g%f=(I(H>nP%r1%dRg2i@ zFvSatdS=`ug)o~WfZi;3=>m3Koxg0y`3nF(HUV13s~fjt zE2!gi|9~Q{mQxsV+5sqC)OZt0n4D3m285ux#Uyllj@^Lv3&)B&Vs~}KJNOaJK6b?W-W-f?Q0wGiwF9y%2 z6{M;zf*m1N6zp2kyqZ-ocna6;plb!<`HJW#!#EPIxOFzEI7g~=7X+%%8nIVEX*3tZ zXd?tq%C`mQ%^Id+McPdl+@a?USIx<0Xi02VlxQO)5xJ-&2933n(&3}`^{GOVhysa$ z`{LjdZIm^gB@r6Yh&hsEemYQ*@K<3#;kFv6F-hjf0}6U&UPRM}(S5n(%_ewCYDS}t znaLd$9-`k;f6cjwZR-qgY))VlZsb(hvM>)LBmlieayY~zOAbflp-G?>{)bZByJ^3V zO+fIX6REQGBXE3scbAgPlUtIR_lVkj*@Ba}8JxsBzw3|xm2V3#KuZapbF{)jD#@T> ztgT{X@MDx@0C{gKErTT)z$rm5S=U8|OESpKv?~slWPrQ0*0ZRK&Q7V=2jCFj{?wZq{O} z?OjST%TTmrrX`CIW?Irz=bLH!B`s$9$u-mS9?@c^ZwEQ?FZ}w?{M2u>X4->weKf*L zLi|4(%>ZuKWWIe>AtxXR?hrvvfQ8=%a))4;X;?TkLYm$$7m4-A+cD z5-Wz8h9IgjTJC@aY|k;wG|sV*z^%46T|8C{GmUd}61Y7`VBnloEm>ivLAkI3RULz1 zR0jJzmQ3H;0nm!iCI*p2??tm@GSl3{h~+T(sNani$O&9ew^1-9hy(gFQNxMCEh@}% z1Jh-zuDM{A+oCP5I?qYd&j?ndWG^^24GpDIA|KTFw^4co#7W4RTr!V~#pBKx2Zoo} zRhM=)mq#rJQei;4P5z@LUVk#;b^8S_j=+=d2wXKD-1GE{BXB#8z)%0sTfbtuuL1TA zMwXm1pi*lv1vE8Qsz*W8+Z90c)(X-Q2ti?~5jqV6q1OR$R8pEr)qQdM%9@Z=h0Q*Ckb)rBuc`MHOe>FLdBJz1~rshA@WUU+!jzk2ae5uJmS9E}N{MAt161K13QBht=_BDJq9Ixm9T9p=s{D7Gg^qwIo+ zBX!&Y7~!k|B37hPc0p^YAbJ)vn#ACRAfs~^JmJU!2ZX&NX$eed2r@c%!D!>d$Z|Ex zAxNWK1VOx`7If?F{vk-C?1E@+1e-C8GA8(9IB-7nqyeRo5tG9Y8fwPO2;Ht5^VQd67Ov9y%{jtvu5*3;wD{=(1b?ndP8ct^HbOM z{!VCK!j``1#TP?r>BPUgPW*X~Xo*;FCu05JKmCFK`}-yd-wqo>vzWR*bZrthCr4ul zlgtpTv%OX7+9x6HPpHaPxlzTLd9t^_>PaY8Cs8$Gb-|c}1oRk;W0h#msp}Ynv~6?T z^G%r=q|uygwmT7V6+<}kwaDlZGQPb=x7XPA$3nKdPi^h((7cB8ynnjLC}pT<*tBfJ z8kBY01&?{|756p1M*=;WAZ{m}-t-f67u;hiTHVIA1VH6!N= zu&w~0hbY9WoGT~u(I%qfsz;`sbFMj++T&?qc<1Lhno6YwbHTmTTqOkUtP$S6YWp(5 z!D&(3(J)dWm~*Z`N&^taOe)q^j8q6#IoHFn<3MIM`=EPG0>LDibFK_~ZEg)2@|rv@ z-Fw>+%&Ys8_GMzePu;jTX_3`T_Or9ehI#{E0AW#WF=)g>|#s!30~F>St81W2Wf1~`}18%`{R6bpnIcJ z39W72o29@yo_@+;9Tx8N)SrCW4PK3)9Z+f$U@OC3upI9XKb-boe}CKo0@nQ-_JRr|ScrPn)D zCKwdfRdO4--m3jvSPatsvR#>QnEW_CJcLb^C=kYiynmf2;H=>6!qBCt+RtSaTi&0) z^Ekew($glr@D!du50QSt+e(#U{n;#y` zHS!~E^=S;(<-R9_1@N7{9WyLFQm1&CuNHzuVirIgNS873t zkX%(A0OS;9(V-622xfhHKud_sPQp3%rdDQs3e>4GY=D@CbY|5#R{C_!iBh7q z^%Q7Gm3(R{9jwCkie? z*lAs~={zfQcfw+_CiutN=P17rzasolZ3x!xxa#Ts>H%#II`#0_9WW$1-_A*GPTibz zYLi=aZLaFs+FZufxheQQZbrWt#@OikrqGKSxr)JCr4Nq^G{^qIaXg1Uj^~hzm^?t@ z*BMalO|YiHS61zONwcPtLRqL*wq~9cU4hvnm+=@~ougVoHUZ@s$0u<0tmzCAO{V=3 zaaCnZ8Bmxnn7{j^%j=Y^8nP%O3h&DKWkmZWOz7U8YG2BTcUMN7_lR2axHPKXOrz?z z{`OD!#1FitxEPud_av-tmGKT#FB9CM#}$VuQg1nQ#@0Y=M;qoVmbo8D9<3G68iI5& zTrj3|pjQTlscP+{1#`ie(t+v03UrATscKv>rgUs2wyRd85(+_|(y?LeRv(($+XZ(k zjJ=Q~ET$9Ht)k{)xFjM~jdFn^{grV_W} ze8X$+@wtX~ZcH_A`POJKrh4NyeBF<}eyZve0RDk_0}@jy?H2Uh$QS`}+D&kjk~5{M zF_vhAX;WNwTXB?VQ(`I;9Oc=Rn99%bF=#gVL%%u*NZ$-Z0F7eEI-@Mx$fzcIxzjhR zi>jU?V`Q_7&zLcJyluq?$(+hVmnOtozVeWzKolM}>pzu;-pdy8bxFVMCM03@>74oz zlTSJMg9zoU2&1ogoiJwsZ_s7B}vq8(zoERt2bvT4SM)qwmerSEy2JY1_P>! zCLU3%*Oy@6W`co_{L~+N$EQdAMvZJ63=~)dChawzX*;qHPz=dat@b@O|0)<*kv)wm zI=J{PBTN+xAX*TjV6>0-1gl_REvONc)3*pOEALsm;M~oI5k+E*_=#<2$b9?C5E(^K z=c7V!VAw$p2KL7-S*;-m!2Kv|4d?{O2?xmzQBHG`buh4&8TF843A&YJmEBJhsX=D^ zU{+8^>K&b)i%VkUfR1rx{iY7ACIgIOmDLPik@2IH`tI8*4$yzg^&9(_+f4Y)b}BCG zK*KV7Fh&Zk^uHK8(1IS9;*Eq3ffAKsPBQrXDW^^TU{j!R&Ek!{&l47-m*Jb?GtkQT z&t+oy)*vy^c;6rRj4wPZ(CCq*SAoXyKni@BR|d>g4a%wljWr?&YTX!=8aS^i&`>@M zDwWQrlZ0RnG*F7s320#Nw6Ufkm;(*eZmi7-yqK!cLNEs!Mh#ER$8vMNn8(yTw;N~_ zjmENqDlJEI$AK^L-5hNb5e=osiwR4haZZ(c2{fLG2HQT8h`Mm;>|Fv4pz~iYpxF2P z%s2jp&kbOMa+Nx;!I(=kNjBzZNln_mGN70X9yY)L&^96$2P4VibqLZn7D4bdqjFA? z>;tEPRf6K37+Lgj!`a~!1Xf%T5V4|URZMWd-JpCye;cj4>Y0b26gW)~*dI0(1J<=> z#;`uLls=uO8h<4i!k`ta#K(#ZH2h-NN-Ryqm`+ZnkLqGn1=^A)P+u8M^?ui0jRYFL zCOEWM$snQifTX~AZB3GB3#H>*aXv=` zFZC5w&j)1a66S#I+#nFX=A;85cH74Rm0>_v#4sv6owHQAp~{H53Fl%Kbgvt9EDSnG6rjcG>j_UAS*njdkm6Wn;0oUBg63Y%i!Pj&t}VTkaF5o^IXr z4b4|dy!6LJg8(hyP8uO~uZf!~UqB*=wVnAXKhlX)S#*vsoUW0GC2; z@n@3rAUWIYlSw2^t!xrH6GZ`W9W-k&^AkD(Xxt{$)t&jsw$qT_0JxI!)hwKt$Ndu- zBo4L7L~hYgz9*FX>^=BJ(H+xEHQ+iI&Hth^%aXzZ(249v zr@^2;fLYQ|KQDqCa^H!YbmHl_h5AvIdGVWyuglcd%0jED`b? z69JV@I_po9x9bzN9$^lPs-2crOB>7O$VPby$pP&dz=Hf+3yF)!sDLm~#)=Y&S{9;> z5N00~AulYfjs3gHG2SFddKgfkjqRnReQV#!_9)g3bzWK+&xT3DgsZ9mc=!{N7=(8% zn@dm~w%$VlMvJ+gF+Hn`?wDK(mh!Dls6{iCgSxVh)7*`HGsF7DWB{#c(lz*p9U0ww zsplbi<}(b$6?d?xg{vCZo?{uSU3VB;pfFPQ3n!(@87EAOu5C=m(@R}=?unPD#m!Stz3zP^ zJ@L*^r�a!duKKPQd=ncM1M8a1M{oFps}!MAP6@Gkbn=8jWX&T#-i4*S%VEiMV9l zKk@NY9C~@oCM&t9RLSvF-aaciN6ZC)5QD{f-YJvG?IB~&2p6-Xj#UEzTT8bF-l0#U zsYk%rFe)2w#w1?aK#1MkN9gQH=Jza+Xk{_9+}Ks=jXxPGbVfh}7Jq*4&&XEA8|S4o z9P{6LdGpu8L`ndx^RQ=sU>{AU9^rVTXS}O?GF!%$}kKK34OdvH)7y?2? z_(c<|r3HLvZIZBCOkikQ8!g|W!T15gP*vNHwd5!*#H71!w0F{)P;8F~f-Xgz>J6q% z6@oKw=b}TO7wZk?SH%WJdCswr$LDm!j3dWQ>$)Gqm^)~qHcPHdatR<`s7iF6$Mn-)`ywJxzj%FH7eoGxyNP!a=(ro}{ZlFydcR90 zl<38E>d1N-hLYJ$NuR~U}gE? zZeGf}Zbh%u*dMDD>sryHf}TN7tL@Omlj!68AyG0*XBTwz(C;9Dn(N8SbWvd9s%sT+ zg8*0Q^;gclbu*u4`()x?L0{2=rDbHx+Wp*;j$Z{b8Kc&@^jiL-`hbsXAEVZqchy%0 z=;*uTnZ9#L_*)0!S+O(6m6Dw+1ldT5PhDj$DgE?1vF<@%YhkwJ8T>+qBwYET8jM!9 zS}dJvB}Qi6aU4T?<=eTc8cqtK{A9Q$`vKid_q>x2rd@|*jVV)fFyV4Z?`2olc13sl zPiEqZ{uZs|qvZ&moj&woD#(80YN)x~)%H!R!nJop?|ch)n*GJx79Jh98@`g@f5$hq zojP=Rd$ymJU)-c$q+B)rrlC4+yIlOM?#Fgo^f~)9;eH;0ok%IDQIohl+q$1(@2Zo6 zy8m??`U93H-qeMA>af)*r;OeI5}-CY^+7LU@Tw{&J5RT3W z5;9{+prPv+txkW9XJJt)Jw{qBR`w?=%wlxJ#1f(uDjf>b^c02NXST-GP`9(msZu8$ z;x_Lktld?$;%J~%0vrerL#hZdk3ZFoTar8M`f-5Bw$;5w9NP0# zJIow-D);zj#lTtY6EV?=6`OLw_>g6RtLQ=`JG5br<2eP1pG!f{@KFVOO&@1(E!I(o zE?gyUQ_XWYEYc3!Yocj&d!&RGm_8tqU<3?3C#cE9j6CuVu?AbF(ou;NI1LEGD|K&9 zmFIjOiPOE>XtRIB zP6T2__gXi=D=5R9Q1g||B52B|fUy^NkB)?WO+^K&kZxTgTwTXgc_AqVKT|_+H}*Pb zv$RhCY7-m29HjT8vO_*F)jFlU`AOTb-VwnHIYi_jvcCB}Mm+gX$OEGP0K2+k(L~DG zW!e0@23{xq7|#Slk1XHw5pIu@WB`Se!{q|fN%H~;71uhG3`cDRAZSAG+e!~KEJsz+ z4St@Ej}aIo&{I3|J-;WqaF#x|nrm3COs%Intz{^j^=V?Z#8JzNr-A^D(gHgg+8$Ca z>tC>uX@#3`$vi)6t{7&Yd;d+L|npLQ>%l;OFrdwEU9AfC9ha zUqe%$UO;}08>!fb?0@I~$`f0e3}N5IFD|+6ftL#MuXxUOU4z9pzQVBIFq1U5JRaC= z5ZyFO)k|8zkh7XIXpe==VLa+mq{|Q+_4PP?Hp6=z8PkM4ww$Wo9=+^W;#fz5MXA&R zwvr(oLtwRdio`er2siC1NJJ`O=Khpu(gzql{wQDkv7<4ctlKr8RUZm45;Q`Ez7{JG zHRb=J87UAwe$#f~v6z%I`#r>vlZ56Ee|ilU44(t?nl6^Uv(}QfXGv;`e@*o8VwoSw z@5O)mvJOVU`WNT(DKTkCTp$B3_n+l7tax}5>CkprJZB&k#Wa78${m=N$Xrg)z@6*b z>DczXS)C>Ibm+Q!0#7#%+&L@C(j3+cM=)dSTg`C zx=8UK9mXxnu1?+%5WVt4%xIR8P@{Cor;YS7Y@b&=xw7W?5p7Jx8j}zorge- z3eyUq?GXbFgP?<8_)Z@N{$Z*_CZ@rkFAy3CAuN+2ejs!T4kh3z?~EDc*F2`QMUfcz zT#Z&Zvl|e;+4uMEl6n*G4pY0HVFYJ+6qHpeVn&{X^;eb+!J)@1ValM51S^us1|e~q zZ8^6U!^h23x zALoeY4qspVFAICqd0H^Go{T_GIZM z{ygdUK0DJ(uiaT?SB+m36U}`1Sz|V|pS{Qob`y2G=nGg_8#f<>Q~up(@LG$$iM1AJ z5}9(8npa-CdkXIYK+rZK^DvTXz!kGjqJ>7#w)X56Z9XW%=>YH7MaH!EwBWwZs{W`- zaZ4bfMr?*`2&`wl`^sgu$qbXYkVa0wJj@J{Z(56ON=g%LTS914+8pvZ9vplBYl;XH++X4m| z<5-DwBkoS@?01R+(C}>Nu#amfxl;>X;X|J_y^O~{w%ZF%(%BWKvd_zL8%lyH@{!)> z4?mgAg>28OvfpL5dl0buz8F&{+gT8hUsaWww%n0_{)Phgxs{jKobH6Q2(g3KKCuPB zEBSX5+x+6;#)Hy6RzcIm1$ZJ)r9Sa=iO% zk*rdUUds35wfg3>sOR(ajji0Ggd(3bjm5z{1VjtQA#Dl8fy#w-`gG~BPp>4av{jNJ zpNh@dCM_SNTdH019F@3Sh#zFwT`(58U$zS}7*WOGvO^lIP`^d!MWV}4L`A(0xG?n& zs+b}jw01#^jya#IGR&Bmp&3CNI<}xaHLTptoQ-WF!VjD!R90arJtRhn%-w1hyfGe8 zWse5b9jLFAV~k-4t+*Y0WCP-^w=`t2$%8tpSwI^f@a zh@{BNNvLA6sV!7a&YZ*f6qX!&?jlq^^E0vYqU37`rD4s2J@{MPOFu$j!MD&n8{MIX zgeP6mo2$!pMJPUT5rA>3@5ujL>dY3<*!ib+@=HC(gSW7;lTQ8E$FH$q1zGBM1}s&y z=FC2-HIGkBbDQXQ$7y%)7lU@!VfY;iEY(q1b>yFoTF`0`QcY>YmyTycCm~efoM9>uK3F*CRMlxNnwMP8-JYue2f5cH$vhLT z>V4PSaW#mzE5M&sBPlyOShlQxUg}!Y4OO>u#-PcO+Nfsh6x6R!&X`b{!$Z>w4$W0m zdxwc!bZYT-y3wZnT6NcrSz1@5F>E&Zy+4}%-gSciW|)Q>xHREohtUF9hVFgebDTkm zK-h!M(<7N>`&rtl;MwHMj^gGy(=WsST-o`+#78*;$O^kU1IUPhl_aU%VRGZYLgbXP z!YXJOx;*RDlrGd9@LIUdeS<1SL3DzMP%;eAFY66cs*?vNN~RLDmz0+Oi-aLiz^(>- zs;*#_4UH#9P*y~+?!9-cOdX-LACPOBa8Ii4rh!xiGDLaj7{r}R?ZTZul(1W94FkQJ z6P|Q=lz?Q7=zM;zHCAJR5S7k@a zm}a5H45od43tj2;a6d}AL53%vl&>f2^>e2Cr4Rh)njtuy*hT3S@+O6u+2=ggDj9~6 ztSFo}g2!b#GSKy3=J%vg&Lm2R{&Xb1TJL4~ZeB{(blTkw;qV z78G`8)o|mMc-x`NV>(BvQ7o z*FwM((Fcx^b1v~cLUJ5dX+0-?d3F@N`z<~-+Od$5mKv@5&BiNn18~WL@#O=R%tZ=` zU3KxcuXrGDOI+&s<0(&UO6T|E_joK$O2e5;l9wk>^Mp8h^!#rck|yO!Hl22h7z2xc z5fD5Y;{hkTjSFxeRe>tZ?J{l0=5Fr<+n;^o$5Ez^&T61F5qtZFNTa{N)RV>)EO3#bKvm`l#c>? zG|oIGfoMP)v8X_ZB%4cK>)NrAlohh)9mM>EXYe^rW}!NM-%WSlx4M)s6ABOQXk`J# zT3VXZ@T+jw8DF#@@Vqnjfx!7=m{zzh0KF^iP=n$7Mt$ZK+bG<%?C*rHi=21i8p;Pg z7J#SJk$we+E65(8ako@~+2ZB$N4*v!Rd|eK+H1WeMx|6U4f_rthxh>f0&7P*&aY5e z2?xjn19TfcE#TFx%S!9uhQVYM@UX%TrrW0VaP6WJeJ|8$XTgh)?n2@1(C z|ALuiv*h83iy8QGS0^%mpX$H_dDi=}r1+mDo#`PewtsNdJS50J!w1An_PECFkUjT8 zaJ7-C-Di(GtmQf@Qg>4-%+C`UvxdSJ$MoKhzCRs&_f3DMMA!mcgj&=OPDV9dG>LDj z2>?FIt;e=+7=A=R7c4?J(R`y^@jvv_7DY9LSB-Pne&Up!IdsFndx z0$RxX%~9c1u-i(}erTy`y0Z{*)b0U}N`7;w6krUDT8+B$ngf``P0F0ne*#zuH+ zcT;6CfR^q|xHB~)hyCTUp82!brNp#f)n!Ewf?^_N&NmwF(4X7oQX@%~*!W=sIjPp8 zPZm`FAD!&5P7|v4?`C5eIMMRo6sI-eA)OO0p^$5LBg0%6J32bclIc1u{e3f=8UO`tf z86W2`%eJPk7$WMZ!92*cPcHy*Wt_(JvCLA+Fc}-_oXakAhDD~+EA?l|4716E&Y`j7 zPiLfElj-{D8h?F%`Bg6>|A>a8aJ7d&_dsh+Wt>?=evsW`(^vOXXia(F&+V$YHJ3HB z|7a`mvb$yecGQ`s7QD~M;Xe}g;5oYoJ8|Wt*bu(omOY&89!GHvEDI!#ofcNM4z$WG zL-gV>MP!VGfwK(}^@VHX(9&g4)mOFxwP#V?ui6WtJt^a8Iw)(k*4T~=`Be!ktYH{# zREwi(sETN%nVUt{)f-&b5vdeHU#n_GMh)f7nXpA?j0nh22J|igG}ubKt1AYK)RVnG zh#VO0R#7gHjP1^m!lzbJwcId+=HMaYj0@o(}7A#G47#7K%Q9YeUxQZc%zH=Z3#mC_bms|`p-{+ zNb)znCAaum6vi%P+0o~^U2%Ef29aQ|cW@z%`Xhz0{^c0c1`=L?ki44 z2S_&T5aiI}&y0vAIhB`(JOy=ny92=;k2Ut;6ATSta=JNHA@MY}B)ma#6SqtSYL%-X zS3Z?5yq(up@DW*N3TNfM#cy26&WY=?!z?ZaOw{6F7&{5wxn- zI|f+%9vaDk_xQu93R7~vNmR_Gfl-2*SM-(ep(9TGk@wgLH$V`r?zd0LcI7E1h4qFu zKML5?+xwW0f4z0``UopYJv^vrI~~T14{lM%q3C)do(7b0>x?dD;iCZHIBJFhx=dZH zwEeOE!Jh%)w^-pUHcsqPAqhWodmOV2DmGGgs@C=_Se^|v6(I8EWt&tP~|HHgkhehU(}wK zHML9m%(?cdUV}5j&bcrD#My!I&KEh4U?7H_nYZk^#a(ezg6>4XoOK4JPaIUB>go^I zx_N*zui!Y;tRMn0Qcm~qj`Ool|40+&1pg|4^*Reu;3oT{x{Ik1Ax<8Nt4}_0x0mGS z&+{Cr<%Wi*lyy~~xs8|OCHm}ESdfA;{Ez})Xcf`k!Q$}XYK=b!udCamHm{GgAZdTE z0`5r%-GkHE()e0)+(&}{z`64{rpKgl@dpSmj-9F@qsc#tC|mZL>F>>IXDG^Wuh#_* zaSR*xAp)i_yS|t~g+90^Oev=j7|;|GvcTs`oY@wt!KSdZ0YPz%kaE#BbsY^7TYm!k zqFLO4k$#+n^*{&=IY7jQQVGK_7Hv7ouv^I=S%XMd&BGE$psk!%q)P%!IRo=)C_sL3 z@C%S}cNe{@2lpoWdb8DKr!ydl;-1l_gA6SWdOZ;!0_S4uR<7O^=?%!5^ZtxZ4 zN1@EFS-Eilw2~~Y?TvWn=IjaLVgf4f)}7?zXM2PTDUjY!1kL2uD#t34-9%V|tu6-o z256`_9|1fgMEIKXWsJzu&bPVx4sb~C?}P(^WfVt8rb3?f&S#O{UL(Y(EW9yv+-Fad zaz*&a+yKbrAF=XLCd*4fS??JoG8FumqoNlwu_U+}eYV6?D`dgzFlE`LWGw_#Wa07N zFiyc!juwd3g5k#xOTc3?V=n6&AMjyYsXxPI(7HmRXetK<-@gBom}Zdr@-g)h*TXM zS`tg4m?C}AN^})*fK@D%bl8^i*3dnlxK}*bxhxv~jph6PT}``{)v5ZFwWaA=RH}3> zZgyX#yyIGbcXckk`16ODz)HzDIiv1RgjM4(N^R(_1JXvSgwd1iKEbJk!7AxC;Q-<3 z2ESr=3%L8%qbL@;+9eW@9EGhMOpY(1q~fdX3kuE#yuv8HQx=G$BN zqo%_Ta{e_WM~}X_=j9ktqNV4AIt&N&^xcJ>OfRNa6Hl}@zD1Ss+AKU1ibCg7YII4* zg^wWiTuk@3-SeeRCFrI7tbeoc1flh#`nOJisOw^{VFqrXomEP~hv&~M;sM@?9;uq$ zzPBXzRuPO_5%Mpjs(XW>cBOgTVId3J5q&I&r`rt1jP45-6a@J?6}cv@%EO zKff^k0rj?3bK@Q34QMBY3-G{zSXkzk;|kQC5pR&M!q881YX z?w(V^cr(13@2y!2kz(M0 zhGsKh)-MTLabn0sJEWsqO$E%~XAJW4wOz2H0u zc%BMZLgIX&RJQ7FcYHiF+_t5^nYa=O ze3q$$I=)4X7!xO5n^vAXY<~jsPoZ zqY%5srO@QQE*WO#z{KqwW+-EuVpj4e2|+X5OCv<}_!s%jd0wLpZ1w_aN@6}>%Th2g zS*Tzcwu1`v@w2S@b@in9$L*|q=FIrsm{?#Y8rx`{QKA`^`YDO|DD<9@b~+x0?!uTe zY>wwSNJW3r$Ql`T%K7U3@d>7YPT;)YkfFXhR^@d*WkVyfSqW-UBQ%Wu%(YSoHw%O4 zSRL+AE;9Lpqe*p@DzROGtCEzBOkp6B6fj32l+&4af6Vvz&oiMC0{beJOk03+)yN682?jYn z=0N}4EteNe>u}m&j}Zqmmad*XirFwlF+_CVq`8}p9SS1ZkZ^|u3xb306#@aw9rlE2 z_aR4Cjef{P)+QxRmD~yU#BIc!;=#Fznapc>URVBQrQWuo+^R}5i`LFBQP(nb?~nktKA-dLEBViAjcd`O0atY3eNcnhqzbRn6eP1PGKyZI$%c=7%0z!nv z;7*XQg+b2iy8e7>bt!(Co20*l=oKJf+{NmbiVH)TJ9;4vGZ)#alPl))_xr%Xg-sWKf9Z+eEw_DvHT|10E-}BxON|7W5wy3gC+KqeQzM)aNEcPVQ~K}?)9Qsl z8;hn%dLj{tj>56^o7Eb56|#z+=B%gWd#u9b5;g&yRdIzHFBb;IiV?;oQ&6#KG@R4~ ziXCWa;#<{5L~rbN^yJK+t)N-MKoiF}%sgUb%f(JPXvC)e9uw1tFS;rfx zliQk__VaMJAJiO_AnUm0xgg!1AqoAAtsAnqnYJMbM5bI5(^6}!Yk%8#4N5?#E?*S} z#m`zIF(|z|B0rQt&Vj=1rLBZ&wyoIGx(6!SuwNQ>9zl0L{V}`?;F31%9BTkvSL30f z+TmPeIyi{Lr}}c7Ly+>P)6yHs3Dtv-OTP&DLI~C;7Da*yd|!ObW8F1iX6kgOA~;#V z?Lna24e{c@tw9{keR}o5nxeHLyKR17!1+}$(I23Qmul-sqox~KfYdT8e_?#CL8#`v z^|i^#+1Y!(Yf`4 zYuNvjn~Fece9hzT?QA7Vg#~GLkv^di{8t0fL~@5Tdn>e%07`0Z-**+hV2wcUpVi%k z0jc>pi*XznEecf)SzGfPVoysJ{;WofyFLJKLkfzr2d)=rF1kE8JCt1rLfd^@z5p1p zTp^FIBP5x?LzthK0XIFg4I0jT4vzzYLWFQO1zfw5ZRW!#K!t=5Ud zBJwQm@4g#^J@y+q2a_;Iq$xr@CSf86TaHz~6Po*TJ=LuiqF*OMr-(`%=iFG$kx7$u zgJ(ch=xwf4NkF@}^};z+-XFvJF%0YX6HI7+8jev(kQ!mi4=7Z83L`OA-htG&sxcQO zN`bh=_)jA^5NY-h_`WN`PTY*9_)7tdt3pHRHDUgH)Khits5qR?ggbiYk^c0Gat@R2 ztvn|xxS6bi9j$j1^E%cAdL>3U-ouSi;OVLc*Utl4g`&UU}nMvCKRO zu)g@uGzq?4O*TC0)ChGN_rkfyW2?&NqU*fcx+(~B=y(W)}Nn1ds--H6 z$HJ0|z^pFH%#SxRJ*K?kpohMIvH9|qIe7Gc{AB|&aH$o^-y-xmg5aV0fcrIJJA`Ao z${=h6!Dy%rs{@Bi3B?EY1ME+yfsN5SAyt$XMVCqQcn_7n%SYLnTuV(gpY|nW=A728@L(7KuRGvkqR6*@~X0o{Ypl+sJ-4dP)p|#yPHpWs1P?K%`qV z-2{xbVTw%e{FJ72pZ!3KM1Sk@lnSAQyD(tPj0arJ$&#a4fy;GjFlsT7*l@Za3?tJ%Jmt+a z=^G~@4-_1S(1Z^OCBfTNV?iJNT>56zm@K5wV$Ord#6mvtUT#Ru#PfOBX&g!DO#i^) z5`IT1mL*MBrTz?UnGPDfeD4Dc8||G7CQpD-3>$?@o}2?!<7J$4GN2!hOc_ZeO)r6x zp`S|+^Wu=nl#awh2Ej-`NV)@=2{tMoeM3YQ;f`qN*?NK>1j0L)F5)YxTu&dS2TG{zS3spC)vn62k;?u@JPuG7%GW zzf4CS7Q{3C#<9LppejiO{>TKI0#X(sp-^Ja4N0WH$RbM1A+;> zm3Wai?gHauC zZqT-jC6>nPCP~ca`%pHVNSc&g-|tSA+UDuhlx2sGow;mY}%mZ zqkSS&?+bRpFC-d~0}vJ`LO{#l4-fO3uC^QpDEx#_ELjhP3&6*C#4h8kI6Vj{<(Xdy zBOb6lu#BBkF}zGSK2}{8(6Yd-98uP}A(cR&U2@-fK6MLwXfYT|W#e-QT0yoxtht{Q zK#z#%?PJJ0iyzoQ05EBFk-|Qhgx|#q@H77_2#3rg=8H{{L)$7X*OdStMLa-UBfHK9 zjWp6uO>i&7XqKtZeW7D6+p`S-tVg8|_B)*LR6TP4jKRgh0#2GH7pe55+UOJ?bnf0U zAZ*zh`B(KG6U1g8aR-$;78^gyM>QJRq}+^fYF(!~`$v*2&5kBJm{HCT_s>O*$3`gn zwt~)RVBP(JT0gS+aaq|Fw(*(B9+AMD{=l*yR1M3+s@qFtn)Nyx952H*ucfJeW)0p= zb~b@8ABZu50>}THb0Q!*5KkJxXi(ToGS&4@({?5XDiE!mpFD6}2tFQYqFC1ev1rCl z&&bX*{XK;l`DO%C@;bWt_~{0~xxC0)dfJPlrbTc4x8(sREyKqem#$ncsrru_Mn?X| z!YYE&@>StomYlpJh9=J8e2-E7f=UBj!%G*>@3ZS3H~Mo=UPCCKf<@=whAa;%$jL+o zOXv(JhcFoIgOrBhM7CN(puZK8wv2N)GoO z(Ta4wU8H|Q)ak!2|JWBuUq)nj@Yo_LWHH+@v)mj|JQrPix;pDA`I=#k{4SZDcW!?D z5bv3`|Ey}3+i_&dwDdnTYSOO$#6V*to+k^L7}^Os0si%WZ4^eWIWsE+r4G2R$3cW4 zyi<1;pYNjxFn1NH4H706hCI-L6qUul6wH7ko5E z8gy@tcVhTtv6dxR*u-VNJcHBO82sn{1o&6CrBb@nW^ypQ1Ms;=Eluwy+qZ_gphWk@ z%LCJxfK4k5WBP&e7=ckF&#F4D+10%t>~X(lNO~tLE&JD2jN!t#z;0Ch)BCaW1&=3V zVlIYU!#U3rNvc~R;K)XQ8F5t-x!%I9NUZ#}mT#87voViKf6r7Z($4H%9o9^Ng;Fs`VEF@P2HZ>R)iuCqfC(*vD79!Xi4Y z0L#JS7TKUwhw3x(s9Bv{Da3ZQ3`ZS5cLV(y(A2t5qhfvE8?o;>-*{7lQrycw;?%O+ z*{FnL$`EYDG9u!*5ZfRIz&b%VdJY$sP?|98B=zy%{O#RXeK>@KY>ukwDz-y7gt)UE zFi+qNs+cC$%lLW9(Xj$y1CBuiA)LY`DkrJLB$3Mb=|Q_Lo)!aLR6;;7;-N-4@}ib! z-sKRG(wm(}NH6j-#es6=5=#nS_lc(sNZvuyo2qSt-RX_Kbxwsd!E_(|LQsz4DvAU@ zt~!{!pLUZ6bum9WZZ_MKJQl%DB8H7ZRO2oLuuEL%D%6DXzkY=gvif?d$%oG);Ii7T z2#Dk4)RTK1`1GSp3njiOviVqz+q5^_|9j-b{JvnRWQOx0W6&+LqH;+N*$_H!m%MMpvVX+ z^MPU9LjSGpA;CnpfM4gdSz&9S!4RJOx>H5vVQQq%9HAa5DAFaDV9m9(sE=06k;s7AfDzP4*Cv=uewNrJTbJ(yx-@D86yPByCo9f zfU4@%jh;}-pR+Ol%yfs!^Zc{AQJIv=ZdMUgtvW0-tLwq4F9#9^P}6y`OQSov*B^oK z4KzyDQ+Ny9;9XB{SP73$Ej-4;U?My-}d2~CV37AoKhaj2es zLUc>U$zowt-huy)Z-ZnC+&PG@KN;#ehl zH1V=fi~h~}$+p7Half|6C2NAYQx9=l)E_cY-D4hJ(Q$^Qxm%mS%<*0u;eVY(A1Jj1 zS~*|_XI*FDGgK1PqKNMDUUGu%6%!)?R%+bHSDuGBPFI#j1A%S>bv=mn}IrgpUR-8|Q5!Ga)%Db?C!srv7~gCQU21A&+Q-VYNiv5bhj|{Rg$( z{c>n^ZJAxSlOM$F>+&MHn$k)koRdteX=wGQ#ecae_Jfjvpy_Okh6DJU_hrr#D#RZt zjthAnx7>p6?N3htBR_O&bL1r47B|mrd6NMUZQLTGonnh2fzU*UrU-;TyVm$Gls)w3 z48%}TrLcbmtWgLI;7vjQQOft>9pU6l1x1ov{vESxWFB-8j%*W}!HR?~Pcf}E90IV( zuK*0^s%#B*27S|ZJcTGza9tw?I*wrY*p1JFj6@|a)Fm9~FfoIG^yH`|hL&A#GVEI% zW_j!Ej&s~VW7Lkjs~L}vk~SH#5=M5d7ZgHU8)&9MO;PKz_^)1C)DsnLye%U?({lkk zcxzKnf-uyqe5h1-x4?h&zI~~Ovbn)>0Qb^~eA28UBdy$XAj9_@|Eq1R9D4lCjxX4AF&8&nxpap&wU(peD3jl?kA8(UuUXTIV%eq%V`#kEWX#QR48g8QPV{a z33j=wKuskD*?fiM2gM$BQmd4-li1-}-?EC^zN{754&}v7DicZ$R#enJ zdSx_RjHuMGCgp9=!>JC)0n&gB@q$w6=R^${V|fPHfb6zz?M#9=GFUbqfeM@<3T+*I zj@MysN(xd?9gn-jdM-J2C9&FgS$54P8ipWM+%D;&!iXWkEJ?>H1zEm~;ddKxP4R88 zY}GC`-5*x@*#JCmWmF!?qwKVNWIN+J1x%34X(b28cVz|dJl4CZ12*h59;9OdI}-2T zmIgC9^V5Ky;!DWHkPhc~U^&LP+JG7!BL5>mAy0m=^orIe)aD;Apv5%$1P@Sn`iqD+ zdRk~3S?1=)U*H3n^*zfYM7Lr!BTPQQ=e8PL8+iG{i=9a51pSVSc&GaVzAsr>RHk0w zgL(`ps8~mlt?)Cz#(E2LJi;N=p3knZCt4gwTC1@ahf~(m(t@#RKN(Bk6gCY(BVFmC zP~3VJhx)rq0H|$xG845s2b-d8iW-`n+N1O4LJV-2k*u+)i5~I9v<(C7-I9 z{}Oa>qK5|)*ox8ctuDMYxVk*k^waqNQ94=EdSP1Q4j!JFm8dKz;VYnBG_4&OiQ9l( zgg7S+W-DtmhC!}iXt!s^nM?<=5^jR5~M_6jd!-(M?nXzq(Nv)5r~We27}`jc z_OmDHYwcxeb6AX(QJCi9*cBGjI6%+zvKI*jm^#%NBj?OwX)|$ifzx0%v?8|ba*rnEJz@b7}lxm0|w`Gn~4JoPXO|;dlM_BE$nc9=C zdNclP(5!P)9EBMFcCs;QnkcU@x8(!biqKDZIO3B%bYkNa-7f7m9G4$)%&;5 zGr*I9z_JX*#9LM(`c~?QhWja7s21ns z856^0HYZkA&|rgS7Ck+wdN4Uhi44WC2#7(RZ32EN=e*Srn2+Qb>2+)O2e_~j^hz&# zmkwwpnAXqij7Ys5g5lHjY|r!g4L#d^hMAtlHUE4s!z?v<^wfpy=kuEXd5{0$X2=V2 z!n(iom=1^;v^3DbP&&%g0>GGw7@SgKv7K?;uUS#exI+*uHgA2R%r93)lQ3olV*Y*I zCe;ppS(J?PW!La;pGIRhUF!gZIxWe~%CbFrXtV>uMLNLAc*Lo9O$UU75g*7LD+L%r z=(S8rlmh~FoaKEULBv{a`j)md6*yzvA9Ntu5uXK(KYX%GNr7$}6x$Q&ry(NyjqM3# zI6*=T@$DYzTjP>ff2YhRN9J0@+_-pV;LJ9w)9~T%dk>v|lVZ-(3r>1K&U11&rf^;RKCCF1iE%MAwv&6*3KMq3k&lf<}0Z#dRxOb z>AX3DV{i)#3dmhCOal|V|CJ=Js!w+H%EkY@Jfm*@Ga7h?7{NVg%_pJbg)S?co`Y9} zw3`L!4})T15cD6OgQt){nFSQnQXbGHlqa<@;YMnaL`-`gG5_Z%Dq&YC2gYl~u%0i8 zGr}f7n)e&b;4%QO9 zkMVnv!9~Q;uR&8w>m8Y_e;LufUQ{J9X^ppdP%3S98fsf6m5V@@!3Dh-E&%v<0KG4d z9k+vy(*P)>S%Xm-2@cjr#bAoh8JoIwIBx)*a5qS#lE%b_8{k}H9H#H^UoTo@T_2gA z@z){+uGRe?3eJ9TEkPxy4V=$V$1$ycghRUqtfj}GnVhvkFTrnW$b6|Dx0gXbu-Ldc zpWHv#JA>pu(?7%PcM@_1U>U7r_@fLoG=e8>1YGE}+5q2%|Df%18rpVK^cKoXBrcF1 zJ7gZjTT;|?ENWcUJXt|G_S|Syzg#NcFSc*b^nQ{@8O+;D^gK;g(DTlbX#~MV(&FI> zUUisZ;+jiTT4)Pv)K1NhA~s;hruXVY^`~!ut;;&O{2+0|OX)0ARbEj2i3|z-0x22! z3pDD+Z(Wo>^E@amvp02!{5HPs;d&iR9>LzU4KcD zFuoKiLxdBRNnwED#mi3rRdrX?`H&~1 zn5Y__0e1O0a!$)xptlsjlO#Y)D4gN3Y$t4Z8y3jL zmUnc=8P(V`nEzG1xHA4AR;j(Pd>rULLc`Pd+~UghJZ#0`wYxp1Jw;s6?7YU=e$1l- zuN776W-#}vqrj<(@}8^?{PL0aIxi^SnDaF+ZK&sFttP|rSV_8+Q21=C$bV0&-+y1n7a=jW)E}nLT zP|7`p4$0`6s5mDj>1mKSrCT2hw+E+-0Jv?K;`p$JI|FToU*fV{`ui7Ixl*@3e$bcbQy=UomW9gH&L1oWU6VE-{H>?dy^XQ%1|D0Qdn ziFI>%cl59em&qf(UAGi9RHta0n7>2keA(+g_``qwF96CwHNT(_LSCL-EyJN{0GrpR z29sI?n-dI~|t@k zOQYZl!@d~wAxLc_&hXN3=p8~%jUzcHEMLrds;GD+JUb+9VA`PAkqCo^lgn1&%e!C(y zSP{gTNt?+^jDE+9v@(Ywz^A5yWEH@6NE#dqj(2za5CnYhU_Pt9J&(MIT0M z*fHk|aVZTuiUV5lv!~V=c7u}A!G~FFj7&D{2O0DNyLC8VoOC0Y4f}4r15Y76fX(XE zu2>oN%?_huXthYb(X3b-_8l^aBDe-~VQmO&!wx$wRv6PB+N-V%`yQPsJjdN?B`Y4? z>f-iPh8>eFNSe)njq@YwV@r|M?kh^g0!4;lhlkXjr2uGM^0+xLDZrR;-FrBdVTbF( z$4JAD8g6y;NyDy2)kb&fh8g!_VF7fF&r`NNjPH{?K4KtTU+nq~+I7HM(~lj!vADbY zfVHx1<~ooT`)QnO^=G6dX@>mAJ+XXiv6weJ{OYfM_^(G8bycmysp6*E6KR0qD>jsAAR3B*|QfLgrQN3}|oHYCe*rWaXUvZnJ>^&lCTk#?U2a>t#{NQ!R^B z&q?vNm>ONf(S?`zIte5CQnkNZsN&H5`}U5l{4MVRn)mON_dt@bkxboy_W-DeNFIxJ zP03@~PRZ0Jx62=HYFfM|pwQmk3H7)nQ|CMMOIyJeFVjmeJbn4%!9yS`3?W}&qwu8 zy_KtDu3bO%nUkp?7-asDSyv7Ns$+^Aa~*+@wI~ir(nc`3VZcv$dKMYYv1F=|#53># zPY_njflrRPkfkI_m#I&oov-q^IpzW_5@4zn#FUKo9IKe?KtnB29B2F0S6#(ihXY0x zsU$0tjbu)y9#>F}A_-E$_D)tY7tRi(O>#XOk~LJgZ?t zN)fFF(UW*QBYu+lK;yIy<|nlYXfW){>dpVC^ttUAx|ac!%Y{4w`ICHeiQ%wu9Q&ty zeD0D+pj<`zU-&lgC78!yp@)N@;5tljGKss8c7Stq4vEqR&eJg;5W!zn8HPYrnU?Kv zX0~gBGSl&o7u%|m4uNWa*-rc8e0OR8?dk$bH91q;1`j0Lu|IBDE|e=}l~<??>%E=2PAmZTiD_nf(~QAMc)ZE&y5# z-acBqJt^-*2iRB0x6$Ic;46U$&_<5HK%6!R()rHE#qf>yiy37M6pL3RM=1mOD{#qi z%0RyFE;&van4iu#WnlGqMkxbn@ygLhDFbQoTymT;kUmL~d<@FKqHsqdZdJO`%%a;< zc~O;m@>v7jQ21ZqyqoDMB=LhnOu;B~GdUP-EDUG|!2+0f;A>UyP-$4PNJ-&~0?+&N z70UZ_J1OkeV1b43EQ2NEUDEMkuqr4Nn)K`AOTH_xOZ;`G(~;W~21Cr7y{s_Du#S9p z*PGqj_x(-SDwO)K$uE>5qE&ETGXAT{BLwRwQcKR7_lNMdJ2$& z?}tFNI&|1Y_HEffOC-3-SX2pyS7|X;I5Vo$Aw&gC>*QgffUcRWH>Ika8BOQpq`ogEO~ao zD8~8G_4ok8W#}c?`BnZe0=M{-zvt)pCO*xPQU9Xu-GYr2IG_E+-IHxH0XJY{ZP`yI zR>+i+iG>ZvJdv=8Y^tbiA_OX%DBG101ROZ+4;wx1L`cD>*wn|)$O{}gj!!4%9G^ro zI&nFAd)%hq$0nk_>ze7Q=Bg#5{xW9NO)D;DI!Kl!+kQ70_22V9e%M=oV+zHT66Mmm zT%}EErai}qWGPD3w7%#gZga~yqdptObT|ODEGr_lTO)6j+_xMWg!cmG0UscVda87B z&Zr|2(9G@$+3hw9OC9Sg;&@l%qG+az;AsRGRb|xSoEU;iP+Uz0IA_$+Uk&Uuv$e;K zRp9M#L`Km}`_addQTgeNBct-;8AnFZjVedK8X1N2%o+9Fe$84v5L_5yTj!r4Sv{fs zk@U;V{L6Ii^e87C4_b1y)b%)m`1i;wi2Ya3WM)CX^%L|+!#(4=uw|!hBX^p6kCd*l zw@z~v9HP+(Z)NBc&uko4y(AfKFv)PA6K1b7ZNPpv6V|ThklcQv`L`V3`<4{1>0nfk z*KABG`cyW`wF<3d!GnEe@tO<95NrS@HM$Bx(KJ4Z8ciqC`Emjuj|LebD2m1eO9Y6Z z$cWfNQ1pzixWm{r(VUwN+fNYSgrKMy6NEnE5NwvtoHsrM#SoYvsA^Gwl*-wWm9uI^ zF$6An#DE=%tuS&%1G`vJ4S`FZKv*P_faz*bnIy#!n53C2s7(ye(GWRFiXkvbQ~`iI zV+;rs9E@)|%}KSXYG;CILp4?CPu;HbvW;$P6=w6bZ0fP^q^Es}*CO6~BI7lCwa->2heWzlya&aB0n-AxJY_1cj+f zFut5hEzkx%7<>+zX%`HRb)16+7_p*MjO-kPVx2(fW<@d6z9e{O9fQ3B5q^E_3I~nK zx)Qu2wQF4`e(*`-OCu?ku=+5^+Nw-Wi`u{RyE63)4^_hOUIJVr-#r=v(hS+_IW|4^ zqx2|ThKbG$mmx0#+k8$8_qVHiZ|w0hv~=kpeyFOC5=`dQs=Q9c^0nnwre-U+j1VW} z{pIB;`)heNGMz}oVuo8fVS!zx{bf5+o5-}TGhBwDL*{U_LEfh~IwHDga*6smK7fpb(<%VJb-e&nP4@ zZ?PrG3K1|e;HUzPR1gbIS6~z-#!adsixs1ggsRStZDw4t0|H!hLQvuGih3Q1I^ z>q1cqa4a=ml8izUOA(?QF`Q=$j7ck*9}Y@)yywW@W4fj)=Co#hI;Rx~2u;$agCxoP zcn+w>3CX|#;LrB)Nb$gS1SXeE0K9f^hpVx__*0G zJrvqoLhb9q=LU7U7qVaWAsS|4<)KezWW4;={srnoAT^=06{DGTHecARvs;J^l=L|b zA{>mlPvtlO6VHRlJT9ga=gY=cmL~;-3QQ+{-CGyyP~ zxVszZ34_=)n=oghZ{cjc9Zia}In3-=dRrE0-tt2h>9aoZEB^6M2DCvp3`QtZ?KJ0CUq@! zjp!iNQK^?Z{cB7hpt%1hdt7FBJ?%2oCOD+)^swh@RzC&*JG65A8>Pmb_66Q>gYX74 zbEh9VtQGF=F7#=$Ni4*5Mk3m8;aq*XIw)wKi#iEZz)c@n`?~zx2bu6n0%p zb#B+a;2n%E+cj!ow8Hk4MVc-cj4lJ5Zv45sU|=Ek`yLI6l)#j@4Gw}cx(sl-(XN*j z3v!`5^%&S_*Nb35E_71Qt1gCi-32j&(HLEJeU>=dbr%HjnKHVdb8V$0ruG$WFn!J< zSr}b*n|?Q3#zF*c0pu&{_@p(Z1ZH^YE}0}V79tp35Ovj$anb`&3%oG8Xr?Y= z3^J0AGom&a1cD^*H1pQvfR@BCR0Q@jL3W-5vXJW<3FB68}Y5sC(0%4>t(F4$J zkX9!CV~YY;q^D%f;LZc?zrc*Ew< zDZh**k#;wT4Dp)vWlJ>gH;AAd}RvHd64aYbs5y&?8Cf7X@ukP$yfBh@lAZvgDi~-1R!@CMALj%!s;UR3oj+S7N&;J zaRQ#GMuoZMH~UeYX<}G@`_Pv@@Be-NwPj#~+NzPDs6zo~Bq%DBD?5-cHi9`Q3d)r& zB8o;Z2Sq`-f~DIPb5K+$SBO8ZJ^s&qOAd;Haz&@jUKtbx$2W?kIw{{CI+LMf>sUE6UF& zC|A(Jr=_yAX7zvy<;oruaqV5oPber?!1vvg%yBDQ*d)0Q^rX#ZOh?bpC@5D3?$Q;M zE67NbM`78XJS0>rrXKz32?|)y6_fr?H4NRknVRE1;1{q7Y!*Rtzd=<-8fhkDy zbbJT;;@b$e4oqc4D#I=gK(1HJfhpR#a6}0s0rqSJb6|SdaYCpFvRABLu?kGl@}Ti1 zIB(l{GY6*dB+ykNLFBG?F;!q1KE?INloore)^(j&y|0cTBXBFbdvsUK@#V`IJGz-Q zvkNEG%`V(bjNFP)iuv3sloIbU{)_BI4?@`2VfLc}wSZ1K_v`HT4%a%;s z0KA>|-VCJZSXTGMc=QcS!-c6>HK?eL?Q9Nee|1GW1{nolFZxH2bXu$@43M&AqlF~Hm4}RZkJ4H%4;RzRApMcb4{iY4P88_ zsem@R`~W*xqkac<@O5SMpu?Fs*P{Lxlpz9qPs(K}r_nD-=0l>mWDWRRPMfq9Nt=RH z>^d-+dFt+E(5AobW8VL|p9pQLSP{>}8FB=fdLMz%ZEaGCePx9T7c4jixKd!`t(Xyib968%9JEcisuN@y>J z+SCPO2m$`3y%^D^MY0Sb0BXD^$xNI};(OvU0Vag5R*1KKMaY9BpS|&@fF6;y>a9sz z=W8Ad=n*)I-kSOG0IP1GNBFI6!<81C?dSn|M3G9fkba(LXQNcpUQsR4FQ*4ytK1Oo zQ5EO8POt6-UIqJ*%PiTY=I0@m9{)y(gn#TOoD}MibhRKGQo>2tnX>9?0O;_9&+;65 z8Lo4o3oV}gT*^mjl8Ot4Dh9qiUGPS4l*%p2$kjmowqCMyTXYXBLc3ZcyFfNsV!5bPCt#%oUD7%0C?w3Sc^x&0aNY^={ zU~`uHTsG`*_J3B--Z?#JQ?-gn0mUX-X|UdtQg6{(i?&ChsL*1q7?h$lDs2ES5R%qn zLp6P#ae2oW@0|ZP=eO3s)?RxvA^ZH_T;G`Qob#J=j_Wg?p+R}D07$aVNC3{qJ;r0f zNj{x-5~KidrC&iAQE&K)(3A|y$$qe-wuS>5f>i4+h!i`h9_TCr(Wahi2=XR3K{R1$ zwRk5)=w(ITeisCZ0)uk+z5u-SD@yj>E(Rp}Y@Xqvw`}rBD^6;x_L8fX=~R`x>iW&J zo|>aObgk!t%65-&L@O;5v9E!Xsl5-KM5CT`l4xvgY7w0z)i-;Wtf8p2yl8)sZ_d?8 z_Cic3je$;DQsB=q1+F)I;uiIKeL-a34 zFw!Jsx&R45mvm-i;wutEiWQmXM?J6;%;|fGk<~!joRuuZ*Fdbp$i!FNZ`OMultlW+ z*w*YT2CZ72AkUi}DJq%gmq9A!s!RGFbTAq{p?77FlxIIoJIU<{ zr3D5%zzX%pF6sNz2E5@Sxh1~nQu_Xk=uIW}!!`5WK}mo=x+MAuJS!I@&@VqvyWuSR zV$^AooYd1AB?YKSw~eFVHn<9g;h9Qa3vQaDUGGaq%|=c3yJ8+Gog$x-Nno_Hner(; zi47oVmERi)9pnq6p!4L^VQD#OK!RCFU>M>Ir{TBA;CjzKGD2md#In4?wz;% znC{*F#y|O2e^n3u@MOO(i-Zc2_^9KdW{gM@Dg!7?`wDt3-frj^WzjG+9oO3d{Y&UxJXpZmi%LC$@mVwOap=&!xT6O!wTPdJ9eTmgy_`R`WqFq@F|1?e zi<#6bou@aJ>}ewTeGkt{KIN~Pd?%xd$hfj>W17}?&dPBhN+&Ykq4qf6;# zc=hR3f}dR|wt%9z4AbDVliszyFewC9>D84oLq1;j>h^?P(ngo}=W~$v=XN?i&bO#8 z=+0|U9}*3PBB5SvX`at{hp>J5vn#Wh_!=0M34Tdv*(Zf$+=WMb>TI)}?u6PJ(<}es z@BdBz;x9(FSr;1UmD%&;jw?((RL#@;<^PT zwi75KD#3`@74BhQS#Zzo^{d2k{?(9pcEe(ndxj?uS0EktL%c1px>L4-`Qu8T*RoBMapa#H99qMH+Em z5&i|frywffgT6Ex@g{jftF}t+2wyM9mc)!pVh}CeA%?}<)j*Q*v`fOdRWv?o>)=c2 z)};I0B(BqNF8Nf>M)(&-O!;`E41cY&VSYx2{m4I&+1Nf@CX`g6G5See8l%DOLq0}zRxZPa zI&VU~gY16r5l!yLAZr8n;kjmQ1VkDB5@AT!znVVC_hhR zeS{?Q`smCa;R);Y#2NQ_%a288-}V{5@TY%AWPKDL;2HM@p8?KBAU@C?7!R3IF`D%W zL9qE!UxVcdS)D2ON^^T+)~5)HVt3>Y$e&;; zpo>;pOFY{emT_+@Zb{UH6#=*OiD8DZ(CDlQt~XlmL`}d5e`7^a>~?7Ae;Oa;7I$nC zs^=)tnJ;++@JaLNyB%A{)73m1<)->2C$U2hPR3NBX5!PF=xB~iT`{RJPhk6BR2Y|@ zpX-pDiU5xE6ODUIKUvRDLK%tew>QB0nmrpeqvA)Mk&9dlLWpV ziXCfiMX^`Oe0z}a!-z0SwrM5lio|cVU(@&$D2dr!{n7Ic!jNcAcZMXYsr@aN+L50p z=PTN;Bt56;_nb5Ws?TSZGegxI5an?;1ZEm8QZ@9NTzyf`vWX72e|jz>J=`W(mJJV7 z>kI98{uCXV+}uH}vg2<+HS{V@9uyZ*j7)Cowdi&!AEy1UWoyUz<_ZPC@EBT|FIe#I z2_4VvRN>$o9-I2}G&dKU@>E_+pl*-&gra_OH&@@viRH)az_0vqf8&$?;B*ny`(Rre=7B>$t6NqLhcpT*Isc1aM4txr`#+kPlt&JZwR?I~R<`Ar802=r1&{Q+N zqS2zF&1^&LJ8x60NIT$yhaCq3;?PIrIrX{zD3u}8~jf`?WcX&gP6Xhsja@$a74izJ~Rsh;71j^VL7ltsR68>A_y>~ z8m$nNn84HcG9MlJBVgOj0*7EuW#{?uBt4*`q-)^N5)s&nHX)4DQzquaYXw2ZtjOT6 zQ(Cc<8jyVxu7?w7+53FEglvB36(SoN;M0E1=Dl)`8nT(>euD%XC6UXw8BlRGwbY>1 z9Y~^myFa*wY_=v~SBk4YgB7E%h-k=Wl9+Qw9#tgm1ghJeXTCjf6u>0N^E@$f>?HI3 zX=nw&8ip+IZ3uqB_Zm zTpiWvTQFe{pFFCYwftCA_syUA-H-mu$nI%dgPRI#asVO<1N&$J5ImYTvaifkG(n7o z6;m4lmvq?}Dx!}cNx70*U@X`!hsZTkh!lfCu65+exr5Uyo`lZC#A&T=H^TSLUaNa|Yr%S(GYC@nU@D=x9b%sWtF;VwYw?a<8H4HFI2z|aPr{DHl z3`dX@7>175bd{E2+Bv!dJ~k+~q~9(zN|f~5#ZHOT*M+L!rB;cOetVi;3H3QU4_Gy0 z*MJpDbgW{*Z#$nhYu}NtlY=}4|J8Es0ReiHsEmVD5t;067|19)hd{Tkus%<^J;C~lVg8L;U zcnD+lL~RwOnXdImm$r)M*H$s_7WF>;QtP;%TF00Dq#yG?{EAO)qmDEtaJ%ji!eQx+ ztWAe%*Zt>t8}vp#est^UrbkXhjeqmt=+Wa%pcK@;KDr$--Ppye1N`_ncFMtwFW@My zwq3d17n{kaS88hc|W_oH^)5y-SlcP$Im7j*;nxI5>3eJ z25DY))1r*F*;)@!l4ShQ*3^_R^8%Xz*^9x_gpj3i)sL7$zZ@LbfRPNd$px`O#5wBl?}}(_0c;mh+Se zQ7#EIB^ew5NdgsS467B1`nU?>^E4De%K zDmU&(Yf5Ao$d_BVDWx`4cRo+b-aj?XMQ1s4ZB5 zY%fp~bX3rkTw8EBy9qZQOUt+?e$)*BiU!BHYu8seDav;hEYfSlJhek&E5J$*UVHU6 zfA{Kb{_bw9l%D9!7z+sO@pl-}xhg}K77{rMmJwx1sz zeQKizF~tFHm3UPLG(s1PU284jet{#YcPyxY%m;W0jV#($2a*t*rD%N`AWqmg<#SuFSQa16ve<($+ebtXh680gr7vbRCR-&8;?_SdmbOW1HxT=EQ|XdG+efjfvK>B&04179lpJu6+3m8jhYQF zr9%7S*Iy6SIb(S$HGd&vM>!R@3$B+bnwO{M_%D9(cl@v45=|1?%rR`1lbKKORF3CC zBN{!t*5(8nBvCPj0xa!V)ErIl2tqgNB8<)&kn>Y>xM1sn!=wR@)-5YibGRT-dThuA zTyL|nnOOD}bP+X&3m(u@AO&KKBrs;l5ESI4^?~gH9g>cQ6Gjps7Al99DuW9mw!?~S z7z6WXCnyh)ANvT!E5vtabk+48eTuxaHNl8*5QYg%FBl9?D9v)Y$B?J{7=Qj>*B%iYK;^*$`w0sV>Jk-(yx@xqodo0oB9WHSoGE>zsGc-ZpC8J4`(*i)1vbn>YbjRg!rj_Y%;eKu`xQI$B=Jnr|J8PP4Bb!?O%_kXz%F z+>BsdEc#;6Enl@*^t*c~hY7vv+vK3pHPd3zQv)j#wjN>E%zeM!-|>#$_wehc zV{{|5nT*k!p$79oAA^<{y%E~1Pc{G|0_9@SePlLPMsr=Yfz_hVj?smzg%*7xMo$*~ za*W=nS}@6UK-Gejus`GUh?J`3d=L`IYNuHbb32_Z`i{eGt7Nt4;2l$oekA#2l3Xmh zrY}9xSu8sFxG?c2%9t+(iNU<6ZV9|!{-O`uI6FbBWR1`hNa^i z^pP+*2i`$~xkYPKF>94~E;ukZCyr-H_OIieJFQO0OArG=^58010&f&4mFwsX`sW&= zPny&gn>GmEh3V7xQ-q8LOjF*04^G`7158j`TOX4tLxTAE4mQB~;yG>rC5&OYY9P8)T2i`O;7pP3 z7DBn}tAX?M^kPcq>*;&v1Dbb>7E?O^7F}aXKlaBy^$-8zFs0gr?06Pu960YcWC;by z!^WqG*;hy;^F?(*U`3D?rr?ef0|X+e31L-i=je?wgr?oz&X``by4jdsw7S`t9=02F#4tGAZ#a4eQDhuC z8`F#CIUCc9RyP~di&nQ9(~D-8>0>)*kcQuBcG2Y|jRI!MLByez@I3+2-9UVP#o_$PnrHez*6 zob(9t$Yzfeoom|Lr>Kk+olDyL65hL{y>;-%crCf6y?u(x2;RG-z1tuYuJHgPBPorfc(L)YnfZWpMf3jRnud*E*YS5qx?krb;Cyr2EPaI1#VRf0>G-mp zjIt!#+Ue-e6E+$aA6@sY@pG=>otJ@K;`;eo{odpHdADdWyz_6-HF5pN|IqLH*6#_@ zd~K-oxZc_;Wk4;mz?^iy*=pz*otJ!XUGPZ02^Bn_VJ-@r1P!kXo>8Gi6pj_w+phDn zHhrQEgt>2UNdV~Gb9>V8`igCA4Kmw<(J?f%~mk zK8+JCqutDj{%e=b9$25SGJ~($N}Ws#OYOt!EtM_*aEmr5`;e!>a<%+lw`jLX$;)-& z)lCl4v`m~05c3wv06@jB**ie&HF*nQz%E-z$vlLG=vjsZ@RX0o$0Hw4(m=8QQ&yE} zaC1;h1AdLc)r3!+j{G`d@WdQnZ}9BlT_VjFH`1JUixz|TYz*Gt`s_daJfa8&=N@UYCc>T|$e&d&?TU z8380Uu)mfQ_Ow^lBt`hk$6x(-{@owlDrQsE%V;f7Iu#?9SBbWSOkbhBeM%ZNokqjehtz!X?R>?`fj%(6^$PnJ&Bw>P9!H7=sH13 zY1xW!>9y$rv$fdfSXT7Kx&o?|fK?VZ*}ju+?1w1gk}aNI)?3GxMO_gFCxxYCrC zEqPd-yoGX$30C#kn$mK~Gft0dp7DSEFDVr>Kl%x*2slmnsKBVI&W_WRikV9yIDt~< zPjpzZ)iYiBOwIYI4tgSyooFH|A=Pb#fEhH6BVf{T9!J~VYh7*gZb~{ADZC1e#LiF0 zb!^*y8g>OZ>Wl+g2FGOEPpwfY4dc<$eAaxX@3;GWWnbUcuXZ!{=R#J)J zWmqxMTaPYWjehMhq5DKqkxr8FLz6tSkC@Lma>9!5ila;7%_R?bw&mz351SW}Bqgb? z@68Q@VG@*JP0d56XZ+poLPai)eq62bV)2T==+yu6!nWr}Z_z!@w;T92@4W%FVup=j zvwv^E&6tVHN8cOrJ$i&3)Q|F9W5o=`=H|qE1CjjRa8viN^%#sNx8%{&4UV`(1ldoD z7M{lxAWNY$1;_&;N3ijgGV!w}as&}!##wJcEB+3mZ zcw07c4x8ZAY@1kWml?AjVzE6GPOAs7E}vHC_i3{@dzUB&SqNyBrwMw4u6Hw&`!C7O zUY%azoYk`&J;{IkGk^FeeA1_cCn;E)L2w>L{x`n;z1jW#rU}McX!+7_H7CY-Jf=@&`c<7`m;@Qds7P8tF zW{~gUyFzP#X_!5+G)lS#1jWkQiq`2vI*cQXeZ81c9F2aY-JUdq>9QwnQufvyr_?x! z;hn7|-|7z2#yz{o+hh?yncW6>;^S@E#m&?On(hc-+2QR-3w z6poWPB>MBi8C##kkw0&DgGMxUx?QsMjcs{r^Usep(}R42ak9*7&^;%!Tql{HWE}lO zw+*)C*t45q&GabWAdJxB05~hrL)NXCp5>dNMIF5v(B54jfW4 zyOn2DcmwT`y+Q5=NhI1lJpcXsfqXMH-T|!0>zgA+*&`ejNd#y4Jm){8aC@A!tv#gp zxAc&T^W=U|en{cf_(RH%=^-8WrTn4tzjr-S{yqObsZ8w0Rq&9$*OhJ`WB|_9RlODI zmQ3EDYAt+Y;S{jUU3?VrZ95#Wqu&t@I2-H#quR6 z9Jk~6AlF7AJsls07T+fyi!=u5{INscUyy=nIk;$ryBaQ6K?V-EyL)zRkcSr^Wx_|> zc1{^wLXcPI^A|@Tt{*$(^B<6Pi_eqxpCaqV`4%4)WZlI_2K#pT{balRVEJ_P@p7l= zq5fKJ6W_vt#GqA7+6MLz--777ZPMMO@lTI)h5ik|6G;4)(=t#OM-)QLjUC;e7Xp%P4F$^5<&oNmLyyEEOrUl`wMzPihZ&(}dUrnk?eIqQJ&Er>MG`zvMUSL7~r#fUa^HKbWz+ zR0rH0yS)5Zb>Q_+{q)cHLowJ^(Z`OvF)T6^(iyzQ&`hZHf%;r5eQPD93Uk3Us(i<$ z7PLrMDQixF?eSHv|)l!$3O2p8*BE4;!a8{l=n-&w6APErCD+XV45 zWW}uqL{{DUB$@kZ;G=sFEzh?*dcHfT-Du0vVU3Agy=1;Uc%ER)8C0O#b|9JW&wjmw z38MOjsi}8-QYov>bl1OA%=)D%uT?}3Zxy@TRLY*#FOqX2tAEmq>sSh08!s{{GA!7Q=`EV}bdvX{39p9qS-a5n() z=nq}IyweF75fR)Nv?C5(y}T929@5K0lD|82_VO^rkx5bbL|CXlboKI(h}D!Vz~=)= z=4I?uUP5-&$V$#|__~8@Bx0T;0PQG}h)1@@Pj$)5Tj9r6k8H!S9LWct^vI~>M45R) zc38%aFnORkb4qu zhQOD!?~y80y)Jk-B1tIlyB)!Be7Wr_WXq^}UGUi8cZZ$DdLhW0)dY`6PBR0bZ?hSI zd3c{(5G|Oh|B!vN*gjUI>UBXR1{HRLaRL^Qh9Fh13!YYZfT+`eVj|gNxfsb2I#h0r zaRVYaf=<*Np-b)%kBZ~~0OyrJmt3O?M#=LY2(9km6@G{2T_{wR6OOFKmD4QnJ0xyN z;|NJ)0(xLnhL633pNQs(k~^cJh~G#nfp(ryLZm1`f*pJrR^QXFm>(@SXmbR!wfvmU zy5(!mQvAu4?VM#*xL0X@-31-8?l=2i{lcU0I3{_oT(Sidf8+ z#Lroaiz04nn?=D4ydhsk0p3UvFz+ueSKD8T$jK=19Zp`glnk$r$L%4$R<$ofl0I#D}{zQ@5^B!v)H zA}UmG_3wj+@TeBD<^s@|;JSJ?bx3T z{nY>McTQzWgRB|BTVL?awlruXQAh19U$&)(!$xCFRKo`==1eK96YH%~4<95zvn@Sr z!R9RSEd~=R_Q%bXLV9cq?PnO{AS=qGzG}vcEnR~$QSJS(6rXJ=D%MEi!a{eIv1kU!_R!DaNV!`u->6XkCq$- zd`+gL^USvg`D^B)JJH*uit`WmFA0j&e-Pf{d z_TGU?hi8~pb)(-q18@R*stgIRQ{AEiG9e<)p8@$bC|$|##OdhI6KPdxtV(Isg{dh+YO1dZ3*wm02!^in$3whl;|(balh^$BLquT@o_`3~RaFvpKme zDT=vCqQg@va_6nUkE$erN-lX^?X)a*27FWTo9XB@n=T1t0&%N&Q}7E7B=hY-`Hb-$ zB++Es|LT%QZEJ$y$vPFpde!qRHwe{D0Y3pQWz*`@dFDHW8Y4qx{P1H}ly4E|^ud7e zJXss;e$RZ5P+ioPqmzcV={zS*O$3txrkb)e$vR{+V}>W^OOxb_LszVqcZy&-Wpsyi zy`|0K>DnyRTs8xQO>|vcpV76~=~^SRWOScjMt9yV>J9btN)+(8962Zru$D7$x3fa4 z-}vy$x39nY#;YH?eIzhGIyvhb&I`7EU-4P{eoOgktJZ2=x*sk#vFwnk6R{_3G6u!<{yyGkS<4|%@>99IzZQpv*VF%j7!?@ ztYn=c+kAs!Zo@!w(%n!WVTj-ET@G;^=$j-DV$|2r9W#K){>4kf*ZLhfDsCqrXA%E1 zN2W@req&c0{ueRsQZ&BDqVdQS1eD+#9|KTTc(JZ`Ky#M)E{Mna3A!u;5 z^zEfQ-9nh;%`+g7vgR54%A#Bs1ob=Tu#v25ZyhWlNX74h#{)1u)aR(wSk$@&k7Vd-)Av0!C@w*^<=H<|2!Rj$HRQyeFv$q~aYT*usL&e_& zQI``7aoVpD$aQ!f=_oX84Jx5LHX#-#PLJoa6xbT!4KT?tc;MCIn)z}>@%z!Co0(4K znkxgIrZqE`3q?^Krw5d%r8JXdzCEx{th8dy6AVv(p5^{1sGl`i&;j)4S#A)n4CjgL z!U5BB`Ze<%YMJEIo~AedO0!Kw()<@1FOyWZ57f{@(~yKSGtwk4((DST9P+W@i)^(;;E8@}*4 zohzPS;ETk+Ynz(JtANj4HA1O~YT5b%&)kBd* z$~VBd*V07AbwqC_CWrQdB?{O90U|5Hy!7Ehjsi|wRHH<2yBW*_%}yqMg1fONISROl zJtztu?AW)z#Ark1s&8J}O8(RA^I z?d^@@l4iUg;OO7`ydU`WeP^hzsV`Bsi*}=HTzmOe_%k&G3HtiV)Ui41-g~>Ro zC9lc{wSUoS^`x%)eEr5#|9^c%PT(cgLFnFL9L z#Cg_Xmb_6M-X$G&IBdyUkBki993A z88c{}0W(b2VV3?Qq#Y;OMN=h6LfwIdA0qV8k=#q>)@JaCf$>`;iLzs8o&v8-U}ITI zu8BUsUNT!4P|g`G4RAgKs+)X!3-35v#7f^jb2#7@=x~rG2^I13Eko34su8KH@NU+14kML1Er%VL{U)zD|`AeV@Z+afxRk2IWh+2 z-|8Zvj)Qps=~>@t;OU@eOE=de?cvZ!8LNk zIZ6{>1ok~z5a!2N%dM3oA%Q&;7akGX2NKmHYM+hBWYxR(jQx;sH>(w0*U^Yy61*x@ zGqSA}2;|9YC+f79Ya$*86wNRkdtM+Dpa!*`JT^%OIRVb(VdQ6uE8aPOx_4^dgL@k) zUYNrZW=X&w#;BmNry1ao8V;GKijY;wWq9mLpFxez9pK#-u8B5xlIz>$KD`qU^ zghr_Zeco7Nkb7{xblzu5l{g!Wm+y(1E;FAB3! z$%_<@A2CSXsF{-rM9qV_g1%ER_u-=NqmPzyPdhk`9=!kbM=nu*Cy(?z@sgUc>AUr; zMkd;jV5X72vU^?A;f@DT-%qW|RwA&}0>oo4P`J_;HoAT`OW)y{rNt5V({L$6?! zXpy2tD8I&IRJ9gvJC7~4+drx$p_F$GqRxsL-j#JlYCHD}MA(1#6m3!>kaG{ySMAfy zMrL2I$tzyE6h#0%%z@HqMnvY@EULRdH(|MRSa`R~vuPgzR95WEcA-N;4KTYf1WeJ| zk(sV91`xd0DAZxaRO4b&J{Tc=@4iy=Ih5gv3)5I0%RA5`mlc(u!)|E^wRd5&tIVqN+0w+O$ zyUPe>m6)E}Q1J&Zyg|;;Of(*#sLnsaDByFnK}c^_%vnG`6s*phiUNWRAYnM7o0}C02&?pN~5$&24k*q>%Shfv9}>JMb7zr)F_7J<*#lL!Y)n0z2{^m}ZTao{P> z=yE2_oY%7XvKqXouMrW-*Lu z4su6Sik6fs&#R+2^Y`X|g72rKgzvk!ItwW`cnSeAk4qUrQcP~FdxZ4EFKa|7e#0~G z*9PDI60N@>N%mTgqM1S=aCc*EntF&(42#ibTh<&Cw1t@#UUirgy7Y)}NK%koBmmDI zAH7*RqT2}~)tf*Fwr+;-%eH6jywOmX(y-}pFhGlCdMMr}N|;JO=-^1%YlNZc$__e+$+f_q>zdyno)nPe!~GAoMQKBXM7_A>{9J$Vv4_ zu4$5Y$fW!BRXQc~r-Q~u84jjTw%N_y1S6r)K@V1<_l2{slmh2SZ6aRozDJN!l9#;sEk1O$Yhsrf!u!c8wzKyBO#(zu{Fh4xAV|-8%*bWOzXVWLcaa} zo~2&aB|Ymrpaa5jh$XC`Of#0xEMnT9C5*oqPiU~KN|&hceD5`Hiby$Him@y7v08dH zSmk~zbY4l}{*PKnPm=*Sd&f_lyGWHi>VBjSyuMD?rf@;>RK&5Yt^s7DmEE5y00eVL zX|c7h%p0#04}W=~*b*ixUY^@YR_(lHw(LLZ=5d{fme8Y;ALwOwK0^U1L_;O(WC-+6 zz!{$iOkmz3!e+Hwh+HLQck7X-u1Tx=0TFmwb-Hlu`lzlD+|G73^K=MV%v8I|X6W*c zSfG7D!ort*V=@<|VwRSt>eKKGy5G#$V~w^K{KdC6rZjA8r}R3&CfsOK?O?)_9@&#Be|4hV#A ztyDgix5v|^7R34J1mSH_TSNgeHW$PcP^ArKHd=cFnqt1eUB!+L!tx80R?gQ&049gq zpeGNHS)K887aq{mK;)s>cKQWY5ci95Sn)+TvD8*1_lpQsfLB$jaag)6&tswAK5vD! zS#mucjD`Zw1q|nG7yE+DG|z!{s#em#hTiH=D9is>KCH_gC=sSklui`+vF7z`@g)$G zGuDYGST{K-etkBjIqsx%PA2^O%Qo^_o89t|o3nE(+F@{;X9`m!z0C}?-0(iX2ZcI9 zH;yG8ltkRwLO_kYmj;}dp*~;-&l#dXTPtA5L@Q4mgyxnisw9^O-QQn^=+_?rHIx#T zn<^ssh`dOrvbt&)ZNmUIgqFSwz2p`+l84u)0-c|Pgr<5L27!&YPmm(Rv9$_Z5a-ml zwZ4iLFFMyssgXoA!~tx&TAK~(-Sq;@BhAu4ow*EkNJa>*gLg5$IKZE37B-YQ=Qjq~ zlmpCrf@xi5126VN4+;LvD0)$D5dF&-z$?LPG~pM33w>*9D2)H%G> z*qy=z>Wzy3S9ju7*U88LWC(b%2Q4ikaTY}X>;H`Yv)1yxKs|w*n9@9Gt!a`>&xoY~ z9r!2WIB7#c2?)r%Qmd(TLCj(z>Tq?7qFrlyDHN=skdg;yn=e|YsWbJxBIqJ2F-3?e z7py@D2JSQ>m9X(?FZD9wM05$lF?2gcbE1QUOPIa}*>yx(#;>*t+LY)Mw7QMsQ7^%i zH2?r}u+I(r2}IBIav>8uO}I6Rw?@+xDdwllF_}-VV7?k|;T$M4f3;Wp!_x%Rfi$u^0!_ouiEZOKaUg{cfStAVd*x)5St*Z2iRN85~8Ecu=u*^zBun<&@ zn-cuMU;H4sa9!*Qo?BN*kh9_BgFl2)>ebx`&eHNNwdlIkrFiIL11R5|Fdy{=gT3XTFm6gQ9 z=|%u24`S4;(%2zm7Jz$?)*uwMN2f%2b8330i161i_YjiR>X5Th&^g7}+jhqaf8Ae4 z#x`$ejLC|L=Mwl0b>$C`oFDGI?Yx>_q86n%@@A+zTU<71`w<%=J!>(BzJPOdmRnQU z&!O1Q)QDGF{AH`w3_kdb6TD(2{C;BUjJ$9fu%XHU6AI>T1rxG$6pk--yeFFssWOVN zH@Jhl%mH~|UKOKvg_^%@H{6AB)A!c?3*f8+O920dhOa@0Vg%sW8Kf{orCoE*o;*%c zfx|C#6U8{|=ZWU3FcX2pfvpDdlD^A_Zr|%b7s4OAHEOSDKLx-8uyTj#^N+4~K}PP_ zK^I$xMw9eebdXs~og~2&yK|*Ys{cCe2<86ZK)Y^jH`B+%S zRY0l4l<3tq6&K9-F?H7G+Tw0tZ>Ep$a9A;56GrM?m>96TYwrK>Q#|#UAeS-lNywI+ z+`&mrNkHk}3e>0|m5QHCuJ(%vo9mukQM+=WU`6bQOdDX0#4HeC9lSc2>mb@=w-is}>-HFere5(JV^r zg%>P}&>x)UA}fT{JDj7$b%}E`QY^iI-h`7p>(~t;$!JC4dL?+{z3{PrZ{i_q3n7_^ zK)ildHVTC{VY(u`Ho#$_ouvK(jV}SE3Zu=BFUuq(UZtj=v`!K&7^(R9O@?tdAy8l= zJRS>W03p-W2$4DG9;!wTN%x%4fR$^~L+cO98N3ml0!N)X@Lj@K=C)JSZ%`TvrjoJheT>ijspYfw8m| zqx4ZntUUZK8w^3ccylsNTW_DQq$|z*5I*{xp7{!j`+Unin$4KYFvlI7Seq~v=-yy6 zAe&>n6Jvcwot!hel$3gO;RD9)!Nh`Xjg2W|fwSV63Nyc)i}s+-wj3sC)C1*Bmv>=( z9?e7G`)8c4eEX{)oXTf*G8TidB=z{qse%+o_({-Ggig=fd)BglX*az>7}I#A=_D4| zJmaaQ&h;w9go#3WW-BXZ!Acf`%}dO2_nmf}6H1g0m@$a#9SI&Dol}ZCfPR&a$WQ&` ztdH->7fbfo_fa>}czY&k`o61up#E|q#P75Yxo1Ss68cSwH?}ig;-ufd{tx#}MBANuKzdBUuW^!RwTQ#97ub zD7?6_FJn~U3tOtXm5KZbJv*k~3r))}H94*IO>Huv__0O!Dflf-Hkg0jZy?taV3^cx z^9i#{hIdPJKVlse^L5wj2eu86%P+P8q}}Oa54ps1wG&naDiH%oW&QFAKIXpivmuV_U}PS+tcDESWfEnAYK$>Ja_ON~gpuy; zQ|&5ZYF1sntBS{zjw5%y<2&TdK8`(gN20GBiflJS1gjQBkH_?aDM}w@IS+jf*?moc z-44>FMvbaD-!d2=Fp!KDP$K-#-O6|CZo>oz0S`h<-XUu?lqX}MeAJ0JOXp`PX`)vIa7yl-_y zJq+@~)z`eOXPWhK=P8|>=FYZ$TETC7wpO+%iWo|sF{)!iZ2o9(Lr)2or~g#_T!eK~ zqR^Bd@aGxh+|Y7Bu_vGh1EG(we-ua( zwW|F!&duSt8P1Cmlo3=zqt@bHqzN{BmWDh42E62WM;_F}zpnf#@I3Z=Wk7KyUE8V2@BEgPbUfB~(BP{N5u(Uhw94Vl15 zK1q;*3_?Wy_eWp%IC0!fmTo`6Q3PS#AQ(6=a_yPjV*N-mj$iqqbT6Mk-F#6pu8Zx`xT4S=W2rk1VwVNrA|!$tD02pOjb{% z75#DlQnM3SkZep|YX+n87ohW|ta}XN53ebck+Gg?Hz@cS)g5 z=$Cy@j%NI6ghZC7#BMi>q3wqqDk!kqu8;rj?f%e9pm||OK4fhQTmfGT_+AHhnkDn- zWZwW~TTE|@am{|v5Bk4MO$s(`-5GlBGs^A`o`$~xBXEjqE0Lf(Bk**!FvQIPxu?TT zYr80|{BqN|Q)c;krgn<784B5TBy4!QG=;8v+}EN z^RTCbPvY5|__&^p%}ZxJd++Ww^l(H#KBkGGX=Ce>#oD>0do39dui5I+|FQRPn0oPV z=~_cyqyk*B$snk;y_j!En`n2mVx95oeu4(%y*L!h*+KekUXuRE`Yh$P*TAOy#vj@ZGYyQO?7$5oVc$%p% zN(&j`L*;4s%S0M@-u^xEQ<-di*K_0BSf&k=-KL5vm(HH)xD_XY!$;l`s;|db*~^zA z&Yrtf43`0#P-~7qH8QxiAaQa`@c_)UJAM;Z+j*YcMxc}D`#8ky$9l4p#+m+8;>F7- zVEj5{ZAVw``WG`(RkNW5#Deq3c0`C2RhokeIR9^(12t(QX|m~?3~!057}b{W;@2nx zfBwmDm+>bG|K6@ZuCRV^L09P3KipvN$kyF$^!qyOnuYHgn48tyqIfk{cAu999~R%Q zgzcOQ*#L1y)Lmy+Lp-eoW>$#pIwKG4TBCt0Mx>SCTDW&Di95vtN`!rA;10`ij3*()ub4_odA>hfb}gjplsU(yFUO;&XVJjM zM;RLjb~4oKf@ZaJaewbaNtP9%Ufr!aaMlg?y@#S>hqhln?vzF~gF<>wqi>VzX|gi%`K z*8d|@GgYqPF85}I1NIHZsQwkbn)I6+Kycgbrq}1pn0@ZTYId1-fX(=4)m`HY^a6fqji=!l-)5Bn46JKcg*t|D7UgFrG)F18=?wguZ#sZ>4dwq9k*8Cfvt3E^`21(^^Rn~WF2Lm!QgRIfk-}jJv@X}^ zXKk>@A2W$@(iZfgioQKpoDgPsh))dVh@S*)m8=L_&O*Z{$R^dPzLzx{pMNx=#TbudeP6ArUzAA%uFy^-&?NuPEf8?S4G6(O_F=Pj*K@`+gwd{c|ho3@m|;G@DG zN#GJKD#}$^B|Y?=-%JZ58FCY?TpAggey{(ueW`TZjNtF~wE6?S)`#-1lgmlEoD1E- zKSCC%x3GOT6KsifoLFHie|wP5aPR||blYcv(#JOa$+bFd+o9QBEv&gd^=xX7Xp9X` zkMmYZtJ$`gnIby8*Qr2fl1;@9=BB^VHAdEW2(V0ozbP?#5Tm_gT+FDwV_S?2IhW1K zAdI$@p*BoDRcJqB1CG$Ij)k=|O5C5ux^ka+ec0TEDcUS|iJcz%8u9y5 zF8l+}ePm;mz*t^D?D6?{uW;ym&)bmPPo;W4v`ezro%C|9$u_aNMf5$$`TlnJP_7yw zqBGJ$FrB#0I?T(fo0IjqMrJo!HbUy-r_qBA+lrWiDiXsm#}7$;3Js+H%Q;IxTdqn-Ba-Lo#JK>Bj451+wosn6i@bp)?xQ8m>8+Qf%nm7+f z>;uHTY(-C5@FFW2;sp``p0R|wjjW>+Ix^z6J>a1$!x&^}+Q%OOh)>!Gg^9t$GSWbG zm(lNWz`8;+*tTYluKmz7g^1)sD!05W4ldB%AVbp5UdA1GGO9MAz;0`ft!js7BF-+Y z(w4tDzu2ymB1v#>G1_;(O_#yky-B)?or0~NiS1}zUlMlE*ffw3wz=n8>9#w;t#WA4 zrt%}e3<`A$M#VbPOe(VE?3GFoqm#q(j|{U$vnq2Uqx<^d1#YWRiiV<;k-jXf&CHG1 zybBOtdWLFNix1%~OUb*N1K;QNO_p_XclbfLBaq-CdAPX-E~YZ3xQ%X*rFoSfa=v!s zJ79779Fr)$VNppH>t5KvT2}GCN&Y9j06Teud+FSgoYE$@S*?WNT9zW^C{lXG6YRhr zd5o@WzDT)Pv#LHz4R+wQaZHQfD!oYa{R)4C>~_ZzqHSea*6ZGHKJn*+@$22;CsKM< z?80{Y&$pn!XcMFOA&|x)vnYx3`KY?`!+oKakCFJ4haCT=C$oZ zhvSKA+nC$7*7hoS20++j_FS2Ai3jrN-xa^fk>hEmR2^moLM_|L?}$ShTFu;}SZI1a z`PBZs=U$IG@#2-G|El;-!x39&t?6|I~`WA3lZ_D zz|`po1p)>n4BU}_P+yIu&jk!?Lk33#b!0}x0?)nrg#O>kXuxPBR{U&^iRT^|UHs}l z^2CP5Fmf`X>8jn?-AqxhKlr7Tx9m$U(VH+}%9JXdAfa53VG3>NyXYL=M+u;(QJr~5 ztJE#^<}Ct{0<~}rgw@y)j579b8KNi;Oz%5>T)-E15Py&Ya#|C*>9i7L!|t=I+x00 zsPf{pSzvv)RL&1`>&46OOJ7?q8Y|R{+p_I0Dj%3OQ_oV9JT;wB>&Z#ZQC2QlhWmM1 zraX_Q9b8#X1b+BP-MA3>_K=f^17v>;+!drV#M8P%lFwYMy>;95vR8@dtuj8OH$Lxn zo-exh9|YTybCN&Tq$#6ecyiZMWjJ%|i`N|c4&J2}(X<*RLn~%WIfWY?Nj{OTtuoA z)mu?ZGG#S0EQ`FRQuo zm7ihpRO7cf-HNot{Gp`}LqT@8Iqm?xM&jk6a=SxaDmd9#@RL(J3^m<3`pzBE@Gr!t zph{+^9AID{(@;{#)6}{jrp8b=dz-&v`*Cm9!Nj*2Q3my^>d5u}{hM1MM%47)Dmqif z!#qhUB>OLr+$wGUaAg1RQK+W;N+EvlP5_>eqp@^7C1$cVT!b1jj)HP_ZR$41G80lz&n*+pJiN0PSbsPLyH*^9}YvFmx%Zf}LZ zNKJEVuv<|ru=$@eNhXe3E+*u`8^DI$A@2L_=)`nA()T)8^U3)=x)WXrOa~iX&WqI^ z65SAY#ub<>O`qs%wq?cuRZh_uz}y9d0ri9{5|1$n9tX)*F;77lD61)p8?-1Qf9n}6 zJAlp!q!a-RD_jOUhJApiiCZhkj9AB84-dc03RY(2Bc8hfWP>S8hQkB6VlDCm-6b7v z1Ti>%1^vwMH8Rz(TNnZ3w{iTR=b(MVRx;<+TUx4!Xea+Q!0b@^BZfM{)y~85Xtrqq z%!FnO!qoa%YW)%5SM`}%3=4%O6L)TAMZ#Eq6Sv}Af-$%SQ6nmWpBFiX4+rG^wt#B6 zpLH>@|0JDJb18<}VI$G&23j#1j^TAerGa`EV-9@(MfAOeC$DZ7GI}e1ESTyLk21

r0 zjd=={6?qVd9$Ih8d|8EL{d7q)<{Y56B@5}gEDL=c{d3_jLtWi&+KQeE!r@3eer07p zWM$_IerE#XxU~Y;0hLL%+g%|Hg3xP0N_zQV@0I8K=NAE`Ku{tQ-TajT^Lpbjj zy-2s0PwNJ>a-g>hQzzM;e;}n^?Zr#Do@6?4*Si$!?#hv=y0aasvMMLEtK_C5`|Or} zx=q*LHu1gR6E7)ANEtUiJ5wiLDsFi#eTikPL1uz{N8FR)pNy2|s$ z5+8*Y^JHkL0FEVnl%XKU>3f|UTzzkapd|0-qi(eWzv;6mh33!!3s7MQl7G$>4519) z{Mu^`@z+d2yP01Z1T7I~&h@b6P}lXajv9Qz{h=I_^Kk6U>`;PQ&G7(S6AiEJzI@L%ZWE`UNEKqF{)%>t4K(y=%y*r`M_`U$ zMkKrv<;P3w(ycpOZ2Yp%^PR1ab8J|RJeBZ0ba?)G5mHjF<}zlY16hvED&p@g(RiIP z#Fz+UTM^>ZJ29!i&v!n_7956D!zA8kYfRyDGR#Icfk{{$SubH|xl26UISPqwfbeOE zTSlQD40nd2SRd|HoSkwnVO&fGo^dGEIUzmKaSR@LFQ40^IOc0N@m+~+5H5&ki42Ag zZE1h{Av~{Cugs`A-pksMq+tvqdYxF$(#sGKqwNI$B#Gz8CDdCE)@2 z*ea-C+m)T@;t6ePtS3~#- zH6z|nv$BZzmpmA`Uhjr<)w}5xijl$azq*)DumvdA>%z@Pa*IE8(1R52u?ljp zTR`zZ{vS3Yrj7^=DUX8s^@_6*sMn~X{9zKvaA0mq8SrrHf~s)a@>O=VfywW6&12G5 zB1dnwuhgU#VYjkh%qXEy^K&9ZRZ+H1mx%apBAFni4@iUqlD%k8-I?NqeTa53p-5r~ z^_WS!o8-UtE&>7!s$6yDWzIxmn#VDfLUqCw6ON8;2SxklJa}7a-bymXl}1>^Se=8*k{)P6p|X!RzUTd}|yOTfc8%sC2G zWO`#tkh(XzSI^WYS&+mY^6&hEK`2a@glheeLnc`l_$_hV*=i8ckm0XmHcM@bcY`&|Ler>V>RcI<7;JqJZ`m; zLgV`Uw5&~G-*9%+Q^Z0F8Z6Dwej>bVj+e<>TG%*Frw z^&gxR_6J&Bq139+4?F=AVg|2k)DRXKIXXlLr|lgl`(3oPYi#XYGUrji-e6Eq<}sV0 zXp8@DxB~g!f8>m!kI4Rkjx_JZk2SR_3)nYHBGU7868V%0&K3SF`w*y`Six14l6v^8=-?w-v-df=IvI%(3=JmY&J^|gx`9^k`5|eT9sE zYX$o-+xzTp6G({#Uvb^3Yuj5;Pz*dPSO&4}2B?O+mJrlpSm`0Buk^RV)-f zJh^L!Q7!?}(?<-fweTeI(ULp+e1B&dc!>==i|ON&kSMD0*@e@KMEnd7FXP@|nZ0=i zW%SpQBWd2w93NZx`Qz}$TqAiTc1JaxW_XI0dxlTZwvp}SN@$>lX@v>0a{g=BWzHZHAP~AV+$J;DJG|}DUDxtk`*EQ&B{~lvBQ6%|H zk8$+?WDL+gyivSa@2F@J+!_utJ#jn!X=X?kLn9OBVDvrKHLXZP1XHF~G}lKozDrvk zmC965Y@zjl(G~Qtu6FMDIa5x?U!ngFO%%f^Ml(P^Sg$3vZ_P9h;bGddh}ctW!j*-C-GTB! zSJ1ANwV6pB@_W#?6%k7TfFtx@{oQszz@iQ4MG;rg<_Za8z@XQ z2${_gt@u`-JbfrkIWLgL9BXS~%D`QPjw8Ps{UGw9KNCd9q))2LQl5p`)n?OgVb#@4 zTKSVDkhvbCedhiBY0>=t6@PoHd0C#wS^+XluWo_cmU=*z;$SL!*2Da&aHu>sNJ9)} zdncM0*?`ijpcgglj-vLf!DpJoXV6V*q_~3JF6%Jk%QdgTua_oz=XfL&A}wR&-6TUP z=xf4IEOLy%CQLrmb(qvIdSZ!K@%RVu4*?Cn0@)hD5tJy~7hLu!Dde)>(WAs8B#u&z ziA<{yBDI4gsdpt#ppLfbI52%EQ9v7UeKiRlf02RCtj)1?*-Jzv>X@3${RnrUyJ6;} zJAEwD%o00JezMglo)4`56-1F|IscrYHC28k6H$P40?!)(s zAFTgw0ExOG07b6nE9A#AGGM;7IWIaF+e=GQ8l#1H;Z!H|y!Qh4Z7G57nRtP+Hm-~X zrVlV)o1-i??EqkvUpu+WKKyl9<;ugR$M&&Uy1=EHQR&9^Ijn!Th&nOWaFsT~A9ak}}&A~+3z>D3++6!kx;W$q<&rzla4cUb? z*ytptY?$0NcAi$WvKr5f}d3Z@eaGe?BwIzmNkpxZkg$ zL;^Wb3*3xj^;k2UbDsa5*u*y+_FYpVK5q#gsr~?Pn|F(rQ#SV)9eGa<6GYL0`@m-B zcr0$E`3HAk!pD6~m!+t5fW1luST znRoKfhaiW+({soRrH&QD+dA*?09X9z{-QL5lC1%-7E4eiBD%SN>$7NHxpqWZ_Akrn zRILl~k~5*`6+@OVFu6G1s*8smg_Lp%b6f^PE-x)sGt&ZBBjO*3oX6Y(!AZ7*Yzd_R7F~~6qhjm;E@5D) z`VY~tv|<(4AdoBLN>dQv?#J(~PnJxT)WC6%311>DapwEpj+E^jKO?Q;N zkucy(arX<&6#vc#e=6TL$7RTMSax88bK6D9O3zoQb^dgchsR=4mXR9oUf5l}mpQ*E$64XHHj#LF( zCLuP3ms9p_ps2*N36?iV*BuRt%_J~01xgC6T-2pCoY}Di92PF!fqh`v02Tn}B~t;+ zR58j4(v(G=btsZNYc<#Nbn?_c8)n@`sWb4fuwFIG*cYRnnIlo92b=QbDk(a$+mVPb zPV`e?^jyu@{zxixs41ObpcustE>zB|zJx2c;6xwu@}iH)z6p zr3f*JARNNE7VgkE&zup01}DS!m5{J(Wh2re8v)rcAwN;Vem2=bmY$DJ_7WlQp(Z_Uf#O^0A{n)vr6Y{F}fv8 zdQj<{lR=aUb}}ivqW7UO$%j7h8I^qVP4KVpGMpdOC0pz95hNay>h7waPgdx8+4Bx6 zoJtVP82=c5U)xEfjA^#K9CKVaD?2)L?a17{hi|`~~dK@UTOq^nxi!@hr(vDW@Ok zqJxBo3QF0+wUdc3$R|tYvH&jnUoXjk%QB8erUJDSzZi`@Y;|5m-{!N9Txy^&vQ2ez z8o-E<{O0dBe)CGdgE9mPzVQ35H`k5vDof_WbzO^ML)qi2SEghhVkM{eT~w(unwe?D zbRwpe*OD2Pk5`l<0rS-TxC>5L*|>W@QWsrqHv_)W9vuzeJc!D_w^};6&&j4P zUke)*ZrYBW;Al3#Pj@z=WCp8gDW^aOJJEJUOjeHR(E^tZ4^Vx9 z-WZ$#CZ#e9r5|&8NKbrMU7D`-L>h;-tuJo@$*S03KS9Eg7Eq=?`f0COxEBHnZui#6 ztgptnV$`=%PE1{`MMKOMz9Ry5U8tb5f=t5DSr04-H*pCqFYtP7`O%SYU&PKf$m(NT z05Bh_=0G4@3AuV#J~!ij>;&;J^cpPf=iFckqDe~ksN{Etng2%IL4ZjrZ8x5F?~5mK zE(O5qi~U~6?;u*28GZJnX#JFLwcjE?{66IX<%M^Mi1I8WsScEF{*v8wY4pE zgPPC(h#Y-zYR3HBzCxI)Z7d_!+6B@|@GVUOd#w2v%`@;`M0y-hCJt$r#6x8@MFp~V z48$7_m1qNl?9A__78qHmB=jHJ{WA1n#K(BlhxKaAZ|91j)PWht+U+_(rdyku*Uf@v zy%#?iIm{@}vz>vUlM6cWKr}mie{4Gwpcx4-!C>5d`wa}Z_wIx9;WqXeywZ-u`PjCD zK?Gzb_adarMH?$I1P1Dc*1D$^$aUAK)aIwvZ3U3_FUp~Bt_Or@DWiz%*Ye~`CUfA5 zs?det20enTM}~FHp99?*Hu4Ztm62Km-*PnY2ia)tJI5A*xo^`zLy}wo_kni-39@}^ znYRX(O77LvoFt=QdHSqM1?+?sG)&Z4jO;G8vrrLyM~y66H#k?bq?xi7nyVWmzP2~C zO24;(?P6Y@seu0~Hza)BoiMPjY~wfE_x9Ub`Syq2tjsHtWX%Q{VAJjQNqf#3S9}NS zDf=`nfKQ!N#L>lh8xscg9aB7yuE2g9lQ7*OVdzkZGGAAB2((XmwPX>DaB4$Q%tcvo z$x8ktTjab@3g=rrRdbGjuz&tT8dcDTeV?e5zSx7T4s>FN_d{ZYn_K;Xj@pY4s<8u-PY~Nhs2hAyPpNH6;hj3xT=>JH{ zS;Lj{HbE%wb4=L%mH*;5CQr)yb6c_M_vUMWg7SL3{rl(y036-)e6tm;7qD)p z;sN=8lL9lP5s*|Id)-LGM#+UBl1_9?5v=sH=L|t{sD&VO_GFJKHPsGn*sym8hbSrY zGtu@D0Wvn!5={Ii(a&~ovOW0vO}qRdSfb<$Bkbo}1Dh3#MH(y1&#iQ=?iOh=CWoIp z#n1AaOqm@#kI^itcZjMV{!`8phh73X;nK0cWjJRR6a+D6xcLaN!~OPx$i{Kyip*iU zC?`T?XXunf!g}WtzO`J|E75j>Z0~034D_?vW%1>2w zqXN!zL4Xq~q4N}S?30Lo0&YuW6hf&$w@PrTjAzs1DIH&55SIRli%fd6xl%e(D;7Eq zqX>KfUg+)|lDhe>jHS0WP0k@;7}HFs_&(dQ($8VyQ0tl%<>sOV5^9ivss@S_vRARkR+I*`Jp-~E;ia+v(ulA(o0F;ywC$duWYbZ)GwhF* z?g8>q+KFBqtESORQ{Cq%ysanajakZm%l#fuDg$qkLYAZj{fp!7OGO&-!Nk+dWWq>{ z3AkztvhC(6p{h*))ipCY<vg1qXu5D?Kbt7k5ms1(2U=L4iSuSnX zE;ziqpk=M#yOqI{x8Rkk!1Y0IiVRZ%$PhoXNv)GBb;FK?&hOQ5dBwHvATt!!i!Dm5 zJWBq=fEaCWFQ~{gc3}mufSajfB9`|RbZn{DJY_aurOcpk#Kosr^r6Z3NG?|Cr_4Eu zMb7T9a&Ez_}x|xiG ziFw)DZ{m&F@gCbv0=xV?JMC9bi(1ad5UsE=wcoOYa|@5izSF$Tc`oH1YbpaK4TQ^r~e+~ZgJONlI9{! zqt>kEPh0HG32DoJ>lh~t2R|nVnoXw&R^-5(@L*S`-p45*H3KK>Gq!4Y7hMH zbxOEQj`FZhy9V+LnmkUHBQ(0sN`2n=Dj|l%#8p?lTkzkA`VsCU+d=taW|(*W#&P=Q zw1={*U?Xb|ek%PLd;;+yy9zhBVgLO5F;U zCSL*@pJ^nm?tuT53G549=5>G!T2#>2tDS4%dUThK_Or5?A&>uZA0k#6rO5TE+;VP~ zFaQCL=z{8hxJ!!4Mh7FmZgJsr7_FMHzJ)>KN|&_^Dgq1YM2@hd8jA%P;|VSdHfg4q zk-w`?C1eunld&HrSNQAH$FSaJS z613L>IV9$L%8w~rw!S7B|4Dg(HTU!rJIQ>5z~!aGyMU}3UVFYnNW&?O!hW^2S)G1| zuFj)OIx6aRTpY1^!B=6*CI6?QUMP<|&2u6%#B)X801uM8?~4hajH?7X*nE;X?pItdHP^uZN}`aKYng zMNN!zJRjNPP|i{8fJ+*<6vtjWRbm`l!q6rut&;Nz8}Z_%q>Bxh?) zQCKa*+&-uh9j87VD#y@zk6*wxunQ`30Z(41;x%K@qC@4lcNJ;iNq?++@Z^)CC2hS4 z4Yvez^P`1(&nM5jMZFPP^2zs;PyV%k|5N_*e-rs+y%`?R>DC(M(F1KCf~Ap3oDAri zAh2(e)WsxDOdq3rZ(qUUhaty`rz2aW5vPILQ$xfM3_}k7lMQ%HRPcz#@48|ba;&&H zfK(oqZ*pYk4mw9xoEX`8Uat)Nwm*V^xE?wTIS#!#fQ220J|kGv;43aB8FE|=a=sdT zHS}AOWI$(|sQ91P8hn8?Uqh-S8HOB$Dks+MB}~JQ-koNmcn6&eB2$wbp@_-1hmN5( zRbmFu;EMA7IpB%VHSuE&&a>PgkbjB;guL0= z3O|bxb9%6glrb#~MD`RG@tiZql8MgYAD`764RxtzCTE{(su|(iF`qC}&DVr)Ocw*t z6RC2fuCJl+#1EEJ)xyXb18T4-DG|<02aWygbhJI@i}&*4_HfR-MT_?`-@&{l9sTh0 zf7j>!^*#;JdoN@om4JMTI1VH%v{2)2PBxDyuVegzcrQbzq*zBCc>_0R#Mj8i(aw>; zzA56k6+H<08bhZf(hw6)N%meqv_SA7tnFq8R_km-4UzBG7X1e#JIArXe>3njviAZi zI(MD6ob8b8vQaJgn#c8;7)d6X*F%cA>{}+d+|{;T?P}DcyHv#{L*ycFp?ggjdM=g! z3l|_hHM8D)j>q@J8#}|S!&hus-DO10b#95|C?cy{N7OvT<`cDW&a)XyQewW%ct%Ny z^@QiOnDzO$=o+*BXTS09{pL@Pq=c6Ihafj+g4=-cR1(;R4Y2BhZ7~neP+CE5y&%nc z6ZD<}fYwRM$_PM739ur~x(lLrne_?*x!LTC5TsdmL4%D8$ZfD9LtYmIq@8IF;g++! zeXJ;zCTB+gr5p~8lJNP=X25ceH0!=%&_|$pJAj!L3Ils>~*!} zi+r#sT%ZAXN{pI(s$s|M`(g=))?lh z8g@$$24{jA!v)){F|^egR3Q#6I43*Dm^F4>8D1a+=_B}xK#kd~vE$ap!-gPz1Q(21 zV>`Aq)~i+|njJf4jqO;$=p-5Xw>4wd*xE=@;^4}%=)d`j%?V5=99A}zH%aEZgMt8n za`3m%C20I9Ns=y{A3bJ`p>Wjy>WW13D{8aG*f-E$QNBSj7YvLJ{VgwDf=8bVhG}qv zheHY%ex4`vqH32Q7-0r$=6i%a*igZ4#ufSo@-IKz>k$t@{gXs=rH)9DP$sGD^5}j~ ziox%klXMJY@uj<>hqz-*uYNf;XG|}^`vha@+cJZ!^M`ss2Q2o|C&&a{s_s0)KEb6i z@a5arUwz}%58Xa`wRLndj(2$U@j8xk>;lT_9OliY8B`H%v~5x|ooIop1#p__&&(A0?;Hs@nqJ-SF1a~>;)jP!@MKx z2M8kX&u1m?&+XX1_aor&0aBj!S5&}i&Dz;+BaTPeALm=z?Eo4_Ei$$15%!ag4_lh| z*R)z(4*lZ5AmG;mAV0OsiQ8U))2>7<>4Ns2j7DiseyaqNrzL#f+Ico-zDd1&2eua$VS1T(BZqiuyzIf%Zf1 z*~TR+;vD;{E~qw)iZ;B~VMi{?a*_$2&i)GE-X!D$_PxIx2@c!QF&Isfz2H-!&Q5Di zs?DYbRo7X_qZ@(gqLeDuS@gcHPW`X$I-b6Gz6S+`T~1odbYzI8!$F3|LQ zgr+|v_#Bm)tGjpXS)Oo+pIAN4TfWafvU}&pfA!{Xzw474i3D<9aM)Zu>K0L$LaYny z3MdWK#j&rT^{5_Q@VwdVHAAu48eB63sUBVM0Maf6;xsf&2vR*ZLEvdcO(2hDeW5Nm z`SR<#w+cU~y<_?gb@>i|okw20{ME?UZQU%_y|%Mu#@=toZS;R1JUrfHKj(Z?kd9RL z$w`3zUE`N+$VNCd#VHZ}T;)5bqIzL?Tww^qDG{$D@6SggoFetw;_!6JV0+U3yzzN| zZm0bZHyMZuF9tqd-ksJm@6PSmU23e&C6b@UJ5C-79mDl+wLOW~zSRqV*3f=MN&NeYO8N&c9qp^VV9rDwKxJ%ADn2JS&M{{qf=pn4 zvX+t%%$W#q2LjzezyE+#M0YVI6M;&DGCiITg2^bCT{02CiyD0Mup@tCm1HdGzYrQU zeCY7e!V^Eu+4fg0TI4Lm6smC!A6&Nsae2X%=CAIu#=6{CVL{IZ^RL1{+dsY15+#0w z5E=VKsmt(+#rb{_oNs$z7c>3h_D;;ZMT?n!HUNsx`_7;Hg}*3zClt-ngDfowWV-=# z=(HJu_!CdxL4+$}@&pozJhzmoLy$qBZM}p+@kC>C{?&?1H3e-bzQ3;sK4ujC$*6ZA zi%=01FW&`EXiQMS-2ezG0e}hO$ZXpn)NqG$s4LP;`#GY&Lz^%6*t5SFN&5PVz*k7< zi*c5Q-H59eGwn-)RGI`mI5r}&y5yuuZRW0gQ2Q0(tZqMDE=$*#*^+pdb#y!0&UQ>t z{*4l8UJD-y(9z@?z%tI@x*CGyP$T@+;Jih=*hEF)`jFQi;>DJ}xVCiOEm~~pv$3Ur z`wKqz{IRg5mYGGKlu?y#AqO{?4he(Iv=8yHcj_}+qtu&__tFv#hAgelhFT0k+EPC> zxPDl7!MhKHnu6UzkhatXFA^+8y@`iLBLeN&5%q1YsmF@6rM@C+Lpq-gErEve3&E&2 zafi1B9g>*P#}_&a+)k@tM%s-S%xp_Xz7{L03yoEq^iUr4+SV0~2VuCUE%g%h-ec6e zupzBw;PM?F#~UAh+k)s!H{NmK3yAKuS8o#pa?aT9Ynlq)|8;-juRe&vsG_w!Ce|$& zDli3VuK@bxk(({O0+PCRfO~5y0CrnrK3l`=cv}$(S+w8-o`dmty`ZG79kkw>3by#v z9a>V?CWw(T#MU#)-Z6sxAscS#< z3X>1%8DRVl*^tW5qp53?1ONq4C`g`G1NnP8T7|BXvDK-@# z-QBO5@6H+J0{PLw$3M6r!It^$*<*~8T#>R?byt+{Pa|psqocJb#*ZXzWWFYf>VyT7 z01F70{ygg)l7tC49zaeV^-ZNK^sclSK%+DII@!xFATd{QAe zD)wQHG;seaeGF;&2hInw51BzJj%F@PUp@Ejh2!>}_sVe#mnCTdfE&T6M5o@TU$3;@ zbS(vvJ?azo@QE=r^OovwDPW{{G3*+2QR;F{bRnL(N8%Z% z+VrMF)#BGZgsLSi&EW~My!J?6t7;cu)AIv1&AUatxmtit_XBMDHUIj*_}1@=mUF!s z9v#}Pao8-&ESo`2wwU~uR^N<6XOoXG6Iozda&Ylzu{H#=$v=5?h;rz_+o&cVm0~sdyThSB$<$RKP9`hG zLXW@hJ($#00D#kOqYYl*1lnm3>!&&2kUxHO>!$pXCwlR3Zq6TN8W}Oa_;SRg<6k|V z;>X8mN3BBi^Gbw0wFO#GXfb}P-o(NZos|BFX8drCJX zMpB0t1&4u8l^od{a5AyM18ye>f(ExYJ=TyE{w7p8)|jo(dx^=Fy+O1=e-dkMH<-cD z`IG6vzQN#MGFo%ZGh+KrGCkQhaHCo1z>|GATA=Qa46Z#r+BbVJRl`f9B!)?JYYIWE z?Rc}tFbTX?kc7|OpQm(*xg@ZWI#h^j_SY``UoMGb^9_V|emD%?Kul+8vBu2_f|ByV z&uVj={fg$l1h?%axNR>1{>|$i!)``Mn+l3Dg=sF)AP z?Kt7x?q-MNU2Ch@t#5Ya`XNyFuh+lZ-)v8L|Lx7L^hH3R?$7Nw{+4<#HudppKo9-3 zeDibOu}fO=!9#4};cTfHY~r_Wn^8LJ**u^rOKt^J7rn;j`O~prTF2KsfH6nYI+(6h&vKU05|4(?O#7fl<68Wj?)Bp4Uhn`BL)RQNq`8+q z`up#r4{ca9(p|c4ed7;uD5X($lqXJP+j}yxe4iPh#`~fI*S1IcKuI(P9EkKP8RFF)LMYT;q+*;2zg_BO|!SHQvYs?yT`H4&crj@ACX@ zdLsV&v<`UUfahsEfV?b`ZHrJhiZ^0ErS;VUTP%%B^{15ZtQ}p;!bCuO*yC%?*PyCAWP)x$R&2`+oMq8s$2YG2p@J4^1z? z1)~=igkw58EDlXCzy&cCMiI;ypJr_DAxJO41rhcbH#_)D4H%}a#I)G-0-7YpUo(It zJWJD$zT~7GRvTy;Xk?&j zWnw?BlI-qCAhR?By$H=f_Ml#CN@v7L)b0L?Of27<0R}R^{O7!H^|7g`5itw`NWwlo z$$?C(Wb85RL0?h#QIvPUwxDNDY^&#Z305Df_gw-Rltz%kU@{29?K{C7$iP)1^A?9e z5O`QutbvRStb(94SyaIs$Q;l@DF7B^kF4qz7gGZnVL(LFC9tja(36UZFR&`7RvUQC z+JL;`_J!BRd~>VcW^EE0K|bZL___PKEN+2;FP>*Cp>F2EfuAG3o_&NkD$gPHEP9U7 z|GK8PpZCU4rhGcUNZsAboZ-4@kX@97$W>liZF9VLgUO&eehdbO0OTr}9^xBI*&(s7 z4@i|v;rIzGvDWlB8Cq<N23bn{} zt|%xSWvYls2{KhQP!udPFW2C!EF~bR8rB_3SlHLR5EhmahSYfS{-O;dtdtsAlu*b) zS!zBgqSdfc9+}u*_%+l1C~FiZtPNFQKE9~Lw!ai_BP>M)4C&`sjuoxT6}25pX0Zb* zb}R`*32LGQh7wM#d7&g%YKBtdN$20ye6~^}i{qmNhLTb~es}%YA>TigylM&X$dX## zpOzsXUo1uY{e&evem{M@qAJAfeJc{71eLO2C_&-JPUv7(^Dv({frU|=iyZ4i6faSo zOaE~3V8zvFm8G{#3F-&m^Tq$b4NVhP1ip%MfS_>&0nD1v;K{TyRL zC#G=p=g5cF1vx%jkj0okWi~RdI)`HWlh7@YKZ%H+dgv00Vfq#4D?pMN>t2!%u^-&f zC9L|bQDW)VWJ1;@0V+{rih)%aJ(?va&6#>9Zpa*HRJ^E&?^Jj^=^8G}Y%fQbYfz$u zp^$HA%Irf%U(E5);$)`$r-!8Y%W9Q0%*CvTH{dxnvfx$pAOwmYlkK9o%CKwD zL_;?vJvcC&qRN^cWI8lM2{`+@KnVn=lTKK+)A4b>na`1i`x-key-Km1{ya?&V-v|* zRjvC6mTmQy#&9d4c$4rmr-5FQtIsdFYB&7KsCr4R-cNG%8$Rhrf5tcb=msj$o6%eJ z7`ZTFsB>~PCMh6PtJbA5A_6hUNR2&)L$g{ex(`S=6Bk?TmCdR$M#MHC0c_()C}hL- z?srv-zGD+8^fth|y3);{q8Q?U6kmBn1%T>tnHF!m7AsnKAMPbGd-0Mo>e1 zXz%GsO2YNZ8DrBVp?wA*s!yg%3ECwAa3Z5Uk^)FLSUYPZ$&*8Ra{`?-Y6EzNF!-wX z3dPF#nujf2H%bC3T+egLq)<$9wGgHJ(5=w3+ecXyNkaFf#R>qb{r6Cg_3t56!^L39dN!G zdd$2-$=fI(H0GShcAUSYWnxnwuja4nuhlm3Es`3??G8BkgLD-mN6|K!0zu9OTn8(D zJKRhuh=<5`q|N`Iy>|h&ZOzKUI=qSo5%VgOpve&k#JaVu^_c4wA`RRYc{C)P?jTSU zhuckea{|5Zq5FDm(y0)%jG(lHf>J<=KuSmejfrJK0}%ydw1S~fFp`RhF%XPV2pR|i zfqdV1{A2w8oNLax&e?n4b8pYCy1mytYs`PnH6P>ojc?p;lAxb;7~(7;-r(9tf9VFM zl$L!R3wv(YGBu35zU9r>b#z?V!|NAuwCCO~K26P|F)~UFf-heAnbdpnM8%1ZRiD3p z{)OkSAHGS3naNMP=6My#vQQ-`KSX}O?7PAOP|3OXu2`Uqhvmu%4_#XB!n3x4YeR%F)ZZ?Mu6P^~3KIz=^PWSHF02cp zi-zst@SYVH4V@Q)bYWfav~_Y4)_9t8q|PaVCl>put2?I&j}^s5b4d_z6BYr7W(#C= zvt;UhTO&QYL1{%K1wmb%W$JxHc6HS$SLvxcVsYy5bo6|8;L2@riFouq8Aoc#e0z{& zJL!31;8Ag`1mW)zn^AqWu%@wAnCYJK#4hrs*F94N2nz%Rg-y_Vc2eA$xkB-ktmb}1~jI^ElgKLXZ`kA{Fqs)P3Xw+fOWpP#? z0WPTra>p{3z4q_COci?p8mW7EWi;(I6E>;)56>lX_3VFT;2AP&$@39UFuO{P=hJib z;9KD)Mv3LNOH4@RRW&uZx>bp!_3dOkd>hu3axN3D4<6@q{!&9pu5w#bX*_4SJjFLc z9uZZOsESm^a|DWRZ(7*Tc~JBvUw3!;x_P&V?#36s;~jA$@8}jK4@p15c$K%jdYJnD zRdSK^W8PPs&ElNZ-sXrSdeby;pY`BV-uC`6K2Wh7=UXD+DYQ6<1j0Z=?uiv9;C?n> zj%xrDw5EQn0;zmS2JfcwJ%T6ygY-y|Kjg{(FssjwNlGWXYVxC3|ri0u`ix{2~ z>9w`Lco}4Sg`V4m@9gGV#QZUATg2{|?`*ERwbOkJb^A9y_fP#hyJ>YR`ea(&#x#Ma zmuCv;LY;>VmZ=^qR93ejYE&TmVJkDc&#GG(;}K3M0(e~Ejc`I42Z2ihwGWM`O0-Wx zS=mCA@RhBg1HPge^U-ZY(Bb@vQ-jr^zl->Cl7L*E4kY(Rf5 z7_iG~qN5lvfWDGjg)|(l?k>5j0DX~)>QZXnc?z!AQ+xaR^VPrUw}0Xvj$THc2J5v7 zn+=O#+{nj~MYcXc`^wzaBDh6k4;{A?IPMh65`w(0E*NvLI~m)8OkW7nU3Ec&yCTPo z{Ivo}Ly+#O3j(RZ)*>XhA*pHW5Tv{6g1dsEIqb-fULBhHvj}?T0MK3Q;EWaNuDT%b z95#Y`FNC{k#YqiTG!H+hg6b#0jzedo+;<6*O;mblM7=zvJcrH4nzm@q z@TDs3j%q(todvUGKpD4+_a$kq~xi@`LtfTm;xxVSVThy9?1x|l6aQd(P$&dVjzZp}SG!F6doCoWp6Ey$j*xa0sMrK`6DGIpBS>{P|MzxBWaiWiAN;{E@tmEnLeea{=5!-|UX^28(^W zYxv1IP{+Aa;bPxz#=iZI|NOJAJ}~nC!|)TJJqf4;u}F97k!w`&lQFVl+Bb)vBu370 z4r0ux;JuXb1pebYph8MJIm8~UgP;zxY$k&GXoLIFEr(J zNkU9l87hwITEkeBY{<(Ag{|-T0_S967fLJ$lpNdwoe57Mf=jkJRSCg~ws3JgQgF#v zHJRr3E(FT&*}dz3`0Q}`_1$%sKi=dG=#WGuV(wm}MY2i^Hsb;4UZoP(&<^L6EM0y- zv~?gNg*Ctk&+M5Co=)iVl@$jV;j>Bv)gnm3s1os`T6keriD34^cpOo(n4sSLYH{yO zeeDj!=qqZ_hR51jL2XwmQ5lCta%*F9lB8ggT_u8OLlieExIJv6rbqnf-G-!Qz$_v> zLhi1z1^TS}njmhJbRcLKd$&;wT_%ZCB94S zl25h{ytb)qA&NG-7o~O@dKk^2sFo(Rjx1l5^PK1&MGHXHF3J{%Dqw>^6`;y?I=--r zq~n9Fq$=A?v?NGXCN0OextNvvXx&BPUqd{yh3M26ecjMsnZ9MaWwkjYcgFmmYr;#@ zn=_VYzHR36ZEB7v$2a{8AO6-f(N#yox{c8-T&nFBj6N)rK#%B4Z(l))(#E(TDz?;j z5XATmb!kJ8kC+P{P>IEg00!>i$%`5ZL8;LA1a4Gtpue%=1`JJ&pj2pFaJAWq0tF<~ z2DQeD)L?#SKxjD0oXt*aLpg>ZA43-`L;(2Jpt$7|7lKlu@sZxJR-%z%7TB|232KGL zB#$6wf+57KxCdagmE==d4i3I0F>n|t&X%ltO_mK^62%_Q>O%eoh|%^^ij8qeV+p1t zhhgP4CpB^?(nLDZ0LfL~4bTj#MxC#LMJeh|=STwdTkqkrEh7|=tw+$i<)j}Sl!{|Ty-Ks+ZdrUG(iX{Xu@#;Y&t2^>Lm201f`=YH zlqTO-Jb+VL1>r-eMT-^dN|F_b4x1gCqG_f=kS5<(+<_oNQgZMmdgn-!?}A{0vVH}$ z$+w55$#=m6`W_^*+XE0%Ls&J_Rs@fr~n-X5ZM+PlpwdZ`BnNlkbw~z60WoaWdxJtI1DC&$lN6yRHL> zIInq;bD+kziPpr%(!7RcpMve`I>_3w}P(SMx zWB=FxGcWw)cLZcyvvckUl+++hh{XOouu-+C!79J(Q?QrW5i`59)kckFIg_~@2&*G< zE%uc**8gk1PHP!h#cX6|=^GBHeMSE}v~v6#rN$wbc%c{6c$I!_@HtJsat>-d(w9>m=7HqYBW%)`MJRMhx zCpZCF4yRYr!0hOz77Xtg@YwFe_GF|XL8SyOSP4e(f}GJ2wRg=f?vZA!1tWL?dPxUt z!A;u8D9~!f2wp&8g|S&uLkf=5_%RW@P;kq0#EM`JRYZ9RGGFPxdbc8T6a}}aIyL8* zf)^~gXQ+noVUWBlf|qj1nN=k*SpMCU;e+cz`Rn6Me1;CSiRc!7P>F3(aq(|Cxu{YX z&)MW+`M=O;@hN}L&+$!s(!-Co(BR6IuIUDfryVjmSm1M*f`wiS3`*`56J;A+$aYG? zJ!uOJf`dwX%S4iN!c$DBw+Rs-kv>A1$HnI*dc_LW?cgu!#>^9OS1BnT_q`r}Zt80Z z7G8Y*i(k-);121be&}ES&>wt5aA8gb3mae#B#GpXh-M8vs)&hnXo7DAsql(mi7I-t z*>qN>3&upcE#_)9ht6OWB%d|ShT)smh#Kce!|N+zOr?#k13!F=_@m)#+$`wpB1 z2VFOZuD~cjx4`fUbOT92=GfxU6&M9I5-n09>ay}*lBD7FgJa^St%-D~W(|`h3xzHT z6QC3J(3yrS&>TI7ayzs$iW9SgU(}P#wG&Q-n7cYr>@r$4Qm%BeY1^-7RyfI`dIlyWQmJJx{ zc#K=oCxE(_7RbUVR`!b%Z<#58SkDj1=F6}%(s|HZv34(5Xk*B{$G~t2gyYb)d$A&p zlaq0`y&sb_5IDO#(CJWc1t#a_S7-MEkRoI|aA@F>`evIcCU*re$XthZgHp4Hv#sI( znZi|k8YD1Rvu&;HiBd?7`^L(J!P2JkEs`{1eEBpx8Q?6`<~(FC;|3G1o>LgWEiDDf zn=eQve<8ira1f+i`HD%nzW--@?oWMM6p13E$ai1200M!+l_C+)AP9vrc%Vj$V0IT3 z4`C%OM_5j{a%Cblpd*l%MLxD(F%qs&X-9-g)Q$%*=eJ0VNVvk^+XG)A+7Uang1m$N zV-UnCz#e3f2068_9)oZBc z0%xw4XIyg9k)obbKgsBUa%twA1C^DV%H#@7(uzX}uSOfnW?qn=A6F*!<0|8IYE&vJ znt_EHh?&QnW|2%}Xf%ljVv`|RO0(Q8HPBoYX79mcsIag7E5H6eFJ6!mcSk0IojPXi zI06U}CUjbxMb1G{G;#ta+Qx%9W{nvPYfh4@!{r>aMq536s5T%=&Mmw)Z-QST$ZJ;2 zF>7gpN5tL>@p8;sn&5#V?njL|W{vp|XkO_hx^qf=ci5`3+ihhc*I3L7PBn=yx1>I= zuTT}Uf-X~g@X;p9nOyan`Sz41d~|Yd>zEZvIp3dX!Ux8>z3g>=W@*CjNlvP74LXC_ zO}G1@aM7~zL8Wp4Z2#ipld?LOudYW6?keIr^*974HQkzOcR$r4Vmu?I>fVsBDFQbY zvBeq7noe3Q6T}u#-km~hpR@xL=bNkc?7*y00|;Ad)h_RS=yG|xW0UW2QSIpDNiV^Ldqt-eL0R?4{2l*>AXPdb?uj zs1gi~#{|xXIuw(QHsAceMe~r=-5k&;XTp=$xx;P zN)u!{VAB-&#H0r}-+elNk{-aNNSt_5zDk^UQmv_VVsbU*^0;sLbWI;yV8nL^BQ~8k zk+g1&&|Ni>@wnG-J|Ss?57tvw+@#7}zC4H6|E)j$VITUMfDvmN$3quO>d>kH*Twi@ z4Ir%e(e{-Yau;MmNlLP?SWOa9(P~AI;4=wbzzD0Jd8|mq;DTnzCC5sFA-M1%m@}e= zH%5XCExK(gjfUJ;++#?F(*Nna=xW8B5#4Q0JV&gEJgXMnzIeLTIB|< zqGsHnzSn)oZ#L_VmCj!kx1f>HW$y@si^ zuUwNlMJnn#qDg_CK*%FmeB*sIO=^O(iD7pr93UBj+|GJ!;E6c2Xi{5of7m0)px#4G zLh%?OD4Ns{eL%TN1vdopv1VP)Q8cLu9#?StRB+AIS0yN#)C5mDkPn7t-&xONtf-pQ zB=@VerL>MDkExebP3n?}nV_A~U$XNV4{1#Tuxt|-2I^VN+ySv!yQWnB{09MxjT9ZO z<$h<>d}&Q7{h4H>pitYZfBJ0goFXKvh)}1h&fW+ZL_gmW%|oTA%aI@L9oXU>8F8A| z_->8~2#Y}JacJSvk#U0^8Hl#h8xK{Ct9vlL4b6t>i_|MW+H`pMfkKFtaEZb~K&%@xOynaLZuLPMeE zUy+DKHMjO1k~ea-12uy{BjIAP9j9_Kk~ea70ymW843G(6?{g)Xy%8WdkyoMLasZQM z`yga*d@4F-lW-(YOo4F-I1Es=TLV0`J7+6UK9?+qTqOfC+{6FDF~ zGJENq$#q?-sa#hUMyDubW60!1!^sqsnf5>MwMhqrKy^Ubj>>Tlvw6A_v>Zs-_yXR0 z76SGDb(`f@hOx!*Iiaj7WJ93dzb<4qv)%+L9atZw?)SR3K!0|YS~*}E+U`nJz#8X@ zP3()PBQh;aisSqA52LGBS?vN?EJi*Mi_C|+>wd2Y_K}Ula%I83*Pw;bLbb{L#oOo| z}5A@%iiLUwHod z;hW^7g%xr=#oyeC?@?%(-yyYhF~J<-Vt zFZ;W~63sgvJpB5%NBTvP2VSBv9Dx9-2+6X<$@5* zs5KNbz{tYadUGPhbp=MFZuJ$RV-?xTsdq?2RDyH?U2u;!T^t&|1QHwVq3Q6rAfU!X zt%2-iP4A;#kq(awCNP>;rtK>frs(jvAgV2jtUCbS)}Es{JVjE7t@*RTSlW}M5AKrS z9%IG}k{COrg(N@tq{CBEBcnXnJrC9LEIzyxeY@cjdDcbUUJTUZF~a4HPbzRHC2VgM zvA+vcH_SI+ZWnbH-zHRd75cma2ZbeG_^{_rX1Vd+Thl2Mw}{5_rJyWN7TfLhnKSJh zZSG(uTR%b(7so=JpW-ZFEBj3Jr`!#!zk2)iTi^Ia;fFo(@SH#U*Z7DtT8MJv zYh|ft0v`eP>K1z-wGXeWOA`&OGzM4nhPdVeJm%%bjC;EcsxfSF37~u z96IA8FxrA`zZ6{Cq`x?{W*hwaVA{AWd4gqcFJz66z~EuzCCHY^a#Hpa~~S`tLJ^VPVUe(W&y{p@~O$XxvKHMh-;gf8N`ZLA$||5E?h@p)B%>i z2wbjUve=(sca-xIIK53`a657eN_2+drcqS@RsPWzDe z{j_iX;Q%EoXNH>!W6(fRx6D~vn~t%s3`*yMhZTC~sn~aj=u{1dAazj@ga$(1RY;I~ z^iT4*=!km&#lS=)f|$jwN-I{RCh`@FegU`fN|C`KNK@g0Fc4I&IP_ME+KBu@kfy=~ z4}f5czTB@iWDKYT`H;9E?4xl8qeQO;AXXGp;gU#UgES8+7>$<}eI-f8X)cMVlLHaR zF5DS)Xp)p9n_VH86a=tb^Mqj!&1qIVQLv^OPa=cXn*|}sFF!haICV{MRBJq$j-Kxi zVA#0P@{5q?uZ})jt*auO35hOBk&1C3T2y^|Y3rx%<-`PiS_0>`xB*H6*W3f)<-$nt zM2rM5WVI$wuRT;ZuI@2u@_Lg-5Y8pJygv`USF;tny%x8n*yk-jq}V_H-~PsLfBu6? z4ORm(SL~h*g2#A1ua*;V$QscRN2YG$*ji5;o#95tyl88?2tg_iKlEsFD<|Mk)1^%r z&TUl*xF<|)iDpqnQ1hWYDtstK-?;KA{}5hV{%YXs>L^t{3v4KfeO(E4vh+2+mhCx5 zsLy8%@2l~V-IlAvdc(XZT>lM*_lQ1^``&!gHv&$@_TK9d z&7mhXx%t8ZnQE17AOep9OnZ3;K0oyiaCl17ss=9Ufa2$;{ilZaHE|7-l~t_~#nbBe zvYn2P^UY1ejB6Nxms+P3Bh&e}#mOejX;~5Rb4J6QUac1ONnD>e{%zN4Q6L2nqTF!S zYOCMBr3asWzih|#L%kIyH0{4-!8M;hFHqjUcfs=GppRE6?kWeQZ@%`~ZL$%l^#WDD z595waT) z0}qcx1OX##OPf?k<`N)K28f@Y%O0*lZRj=o?1Bf-Ia}2kfpFy!yy5jptAGLp%pS7h z3ZsY`!R&crP9$@%s1a|G3upv$=@*#<1j!TxI<6H=-W4fQh>{mUoJ00nkpE@Is{9({ zT*MPXWAvzZ#o>mW)xx*4S3`17tWA6Le0OjYkx3BAZF8QJ-j%xA zO;%SuEyK`d2TQ+jfMibW>N;R}4xe|no2>hq!$o(`{=uRD?rh0|dJ2!*aOa*?`*-oQ zUiXBaR&BhI!A)@{;(qwC$u)rRW}i%dW!y(Hxb~{tR-iv``Jq7npFHz1f8ln9-x}N{ zGJjPDSL;qlqarb@$}t4RvGWXWw?b>P(lIwP@)B_)`(O;4I5FWc>QZ7y8YtxMwIn&H47Q2y76<|>1W5mt6| z3MMP9H7dLV~I>}O<3O6G%kJAH`7P`H~;xx`qfW}XiniA9?dzp z1Eo|F?gG$5@^rCa_LUWgUC?sD5ClRfwGE z&6T5fJLOFVhU%pLk{^B26)3?Iz`^lZTcFfEXb?JX-9xyC%gG`k=Iz+JDlo%?(Vl+m z@tbX#tZY3R!sIj|WV6Y07%QbLIrAHhM|szB+jCMCwSfHt|T>;C(b1LO7FG6Y09^Z zzLM~*qi4>x21aAx`98zwGOV+GLsd6pq4n|`8udy9RRK7M_g$i|=iV+s2yceBdEV?T zqLFG&t=2{vOn;2lg6~PJI3Rbl= zA5cIx4-ISVtl-q-T3V@Q9Xej8`tnq@G>j7<{)lxvVHd8NLNihcF2)+!aY*jBXo_jq zOh_eIDM{|ajm5y%F{Bc%DTdq0niw|I{vd(p`c*$++?d3EX!ks+YY{(PW`w!q;Rqa_ z{31|uwl`ALze~bh(WA53w0$Txl>^HzUBe# zxboXko9s2uN&`cY#Iy;$N9$cvn|v&1Njw)&b*KkpG3o#XvwolJju8OPKrz3O&JSGm zXuCWfPZx&kWFOjzXv5HB6-EeaA7ZZ|_bmR+LRdFr?Oz!3W|*u}RwNWp4@K4DoX~$# z;lzahGQoaiJG>4};|6Sq?IqQ$1_)HJA=!@od$6fXDK2on8Rv$MkL|jGEiqC^=MP*I zVvK;T2^c>%sc?%E1)Ne+2EZxd>Y_v3+ew;DTw3B6E(RgnyK%-j3Ea_R#=G;DABuNh z_vikNPuoTEP#0>=*9B0Jm&|;XX*fg1CY!HOW0Q5`sIAH7Yg~nAD9E@9=TqxSbXeQmDB~;K0p+C^5!~wXoj5 zf=AcPNJmP(@bUHM^Z&_De&df!vZxfVet;Y$yZ>qSR}0pvn>Y|0uns_^*ou_1l^>kl z|J`w`$OR0o<+SBWu!7Qc8>JnAU@xG^`cv6(=~yerY-3 zlvFr&41RSZSh@e8L{YaIT`}OXuYXPD{s7$*~?t!1O0vfe4nGH%F zH8^dONA|Jr1XQ8X_Sk8==~f!QDhH%C?I&r`7gvRYnUmyW8f-PP{Tx&>;)8a3ASlUU zO!KvD=I@L5&d_wzxA=}u+<9A@HD2QO@br`C5#sD!9dRijj?OE zbE3wDjC4-au$>OJ9I4~o`d~FC2asq-n;&|#(}1?x1xFhVXse6hSf2rHwF?6I`NVAo zVQ8HH%PnU5W&q~W*tPk#?@jcvv))>zk9pU1Sq|!vM zXCLoo)q<@SBj!fScGNPo$3GoVTH^Bo`H!J`tP;^TF+0$>+U zEc4@|Vbp$OsbzDHxt=2vYg=IIhSm+RZ{s#;tA$?FE8uq6jE_6N6)wK|^3#aejlwLO z4H#hMVcL?`my0`eXUq)5ClP_>htYU_?8xQA~2gGYaz@zuhVwct|(L-sD38 z`}kF26AXTlNP-SApXdCC6ii6gZwiVegYT4?JDQ9r4=E(_LyAp*Nck~6q*%ZoQht0I z9@3F%JS|}o;3E_4>@o&C+&eNPRqmm5&L{Uyw!=MCkZ;=mQs!2Wsb+>CGPhO?QNq2N zk1r8KaqkHA$?hSLKzPQJdpN<6Bm`3NbAY}9(kFfn_>B0Hhqu`qP6HmHUETotem?}N{bf6j-wC5r`oX8G zu7Wy2px(dsrv=Lt%K<6|>Ed4N)he6#w%Y(n*?}DZ0C9ZV0r}*c9loXVL&pif?QiCl zJ8I5ypvpDBaXO~itJ7gD7NeYodqf#@6>@Zle&N%XIW2igVfJ6oPZ}$)M~n8H;_kB zfnp)g*+&&ao2GquM?!DOKat_kK8)j5RcHw1s!O8+VIT4_dX8S};FZ^wJu7gwX^PzM>MTS>I95&utimLm_k!(!+EprXV2ROGrZynkKjRQ51r!DXGRC} zrmz&SWU$@Sr8vR9!}q9uOo~bojCNb`J)qNjQEASxfO{Bj5m!8H*S#>Cezk?K@L>Uq zl<;+n?x^P2IdOnVa7Si>80aFg)k>zs!82%TX6F$lSC~$af6pwVu2#?j;t~$0j7`bc z<*XzQM5_nl~%UB6AZS&I$79UK0^pZs0# z`S}kn^BS~hT3l)f62#LMYN^{ zF&{7sVSnZ7!%5TL5$z?3B# zGu!bBecl)<#X1NY*)=Ja)=W?J6~^0aK zF?UfiIlv3`=SE5D+tx%^4AMvZ?Wa8}Cd`_bt0b5RUlSEavYcUV6b5isi62b=%o2-Kkm8wb112YX~< zMlZJ`o>EFC^#(`?X-Z1QAel2dB0|zDk$vHCx+kiqpdOI%MXaY($t-DgPRXpM^_lI< zRXqgti3*=21%tf5y!tsAhIg@SYW*cH2dXEGh*CXMF3ZG> zWnxBoNS@w_8Gro;KmVIIQ#1+Y(v#(914oGVIy!gg`+Mh340Xd8Uv=q2kUGEx)5MH} zp+G{A%EJX?`dfGGaq`eha=|n)W7TW3q3`d47#pe7d>H>>vxwu+^!;5BgP%Dll=L}B zku|@XE|Lqv>C=&wm^^B9>#<_h=8G{QB&27f?S8KgT9TxTLKC4`s~fWN93?cXlxJys-1O%pX61=~0I)M78bo5m zNVM(pA-R*4C*}d4pAD3i=lEzK7C(xjLsCcSw*X#k^|2H`ToPDSj!))U?wip-mIH7} zz%4Wm1~R3;W_5RtD5ogX524S}B5iES$g~km(nH-O=cS*x@6r`) zn~GUD5?lH(#Vnx8Dkz2%y$b)OXGFA+gO2GqMWeRpkE;cJBf0Vzb zQ;L`)$F5hBlfI++heokg63H2=U*+Lyzp>Fhtyg!q{-Pf37;OQGR?9V+|AhwIT&;8F zMJvE5b0VqZlqzB;T258LR835?mF#cHO(Ahx4Toi?U6pE5BvhnoG}Bx5N0JtuaFOak z%J`zrHo(g2X}n1NRjIlO+?gib%jxLlDXAiC0y+M;o#TOTomthrOoL4=Kjakt&ae2f z|MCLjEDWQpgSM=}poI#{?FQi3%I(l*)2IHZP5f<_U3{?q- zq`|OcVQ&R7ZJU~?oI;SxDtjx|>~qI5TN;-VL%=mkUs*eK{BU`Ei{z@~nk4wz0PB;_ zb5eg&=OqvArkp}m%bo2g-o1b3h_*?w3HFr*CoX8jzB0M2*Tll$ z#08^&=B5Uc0Z{OKeI^lpS zd47^)=;)GY+97SI)I@DIX_BmN4+gg)c7!C_V|wRF*UQ(04^DV9!cjn)G>4Sdr0?aD zWe7JW#x;_+?O13ulvDclFMY_4e$fZ`5Cx%r$Q|dM+I*9{9EjSQAWDOx9JTQwO;KA* zM$f3JDzIw{VnkiC9R+gazUzij;d)O8)GBt`pU+g#)EfCZB8mjFDP zAX2)hi;H3NQ2pWMJXD03rGyV*c$0&>A3u8zhMu!HDS~h#As$XpEX{1S6(H z!e_I_G-~Rq)z+9K^vBSOMNEer64aw8HLzol->^!EMNG%cnRht=MW-zZv0}t@IP^-0 zHi)W`xh2(#DW-$u_Q*Lblw8p;){;>rMHWVTF(8RruD0{X!BdqKSvK@oXz~mowceUJ zXNYDEjp>l-Ggx3+Gbar}dcYeFn+I+FnAtN`p%c|t)uk_+_6hw>v-4?fdQ3ERQj`L5 z%$m8)XF;<;a|TvRP>J;pm1Y$#rj!qZwz^ZzF8RASKCydz*73aYS-(^zs4#;qL zh!g~IYwP(|UfmuoRMeCJ-`-HudYJ-@ruEiErtWp;FHHenqbXf%Y4Ogp(~SX7&`?l7 zs7M-&-A%I2q4E_AIOcQ|ZXR0avSQ_Q$HZP3fS!v=-Ro;wXB|ulfi%roita6vm{BaQ zG@MyfFzVkU`81bg6++7}9Y&E>l9O()DoLwH=td%=SDob8cT=UFBI92b$Zl`Slv%M= zSH;-hlDlh?Yk9$QGP;PBSZzaB)KhS3Tidd^!Eqq2n=mcz;`-mE#QKz!SnZji$bikg2yhJ>JJ!ola zBXi4!{pG+$gVG#neKhyfGs4-hm+8?*@Yb~MM`hS=gpFp>u+!kFoh0vC-bzj8L$rVt z_5XYUH}E4wfG+BARrauDju&6j|GK;n^@2PGeucrd?;jN|nFo&!dqByA34qIDpEheO)BNa7n;Uu#`w{5boA5mINO~64M$a zxxjpFPck{KS0^;6NuwHy(KbE77C{<93H#JNy*loXT7<^=Vwd{p66pESku1>WH$Lg@ zFA|7?OCGkM#}v1Dg-*0~&6F;_!Zaq*FT%lIoyd()`OS#iiZ=|RM%3S#vpC|HwJ(jN z`uymi@-!b85TW)(l+e#5ne7)C<7wT-D)V0&^rWSi23zT+!B%OSbDBbbs`*!*TnPC~ z!;fc(30>4*8i-E6G!XKah97$`4f^f;rQyd5Uz)LYISGsZZWzf_X^nq2*WvX2bO<_!|`t@VG z8u?O6&O|!Q(hbv&vjRHm_G~Ik`-2j&`uz~7Zn$j6_3MQ0Fzt_?v?`kpfqH*#$Nsor zc@z0^NSB<=R<4$BqFpZOO0>%fcU4KQV-rk_WfMzvIoEz4i|wG7$|P4N=g%Lk!b2_X za_@Zc%85bV_S`!ky!iYVzo488=-^6UJ-kj|@v2GG7VTbX==@4M0fjW9NS0$%f-#?d z_FZAZtVI6h_WAPB>^Lk}PI#z^k-OM@dsbYuqw!wv80U6<%bQQ^vD97p%kJTVzK9i{ zd%G0l2QF`XS6yG(&wtXuZsn_LuX3$p)#_qPf96GZg@vHs`-8vzW4|eqk($+P3qfTZ z2fQ$5#;W>7E;V2lt}zh6=dKF~Z6Qb+8ejp|9Vet$E9OFwA){G_XN!F;m7R&4cv)7?7f`XV!-~O1Y5VV6@7QqfehIuoWpr$?K*|Im@XM-&|e#GmyRToNCOo zx=6*B8uz5UsIEI9<(Q6ZMRh%i{S9OzuZL?jR!zs%TiWH!Z$lh`s($i2BnU`;hir%4 zzs;#xN%JqPlp{t$sj7+@xEn^R$E$ZOK)ss*>ixxE`M!^P<^xJ<@r;>1U~T}DC79>T zj=p3GG6o-E9$Nksud#tOYy>kP06;KMtZ4z?A zoj^&qb!8+wW+#I{af>7l&l6pr?H!lwSdrXsb&$&7n$;bWo(CQs&9=QY^DRQ3g66yc zktFaj-Sk;5iuw%aF(vxFWqv&GquzuwZu)47$V4XpW2hRcYhLQL=w8SH#p>5^Xk;rV zqWHNvm9$6gy_nZRz!9?r$$M!Vp%mhmjX7P)Y|I-BA8~C}*5S4>e2Dz>&<65fR-IoO z+DFz$w!`}%NmI@`5fgaH&9d zsgis}{su|d18QwJaQh087_Ej2o)C9RZXI2(979yCC|1KIj~mER$6`KmLo7F` zVLkL4V=d7Q=YOlCu|}P!=%t!%j*glm6DLFI)PCAmVkp2Z?Wa}h{UvEXwWs*Dl-RuG zhm_cV`$Hf0ZSS5^Vi-Kfqz-Hu2qV@1v?Jnmmdb-Eh^%s~{WJu#5<|&Nv9Rdxu&P!F zW+isO5OmWdD`Qm(!K}m%DAS3fx?h2$wb3M5iD4oN=qBM)mFaxf zZQ*{`x+yEM9o#+~nky2ymIWtOQ*l+Q529YY*mmCKQZ4i{AVPO1E2W0;Fy;yweDq~t zZ0${w%qF}k35?Pvqn{`VLCvGd{&MHap7p0sFMY@7|6l*?4CiXYsReX}kVLLtyjm}z zgIkAGp*`M;{h>v&UOFN+l^`E|RHG!*V0*Jc*4tfAIaGQHQ$3|BkA?| z=gO7W;#@I?dkVQd>`{ICl-T=x7qa)5>Cf=&Zz`6v<{LF(+_KwP03aB&vk|gl@u* zY7d>27>L%OyF`g0XV$EkmDuh8*r2&1JVo2<<1($BvwoiP{WJI|@r!@)RaF#2_ zsM%L66w{RGmwyMXxC>eTXhi6 z=j1&3A$+P-u702ITo`ai6)seSs0pNUlll&USzLH1mtU_CsIy|Al7OnLiH9 zun9ntX@WvQ*=3D9mL%5gD+_yFFb0+#;et1UeDy=n2bKXSsuiDDk(#*(>hyS&hE6-n z>4zXSvkTgEZd9efMAx1pHM0xuP(jgNDa-`b@>Q%z&Fq3@U>SOdz2&V;+@@xB!P3f$ zGc;WvD~e_=l0LBvUbCa}%aTgXpqpu8SqEN>=1MA<+$GDzGN@akB+H3Whf_2A(PLuS z_F!O-be{S4*ibiCd_WY{u9@$T5iU>?YqYhu`<3-x2^lwO6f&Zj)*_ z&j$p-jcb>EK%nHv*A6#%y8$Uc?E_XW;0kOtQkuamLDLHt6WpPs7oy1Pk! z!|St$j^WbAObq2Q=ArM<_$1YLG!~$xqdv#%q3?i3)I2GAq}v~pJ@mre3=h~C0^=Mj z4;?HL$|JNpaGFtlY)x?4??%#uP)F!OggWgduRL^wF3hh;I+vCIW5X2tz5;%Hh*JHJ%sdhmi z7I?$>@_}#WTti@s3tOl4LX@CFMCpaV~LA zx#q4s`yA(s@~oAo$2zH0uqe-0tnyqUpu3BJOc_n&@sn`;DLb$EJBaTVu%)BB3f)Q`eu`=R-W9b}qVAIO0}Sy z>?VG?BA~^HFGl>mgU0a7f8n+lPgh~Kwhn#FB7?C)-dC-C_X-t@KqO>c)WW@PvI!$;UJC+`^ zd+l#d=m01-B+le%D%$PQP?*c{w7jFd7xB( zH7C|O&5E@kH3Qbd7}pYtQ^YDuID9+d@Q;1Vv#)toSW*Q6xg|A75E`g#d?g@#MqFiI zwHVF={wF3EgEL#49>ZZ@5g{qe9c?ovUaHBB6=_Lb@PtrQ$T%=SvWK{&C3QjkRggKT zwHTAaoW+V6!--NPB6S+b4x?ZiL0VE@5k{Qtl@#2vqdToQY4*a>Wg<|udSb1_ns`%U zHf&+blAQHOmsL440BA{LpF{rw>uk!yJcrLngQ9)$ZoiQOthQhUB{RP1nE47 zX@I8Ce>H3`dS4YmYuw@X6&wIjY-L3YrD5Q0#&!rwQ0Yse7f$C#qJawloeViOp2;GK z9tmmH9h9sZ&749CWCAwICLKM4jQ7V4a{~eiHopBNNl(R(UYPlDAN#+Eu8K<@QOB2n zdbc}}2lNsUt-1q-$^m(}Zl58$yj|ZxS05T8Dc_JiJlq2Nr~a7A5E=Sp-h{_11KtG8 zY0lcx+*SyD8I_pKtJ=h5j{(`gB>Y60xTb9aNdFSj$tP}EfIpM{CyuhL$ghw{=gslg@5$trx;ge>*~?=*sKgjyJNF102kr;=Gd&O zM|)$lt_H&k!xlEtqF12OE;Zu{TE4X02Y1p6X`$M(t}d*+B$`4Dmh=@gu=uB@)e-h- zy$`&9O&7ytB&6yjB>+Vql%S;gzzzPVTBQdw)TM@+YKL@;N)G`Ib@?&{p_+li^QjfXdNZ+Ln zxphGdH#gRBFy^%_r&ao8yVf2nuza%5DV^h_(&2k4Z>cJQ#*a$h3=AcVw_85BBJjV> z*J-Ugb(vhn_+O}+B?0r&Bw+3~A2TD}BWZPe-zL&MZ7zWbsrUQL5BjPfz90^sV2xS> zrlWDNcQ%AOl6|WK7(IZHV!(W`Ia(o@eXD2?9iW%Wz7^abP!7Xoica)cG5c1dJXBR2 zyzMl}yvLAig)_y9*|$1@a=_qTPVcB|4qCOF=C9%4-tY=; zmiFjX91LOWtJAe0(JZ&ENHVzvpBB&krgL{c0il&L)~Z#E0Chdqf|V zvw1x5HAfMIgr=2$rl=YB_-5DokP#%i3JPu@BWX)`m9yDNWsdbCX-i42;W=j$kkQu9 zt)0y+XPL9s=S1;#`u8q%HXl5y+|37%sxJ5kGirYBYWm;vF6RR_(z%%Wy7(tYzsRJ& z*xA0n#`nI9{|%v`Pn1fvKX*C(ucd(9;Q&!KFD1qyVa`HbHnp@ukyKW)?in;k+TbL= z=#~O@Ntjy@#9&S0ITXs3B$)y(l4|pDBY_fD_*0Th&+nD-w!xgPK`bIhGD)Ur=?V@B z=XPREu#YQJDoLhl^;HBnofzKqU|#qbVfJEvjIfy>BW&JaLzlJ(71;=HQ!>SWkqyz;Kf_gG z@@95JlQqXE2ke}a=v(&|cK7b%+cFc9RBxEaS=0~)NMhKi3-5I^4UioFRt8tbaqCHy zRs2^b0~V}Tj2~ptzo_UU=)kWjxw7C8@~pzG=>`B_yVI2BCf1`)gr|=Ym6qUU~3^r)#fV#I%bgaso{|_Zip*xF-yBSG<{xQ5xh`9Y16G5 zm@-MN=7NP$5KdKZ-V=RZ7X+8KC0M%+CPYz_+E?b$6~XNhooMubwjDDwm7o-gisXuf zgCdD?RVx`i+v{fzAn*_`@zh~3Kr2bB<7@8W9comtMM1BXq(?U(=`@b^6@HK;Gm50% za9&JdI7z=~(oa)2x-DqwzizB_Wq;wM$-iHZQUo?Gx32V3A^!AyYm~d|tM>209{W1N z2Jl`a4~yYI@~~vMe5z+jezN#KLR}e_9-AooUtZp_$2ts4g&ohvXF<6;e7%^`fnH%5 zQ9PrgctN?BS<^CjnjVN)U)L1(WQ2FQ)YwWhyhp}+&uK)sr0M%xe#4Ky={J91$$V+1 zx)1I1DPtShxh89#ljes~(h$Vj^)hJ98M!g#2yk=&5F6C0epqFGzVR9)D?0` zBj%QE*S!^UNdp-)R(J_;>tH_XbIc_T4i{5&9fni3wSrvI0K=E!=6BmezW|WoB0E%g z#bP7>4?fNM*cC%%nT57H?v6EN4i-B@`^qphG^3#y-mIDLPE13?Z0z=;S0#;@h6Ze3 zeV((u0JWDz-&KFB{jxgCF^Q{;%;aWHW!y z&qFftZp4$=;tL=QXJYj#PcSxWnjV6m<8gG- zG(7~De3EI)Y6;Ik<%>1r2eqqpOZmmNHtb-0;^O(g_$EH(@A)~tiBEbmb+GMN0Drms z?}895Gd@)XTxfT_-(_+LN&%`Z*| zIo`jtflJ-^(bioqAEmrD9?J-u za*%@_Vx5!%3dk z8qd4BMLez*X!YYOEQb0IzyJSz<`0Ae0>m)D(w=42E$Ec(b}fvVM>L(OHMFm+)>;HL z;sL(!u<{XVvLdGtP#d`*zUL@N2x7vx+O1fT2F?XhbL62x9Jz<9B4#TDY2S(p26lR5g~>QwUR;@p*wnc8~Pm`)ICWW248c3I%4zzuF&bYMt^j3bUGj|c{*%~ ziGw5x*zL8HEUQae%9@f}!_3=Ptjgy51H>L35ZEPP;nh`1lKBRe)5)pna>q+5wOw@lBgoEVmlQrYNh1)L`_wacN;z_reJ8p3T=I z-tBPjHgk-O@iMXFG8Pww2b3&_c&7=Tg(L+46>Pu!JTxlQpx@z?F72-;0xvbyb2G+| z7xXe?{J($7KmNY|BZ^+y)Z#@iYjHt4u5@L=e_unvNI@yOUnC^((aU6Bq~=5G4e>Jo zRfeDhY%ZvCxgm(bq^+O?1TLt=2M7o-%Cv1Il6b%bL5Ym~FAu$4^+*LIVDl9L3DGhd zz@(!MVd!C%>KK!57T@R^h*~6IvlW5MHOT7`)3%#mtpS@!S|1!LbC{dg{u)t{e#ya3 zg@~7>IZTtP&vG)nQJvH;kEuoq9jM#Ny<8Nf>#>MEl*lTHDO?c2YaVy)Axa`qZ$>iy@KLqMLtW*}t@sZ|TS9w@;{9KX;mKpDHI(m7c z<3+N>mn%Gbj&Doh&0D_ptEuq*(ntQgU;0(i4WOFSGgPKW6UE;|i7cGQfsxkPCqy7% zLF(Fp@)IA~Ae|1IFV1$_73G0x=!{^vKp=N(h2n#ATfpK<8e zn!NNnKSR*HQS|!owy-CUW&p1xVR)Jd17!1qP}A~{?pN< zXK&7J1x53g9}0@T?HB*eFPj_zf~2;#GEI#DIjuI#upnnMH39?)7O6E)jsQ6_Xo3pF zz$zAytGrUq0)ZNaQ3M4K>^rIia~8G)@X8gUM{2*GnOuA7d$YSoVso#&G95R3}CTNzVaEGg^rex-V%G1@tk1heZFIJn^_wy4 z-@f{hpL%WN7xiYGH|x@cRoj?sIEuACt%N5e8IIblV_=I8fkBC`H?w)ztOHfunm6i* zuvy}IunQPtpc^~L2-gKq8#n8q%TTO=eRbBXA7QVX6|-62Z81<<3@avfw$4m#*4G=G z#JLB2tXZ-$>!3Z^E3xVh6~I<9n{|FKaEucjtbn(ZB}b*fbi=8 zhO4a{qV_7gwkCV1vZ%`pinuciBGerwH*cR$U$MXi6aguFKs^8Rzb z<1>Etdji_8)!`QD3NSpF9AUOF!NnrAz;{pw*cL+s4in!(U&$88G>1fl+hjZ3B+gX*l!$#zM@N%|t%0vH0iG$x zTHhtn0YH*0N^Ys-)0&goPGgCvoawf;C0##Zdy?xXUDP9uLX;~?N|h$Qb7B8`PKEjR z{5vEQ|3-<#voMfYTiTN@Ljpck?MX|$?C$DirfMc^QfoAp;NfP1hv)yFSHIykQ7_Y* z(KEdJBWLolFBloz$_i+L*UbgyLn_REx-WRk6^WM-5P7mI^;Gga=e&pC%!b#vhhR@J zj;6+M)`KF!Jj9eSe$2o?^lW%ROr((KR_#5q^E5m!hKx2X6>@bU6 zLm|6#@L;4~R<7h`yVrQ_xM2poxv03=&pg@o1(-!?lJsJ1P7Sz zB!n@>w^61$x%@6T#&jo|)H&o1dlbGHnSdZyt0s2(P*M`Ylod}WDcKymO+(G5fI|P( z#}!h@x+3a%k^zbpC4})qg9}%KqMfj=pQpq_l}JlvV3M_aNpo#KREIo`UM^AIuJ~`e7}?f`BKbz&@boU zpJoS!ezoT- zE0521!`auhr1%SZ=daM{$I2jz)0ST;E9>s1e#rt{A zf5|ZCIK5=pN-r6<+z&ecl0nE{GJbqyj??*<3{w4{^#(xekhJ%|9$k#rXEx8?a zN)8Lo)1^_Fyl7bP7U!GW8aceUD*Hm9K0deO_%cp7HaP?MlzvXPx$3Iv1|8qz^mDrI zs$-fHb!#~E_g;s&z+DAChCsVRK7O~q>M~bMx4r5r&Ta_Q`*S;;@bRk4;OB04)m6X0 zYkt3Mr~Prh`Tlo@tFG#o>ZlI$59W5-zwR>CB6$H%>(%nj*FL+Ww7>B8v4vo%c$wJ5 zwJw`jYPW`$=fqz3vDglPr1*!?gH>+i*zjLEpv6oT!DS?~&W@J+S{aJLtrwoZe)uMg z7+iri8zHldW#nZ$n~6)qe`|KOapCQFgcFC)vwtUt@fj3#&a;0fUj%@>5%%xoiaayQ z{++xDvd2YA18 z{8y2Js}}%+?yv`h)@9R5z&lCN0>o`WC8mbSyF7`sPw>( z$5B;ubOJ4Cr69}hMXV9)$`BbgrftHbfgR5CN|8&XWxYbK3!Wtk+2j%*fF zI?tq%t`6w-lq-)I5+9m1lTNw>*PxJVkh{+cN#kZqFM!uh@C3mAHht0!rZQWe`<+{ zeGrS_`}o0#rmFpAJNCx~tCo{kE0)vHDlf-(I$ghB?6khy*ogs46)YaEcGd5fa3`Ix zY{&24;e2!Kj8F>^Px`?SsD7|)$NmUT^Yv4Ju?JiC5lLca-$d+{oP~S$v4w}T1Y6id z7+W^6)DGFP2(_@-j*$BjjEx>_iM{ef_2=IC;+2P!Z+q^Y`KyQ5>8olEdx@w@D6_;~ zoMSHoYc+=BQ2Tqhpf4f@eeUg2WFNS^@m+O&WxuE3t}yoc>~DMa%RfFKb&AdNdXypc zm^C?1SIbx31$QgXO^0IMftaa<+|t)^!BJjY$2=L%t1`s{Le9DD-glMY{iu93t3dvV zo{xQq2?w%N^M85Oa<+|3Y*Hej$kq~xi@`LSYXXwF5?cZ>#lm3`l(aqTFnCMN9fg}A>Kw`rd(=l#Z|s1-xXt9eWlmF z>^FFeE+LEhh|l_qAM=?p?oi*C)|MJ50ONor$m9>;k`inOXY`G=*uc`VR*DrtThyAL zY)b(vV;Y8&h&ITGWb5;W*JoQgYVVmeaC8KqSY)3iEF+*Ol){a~9?CFeHa>I7`(J>4fm3G>E6yG(i6F*(~f85~!g}-3* z;F;i#=KC}09jIOI!|27#>X)7|31>mR%*Hy5a@z0GF(2oB1^I^6Y5VYwgrCo+aT7j+ zFi%B5uS8QRzFqV2O!0A#NeWHBRX{A6!g_}s0kh{f7c-H9#6abAK*3Dp{pE2gY>$d_ zixWn=5pA-0f4<6je|fcIe;G8A_D7p-Rm`0jz}(I;k;@Yiyo_J==k`9fK-*P9_GS~8 z7C?Xq*sGBI=EowPE&ee`6QiLp@S^B*G#hoq`o#Jch=HF-v;1gtdy55{uwL9j&PMkY zLss@34I|G7@N=x!jrZ`{6A-4i`r+;a(n7x!J|K_#N}i|ur+)fl{>HCGu&xc&?qFDZ zHP|-Rv^UDLqE_C%f~lp>DT44Yknx}9Svl;F3YY`shuNiAky^-C+@T&IJRayp!>}wG zun?q!Q3N;ScZ5wu1s05$wICe~7X*%%^&oPcYz#3LD^f+dAb21dS(6;Yi##Dn2g3!? zqreme5{g^&=r)HI2g4;v$RWWxidSgntxq!1R&nhWr;Q2Mh?)2}xHuTTCORHCMU1CO z&|I@-zB{`;JSABZN7qzAT9dgHUlRj=*o!T{$m%gJIq5dg(yB2w*P$x2pvY2Ogyax7 zXzdYF3InEE?f<3BR=QWNt$1a{oQ0|?gQ810v+Oy@Kc4+RN~Q*6SW`l$kejv`gF(-8t)B5=NfG%>ct?If;FzX z$ONHa(+?0`tOdIcXgW+|El5Y8tXQUMZUm5Cttj{eTM^A@LJble&{W@ zwQ1zgbHN!tl^`8~a%fD-#5q!M)l6up=X4@%qVsX!1{lY-t-*!?yxV2T1L_1)S^(iC zra$CkKbC=2gO-8gO-Qb`ur;%~+hiJl$ogdyIbgAG#gr~w9+xE$G!a$edFga7CG`8) zM0!CZZkhg&USRahrElktA-2bTF|#i||HUt;q8=&Dz9jMXyj!%G+1oL*ulgS!{JwuN z%&aCqJl?ic2;xZR%tA(At%K*uG~RZ>;LKWcI5lIx5X{AK@Sc)R1p(a(>`{%NWc@5* zeAoc6NI`Ty^^)Z|p$}?N4WmJX+R#yHvt~I*5T9BV9agV7G|g-gL?;){k>z$^3>(3m z&_@s53Wl449H5Uni2J`r))RPlJ*;@o)+7Q7doT)p!_k#I^}}J zR-{~TZ|(wOlqz{Fb%uI}oV-S$w$7bU9K&#@Q?GHp8O_HGGR`m1d;;iA=P%nS?yS*# zdU+xYMJhda^DOyjhOkRM+JRfCvFK(?2Cwvd^hbQ@Kls#-3;U=!0S`lU3-8_R=}4HW z3X?*%m+|RHMuaR4+)Q{P7PNyQa*KTQnr4ynmVk5Z_5@~Cf{~9#4=3nl1Z4(p$=(E~ z$VWqP-LZoix^)fSgJ#9ZN8`{icL;1DxLx(;Ekr&VmuCwQzpe}JK3kLIs&;(_jo z=M$-MG)R4k2>C&6<17G~E;3XezD+x-CpbKjXdt7zgrPSdhCXgaH?>s`)(vTvmW=L8 zgdH;#I*|=nLe1L=HNW;fKmIfC9}H_6X0@Rv^0ee50f1|dQfn1U)uHAdQw2~G1P*(% zVWReYs9Azn(1U6IHDb~nY9eB_z_3GQvRN^Qn!6Ghf?=c$UMuWa2@EmpZ!FY2?eJ?P z%H27?NStF1HBT5=Bn4X_=4>!V2#P7SP4o@HDr?|;G-{P3DZzw=*n2RMNZuKl>SKMD z^TSuSwraZS#BXJMxe-QqR>-Prde52|Ui&ADe7#?-tjoCyk87Y~KQ1?3OI^54Z;;y* z^M0A}^{12>-!)JX$2DB$Js|Qxdm&#`dZcbS#GSD`4TYcXg*JtKDSzafe(VFU0!thI zJv+&C3k4c*t3xvo!Ge^TC?)%c&tFYT*EFhmLg3Ga&oU&F+vLf@i0(a zfhn66>rv;SF30UrF){1aIMk)vkS%O@SWko&_|-tV2-@TT4t~Y^#yLi4fdouQFKiMHnKv6S~h!YEN6z}s=vAd~? z9fF+{g9F}ztLxww20N(d(Uo^Xh#AocE#k~*q-Q*5EdPYT&X@g(_j$t~jyj5hKD>@% z5D>n#$|j8YHl$ms5O4_%gye>gs{t`nD>wk>6WpH_GwfhiNAW`&KoAvL025l=AC;C1 z79M__LW7j6>Ggw$AGBrdInq@sE245E!On?r{N`6vX}RDY{esAi0rv;a-d3=J^8r;s zf;g0B(4?R>99x9IKR$S6O`V?#Nl*%CZN?=h6``u7enX!8`zvFvjjO+bXkp3y++glU zvOCU@BjCUE7DGEC_v7C#;6LxM<0~)t{FiUDo#~p1U~h>eZYPp>(=Y$RYd#^2vbxG` zMdpM83(|n_`=`43yA644G(c$UCsiad)}&umWL6!4b;DdcRU{E3I5B6ta_4LC-(d^R zcd3dT!BWr&(kOc*al-eKSi}SbOkmFeLetH^J)*QjfMVD6*n6sqj9o}d?u?w>gOk~& zHs#ndS)g~ZdC;L2xJEcMafgatnyAZ>3O_fC%H|xI*pJfVX}Ja7@HD_1>`|T2)V;`J z>0H0N0dn(h(PB(*$C$o*|Gxk9-BZRivTdmDv^FwTKc5MB1K@bAU^b?s*!;?v7I?$9 zk9*I?G~f*bxc8iv8^OKTX}N$mbU<~C8Pfu97!a(CY2*$;GuImOiW;XR4>2{@M$OGO zyT!z*wOku?@Rba2$Qi#S#J#}~_vwN+EOvRZ%Mai7W=S8svKeKb{oQ}{dw);BY&7H0 zW}YLVjH#(@!nDdfAJ&9?AWw{89j#!>Sw&9($mTiTtIB25E_()689LZKwj!RMk)~&0 zm9nk~;*iph2K>Ht;e{aWauEd0Jz`hnbis1h=CX6<8Kps@QYg4?I?g8}Qkq7!iq*I< zOkGgxC=s3s46)Wn1XxKHsQrUSU}yDE(hua!tvE`>-Rg4ww^>{^{X73VBoqHesT7ua z9@zgPjh-^|S3CF?0!Brny>&Rmt;w1BtJ99d-uV0=nUB0e^;qQ0{8h|F0o##wcBD0h zZCr^1u8^T%trv-f_11C~NisdXm}|hX#gxQc3-v0KWO{tBFl?87C3rN}98lbxXL^3Q zCfSA{xsjQc=@-p^h%ggiXy%;U54ri6DKK42bxD7Suv7aG>F@&+yrYL`WT-)}D{;Xb zF%-#dkP?~%$f(8~Z3JW#lz^C7Mj&|Vs^n{$mg4}uJkSoje#ls+9h7;0wPw)OZSLKd z?P$Z~0KMGC$*B}5$i)F6P#;i&wQ~L(pqJ^FIK`skFoZz8fBpM8&@WfvIpm^hV}(Gy zfA4}-U9mN0OUCt85H3uxa7Kpv_!a{!eDk%>%Df5JANM)i&xKLJ=B5i{Q|APhepMZP z;hRqYI3T6$#D)NtH!cYrD0#$$>=WMgYEX!2f zhA=~ACeJ1{F&HKNqs(Tb9?MPPW8G)*zu~9EC;!g>#y3NsD7Ex9WK{?@+KL#D?6#F< zkm*>-l*o2i24S;Fn_>rC!#!QWf29#?Qdrla)Nx)63#B;N(%2@HsS^MGSN`hP{K`}^ z^n~VRC+jj@xx_#jFz1EI`N&8BGL8Q>~a)qRr-p4^E!1=FpW&1cp;UJQ&Pvod9u; zl}f~5cMdNRrV^XNo+PtMJRH~z#sC0w!CS>Gy$!Y_`|r?3LP;*)Tb)bhldjX@p57`TNS_31%gPB?^7JIadJbMA9%-ZiFZ>NQfHEC4SLY|~c zL+y9pUr3hT_|<&6>f8k5)7;(Y>5KL+ItrHV#v7a#a-Z~Vhm;FLBC@S3k^HJR zXGCB08T=i*)V-Lo{1XOuSAX;m{{Hs~wXPY!wxR|K4UTo^0B6=5>H-24hb0bCNaHAI zCSgI4-0~w+trx)qD-je#pwYHJq>2PeD?;|n8r{x_sXR+rSJX-`2N+R3Z6(f{?(6TR; z7|fomOO7`dUTN&3*)LJ09@6k{gfL0VKCSW80(6P8$&Kc^o~B-oxysO9(g|JL#tF=H zedTZc@WVGnrb}C+T^Z`uB?N&-q4T4Tn;-~uRQ?e|VYS9@2eZaDKlBMCep+xw^O)-4 z5TuXmf>@BF*`PJiMXP!y1nJ|t;2!#12E3pPjT2s>HA9ff&;?JM74v&2kL?af?$?4; zhDC6-S8fhq?v0`;RupCEl3?ZlMUj-H`z(f{42$HdgI*O$oM&_J#Nxr5)9od5cf2{$ zK~~*x%d#Qck-LGas>jh_01G^?D8LGDZcfvq9QaJyUzl>!@lThf`N>L@d4xGs z?~);Snh-7ZV7)w%irPIM$&o$v6Z&gOMZJWnsCl=jH8D#nN+!=g@!;Cu_{kss@!v5; znh}F*fw>NgVk9N22HKyfMRE(M3f(x+8g5^|H%x^{csIB1SR8)*_ zJ)!Q>teB!zv>N#IR#?KC|F3R!!#UdURt&1C3q7;ri)8!88rXUkP5vSNZ;n9}LpC_j zT}|BG3~9v+o$)#g&;5jb*4~Y}@XHwK{YTEkIpp+~Z&rY@?bSS{y)YffW&2bnx1n zU!6k>%=P5-Eud4k`yP>c6hjb;Kz=WymMSLTMw!Vvh?)e zL`qd(GeNHx=cMN-&^a>tJL+fwfHeH?^FZe=fAI#(-xZS3OGnXV(Z(pK$Pz7=vjo|D zxkL+3?^LXLw`hqL<_C0_6t3@m&L_P8^c3ptmS%v|yzG@=;acz73g#~?FNy<&nis5d z>NkgASY8;`ojBN75mTsPSgIAn^1>nNRxnYpK$t>p;H+3Nl6nx_cI+7<J#<)JoZ|-kxLk3M!i`1?ap6F(9QqcuMNwnjcty;c0?ZtG(u;RqYVukJp}I>Q{hk*FH2|R@XNe7P;YX|H2Vi5NuBag3TV^ z#SwVg9f8%vEm|Cb+i?W`A=*GzXtYu%R7S%@=4H zIlTjMumP>$G32x%>i4usZ0+guS>?1LYH>=v57ZUgG%M_NP8)*t9>{RoLk!#;OB){G z07zuLgRNDw9p{+ShUja?_gHaq3Aeq$+HlCRCCJ_X&$nnu&a z*cHaFS!wsQvb0Lv(~S4KI&F?@!y@C_26I>I=ShQ6Tl2UAE=46fq>CEK^e|sp3Wg7g zdyMJm>1n<~&D(~(0>aZfdU~9%Y)Bo}-1nb*K;6pIcV+MyNTLj^K$~>*^gv(jahU`@ zGx(gQC;Do4I)*w5 z%3kPqB+$;Be9s)2czGy|PKJ>NXqHcNeq6_V=W?zjfTJIGBNfu9-x9il>|Uz>@`=jz zUwOhxLKzLeo2q^bYSF5J76SGD@-)T%s23kWK+Fu+)%M=&Hha1K>G*t7^6}-#Df_cS zITy@<4Wt=}{UK28FWaepEp{lDYxJuK^hi%ojBv<9rY4_p<@Hs^4p; zZ`?51j`P>P;JAM1SMI9cFI~mygk?MJFAdje|I<~s&eyNw1*~C)a7Dzzn?7ol1IvCvehtY#o<%-gMeEnt{;p&Zf zO>=V*^lpVIxw7C3Z-4Ik9S>i4`26()Y3t*{tcP5`nKrp>pe3VsjB~q|dAnSPq_p>B z*nBx8wjLx;`{DJAIGT^BeR!R}d+wbtUinWN*sXk3?Zp!nVxw3!4ZdCJPrK%M70I$t zB^YfUv+oK$aF28QS1j(zuv|Iep-anMc-D4L^cMAxac);(2iAM5BavVBcZImSkNUb_ z`m6t00wHgyklizfqm3=T$;7;|lyy8>X34&?6rBt14}_CZ5VR{mi@}c$K{_)oc-WJ- zkFWh0LwL!)UZVofJ5;h zPxzlv%;?>~B^O`QC9xC;b8#s_MPtml_7x?mh+Wc}a3OhIqej;pJ>MSOKClfa8``QG&R2X=fy1Nd zWqowWjjf04ly$tuSFx*nGB*xZRbDdto9lW@bIHv&mxSX`8KL2ReL*tV`x16&uwS2| z)d=Dl%hLq;>o~DIMf37h*6T0(wEy&{1AV3E*;Cftit|^ggTd0>yyaOPATyyv+tYO0+mL1ze7${H6%hZ{k$_D)g~1GXdX=#E3-p;!SX z)6A8xIZFwuiV75iZujX?_1|kga{afg1?^vasH-)OQ2EF=k1#~AQA|ZU<*@W|Wnw?m zcka#&=(`2S@HF5U>{*>qNH2lD`{@7bwg2#2Kd7XQ^*mdBSIA0^yAAuGL32)@mWwQR7f9OG0p-~DtV zCna?gyu+T$iFn5C5mVaQ;)1AOl9osRijHJPucZr}#O_(>Qqae0PwF z&_w7F$@tb93EJO&AFKM{nh{kGrpD^%liF4_;Dbl2;QJmzPfg` zi>$RL75ULsuVfHir}}bFpniP`b3xPTK01_RUb`DAirCK--}e-=JEE?j{V#8KGV93w z$^}QTwpy|Gsd{mQ6T~$LV*nUeNUjf-C61?3^2si_2Y3>Z5Ile_lIiW5=}Esr1VRV` zQbKr?dJk|i?N^vNM(81;tM$g95^;3F%lgre9UKsm1o2uM-^fHcm)yzlMXm{~di&-| zA}S;~=9rJ=X=A99boBJ7;}=Q&3a`)h(DSD-qp7@-R{(t4n&kXxt`btXA$dSKO0Lpr zv+emmNUkQy?S@w#zX-|2+F5zS>m_UJzvu|!0975Etrg__7tMRokT=gS8a6G)D^D(R z=Otf%KE}f7ceKjxt$KUzv?pcXou`{mH6-YvgQ4`o%TOobvg0h?XJ4&_jgo&d4F!F z{c*ne{&z_HS5&PXRbt+s+i8EA{MgjT%QN-&HS6!2uYGox9E5xKu_c)EHLex-7_fEE&Az+LxX-T7sD(|V5g=uLCNC00RsHRo%hoC%=E?7u<1}35KO}5>Dp4`y; zWl5U(&-&2vh}w$C4Fb8yLxU%x6_g^L2?DRlz6D|-x*h|cJhUzd=54Hq+A*Bl7T6(A zrwO96O*|$E_zsqlh+kbp9ApTINFv^EeoZdgKXU+ah9m}d$X@g$Q(X#nn>v-JdFaf| zNk`9j=Y-UWxDTZ2Q*}mR$jmubh7dS#-Nk67a)Y}^aA$dqd<2cZNaahB*tzxzozyA z#^9J4x(C;I1do}ad$^5bfXvDZ(B23F-7W@Xs)>GY@&e5I5A{7RUck+J0UE!_u<-O= zgKbX`ejeRb@zY4{)YMKW=1QqYa8?&L?~0y-p<@AN85*dVkm^hq522|)-_4E=KP1?bw^Vm%rxd<8QWO!A4)1&XI3GP#R3e1 zb+qR(jgIt82+{=kidJ5M;2KP3ji4lweZ|81h-oLtJX9;vL+}*~>myEqeD#%})U?%{eoT*sct>18Hj+`g?f*6`3>E9eWhvqB^Sk&8}K%QK9 z2xfI^o1M0 zKZ4wl&b}sS3zP{J*NSz$s?Ji+(m`THlm6WaS2ascnthr^HR+L@FBaBqy_)TF7?LP# zd&a&LwFkL~!Y;=rJ%WLl%Q-#TyQcsqf=2in-`%tqu!QC>RcO9M>b(XmZP2ksAITXP ze|5>_OG6p@w4ySRNApN}M4G$mEKffn@=A}?f7{=A_j{&!1J`S9WCHq>-9!i*l?{YR ze@5!IMffErLEj)H`6$yk9R@%2DAPC{1{d6IPi$mD42;r0%x3jt=rFk8alO$}`3Y1F z?XRZ8;DQ(sNMt-$1oX98Q6hDh+#xfryn)>bgBt2%OD^9fqvh!4h{nZ0sv)(4`dPaj zwlwWzAbZ{E4yWD80k3P`Kv#91NB8Z8H}EM5)$KuELiMLNRG)W?mQek6=z_lfSHJM1 zUziHjW8mEeO%+w-)`aRY_O>HkP!$a3Q2hX~7K{~we^k*G>pdK*@6qse<5hGWD2s%3 zFZj=)I+zNzgBU&8%lTW`)F^LDmpmKTge=%qbz|~UhD1F zz8n{*s-gqz#)0Lm%&)D#J4t3N9S9Q*b%!xi?GLI#bz@#ef&@(^HI~jA7W^0C?y-Ft zNWQM?BM5RX#U3I`?shft(`5Ls#{S7G^SVyKvS*NLi z9LJoI1g~E6Ax-dP^iY6oJn-1)61iwzIQk`OA{a2?RCsP_sK^JDS3a0aKs=N2++@zF z@Z8cok@uJ9C-#@YDRKTJ)~WFD6GS-8To%1N4#2*TP0-||5`B8bQKC=Z?(Z!ndY1PW z5T7%_Br295VmZ(2vIPFTN`&(NPhayF{>g{Fy0o2|FNk=a$!Og|Em}eCGiZx77fnIn z%Ei3e8d#CK$X5h!iFT3i*I@E(1X-eYLG-$VlmmVW`Hfrp-U$4mX4hLZD6fQlk%{|vDXG;C6*z06Ip!3 zas-U7e9r0f8$p(2mc%9lBHwOI0DHg8?#!%*-M#Z=X1rR6l7I{*5HH3d4hVMnGckb( zBobR}unD%6Sc&)%F*2J(AuLKT27{9Tv9Wf8^E_2=y;b#g-|jy5yZ6pFLoXWc>F-p( z-F>>dex9m&D&8Q>>g4aven||0XeHwv!W_`jY=NWqu07r&P)`rMPo;?UQa&%}88&mPDNvpX;XE)^cl1edTZE>zin1 zXfqnwGb&q!lts2U)TW-@!+oT3v7CwSg|7nEGeiK>fI`hC4-#r9%v-X*FyxIBq)tun zn&6dWuc`G~;Pss4wa8@M?6ssWv<)Ar==DetQoSB@a^blO`=cumFQ|9XX{%T0LTuX3 z#7hhNpV$=`_h;M@j*q@bsws5gzIBVD6Y0DSoAUEiuL-{vwPWo>o9h{sXL*W@Aulcra#YUy+8_1Tnk`RurZW6mXR=-e6?d8O&Dtyyw;9was7g= z0C~kawz$LHTq6S&cHlFUcZkLX5JZ|y3KVLcLV97ci2L)2accx83$s|m38OY*6ax{j z%=af|5?j;(5u7!fRXbm*t<;~V8b@pba5>*NmWT9Q<2b|iQd`^WF|mBB*cq<9^Z)+j zUwf}BC9SeErDP?;UMLO1k+u++-a{2Up#Pal37lUf{J;xezAE9G2^L*L?bVT&Bnk2X zGeK=;B^J8sI1w6-ldnjnR0VNJX@7=NDw@Fs`G{3P^igY86_F4e_jG6~B@@Ji6|!gI z;YXJi4jlw%=^A}eSMDW4-P9LfHOW9_Q==AC?y+ey#%*A8@lbow>LU8Icv05LCzbaq zzV^+~X1w+Zc@*?I%O^F_mQ2Y=yQ0ct6z8K}MF!$TnNpM7|$smLTj zwReu1(jUJJ9h9eSm z$;#vc=&It9`HFn1>Y>5-Be2b}<7g}@3>S1f0`{X$uSa=jTLHr7tgcw6*P~OVt?O{a z2p3bQ*MmSwm6{(qAV#>FTBZU5OE6TVlMILv(h9Xs1yc!B|AjRj&cpT8LKPOGv}-zI zgrnC&6+SPmWV}65`*NyCKF@f6(8gNaQLr7izoxYE+s}Da^8`J!Kb6@h;fmrN0wdH4 zekOGP_Ha_CIY`D^T|N9`xgEw23@wUR;ne~$-P$&g?VA&iX2rwiihc9b&cO;1W4gcb4J#}^HX-bhA#XF&9=bp)>~Ys6i45LZHLJd&M=k;{aOf~I z?Wk{Pa>yQ8(r**OUnZNa3e#8gxCKD(X$6XG$%%tCyIIl07Dkvg&3+gipn2WvrE^I9>J1R6E$NFOXP(I6+52Zm^8 zr~#n~UV`*(#72HJo-oqgW|}P^vcF3o!eiBkFqKQ~!D)-}efIL12Y18fSJcg7d_zUT z-Ld(7&cFDBpZWHw;b_;JKcR-r=+w0kuxvdsd;Wxv(Lv6tU6IDOu84VG$O9oMy2Jcd zu~vR)$t;y=5uh8|N=X=pqiH#u3)1-7isjJEcVL`Gvm#NQD!5x|*&JL7rl6Sp@S zUK(Zn(jmzuQQy}}O8UTNTC7g+URXGAWPxL|COsoN`VmJJNt7a#c8PFw$@`TxD`miO zziG~M(x5Bg7^&|2ME7B6GDgvwP3PY!yRNH;0km#u{gVp8u9pw(xLOpn>2dbwj;i%z zOxyJ~@h*w<7;^KW3=t?(P$^T6j9LN=A<*s+Lsm^AdC1F5c}m&!5LP}$9`Z6oPJpFM zl?^A{tGnYP6ZV(N06#t#Uo&-JAVb%#%#gchJ?yJqbc&G#F{8NWk zXQpV@N9ymiqK7)<*vIe_5nOT1Sr&{*G=z1G1hHaw98aRv$!e$V5h_0~nUZKbM1T?t z9=D`Mjgqr9qv{?n02ZY}eNsQD#FS=KsnLcg*H`{2s@r;0|GJ(;lxx10O+9=rT(U5y z{Dt*dn9k?isft>mUF`k%nb`Fyop)S8bXw~80YAQ7mnh3 zqouW|#U+PG0{YzW(u1r0PTi*M`fk+279+XepsA-hbf{i| zFt zbr0c;5OD3_0UhC?&*KGiJH%pqyM0qiQ)+0&(jEdCONY_r1M#Nt@Vr)fuZvDS zGk{%uk(=>F-tb@k_OE=O=R37NpdFxs9%S-=lc)tv!(#5NFdI0 z*p=OY7qX`p<_0Y}<1DS#=7SMsW%R8dnx@WH93@|+rK^Id;}j48jYg#l*H;vsR0^0d zmJMUbagLZeRz`ffplB--ME$H>%#dKJi5ll#6|AhRIl{d<%O1O#12k&J*e&N3oai@X zfn^!%_N@mcL#kU)|3rcKpMNv-iISnWcfj&4L(b2ugVG^8wJb`zD69$;bw~oi>SGB| z6u&Np0&omltV5=`R?;{I=3%u-O$4(=d_h<38Bz`~JpfeCNOU@lA(| z*<}ku!GVe{3x@t1jQVK8&=`e|#@{gr4SXa0_&Z_*qXkPT1YNPm;fjK#7=ex!EJci9 zv|uS>1fvB@2_qPZ0%P%fk6k*64oRV&`O5K`{KA+W56z9HIy#))(pxAGpU7e2-m*bu-z%# zqe)j*9wtnIFS-8u{L~L7U>r7sF9Ju`8>-a22%K9VUIcDVya*79F9J7Xi&XO>;Lwls zB8-i)ZxJ8VgpYI52z_0KcvAL%qFo4_FvysO{bjU#fOv#7CO|w5iA*OnF}^=IYw)DS z{rT9${l)dHo@pnLRM>xW!3ffM1|X34myomWFX?@Un7aK1UdXT@VvuN*-~gA0Gnk4*0$vf4kxQ_HaNMEg$#i3lzhK{`R6MTsE--KrPXD^EHBVf%Yo$?C zTo2y-=-`C0>AP1?VUnS}b|!f+FHiJpuELeJWz;-GJbvmQ{m{@w^pb$VyujcHv0!SW+dgxmqb=M{Vg9C>Ar4%@EB5E#5K4mPI$1Ho=zCP2II7o0DsE~yZLAeaQ(i%GEs z3LUkahuskE?-L4tpx$(BF_ z+ip7Hj;Gdi_V2-#y6d3%F6Zx)?XZ7CSa^1?qi~(L>%nwna=j+9ixNut=}5d(tuZjd z@SX!B#IL(^~@Ld3=A(%t1xqUibir1>d*Io z&M*9fpL6yRC2F$5$x1uctyn_H!pW|o9n+kN336VWR9m2~n;8ze*uwKhjm+QG1fAy% z6l$#?^=B0{p10%v&=aH|WrEK0wt>294o&@Of~8m+7t{6W+xX;H!AiEaLtRE%@n#g0 z>LafssGx!Ao(5U4f40BP*S*~-cU>7hw_L4gI;Z`MrmThiCzMM~y8F4*DoWg8&Nnt5cq`D0m+yGnJK;|k#`C*r$(nbIT0Ong2i#13z~_C{ zzx5Zt*lnFQGgz!(T|aQIIUyA#{pikBchtLn7%Q0I5!fmKQwU;AnZmU$NLy!u=mrL) z3F~=4f0Uw&E=XHf1<|`Cu>vepnLO%(v~?zkHY4^$5&vDwG!wuJ+ByL@?xxDg0=MjxI3;}LD6efa?f@Hgdax?rR_;F4^bu2 zfiCrWXdELa7ptS{);w{>3ku2i1pV05o z-b*n!cJu>EUFBwiG*U`j{PCD{T<9~X?e8-;4*DzdkBMfz{ELl{t!T9cT<6w6>UssI zuID#3qgMASeCxi~+-k_y$FXfh1Y({;_#u5@G5l$ePe8S~ni2JX391w-X9r2=DH3zQ?xQ5 zozxOI1gQnv^RrA=rqsE^YT_yF>G^17poyX0spk(}ujN^A2D?3IgwrbfFH+V5sUf{$#e-t z?ReLnB3|$>+*rlG?5`!h%a3}2;Vm%xxHE^Xi@1MJv`|b*h7CMFkAP$U4hJO&HWKhr>uEg z_ty;m)CGJwo+sWj&=0nbu_Vdrns{fWd=*#TbIPTd-y~}h1sP-Wn)BW>;K+VHnKoOV(mcfU1qD9;5>Vitc}?k^^(q< zCQq{v{~Ln-b>UY!V~Gb5X_l(bm!T{Z8B>X4Tmee3QZttKv59U))oHtXNMO>#vxl&Z zhck_rbjol-k-ExuceS$Q5+1i)Lb<~ex2Q!ZmR!Qk(DA8icHfLYx*?4&g6Z3JS`D zneQzo6QW&6JlT1P9ddF*M7Gt!{g0w19lVKIB?Uh z3kMwlnf7WD%HxO(D!AH%CzQt#8Ds!@lJV{!Kfs6|?Ir1w~PEfSv;sSVC$4FWDoLhvdXce>A ze4s9bj}F7<5B$i_zTtyDJ5WpftaPpvBBPsey%&s=gQ7+aGA4+*edHzO z(1$g9rIH|ROck^mJD{e-fs-Iz026fXy}eWkBtg0WCWvY4m@P<14b^?^q3Hrt!4=AW zRS*$(b7;B%CJ2McDP#ocB&VJZO&7of_aH#WRYPzmU~v)@7r-PvQ4SXXrZq@TnpTZ^ z3xi-7B`yYycCLOVTiP) zRyZJc(tsF{H!U6xJ0N~YP@&kGyaR$wFJ)_Mi_e@Zh(P$!YKw8c5h`YF@j0Zf;vt61 z;TswvZAtZ?pQ|p<@PwYGNsHyVbBc=@-r37Ev3wf|QiXlt3!nBQFM0RGa1`UYYD^St z^T5$h-l0afRR5y#BzeCLN%h={C?~1jpwqQkF-9??Wl1TD8LLW)Q4IK1uP#c8Q4B7X zK^_5l%wTQKF-I}o4w{{D1Okp;RZz@TkJluH3?67;$7+(|-5IY*icyTK+Fni4Y*ian zWG{afvu9JPR`s1_&8)w*#wMc~vtGW;vt`2}%^U^nI-Ant>@7~D$MhA!{f?P0IQl6w#Orm3ZYds4=l5tGg#NQnTjpHoQAyX)xa(oTv6QzKZS2;C?>lc>Yf?Ji1MpTQ$EcDFYAN=s__4?T@TB+ofqhLgI)SIr_k z#uz))eJzU!Sd2`_iQo;N#fZho$egePtal=TX{Xl8c0fBCo@atVsZML6t+DNlK;QUT z;0p>D&};4I4>0JgA-Do&h0Bqj#|p#llim@04X>Tn?SK30KlAtfii0;=4`52KR0oig zmPjn=Zr329pq{YO5Dgzp(95^L!=MVq1^G*wAZEiLYLFGJTgwHh^i0rsareL;#5H$8 zDm@b{jCE*dlfvbEj#PRk2wHc>LQp3dTB^mpz9N;L2_8_*ta@jSO6=yUsr0Jgq2s_% ztcarOR#23lNumOceStgtkTSt1{g7IqQ%b^|y?2XjesgdI7)`R$_ioXt)k+e)v7;Zb z2OxT$r;Q;kU$O8#-X6$lbcfijb{m%4}wH`kl`q6j<%m8;|jj$c5|`XzX+@voLq75sN4CJ2Hg(b zm?-HpZD{_$prQM#?u;B);VSGFO?Aufq{alZL9R zM5kz=v+ANpqEa-dru;=l!03QZ7m*eZh6$y%QLnJ9D(IEg$%I}?tr@)pp;)E*T7wTK z=i9`Ei^t=W5sxPp$oFquRvC&I_vdpE_ZNS__s99B1See9)S4iABknJ5Lfv2VMBNgD zU(F85{t*+rg#vED@rY4{@-quf(-OhR>9&{e>IXs=sAu zZG%ZjYiNkc8}-lEwkq8F2s-YPU2Va~?;0Nub(RTrwhp+~EG^v%H`A@~2R`!T`#!;; zqCHIQ?yZ(-)-B8^K6I#GVR_2>RLTI$R|Ow8L3HJ@ZyVt!8sPyIA&cvReE(e#UDzVH zgFDa&QWKcq>A(g*t_Vugc17w46Fh=`T~pHFTWD9LX*aJ3t)((Ad_prrCF-&W)^Z~4~5un^~O{{3J7l|SP{Y=_GrLo?T|42~=6RgB=>r-i4c0o~(c4)9; zXr~g1G9>bGK~aykBF;<>eS#WQjK&2;J(}QVR}}8{G}_F?5+I3ZP)%O=t9?aPk7da{ zGM6feNg|qQ2$HD`5~^0z;}K2Pnal$!H}IPt6e;F%w7uS=??9W2+Vk%AdSpd zG^y~ZkX3)rY$a1IZAiK$PIZZ1;k&7O`>SFGt(UEm?$m|PRsXtI;chD%S5Go=v%@nA z@tRob%$40_I5lG#;HF+9wp4t3N|Gr}J~}8%qYb{N6XgQFq!Z>UqSWJlTPE3}V7<_>_gz<}&;yTDbLWQ^%-#-d-UDj@+Czu5AHrFfdQI4Gd&L6ckl3-&jlv=T zruH{Io|%-|KO8_=CV(;U)n3Xx@NVE21XL`NV3KKnb1hV{Qjj!f1nxGCmlt8tz>x8G2~AOB=Gh~S68!U z&_m!)+>@(Q0L(x$za)FFt^&p7=(u*kA#kWsK3K-=g)55p=(t7G8Lxf6#>e(N<2@<^ zc6jtv;jaj5#(Q)+by_{N+glpNB&4<$=9t__y+Qft9a*8h+0pYrZ%?hWD(; zD<}`Qyh)H8p8i+9y-e>J7P|HKY~c{}#0Mw=L38YZ1PAt|MD@ZU2yY++Qm-I~AW8pr zmg(PN-XBN-Bf-`#s6Q|aZd`b;n+u1i*(elNXL6GRho>0j$O35_Py zSEOw)L6q@>DF7=1kpsj4A-X^$P z;g|x~!?$b&y>DGAxL`FJsv)oI?XB^SNKWu z<%EI(+??9DM^o2Idci=H+#Wy{(X1J7kN4T_*JLyfw`ZR9G)Bbg w$b&5j2H;YMo zS^lNRTCM+H%p}(@|6nA#W+H=C1tsqsCv%RB9PDzm_YRuH3b|N)A1f}`QZgE#r?#43 zYe?P15T=L68nCLS_Dfwu$Ew;AQnqDz-I9aGGtKYtnV7YZ}QcI+Dp85!Rg4l#Oz{qC2XpxIvC`QU#KDfX@(qNLd5G zJG9in`X(?mAe?Aj-g4d@Q9h1f%Yp&mL<$_-CUP<0SM7Dw(M*>gfd(IqbX;<~Wer<8 zc7pPYXGBTlCX(cQPvH77Kl17;qosw!)^#LbZhCK^x&o1 zt*(3*tb>4mD{i>h=JRk%t;V>*HeZ`IWS(m8S>L(1;k@Nr%R$`mU;FHj{^&WhArrMl zT23n^L#6c9-~XufJj5BO1d3YELmUt`!7)!EPSkqnVFYH!5C^=fZB!7oo`*OX#yA>~ zScn7cvXoEz#l(Q5hB%-N=><(m>!GNJ-L0%Gvd&RoGHE@iMbIvZBvon?x`;bjDuxg) zQQdRR73QfnlEIbq2y2hzQme*OZBY%e$Q9mOGu|H$v2bZwJ455g8{{DtreS7{f1Vy! zy?O=4sb5~n0Ht5Qs%S$>yj692(CrIt$U++e%;elYO6vWuc;;`u?MJ-_u%LqQ(mW*r zf)-@qp;}0NN7^{578P8jY(2W-r23MApruvK;)2xsWeYwWXS+g6Q?ayy)GH=9%DP9j zVuGWrd!8=>C^W{p=lLQC!Xp(R3e35sh|Dj>^F6#y}fJ!*y{_W$Phgwemw0Bl`~Xl(*w9{;5^){>{)QN{{yoE;U#;Sc3&s z9o~4TYW%tfSJkZ0I$T3Hm-lMPbA%~qkJ+HQdAF!VtCkXkn<+u~Uw{11J@M^RB?$XH z3CG1yw_r^!L2w{%pjIIoAtW2f{zB7l3}4~3)W!VS zK0e0CubeX)I7!JY_I!&5&1TKyBcL6sV1uYwOp=qa7mb`4Kew-q5m$43C4%PrU2VvV zMQ;h#V94d0OH9Xe1Iz{EfBubsir@3Ie>3!n5}{zh05ki$R0}>P)q>^8UrhC4s##|8 z@(Y*Gza8UAWFE;S#_;MalA7V~kM5<5K*2iG1fW}e%M+#C@V<}xAd~;*_*cK?V?OqE zue%5GSy&2XVX$z%a56CMLn?YeI;dPz>sG!hAgu|`XCkTt8E=e;e3_!X^{Py_L+%jbJSW4bRHB|hOj2Xd0P_<0ZM#(1p8Ba0q>qC{%1t4H zuqIt8lk{(t<;fQYCd_~GdB7GXNTNgNum8^NQ? zw@Ap8ZA~yLp^HKuk7Gl9HOrw8xUEYlfnKlm5+W+`ImmzmwsW;gm@w4 zpR7#e+`A-b#x#M9FbktwKxlywv(eCL zj`)zp@<#FBYs~~uRv@}ze;~Z)u=^`Rf7l-gcbYr{fqZ=N&Fk^eunR;x?2m41_}PB` z5J>yWwnNHFeNdOvpT`mA&UNwX-hFHVPIrWPb0KLkgc@(fu;e*Yds+2iuk01(%NS96 z1tLp%=G~QN7Hu_gix$}8cFHsVmDAUM%IkwKG)P)I%0(;I)~HsGBV4qEEed@kvc?-_ zeZe$m*5N>rl&|1jiLJF2$GB(-TiA-DT(sf~*@}Xw5D)<2OmT7aD;!!3tqHD4l`n#9 z^AJN@1;rOCf`{#vSrzE=En3@~i&0;wO0LTcR{$Z}Txpj*-y(y(#}WpW?gam~c5EM8 zW}P2cl2`4ZbDliRoF~}D3^YF$ZXp`M_+MiqWix)y&)1dl{kX~)(17f^*b4noFKY3_ z33LDQj|xc|;qn__=;$jtS;&{cbxKd25?GcsPpFC&pbklX@>*rd@Fe5t=+);_0s_na zn&DBtKzSG`OCgE!4@4As&G0OPzeqZj@Q7{!R3wXC6N#rxyt@-HD4cAM+ z!;CzvUps0g;Q8|*-RujYLy!!Q^9737kiQg3AbjaO=Rc&NzOn39eMp6qhc{PUy84iU zR43wM=MwYW)B`wYo!&z_M!&N-hMwURJ;7fl-A^QQfDhTF z@mUaPcfk2okCgO5hv49LyYuLSwyTTo6!$+|Y}55aARS+}{rI53p?0VZU)||qn@Uh1 zkoV`d?~flWZe|DjzW!R~Z{NqaTR7O=7B&zRI{0=Aj%3^1;#*R>ps|tP_BU}Jb*Zh~ z2ir`=)vrFjo&W2veA}=7<=0nmNDH$J9I9nn9Vqmrf)CNS#^B|v0uEI{P|B;|9t9Ah zpIwk{pQF<85ogv8+>W z3oB%hTvXQe~y?+ zB-aju+}=n5hwMD-7$eMjEAUKMGu|P5&CC?47eQmG&{U9&x9GT$oE+;MK?L61%Q$HZ zW1DO=66iS9bMQ5Vw8qX8r>Bz^@S$)dn&cV&fVr&w8W;5j%wg?{cToP$t#)aRw=O!I z8dFyoKvMb8Ce%=>sJmnGksEv!9-4FHGDDwuX8rmXJlfVv1WlZ#PO@@uoO7Zb6A4En z*}17Pk*Lp$c8;Zoi6(EuM=BUr*$#FFKv1-E0H)5e^Tc+dodfO!Lm&Nw#?A>eij}HF#)~e=Q-60~+(Ca={HV4=PD8H@-TTj5Q3oC7c=$PL5$(HVwIa5a|?Jm47jgR?7u26QE05bFcBASI^`j$Xiup z*x!iWLp_1UjhG|jyHGmh2a2l!2*4t$*5a!L4;EV@;b^g&5R6swcgo7zMszUh$;Fw9 z=)@&)7l#quIi&%TDF`kGyJTE;C_R9wbpv=0O7%Ll&?F+B`_YPrqK1 z2Ni-FK(NiBJxGFJhe2=x3~{bWBj`a=I5f_YEZyN8Cj*uG(yESV7y`0li7&FhuvKQ{ zYmw0-oLk}(SFI=89Njl55wwSC4f}iV{^{ZvqXj%bFp*y6A^~8~9dN)CO&g+(gx>VR z89qDVU2CUXBRoQg8ji;&BOXtjfSR;`szNm6uRg35qMf)upM$u+n0((K=Nq#ujJ6UO zB)TE)&zRcJpQ^&Q^vAK_1of33SEHy0Tlg(276W0l++H_yxvD1h%A%gRdPUX2)bc}m zEdq#Ptf`iZS+o*DHWZ z#z_5LuVBR$Xq5$18Q{y0;L9jozCR>5^e9_C6KHnXE;+=O@3{l4sXsY_@awbXtBd0f zR?t^vjFT#_lF5!b$#qZIX^8Ghk8!(hlpZ64HKzLpJgG*x5jz1c7PJ!$T+|M&I)XYo zOC2@CBdLy3kz(t*$+jz?wki|a>nyMZ*m44!;5we=35vG&(~;OAcv1rGUsvOu4c45e z@urp^(s3))~L0Uqk1k_-+MmwgB`{JL3n~>tYn`n8qSlo70)IYun?* zYTAm{o6cT(b>jTcVo>cQy*C|vx|*{KlGJ!6>AmUbX4fLOASrbM<>++8o@Bf~uveh=0wzzgh{3P0l*?w!It1G|`@2XY33*`yl@L-&@Gu~a_o+k`x z^Ih-La#-X14y{6(1l4x|)&JpJ6v*Vw8G2W$)5|OQ_yx#c^zHxd;Rh#+V3gFHajy+B zEv|FQA~N{20C~fiNiq10jx0if?S?gqhbn`tItR-)JCFM^z-g^N*EZ=qJNd94%N_ z0_2+tknh91!@a$(LpT+q_Mo+;ujTIgT8cuNQ0}c$z0h%7l{!6-cKk;_`rRM?v}wvN z%ie9eQd8(&vcPo3^#v~lOA3*cL!D+zUX=n98?7+nGA3CW>ObbK+fn~pD5M2$)7 zBIm$ltpzi%U$kIX1}3Ky+H_<^?4VZGFD3;h2ynzE?l3g04aZ_&f2j6=Nwrv zLNVn4AlW#o^(1MQ!pnZ_MA?Pu-y~urtu7dLn;%Fk9V{@x6lE!-+yN2lg+=l10D@vj zh9(F=i#^GBdvNn~v^d&3N_k{B&v<{(TuWXRtO@@ypXa1Mpl-lz1tz*WxIWC4kbmId z;qswhw0Lf*qpfUz-8UHeH0duafyqsBF_ysOe4n8_=@SNN@fqfqliYa4&%9f-_zd%J z(K!`AKlR-1*&m(;GtFn%l2|HR_-K`o?JceVK(N*oNJdF1DnQ}2A)QBFG1?@MU9y0c z#YSMtXauv*;9%wo7XC_~0Y#b>%p4`{FMS0#$5kIkjXndg03BdP?&@qe8=ryP8QOfY zUKN_>V2dM|c{p^KdcfFxg<)sSbtj);bfQWEGsj1&q}v0qS&lw9QYGD=E&8R@XLz)c zDr(}_#h{nJ1MJOe@P|4jbOqGxGzQx&y8UgwmQCIFPLl8jX10G9F!QM!HsaiD?HQcC68#UZ3 z=&2q6A8Ds9I5gUei5jl| zUKO6ID$^?pqD*(oUt{-(Dzwp;DtB&8lp6Wn})RJ~TuUH*$Adq9v!qY!l((Y92 z-SLxu;D@Ii*Dxz}yyXZCMl22|)Q;}dMr}p44%nRWH3h*1&E7!{o0SIB}M z0H-vTV$yL{%@dk;MW0o_Fnut!C;L>Et;(^LRA1T9+Mz~A%BBhoIjy?<4OSWzRaYyF z%!x&CzBsQp=)7LLg?AoW+ynG)-#z^|e*VMX^ApoR>Xxq`(ado@79#b{u~jBfk6{49 zL01HqOp6mnJ?7ID209|69s}!vlDQxq*c+1?^_Y)g7#Ncp^;jKoz%dN%#Y8<;85O`W z(7Tu>`Dzmm03f%Qo!ryHBO}$8O;QuOF}kOiKZ@)u9a)TZ>a7{!kzSP_ zg~DoxXU-gY3wy{Eyz)Rp@!;pys?bfLS1MoKo2{8u9p1EiTJ-Z{mwYu{y|||`@F=m< z++^~#48pUg@sTW4h_QgzLl z%|+&H+TX|5hg@C6w^Uuq*jU62V5r?@Ut?q+M{)ajBa(Oq9%Z&9GH7f{9oDx@BE#0l zI3AQWG3WF(sm1rpkzdDa)rD&Ge1Nk&ytk!j<}E)2aQ^xyeaZj$L02@&RBN4-g}0V) zB|S)V+oEJ!OXHlCkV?t*+ysvrRMPq`9 z9GN)Bir~d+4^2g5f~5fot%oRQYY$CDV}ju8Ll6qpg^q9fd+E?rG$wf5?9?aqVV~tI zs4jYtCI~FDjOJV&Z1{w812ctFB~M7=h!3~gg7%ofwJkYYcbUIM#iO75d29dfrgPS& z?(FZ7+OzNM?~siD8>N;EP6X=ETKO3ASd|C$wp7@><%d+**MI08UlN+Z)u%IGsd#vx zC>^QPiDA}grA`cjM=Nz=5X@3$C>*K8?X?KTAb1BK3GL++>MHt-_&IpwR=HAu3GBm5wGcPkJ%H-a7=l6!PpC`Ca4+OFu)VPdt| z11QXg_UJIudO}6ED|&Q@L+e~M1R`+7kr_@GwGX1S2VLy|^2n%Rqq{0pA@S&wT2wUO!!~)M|5~(Y=-5_0Ipi=_CG6Tv7eOAme3%E+nSQjtzMg-w z@9eLCAL+!dT@D%yQwZXRX!c1uEA$9tP zKJg2G+drP9a;|Jb(3cvqmLoRm)Y*h~2NqE$b&=Gmu?Za!Hn$oms#A~-(JzE1N6(kW zz<%hYPK|cxxIeHvEFC(kQ>Pv34n1D#s7`BWd%~!J_RvY4t}vcc722k21(P~OjXsk{ zP<a+ylkld_#KagZmOi7|~?WF2-ePU)NU3gNb2NcVTj}54^XPP97Vrx2= zksyvH(Ybfx`uP3fQPJNxV3 z_$T|${`xomNe`NJuVt(UvLY=UC>+s26-kjw(7j3_>ADa3DsY#D9Uzc(mqaI3Sy9&| znl*4LlG&>cvylSEctAJJY>O8~a_iu}_)D)_yH*>^IZK{ujRaqn~~0K7gW87E%>#>WSSE6N$wTA2*!K z12a>;Dn5V-)=57c9o)j(^Z`uJ0rSn8y=!SjDuya}!2jgXV0LK*=>wP`hD3v)6oB~= z1X~&@m9GkjWP)hsMx_MML+ z@9gi8jQ<;@fFW7XV+Pp%qI7J*kj^vwS_@wN0PzJwwd^$s100nH~*L$UX8xY@YYyt(=oo2IZCA8PVpVF5EDFs3{um@JLxq^f-(5s zZD1ZXrY5IvUNHvW9x8)evc>dL@Qne>!uB#Wa481g;OJ!b20;b#%k7FW_@)Ifg1bWt z62{vx-jRauJ?LXJpx>YY zzxgRs@Qv|yI^6FOrZ}mwUP;I+=1?tiQ@x{7GqFswxc1krtbfv@+(tRLo@$P#&8!c^ z(+ie)UOcY#u5Q*}Q7m&Y>vw0?iw2zVycV;5J7)dg|FYlw_CM}sU41Mw>lWOBM-2%z z7IzJV$-{MJ8%*p-QJ@l=+KQ*uhRiK+6b8cN6i=_%3vosC8{_HyW`*pjfbWK>mxHzO zbhjb0%*^AlfbT9C0c!PLOiU0dB53#nYfrgWXpL02cPFD4AAI zZBQSl_V2vtA{gBWQ@f~P*0u4P zGe?BRIlRCi3XqhhO(dDo8O4y;P@oANzC_RSb@+`lsTCbJaf=o+JO37)!=(1B-}2Kx z|C4TJJ?isf6JV(~&L8e0@4-HXFcNjldgv95qDRjxYDE&97Jk1*6L2Hw(KF6*jFH@< z=Ltq~kDdVufOr&!8?Di(+tGeGKSz(Ak&D}|F(?v(2UM6Nb)7CIM9(REc+Ozv( z>0m~0*Nis@=eCifgAcv*g!^fR=$UJmo|}D#+0SXsNhqAgP>iI; zu?=&&n*YYco0YA*x{=jIhCpza@lPr}L>}QX{z;`V{oRgV{=sG+9xekTkbHM}i0o_J zZM?X0iJ%{!2znt_fAF3c-u1E?hj%~y-uKj*#@Gm7>Ad61XRjW3e93{ww=ouZ>J}}g z{dP?I-}~DcC8j}+Zue3(*O?=9dK(I@M*`OG({|#sFkGpudso8%f7_3S&*jP zR@`CQ5~5Qum9D`D(5y()Zh|OoBd0rB+|e@89-5}z1ThFyYj-imq{n(f(_RIQEdUK% zqZ6NwhZU3IICPHyaF)qb`{Hr2m?VlMq?78x4hY9m!IL1cc?aA|M`T-Cr*r3O zw#B;V4zk1f0x$9l#=s$*UntlbFE~@;2frLTcQtQ}HulgGgD@Ds?gpOR8h#|E8R54? zaoYLiT;)ZW2)dTIc)n)7apO7f7A?ke{w+EuF8;t5?!Whc@wR5Y8LjbjQ#Zg)6OHF6 zJ54m6s6$47G;Jcrm}rPro`Vt>mo0|mY7_UHj#=eoj-&CcEL)`c23VW~qw(}`cYyCE z8qZO_8^*pkJxplq+rRKr(kh zpl=X?{;kGM`~G)-+8_G%sQ_WW->`X&UV1zC^DS?VI_}tEQWBB6-GJX8b=;`m9|MGa z2W~3?;6M;NuH(>yr-JtSHV31G7;Qk!iAV3PZ@cUD|Xlk zy(0$)<0WqdW10@lotU`66xf#MK;z~s#x&gy6)P&(f%>x%jA=SFplYcn5@5Yi#3@a; zIkLz_vTr>mxtOLyVK09k=qKdXG!pW2Oli8)>Y&GlBx`%q)r2Bf{-S%Ocsi^%$N@Hz zF-^BWaAZ7B6LXH+-#n%1N-%`;OV02!XqikW zP52qD5hLOI@hi5^e1a=rEm~>I5kZzjW;oK^VH9erqSIKZ)ViRxPMY0?=B~#z$2YtR zD$N}PL3gKJ2IZ@Q2btgrTy$Cq*@@Cft#eesCI|`u7B~vc9m@rhAQi9)g7z3*94`i= z=h{P40h=InPpO22xzLt?@)gdJ3b+cguu+N(!AH;@I+Y`0-mTIKpU`9owVF;+6tJy% zTz9DF#O0jOQ3(~8C8>Z-au1~r1x)YW_*(2VCzYjYrlKe>uTYq(q`U}1w==m^qcG>2ao+Mn>hZ(>?EAm=@4WtXue|)9C<$(Y#{vPj!5zdSA+$>Aqj`Pb-Ts zMntxP(LZ$-Vfd-Jf^AZrG+tFxOeH#^ibNIhDB6ST6jh=#D^xvMO1Ny5fot)g^-P+h z`z9quQI^w1B(#A3MuIrxM@99={BF~E49F!x6yhysd8`*PiOhZpkbE4|5zx{1f7E<3 z&NmT=z_mOFA`*Df&qk8R#@~V0D}*%A%g9|b3bAxBc%EqS&c$EKyKt^vS-O$#x*KWA zGj4VB!tQZ1>>f}2KmXhh{HCW$^nK~sHziCYT7p-wu|^4h(Lu!&Jd`nhZjF+C+aKAN z3&G8SoxUN!&t=l!#Nq@g*tZSRD~({XZyWS2DGYJ!ony3b@C9^~Gb*4;s>IJR;xF*! zm5F-@*a*t%i6b~Wv{1Pt#bUmgguk#lTGASOD&Ei$zWyxy2#bAy7$c&hi1&=w1;{WH&%f_gwtNjs1+Y zi!NQN#>&Pmxd;|ew0~j97X0N^jK3_7z~Trz|L$im7+#Lb2Q1e(z<@R%k zxBtux=44|VAytQfQtmOhsUdT6ArW~cn3IidWQsYtvW;MrhGKDkG42SEIoa4orkIma zDWW*wVa-GgFgsr{I09I5*MSR^=-vTCH(JSnG(3T161$)f=k37t*-CmM2ERE;*hCT+ zuDE76db&H6ZRGJ}PCm=ZQ#k(T;k$o|-}AG7%fn$!28Chr zhL~1?B4f@Nf|cjEV4qD|1;ac@wIE4@!o}=@F|D#gav6uF{#o}X9L2N>c!o<71O)Ca zc|%OAU|8c`SKRK3p0Y#F4=x@)K(>OfvikK8F4wXpuXFjQ*)zW%JggP5_}FA=aiCqv z)AHlFnc6v;$8`{;1K?=t#{TBC(?wDE%*q+Jv;B~T+^TF|oOUP>)W-t7v|>bpB+ZRU zBAEnR$aot=pxR8I#GNL20!5eP{V`XdM}8?trb!}u#@cW68m$rSOEejd9v1 z=xwDIpeDQOQ*@t`-}bSwM;e79aLxBM0MRU_ORY~Jy2t2=&9rH9TVIIpSg#)fd4F#E33vMoyeeV; z?xIWA4}rXYzWxs98}EO&yXX$-{P_dL3-0}3@pRVmcp3D6Uw^H-iEq0jreb0yGdscX zZHJ;O+wAZyZsh?6jNkS*aUON4PVR$kreca`o`3E+3D0WiW#(K%y!y6OL5stt#O~)(1rayKmV>j{7Jv7y0BU&YpDS%{zp{GVL@n)*mS+lWX7B(2+k4qbwQA`x5Wu4 zU%{&pZB4eWz7U|o~48cP1N*tlb|Rn zTXBn427$d-+ul4n>MXmMGC@jalcPi^uSlI^f~b~a`6ve0VVp>NXh8(3B=YX!&|sno zU9@zPk{U3{14cfnJ9VTZ-AK}qnB);|l(=XhNiF5DG-=d0UH57;1iH`F4H~*v&k?I`*xfi`rmxz+ zOJ3k9`h@5Ba55d)U7Er1AAw^nyw~s{WH^Xb6C{gsl@EZT%JOo!(Md3`y8-Kq82#T> zW}4QfdJ+Yjw#RG=kmkPCl_>VB?mKF`uJ#({0Mbh@`4taS-zf=xg?@Yta|R#yvd{bI zXWyKMFlP9p34)RCV5Zr*$rvG;AT1s+t9IOMSrW|7&A#D}9)i!n-EDS?Cb*#tngk)v ziivR^nq|rP(9uH(GjL@>S2E|(LqMg378&nX>yniBLnja6usI;-LK_XVr{_yB0JrNt z96Bv=KsOAbxO8v z9iR>oIlv|$p5RY}mmB^>_;zUqRa9f~CoF{@fDVT_u0~B9Gs(W_uut$>u0eR;kNt07 z{E1$SsP?5r97b(*L>xwKbuw6ECOF!wQ41XXiBSWb{0V>|LQf8Spy4@q%bSDBd180y zGz|xM00oiJRBA7hS@a#ItRj*p;sAd3BsghPYsj5Gh|fVX?ue*{!1mi?{F>?Yi870!}IKvQbLA#IJQ|ZSqUjg)` zeKbM9x0r5;==gZt6>QoCX&+7Su-`I7MTI%$ZYbpIg0zoS5XEeW=ddD5@D!PLLE1+X zEE6`#1_vSn7^$Oug>$5RG(kAv0K;+U6RJzJwBA>weXN3;UFp@Or4+O0f{eGT;Ay9n zN=MYQXkonzO1y27V5;M>S)+GkjUJ-tASp!?as#k-1teB0Su@I*Y%Nq<9KhSdQ7It{#f4c>Nqh2P<#(d7ujg^gVgR@w&KIq**^Fw`#K zGX6=W3mbdch|LAY#x7r79!meA@6XdlsgF4!?O!-04STEBU<9Y6H||>oiFlHqA#7?d z1md2O^s?=UI_7hOO3ci-zfROG< za)+`NsPI-W>YDvwkG>^p5SW=(*F;rwIKT*~;AYdFV>AZG6&?c>TyubP(%jJ)oKEW_ z4vn`2GbT!9nrdn$NcjNS)c~DEK^i4tEVG(}WHJV5!xEA^6y5bMCm93K`zQk;XLI(} zjCbd_1M!CZmf$sNFGxDK>^yfIN~!ADI?U}p-jF(WeVZ8|RMABpT>hoZr(k^?_pSRs z-1X2_QID!j?*vXIo=+F5{6+3uw#f^zv~8WI{YTTYARrBIJn&Qex`wWj>>KIX!`XTlFH{-PCyZ6L~XM3%CLyv77ACP#C^HUx)Kr1TN|Bs;zA9R^;j$79Ey zw7s;7!TOaHW+_NlL%Q(}2eYHqmR?4?E9*oN7eo1zALSCkkZ(Pl8~L;=%Mw@qKT40s zISbYIdByaa!1u66qB^T_e!Qr@7fXA0mbR#x#nJ|lIE2#?Ya^{nr&)|rNQj&rn8(FcrVsvBE`(TK zrZW{kA;i!5%rE%^pXK3!b~{^>a_N1>d|GQM-XXIj&V2c*c9nL?Zl~xr*#b^-( z+Ssf}rDcM^i7}>#D?%N%f>c^22!AN{PagL0hVCGpc1=s!sG_*tqHy9nk#_E-4ctXdp ztstf;?h3|PS|KV0cxaIv>{e+rNq2RNUh7EP&;IRqeee6+l&Vd(Y+SL>m?5zNE=2!- zVAO0v+V&kddXa4!noX6Fwv~=)IH6hrDWt2BHuPr##Ug-)=?jt)b0<6@ZLqXr&%l1T zZ)23`|CjO+uDAw3#w44s82x`t)h)wox7$s2>vQR1=_Liyw%zTt*uz&$tq`Mwb5pbA z$mHBfqg(B&THi;)dtA@u~| zgdvdY2gKR;{e@21FPIersR|SY5qW>vsVtPsR2_~(r8oQxlK>el}E3bAl$GL9Z+>TaSrWoJq&aV&` zfEArsu)74gPr&Sn{UujoB^U?%Mh8TK&VTA?-vi>1s$j3p+U9ELmxUWO-15@j?>|)*NjpqxDk5PB1i~8pBp=Go~eY2kAA+p)|$u#lBKEvI0w|(DQiGGMx}S!t^uS#p`dcDpcO6{ zmEI0%PXS6W!lEY_m7Wj$1bKuyMZRKGddQQqv>Jy7<8(VmA}YN)oO6EyM^>YulG3x; zoEYX=O1u2fS?O&y+C(xrnZjptB8Qz7>79Tg z#F`-GMofmf1RycJH3@3jnm1XOP|dd**()#`{W6kA)ctY*VGh8+*CKd(HeFV}9IjFr z0C1LR5U=8C5Q|yAYqP#oDZDa6o_^=w`QzVr;XT8}0<=Klnx({o4}ut}i7wr65K;rb zpa_CbK+`70YinX^Op+i@#%Yh%l z*w4*6&T+dTt+EIL^~@`}S%;uBNK+6Dk2$Q>Dur^!=u(zBC!Dt^#yTB3m~|RhBzJi1 zJ!&pm%pn1Zr4tyE+tsE8PzCI^qay>QZVDp$9+)5*Xp;oJ1;?xm|3qopW1X=HF3KLx zD@;bVrXL;WnXl;jv43(Es-^Gy2<2aTO~d-{L*2#l4JU87S5f|HthPc$Y<{%+8JGKh z^@cAz^obWUUSrXgOe}*q_?Cwn59u2YH6nbs!zSP0T4QlvNgMAB16}-vyY?Hd8o6lP zU<(}Z^gA%D^4?8%H*MeYmEZKQIvk*hQp4gc`R`Q}8>G<0)RwPG0@4IW%OGQQBj_=J z)x&Q?E1y9 z#OBb{I=12o-@~`Tp)nAvErU!|6k}zO;y2VKb*9Ep9pt2yRbzN7RTLJC>mjT>@e1>5 z!uIzn^Jw4m?~siD8zsh#>C!6jDz2hfjOpDO)1qh=Y&}$s-d(Hkul|RB>+P3rOm(86 zVe4hSA&O8=2n1nPFw+->`viK+SH+l`AYx4IDZr3o6bNQP8q+F>;7y8jzC%s^Xb5qkvCRcx%htYB4QMa0nN-7Bjf}?ub96J z#wd1_u`5Nfqm*5#M-S|mU>cEF8@PTGYip^u@r7AwE^^j|yxC7v`>*EkfC>6tc6{P^ zH4)g2nSF_3tIb!#-lo6RRk*syjk_0@Ao2_wx~;n#qgQk*>Tx}muICfwny;HpN{;h* zEsU8r$e1adn0xr9OGn@X>0w)j4BZhzLhs|X%!&E6-|(R?{!^XWNn0i0nFX9|#M`kbErjdaz71Fp}j zb3^(XGZe!9;NRKD$x@QvzB=E{nF?_-6=yUgPKAk?3do43d^um@kS|Bu5nBq7+{ z14Ti2$3amLzFmeKF8;^je_WZNIR}OK!ms?Ve&_{9A+)s0;D6m>eS3$}J7Vy=eXqXV zYw%DIuIXoz?#W!hRAlabZ4d+yj%sv*^=pjVAXr}x1}MO5@GuHtgG_?L{|1=5ApMVe zj;McE!R-nJKY2y^A126YL((P-(@D1?9hwTt1P`n25i6p!eru?{ADX(!1cA@P?I2X& z?RyM@;(t_0aP4WLV1sl;bL>!qhwDCVJIVMTw_WoCT^k3G7+NiGQwraUz?*PecY38v zvU&!&`rWLu(H7WW&=)LCZRdFv>Z4x46m)I;zdZ5_{vWcsj~N%xyj!&3|F?tx|Lm9j z#2er5mRFN8W_c|OjPZdS-vrBh+%#F>GCon@eg+kwv86%9e7?dtTh@R=8(1mUktydPIx8FmQLi#AOj6YqPZ)736`Pl>GL~b`%I-E$I@HGv1kc-x zH6LqEyt?S_J*6YA0zY1FXmJy5)6S=Xd=g!T;I)Z1L7<1|JRDGh*>J$#1VfpoQte_d zct=2bR`8DV-G}3oAn=@lhzbzZ)6t*DxWeya^IDXBkOy7=~{^Ae+ z)bE}_*|%k17gFF`+QM8Kp3?N&6DU$BxIdIJlnzzLG`$y_qjRcVF{bHvD-Mi;hk#jB zh?Mi8BS3#Rfk8_IS4UQ}rSkO%&>s#fomYub8ikR|&oKh@Xrt3nnFv;U7ZbocpaCQ@ zWJ(p_@LqPVbr+)T7`^FEplzkR!RB)<}){u-=2F4P~S4V3cx*nNBLiud8 z1}0~4rh9_g63SOcYoI5$rH6kUW*@8BI_^xx0qE6DsM=S$=A*Qo8#!u zk<|Ve^(BQei**ga6XcJne9a>cX~A!o8+}7QN_) zjg~b;KP-4n{T99Ghwb-kw^YV*NU%vGG%g?`XxfCVx`Cl!3dpEixP=Rbe(&~2V()-3*Qn?dFSmTv>j^~RzoaJ6CZ~?G3#Qb>q2r3I z9>4^>X2q16u<8Mf!vM}eF~1`T45CJr1s$m9SrGVP&rY64!1Bph})w0aKy`$$nhy_^lA9!X6A!D-tt zb7}(LYia`SNKUmpS_q<+*iE@^&q7mX#|(qBztzy+U~0m2>Od&6q$Xa)sfoFvNO`HQ zfu?-)YyQ%IJEL=})@*mX(J3w~;IRS;?*JDxPI(GN>bMsWW3^pTITYDY;gWx3+e|KQ zrYqOEFi^a0;1EL*%pPDBmUM3Q8nR+2g3w0i8lZEl2ZYB^1hl5micpU`P^Pwr&Y{SW zT2Ul-JtREUY}Z*cJHSLxxhVuoHQPRH=D-mUjbscIecBAhFF=vzHRJ8^X){}}v?R%E zt6Wuj-mooUQH`%u_qL&v?sEnPq&wVk2xZ#0{fqjorE_cP+?pB`w#-=Ll1t!h~J*R@k59Ear zet>JV1M6rb=s6Xft7VW80`*q(oC=yhwrh@&11so8>c!KcLrw)Ji5@WJ4+SlX`U`%N zp>mp3Xa~@n%2^U<&6guyK-tm9I)T5vzouur_~Ua{3jH5syz|i~ZF^&`o0PN~UoY{h z7T%(ztB_FpUY!8&pEZKAsb9_=*{aeQvXs|8Shp62Ecrq7#POZ~6L%YL%3g@c&uiGy zRzI)#;%gfkrlg(g{HE;k{7*zakC@{*mmHI6>Tlir(f{~6T%``@c%0aAYCL8QtiaBs ziog>s(Q_Z2qZtFMV~v*v=4j>|&$;PiZE|PJrWV}6f zs2)e*^+K?Ce}J^H7K(L7K>pI2lWJO(UrD%MHi>urvX6R+?lW!4zpf1BlkRtJ-b(j+ zC1yk6rt^P5(#iOc%2kor8BZ+r23|uuq0#Gr(hlOO_nw>@c#US%IN_TEug|HEWix8b zM6q5M=xaDWAC*uaj2&V(Dtcd2eK4DC&uNa8dEz%t23_8s+tStZmLJN;|L))TwZHpz z*VTB3@!VKGUbo;kZO}SM9~3n88}%5=SC%7?-EdnlVn+p!mTz`JDucQr#)QHTgb#W^ zFP*&NF31~df+$@i-91XoF^N-MHW#F>HbHb)XyX0|HX+4=Tu^cZCDNM+5}{abYeHJy2hfy4ns63Pe5h2_rk(Lu-zpED6RWodQ~T71NTMBPfz5 z&?U+bbUGR-z8_q31a>``YNYKLXtHR3A<@-#o`@g{VXY19V4~q*-eJQH0 zFc9kJTPSFHJoM?}``)1Mi^_oTvV$D}zwRDk8o2}Zf+0e8pYIu$r~0!3GF|OM+O2vW&<-}B=X{S$tmyAU zN3SoHTRPal6}L(jcRI=3mn7(516r!VKBpPk1B^_wVgMT;iMel*a=@I@LwoE1HprTV z`Sq|WoofC|2C!j`IoAg^=)oueOK5*{(YE$m?oo4wD~Im_>!G>mux7kHE{QBgPcq)0 z{aSQA)$i&nuJdMb=`=0l=3eT+z9py{=E78DmcMD zuR=p8jC^xxNo_yG+~F>||J)MW)T~#F zl=H<~_Q+p@p$p;oe0JgqbK8CJPC|&V|B(-A*dGFEf7$l^C1MGi^7GV|I|LXVrzM`t ziC5l=+cGlqmLD=Qo40L$?(ce+fF{Vz$XE>uh_RTCol*8v8;wyeuO^|aQH+eOi2Fl^ zZz=nM%g$Tmf@0;Z$5}bU;nIUWTh+1)ic4PvE4v8lgP7h;D~d~RD~{C%iA!%QZgJVv zb?9R#txkSuK6G|5dz9cxkboEgLqNp$*EMj}>e81bQT|IdR4Q87j^-rQr8mjVp-_SM zI+7=qqUxSLtf88tuNW(cVH&RfgJ>sLQ4i16f^ortMP=nVGJYPE4tO)}4P#Ker1F;- z#zF;hUXQXObdERE*$8;E(2t$3@XEV!TMBR9@2d7z-cZxiyu1T%}7In90__1j#dw!jd(Lc73F7z~RmVH_>&fyg*r7X7hlLIN&-aYQE?Ob8@9!*bpB0xzNeeu!}Ju*V(5 z{athYvK@|( z^NmTG?r_nih^*re6fd~2H%9R>X>918c@$HrnlM;g7$G2PHD3u>{8x-8V``D_& zKmfUE!rYYSxURgF+hUukV)&WopL;G>pV>G^ECDj=`tnr4=bnC1DvGXo>^#8bX64XC#@(A%n(EhA}D$WUr z7qf?u%aOe^Qf5A9GZAz@OP>_ULjtAO@EEjgcR>h-iyU2vSP#JZ~MbNRT}4C9ewfJfAoe`BDxbZnew zhi`{W%DlL$#ZtSX>&NxQpM2>h*L6ul4(nd(yy_&5FFzFMeauImdge{8A{8PtWXn)$ zf-9Oap5nhaZdlzjoM)kmv=#AQ7q5FI(|h1`m#>=iNhuSBXE2cLpar)T%Q+%_GC-6; z6={NCrsLos_!gl1Q-|LVO%+)MSCS`ZUIMX!LMHgU-T<)cHGQgL^?IPB}FbokWhb)DiJ)*>dUF&w z3@BGY>;2HKP8qTsISLdjt|c3tF2>a9k;M>F6j$aSGGwD!pkFGK;7OBsD4k_cr;tRB86GDK+My;}$#{3l=vPQ?(JR+V zy0ycaV4fB11{siJ@96RVR27ZZztSofu07r$+(_*w+@owj!xodAM0uzaRa4H-2b)Td z`c(g|=Nv~7Kh(T7x}v}@%lYkJQcWI=0IKjs=;7g|YBq*UhW{JSVVrTbAy7qly^JeK zV1Qm+Mz3Tfrns>qDUOr3xBWQ<=-Wv9|U&HJpMa4`Q z-qtcMjdeHESohcd{PCsV>r7vo-m_rM^6Utd3ReigB`tj_U%_dh{W8H?3xIM2wu2#X za6y_c6WkjG2v|xuMJx%@dYRy^GJ9Z`wy=T5%LETb>~Vr&94$krydDSy+ZE|u*oyD~ z3a!T$Q%=%3&N7F=z{F%nN_E_1qQlZ0YRYaXmg$*1(@*VR1Tw3O2+zX>TBBgl(;MPF z1+*HlNFpUidL*Iq0-I#{2xs?N*M;&s9*>Vl+@IUQ!Za3|aacm<#UjQ11?;ToK6^AG zI?o=Cgw8`CAD`Rd_(aLWWE#k<(X z`Bc}-(C{lBroQ_^d;Z+BCv|-I5!%C4%Ds0#fB7CbMkUpQtp|ZHp5Iv(D1Y_h9?0Z37i2;cZ z97@E;O9}tn<^>b}fHiow>dxatTi#+(tc`Dd37%2>&%g0c@%wrO{&n+-5+U}qx5obB zX^NS#iE5OP8M`R$0;DoJjuQ1;ph1CEq)c$G3h?63vZg!q{$G@FZPIxPrhuGg)Q;;S z2?7Q5ZxJQI!1|t~*zO`(=%%se5hM!jnjv?20ZsxSO|qsi&9R_a7vZlI9_Wibigrp? zaMhcCE0(rU&^QWoT3iJfNy_JAw2S&;#+%8`LTIU zv7kMt{CFqN=}1M4`Ym2yvDC<)S0k?#F#h{#!Ao#~QL&O-&|XE$`fe*)P#J;>mTBd2U)^3hilC5=p$NM5$__TIBM-JSkW)CxI8O2c9+0-a}O27)W(d>cV}N+viuDmCONaO|;JsUb&!+Kt;9Y+CIX zKd9xJ>Lpewn?^p^(a<ehtEk_t8uYM-u^Dl3{Q~|f;AUTC?UGiHLIvI z*Q~eG%uwD9*Gcn|vI~5F<+$n_hbZRVq6NO6k0PBjQT%H@>z$t);QMkj5Zv%>)hz(p z7zidkfBW5*9o8vR9n;UF1bQ+1?8O1=V=w0)lh30}dv18)g^e-ox#6YvHo~;$h8I_D z#Q`W!z(DnU)oyrkX#6PBT75u%95z4wJi+i{NrZ;dS^;Jb{GyE{UCsKFYZoE8_7J1C zlJVxCS)0TlQXJ6Z`4g>bYo0*Dq5(3hi+d^mbbD4uWH#V)>@k_8z2Opc+nVSGEu{(g z5n4JzAnblc82$>+iRTIazV_z~t?JlgjF3=*ZaexoBVoQrBrxF7nG~KfD}auNJWMNP6Xs_1kM(d&DTRvKE$Q!MQ*_%&M~vHlY{_tA{fx zmP=TbC|0cjA!FC()pQKY-ipB(iPM*!%JU4KrZU`q@D=tlJ4XHB&$}xdu*B(i9jAvR z`yiCk+R>%V?qXIBA51cGTr+By>ig)b}o;A^9rT>)}mk*3ZBfqR!GB2ay5 z2a^lZ(wQIvWEA$n(s>7y3(^-cK}2-qw7{XeBe><_InFYP9-2JNtOD3fJw!LkDuBgP z>ku|W^AL$EiqRB%$?Y>5h}_!J$d2dw86}sW@?zI@N_%%)q17P$l<Z_zq1cD!e z@o%}dv6K&(Dr+0qlu346;tR$GO8rN5zTa<0JDAA1j(q9xZ~n0qI`h2Tx`xB zoQG_r7H`cYF~gn%81Qhc!Q|IoQFww;k|56;`t{LU_ehTs^5(H#6Pxid!e-~SCgueB z9g|P?&-S~DB@$p?MHcxMpE&tUX1KS zwS>r5wmo6cl3URANRclDa;VSku>a%5K-~fn3M_;`9>_qtoE~xj>H7=zt0r-sz(i`x zh;AUIQ}?w(fU9XSZTqiT)^zRLf^JRjlEyYODBfq>Io11wA@9ycl3wV zTQu*kaMw}@%X^}wu&?^NLj3L1KlO*6_$6n!RP4m4QVNm+3`k}eqStdrdiD$kMT7_Z z>BNE{2;ZR1X+(gh^q@#Wg;bacsEhaxa460$VwPlA*zFzPiSP~%s61H*xV&xZBceMX za3(=9XEt>Jnew3{{#HjEVP;dASLuh2c@KbY#LBp$gaqkgQf>-$EoEvX_Q=Rm@#yR) z2a&K20|C1~T)TLdvm9=9Ts)gmJ)Zst^M7;~%U@gqa&i~QDnZmy-l-QYUpN0x1(MgD zoTcn4J(8njYN7?6mco$7sxV{<&7eZP7WqkeRoq<60!yg$KJ?c=?Nh#gNje}4i@7#$ zd2>{Hg{^leOBTtNlQ}+6;>Q)h|r*yHO!;sM?EbXQ@s1 zm%l(dgb0gF$4k=T29pk$E)qN*)BvE>pJ~N1U8LPa1vw$7>+!&!Z7{BkKqQdT015$& zH=V_B#)FxhJ|B)CYE)N3iTb=H+cUgx4eLrQQTH8iE~X^H zz=4s>Y!Uyu$&yd;tAVd?RK^d@BaI~u?jJ8=%@iKw)VJOMmDFzznHvfcEO6_7i|BO@8gY~PC-^TF3`{DO7Yh=OQ^+&A& zA9TqhsN9J^Ap=*?qOu6HVA8l&4n8`MR^K;Mrzbw=NCY6M%5I}{n%bjLB*zERMimV@ zUu$?p4RBg>QlY93Ua+Dt=yg!BRt>3pSz(g3yXrnygGjnpujREiyxvW_tN8>LKG-(G z2FyH-bmB;AjB6sK#L|#dsICdZczL|MxCcX7BmX+$ma}?#deyjK9Z4xBsXh&=vtNyh z9HOgUru@dMdBxz@^OyQ_*S)H!P5B~h2yZ;X2K>4Q4;vti!r<4CZvOY4dEeju$c?pF z?lzchN==H1A|0FZqv=TK8qou0Ui3OA9k}3VF7R8(iXcmZYltY#S9CO;J&8i- zi#FbYUQ9>Rap=wlwxZJ>x-6~ez!C&epD#s~Coq(2-HadFV@n*mTbBWXK)|&=!UY4G zPSR`8r#UC&-_{=VaroK z;kx!<{X2pHc-#f0K^Yjv0!-YZHo92qNN=W&^vf@P=o8i+p=p_1TSr=3BthI<%v`Cb zgK;NHQ?}rmfv=u$4rbu8`9+XbtHYz2se%XmPmDY0lUqT00aXx<5K=98#E`7Egq2=^ z34(eNHV_U791QlPrbE*UFu~)F<0l!>tdXvk=%aj9(n}_YP8OYzi_yb-Wd(9UdI41s zwS-ccikVualg9iy_U>~es-H340;TvMC{BaLPwYU(@mM`ykbDE22w9Anj|q*D6^Af zS_nxr$nqEje%5$hBX)>@PcH_8WyANOBatyzI3RXN`#)+7Cajiz{w%vZr%Y1GxICod z%j)%Qj3`k9T&FzgocA(9m2=+fxBGiD;3pbLdnG0eM9+rLSYFVr@>)$S-`XFdTmQme z|G{0Cq9`kJJJYQfuR0KIPE`r(4XV?uWjCV?tSUcNH- zPj=&6ibCss+)BF$z+x8^_pb;ZcAPPRcNy<|sg!gkE#~Gj7+6w`X@k6AvX%kZgZ-afs~bnC8UE>im&bh3X_6J>H-a zL&*5dGAAZT#yh01o1CY(zUiKeH)Tghg|9Y~pFo+`-b=jselri~h?koQG7p(I>yO7Q z!WlaJN%v?}%li1-*C?yimYm`#f5Xerr_$<}yWiyUE$xu$r0dLSwlAQPnmXEe| ziSb;uGH-fPCaK!n!O5wSClbFU^x^q7sH`{P=U(59RxLBJ{E!>{#;3me(|_C(5yNiu zVS9pSiVH_MV{d3^EEMsi7o>`_ z6@6YQs`gcFx?pspE1}U2CHAVfTrehyYqA9VOueg?N79n79iSI#Y?vUnU-D_zuVAdi z2H8m-F55S{x~h-EsC$Rh56 zy%~D}NCJgCxfg)uO+psep(!fMPbj>eK3!4^cSlh%?-sQ-X-O^IOlskO_>LF<=dX3U zt~gtpS||ewSvSDwB*XS8wQxdoDXE41iIt)W-_NN9SRoM{=3UnL2`BxIRm>|v!7X#lIQ#jjm zOsR#E|9~X?nbFk3{ng8M zy_Mzi?eEg6cPp)WAy*LIVqJZShE;q z15(%YK)B*=Q@DeD#RT~7w`=8hM%O*c81j+{@CATLhGqCcd*fmbYf8Sq932&U%3+CJ z`k-e7d;#LJ9vtB8eqET0!7khsi(V8hd?Bwh`nd&`?6-i}1?QK|XYtgg>kcEsD^OBBJ?3B__* zFyif_^(_%^AFXeRc>73w%PfOgW8(Tj?cQs;)DACnYMcZHJl^<;+7UQewWJ)b6>!{; zR_xX0$zxQrbSN56ATx_!QkGB~fIKZJ+o3ElbQ2B6odO zsh?(J{Bly3@II;lP}y>R9&jEg+QY49MBr4|_PS4)-&VJ?obI2z^E1Eudp^F_qIiP} zuUof}(0>)s>yPr%P%qiVoW{uTsh6tY7#BYEk_lE|agYk1<#Z-FPK6&w&x}*y$D89^ zGk{E0A(z833rVYCM@Oj&!#tFVu2&7G+ARGk+7cwVR<=xrRr|O4$!JzJ8zaZt$fUAuI0H6G?qg@H9~1lj?y;jvIX$Gz)jB%v4Hvf@>h#?iyfB z4>7Ll+Mr&DIE{A!Kp-DbeD3NCkQ_I{YW<1&yS4O0F(AL_fu)Yg?plER@7AUOe~0i_gC2*%zG!xc-R#Ytyfub61At z>ViXeg}W@2#DOT9G0PWx#`k^M4?32jhTCc!bPF+>QoTT5oi;2!Ig((^k}mOPcI!nk3$Dg zd4yd#Cx*YMz$1s&oE}N)WqXY$mZxZ3o*L}SKk!)}`ait`$n{MO?2lXa=)+^hl)sX5 zR6$bmx&|XWGcp<2H5mMlI@Iv-9r!ys$JaF&uKNFH?_GdxOSiHxtbhvMXd)P|1muvq zUJUKl^?n!w%DqscEs%35A{D)dzE9hGd-q9q(}7f~NFqM5Vn9vB5+e#p3@JpwsHiEe zm=u~AF<``3h+-L{m{h9N0wd9U-+26EjDOBO*IZ}sv-@=4b*uXBHP0IJpL5N}cz)v> zlVf~y)@3(2#y4kOrpNf^n=?JeH{TsJvNIqjLH)=W-+X(f$M}YYK@2oG#y3n1OwHsN z->@-wI5tZyu%i?(puI;H)y)yntNIfrsL?bgtj6tgLQ-eM*pi=@B=%%@H}fO5&e(Hu^OL zsZN_;fgt&g`|6VxtpXaFiGH_4xeo^g~?JyI=;&rkb4^dj-i`KG;a}9yLwI>1- z+Ke2S+!xxnWEwwKY4YdYqTZO^*Dd04E$yfuVPO1ie^9)NVbYR2*eEppnD>3@#p^t$ zu1uAa?>v`{jWmmH&Lo57;(?0!60f{?{o(h$@cxg)Ix=sL@%PXDp+E42Zw~@CMQ6A} z64EW!E?dg6rnZkT@#!lw5GXp!AU}E z26s(xiqD$yw+l|wSu?hCN$^FGPvizVYdRz@IZbCxhr}gsLuXAh))IeePaVF{4F?b@ zHIN?Hy*YWT{pIz)Fx5gz6ak)c5TyWbfb$Zf>$Jm#gDnEQhiqtml9=#UjE;)~Mm#X zMhlwv7mFJE_loXJHZ}P4fY>HQ2}JBU2v!N^)6b!SY?-8oxLClmo*xs~Gk-!83>3|! zN%Q`iH0Rx-URhsag1c$b{JCHMNiV&(M-_NX;Kl@5I?@xvJZ%SxyAsi6gbkQ*~~^q&H=kQ+Mxdog%#W`j4^vwkvVP0#vw9zOe@{EAbG z#u~SvXwbUftA*lOZ^2il8VOE9c{lS6m=l%YtY{_+!CBEv)`7F4nJ5FF)E~|N)EC;Q z%5=jV<|g8=di#g!q)vQ2be1V>I>c+dEq!jwlSw3E6jzoBSXy3}d zD-$c(ca?swa5mw)7D)4eYFH9i;p_I@UhvHeq=lwJq_So2&fpcV#Ss7AI&WZ6qy(@6(Zf`g-NFKl^k4{0~KyRTWeZi##4= zaDfbqAa8EL{e@mZdpiD;YTf-F)ZxgLNwg;v-lLD->n&an|# zsg;ZHO_YOmO;lw2qvzX`Bo~9*BX74K9WXNFaCn|8-qG$CF4w{@$k@Jmbx)3%=IeeThy9+!8A&~>nfS=(Qa|j4rE-`L;dZutcf~;1_JUHHt)qj z`qvzIDeOKk+C{d(O|T+RSh>Xqa5LoLIiMVN7EE!+-emzU<|oG}QC-Y`L8^(W6I6 zFoPbKFD`ugcyaR^j(~#t1#0!g`~XCRY*LspKY)}9qOsP9545bp_tn%tgL@q zfP(qM-6$;0miwt94}z^IDgcB^UixI9JwA_7OGL&?Jm7pl|L z89{?|p&cBvU+V8ZPXl(UFv20#WwljrLgTqU^1mv2ZV(ijD?a&({x`lE`$VbfDs`7h zLu`Da?A+(RkUJ|K550=KYo?n6BiR}M@NrV$TTWSZ9ncZ)R(Qm8_pUA$kB zme&Qht0UTXxZ)o9k?zp6ye_y$N?%j00A^{b)xN@yVdT>UHyfTKv$tDv3Y8y2%j<#| zYk---w5JOU4G?=8D~jcHNl1 zeZ$S8|GmjL`uF@hCKLZgiRs`$5MEJ~Qb1P@9_aj~SYQxsz~wB=Fc9c>fG-)Q=$4SJ zLGW_!d|;d}p5w+Wgu>HVrdR{x_&IWU!jwMY08D#^&o!lU&A`0n$AX8~zwhh+@^3qp zyfN>XIhi$5Db?rA!2@6}d_^IMv5Z;)4>vkz2lg9;87jCps7(k;UDFZ{Xcwd)^G3wn z)I;asf%DN3W#G_&tKGN~~!(l{y#M&)tjGm5m!3^eCMF z3oRO-^7s54-;8~t#B?Ad)6|nPx}-n-{WCL#v}%nYvxwqkA)yLa}(4|2n4~^R7<)U^^Zb622Vgk@z4v7CN3-THu{QK zkWJ9^LQ$XZ1bGKt(12E0aldB5x~!NB)EG#NsZmgl&{FJAFM`y}z9O!P>Us=M=j@9j zD4N+N56F6{V~hEaunJX@X`Lpyz)%bEY}ZVHb&}M~E=h$fIvjMBAQ#P&cdJEJ1+GS#^r%6}nRVtJoAp8;U_Q8xPtV}{85Z>?F5VC3KtmfG(B9vjyl2W zxK0#2i{m;~@Jt2{IQNMzLVb-A~mqtK&LV$SjWQbS1Mmu9KC_a(5;xnfdli zS2FYcnXY8!8+4mWrYhsxJHw{b-^2yYtlGO2frk7^(+OBKYdWF&Pi{0ky>rszVDYKr z%8{-wZEOPurK(FwC6Nh+`mkAZ7He5jRC`+! zcu@6cM+U@6PQoR(i#7QY@s8~l`~EZ~C*hL2^>(KzYEbj_zg%(>E{SPx#4HPb)f2_~ z6L7-?J?oNt(8J1&Jkk>Ozlg7SZ-%k#xf$hVozj$6OFw}8~G8# z4EqN+bNlO*fRXR6_Zj4C`4f0 zQ*bFHzk!A1dAF#y)|W!^-4v3)`8^-_m%i|Ar$#?1go_Q&WEQ8f((rjeLcHfpA-G1U z99StN1nKeERw5x@u$Q{7$Y99@qlJ2eGlT}!1$TSYX=o<5=x0`Q9*2%xA5;>WVZ`=< zg@heQ<;O&>kGjelT06~-l7r#Fza<{$XcPulXZd~G((<2IWHbCn$X`shgqI*Fz3(|BrYWhzbk z_-b!&aUPAj9Ur5Gd(8OvM;Mcde>3B4M!SWF&3NMiM*@3+QBGDd93AD9p6V-G}o!yj{!~Q zqNg?UJvu;8;+-8plJz|2zXX_xDDa`E*`_snJZ5xQ*P0R=HBLWRQE1bU@kx$&bQ$1A6D;0?Kx{fP>La=dw57cC-`D zH_uYyXs42_S@UI2*&*4E^Y1E(803W4AuflGYD(7+qnFBZI=dEGWjYt_3>(T1if0miP20+OJXz=5@kDB zWb5n3CdOj5O)P~WQIV*6$f;L{{11}{D~YEzajDJhiB3EQ63vV>LFb}8CD#@l@@eA6 zW9iFirZfboB30YPZWl4GHe-i5cV%3zEjV^pxXWcUQ@Sf`3wiL5KK;{Q|0yk|P>7i0 z2OLug5>(Bi!gpqx5Xl1j%Co3Ia&6yBUI>)h8B-ir7+u;4N*2`w;nc8yk41bAbE+#+ z^Rz=Rh$Un8Yb9u;a%f4?*^0Z>f<6saY-9y-j*_GEbHr2+SrO!}j48^BlA?3LeG>AiM7PUz(jRjzJuv))M&Uby_>pMKPxjy3m=Lo^GdXMTr zj7N`KW)B~)1?l8|z4yOiEeMS|LgAOkr#b%o9GSXe0!+C&@V`vt5^7;r?y3#RIWCWE z-zhg#6V7`1;UTa29OGeHAgJpl>7)9Z$1UJ``ZR4A9M?6|lZ>Npz|z1q;dS?qlO~+) z=ty!ae42i-QY-k)$1h6H@)ckv8hWw|%Zd@Y2!DHen6J=hsZ&^hb++!!v}Ssmul4|z zD|BTEV0izF(&KyuoFV`?aECB5f$@I&?eiZ}xX9!Fd3#79VGk)h=Zs3hraz?om>yE> z;twf5J_`@&luzEZ`>-B3U61UYc=Y!apG=(AOHxrGNL72j)4=82{WYtdtzK`KcAK3V+*&D%p=VQ!~ z@E|6T@u%s8PsIc{U+9qrO2M#Z*Gh9@-6sFm>B;bvY6u|wLtIN-rw|KOz;zUuWWk14Q`zaq1K`>L?# z(?J$ykmk>F>$R7!Uwl7ei-pD(bY*4A>5UvB$<@0!;CVX1vvODHfd8Yv{ouR*!fAvW zh@0qFgX(YBw=MAPb4Y$_t=L#u*}j73K)0m{IxqscK+8mjARTZQJZKvk5A7-I5Tw)M zf|!;^#4D@$04j*v5Q6ksTrg8|41SDgRO>{*Aa}rVu_8JW(4i&?D*XySh8{~>5x+qS z^l1IEqJJE^Ak>cF{}vArZX10t=DgaH1)+wSu_lQLQnimcrAZlrUnTeM?hEpCRI!`jwJ3YqYF%8nj z^2RsFTHhegx3{?%Bb^txnvv(j#ZH^Jv>3z`X}{t|Ow7=;hdq);^N6=*EYEzAYljod zQ?#GQu>14>{2%y^sMc!fd;*`gVL|}<$ZG6Raa0|)1s(}ZvJ-q7%C?jEwB25NJupnq zbWE%$nH5KLA@hPT574+3k~Q6-B^q_XC9bhVxSKtK;(Cq}jJhCatg7I0fT)sK)C9Ln zZ@56;n(Ed#M+rq;5J+9MDQH^jFHgaz9nq2uHHeo7%`O8;Nf+3fA-O^ItNS?xpLRHc z+(eQ9VD#;;dBLZ_P$`MWVcoAeQwcwMY$GOAV=}QL+KW2N{>j5-R9i>1unT#BoU%B+ zBy@87c>5ZyF9l#$`xvX*KV1Wy)jsBuS*T{y%2O3y$+zh3yRzB9sGK5u*FUxy;D^i% z$M@oqz(idVX_j|O^DVw6tgQA&tyk8$8qcHVTU=6|93Gt`b-OjwGk%5ICVK_o4mDn_ zv}St9uMRur0!4B)7-lJTTifxf5j`K!O4>h=Qnz)>_$EI2QvTxLocFP+pN_vcI5T`3d&egp z1MbXJ21L6{o#LQe}*Ze;?JDO013iA{Tw8)a*!4!_PmJl!BEf;%mIgF{eEyr)Y`-vxH9~3moQ}O44HwFJ6)!@ZtN8 z&773lP7TM*NvX--hUYsT=TV@1b8+zjzWvO|^rb!{%ux;awZO}!V7L0XAU z7jif1F~D;t9i%{%COoMwe}^N1JonT8MK0*lS$%OK0L#;&)T=mc_)#r-N|LU&iCcz`>i%-6y z|BY|PK2a)xYAoH7y5L|w>SP~LfGOCKj8}>skU}YRq_9*M4mgi)9^z^N?eP}UVSo5{ z{e$1|dEpZ(xZjb2x`kAM4 zT@YMt2LwX&30*K|`=Gw3HPSe=_=H1Az{>`b%)&IuHU$X3zrW<-6S`z;k~)HS4++t7 zg;E<0=AX2QJy4vu{o3Wf$GIx?5P6w3jw7;mU zIR4p{NStp@l_AG`7I}uai*Y)Vo^RgcL)KNF#38gN|3vkU!F#UWRj|yszbVDRdCQL# z2jBU5|LH&dRpAgSX493aAvldYo(a?uV5<}d4OV>E?v!nw^p^U%1`3qQw5^DCFDXi} zfS|e}1gT7$Ai>e1(cqQ#f_!vc5M8FMB0}};mgMq;PKgz%OkEJ_*@mhekLKNmpdZ!IQd2UQ#az0MkG$zwm9AH<=&w^<9Y)(a+U;>t1=+1pZfdY5iZr z?yu2swOh2EjIYYSV>0n?lt^CNNSJyV)qake)VxassZ!1epG}nL`97OHpHEB8%v*j; z%{=@izxUUCS8(L0zH&9=Zh>)YqfVhyrHflYHPZyqwW=IBQW&rPL-E;;Z0dmG!h}h* zk5<9rv!UE7ye@raQ;% zvthKQ*7n&GFz~M!clz}KAv?!|&|d_AzgtrBcrFP@8POb&TuS|-oMrLZK-q(6GTJ?Q>L-!0b!@r@=L;$n&+Zs&=)pQ_!LXjtyo%r^)V9&KRf z=3p&CY0d0a?@$+4(*pLN!O`APAG6zzbES9k4dtwie;}b0bHzw5-(1!a1M$ zWQIcbdh)!c?q8i#i|{!jwdcEm!;`C|^wp+)MZm%`uphqXSCNJQI?kN@Fv;Vkjv6+lqgo?M6YQU4mTY0|Ku=nF!t8pXTo7E?jpnwX$ z89Odrse&A@ZtZw^tM#y2mZH1K@S=)EAK6W!)UMHfo+Sw~FUVr#~ zFTDRF0jQVW&O08QGVd1kmiNMUb2of9fA>%Rxo`c$Ke6?uhCN}SOSkaet(HIrq6$f? zrYJA_s$`rX2rfWaX9&Wd(yVX@hIvF(zTGR?9N6|~pr9&3FuWI>0w8FxqgWC3yIwGi zBUW6lmBMYiCna@VF>E7|AO}QSToI#IdciP_{4uD$(!)a2;eeATycZmLec;rA&2xM3 z_Q888KPF|IAh|-Ttw@6UTPud~vy=CNvqYjvGx6xn9Z2SzgJ~_mq{GtyfgieayQ7Eq z0=AvyQtOqV??H=fCz)>#xDOQ*3Re$KR3};P&tXAKD1Q5rM1!4VzCq}X z&YkY&$=H}~jQR=lJtCF2Qg7?ZtUZ~7VlNMr|IhUEL-wE%jLgbj>aL+VbbRQ8SiL zIlje-<$D)^on7DeZU5}m(;ylrtb$D%P>SDuiTymDW4b^q0 zAeyGhA8&8gda4$!0%9Av4QpJpX1&eNp*zPuQ6gn0hmqz5L<9XgZ9wE5kAx&WozSNj z5RH-aQlI{w@Be|{^wCc|6%Js61M+3`$$cW0+aGPHa#za6$WYJ~ZwO|8v(bxS-n*e~ zLa?}-pz4$KC|Xpk{V4>qw;AC8B8=YO%g!cRN6_NJAG3reD(;@nQEWdZ2sPmtc7oZ} z1X&4b&gp6{43rq>Si*tbVx?VQd$4{EoaZP&GXi57UEFLSbGn14WF{YM#5LkPBQ4y= zYN-GXNpzA)p^A%FM;AJ}+#XEikrX9Hzzu&UzC*BhAZTGY0I1dAJaahEdUy8t>4V>1?-79xT$2wdnN z!s6{Gh=FW}F+=YUVxx#v*lbj6RG#_nCz~Hw3$6Vecjj`~BbcH~)vf|C5^Ag(@T*EXOYE7E+;3CxVRVrH}o+ z32pTz#5bA(R3y-9GJaCH-TFp+_~C&(JwdKa_* z`pX}EO(%*>G$6hq#n*YasL#A!%>Lb&{ons3pYg8e`r_-&&@t4oddyLj_XsXA=osMq zgT85z7Pgj>aPN1(5a- z96Fs~gz{KX=db}fhUjA+bPRmRGofP`$=3gxRD8u*Ht5(^NOsE4N!b?u9*2&hDWU&8 zDciDS-O-?9Xx|u|XTCiF9Rq-Eux7qLWMNk5*aqze-PII$x8^3u@afPoFc$S_L1ABU z$$*Y+muPIOw^F#dTr!|za#OS9Q^{h8d!e3%bJu#6G(3+aG}a2M9?-cMW8Lcl9V2_p zW(EI%?|vj5Zd+h|OF_qGKVwZi1gVu=@UX;0Us#w_YmX7$?hQF{|p0D3VsgR}69k?4UXN5X?2t#xQ_}`~mG{u6YK-016YDDUKBt zz-}iAjw#Jk19@!Yv65u2d2YcDjyyG3A~#zbZ<-{T|FuovjGeXs-4~koPm;MJ9kc}~ z=?^6H?Frff0H6kv`Tk(QfYTN%ET8U2KdHA>{aWVP*~dKw)h{jnY)on+JZjIlx{lN9 z63iZd`riwiGl_D?z4g^miZ z_zj3Qt$Wb|gMtZW5DBtshJ0f|WR80#gUB5Afa2N5fh-5nW)4fiUdf@-Z0uq9lOvSA z3mpSFR1l`Q6U3Sl~n#ItFwLDi@gBt~ufF?;IKhftn20x;g#|>LO4pD_$lJo#U?t`~;0IlR_n;%kftu z``I3aq@xJt_$y$a(67e1fYH%|v4v-OA$ z;-$DRzDt--P(nM##m^C6%c;#dLg*|?C)}s2C;v+rZQ^6PLKh9%Vfl1yj@AhgS3W~? zG589QCbh>KGhsff0%AmK zfz(D!H5K?=u0(dUC!|d&n503&N5$)+8d>`%(Bc3GEFF-}VLqT_I|9ViGc+S)Erh$m zNSyZ<-52}geDjPfg2>Lngmo-3Jwyx$t`q#vGLtJ^aA3j;VX=(eP3JG$k)9ol>_QVr z3E58&HiK}uFf^Y#vI{K2&`WXtm^BO5;dK9$vp717Wjmd}=()HZ+-&_X50x$3A!;Bz zPFfL!$LQT*AES@K1y?poF}{f>6~n*6HQ{35l1~4i&$6%H9jv$-jm@^T&w36QCj<~& zQ`}9^X>U)-wFSqTfVp&QA=V8WSyl4MH|^Rwh`FowHM2dq9TN5G=>C21631!9ch4lX zZY36K7rUKxTy3Tg=G>KWxwhcgrR6S{F}P_q8tWE6{l`D~qp#gv-2(MiI44rKz+kFs z^mAf7D3njsEl|s42QipDmmJtvSImAhCQxy(Ey+^1872I4_2 zBsqjQ=z;xll*A<9?g~k@yZsRbs@+ zgd}P^{bkP%Aut0g9g;JYJn81C*X|D9%^3UUy~zjNE0?A1UnY_DDuX(;hX(c!zLV!i z;tVqLC^6S1t<|Nj9#AR zMqm>jq`D#72SDGYR~*&#^zE*ivq~tEO_|pg!=e@YpG6A|-=(T?scO9V(g(#wZ9a>- zFUT)64NxAYp~u4=Y)XTE%==DyjW-Wyor8zOCGd@8z=mWPUHZAHZxXK?Se_>>9igHZhq9%xSyUQ@&-1 zq5IWIH$AuYo^r%r)`F0Xb#_0X>x`RRdBv&&klhbV#6ro14!}sxu(XOppR%R`$&q|z zaX%&#mN_2<%(FMDj~zYVpWCD?)vgbn3bDibN7udvwN^O?)LOQ1;n#O%Vn%$W`AVuE@ACSkjq=zX5Pp%%go}un8AD9F8n%p!xTJe56?U5Tt_< zf++onAjsJi%^!j^U@mBsVpvg`0pK@;ARP=Bv~lF%1O*tPKQ!|jE=XcDLGxn*CfOLu zigYks5Cf#Z?E=evzzA8b55=MBU^Kz)ifBuu_m6v|8tRHt@N&rmvM|E3fFO_;5)MWz zDFrW=+#kX1&4V9NQBr>?NiyzqN#t|L+<>F+HcZ2$-}A(IgJg7vCSFbRSuELI=$@7z zW8&8XxJ?gXcHMh!!2gb|9RD_+BY-2z3R@} z=6BJdTtEoO?-pYt4bAxnODNxTzm^MPQ!Y>BX9Y13W#Adgk@Zu5P0!W6mmKW<_Z{Ug8qC;ZYLS?`H6qyMyer`T)pRcLZ-IEjulv*g`eSH(=29%hS+Kl^bgZ z4tpD)R2spQeV8*x_ts1&Zqi3mr5C1?^Gd&yOeeRTa&}Wi?ABqGy+dc3C5BaB{Jp>L zkN?q_a;6L29999!tBD#Fr0$KEmedd)1R=iagMm<~5L{tq5B0#Ejf|X}+ZZYpcs;}+ zf^0z(?O<$Us8k3}O>GR7%IPgKWu1ASk)e&u8rapk)zC)OCq~{x4JZF_y-f4cZa+J% z(__SoG==8zhW*2bRK>;~^2vq#Z}+uq>WPmBCnFl{GW7P;>>sIzMl@2fFcMh@;1!!s zH1yUU;S(x`aZD)=%!qyHE&CgCV)@>&rUv`9fA(wM@#jw_hE^yOOLhh$3^j>+g9h90 z(R|Om`N*WTtif7e;@DiYa0v;Qk*EvMH{3? zvIaY#bxt@zd`0O}@2|->em^V6vmt4;!*YmM~xBEMv^sSG7OPF;n9C={UDz1l> zPFz7b*9L0ZS2vc!cd$BQTSJg$-B&zd)GBJYu>UI=GX+}|f;8(*a1Wj$@hC7!pf5G& za`@3b3Rq*K8;BKY*4v70MD$8J7R#Y&)?HAiMY1@96iux&8>g+$X+D6tK&m*6j4cjb z%Hi8KOd2$E{gR~|9@C^ZTVp2hHBaVcdK0YMR%t;bquWvEZYJ6dYx{?=u)5D!c1FG- zjLkm0ngW)*;K-t~{2ZA$4@#$o+mSd1_37NlriM5dS@;~Lnga^br9g~C8c_6k2R=P@ z2j(D;=zHA|b>DSbvdS}wx)+#v?5~}fQJ_Zs{KO2>8i%0XjS7D3kAnMI1#$^o;&cRe znc^5J0VNQ8dW)U8-#hhY1R+v@u?P7?5Hg5yE=KwOjPkr&)W?aJ0?geMVBYqre{S`G zD8MNFuNPp9445?w)HGm10m86qfPLjgS@`Lpx-{UWQY1;9V6gNn2+Hehf+TxI9E*V` z`xV0YDkw(T1!0R}@UWuB+C+7=6~!pKAkveHD8ndG_ya{yjIs%WoJetEhYdV~PEd@p z32qmlaFuWu!)~;i6o+Q4?U%Z8y4RCbqwHt7 z+3E!XM$M+o#KENi<3|Uh4@ac~A+uYP)2RG#(YP+z7y&Ur6eEGMvl@`C&vraC_9B4bl3^%OufA4k5%0L$1_K5^Y-^gYV< zzn5tHd3N%h(|I>petEWt=4W^nlG?Nv>V#L(n=Ga_zwb9b{2RMaw~?`o>>0WYp_n9M z0o^lcy-GKeRA=7X>%-fMUKLty0tA-NPtnJj$r1pFN{ZO6DuO1ktz-#Z_twU z(2?4tlWG-`9pGfWV5ByoBsE#en)A|BUYuj3HgT@&17}J@5RJ^LmqRd8oBUA%%9Yym zaK>*GXK?Jzir8vO?yZqImK1gC7YOBW#UlgbGaOS^ZVtjtt9SSFr_WQOO@p-$s=Fdn z$uImr)m}F%P~CSsr#3xS$=2lo3$_pEMpmIkdBRD7;z7ax-is@!X-g>33oQ6Nx*Kgz ziy)BiYm1toK+}sZVG=?#50ivz4THenI`e)1g4WTOCV}V0~vas&8A@pnX(AiL+D9^Oo z(8%C}P@p)+NrK5xpt&|QMld;E8yX|63OK@S%4@ZX)InA(e6P4Ah-3k%Pz4dXq5 zzYNE0wFJdZbu&Yq!WG7(SH$4R6RhDBcY>i#Dd=-%F>JSAG1MuY>o#Wg$nLo0T%Yvc zI!~=bA-4FjeQ@V3yd(K!zS=X&^3p2I4;~(`GNc|3^AZt4(CkTSBWJ6-d^maz3ay_g z_bOkq+r6%mY;!)Ics@Z3*GHCpb{&r9S=n`*FHIi()vNV`=b2qEw0=mJ*X%sEBb`kX z8(VsDqJscAW;P_=)1=h%G{-3v<5(p7+86&{U-3;*%~RF~*Br(Hf#7dNz%d!LK&v1x zThK#LbGYCn*99Fh7o4Q9;Pc^vNQPOQhBThSr{mDn93hCJ8-ql0a%m(Rg47%?h_oVt zNEGRC4_B%=LQozBMu*)4%h-V>0n? zluD7TN3j0)63IRzyx{gcpYVPzH9j3UIfq3F3==b0lrB6JMw0cc6J7lWzvDZ9_~RpI zqVG#@s)@ryu9SS?Yj9Lnqm@nd4wD~+;{j$Bz?v$UO*OLQMoWdREKOY4tBOEBn`*%K zNu9;|*@5`|VlT6)hP_1Fw3uqN9d&})R38^>^nXEcizD{um`(K&PJ*lmxC|3O<d*zj&Z}&~2ShNMa<#9ZiKz}<(84h2O{jKNhapIH z*aQ~`&WxZS#!HCa3_($cF1bgn1iJ!g;|9YPx|3AMgJB!@N{mR!%>aL?kOxOWHf$qo zO#gcn@?esIZQLyn{Y96;ik~N@I#R*o=tqps=+86XAC&z_3k^w3bkdeM|LwEYwnj3R zp2oOD-R?pt1|a&yO&r6EQF{{~ybA*T4v2VL@eGqCfLM$i4-t$dNAz=iK8RJvYf@kMGk`)$^7gQ`KMh6aMbc{%N21aUTat|(%4`|80-<3n|77qu&RTPq**N{)my#C2vPRD{DL+gZYiwq4+nmNS?zp zbV@3D5Z#uG0P6c@14E6HEmBV`4`TXrH2O+(cCrW{UMf`w2-E{|J1km1JqWXl;0LA? zhCtb0w$uJXq@RwD;XK8n>O7sOy2y6yFL6iOl*`jB63i*JAFwpVc=_dcrZgSpE6M)^kSIeCHQ@ z=C}Ryu==pp$a{I}TDO2KUT@eVPHuIQtTP<2ugvN{lr=lCW*&5_YFt#n-;3fte@uz|N3moIQ}QhQ4grnogJB-UbF+5z<-+?Pnw3UIIP zkVD!*oBX*zzT39R<9y+k#Scb^UP5Zg$fWa^?TCT3$QwyoCM#RR#zR~!WEjp5iR~dh zp?NPbm-(9a&S5U|Zqda!&c8+H7{|~4ly`pPm-kq9H;$UEzCHvN3Q^BGT9mg zZZ+az7($|-zB@-6M_ZpT7^MhCmYY#&`Z2R3NHrRfITn+n*38ny*O4}sFS98M12y>S zHkK?O;-+=Zw04WDW7hq`Rhey9S77Yh8DV=$ceGS4lC6;KWGi~2ei+x1K9(v)-k(oO z+P@pbO50n~$66wc^sQ{C{c*mzAWGYN4yBAjpTgG3)oJNpSVCCN^KG3yx98frx!93; z%lCSk{oCLB^_FGK}oy0U?Y;k1SVB)v0}lFOkph~?dInQ z)P_=14WJe$bqrr!*Dvu|iExviF*JF8={vom`e-aOrOS_FAo7Cz+$}m=W5s@4Wg7W# zQ8Ra~W)z?%%bdn8-pgU*4R(<%ubKa6pRs4R*rP*Q66J8Ax|Dj!{G)h*U(FN=h#xw^ zlKDR%r6ITgK<5UWs_!)6dwjQqwp3vlDs`i`S@#D{Z zZlqh)ZFFzXV=IH7Aqc~yl*x$lNLo=wYtRcd2+M3ejQ=)(Ur{@uYf=Hgb};ky{Lm5B zjqr=-h!Ry@js#_L6vr;{-%5_ly*nz-U>&tWbpqEyCG zKSz$lQ@3!rX2rM&Cioc{F?I|6*-uUd-dr`+FLygi!Sq|^#!%XIg;^Tq~=iDUgAx@ITaBcMz46)4E$o^qa2$tRA|4wI; z`V8`A)GITh$>)*LugT_j8Fc!;tvm}pJxP=5`vE>ZT-`nDC`$k>c7Ty#5bP6m*ywsE ztk0l$J2#=nJKolM3RQLX`SW1B2ZfV1j=5)|J%zRf!?DIlV!EPK7o9&?e4WO4 z4}wff{tATJOjz}j9(!U%9=Z@I(Xsww?^jW_|Z`t z!V@c!=rHb%E|5Q$1Pn*n!w-8wvzDMx5>id_2=9aENw83_SEQA$Nop{RTo*i>Ua?4* zx=UK5i8WF58c61QgtwM`%~%t>H}!QuOSRw#^mV^NCYCM@B{|kN$C~qAoa_4HV6%U5 zuG@=)FGni-@}xq@UmSkSFAny~FAg{F;Kji*xvP|$>BYf0loyBFH;s^Z-_2Di^k||- zG?JJrBrywUr|9WLj^p_$;)n>w0x1 z4s@ekfI!`!+i8C^$!1j5dULfdD5o_ITMF4^JNCx~E6W|O*15>F!OtmZf;Ej*T5hwt z+T^a@_5NxDz+U`*2=qJT18z1~8)%{S`!~z0O+k4-^n|*ZDi{=Rav=xAs-pizh0DggD~M*Dt^J^7V`Fm)|2l?V9J+B-=toP=1K~ zfZ2D28HG7_Wn8YE@Ytp0E<9_yC)@xSc-CFvuExp7$A%l&_04`)2tWM(|M&0yz;F49 zvtt*S``&NKiQ299zm9{1lHb7CCO|^H6QA4$%$eaxErlHohH&NB<$z-&w?fK4jfV2b ztmN1|m$(5JvSJoYdJ4Q9$f=0OvfZ+BU3{<{yX=wo5v~o6+3Dk}61#w7P*dKR)`bss z$r8J4LFFfF9?>7&U-A;WY+*9h{Y2OA!2Qg32il)K$1u}KFI5+;y51c8pe)Nq?^S+! zcD-?bgaL<6LTQaAJlQ0Mcg%8EtPgqfx1To~`7v)=YIARPB*F+!l(48;$ zh>d1)GdJsV3j-SA)X5L(OGc|!3*k~6mYcL%bQj)d@jdBz>T#aR#2sB4eyDwjsXqEc z;Dg$Sj76wn;w1W1A(7$FC@ogO8 zFyi6m{i_3`9&$RqIF$MLoyUhA^6|-%k{viWC2*+I{wG*cB!~-tOfOG(Ou~s`FT{g~ z*aFE|bCJ4D>aYHhm;TggOuXtSINc;MkYu_8 zbS0`}t zPZGQ$SX2<$@^Fro+N&* z@{%SKrg4KwwuS|keHA+##!lk~Uvp1>ay}R&J}$S>YaS*EBc}6AklsG@=1x=gCP`3( znlaF-*GB_uCKGyvURm;7;^=EU>gDM3A0i}6(?f)<{vkrLbtDfQ_bVPECVRW_N&F{! zh_JNVw7knxeTXKqy#qRf3&|~2UNUR~xk;9+^Zh%9MEdf@PKvLemSc80XM0JBShBqk zD94xWI6kw}Iapx!ovOP9I}oV*b35&i?0nAlGW%Y#y(hBW$WB9@N3g@}dkK~xP>(;j zVEJ^k1K5L=hkCWzCca&JdUp%F6X+j3y-T+Nsr7KcA2QMI!azf5-aP^x+MVz~YFfF( zAuWy9Qh=9CZ%z=m1nni$+Y;h8C5hjfncjc>@BNLh`7MFVQ)UtOXpLv&IU`46Vg`Pt zm}UD4ku5#iCb-^i;rg;fyfL>j1gX4S5JZDYS$x&|4kYm2JOSnVviV@BaebicAnLUn_HhpBv;5$?%Cwnk+5ZCURO3 zZt#WaDNO7AGCdUwJCW(L*CPsdqlrW&1%J(}uQn-`pZ>^S|C0ab{inJ(0y>BClf@qm zM3xI20i8Rbr=TmZNSJN-HImy13`8AK%Sw86weR3&M zOCyP;HzYUt@G_gbASN}*aU;!HtpL{`%1SRujqo?umBFv)TTKb@vrPHr0c=Q$F#@J*~O#IZ~F$AeOT#&FDiCaOtPqxOg zq9ncS&^vIBN$D2AWow#<73rt>iaYQUOH4R6?OUD_TX74_6SSL7`|f|WJV3tW7SXrF zqhsT~#ZPm|Hop{26EL2A5LrL+k<)l%iH)x z_=)vmp46_Y7RNj~*Rb-pwL(}PU%$LHvPRd2>a`CU^^$dYvjLq_pCc2yP&&DFBX#Oa z$L)FOA8G1{{J6l!lKK^FP^wg?7aIxb^zCkr?iAH*ufPf2IZ(|PLXP_*Q6 zZ4|X`lH@Pi^Hcg8SpvRfXW<)MUk-M%PQ_S1nDB!7XI$kJHaR< z>tqW-x(F@^G%cIX@MDfhD0PPx7r`aX^yt#tzf%Ukq&0BhfDO%8S^3;r$)Sd8z6P1G<#d$eC@3C9kG zKt3DaHfo3!3e6#eU^c#CrI%={?hajyuUlz^-`1TID`w-1q@o$$4Tm+?UtNsv5i^`6 zp^352HeoQ9EXKE4ehvZdT?C2GZAUiJ=+QYGqQB;4tZ|rr3=!ywWI^|lxTaI8oL+ys>i1<;B$!sPDIRKPBXEG8Q+ z3G7)=uND|M6|f5)fa`%EdRvz0`V@5;hn7ON{g?*z-9iEPf>gl1;t?fwiB!NW6YfuL2zBz!hf4Mu*y6Z*%+bP6uv(t~R7yU2vBkHsn;i~#F7pD0%W}r_$ zW(JYgIrW!Y)Bbj2*--~ai(NY5LBk>;zL9c7VH-5Zsj!Xi80l?QeEx%X38PB-!Ll9u zi=j@Na(M#iBi)fHgPGoCWH8gW^D~(C@;oiwIdAzs03ZnHuYcWWLd^$M+a&`Ut**~G{Y%+-s-67;Clbht^zKN^C(-hOB(4yr_C zP(Y)u)#eb)#U(WRX;T9mJ5G7TwFpO%{mQ{=TZ+YR?NjE z>kz?;8(aX<#vxdWOBeyB&MTU%jZD=gXX!c74AckmK~>gV0At%N)Qc`)<#F4{uo^Fq zs{#ZePdmPAu8~D&%fxwbmFZN_g&6%j=#Q2kV~`geoK~X&E2`*ItL8q$7VJj@{v+Ul zHa*`zv?uvo9e1hHT&grLzVtyb!_Yn%NsNAk?rdI8L1PTN2e^av4(P|c?<7qRDSSK; z2f@hHS(2_bwTxuxgvDk?E^oP@t^J&j`>Ef49ky1Db|0r~F~Uec%DX@nE5(?Z9eWdoL=P=0AW}2Wiz5m%IPT9dB@HoZBq?ki> zdWN)GO{>tLBT~1Q7Dd?K$JIs;EM!#A_-6FNN!p^22v+;Zj7~Z%2>VL3HwD{V0;X$r- zVW0?rd>-v72#GxdrX3j;%n%ZbJWUR)SAOJq!-xO!5Ieob{yeB(gB3@4WFtp-DlU-)1D!JqVvr$dbc2dvWHKk`K_-gyl*gpv>u z#K}-&%@rlo7*J{DPy?7Pb|y;%0R(qFbPhEv^@!RDX1w<2m_rS)dnnE}_PLkB%9MNfxM1R6$AWnN>&XWV4W(G^r)~lPsYI;vlD%#1-h*WLC!4 z1Qi)uff(p)*jboP^JJ<}HPJ9A2?drS5*1!s#8Oq|h*~jx5YLEMQxFexqginekuxtm^tdb}VPz;6tOXM(6gFb5`+5ljwD zVtNg1kV2?p#S)lI@iphbWRkBr2PTtr%{efcW^2xYNpKq@wc1}uHPaTtgya|tvY2U; zoMu55C(0yIcDywUax&l}E%1`Km18@~l2m~$j?g2qY23!Rd$sm+GTfjxP!*FIA$vZ^xonWm1*zK1rU2>ko`|un`@$oPAW|>f#^(^3 zNU)rg5OaV{2|Y{P#+S(BQWE*tz#_ck8{hH2eSJh8irw+Z!;m~c@g0%HBkpgroFm!ke zOEz>O`%8LsVj-1i@I)dXdw8N2n(bN!J`pFXabf%*#lo6o;1kg!TtSp4y#Te>!=$4c z>p()Z=p;o9eea6ylS?#7_|1St+kCo@<))aPKpXQ(b$>`3EJ>RI);EhMC4e%(&f}ZnkU8&X-)S z-Zf&z11v-LyS1OivqGrei1h+Hr?m;DHFH)dh#5hwTXRw~HEtcvih&G$W(Wfp52(s&1!YJqu-J>$}FV~_k5yeJk@0rOa{hJ%XpJ=!mpU_532 z$l}QUk)m00t_o`|m01Jnnl9Hp@4dlyrF(B;s)c$p zc#ZTeh$lEcxk#os#RB=g!J*1~!|mI=Hxn~1l%*;4S6wC=OWrgH2`>|k83(og5)3T9 zOf(KhvJJ%WzJfpk(Vc9C;wIO648|(W+@;m2b>lM8_?4>3X~F=O1xDurJA2_3L0_?0_u9+XFTNj_MJ|ePrb!8}S?(+&J`_#1g8Q1x(7Gqv zt{>lG{V<>L#jEE}kE2>|$jQQiKsy_UYO`jnAI7#&(*P5Ug!c=;!^LETAkDN3V!#0N z0K$U<3I>|M5M=Sk1(7{7s1&$3)mDZe&9n<14-4%t#Yh4&ikB5>rd`lxYr&|Zz@qj% zR-~D3f;ciPC9N;pYwLwk#kP}fKQR|sA4`w7E=PHSs zPtesw4xuH*xT^oxeSN1gdZve9g_z35UB*!@F}?*sa}1sYjZTGbaXW%)tqZmoTimpS zns}>?qx#xk{N7*vXFoBj9^$(8-SOe+A1*9j+xN04lw*gt0E-vO0UkLpC98VQrwjX* zg%K!r6h5ysJsESt%(q&HCbH&!3o!f)_!#)z@_{mA<1cL!Yyz~Ksh?ea*vj4 z@OFp?aqRBVEXP2tYI_T$Fya4}8SPk|uzxRa0e0{wn;)H^Gv0zh&B9lIqu+w}c_ck} z4UBayRU~ANM7E_}f*zBPS9$gtV9^96CF9FyD^tXAJB%-?GEyc35TtCDbKWB0XwGM+$ z=f7o!Kb^mfl1|r8w&Q@C)zv0X?Z)`%4ItI=`yo(%zig-dH&+{G2*Cl1m+isxo8{G} z{QeEKT|Pdy)Bc#Uo$vod5Z4iHYYM;N}r9 z9o~yU+W2;}kIfCf#jV_fhKAn`H*p?qDO6I@W`V>Hs|Ath9xp2l6Wv8__Uhy5@!{%O zj_KfU`7giok9_4Rmu~CnU|{4p8=~moq*(DVcsdw7s(tb{***w@uut;FTL9&|6_e@1 zTITYV6_ee24w0*l&H&#k$zxgRZZ;~J zJx=<=dMVWz)VT?9`KgMa^yM!iHT_F3CADn>WwK@u z9(*KpdiQ=p_2tx?qA#~3?kL@afiJga9RzCv6Fa!E>4AnX#{szF%k2i_VbzyIBc5h= zKuI|`dU~XFs}1>mlOqo-&3iZvC>DQUwk=h zn1||Kl3Ji%rQ*vWaRXjw_2mFe$&&LPYIsZbP{TuNpF9S^zgaW_x#oGD^H5{I{7`c< z!J@E!d8oO42E4<=7XRD5Ro$kA9a9hxkU(btMQLx7{T%e2iANR&8{RVf&(yP@r z@h!YTr)YkNZ{ghe=DXho7puj1>TtQR`Cl%~P4Oe!%IX&S=4=nC_*KnO8+u4ZZuaWg zuL``waP=%l|LgN!`R*5f#mBdlniBXskk|)RV2TL!=8T!c8dh`Q$n?zNJ!mGyUSXWZ zVCn}Sdp|UZ86{1yUwd1GeFd}1d)NfQaxXRrNx#8(5b6;Z#5fZ5mUbKPr-)(k6;B!g zD#hefJn76~*TDX_Q@!a38SGb~eii6~1{0JlI$zwlX{_cc8rj(3aN-u*7g zRQZ$k5L-(=lS}Z?L&ZQCiU0HK*tT9Em8Sqs3DR)B(k|bzGv-$$4QR zLPJc!X{-)C0wmVTJUP4dU}k?@?0P=p9Qj_M*@NuLbO%;od(1(?Admwkv4j(7iW{t% zp5H5-ZN*?_xwmOp5z5!3{1)x_8ltR+p;Qj!Fb@mSCOt;L%W7i!Ogn}!BU>^FUgE}M z^9~;)td?yWH*17b?b>Yt>^D9~4^fOL145{fi!+T{Y;i^jT*~QQ#LBCZ?v+q8r3+;{ zxvPD+1eIUB-xCu_G`tG$pV3nZ7bKsXkI$zi9Ul#c#}sZb-M!1<22zyu2Xj02m+DPy zBHLZF&-gi$!5D%eq{q|w@6U^#2H_IY?i+gj1r+0QZNVXON|(N%)iWY(_Fc*0+tghdmz%sR z+~tB+Pj`iG?05aO-}8g7|CCd1>~VtoG`RsRiW9dz&V8EQSiIUS%}c?3FlHe+0X}w# zx#S`DX?9~L9pmc89(2&<5$%XQDj>VDh~PCc$7qRVpB_$5nwKYFtJcdQ20b}xm_CYW z;g+8zQA5gi&vI!z_1_8)o|y%zBh|&R)k+TT+qlQ2bc=1Ivm;6CUR!2CRYK#!4+vj=vo0EWzJ0#6pzIPkJ~-Yk%CK`QW`%-v!o^oM2Jbs z@2?lK5Z!=M*?LmEtPjTX}{DI3z+< zzt2OH0$X>Fuljq<+q=ov(-kXd#33UZ*W_euO~uwktQQz{FVHtPNA>akX3mmRK?_W< zVWgxWmeH7+ZcX0BpcSUer^21T-+bzA-ASBp$%i7JToTZdFiifNY==7|^~BiJ%i~@K z_|TFD0Btj{&Z*o8VF_@yX|Gds{r6^onVS> zrxQ9Uw8gONC8u691V1s%24gj)o^^7V4SFFo`?3R$v^&RKOvBG!DX$YcA_nvfxtR9c z9?2nrZy-fxO_eEBPpHV}(2YXnkFa`!5oYD+CzYxyCCB4=_9xf08XPaQtLnx|*cAFo zKF!I?6g3)3ac9%N8X=P`sK>;2b5@R%q4Y50la=RXn9X_0UvgBTJTWsMM{L*xgMNU; zq_XDEtu>l7=!Vwie6s`+kr;B;gOK8T~k^2Sp0uQ0t{IJz6)$V~; z8091c%)Yt-+gF=qS5uGB%RaoS%cs2%dg)%felLVxx);8Q13r<@&;zKNy?F@y$}*$b z5kD;poQy6IiR^aY7ygVbs0sv7! zcmObDJMF(E!YA#I0P-9FjWox^a(a0@;3A&ri6-ILlYJuQq0f+Go|>>6_cItL`73r} z`LU4dM}GLX{@D9N0c&jL3fLkws47Gs64!uQzfY*;+|Cwnt_mK|*&{Emt$|lOr*>v% z-hyI!1vS{Mm=il_9#mvF@??W#QO@f$b%{LLqR$oO%$rfg@ZsAMOjz=bDegw^l7Z4u zFy++GB3LtTXxow;oy||Jl2XsL9NiHir{)KR*``}lLMoHQB}WCHAtLDH`YWW3W}f#0 zctF%YrVDlSyC>DE>aa|d>v}a5Zl$WpicIy~Ad#huj_K9Vhw(|J??s=w{xrRsV=$-} zq*$AJfh&GkS663@}c5_Bbf%6GOQ;6B#oj(z5jeaYL-m_NUT8`i0ltZn!B9v&hpmLuhh ztZgTiXwIYW7aKU(aQlp#vgoIxZIN+TRk9s2|7UHB0gEKoz=|7u>V8btwuwf|Jb{NcNh()Hwhb-^i6jH!3mS>35&hjMQ_Yq}m5nRF;FX z#!%IOH4ml#mbIe&%P@1KUwDdN4rl*#FZ1Uo^xrdYG5_+jKIb#O_wSs7RsuZ+G6ivAP7win%Kj7)@R6i_Oxd3x0zT}Q zq|RlvU_VHQi^emm1o8z!?J&15a|Q}@ET`-b+nqV0BaFeK@tk}?iel0XjA*9h!b|_l za~Mxg0!BnJ(2RXqp``4g@z{9J^g6U;w`57#BUb>PBas9*Mv*+J@kI075A%Z`st>sV z&-9?1AI}Y-vv1nec6gfICrV5)8g>B7#{7T>hCQm~Nx6afVTAESG=l>dw~d2yA&`c; zW~xCY=7-&8(4Z#HXC(T z?wQ04a+eE|Ghqg6VzgcCb`ib3ofmHGY7g(qxLjLstig@DTw1BpT_KY5i+=Y1`Za$v zker(L_I$F}chEY|h7FeA_BLtHzA_}I3xe|uvrj1qAE7T;m4Y51JdzaH!AI^(gr%Uj zS)!r@w*$@EmL`rv)8F?)Z^6a}uY=VpPpgI?og)_nJX~9;;8GuJHf+N3b-ivu6D&*SUw(~jJ!X$!ynMxZlq4(kx$poq*#>p$N6ULA8T<1`zO6g`S^6D zV}H8Ku_b|C$`nAikt*<9aGyQ4VS+A@l$T!ks@JdFBw*vw>o0%wwHH48!K=lCSFS(& zzK=l5+oXwCUc~kb@Bc_hhy^e)Ut&Z`CQ{5m4bi5!W;t<-C|Zhz_kHRl#B{Z9H4qqk@&qpP0#6W{oQ z-;^q%60i20Hpua?#~0dY$uPFY_yuIzx`u(^ta+9WWa>=|$XOGcQKH-w;Ikjex`tuu zdH`q1SUDQ?xLyFFQCCdiJy<}|+e=f2r3XQKitf>VY+b`FC|E*W!+F^46x=`8x`tsp zr41sJnwE@}v6?btwcqx?rev(5ZFtjXtZD)V&cc%EM69_33s3jMa{>mr2Na7%63CcA zVna$Oo~&z3LP-Dh_fcwGmW06DYg9s8aGzWG#xBxHe?V=rry%UFw>LZOWX7<_4wy%( zJKk&mK<9%rXXTV568lkd8t{5yZ@CqFi~1Jz*@Kl-8n^RK-cs#*uFd$3_+*O4?Q2Q6ZV?Ph8HM)s8j8!m_tf{A+w!jsn*Hw38* znxGB~py1Z}%R*3G$PHUYK})q;kpmblM61={&{WmF;sHen)E{|{UL}ncsj6KNy$w1~ zVTT{3#m^8F@6ivvS}J;Qw}dj5ie?CkV`+j$nYG2Cw}@j{`V2u))qZTy6cg!3Ng=JO zk_4}~)m2Y?v$d0vi5}-=GrkQ1cvcmTV?HgfS)WLHO_$p?#hZ; z`=V5gc>`Q=;S9O)V~X|#%1<%Tuug+xi=*tn8`BZkCrwQW)OtDiZ2i}~xrq<%=&9No zg|ReUS7aY=2h-}38ok#Pm1{r$3y#(<=LFv#fWMqH;=`DeHocRIEYl>yy3Y~`C1J`k z34>ogARm}~KzV=~f`kC+%vg6eKv1$`aeM*<3*R{qf93eHo$^v*rQ7M;5un8$=4Vgq z{m@7M;A?*`w4SEZTAK$GE=~Jm5-fy2(nqmSb<+(S5*oz* z(l8h95&gk)ig@h*$TdtB70>Da&>$dAhc+)&0mb<{Kc#06{j;ZOKJ^p+n~(qep!iTX z(A_JKaE{0pX}SzUw)*5&P8uP*4}1ZG%JrVSlqC);K_v(*Oc2!UonTJs0R?PalW38s z!Ie%b@pD9OP+PsWfGqcUwH#EUqfR}$Ep%Lejyb5@az2>Eb?67~4_$&vw5xdlh`_e~ z>Jn6LmmB6CHThRB9{ zv6bWBC^cQ05Ve)mf@rB6wedO3YOL2ou(S86hY;4)zFu^)BD)&Al!CcUCoJ0$<{`|i zjc@4dEqYs!xOsoc2#4a5v~O(6<%!aS&^(>5$DS}uyr)um!R;AX%njp%QbZY+%DL}{ zKFGIKise!@Z~F>jp`j8gWZ_vuGt9e1z1R8Ro^BD3Yal-Th%4SM^NCx;9iDYG!|(p( zyN90=B{H@9j%LuUCDNHoGIFzp7!I-&%Tq_M9`d1$kzuQ({TEkc|CNbDEQv0TXnKT&E{7I->emN^lj!(>Jw2}3iO@+#x&o-M z+XvGz0|OthZernus-_2R~ybY z!?LLL{{0`={q3Ldi6`l{+3N{k?||7Nnr^T*aB~W63#d_>cXPm~d)0a&NUi6B!B+t{ zQp@KdNUhfd8{IaVH8gh;g4B90cmTdrCg_Z@IaBMoAYr=D%(R4pg@xvc6{+EL(`D>eKIkxhQNBUP#nvdi_shZM zltQ?lr#cz%#=&}LZ8Yf@>G+x;Mj}fIZYJ7+tK>;5Ld&35 z;fX1{&4>05n^EiH2FU;FA~9i%e(!m$NO;%MmX9Oo%F4H;K60I zi;QwfDX{6$E{d!06xh4pwPt+i&-_Dd!Gt;C>_Z4c6`${U+j{4E-uIM!xg^L)60EB8 z#4YNhmrH`|(Tya*=d}BL?SJ)eecO+nDmbD3%=r?)XQOsq>m+%yp|(CO#fh2owY77kaU4vjsUj@aNVdN z2xB|J906ilnl{w}dTj;$IL90TA|=PMYKYeMJ0tlD!4d%;;Z#Ze9caf6n`pj}U&#Y9 zXj<(;S*-uvGJ3=%0c29BJ7UElg1yA&m?Q_!fzfmypP%IqsA0Em-DXmKlAF(QbCVtd z*#ih8{df!u9(FiB>0#e!s8HWjdm%vNd!4L)M@TE4bIPdkolO}v%mdk)ncqGDA7Xl? zG0-D@yX!Y+^w-e7x?VY9UnrX6#QU-@7Z+gmExNb>^P95IaREO4bN}*heD|Hz$R-O_ zR25#>0ft3++tDmwEv5Dq@&dU=Hd)c4zVH1MV`#yml|ognkxiDq=mPkPlcg`Z z04@mIOGu9N+>))n9JS_=`kj2YPc^#SGYiS z`QicGAq6rS`r!ebAKBXzeXd!b;Wg0C_=|mF`Q9WkyZk4A<)8V?pVg~Vhw$lHBhRq| zwGRWO-46Zo5`@@SmR)wigepE*H)jZjI)zWQTyX+6i%Unt`h{SqQ^Z*axRiYecsk99 zhG3{utcVdEiYi_UECPwf5Daw+L1ez6(gc2N;b91dI>oPU!!ke=-yb^EDOWW3F-VFA z3`t3yLK6L85=a6^F+g2QcG*q^ap8d@t$}^sAYI*Wt#uF8|Qv+|O7~{t) zaHj^|dIb&vurR&El+-VM&v*q6=_dsx+wX+J&*h!t(kpPs6`^CLyfa>bLo%rsyrBX& z!d8D%11)}M6w?Up!p|x;FDs(5 z06db%H|A3dMT4Q!Re)yh@b+ZUtW9p`o;GHKPkNH>=4q1t=4ok?AT(Q_f~Et`fGpl z_b>l`N&?_U4pU`yl+PB#o4x5O{sLR|iS|SE zGU$)Z{z7;lh}?P)BqupaNBVYGCsjy8 zX)_@?|L8vbi8yY6(wO1hNIAze)rsZDQkCEGJ@5XyUvP^1C6#l?s7wVI6%Ym@t+W6w zu63Ugl9*i1!Dvg3{$M7$8Q{4(jzrgQr$)yUWB2=@X9^ORFx@VHELIIlZY^h78b zGMyzTm_&e2S_nQ>g?M7kVQ<9~XpX=1@4fB!{QZE|>O$@?wwF!WDp3e-K9+rD2__e` zL^cJ7h0d%w;3hJI0Y4Bk==wS*9mZI(kwIY?OsBMHPnuvOg96W#Vo~E9v*rjgC{%5$ z=FlRCuNZ}3qhmn&UDT~&BiA^h>^JIxSO-p?WLpU#&!+lR&| z?hEn!R?icC%g^|AU+{d?m2|v=Q_lF56tsbGR4Zc2EhyCl+i72!*>^!Bh=Jf*x;p>@ z4M8cM+k_Tyav#J}q8o(+Ws9KH&V7{24)7-F0s*ms!76M(i&D7xp#hiDtPX4&GAs;g z;?OkvE(jt8b{CVDa5oquBZYH6^m@%cA|hH3WNAp}cy46z2xDPV81Tra!l>PPG}eR? zmPkI$FQf40e7^9iTGGgcoy*|8@z&dXh1`dv! zqv#L4=vOx30+OhK^$&)kKTLAB*xMi^OwRzKB;6j=)1?2}CABt*PNL<)K`Qzc>wbdG zaY>y4f?U?x#{;JGOb_rCB4hMz@!>$X8GOQe^z;N@#q+jZkqbUap7|JI;BkJ8u$jq# zuxWHa`A)_iJMS^VYW-uxe{v6N>@mU^)YmlH;exSJ#&LQPt_6m4GIod+Qz`9{qjJ3yeEzih_=*PAPZ zbLoJ~D^a#+ci_YS;9U@?`*S<(zq`U~mG+lG#rgP*_R{rR*KFb%=bN*M>+RLLWD_A! z_vdyTenw+Xs)7Fo+8H+yxuKXX>ka)g!t_5b)ge)&I%Y=R~psO_?d7fOb z)oa!pGyqjWd2n2?kv}0v(6_*5xJn~`LV;&HReoy48gP9GTJuJ@N@ITl2^20=J;_pj zLh9GbI>^xUzq^#58lVSd9W$D7DNFeYJW@uUyTJ^na`ZC|oSQcqFfmu^(Y$rC3GOc0 z--Hgl^yxf>Y=S?qeKz5ak_)zcD9A!V8mjlwtNVsc=bd+p`byd*xo|gh9WQ>uOW*!I z;RR?Y)h8EhY7AUPFlzOYR0__X!wd+;mK-tI3w9<2QVK%x2;YY8!fDlUibXJmW^iiJ zkj1J~C+LTU@dtIiW+4dQFM>IAW*eFUOwl!KEVZ@>!(0YAz9u>_(49xGd~D`! z3Z3zL_MEK290)pr%h6BTb~WC^`MAll4>6{)6B=>vB8FuIt0%DwsHh%b9AKIc+I)~M zf{sl}OjigleP!o`&U5%|6Obdl$_TwA>}~~vx>@3#30#N0AQy}N`pX}EP5B$1HHP|m zx9DQgpAP%RE1&<4AN=|=V5OHK&gcb$`K3iSUlhF>@H-^;a2ewKf*I(cm!NjKW4&)i zS4P%aES8=KNn`bHV*-La-dAlM{vyxDW4d@HE;Re zzA@+eE5G5JKm2*2o3*a)(UxwZ4uZ-n5}$~TRv_&Yhu*$|mI~}AM|dF2Vk84L4H6F` z?g&BVT-%DyCy0ujRl-7$E}9D_!XxQEFDo+Ia>2ur)YJ?**2qG3hi1;z1radn(C8zQ zvl=?ap&4y8LB*%CS~r|+8|qm5 zmth52zZl2W%XfnkBMXe7FXIzWIsX^m#3wyk!DF@*<&iYjYf|mjto$4*H9pOesnRJkHd5`nIJ$S} z=su(?>tNYa4cBv1VfOx=n+lt;JbhPx^G_^4=H~v3n;(1OZv`8Z`cA!@i>f_yGmQx~lj0iks_>GwR}t-iga@ZX@DGI-bg;_?p?xWoMJP z;y}-l<)H{>Hy6|A%q!fG<2wsJv3ioXT6I@Ahq`??aI-n8WsZz0wb}mPWw3QYfe8^* z0#(U&fTGk|H)m2zaza7fYOr-e7+nhJ&sw_OLen$#^re7)HwE-h{5gN=NB`$2psP*p zqYy>{(xpoezjxfTpF0~yw?BfPbry^c;|n3EV=Ssa+znXYV*({*5<^;wf(Hf}Rj`XfjFEfGK8Ieius(t502wMq4C@Z^ zX4vx<*`WPVa#?`Jfgds-{iI25&bXReOVo7#Iu{(5?6`3t=&H}g?DmO7&83KbhedSc z#8bKfRdZZjpJ+k+a@_LrEdH`9wYH5U6PA}Za?JG4)~W)ZH$gBfZ^S>e*Hf2oO9k_+(F}+ zfYkSc@lPe^y*i+k4F(DGA6YvriRY5@J|H_Tf+(eFFSaF)P`1oD@55?KqA&t6z^T}+ zm~-ApLGaKJTpl?fvm83-yxBA$XgW-a!8Fe*SfCwPQV)$C`X3|yf}Ju*%9^B54V7be z&<;$k)}OOo_dh3*^8ii*XT^T_4pY$UX(nkQazI59gN{iGMrB`v6<7UwQX@{Yq(%n!onSi`TumCjImr zcBJJ(jQXd4@}K#Oy;1k%zTO(NY$>ZTi1ar5!ECWG>c9|UL>t0k2m-yM`EXkUCOC{b zJp1LEt?$TPgTzn!&qFYbIw=vhIv#tB!!L|F&an+j8{x+bqYhGrDSo^#>i97}%zBI- zFN`{V%p^M=Ax7;&9@ikCz|Tg3fpjrQ7sSs_MxCIfJz$z}j}Wf2kFH7vUXz#dsAT{) z`}Nm68Fik;f}MuIOs!GuFKgbBQP&9ibV*+2#%PeoB5sxLnP6^$X<8KDQ!MYDHD>0d zw$8L8LE|%YE?x3>*(HBV)1D&POWpq2tlOWuMVI{k(`l3Yg|GkV|LOOgMh|;_e{-Tu zZna@s0H-f(2I$zFK;9!2jv$kQm>*h-%@sX-STUYgQ1F1=U$TM5YJJaYT>KFit3P^1RqPHcLJeWs7eE;FlKJ+4 zG7flY9vvMugI`3~s~uf=mU*5&)78G3UkMOnd~JARXVy^*eLj#+_Z#yX^f2c(dFWnV z7!c3H{%P1oGUk`WzgaoJeT4omczQ1caj==W`POQi`}RNn&fokgp{q40=<&oh zgYik%uRv&5izC_63%=?O@l_8Anc^%G(g1CWj5tm<*H zMip5q^eKaI2zr7tgId}aRx25JoI`$=pD)G5w<`Z z;lSf)tWcq$ih4w8O7-YwMQ-YHc}nRCZaZ^sqMA8BtxD3BwIkp~KG2n=+LFF}I^Qj%B|6GD+_f)tdZToNQ$5G&8KF7I0F z-TQps{{BAa^xr*usOEh8|JHu@-rwGPU7z)=9TS33kH^hs(DI$KRMhva@HJ8*%eN;< zj+Dsq{Xvu9i4s}9L8nV(`3@cMKXLeRmK?}YuRY(QHbf1-62}Nt$$XDqYuQb;Zn)dJ z9sCu#ZJxI?HOoIf&NLSGFM~E{{r9KG0oThJH!^N){4a2&(jbzWkAYBuFO?7~d|*@i ziPATv9l%5_65ul<#dIuK+8-p+rC&yQfP*zz zw&VC@S%v}$66o8Uef-#k%)6Fo1P=%BO^Z8`mUg2G>Xk;{## zJ@02iszJmy8`JwUrqgawAN9^nF1ORT zRjN{(VZlegxU{4c7BoIRk>!OYqN~$jClSWNax6g>-l95%qa;HnWI@T04Qfv?-6af# zK(TzX9hOgr9i;=xY@mEVJ}miw^6)fM*T>x~-dtii5oi|A0fzZZ+%7fLc6>3l>2m1w zrp^)szAN&7)-G8<`XL;WUVJvz(0CYE9LBa!z0BsSOY;u!oKV^&%?p8Q-^76n`_f}O5DMR`eZ~3a zG%xMzC6TQZypw&DpC=+yfIU&ZIV=HO7Fstku8Pb;iaUKfz0NpIG0(Phx?-Mf=M5>4 zS6_a<>xQMhf8qUTf#vgMjj_$Umd=aTT~S*v?y)Q5-~0aW-u<^>J9XTI!+~u01VR8G zhzuj51zr2fqSg>xD0CB}h&Ec!4MBRtO%TaqWOkuUz<;3S+z_PFYl6z>ihS5=Wk{qD zWFF52cZ((YT(KfrG8GjQg0!73Xg!&z4}%?B0Y)K6+v$Q)??%&QzanjC6GZc-)@S!< zcaW-UtSC{dOQQdjvjbVhULH04Pm-*6H_7E;B@9x>)zSF2lO#1`lf+mBg&1KNV*M#6 z#kU%M4lB7dz4~25x?+XZJG>=ICc6{Sq`^A2(HV+*joP<#>Cda?q<3UGe0J26d;Ubvh-;;eBCG(7a zj++`aCfVC&>C`kXErg9Gg)qF6Q_5tUolv5ML4eEu3h9b6hWtQ#B4v z71jhLRKSXWm#}@ITntrM6Wjv@!$a@Z-WL`tiVAbdEhd-?m=-GBdbpBgz;3xVAULun z8W|Mbk|Y_1_?nnvL|R@PeRHrLyCg{i_*nK=DDPOUR6?&=XzHOBSJ{yUbyfS5Vk8wE zuMGBakjjp?nCZC~_gp*a$7oa^GY|1^6iwW79!2?IL{A-5Mxie`1Ih<973?&NmnwX~ z#+*jRN)oVTK*88rfu=y(B9c$o8mYM@psIK*u|I&V85^_$8m3@_R(w|S35$Oc`wNyQ z&YuxY$-tfg+TsVxP#>kC_Y5f5YSW94a0$OIH5U`2WUH;4gasX;;hwDZ;#{lrASF80 z2G|Sw1UBH?f74I?r#~327l2BzEDhBz*$&x)5?LLH?nha=VFy)^V<)L)YzqLtosQ2w z>~@B>3qd{=K=zXP7NISgtt`wxF0I=+9X;P8{IfFB9Duy2ztUt2BsyBv zdg4h}8(@*dgr*CXA2mg~_SA?%rC%rB6gNly7n*YJzhLW6GK*-?C}vHzqY{T7ESn`* zX-KXHo~)x~<9V`(}H)n#?!dr9Z zBo>4zcK_zk`_?@Q_aMxpfYoarMGs6po@trvBXqQ2Ue>80U5qIYD+B~7;6-A$1iZ4H z0$xF)r2S!~3ldjmhGdUGUhT*OOJ_>jA9?9Z^2mMT3tis`xRE7BRym<)l4mS=;P&mE zBVnheeV@!0vh??k@BH81`l%5FAzh1fw<8dBi?lZjgfvhpaQBVF%f7O-ZxdXzaZJ*1 zQY}LoBm}9)T@XkFh0q^XYgV?3AaAw{1|b<6xI01WaTml$Wn=InM4%I-9(Td*3W1lR z0FS7I)kE`EH$lfk96_4a3sR4}AX3~~bwrx@sJKh}>O6rWm5k^yeo&n;)M4@6ZR@Wo zI&O-Lp7}UK%NzndWM%HIq5GEyIrfmwLC(_~cEv|Zy z<1h&f=iNz76qA9sN@@}?iHy83MqUDFhSL>j9DT+8?m((H2uf9p1+rL?#?b{EmIGt| z04b@0G>%Qs4LGP_2IolQ=z@TfYWRS>9uV+#MH)vJ1Y@4I5bRNJ)6$%M1($%v(FG4n z0&EzNtO2|4RxG#}&PtG4BXC0l@QwsIzGe(Kz-bIHEG(b6B+&cB+HkzWs>7SunUw_2+|R7!Q-9` zZSWWnsv7fX2+|R7K@i-MI~S2W<`VW@byOxUi1`BOy5q%Q)5no6wX z(2y+{%Xyw0`!kTtw`aRV9~o6HLDCu``gu-PxhV>IEhV0jsOci*OJP;)HZDOnuICu( zYO_K~M4qIm&b-zxfqRINQgtW2*0>ajpd9o+L32uvv^~~$rI@EJKc<*}@h|?|zxnA; zF{ppLMCnB8MavbEXw=|_iG->bzt!>br;zpHx4PgdV7>UQE_e!8FMg{Do`%&Es${2( zzo%gJRLm}U8dlHO$tCwEVf8edE@=}~N$Rm2bSX#z%Oy2oz#sr{3QZf@SLf?hss+cW zkeiOYUZvKoJ8GgeW!w+{-1+cN*UVu*uJPRzogaBDN)NMFxH+xvK4-6PF?$6hUwY%A zit+1u86N#t$ONM9{sd05zQ&j8<{1V*!{9$U#ti5F+ofh z=mb-di*r;kSWk|tcdxx;iTU30-fN%i28+j7b6aYHYPzYuy4isacqi$*St z-7;0SCYRyZ+9*{@HUmR?rCFoDt1A){`00lEh1+wg-t$nrY7+&8PLr z=|+zmQNx%U&eNWk;zy=f;wds=w<&!(-kweM(_pIYML1&z294ztlBu*Et|!hc-z3l-r~* z0iBY-=#OBrstGz};%kS-naQC+$`qC&`@cw03LKjX0t) zN#@%V)F7Y}(JEn*6cmLY{czOTwTCS_s{3nC$tl#nmP>(A90}^|CA)kyi*=+h*>xl{ zla#*d>`HbOHsCDTNQe&9ey^PMNVf?VR4Zh}SGIBUwDEI>08dm)yh?*pLeUB1NP-Ox zI*Pl7CARqR(Lri~;qrrL@48`0%1Y^kQ6js9xC-P`XwXXgqhYHI#e+a?xDx-UmE?r! zD)nCpABi)JAn}?s01~gjL^2KG#8(TZ9_GaBOV^KH)r=rb+Y9ffG8ArkA(?Lvr?g22 zv;6a4{OIY8=u8h@Xlmz(?sg)&Px+>w{Gb0+xGS0g86;j0!dXuUAV$cQ+@88Co&-GZ z*BI_bcjdU;_Zixfc!iPEnk#O9pI0o2*VO^N#xPv~#t%}JIq`}TrDbTBYX&wYO_~$0 zG3;LXfQukCR=XIbW}_bfE!C}<6R*IxD5D8}p21a@#485G8l^vGv!719BBFx}#PqNO z745tbPL{+g%BLK-0Le9e%kDGn2w(B z4;c1=7pKCTVVAqgR#)up$QQI>zWzBCW5d!eFiA6`kkoxKy)ol2a~bbL%f|Ot zHlB8i`b5F(z1)uX@|%C{fA#0y9{Fg!8NK&n-TQ2Pmb61M5}o%VyaVuF;KmpqfZbl$ z-0Z!y`totHHXMHl7VEg7=RofTj!|#(vT=<1GAgsZVD?^M>y;1th-KX zN07Ysu2}Y7q8N<%Nxfk4UZNPh*%Inpe#q1tiMqNwW5*ryGo2)%W%hd^ex`kFY<%yee?q;1YS!J1_vRh& zC4gE{pl?fur1;mJxQEr(@uGK55Qx^;}N`PGZG8fgU3%1eVXcHJT zJmm6TBct4*a0o?Jx;G?!0LVZ$zvUeVjf@iJM6=;>G2#n4qg5lL#7wsO^PE*v)Ft{x zo2u%eFiB_4WRyuQ!3Bl|32*(Qd5G%MMMgPQY1zy41WN1MKlE>Z%TEP#P#1E33|9!~ zVI_e*o38*&@80vQw4$eK$!^xF5Q@@@iB|N;s$fxC&fv6NbG~vF%t~v!_lZ_()CW7k zth8dH)tWWW!uwTV`kalB4F{d5e>Uf?Zyfl#;UZAak>zwRy-uIeUT#@!RRjP|OWQIB&bXgc%4 ztq;JQc8hwqd(H&iPA2FpKkMrs{43!FXbg07CI~~}`YcP%H5^+e2>AEDQj#-4CtDJ7 zCMXg-_ufE&&S&<#v+RQb*(Gt3S+Y>|Ssw`~f#6amsMY)qGu*>m%;jKzv2-^PS+vAV zghG?R{<`Q`xkZe7_y4kOZ%2Fr88zCIvoG@i%*(Pr3S4bP74ZZU&y?hb=Az1*5EAla z8LZiq-i|4K*Dw3#w|~#MEZ|A(eh#QlvYC{C`V>h?aYIEU0}%_ehJfyy36IZc3Mm{2wU$yY52R}^C`dPQG^S6o`a;}=E!-D!q_xm z87evsRZL_TG2I^6vp#*6bsPX%I@0R6#q4Ks#qB3TPAuy<;NQv4hqfau*f$B4q#>|W z+I9pg+&*`flZMCbQjzjt@q?FNlWaxDtyUTZpp|IR7g>sqLqD>R)o$6#+^txXhU*=9 zdNpZSm%Kb}r%v}W(R(P>hv<&1h*U6V?FJ%E$z6=c3QZNC^1=Bzz8U*O31~R4(%Rq5 z>@jP&H?4-7AzJqb(VAw2=EU6e-05=h;N|Ntc+Ur}UU>fHn_>?3CJ>4%In@g9EDs15q+hB{7G}z5vW&nFmPpl9j+%bUr}6>$tmFfV zm)7!TgF#!Dg0OJD+jPMMVG$!4eQOLI((yYgMy=qs(~(All*SX}_~oI6y&IQS#HNN8 z)0Xdz1{K~v{~6!$o?jXrHRGWLT1t$E7Bs58+nz&~|d1{ae(#Xn7WBDKxj#V5Ni> zk!acAe0xd4@a+iC99p6U6yZG%qi`eyW9=BmA>W;?GuGk*;U!uK#-L+Uz=i9EgiWb-9C>aQ@Km28FVijb8H(qk>pSZ`RW>swh|*vQP&Yn zQF@pp${|#*dgq~pe8aP@mN!0>v%J@_$+tJ@YU#4MTvyvGc&Vf*p6+rf`9-(r=*nXt z!?lZBz2=lYyvpF}8N?_#>IojV^?uO5k)xjAaa&^sUva;c_Q>OjlaWV`dQJu&IqEqb zcjTh+iMZozj6jVe`al!_I!sg%3^mw5lc?bIf=V3a8a3qDVkaEWLQlYkS;*Jj|I5m; zopvV^o0K>m4a_?17IoOuRWhDqg*jIE=!g~m(Yt=z|B%M1s5$DP3owTynn5;j1rC+Y zie*c?Un~y5{6Y|IN_{_1wzMZZsIsL6behaH1Vd~Fuz+l74@*w}}v! z&T+>;zqcm&W{34(dpMcyGw=~qcnqJdJB-ueOtJq{WN!2kJl^rYXpx`CsoefJ6?^aj zE4!U>Dz@IGQPAuo%s#?LhmY`wH*fz9zcuoSOtEd>?cGqig_$M?%=Mz726H(vhRD^~ zS4b1m3b`OAdT7rtNr)9o5rQ;AE{HL_96pT18n_=6rx1el5nPZQ3W8cZ?u0D89GX6Y z3!+R)%3x&w5b`RPB37i2&;)hvG3*~0AJpLqLHY2$Q;4Tfxu;&xX!w#cb|a zGlcx0R_x}PV_|{hQ*X4I5ezx|C&xrs$lQ+g7?Z?8I6n0&$2Vi2D4j5fZU+4?%;DlH z^T44@x-9%H;sK>{5{mo44F@;^^|r#dqQ(Eka*d=8r#8xVf;#lp7g&qa1U2GN=6Y4( zdm-UXp@9PdO(}oLb~--Jw_rO^KfHwRMoZIiI+X?vKNdJ`FHic}^LeSco0{~Sw)|Mq z??--O_xNi9>Ht_2{8|r1bPFJ+6(=Ua%|A3Z-@bA+W6am^b#)^7a3Y}6Z?zSli0fR7 z?r~^QGj?d>6@V%{$8~<(6Uj^_?csbwQ8TvUevN6jq6#;Ftcm9yhi1~xFXpfSeYXmd zFM%$82+H&1k{AYo*9Mvk?C2!Gs*-%vnk15@a_}RHCxbN^in!!%vC$C|goh8-6eD04 zbX+XKSjsEkf%&38x>y0fkFXYUMIiqo$!R@LF#|5Cb3J%P2hQoLlH~?r%rCA8s$~bt zwO&#Tf&cKh68+&pOj^(LGX1W~K7ra?$LklbX~=~xkw`(ul(Py!@!N->5WQI%Jm)IC z4{7;_>%CKl;+wJk%@nxmrya%1oGU;b3{7EW83x7-b+QGZGcTN)B>%$WlW=2vzUmGL zlAHDflLE-P!j_P{3ywhApU+a>U(yh<|I^HOPKs4N?iW{p?9IK@7|gNH{lz}hZc%Sg z=l0c`pybzpl1~Smf8ZmZ^W3L}Q*u*&^mW5uo~&((JL{dH8_tH&{OACvS@Wab5DVJP zK1*2gqdxTt`BC!=JT=qjM}6wmNVQa*Tt_Rmu~#GI(wZOjxmRQ0&w{@^js7h8(Kh#L z4E>q&qbG{6lidO}q?RX5g;TY-x04@@0PiVnyX_;i!x=C;>>NU=fTY@E|BjiQZc7PCSA0zJ{_@zw{t`mQ@#)oE;)MujXgD>B z^$!YB@lmwTcKZM&)%0E?U7Eg~juDx&qu1|ygb655@ywHHw`led?xrQ}YyOK5{>FEN zhoJe7K06AKCAtJ)eK9i&gGEkcM^PD-lmOy6x>mfh<~p9b1E4T>}{tiHd#2J*$GuWiC<1?F4gn)DBG$<=!T0#5v~d=x((K-m0K2 zUGS`#4Ab@KI?W1u{ODS-MG|$Yt$g%&YTLo1A-5v_$+M!5*tZ?gtSx?x?|Cx$zYvN~ z`FnnjZ^k}RVhAq^Mhf+y?AN*i-{=m-ZQShjW{@-2w2t1yE95>nzl9v}XgBE|3-O?Q z%^e;aX}Cug-q-{D=GaUam&9}(6qe<|z%aY+!H|9|m)xSXE&#e%{ zwj|KDKEBey;%maXG2_Ry&JsUDmYn_&U6+RlTm3_HJ^3MmWKYn^f3k-NOAj9+{^K1! zMDOX+Eb$aQR8IErH>S|ra+U>8X^&`y$fqsYl`>i)u ze0lkL;8B0@+#17Pih~J(x_@;r*Sjm|+qD1sYF(}$0(Jj-{cD`>BJaPwT9@`x2-N+> z$85i!Ozn%uK_9Q?pvqLf(gCzSJhNYKkcr;GK|NlrkFmKvaPzQ7?vUROH*p?q<+a=g z-z>$7_r3VS3o_=ejj(&)`#<>nm&m9)!l3=_dC&yC5&Z_a$*a@lnzDM9W7Fra`SKtC z{11NoTiyZ}o^O|RkHTE@+0iZBqYZu;x)eK%iq>?uePxvp7epsIenAQXNunN3o?6iCAFQH*vh zDJIh;F$HBMmxG*~L9LQxzBw%H;5XkqLl;N^R7sLa2VWD^J)C!o$qz`949+v(p2LD9 z1zd9tKwf`E`TiW>BuH%st*J(qosOPw&>oM5UJ&(Uo4_pG;w z?LRzE-u_-P-y=N0${K*SoWbJ(%MriQ?zjf#K#smcOHe(!m?Zx_tqTcsQ%n3{Me?k> zpdMd9*XX3lzrkJ5?{4UK)h{!8&Hlx`f(&8P6*ohNK))DqAKbZcsCzpv*6npKZ-5nF z)Bef*S{qjzd+o=o{o$u}-l^*_{|i;!Rb#ZelFZy757L)UkccUEMRFC%kZSHC>wInwG!<$aWYa+R<#+V5eZG(+NYM+O_J}z-*V1a&QDLvg`Q4vYFSP$IaE- zpIs-F08@uw7wX9Q(z;FTD=*iRb^9#BB8gEP+PdY{7rydJNX@mL`>XYs5{n;y^cKyT zoV&^7e9}k$nZJ}~e`w)t2tYArj7tD0hM~4n9{e-fb=!Idz=DGW_j`cd)v|`5WO5wf z0ILqOj__c)Vk8U&7=pCwZN&v(BD9u=&5_LHMUY0l3GOh?O$Ev7T?HkR({K=L%?-h| zFv5vAG)=m%2#Zdy6d?=p3j?qSO}a~h<&e$2$Z5a7^J>=UJi2`%`<{NKA5 zGZy~2yQuZdh>tfRVYz9Z*$epaOCNkyP5p;ny#8?G2gF9I#wmAT%k8}UBDe&ffA0rE z;_5QQ$MTQ+FK;fHKirA#AUfK^|R z=VIXpxkCKy1#>+IxjoD9BV>n#Eh~x_U@M|2O*`ViAc$l{6%;SP4-Kv>139vXt_tRQ z4wwTmr3<+V#%X;iNxT4C5#U>13@Sv8I55=##0xM<5G&ejcnnYMuO`>Lk=-==wKJl;q{!eI`=$qhg8)O-wx=^MZG|Ece!{A*=g&GAq zX1J>U2*enFM%$V`!xg5|D9~FeI##4I^c69akOg@35swUer80EE-EOI9cQB=|d&Ukb z!zKtWbxDXKwLRc-r!sWGU|&ZEbAQzp{?_Q%H><(8NKuBqX5?)bsESIUV_zY-EbupE z>XA@K_yY37!Edf)ns#?!PK?tX?cRg)%(n;M8>u^*tu<@xN1v#QA7NyZ=u_3Ak31~$ zP0O>Q+TT8Tk>5o;9D4ldF|w-+KkS=pPqt!j2$jP$b&ZN`hiQkj_YL!7#*>+I;&}uTa6Dg{Hf)X9NtJ?>{&s({p|my(j3d!%eJ`=|R83 zST5dfthquHTQ8ZO^ebbMVGsUc*kPU?b)XNBsMqsc+u->)Pf6(e73~f*;__G`>(M>H zlF)Za6oxqU9_0k!Q3sMNy13*H8BsW8a8Hm?>0ez|Qj5NZ1M7 z(~I^VxU9@WAgM2Z;ezU4sXCX+f>sK0Wq|%_s!Sjq4_g-{c9s&DacT_4lFY9=;2~|L zj5SK?xf6wufr0^rKs}(Sg4iD=`dvCcAp@n9a;8MjLVAWM5He8O8X-`RFUp`DzpJcl zPzlM1i_Pk4Qz|Q)uClV(Tx|-(;dr$v5C;g9#I$HI6$E8FE3v^ z|IOiQlQA8e?bW7SKLqOj_4+qB-+cc!`>RbUMM0qMFDf#Q&*v#F2bCm9dnxFl{#tDl z-)>n3U84NCeZX(G%eMLUXSYfJJ$Q(%CJe*`H(i*U^*~#>EqhxxLNvi) z6{#RACa<265U21MS&n|{r+w=mdG!yapj|S@ed->OCX4dCcLX}*Os)m(mT=zfnKq{& zlGuIzT-<$6i|;u98*l%9zdH6SO3?0tz)7HfjTJWw^C~UN1LMHQ)3eP0!zpax05pc$ zn-G+s-B;A!QO)u9>2p4?z9JwCFox7PyM@6&VnsfgP4EB%tAZPw7#@NOGPGm2@uQgU zZM`H(lFyZ|iN~BBp-_*o_x%r4Qumgv5Jk%Hz9#ug>R$62kVFZgua}h6{Q>S0(hyKK z(&O8$S?VIYf+svzbu_HiLHH6KSx*>Hx(*LTMd#KfH z>VfaMx6>`E{mq&FnJ4=-fet&+95w-!xVKDy(beNQ3u<3~#V^cKQ(6Bapu)fU9G?i% zrW}wW`OpsbTQjU+^0nD4>jlA1B_Arv+Ea}H8)c{ z%c)R3Hhr0t;@Uu&>w=+B4=y`;_AgH{oa2O(CxfaY3!}r)|0dY$ek|H zU8-=>{&0&*o(aKTMHj*LC`%CGfDNCdU3mX&(L`vF1@`ibTzUXm$ zP3X4e^yl&L8_G7l?a;3Hb$58Q8TLcjLKs~vm%D)W0-W*D26d$w@;O63KRT!lKlxk! z#FsrEW?vKbZuTvGpQ@4Tm9cL{W?z(qRnU<2xOpJ6Xaf`6qt+(%9Au>jJit^0e(0!1 zqRyxOK^$7rn(feDja;0jKW>#nU^J}LCRUV0r>z);L-;6tF4*dZBeS4ueY4dK zvFn9(!<%fa7ceSYqMB*1TzK803u9EsD;2{!#dxyCV5^od4Tw?HF|W?(Hs?8*Mi1ekq=7?Q7X6GX?LHbXEh?F4CST@W!T zvw2AEBgGFpR#v2~bwT9btOfua0m8x}NL%ZIhQ@&N4-(D(&Q@oebY3=uzQ=s9d_jPERnZRLL&Q8TN9 zE(7kB{~I%P`FnnTQW-t-I%&+qRIlp$UqnuLoAL9tetICDz{#7#ROS#;)P^t(B*SS3 zL`S7MFL7Mmp@eyT@k7GKd_X=Sd4F!FFtH=XMCf-}n2hty)pb@6uzsKu1csB2udtl3 zl}!4j(~+OY!_SN&dKrr&pI7gt{w1Tz9vAY!`{|sEpYD4}Z+PpQ94&~61 zf2OwCSc?F9`Va#b!N@;Da1WAZSrJ)xHQ;eEDgVr?L3vGlf(_BJdC9Y8RBd3&0J|xr zQPa;g7R`pQGkW=}*nK?i)ZZ@R}q$|hW zWA=ceOTtQ3OS%L)oYsE7woo_(vnAcGkEA7nC4~h%Wl2#^A`=t>R~YGP_LOhX)`ulM zF?hRL(o>VSQzaMH`4;A6tHp}`y)a`y+NF*22p6@c$o)36`}iIylE*Bs$E+ji-?@SB z_8a&vk74cQxHN{H8h=e&zPB30)4laqe8xX`dw`#Hp}W_3Pk2WWNgHo2>BwDh6E%6&W&Xeb|y?2QapgG6jMlIsqEy=GXxk7mXb-O{@{ z`jXP@G^pyRu`FQQPj;67H#yvJ3NzKeHmzF^4KXb& z>2wH`s*7x=rd59E-H;E?AmL6=!c> zAy(n*?t&PeFs(-vL>^A>i21}~-(p4Dbzc!p14`+EGLX+>(9TQ?lUxww0Y`~`4nnj{ ziy14@uDc+{hAIs-Ap8I(Rzccz7sNzU<*Gy@Q`aYH5Pz3!^GRTW!QVjk-Z-|{^(MJo zku(A^8tOOw!Ap-Qrlhig7m6A68}$Ivn)&V=wjk!R+?i29B*}bxP}tH4@qj59im-Ia z%Su55e?iUk&9xx?-cc1~P_Tb_1M~xoxD4|suiGExb-5Q{&+esOz|sX#w67b zKl2SA`D2lmS5xTPw>j>fLT4{R^WEQ2){u3(uZHk*#hWmL#`FZX+xH#5>@H+ zU=l>FtsN>3oujJ5Va;3(36-#T7l!1h3WRlFz=a@c%>z1`993buj;f$7C<0Xh#-Vdm zW&LN2YxbCllOv$lpsQ4Ib=e;Y7IIV((Zk>?igjPhrY?N1w6i062@m5804t$T$7k~d z0I<{}n&NImHWG$;WHUjj5b@<<`(5cQdza2EKc=%j{)>Ol&-%ybJjN}!+TfIsEJW&g z#mOo@iFdRgP+U`;<(@|GF>XMk2RCPrDI&ciNS$T7;d{7!a%ebCnlg$Nv&Tr{6U!|j z!Q44x)LCuC!&3dGBRt3I4OyeQ(~qn$c$LcSu$7u)x$5jOBLAbTatAc9416{|ihgX2 zH8IICwvNmyg0zmIV4;Eg>nnZg)cqsAIr7uN#xbZnf7Z7Ah{ zEPCVr>+)SA71j=PtG%W&j|S6{uLG%B&6kP8(vIKp3Mi(yp@gwXeQ`pY@CvJQcffli4Jz>(7(XCIyde1yL*Q&6Z5Sx>*u9`= znkFbz&q!n<@YOPBtSE-S1RDnmR$lijik|TmbqY8%(rRTWl~_^Kj0v{z9n?u3+j3>h>9)ClR`?3;>;i%I@`3U$2MZ~z~#_r=oXBJ_FI6)2Q3Kd>DyP9j%tFM z!GR#|Do~>EenOBI-35`j(V<5m4YzD_2vQBWAo@4x=5uH#QrX>#wCFAvBR0`6srZ6e zkrv$rgOg|_;Lmc7^zK}6w?}r3ehhs8jYVQbT67mg=1m!Qkc_fSSO_M}6g1^(fmj8B z5J9vWuq8=`cD|;0GDw<%N`4@jZw}%gbZNq(g9Y;Rh)u+_3J2I>WNLqRL{*4bHTw;9|caogL`m!#~Ld(@8tJ?kOmz|y@Vb%2)UEd@=3 zejf-5-F}nCn8}IK+*k^^WKu+qF?*i^F8vqj?av;MhI7CSJRWYB*xQO*Xe|1 zG;}&)WiAd(hir!v1R`xXGHHK|cP@lYXGU%gAsPu&!3m_)ju*$6_PAf_8BL8br!C)G zbrwkeyLWue$DgM#KC(p0Wa9z#$}UeNF{b6`UQY_+#R^)MSua>SRkxA#%Bd`H0ZcB&!WRj-N;@l3zNE2T2K#Q~(K24>PFA z#@1hfNW1<>lk|7W%bf{rMKTAks_O43A=m}Y=`_a@fqE+Yl62f#(lJ)z$tT7gk97Sn zFv5Koza$8g?(6YvnlTJz2N&Re+36%qjN@ONP!yVhv_GuVCB{Y^nb7_E^R$E@)1z-H z3gf@~rEgojFDgT-^}U+y9#znVZWeIDs*gx@;DU%6Nbbq-fdH2(Si=Vr*FziZmV1

CE9nBOr~bC3aSZCGvCJ?pIVMN3dW3i|Q!*>y2ySLXWjv=sFnu8ahlqWi8Cl-Pf|I``$|#-cyGo z6_&Z_;!R5zdiTEZr@#Ae{hz6)S1bCiZmqsgZ_(HnM`poM-MZiwu{~57p+1;bC9l4H z1)WEA>w>8z1HA2>Al0o4LWRIDMJ@ufJB`LUR;0RZf~clQ(gLUf8;>1=^o^S!S}l-Y z;EHGm=?+bG>w-s+XNVT%IjGYaD^lGyLB&=PJS6m1@OVQ|)U8V%ju>`D#SELk$zWBI zzOhTfaOuH!i$jOkI;$F+x+))3H%1v+w`&dC zmLL1$|N7qxxTJb5uJPQ5x&8d~$@FV>Fi&P*i-Rc`b@B2RtYJf$*y(o;<`L9?mM2}? z_@NNY4(9$;>NPuI`f+I(P{5dQXGWv#0*9l-{)E$CTbDeBICdsqc@XoK{GOFdG}a zj!>A%zydgtB%6UlkTE0ln^+f_QdR_MKCA)@H*n!tMsk=#Dl7%)8F-jwMM;4=dIre^qzH&#kv5eicvkP|>z)|Pnu<}? za&wDH=#RDwwT=I$yWbaGS)2@ypZZrl>P(7e9t(8IXbtuL1KdZ0mwYRk^mDmyWiP{} zYG%fu%ovo9j^Ps@`PiTSzrW!;s+1Le7J$y7N{>68ZVI*;B1V#hu=WDCi{6I-R4KC> zMKGJYj4EYpJh&g z>^vFcGO()v@lqhmSF{DPPuF2}j^qJHcG^I-nU$xkFYfLP^V^bI}W|+2GBFI)ZxVEc+rz4d?Z?J)Ry(7asS3 zsdj=T3uq*=tfL{h*GmfS-z#pbtwObch9Y@KI`~;#sQI;F$GEDnKz{1>4QjDV-07NQ z^?qH&K~A=H0e!YBSpVA{xZ9-E3~hp8Z}qbK2rUxhQhJMpOBTSVOBt7@C6wm&@aaD7 zt&iiIc8hw0GUxnmC+GL8e)U&=!}D)#?cv&uV_BAoe?a;3qS1elGNobF%AP8SH=V!kh0vR>Rev%lEs}2D; zSo@AaEzP;)9dN}Sx5nFp_Aa)&|6YA9Y+1=x_x>)G8)PDzV-<0@uNmY%a1pp zs_~V(9QmMutbR1aR^y+>(bawd*3!odYyad(?MK(%)>n?V&ntG$iN|REmk*wJqI#RI z5Mr}s00wjkuD$*?i7RT7c!WXCNy)`-_>hP%>XIY`lv|HRslEqQI_~Y(U!kLuGc|!* z#$(k#_Gl=Ce`{+V_VCul5niG;-JPfONjJ$2SfoXAaa{ISgr4bGQ+ZD5TqAp;)c{)r zzY;j z5e?QvOPA&(l4QO|K}B^~4Z3Pq`5vL2SbzEBYHbOlSX0{A{XEgq%e#qR34QKb*GZD) z9%HC|bt5fLvk|Mi9!8D9-wWd5VQcsN$y zJjQ>@ubsS*@mAvN{zc|Lwe?0`WE^koMSjoCS(mjC07OE6y}jaXib2^^`JP7nVWH)~e{(?iQAgp&+>w>a_K;56)5hiSwSDVa4yFOfP3LgRl%KoyQ z_Q(0=3dUw}wJ8;h4WTLNf@M4Q#}8IcXLGfIeQJ}@H@mA1!s7V-Hhi=5ayZ|8-eG;U zDSQ$ezKnH;e84Tv_n7zJUv2Sy91sF!f7y=n->$BiLB2#Tn5dW*gT`lS z7Z(Kfk0ivTO`zpJxF; zEChZ?$pvXL)9*gXM2kzB2q1NPn9ftzH7JhgmHL0WPqWbAJrcX=U;AGbbGvL?H{|s6 z+wt*v@|{~_+qi%-7wQoIdp8V|azXlEp!d3oPw;Fp!6|7|p@>b|l#CQveZvvIr~nU_ z6q&BDS7h8M_FjC}d_X=FCcB_F|RZ zd!+U7>ryE!;e3(=vTky^)syh++6xp~dUP=LAnjKyz_@LpPWM;$%<_H8iYDMM{*q_E z@Y&u3cnw6)8lDpRlEnm|8o)6LNXXk)P}relAPCeh6aq^d2ji{{!O$^FJludvQ*$7& z;1IvEVyGAhBCLTRyahymS__C3L&IRmF=7xAg!*D5cv&$_0M2o3ijWMp{l&zi06`4W zLx_qe9jOs$;j&_w0GuOi31URLa6$}T1d|ECJGc}`IV9JJP`V|P3BX*^BU+DB%c0JVa8pml@{lUpyem+E~6C ziZ;fN;>T7M4AnbxXz4^^I z!1VKf!B4&X)}Pgq*IJtP?HH9% z99rzIonxCZ0hiu<#SoNm-2}m0M{ZMqYjBF{P=}ysQ$IH5mSPB-NMe*}ciEy%U2>1y zp{Q~cl&N>i52Tm~Kl*N``93_Q=6a{2=eq+3LOJv>Ho}0lNiyG_!y2Z9dKXcp1syJV zR`065eDv76R30@an5ioJ7K0>Q&V~t5<%yE>e{VYYQJE#dEVHGoH1}L~DkbknkFCkw z4_aJg=A>_Ml0(_XCs)b-H@+GBM5$Exc75!BnOjaz`4#N7;U*4iYqRp-8}QK|D;R4+9hq4Awg^c@hIy3Vb7l>Ui*;Nxs_Gv`CzMJR6&knAXhq=m@V$ z{2S=Y4vaYMJwvM0kt6Lr!@t?vg+yull)1y?iItZEvi_d&<8?x=$9sllJoNOP@_U9I z^Lxf@aG_MZhxhD6>KD0uFxf^Z2qIZ{kty~ZFw=IqV#HH)<|FkdxzQ9mcDYi`EvExY zDmL%Wrz-C+Pgz5V3{uZX2bS3JT6a!oyNFH1cmRg6@5 zI{u!i=zM%?#C-muA>;VWOvmNuIw#M$;2mBUkYu`j-ShCV;=#dyk zQrm?Q=xdI<#r>KQZ!05XjkEO45|+1o>usfdhYP;?^7GfPy!h&ixxtRPg6sFwAF}lF zHKFxwUr#OHwCf9bS3%_q`oCR6h5vu(#p@3T`X5^X{C{wwYe07MGpT#=M1^l4j`-5` zORv6k{o;G&dgRjDCEdtOu(*;78MYO)AEK=vN%ouuAO2$J5d+I*g}|cOwecA#(?6%*vy5< zG2!N{q}PIF2H+yf(WiM<@T;XpwYxd8GGFYPLq9jrKR}Cf(I2s9o;^JwEV+0 zviJ7k6+Opxq8^;Ia~)g0NuQ?0nLF-pvEv?%3h6}`C@wk-o9#w0hA&cke#T$;gP}k*{BZ?p!O%02R@xBcUvnHeaS>@HYS2zF=Phh7r#5%> zk!%X)2!$j>i-{@@F|CJA@%Cw=*c8gaAv{48o1$%SVgn*YZAW~k7C<99Ou@F-FoeLH z)ACBVThdA=d0k6d$$oN4>|i2Jw`TG-5#gUAcFlL^1hFfU7y0&}f}pIs9AVmDdQOO- z$g%*@wkDvq^^Q+g->M@$4OQTdD^sBM*OUJz)TgRq3wtSN|KMc-4|zqo>X982H9$lW z52YerhfRCoyL=jxH8~zY{BN}SI?pG#ta^Jl^9k%FzbjomZTYc+>hJsd-QRgz=xPNb zx~?`@B2!s(Bn6gEMkFXB`^pNcE{Hdm$wZ>fH)unvf>Z`Bc>DPEJ?5hb{u0AvY#3Rb zBXxB<^by0dsa-fsMzu=_Qdhem%#EUS082MNI|Qk#n;_=X=%E3C>3<&4)h>yKH!Wx! zV60RJ#*(6|T@r4w7Ag*?DrgEdNm5t4q;=CtmTCa`6->=g5&=Oy&$D{9X+0$Lc&5ofp9m8t#3Z#~yj8AYuh zJV$6wN#~t`(%elw)t=|OQl`_EA5*5E{OULVvL6m*s#@8Vsp;s~)A2pYYLFe@lOA$* zd;z_K9-}|I2PPp=CRk>g6vv|NNbzf0P;)Gl)u zKN${RhAP)o%(i8daUWtGn9+>8JR;A+{)I5sep`_`RjI!n*BHjb~d7$%xn{ zA`qaN&fmNl|cK^;zTW>SC@TXgCGFhc=e((Am|+ z7Y|xRGomQ|X+bMVve@rG5ZG!|<3aIR=Sq?+ zIbO8Bif%-M&809ux>KUk_(2s*=QkFes2kaLjQ!k^Y*_&ne!aA0sBCi?T_t)}z_({w z>gU*4Q@~LI!%N^8h2=GH%-zsZ+v9zyQ+OjrBM=%l13m)oQR{Q#K#7KXF|b8@go-jB z-?sr@mVk}`ZPE5UiGO*@m@%i_qTbBR6g9U)QS+Dn^dG%`?&BKDU-^POI5GAh)ZEsb zqkvEXhC{oD>?=?48{1j*Osy9KUNlMZn;^z4uq%`hWba3c6=|yd&_K{zC;=)ub>~Bn zrrHJDV1_Lgw9LI84Cg2*emiu`Wx(7D4JBelN%8wR;%nGdMiPMONF?EcXXArr1Jz(v zDu&0TVn|N^C<{Z_nr2J6sQ=e}T~;d-8NjiSCbR+C?v+J5vuk`k`3P~;a|TcmKlXc} zLw*I%4Sv*Ny@iX$P|JTx6oMJPHA&1T(Q*l9U-kD}iy(S?t}rYV{UKZvTqPPYr!}Pr z;*vPH+{i5^bg37YB`S0=(t(+NtZM!q?gM@5bVRKRKq)0#Aze&v8Tqqh-9 zN5a{%DK2@Tfve1Oh8bwAbLXww&DnvlZt+Q_vz2oj7c%ilrHzovHnK%@Ryq0VdT-V+ z_CMz^&HdO<2Y$dF-Ozd^4iA00y!ygdUJ0q9QES}q`%p*vOA|YeF5gGHRH-lg){py+ z2WhOWkotL2)lodqsHn61!*<~(I#*jj3p&^P!<9irC8Hg zQT$w6agP!X{9LTK-qTemI53ISOc3xdG9e*NyCC5YoA6>qiPU^W5KJHzK#+*}wx_^Y zIG(}+4%@wrPly#W7;6s&g>yvA0C2CSN<*-Ku@EZo*mPXbf!ke;#vyi=XtJiw;pLF6 zvtEwi2ka1lL4fO3*IXESAst=f5Wn)xit`|Nn z1#fnxgm9pF@d3sqi(on}w#qc6^BYHH-HR^Q}J-l590Lx=aOA>xw>XA5NY+V z#oILhoiswYdhP$tTu8Tz-G#cPaqvg`A-0w~Y?``-uK`nWxw^IW?n>QGTYgO4{_-#S zlYjna2UC*j?VCOGwew(x^Ot?Um zZ=ec11dC@5&Lx63Ac@GO`kbgG5body2YQ3!j^M*@k**gdn$~2p!zIzUtgRQIP-iV6 z`LS3t-<<;zP!f|Ic5acJKa@#es-7(5s0K}R);-lIq|7VVy(^rT+}3qQP|{{0re z)gCDDG&L4}K}VLSQkarlGO_Tl2SYN1DJdiuRyEsi2eS$UlHrp0%TyuWGtk`D=eJ|_ z4;Fsp3I|t|?@_SuFEJ{i`;{uQKMePG2qFeW7@}Jsztp*qG8IJd) z@7c_h6wxdzH8~ocnUbDfrX+A734p+~!$WKd=G(kYY=V`lIiI9eBEhc5`a-NkKKS+z zCux~VYT(w(a>E73a9>O)G&G%4U}a6Ttz+A^ZQDDxopfxQ9UC2YY};nXwr$%d|GD=( z)vASh+`DGYF}|^oW;bC2U^M8unH#_UzIiu@#j!BKxdY?#uNWUsCxwmOL}%b{Apc1K zT%y~0JNv$E>R~@(puH-ROa(TYNQQ&s3VrRxr`;jC?ZR(sTBYej!Y1>!iErJaz#{cw zl5G^RV?+K0gtyZ}oGJ-V#)&nhDU>(@3k4${r-Ef%bqM|w2Es~bC#Z` z{Vq-R12@h;!`Z^|PZ>l4txo;`b^ScbbI}FU%-}ejh%ir=p(2>A?x8aW?kT*!laTBq^Cuyv~H-xZTE3o6T**)?+UoKiNPpDF`v0@HuaS@2O%qFV=fC_8}J z=QgQH9k(N>DsU#)YFNV*G)k2(P+#~N(xe;7bY(xNPssN>OJoXTa@HBAZROkM2AzP06#jPA=}%?j%;lu4kW(tRkCnJP1yv2 zASWH07H*OL^}}D}=XJ0fsE+S-KR9{)vcXh5S6y2NK7KLP`w3iQ;0j?oRt|R=$plt& zs0=Nm)F95Rfv$z#4EdhS5`jfSHKMJzH9l-uff=k$+rFcP!%`iyrAOa;>8qKU*$onw?2!(Y-@fj*frn{=_FRWSC6?@I~ zqTTUJR*d=8G%_rvpGMyAD_a4lyn5BPwX@iMeD424#hvfD?=fMywNK`mqyRRr=W=HW zC+7`oGzJ(6iT1wBZc%k^2U%dCE4%lq5aJYrCe3to4Q8s|0C>6$+2^2T7K!F{#PhreuF(dVMK9_$zd0aS9w!S>danHqd^Qlp?VHT{HkX^SxG3hi;gIO( zeV_PJ8$ck!g~RyU6-2BC($n=p@Iah@Vip0?w++uY?C2-*8-c>qA|h4>vuY%{k->9@ zH2sD?$-z$?X@iSD>deAsbkK$t{(Lb_Yo;WL z2~sR^4A3N92=H8Gn}?twqw9N%i*Dj>sv(IDlzeXJ8qi}i?&$_A^*>e&HgMxOWgaVs z(5j!!K@elu`8zJNbx-Fr{y#gG>mfo{Daq^9shL>bK)08BFP#|#ooi)C7rS}C@5gt7 zu8+M(%+BN2XFsANEGd$=zVVl1MBUeaLC9shg@LyRi2TlB(phcdU8sUBmfP}~Pu@NI zl0D~ov&B_LQ98bH_OUt+lE{HQ;MNftx#9MSNnp9gxWmKI`f|F5XwvtjOpUfeU5Ttz zl-$}*L|{G?FG^rZ4J4CnD5s9G+(;rpyJjq@C{TY%q|30YRrshAnVVM5*c7Bk9X2PD zKy>t3bSr}8p*KOa$^|5KJyLi3;p9hk@Rv_OO5IE1AxTWeQed6jNGF$Nj^2Y`^-j3r z;V{F^BM(d!M0|tdQs7d((LN14(0sWlcGY0Q;zOx#*NOZtEKW+aCjiPTnL7NG%eFxgr^=b>jtM#oQjh;WkKW;I5-uv2soeyd7 zzTHg~csaW_xcQ=umf6dtao;{0q;|c;=`|W`Ar&%>glyHVmH%Gvc`Nx2nT{z8+KQ%h zW0X(K`}Mp>hONzEAauAT8=A5S>tek<5%M#}8*kafCP9dte?wbmZAQ%xb9G?07)6th znU&uTLQZRRD+RwI&(peoCr2c+_}Bm5lOmVlqBE*>PgsS=DjvS29w3HL5}eE(lQM&v3*{! zZlf?D4U(Z#^Jeo;dfc5w7rmnF_4K}x#7^?l-n4Z;sru3Dxcyed^o;bxT@|On(^P^) zhnJe4;yy^D!Npf5Ol~tTZA1Vql)rmz5CN2GrG5Zk-J>+c-W zT`sF;hzjYCHd%(db*m~lgh&%!E&6G1G4pb;{kr`^@qhWPPR7fB7Ph*gzZmDd@J%&E z!wO{}+H2$Bb8Q)cU%QW1fMBRNsewU#kg53-P_WfOKHZeGl~iVtb#C-*lW_|hyhwIJ z_#e$5F!lab_7KRb#r=1n6xZ|N{*htu!YRTZ`|e`ta5@+6-49`Pj#Uj1WW^Fh?SsQ3F&a)Z5p?@lMb# zWXWe7LKm-BuVwY6~yN;jv@S3o-m;CXCsKVAn&=2csa;f|nWTip7>L??=Xr zXg&w`qxz8jxYy+p>?H*~s!wL#>d z-B)9AS<;XrAZf$}%+JW(*FCLm{9M{L5~@tEsr(zCSGX#z(6F61sJnI_<|NmI(lq&H z)1$NXgQ}-k&qaffhBu6LLfs~;4Y)V}sa+=SB10gCj8rm+1H{H?m`}e1yEiw(Bk}Ra z!{=eie`hs_IlHDUpp@^k-^aY)fBpBWm>)%f7WA)Okvx%<@VS)Z%C{YJcoJv8-M#y( zkQIC1T;S-|G=BCtn&1b{JtXk@s2kS_DMyjG&kOrAY#wqqF-$ACwS2Z(jnrX>Vab}q zjF1`eht>h!2=e4u%k*`!Nn+-_x*&nT@GG8$6P(F-a8D3oF<;>_1Wb7%ongVzt5ux3 z#@MN1+yuaAok0U;F(+&?*^e+hlDT!4r9(%uubgfZM%zJSJ*CvR9P*i>EBR+iG7QFK+T_UZ*rfJ6lSB-J2qF{y_R|+mK!{zCAuOF`S0UMw z9?B$)tR2yw7SE^|o?hY^gXapq%M_l^uCNY(xEkayNXf0C9GSoOCNU6~0V;QB5ruW% zh*k#$#Go4OP4XS{aHq1IWZ}Im%&Aq2e$p@0nsu`##Sha&x@Rab-Hc#x;)YP@pEexn znNXYL2s(wBOHXI+&Kf$g3Mv0|8rcAvrxH>68peTfC%cpQX9i|>ns6}rG!sXcaGa^; zmp$na>wCG$fK}Z4&JftIKRsz5X$EM5Fk@DiCY@%tI75_}_n4?9n>}e<;r~60!#uyC zyEV?<8QjxM!ZUW31ptuYh6@c50HIC+=Hc9*rD{fs0D#Cpx0hk-3HH%b?P#Soi)!ej zC_`1i0oXrFHCMPT7A&XhUZ1O{3filXq4sxqL_`?*F*c;iovAoot#@9kmmrXr4XopETB; zK1D?T$ZIz5zqS0Y2UC(ospuW?zh&{??bD*e<$OD}a?0>6(985pmVEY7hQ;G$el%D6G9pB+W)3yVNP%0K?z)2;MkY#HN+i z;vcWOc&+%JIrcw&&Kj`7OOe{%N@kr--yaV5AH3nBSC&ZOq>NRGYg4d-61D=Fh6u(V zRc0?udIAR$xRY~e5*r|QnXrrSNzjbYRJ!xt;z7tK$0C4YP#;#q4&ttv9{pwPyxW(t z2`xN}u*FmtLVO(g&lb@N5oh1KnIH*1UO}XpV5zQ|PL@+~VJg2ue3NrPbshV$qni$! zMu0vbRN_zQqvLyFN}*PUI9w%Ko%w17**&^Oy^D_lVO`Kl7%h)UYM7oz}&#$*6ZTYR6`_G1b`!%v! zVl9-k=-9KG$)M0_B+|H?(tGunvSEt^o3cT$JfxRo3C27;r3b?Oo7MF4ZX(IF;C7Lw z$cu)}WIWB9WI9Z70_;fj72=`muI1t(er-KYC0~K^M}eXxkX$60P_ILNm6fBQ1kt}4$0g*^$H%Gv*DlR_6=mi6Y=6Cxp6jK4EeAKVJ_mp?O7SM8fBK+oJ2 zB)8K}@4(o&w}-;dL`;~)&22MBCndaOB{UwgmY50_@3cx9wA8CYODGquJbJ6FHurnM zm;XAU26L2UE5q!J%D|BVGjn@O?6?6!rBb-C)mIS)q=jUUW<=gzNiIlkI74#LfqTV) z@WtJlOjEx25_}V0Iln-r$f%FCy-vzI147kI!yopUn?QX}UKzibpyaaswQN9}gN_dZ zeU70E-xiZGv}TH@$*7A#rAyz2FWTQ+x1YSfAFiPi(R{zX8sdscIhcezfmT;F>LOPm z-$QqPNRzgA4L!3>dUNO-%I9y@Dt3 zRvhm@8Pw*CW@B&?Wo8X=Fx_DnLl55H{9B7uOhAEVR{NiGH67 z`V(IWSgaq(mHv#jL53ekLl*_f-E+`oxk9J@588|BOCFVNI*3uluU|mjz2zJzL;-gQ zS+PFB`Lo6i*JdwSt~?eOL%9Z!eNqhFV7gAdtO z<)%M|XO}5A;;?hPqh53i6mKz)f53AhS^dz3B9;AZbtM*@c(+Kurz;W5m%k*M=7Pv~=yRBq~xY$nb zJ^}y5gDac!)i|C^9fbix$*F8`$~_ASP2QmubYUD`OtE$1 z!0UftCs;!yQuc+=1iK*?V?b40n|Y@(tveXWH2>&p3y}G_{}Od2P=qTT*508dKixZ7 z07P2JeA#s2=h3B>U1TCT_Y#GUos|if!Cp#JO|?n^#yefv6lO5i@O|AR8wpT8Q1B>C`;+y&QsyW>2FOkP!uq~v0Bo_URqsuM8 zLfPW&5P`kGr+`ku++QYdX5V1)zxm%9P;Vc2kbl7Ax}%Cy&D2^YGU2NNyE^`R+dev~ zENH+x)bInKg`*M`kA}kv5R~GKx1s4!JYUTaa5z=THCXih)!Z_5Ja3t7`JyutO z>S!^>t%OKb!Q*IK5KllUO#~V8ziV1Y5cYa((lt)O%G`8oUAOGgVNbwlxkhpAId439 zgsW!O03dPJF)g5@4HOzxdsy4;e)H^|Wv|+tt;hwZ3{Oj+`W1gKG5*LA(0y}Kpngk? zg26D6W>!G5a-7zmg}4<-J_cM{uvW9uu8&U}^xW?q{n>>@`LFsVA9+2?GjDc*#zodiyo!``R!whsPAbGqT^ z$4onByRH+#A9t^W?~l8eOvLY~=VP$O*F4AU8A{6@zD;KaSF|8Dqe;!}vLew;QXcjv zpHzhyN}VAl{=Xo)4cFf#iTsdBf8ga|`h_$5CTgQAn$m6S zjImt7dWCU=V|63U|N9QCkCyh5msgg0wwDuZpmcu*b`HG1^tucI0VCw zaIVk8z%dc70AchnR1k?QA%5A|D>0hwrwfFkP4;|4pN1oqXtdynduuYL(5T~`sg(GG zX%B0&b8?BUVlEX=oOp(0_wOQ+TElZowWXIgcskU_HH@+uzAg_ zm~lGyk`TDkn8w!Zr#Knd{~c9Zw<|7 z`zv_-Q^tADu3znF#l7CM-G1L1(2#VlZFcn->&@UZOfl@Y*o%8vf6kcoYs)1*Bo04f zXA&UtX{w?7z6#3yYZ`--#*X&>j&9kdi?quxkBaeg1%wiSO4#n%s8q|IrjhZ_4 z?P^fclqF7*)RAV(mY-rh(>?g*x#-q^>-rplQqpkXe7-@B52BqGf!7vK%GLixjD&we zC?z`Z(j+)zYGuFeWTCm51?Mn-{Gq(gW#ma?Ex5`${_OR4vh#a)`SW4B^LMxh|H*BM z{2hyShDe~@W;IWWbr8o+n%JN>u7r)Xkf##mYb5WDEHNNVdE1IR%|O#C2)FiJ+1{>2 zhD^Y|8X8A|Hyxe}sSV~RV`s};x&SqTDpz@Df?XKbTn+{dg;!PB_o0#kii)W1f!Z0tNl?s=z9P*wyHf=+J|! zo2ta<(EoV61bdaKJuw+=6%i*n|E*L4&K+){5Ed_xaodVDVsLL0N@t7p)vVA$3VCCX z)Ld&yij}=cBr*c0TA*C~?vatd%X7Y-Y7|Jh7IGi2C?4P%h#U}7dClk|!%{Zo&}w(i zW*Pa3Za1Knn>cf>YZY0%xM~a2sAN~K}kgYB9?1nDvNVtnd`FBo# zRF9(O?x+@OKgN6+F#AlZSQ4qlD@@QT2?m_@L9k!y!b+D6(A|(fg5%8dG+uES5a*ek=l%UI1s^ba+Sau427k}7>RSfZ?>sjH{S zpB;oJM0ud=gHcC@Wz@ioT}pR``jXfWMrEmcsMzr}%|%cs%Jge1kVL*+C z7t~khh-=!K;NUAmi=|$TRX6@{k~xc;}?RPGbWMMWE8g63r@y+0xFq4 z4M)-?jywl*UIE?cjO`1eZfV?4xu7?V4k}C44M!iPoy!Lwu?*gg!f+3b8uUN z2y>0$aIY)46-OIdM9XF!pmBA&Q3b=jjewl^9^$;E{;~hwPOeZ&XH7kh?<^8=sx#>w z&F?y=wbwv=S_Ukn2FlIFsksXYF!Oz9$CC`(&Pe{PAa zqZU*!egBoUbhy(-31@0H;2jsL@n_D)0~KU<=v<8SX3uqxxBc>|{`+|`=RIM$psTXZ zGRKlp)D^cm8a?0n@|R5P@UO{JUGH>fgWyGbrdPhy#GrRC#Msnoi6j{SmYdPWGOqS` z^@Zrb`j|k>yBFwlu2eRA368`Tz&oAcvr*B&n`7pigqEu%b@KAp@rqbl3>pmbB?hW- z!V?twzlHb+G45kc9FO4n>Gb^cnA3A(S-~63ue+Z2S7svZ9~QIQTJMwvqiC3Nj_Ty= zwBa96SU`?U3e}SHXpGubfmNxtX(F(!lBw7j87!VfJYcH|OC;$^y2m)3(Yz#h6ywtY z^oN-u;>4tSSxIgFP?~2W9zD_{X-GFq*>m$v2k~@K=REbc{Nsfwma9=*z5U(j^Xw7r z=|;y8>%Z?w*4SE9daHxGez2kcL}8ZoR~O1BzmS&L!Qc_r@8H;0bde@{Q>`j+O=W&M zbdt#D8ehKVo>tERQs3V4bu$o28nP~gNUaK1Vjhu6z1&$O9$FMLx|ndGS~cM}5o{ns z>pCd!L@PL5N~jHN-^K%IINmD*=kx`q*z#hO(Z(LF9kZi?lbaNCqE3Y_`#uJyjcC!c zO!WCV7&zr_qQqUGNzL-W6H)mZ#j3VvFGRk?c(;?zR8Z;*z4n>SlCKX^!Z-4f>F8oW46d%fS3603 zUukcJc4N&Ai%j=YZb=|qXh}H@CD?1nTcksWDkpx+iBa;HD3r2)JJH+6Xi1n00>#{t zYy=2(hN0-O@r9Xx>_U!QZJ_fk&x*OF(-vep_8lVdb<~)w|4G*KDlZ$Rw@{c$@X!z% z6f=$(dPbBTJg!+hS()G`9dW5@)Qbs!E`UWe+&z~iQT-qN@Wdk~!^ZD!v-erxOxEIq z|C)4A+?vTC8}9oBGJ1EF^2PniA)I*|tcp$T=ApVPJzR+WSj{ae>GjkdG4&KDLDiX} zFA+vIl!lm8-3P`{E4T8P&WiNFqW097dH%$IqD;A}`$Q)^996WRtzV%Ou z3ppV;Ox-FAc*Me^_VNr{D9^^ezWl&GZv$CJ3&gqq0;7*N_5jTrHJtSmNdc2DudVk6+toY`+Qamq-=7Oa=Q|oK0CFEGd^*ttf60}Z_1cgFC zKWn=Jy%YeelBG$tW>hNbe=-|j4STgKz(NbW{8o#+Wb%OKIA<79Al=)f-Fs?e+B~=s zAn(Aov(|^Nm}I*=x)YAG$%re3h=+1D?FYSfYzGjwXeIM=#6^fQtH6=avKuEygmj?4 zXLGlP)1tD@X1}sh6T|^wP*8jv_8l&cQVGaTGF^C;HZKt}4yxT-l#Gu6z-NBBfa^Bk z_XzUZy;(Hx*T}@2J^S8>_S~op#Da$OtaYy^q_hudftt-CA=Q&(0SUj4fqYZ6N@yp# z!JvhM3U_}oghL-&`5;uV7hKnqT(-jI+ozHy0*!8f(9J^6#dZ*xA!|xvcn0tauQ_6F zRC8e{#-~VPJ$PBH-BPrQA6LS7*=PQMn2Pb;g-s*=ltNc3b9D8BPirfOj?%v^# zwCmxbE<7An7JZHfK+d2>eYw-|JzalXCykw?%NL{k)`-oi2}zYwpp)^S5pOjGKx_nu zcS`e>4U_EwR^@L^r=J+-zY~T;e|7|Y&O3T;ar?Q-OZJA9TA1q08@2)QuzovzFKJLt zmg386t8n|RB1n*#=GML0GhEH+8oVoFYn{#?y(8%7$rm_c9348$LAs_O%=H?X@u`xr zN3>zFM3_M`9Mtn%&FT?cBT!Cxw#F6+4FA2iame~!r37tkg`_1imZuUg0}i1r!p#B2 z(J0Or`!`5e`U(K}-2kQ~>CbJ<`%yADfoF}QIwQ;T<}zOi(Y#Nl9Hn8~priD)cM{$^Lqt*ji=r1fWv2Lo{aE&LNc10Uy?v$N&vCT7tKk?u$wg^*EQ?GKd zO*X<_^_>(dF+)H-980lY28Dsk7J5O+sMe)7#-dvm>mm721c;D0NeT+&Z_!Yht&!_o z@81I9DKe%zrj!QZGQwL}vOy9;GIGi2B0z#~Ik@mB`MH3_m=+5&HSuc-^Hl*D$H)_2 zBpXV&Bn3GCkxys%HFfIwb*$QRe$ue_OO=AGKCSoYYIjX#g9yg0`trl>U)yGn?02Cy zy)fyoYD|M}4@qwvvejEu>u(!B;zV3Mj1>sP7`P)YZzqUTX$X$)@Od|yQ|_L+q7-lD zuL4?eDR&9KApOtIzip77%SV<*?s&zrnNn*RM$l(XsC6fxjKDr~|NeFiB)Z%1Rn;-} zRNS=+k4>n{NZ~L?-V#HrOu**~0v4J;f5qt#J=P>J&15Qu^Gis%26v{j-!X+U9umlA zsN*B*FJ(}10Oiu}I>Q?;FrAnMH|5ts+Ew?11w5Ihy{ zu#wDv7nHmb|L=k#sv5esU_n$RxXn;>G=CN0;aE+PO*83XTms#pj5x(NWPHghI`1zi zIcz^VytD3|zU$w!8cqx*w_@=&xU>qPD@9KqB^6>t!;T0r{;rX^0U*U1NgUJ%bl0pN zZu5sl)Cy5=mH)Uc2!f~XpEsC3rz1Uw^guw6#@!lWvk^@FN(?t--Vyc&_}K^0(`Pqi zavthG_kj=`Jp9D_%v?PZXLu_HP<^&SgWRs3ZbJj3;+^7{2tT~ePctM3AV5A;Y6Xhy40Zb<*pH6k)*9+xs8T!CbWGU;Nl ziW@1AMK9s;XkA?L2D7ME;J6hmU!0UY1ugi7;hXK1?QJy0a9p-ktjF7q`6t&E(j|d| z#%6=DqFd~(0<#VaPE-26pvOlT7UKpyt{ymEtJWzsD;+CoK z=xrD4x`Nan(O%UjW@WPah&hfCKw!Hs#S3(={4!GrO*R6P&z)JM`y=qir^f2hDvlG% z9mcs6Yx-Q4k?1&m39E38P$N=&O1%tMTjJW@0BO|MO$g&R#{Z!%TRQ|3!qtdmO&vv! zhCRJkxobpk&pS=f7v`A6+;1M^FKL{Mt_EIfZxRza1m92M1I|AeA+|?=iT_)UYxf6Z znZ%p8G*Thg=J&JO`J=rL-bC|6H``%tWCnyVW7(L&N#U~ z(Ql&esq!rivR&GVe|7&PsVD{s#nBqiP)f@#qL3$r09a@#`iNRLP-m27g`fJLyk()0 zgdYmkWp1k7DS|`lxN5uF_r}(EH%zJg7N+ZN?d@jGxod6aR*&;2j@+cRV@L_l0P-y& zle9|q)D%!Ruubf!nftE5QCznBqSSK7Pm-9M`ZF)tlLoxoQ|^&+(!Ei4$IBB4Xi}t@ z>DU8y%uS&vDOBdZRLGip%Q3xo#2<=->8X@k7p_q3s+#R0ee^ZmH-9ul%1c`v4*^Ao zIK*yvdVX|sAIYiyclJFS13-EsmhJJsj@k8{II;pIYOmk&W37XDT`VeySYGojE@(a8 z7aSSFwdhYGN+ducYH2c%3S*sxJ?d;4_8Yf8h2nXpz}^3KM!W$T-HqyVDqhl_`9Z3a zKj!YwEI4-OhhTF__7ZsudnqzN{Uh}!fcf!IbeyU31pLx()02S2QB|1!}61RGQ{B!VjceS z9*>H^z0;jr=|Xz%zVV~lYrYQq$K&(q7sXin$0F>I7m@!=qh(U+qiKBm8Q0G;;C%t$ zu)oe{5nUW;BpLursUK703!a$)8ZBltAklJmcnnkk55HzCYe!gr07Pn>`RGKX2@;L5 zZb*QwF2+MV#SDoxTrFOWI|#bTeFRh>P9im8bYkC?mW&i71?J$9dt7c<<|R%Aa<%tW zFO%^+BZ53g;+sfMNzYsgL@kkFc>q%7D8Rr+Ngok7a}#G6{uSgjhtds9<6D1(!3z6E zJN68D3F+XvgSXo<$oJdl()=$N`@#{Cu6t~dANFjLU0g0O?|r3HDui77G8UI`cbgjl z?s_}XLgf6p55zLq7ba9lcZ_@}Tp?LJnT-kx0P<2I0|u}cMe`BNMqCV)Tp3q5bO&s` z1lh>)Z)5OwG)iU8w4e7!)Gk?4vF>F}{*`eSD>qu#B!<)h zlmm8dZm&>!mVaaqmu!}^`{fgA*;0zgaeOP>!YXjGF7Wy6Ghyy$LknLKcPStmC6y}K z+>T7rc=n>evV@r63%kN-2-<{ZELP2JxJ?S08zwLS0TZimNw-ZxxHi)Nfc;?{n>#J4 zjDiUYyEd}RZP)@Wu>XiilXpP&7lVnR1MGroGzAbzVZuGg2QQ5D2&P_VqalJ$X)|r1Dhn z`#!tM?nNi;`#KFJ@wyjEq>GHGM}5s7@4t|T>M^-M9a2Ca=00mvo(RmZMk|Cs^VAzn zSbEm?g(l9I>4x%&h@wg3+SU~gJ`apEdlDb)kRn%!K<|Go1(*Bu+`tr;=Ir{AI?dM| zYng3Fd6Po}&BM$=(oQ#?u#6pFfUB_#XZ2IEchMSpOZ|?S_Fm^5Ftk|i3LdC}B$S3N zq*(JBw6iLMgw=zghgD02B|x;!C*1L*-n6Tz7VJdlUnqVX0#F>rtdPCHjFuIxBrA0TJh z!Cxh>u`uo3W3)g?pj1lR8o6BD+zMu(WR3hSXzeiz(j+T3};8`xpL`yIM$>_FH{ZI$XQw=XJ{AB<} z?WU@X!e3hC(`zo;>P_*;Na00lCOt5?IbVy+!Es96c+8XSw0DV5d4!6wnnH*XXJ(qN z=-tcO#mA(djCYC7VRyeg<-pH5IE7ZHGYA9ZOt~4|!7fI-h26K)Qain$zgj+4ay~i< zp`P`p8#H>uCJ8!UW%GK6uAJ$$fF+;IT=7m8D+5TW8^kV4=EbytO%9?CahW8_HB!Za z8B^1g0CHUizcb3$>p^05Aq}xVhtR+`5W2KnGA=7I=G=SY=pGE@z)y!?^Q925C0tbI zjMYIbapJ>&agV`6hf~vr@f19qHV)*sZ-dau2)__{op2?`H&Kbb8Kcy9OhHl^Hh@ls zp6sKz69N!wVa4VGXCi@>Z-A{7ast3T>;8>=$`%ki1I5MNf1Qd$SN=+SY zp-t)nwe^7fW7C;AHa-IRcZnwSRYmA|Z0{|A6r~;G6l~r^9S@Sf9|nOKYy$BQ9H5PL z#-+GQ`VV|o13>{n^(nEcA6!%}_&5wfmE#1NBCnpAx&)N3=lpNtc&%v8porqgYaT9* zHykYS*tj|$dpz z!|U#*K==o%wPKK=jzv;qj-r46_eM7!fn=3)RVUQHQc>rtU ze<*Jl@L&Vkur*3CxyT8{3PK9SqJQ*L#3i=e$||8ci9@6^V_lExL)tOn(O zKYuqob1-#WYUyD`8YA}!;RG%fL#hCGbsxTdl{SMo+m^K%^0DUckKi#3bb0(uf6m@Y zm&Z1?UN?jzB#zIRGqf(6l>%npgN#mIp@_5%wvel%@HQ8{>YNssUi}guEx6~OA?qim}q}inHrtQ!s@Cl&Xy^LQu zpi}Wz7+L&#Q5^;cXFOj4J}X2(t34& zjxjeOEeiUAN5_^?-&|9vKLOrC^qAmes5*zY_!nBZyNRJyGKSVh(5p(xy?9F&>dx0L z$h$Zh_!2**zW_*s2CR%#cP3Gv%234D(cj|jgP~RM>XskDSFB%uvp_RpO9^$54Pg0` z{+&>?EgCL9DXm!5JM6ua%qi2kR02i5QRIvdCPfcyLtjtc3DhKEIQfxc42`$H+I9D+>@kA{$B z_EHA?bn>P*j}a&_|~0<*^a)nXUMOZ{$ebLJ(Aw{u4MBIZw+I-SsWU8Vha1)QR(qg zm={Wfg>7E4W2Y!R?k#dK3pe8Pg%CJAV7 zMJgp}oJ#3sU_tT(LMM(~0k*YE1@&R3{A6OsMdb~zHJou%a83rnP7$n~A)x1n#aZx* z#SRB6EI2qb#3gg|6pltQ1=V4g2>BhD0(#W{dnLC%%ZGA~-luVju;&awic@^2tCX!> zR-%Yp0DJ9JJe?=pnZ!H_@;#NHw=y zAK)tryg7#vP8yXeWW%<%v#ghAyf_k^8<>tCwa&h^d3Yrpfm9I_srg}iM=D_{&T3H0 zx0q*gm4^~FbkCY+p`V?wu>F~G{kp}N5m{)%0n(rT+0SI^ZrgM}+^jDYjIg>z)T-qw zQ0qk7w)3@R&KXD^6oK2io!Ry0*9f;w_$8yZ!E%-ac#K zTiCB&#S`vYZ*^#nelIV*-ah{injiJNs4=GU%6Be_z{Gxpt86UHR<-hKJVq7gHLENx zz)b{LjD|7m6+eLsEJ+O z4-dhixbM;LuMYohHuB51Qt}aDk4^bF!BIz~jF|8q;!Z8D;^Vwvj{7x?=-ahcc*CW4 zno&H+OQZ!NFi|`*Rdn{wQO6kqJSk%^Vfk2s9}4t-ZWYi(Jqd+~dk{B55IrZ1>)nWZ z5XjWqA|4a7%!xx--r^xdnj4GOo*^p~=-X0Z;bX1k;L=ob;9(Hvx%}p>LLivF^{I4#-$D5=T{O0#U1DB_&wdzOF;Z zuX}Qr4Z8@2aXWEieunT<57T)w)h(cM19_S6fGrcx}=6^FbR>(eRHGCCLm9$X2P=ateRTF+><-MI$J#64fmB z4s{#rp%E&j4$|>E_^O~lH+b9d zx_%Rlp!7=GVR!{L&qdZSZKr=;yQ4WvY*x#^_!+Mb#&1)V7sTl1NDq;BbwFT*#(t}; zF?BPapgq&%&wGSg_fE}s$n$rP(Fl7pAx2`nSYYW9dI(wC=^$6{42ULS_jdCm2n?9Z z+Pf<8s2jADQb6nB7)Yol^D;G3ni`ywC{!~6PahGLUZ0H~FfFGtx9$}4K#up!J$Ajj zpUbCPo!LIeID-($EPgv$Vs5kkCMH^)CJt3~ac@qkO^HrF2z<_F0vYQ^n;?S}r?6aS z1`XM2KRQV%^t7o%_Ulur_V8>NxUl;@{Ggj8om%Et{iucku}Q`gT5Rr9e(nQ zL}1N36!PO{TkEp#@2~3#*jtoW=bGIPU!G2+r=tn|-ppm#)(ebD{oZ*?V(;VQ5BnT9 za6#z&R{)=_1-~OdJG+)(>xJ<;)p%zU375 zWOUiD05d-nIEZu^bOTS(bB1-sgmFb(E%_I+hCXi|h-=pF%bM?}9vq_@D;GrXO^Gb= zqNqMzNDlL0NgYx*ICNJ0Lw$CSh&F2r?1sJpJe13IvDdiCJePY_cmg7`%N#5dBsz#| zJet3|=l8j66@DLK8^LU{L0W~-kTaekHAS(BPu^_<6BrV=j@;ng!-nP+v$`h5vW!|+ z2yYaHP3Q{`RA-~s^4d3376v+bsPV4#9~gb*hn&XA@=SoZyp58goK}tz6HV5OstuGn zn`vCSCLZXoKP&WoBfje(H`^7Z9S}|Y{esyDP=O$|<8BY>EKgvjixOxJSKm0D8AvY0 z4Eq-tJIv76M-_h=uy~0;HG!{wlx)qrn}yL?$d}6k4{PTwafMtVF-bQ3MEYig%qkOv zBlmLN_Vo;4^O}x#&X1Gn&5JTP;?;Sguao{etUXWbB`{vcOaI!~S$0pIt;w=boTc)8 zZyPg#OT>!YQ>C_btPjFfSXv~uOsosxjczebn0_C|s%S6_xE%-Wj*D)#Io|zteq6H2 z`Z#;`A9DXL5F%|1pP7ZKagG@V1VHkOqV8`}wAR~vt{iAWD@EI1(p6d6X6e?dM!RSX z1lGb?f6Syo_FJ$R=$cdZFf`{T5oIq ztoe})KZoEw<^ao#YVRu#%J!D5ENLS7aru^bq_ar6v)xnJpW?E;XNt118Faq^_6AQ6 zsACtk#X??6*DtDCnEvY{`1}6Y|0@|b;0U`I(ISkKh>Rrhvc~c+i@&DrzgX&tv*8c4 zpc37HDg+?7z6+3*S|ScidN>}azCDm!_z}GTVP_>r;3w-TClt(p2FURtQ%pnHYK5I= zw1bBwnl!xt{tuk85=pDx@Weg5C|DrwOsMIj-TH$=>OiJ;rTc2LYwYBfHl^ntle+f* z(_e!ZOSiOt8jt}Uh30wNV0nd4J(!OcGPP_^`JB|`F$4>!ld3MnDaL#?D=>>YLYn+c zJPm+fb(%n%z1n5WPb&>J-9ZfB1;5vZ*vT&q&ro4^YBhd6)B>-Id5e*?QryZO_a^W~-?<(;S#lPC---^h50;Y-)P z$26+GQ}*rNQ#l<09i*3}b5v9!HPOs!x0-Fk`vL3vsPwv}BlC_b3HCP9$#RshHiWEa%%&SfUy zw?CZC?852=#CH&COzWdjxgNk29%5bDwXTNMOqs)xKXZoG?RptJT4N3;Mk@UPXcoSu z;pWd!eIYHSP9VXNN9hvZAkP)U->p~>3(eqnX6n@az`$FE`=la@T|hc08xt;mGiME} z35*qZI$Z%2ZDexTq%{#m5cmyI%+20!_Q~Qpp*74}h_34||HP^JlX3~P z^7o<$rP*#}`GvffcIx|O2WanT?W|#9?dE+20rW2zOaCIWNNgfB3I$zXaD?~n!*a*) zS3dW&zxn<+dm_I$g@Wo7lBG#yBy~g67o+Z@oezl&Zgjb+ptMyHVB|3}eLPhTiOz_g z-A_VVQjf(d-BE&jfcDI(PNYqYJtLpcqHBCVr$5#N(b09Z1&+(LTT-AHe_N@c(Gtsb z?mzu0JEI7PK2a2}{NRfxDTGqSWE1|ahSG-D3sL$;u3lK~CQK)VL-sJ4Poldh19dmm zwu3Ao!hVzZV$IKXT?TuF1Ph%5Svohnq6+TWqIU!Jhk=-A0{T2}d`OI$!!JAJ0nlLJ zA$RsnLRG$&x=|fRX8pTfjP+S4@l+wz=Ik3PI56d`okFciCMYk}cT)G>P<^^PU%RN> zZIph2x*9JLccJz9CtbKfRF~o9#<6MlpwR2V#~;DaBcc3lKa}tDuW7fig^zkstU<&@ zyX&M+Sg%h6aQ+)@!davAkxnuc5&4xuVp3>Ox$~IR$+Mom`-Y;+npVg#OGo=rQ?bZ% zyLYI@^AB9kAt-aws?h5``|9`Mvd5IltE<6Rmyxggf_KvPL57#@q|NYGC1H<*b&VKf z$!DLI@{1mkVv|5ifshZm51pky+%sL3DN^aX%w0ixMC_qP#r}G!wawmWCvC8F#ubb9 z1fRJbqXO)f_if7Q5-t7pM6Qz{9F5@f`qv(2(L|M()>T*9H2B&xyyYgK3pfLTnG$*Y zK=H`o<^`F4y2~)u6d{*h1rucd<;|i7EW%=NdR3)n8e-2z8aP|`#af(wr0Sikls zlov~3Zw`-krAq4WlDe*KOgjqStCih;xpOWwszNiz?2?(SXf0Sqt~fK2XPjNN(*?k~ z;%TOzIax8}F(zKtR#k52%#WLTO)lXjuu{y`h)dr+Z)6A z=!v`?BCGKwZbI_;rO zF|2T6CLnMy00A{u`yBMj$mqI5jv)s<*T~kE7!m>{hLr8Fbchn`JcVm}u5rHG6oa$p z8t+vK+6fz_^Y1uD#nQ&<=+Dz)SZpG~Pld|KAr{Ke_U+|^X)e?Fvr(Rnve>v`uA9z) z&8O9mP_j(}6tHA`-#2?X)o=gSAOD%pi>Ypb>jnS}OM&V3Fi&9e+8r>pjlh)(E($si z92jz9YcWZdwzdcc{7b=&Lbc#48IXtt`U_(p9P-!V-r3?eA83nhm}TJUh-U2Rr~T#1b&d(b!jh`Gtf|X&}{c*y&!N}ZYE~!16CzQ?; z=k+dIz7L5wTJ1-ECzv%y%m~<^jIa~TnxoAKV6h`S<#On(IWW7?IrWFs|9mw3s<%k%NyP#f zfidQ+uE?jv1rN(5>q(%rHa=9@zG7yrkSr~BJHgO);8^O_TOnC$a|iZI5*OEl7m}r* zctWnQYU_(Mp?cw`o&Kf&Zj>=Px1s9Q>4k?*MF zqoi^&E1Ox38vX!65)g#&x(@Xxm2LxPN6k+e`gl-;FoS^xFk0*hJBV zpeRp2Hms2Lm>qXJEAdE@84E!RJQ6qp#GodlT+XCQRF5qZ5V~#2huiTags7gZnL1sh zYh0V;&kLSXb(hG*$xwQz4wI+YyM8kkBG^ZAHt&FiT%XUk$9h5|4e*Xj72ajbk14!w zd-ccu^oO6lw|LeG%-&)PANNS3sxk>rEPIOxE)l*!J?+=KK|q?lMf_IA-HE`+D9qQ_pq8Y34X8mEFAP!o%C3qii&zM_?#2v@;C zkP6rZkpjDnh3G87j{rJ$j%WFOsDS;@(A3rlu?2;3cQFF$_=?L7n>Em1umQ7C5u8+4 zswBFhdPy^xzEZKLFfqWC)Kea$=g_$S1;&SmvCQxmj4;Q^UCwC0M2we(iBS|8(I0>C z4r$~_j+JZ&3O7iyU5f&Cq=TINcU6)N=UadQB-!w+#raDmXFC6`vQxmEbUE_#c$`d{ z2GqKwBpJW*P|qtdC||oN&lHY`XxW`gW;s>c{(g?Y6s)AmP$ne+7PRJv#&}v9{ta&wYR_x zJKI9hpmY|)H?`z@q_Zthk|okq)CMecAL(ohMT0}bTa&c?VYAz4^;w)_C>k6Zv%y4g ztOYI<4Fu1%zy(TzLqidXlMY1MpzAnv%BkUM)<*)!a4ylWr(kcA6iWaWEbFfb1-;cJ~^3yH;}@?9eC*yJml)aerqEt#9hG9lKRa%joaE$VTj zx$^yfD&PO%zq9?$UlJ|w8gG02;3(AnV{ho&1`7tPHEfb)ARH;s_`wf-7Nnz&aKW>j z;stvIcDq)8E!f=D3w_Vvq%wCH_=c!WQDcej8tHnc!?zy zT`|eCP@;ATIr4XwD?B5kXTd~Ce)x}xyK=v1q8iU(qFGJ4+5g)M{Qw3?`M*d-#3x<& zc_cov6EG(}?mzL70LJuMqb>(s>pO!VXMoX1nNj_`#iyE?QkuYkX9wZ(Mu}_q!GHLB zKjjlXecC}V>KnxMR@fPKEt??pmb2{)IX6^DX)QwtNEYD>PcvcY^<0agaa5@5dKQKZ$M3+GzpLd(4Ct(9~kNEAXo)4%E8dkR&#Dz4rV6; zwznhdF_@eKHqoDBaS%Wt&USrDqTZ{!?BXEofxF_D#KD1M3?x(P1?~6J!3W9xh7yt%6%xIVAjZ7IUi%^m-6vC= zro83zLJGnQ;n@#s(=z5ddkC|K@P>qP%vpZu_1o9p3v(?LrtIzN26+z~xrhXR%oCoP zlA1k)55NBY52+jQ3<*y^{O3RV>%TebCzT=FAq3~kZdEzR4500X2E)Fx%zz69?YXk( z6+wCkE*P}u`;|@Xjuq)4xZpNu&jDm~ho*<%fZ|8!t9KD^L7nP&8v-6^I^p@e>!Mvy(z2#y}GJ=+9Cr58*2TN6W z7er?o4=zFXSywF4+kUlY4w?bsYCVYasGB_^-0#;~fsd|qQlONxEbuPs(8&kNIM0cr zQ%shlHxRmj&s!uJM0RVI+oK(=SW}2-I>~Z>v~q|M;nBu%_|fmC#Z?vG1qhZsA8+nN zeWv?9la6)Q+#~fheGj-)bEfLcB_Ge6A?-O)kOaMkOpH9Dx#yjnD5dEg0`-9MIJF$? z3Ou4{iovLcM5WNkjfN7XxgcQE1G2z`WY5%AlV5{_1@E)R5Sr*f`@^v$yu)gDqbh*l2vptG2U^ue(o_7-Fh9 z>pG8%yv|Qhf3ulwrNRk2dq>hyd-x}UmOIBpmo49C1sM~)cKn3p8+|3K>oBc|1mMxw zVUS(X^oo6DcvTZ@>@XXoSLAIDLFzCUOcX5(PO5>+AA;0jE*KOom_DPiK?qWZxnQ*M zVx*7e$U=}h%mt&3cZrb_-Jz+&T(A+e>^RM#6QmAv!Qf{B$kaCqNKE9C(Q&(7S@dLI zIbP+G(Q%7ON43xSB5kL-E%HgCH<~^vAGpE)beGfVqbBostKDgxE|Sfr>_U3??0eZd zek-j%&A|nq#S~l&deLUW$6RkJgpbdxe1Gm&dv^V5rfw$eQg1lsdei%=7 z#7t<2Fimb?*s}56E>}o^(2L)Z!KbcRjPK&WQdgYgQbzw2yPu8k5sb}RcY<-Xy(f{4 z?{*=zFqOg1l*7!7~Vy0QaxbkQ70JQ zMQ&2vNfBsSF?kocNp*8z32K!L?*fvoNp&mD{Z%r&3($wOCe=1YB7RCirfd_s*PB%7 zJ(Qzg>J$M4w15UeBdph``}BvPJbX5gP0_&*}OWy8tZpY%1K{n8JA z!pD8wgBRbC`~bL3Ve64&X|p>}Wz?r7ispb~QU0P8=3jL{Le(5Qo%^^$inUVyR9Yf% z`Xj$V7y)Cg`a>7Zv05pU^A72Y9$_k)W3}KcFRZxP;6nyGCfyMR>(5$qnAQk9LH^-( z9EIo+i@+JiJ{k7len$WI!NXZ|+?VFS>lMB`X-7~~MgzJ8BO&WqQSpWM47@XVYnGSS zL8;4dYMju?$sThWV@_jyY|!rgwcq&h@Ba2kV`zc4r!lNt`vovtfnW;A{{il)ngsg_ zhLHBn1<`h?b07~WoK_Itq`PV+ywWiNS8w|ou4lRY2RGX zr~y$HdIS@Pn5__`eRDyyuuzy=1*Z z$Vu_&U_j%5h_vRU2cq7<7zHqmxw-~XHI{spCvM0cb0Ew&@uUY5BJO~kmL5xy=y~rw zv4Z5u*#4cnG7NMyHInL}r7kLFnF?7iwUy(1k&kb=q_7|TdHudN6f-9D{PR z*=2clF3V-NXs*0?Iz%2{{I&n!qdyyEk9sqPE{l=p1F9QX+H4e~O?SW8_GT&D#Awq6 zLuc;x=Ul(55c6Y z=p><#L0o~2wL^tqaamfME|@`vEw#i%Y)6@Qg+da#7fYilPHSeD1(&mjdqK&OqvyK= z)xU)M2d4pDV*@uR-=5uS5B@be4Vaej^qmH`DKiIKu;UvUvdLH|HH13w_DMp-xxli}H?l3eq7oUM|Zpx*I3p2X` zZ^IG^({2-<+Uy2A9XH@df8gW(%JCOAWL|GZ?*<^|B#$?2@s505Ih3r(#Q{bGHH#1g zQ*>`=vYiPxV1lh<4}@RcfX!lk&J6%=k0_Ux3v+j?<$BjUvm1c&OTsWTaTws(T}*ZZ zc8fhnP(l!)c25+N-2ik=ql1HjdlW-kC zYz_lzM{qq1C_xs|AHBE%I@l7DAU~^4+9V=CgQqs~(d{CdB_2OQv1I`Ce zBt6?VyCrDt#2oOoR+xw4sq zaqTD>ZGP^}Znf6(=Hp7Htd}d236#F(ezAlu;hGqE+MlQNtT)NSQj=~tx((7!N6+^N zWk(!=f>#!l6a77sKK8bzMh$prFq*YWUjEYDmX`)w>7~Jz*BG6CX&~e;4L_cHY4Ck{ zX}EpvrNQ^*rQvpdX>K2tmxh~Hyfj~Kt}$-Ca^d@5XJ}2Z6p){@u+n--EGX@;yIB_k z1PGKGW!VnkX}!LoX=(%pYhX)Mn{8ef1nU0WPWx|fC$qCoAo|j&IXrLCYG%E4yWZdtD8;6@z(pB4F)X7 z`9q-JA)o(dbF+a4YQNuRd9%S=nfB)^mJi77IN{CiX0y%vuWvTx`XNyFuh+l9`R4n- z+1_jljtPOfKeyxf>k3N()`YanmP+^;Ic@ZrlTrU?pDJUZ5uU>dQ(QctY;t)#+njvU-+dUD$u~ z!@Ga;pG280Y!%YYUS_LXs3S#7iP)v%5^Ok9pJ`ut_DgnSW$G5S8hBCBnL{5^;9suj zWwyTJ;eZ-f5ro6n3yPVw6%R0k0+bxkNYe}Q5%U#opf?UJMzkJUjI6DQZdh%4IqWx9 zJsTGz&y62?y9JB_t${jLCrHz;aMfaBO%P2qXhfpm;;=I>t_i9S>5>XMQ4)zTbp=9F zOsq-r+~93OMWOmd3v%NumGR9bF#`wQCM8kV(*5RAX6ru@5{bw(QgXc~twFgv>IT`G z82&?E14!=HYz(TB`Tl?&iD^toVh|?WpemVfkTn~DXLhj|UVFYnNFpjr6DawRFcXK# zex*sXtJV1gaL<$7;wyJ~{jaX(Haxu7Ti{)~-WYRH zxU2EWSM;#B!{HGwFM~Y|2gr+cRviy*~HgF{91H+o6#tG z`jiD&-nh03RV}hZBT&om9$c_I75jzD_jnBLz~_Gce|7sAVF#3w!LbA*Xh4t18`4iYzW(QpGuz`M~df%e-Uke99P#g=Nc(DNfEi0~}AG#IA12e(hZmHD(h&>ET zh!w@vaKSbB9;l3WOIU(#Me!;8(7-#OwaI(E83@X=;DTT;6=lf4Lj(UfH0^+2bsLbl zTY#vvJG9sVmqZr|I#Ovd@Gt#I7H)8sG)SM6yl0KiWiSKpJ7#_-w+ip^8QhkV8 z;Rn@WrZOLojYu@j=t+Gy;a;QIk3PVIN!u&0lPNWLV3cUkaRuyCs4Vn}xU)lz8px7$C z?SSC$>&B2Il1qb;^Llk_kM*_cb}qJhcEwhg-J;%P%``XnLv!=-`@j8dzb+yp%`JFj zWSIukhkLQr##t@xD@VwbRvb_o8`TBMhKUgq6oS+SZN*j}4r{IUF9ZdF@fDF-(W>H= z^G}DM05B%FUu_juIc)c4{X$Ui7Z*frwkB8*z16fyEw(cMfGX}_tyi(4AY`^;kccB8 z$`#9`1A4W#AEGvtqtQg8nahH$ShCcI10iGl28R{IIQ>~FLS{z?nKn^L!r#k5sl6g( zex69sS>~2R;S%HPR}Zc;`)YrmXwpHL=Eqh&WiG(!3{J87w(*qd>-unN?nHl+bfVi^ zbFE#!7-`biVq*E;CJ|}+2XB1Y*Zty8Y*j02++3fUsY4+MDDbH!f#M9hKXVv$mfSOk zQK&2dHV-9$c*`=;cCaptir#in8`J?In8PT>5K=k|#TW{8zfzmWU3|H9IMTq2F2gfmiIP()SF-=68P^AvzoO+a7$00aQ|NLnSVjXqVz z%D5e|81nZBuL5gBHrCPwTx)pCn8C9QO-jKV9qwQ;9`Wda_R1t_j?N zN$h+M4)$BCHsDPSBt>1e>pl{+Mz#*osUq-kIpP`l^+3V0h8jmloT~+#Nm44({5(;( zrckuF2{o0fi4~Is->W7xM~|NG&lcV#{4!=V$?KZeyxQYX%iR$lsY}qg9PT~vZ-B!S z(W^NZ)~RD#PvmOk(-=9G?#w8W>LuLK@V|&+=Lt{GYr@mB9r$#qX|(rv!h7jWBLm)_ zc-LR}r(cu^CZv?9FR_b)xRJ^^Dh@B=I_xq0T)?c6f;a&XwNwfUaLL9G;A4w5i^)r6 z7S)EYsI@{=8;i9Q80Z?XC;}kbioh<{k`6?z9lJHliUJ_IAf^FHX$5E9AV?~LRO^0d zWY<`7&N?%8V`jlgjm1}3wNxq4M&E!IXeSLcTASTz1Y3$&Tl*Mf1%4m|N9|*#Ah<;P zTp|-EHKn0S3F1v7MMpqBEZ7r(RqxHsQCe&Wh5`>kP+abf0<0z(Jv11g0;sx5GJvq# zc!OqE_Nc-@F4wSsG(7edo*)HSZOuK5m>?WmaG_c^f__EG^!VO@OM?aykH!*|EB(>a z^Lv9e2}eK@RsMHf@c$L=A*(*6+f<1=}P&J^;` zcJ9e08KO2EI6%bZjMGaYzc6H^xSov%xsV^g0zB#j1VEq$^4yNdos9<>2taU-7ccM6 zwE73=p%(U5=qjGPsYhwE@S3<#9OrSPoRp3RgLgv!^r!PbCM7M*N@AETY0Xq?r= z>2bd{THN@3N=|ZzX;+BEKo)e9PicP2U z6qD(99KkW}I9ljXR$7zG7x`Ub>>fXQT!O?$C>V7QseKx=oG#?`qEFCIYD-mg`q5*f z(d|rhIrV}}x8^uMH7Am2+ZLe4iqlS-P$Sq~fR{!Fcsw4!W(M7mwG$LD2i{#sN`bU^ zRC@2|Cy5KKn-kroRHuIQv)!dqYU+|_yGu(a==tu_^uXVo?=DU1Xrj9`>7$z+T6hG) zLH@=jf5rn}J3*29m+aqhh5S+XVuBMZex>u>r4r@XFTX$CT?%sCe2)+9M7o^Qk-x0wAn#gs_mueGapa@OJToev&j3+`D< z$YB%ByloRpZBf_I5^@i**p@}k&K3Od?7`mRzVJ7E=4brHcgGxSO<_5_rdya=qAnbt z|MP=ljwoakr`dcx=m=ZGd|_YlxH%GsL+G|bf2iOSA;>r11yOsziUGmlSgTQ1jB*7$ zN-LNLu@WPrXJ~kQMGQ`3V=5t>;piDY^i9wmSImJUZFD;Hq%~2eXV^%Y{3kaf_IJ#f zjHu-dgLPjw)qNi_Y8(#H*L1#CeQ}eO1AO&mdAY^SPs#;YRcRIiS$B!T143#R&Os7B?s2CF|Wy!~v zBwHMxx-u;%s?W98L;P4zz*U|a+3&YI(q4-@K=}XtzxFNv;g1J|uM71$CR7KS;1w^m zBSLjhz58p~R0jfc(kiFdvKvN)(aq2?c+oMwNdfm*aa6~UeOj^p5FFPrOAsk`haT54 z>-|Du;#e{37)+qzC>T8apiJ&p)lE?CLI8ood!VH?T&MLjYaO>e8P7 zIJUfqX-(^rM=4&fgj3BLhEeS}Zi1(YZqKR;5m4p3gVCLAa)oQR<{ZuLJQ;rY(UYV! zT-7Ve_Xo5>Y|SD>%{$tynQu@V2zp$xbgq-kcL)!UvJT)ZS>0BTo^O%Wob!s-B+RLj z`5rZ-0~}x^3rmud27@|Vb*>o=#2>nCe_H_3*$cHaxn|A&C6hKLvt!lJ?Re~g(gS(v zS_q%-yVt$$-V3GspEWU1jNXDj*R0)V&Dukjp~10hPHu5y&$Qs%+dE+e<}$SBUWPX1 z2lTo2xeV=o%FsUhTmR|z?V=1VOfN%H-2!leIZskF2G3;Bz8vP1PNGo)p*h(|5p0bT zPyvA3?=?;$-*Vra8^#nXVmhW|n=#+oYQbW~u%(Q}F_D2*7qk^;`<&r4fzc7p0m|tI zP1|8farGD!E_8O_jxb7~ICL0N{!BK#3N?7fW*36V+2Li|_$tu+47-=+XN__&^NpL!Ul}wN|rRW^3nw zk)OLoyG=@@XwXfx|3&pz7u{*NmcuhXkU2c+5DyJDH_e2&;2kxSs);d{nyeE>6u_CUMfw>kB4`r)-+K`G%3r8* z`Agca8J2ppz*1K$nwcVDrbzhM7@_xzKkui${KuwSdQnCyb`1R{T65y6Kf|Mp7~GDb zmbEue+2Ey!VKH#qv%$lV6=jTu)xn4qR#U{Gv%!N$$3TD)gY&Y5U^aMY0tKrnBL;Zy z)x%bb1ltW6e*_^?$gu_t*B!b>3~f^Ob`V;Whyg}oD|sTYIIz~YTup*UVU~S_9-J#9 zCXLHU@F>DLco}dqV3)z(GMM}~;V3c6s`AZj!`kw+J$ab8+ zl&{4msw$Qmb-*xOSi8TP;_ICSb&9Wd7S&0q@CjHaJ1i(rxI3;x zFG|f@B#sjTW6)0X#?&Ru>EiZPxMwQlZCDv|)+4nRtkQs`dp#5E`3&UKmj(&6oD`oF ziYLQ0pb>_tEt>vm(+I=T<-$_txr?O}^f#X{Enia2Qo9tEid-&5(~Bw0`&UgbrBbn+ z{yeVQ*~mTfV!rmF*WQNH2Tf;QiO|n!;`>Px|MB;I*1z!0ktWvuc<(`Lgc#3{tpu?v z!be1$D33zAL!t+z)PQS2chAr9EUgJ00T)CAjU_LTu~B1eUtvW$0wD64!yyFX2)HDOvrki{h$G;V+p}CL%t5&%wCNeH6jA5K zRs!~dQ(P&R#m|VI)kKFgpxaSJCT6}FeKp#>KcM?KqSQKAR5%CG9zyF5UO{GCR|La6 zpZs6=B4eK@nUJHA#Lw&n+@lwO40L+qk%7jqn<6^;2sxrFA=;TzGJ8EH4A7vI?1JSb z1AW=@V;Sfl{o;@Q!AFsS))q3?&DKy>Ig9&dRlfZ$xMfu_gEX|kp64tEZioN~Am0RM z@gAe7q*x{m^abD%?L|mdM1x)Xgr4+9GmOq00i(S`@R46{(v;kdx*q zcw7xGhPv4W_lu2UhETjL=LY%|D~fJzlDh*&$0A5OY?n68B}uY`r%9p$SMub@{tqaa zMK`-7erQcupg;IHIC{Q2C~i_>Q}!12zoi6eZA}2CTB?m}8%WZrc1etTV;+P*(gKs; zx@+gF&qSLq5jTb-6u9Y=5N+PMXxSe4r)6m_TYk*a{E8p@ z_}}my(S4^T%Poz&kBN#9GYaK3wXdM=v!B^$^pS$Hf};>DZl;dICJUlhCWWBD1Lluf zvXl&iYtxP)n0$ihzS|x#R=7LI90h<@1O0x4(j$z<;IB>+3x&rDdl(vHY0Q`jVgi_`mTJ(axvZ&y|+x!sH%IsZd6)r#pWIZo6z?>1dIFC++!s5d-p4QU(G#+_k{pG`#Za;T~@qzo1fR;P;W|vi(5e9t)FOa_1n)N7g07JqBe_ zf0~lRaLI6wG0UvK@)PdymL>W1#}xJ8YleHgUk!o{fumhA++!q2`dcn4!zG)0i~wfv zaNuo^YftVmS_b;_%=ZXuyL-F<&u+e=NyDO=-AWmXWubA9e{dcVZ~RuNjKtW#xHmex z$DG<#>mX(x`mjUK+C82tbe~;?uIafet@WI&xu0as_x$8<`{b{UFiqK6oxs4^c!Pr& zc?p;c#Jxe|DjttgwE|$)@qOkw#U;QJ$>w7~dX*lOmm<4wzz5)#g zk!avS~>1BUC}7+KuVn_1SS3z@Ru6gMy^=tXG|(qdm@COU@SCZsY?Z zCL!r*Kp3Y`32X%#%Ch9;YOd*=kvUZH-BX%r%md{A#=xokJwK1h#J^GEd>^pTKqb^j z`hqiR#78irMt#~A5B{^{bsE%yT{}`9=UZShRAHB@X#tAT1uJkA>Wx8qLe1poiCQd~ z()Bh1l78bK`{;Y$6+qIs3X6$7E9Ug-%bZo%9znXQFesE>*JTw3q6DT9sq4U4(5+Zh z7-mI4JwUKwSfT5(3frxhtiy%3v|Uj<6s4F|7-)?-pA{=&2hI1ziW&Xh19Fn2DCW5i zfcLDzkUun-9IeAuF?A{o4jR-e0rd?Uxw^|PH8AMT;v638Pw%>lvlJl7Hc9e?9xASh zO56VC2$1BGphVKPE1-A=%B3~)?cwMlT@!P32W#g0gZW50NpXu;s@DHZDrLo1hSf6R z{GB3P%1SbnVcPFaTsM0rCD0@Eg1Bd0&BwEFWWCzLJsL+;DaeVot@3*3+7EJ9nok!h`F+Ru|-jqMM%Ty)#6@kms&ZC7zOhY zs;`tZkdq<7!o#(xmQyDqx!FDiX}oipczLJ`>X({OHLZ&7HgCbipoS0 zk#0|gM`DPxjpk?F_1d)Zx)Kf?;Y%|oPb`u=bIrvGJ1 zhheU$#VI4;P1a!%r;LC%S%)2#8&DgG4jY0uS%;wk4^Rwo5zJNXW2vmeY|=dIeh$Qq z3KErdSc`mi3-tMSf?0<}%jJ!&!F*n$HY ztje@5ikBR?eu%IdfzsOu2Kq1m>tFFFJ}vlfG}Y-yb`*H9FdfJVX4P2JuYF}jkS=Jf zEf55x+gH|5$GM;}WpG7I!VyIiD^ka~Ac(4Mz!z9+dO_+q7ep12&#zhzYRReNT(G%_ zAh8=1vQx)3!6oz$)hh@?2N#oTRrW{p45;98SW`nJ+n3x5g9w&HtU8!$R_cj>sz6;* z5=wqhoL=gQd>k_>$*TZ5t0K~v`w8BAfi_7aFryKyD z#inuqcm|s4a%3Q@Q4SiW5c|r3nj=G-INTE=Xe)Dh>~1``(3lsdGqs66H`}*T%m|jf zihPA(Og32<&TQ{Y+tLY_J(?W{yIE(GmFmyW=E*6;PugzWh7|JL*eoFUX?RMtLe76& zNTvt+rcIFq^PJK0CCT(e-`IRGJo;d%@8JTePq!U!FvE=V*!hw;-CbHUJ<}i>Byh_| z6%={>qpAra4dO7BbIFnvKK)L%nbu5CHKyV%t;%KZEul#=J=Qk>WVIy}b%Go+R3H^$ zt??^@yGs&moF``7_UEZJ2zH(*5Tu8U#~Q$IeM>KUtih3&2>j&H0WY48gZHiUSmV3t z$C^xi<~H(UJftTdYclo49{ppj#C?7?PySe+Bkq%$jg;k-0`{EcUM}n44MB;yUUw zem~hRKUlsTC68)pX&tiKHu3ES#B5R$xdrJTNDh6n0Lv+b*3Xp>Y5kfolGfaGVQ$KE z+*TGk!B(hQQrd4l(!TxYzxby=7+gI{oaE)-y7e?>WS$*;$;ZAO`rJfI+A9}C1rTTg zVF%Fp2KSC$oqYxTgL=gUV=UcK3d)NhUmzEZv2=SO6VR!y)?r9;-UKeT}GE*aC_ zaI#uaZeMxBn@h&Dx1IQ4<>2B_xMWOw1JnltSS9IDxMWOw!-Ueo(fN?OWK4T|^6{Vz zgI8axo5!G*YAk7>;+xD#AU2Wf<^N6^O6Rwwur78F#~dS63VaDQHKMZ*HGFKyi$` z|BDGZv+}*JZ1fW4>kc&%x#_h=f3YQZh;N)26JuBWxlg1kP>Y`=8%i1Rq6@t~d_vNx%3?2gJZ7GQP3f+p? zE#|Ob0Xv~jNghzV!|WFCHVANJ#i_B*7%O270qCUcR?Kek5jj}Fg)of4+NsRHq_fMs zt-G73)WbypnK`a8;jvp%6thbL7GeP+B$q2rI4PG?+~OV5&$cFh^j zmCm<^4Rg{wa9m*mbiZc4KWHrFNE@6deyqWHmKy}ZHTyZ&#E$C~l{C)suo9fr=Mt+yy|>xhFeML1l*P)n5DOvyBr9U{RG)^*VP!O0 zV~UkJC9z@-D}$pCa9Mwj$^S$UxS&789Ms0@*kZ*TR_>OZsmgQ_ivIf;Ifs?oJsk{K z+wFQkXt^w5kSwa#6vX+dexf!+;=CBe4Y$Rlb zBw8Q)AH9T?Xk9SLE!#bE=soEbsNEjpe9~{s7ntUK3il#YJ|zbNzCn|Dt_<8BP+DSl zM)i^#05=3~Xe5p%`VrqUf#vKXJUbV`^z(!lH@gTgf8{GTiwAGqe&v_H@8DuVWCbV�W}&2KhBdkk)cxnhwP$9-qh(zyt0K+5VOtQbL; zx{m51c##&;a11_>`L(8jI->)LGFG3k_GNFwV?(gG2yI3O2vMr}J2j(Y;q;!dVs#OG zMhD1wdtaiu2*@DfBC) zfAs1iv>6>Z(fS)*=pwWd8TAVuJub{wkDPb_)mP>+U;^tpGi5z`+#m#eyiLx)9mc@g zN!*s<9XK|IV~Wc`Pb2>~hGpmP`FTtx{*4l;E7F|^|4Za6J?2pjhP!PzUOa1RHe2=5 zp0xj{m%szBuv?N$5Bd$DF_!NE8$^Oz(Z(d1oZ%Zo==koe0RD9kMtan5(1ZsdiE9G$ zQ$#7&EcIBx-R!a410U_yEcIAe5(S;&4fE3K(Q}*7&2GPA*(3`R*^tmlCPj1ugpP55 zNDf|dDHyTSzJc?iL;f%$Vem?7RuXS~Pjav6){qY`RC@UNrn$e$lY$FB(6l7Y!w3%{Rx#$LZ~aWcJUw znF+q}V&&<{Sm>$0Xy@wFx;e2Z>!%e7uXVuJkNTv7cA?&?)F)x`YeCCoJJ2%J7~zhF zo6Nzk#ZA@{rKoR`?X>^?X1&Y%qd=_G$LVa+w7)!34PHa}7Y5m;<6}B$LHi(3&tH^5 z+rOKW+n0-J`B(b=P`_1{L!A`rxzh157#3C5@q=q2Rh++U$MtL3RqW5ft_AIsqODXA zC);WN1IMqX{ZaE(u7A^Azig-dWsq&!AN6qs?c7i$=HrWsY`>qB&&6{3cr~W1CFOGKO5-$c4xSTzpp; z|1{@e@QZ!LEAM~#Bleq)$+Z(6`)Ro}&${i;>$vQ$a93VO+m%=LmcJ{k$a(zgC;h=c z8}qT$TR0sTZSJWe=PXBMau`u)bB3cb`65KENJ<9ZZlusAxgvm05uDH!K`SZEWLQjg=wH}@t>1nnj1lXPUhWVOc##Bd z+YxjkoXEEbt<($>ctv}g`Velq%n`B87wm!KJz@}BuRS~TFf3?S*5_jb=sZha=BoD9 zND?ev2^*_8ib2)eZT@LKjTrh~=(c;((vNR?H7i=s; zE!6U`(Q+I63M*1UHbI2Qh>>|{a2j-nrU7$7G_2`1AE&}Gr$O{GT zij2gesU2PLu-aPVCpaCnfF=a#%DZ5+2<#E3cZU{WuSp&;^oz$n?$j4YE?4d9dJBrKOKlCtgg%!Algh{WW^@AT>*e>pFXDKKJuew^z&O?Q z>clOgXc=>GGLDZZ{xm2MkU;ezhH-d`!u2EUOMA=9!!*9Hh2h*+POR;F76&0l;=eBh z~sEQv-W zUo86q@2OtRq@|_FX;xG|sKIQx1WDR86N@c3vXM$aHqTNCVam!ofe2@?Qr|^v+>Dt|-9|H}K~z|D~>dg-Ox_o$_B>$vjrc^hDnviD>yR z0MkG$zrG~p=y!cik&MbYulAe@4TCy`@tj7=YwS6FYo)ow@k@S{_<7kceu5sP-%oiO;s|o?D~&9AqsY^qbzPocg@cYG&iNqwY4 z%ak}V^j8mP3|#9Ir&%$EZE0zIoOM_6e9(j$vH+2(Z^DZlzb)MIE|%1 zP}iqflWPoo*vC?kf~~viF4*(26ll<^OJ43odyMBuY9Tah5#K=J*lw*-43b_c=K9G0 zx+sFX_A%4o`WZN@8N$Lu7!cYiKKaW2H@+GBM5&3A%pB@j4)sK#W%6bcLEjQnPWn5Q zp5#o>H&SR>LcQ&p!Il&-4hBJ%($U?T=z>ZPN*zn6w?jp!G)*J(GAK(dp&s%hJ{@g1 zDieTli+U54ACfLLD-1)Mp68(QV`9G6hF|%VA}q6~6fxZx?Vj9ye<=7@9aWlSipf?A4 zCmf~mfUrf5L*yL~8r+|`rg5%mY!69Iqez9SBMQ&4(v)8L>woXn4&? zZD#$7*(;BBaI9E;j;`0s+rd$XNS2s#=;Xy9a`1NW4dy3xg2gM3c5sx-^~WwXjjbIV zU_FqPdhnc7h<0$cuMg@Elcx!Q#M{BqSW;sG_+|O_L_0Y4sFyzJm#NEc;Kv!FnC6hl z2i?m{_B>IfKH3#ni0)8QMNhxa#4!*n#6TF(3NdDyvHR}^56Jfd(1NDe({U4^1w}Jx zad8oWX}^i($1st1|N3wL(Mec$i@rJpa|k+@;Fju;&Iy=C%J!D#7T?|!{ zuC>E)jXL9st!7x)ILCk}V_s8Vn3iE(P#tP%Gqd$Q{+nUlD9A%;abMz>Vci49FiEBy z2&_*xYG`eNbx|sB$g$|B*f-$qadlEeB zHPdu=;;y|UY`jEf5L$W-*@z08Vci+lwN|1r74`+c@W1_+-W`)VF|`b(!k(GZEs%t3 zX$~6z(Jmnh$G$@9g(}PiQ7Q`+$5P>yy!FT)=sxTLX*T|Y;WTrOG{T+v<3UfiQDzfE*Rmy9!3X~P8!kQpB4smF#xI41o zA_-osZ<2H5_|{P2s7kR8-u(W@doG{ySNz<4eXla=iCxN`2QN9d3}`MX<=MqIPI-2C ztC$TLd3F@MmTpq1s;yUue{1+B&ytt_wLY~Ch`l?(9Hd5@+K6BYQlm``siXeb zB}i>;YH(4Jtj}jTso=*z3#2e>#k}s_Tx3BWci3!1%*=-02Ii11qKa~ z`~RsSONG4TYO=)-CDhdAWz6;Z5c+ zYSrA~DTwh^$xF}{m9%m?nrr(9?;>{yIhJK>I0f^6vePXn#>4d6KMiF=BtEI|*&qq! zCk;*ReE_lP$%ZbwsAFKX(H2*#d8dqa*A_^leZKX)T4_>>;~fr)@N3%w#Ysu~!_1d3 zZK9K1>sP{Qke|oHq<6@#1xheZSzn{z7~5z%yxxhh2l{D+O_wd-8$jmvzW*m*`!BI+dO+n+%Y&-8pcz_m=dcIp0oi%X8^2qtPL34|G_%1h0)=LF z)|?%J1)4dqfto8~@~48vAy}Xp45QbJ*&{aT&XITD&k>xAP@4kH97tU8F%QjHh|;u8 z(mFFVb4{S6p>*gRmJg;t`|bZMs+j-_GD=0fJQs%U>E~?GBg9YSycx>kFI91)(=1oS`9h| zm6HSZ^#aYD!*B{Ta|Xnjbf?t8IY-kTz7E(R)is$OQtYIUclM#e8;Vca$KvPsX6zFs z658Ub%m2=;2`>RozRK}?I;{zph4M4n@*MdPF1tm&vpJ(J_k*_lxz`@P^gjggIndcx&>!~wH7peFh2wdU?c4-bJ$(b7>6N<;r3c=7J@XUE(q9x9du~6#Gp5;ppZi^ zup*6V69haVK+ggE$cp#JiZrG!Xr#<=*f$4jH4i}=Qx`-_0V-AKhr^!t7ej~L1rG~g z!78{knuA!8#?%GDRLIVGtOyDsEAnU;BgV8zZkEU#OAN3;@k;$6CC||$mn&m(+Je}q zmn7Qak_WWGYpo6n_y$L(tK*Vvz0|xk>h=alFSqB2&I<{XFq);mkIK)a7syDPL|cgE zwg(X3cUM$ykTN;(cXuboPVB*?Bhd`9dK8si8Vx#*$uxFp{M$%w+V<|cgxbFj0Tlmg z2+fcU!g>3o3&Z^fKSK<69&;T0>j7)uqsRNU-tB$>@8Ed&K2|gb8RfR}^EDLwT!BtU?hi@s+x(hMG_^w@*k951=t`t^zwVnr(mXQSQU8<3iyluOnW zY_&=zLzl$NP(M$W z!R4c0`65dPl|0k(T42*F+S-eZe>?Re4;ra#k=v75*Ahd#H5W6W=aBrE@Pb z_UK<^{*xoRcxMMMGR}JJMLyGL1y%u?-UexsprIsgK@m|ZKfu6HiQ7SIP!hLO#O+|e zJmdp{xV_*JplGNEo$7$!C)aVsPaDe)`YaeSd+ zh|Qh{UU}UUhP|P~k(cX<+d=zKN^K^H+d+U1qV~A{&7O4W>43_R9*Jk!juTcs^|b%- zW?Qab*x1tv%XZpdi0Wg1FtBe6hQ6g&koT_`ddGvWkC$WE5B1mbO;E!p#{uN;7!t5S zCWt)p8h%#DM*}KLy|J-8nCg}YyQ*v#=u^e~F zkn6@w9{|m@(2n!GU>$d03F{6m9+a&J442TWP`w}*#bk`18bPvU{!3QoWaew?CY6iVo(7IVH z;5cUrZIVCCp>9jq8azR9Gb?=mkX$RmkZj)H;!L&{LJ8` zR}k89PlKdU>2zrlaj`(|pSeNMcxjMtYXD-PZS)n-W*c)75j`!6>Y==CK;}Z*=qqA? zB`V5Kq$P6_5pA_BRN#n>zQML85qGO4U=j#oCN(Ol7~jXmNTg?})9wC2`F`G{c{)doCXf?BR41vK|YBFzz_$ zK^j?1p^9-C{~KmMKKXb4H@+GBM5&bhG_cp!5Wjf{ z0DA9KL}u$v7@#>fdbt6bE74CpOv8|!e$AVmEB;eH@=yNh7ru!r(P7qLOkn{JJ7#xI zSEAdb8AQ8i7SrZ?FNxOp*|uIli8t$qD7a+`qSUrCeh$Gk>+d0m#y2=TMUXy%3q~#Y zSk*XvgrVeE)i{$xO>((k=~?3X`-6**;F6dw1B1`gMD-+N4oq$3+Ey;x>5{GK`-g9%PqaJTjRJ0_z`k5`I2Qs94q5J)>&SU^~%iT>8U zJq-P|*TmQw7^eeX+aC6$mF76#%$tquBr4An$}g$KgtF_r=P1(@cRx1i)TgRVnW*+Q zstkYd(|_#`|73uX_J%S8+um$oa9}TmPY)7WIRBbbRza49(51I6aIr3-c0>@~zc}h4 z$bicQx1=(W)H7;0)T0kU-T@Z``7`OHacEF9X^U3MWidRRkkoMlp`xGvlqy&ka4_NSSYDb91V)PfikdKfX5uQ?e;g_^t|gi;mt z7SX4nV|k6k_^B1b&&u?rdsU{0pVFI-@s==EJG`crqeNdaT72%RYEO+vnlaW&Gx%IO zc}?q_h)Vnx%^2(DA?`I8>wEsh?dRTx$ydO8n14KizXEm_t*V2Oo;m+`Hc^@Lk1;`F zwKC=(Pp;Jb~&Lu0*4c!JMr$oj_G#8?VxCj=*tFyFW%9kLM=mhD3 zyQwl$&O@U{s1wYF7x_g^Zn72~0dF~UHoR#2W^*Q>P!JL;&}Rn&aF7iz1~HM`nTX(S zRc+t9-kA+Az*VEr*pN%39$K3^+?+0vtCS^4^VLgAb-PPq@HA@Had2c{Q8}G1XEH8U z<2apORQsxopkm~*4eYF5Gr0EQ&6Q8g@$hVT@89sI0;Kd7BRm#nD-Vz7iX%D_GRs)& zir>1tRLKR2?8dNcZH7()!KMGs(XZ^vph>|yf($W<{V zT5;6bS{UW+h9d=%&8g8arX$ke!6+X|gIxuSQAXAcI51XJT)V7TjPhp7CQlgUkx`D> zD7VmcyI32eWLWQPl+hrik?VGeiYtNrL$Dg<#gf!9wDX|A>aM0n!`hcf$t62X)8#y0 zqwI#;jI-DK4to*DXrekxKkx*uj)q5yd}gEkHZ{r@*nEa=?$1vI;(e6I^2@*fxBlEO zT1JGTrAWi_OHqcXs$5}aOR2`|X26^q;Yi=cN}bSp3>to_t}R+t`xPZ0>jjGoq{Af1 zxAc^pmtVc>om7Ut;@RO2#>yU!1=*YNzANb~=B?uW6In`c$QWnun!j(zXwfXXDrY zv_51K&%fPWGTMZmw(mZnR46*PE_lIv>y3NkwcD@!@|WNHzDFN^{rw*ja7o(YE~$$L z26(C7w>vy>i+a63Q?}d>Wy|jH?pG%#_+aXVrPW3T^OQiMp?er*-UDI@7XZNr`3=RJ zAI{s!=pNl@xc%rjUaYN%Fr4%pNWch#E`=+O4Ccv!#2(dqu*QO$p`<3e=oQ`Ldp1|L0Tx$PcCI z8d|2|Scq<6f{*JF&?+I8=UZ=Im100JOUM8q0umTTrm%VlQib`7M-Z2Y`%m8KzHm57 zgq8?6z_cw`2qy2SQj8U;!hA)rS5bw*!$J#`YNimR3Uk3Nm7S=OHIRw!Vxkz3bBiz@ zTLe+z*k3i>e_s(91awzZF=0Y`zhWu|ga?KmGLzhF2aY`zSLKKL8d1ZIBX}1wIC*QCT+CE$xF}RxZzhg>HmmV*F9tkXFFy#Khkh|98C7Ox3 z@A=H=-{)A9H+748t1$-;_Y*w)>L2{wue|UHZLXCn{@(J&49@+M^DUDZ$YuECbZWEn z4(xj_g2nP4!HzC=5)Hn+396R&fDC{H4?FnXb;WFXkBbfR9+L3~n$Zbn%bVtPfON7G z%$66O$3`9ko36zMW*6aaWXp?zf*9+ELxUT6aMi`~qT$%e{PsBA?%1geA7&qek~MPK zF0Cdn4xY;JA-Ow}umyG>omc(!q%wTi{ndsY-wd0MVAZR?rSv_!-3OJQl`!Be25aW~ zvqOoahC}dtG#pCjnQsuLaDe8XzY?6J-jNY5;ICDH3G2%*`BXyenJZ~`lDli_Df$gW@9CTGt&MT`%x&`AW%=3 z+ks=QaK4#S4@8WlIY_W5U-*2%g1k3awnIsU!6jf(Y(f)0Gwn~ zCTWhb#0r&>h<@g}oH z!mJ_1l3pdTi0M`8tEe&9w6GJ34Xg6`)g5GHWXxYa9-oZ7Keyx6*T&RP9h~c0*fGU6 z<^B0wr2TQe%cQzE*087^q*&_ltLmwWM1P!MQxsw;eattZ>*hHsx}T`%*ZtmK`K$izPi#?<0-GKc8O#Yrq7`b67@91PWJneh z&(Y&Fge9eNF85MWD(7-9)LMRMP7H(B&DyN>Uc)E*3WuhBb3xFygSSX(v(~h4ehaWvZ4EjvEP zNh_?@@R2hzfk55;e$vO=x3}$+Q%^(gn&Rn4;q&8T=k=2$-Y`mjd>Sa_z)YWX^6}F$ zJ8!@A&2F`3z$q2;yT05{Ot4F~7J5XzhDfK|m7Zs?4Eq>1U(iNqL z`UWXcqVbRf!wO!!A}K+DAN{am9VUa=CFVnQ=PB`lOJYr?W+Azfxf1Cez3ia|#kf7n zAQNw&{GrCb*+UIE3uW>g^YgBQ4jCG6uC#3wz|C8S2jWC&|mqABH5;!J&o(JB}2W^;yJo=%_oAN(Kx(aT@;^Cn;y8#e_PccPN@ zxLC0Vd>kZ6VJg_u7NA*!;8=lbic)dJ1xzlZKa`as_AJp~a@|m2h2}98#JsOgFvBij z2S>0?2OC^(eT2SvYhK-GN3buY9Fw%yDt2*dPOO z!SdA2j^13U#u<5h;>$mL{H=Xv(~)1b4hYWC5({UYQ8sB68Nb_ChWs|c)*8D)zgH(1 znN1X!`k-!*Z%ov~fOSK4)oxHh5}8e$<6_N;go2ZuuZKY@RaOM_cD^14qYVZ7;!vIb zsw48ip#jW6sgX}=F|1u-H1EF;#_kxY#vT>6-S1QCVZhUYFhcd8QG~=#Ug}{`SwqO1 zM`saBy*VlJK;=xUm<5_QsK&{+M?(`H9R;)feH2&HuV}Xv;t?uzkbLwt{j22DjFWV7*2_Bv{YgZwDEg+(Aqw<-T|Mn+- z!#4!HrR{SLZ&@=P`5EX#PIo)+P#7t>6Cf>AIYgheZ5h^xTFh5Oc8x=Sp+`U*tOboB zNI%yFLHo`u2Pln!9QAF{)L~7~DS)9BttTP}%$&(jUmVq{$M-a4q8#zN{PK5NQF_0Ia7yQ_N4b1pgKa#A;O zQmyW`>+>^Dp^@4>ZT~w{A-;sOJs5Hjp#{@47OBB! zV!uX8spR=Ca8YrRf>Z4_t8N;?MW|Bv#-n3TY5GKjAN;@0g!w=F-|p*lglAIK>g6)_ z7k6IjofZ!s&Q+}?tG}ezmOOgd4tpl9S{OS?;kPU}+%w=)cXjBmX4t zi*>LyI!MZ9b8tmBOd*&dDe!rtwN@~2^yLb!9)cN?GA9|>73eVfbIgzwI$O!<0aLfa zgs<)#GbDxTF>PGMG%^xv6nrg1Qd`s+V?`41;Rosj3naBg2E`=ZlaH$@kQD0oIoJ$m ziIj7Xhe_VUc9fr5>!@(P8knRt^W6!0Rh+1nJ15DE7_!D%l1SvCt(QJ&<<;VLwOPrX z_flm0``FUYx?3*JVq_rydYh+&q?O(@326@&`oDn3&=i)7bm1+ZZ!+sUaFJxXil

  • OB`o0pXPd{*nOdbP`aTCBKSZ5B@*N2t zOD{G~C4KwUc?K^HF6<4vLvZm0U-CsXPgw3$WaEy!_?eUv4nflMB>#CaJ(2k}I9xxw{NJZ?=9hsQ13;c*W_3@{KD3LWin zNc)R#);x9%Nm9fh9(+FE^N2sWDRSc_c{T342=#i4cNwZQTfFCJ@h-bXv&Fmo7F{zN z@NfK2fA`P)qp9d}zXXwz;CV8+u0mirx(whC1L@BJe}U(1S5_w*VQ2>a0?%6lt?EFv z99;(bwuVXT1aov5;M*FcPn}?nE*ti?J-|SBf;qY*%Bg)JAXy(kZ#lX=u0R$@Yr92* zb$^lt_}h|QK_o%((I313e<(C2BL~*pV$yGSnp$RLuq=3g$)6Y;$>+#D!0f>f zV;;-PZ%FX^+$ItJw%0!E7u<#mp#E6j^R!!lz?E?DB>VmWeV6nVrrGz8B(Y-5fPSj3 zRVJ!e(5rGl8x;-Q0i?Jvg{9ppf?hPrthSm~JFXUk6(i^c6D~5DFvDoEXw+SL)y#O( z1+Xm$u6d3SM6wXRLOC>D02f3>y>&9JG2E~dl-!?7qRWc8QG|Az&7dbtTmYA}pPbjt zdcJ({Npr21)yaTHOtx6mW_E9DJ^IjG+rL;G?k2nK|9Cs#PG|?ne!!Sn&)@U&n2a92 z_TTtxG!l8w1V_H91X9jniJ-1<~yi1`I7kv*TmkdPx(VX z`KPDQX7o$3QxgT}AZ71sH#6G2+ieN?vN9d9utaxydly!8V_T>cA+0)0If#=6%s^py zVcfPdQ4%YnPNYJKCBO2Ood^~0dCc_I!XCvIN(Q(`TKFRPh7ra!Shu!)Bim3|6OYGe)d;u0v z`UmL21B~8D=DPzPPNz9S3tTUmt9p-%y%yb|2A5t;`HfPuF`bW{HuRC^y08BgxYy4U zT-(e0dgh}8yFN4>+M&dGQt zUKhT$IZyZ6drRLwTKdMEqxd(TS)%41dPaR&ke2x*kYCsUQ&M6;%#S*tl2w2}=>w4M z$V#l9keDBh!g&58D}mact2&E8mRLKhkJqs)N~dZqx z8sQ@9HEET=0o%X(SwSMgB4VYAYd?@BIL_SIe9glKS&0(<0%s;4ezt4Y|T zPsLs*eLBdpB2>MmJ7Q25idsiFEU7M2TCF0>E9NyRFqy#|`t<6$P{}IC{$Or^%FD+W z4VjM5?Kpm)wC4Mo^r=*p`n$(IXH?Bb)e~x9;c2~n6zD(qZGY)c{^FpS*XtfCP?@s} zy@;CeUN2?^YUP#GwD^rWos$(P@ z8~EzE8~CuKtr8Q%8DQO-`R*V!2i_t|!XWk+ByWX1_ITdUOt~rk|JgA&#s5D$=cf4o zX9nGXxHaD*%z|Tx24lG3w4LtS%RO4IJxQMUcH+cwHcMbPQM#^^~st%sY@SA z-8!G09`)KZr0xZmo`%pilsiujaq@pCe%_^r2A;`=vI%+;vLU$iiSQUMJ$~I)n4oTx z%tX+)&&ndr?TB+b;%gsxq%Pi_7zyV=9Lzh{jHgj{OORGiriu0MBY^U4|h@&!`Eu0X#_N zA+!W05rXuLT(Aw`IpEkZeMOL-kqdGjxCE=HHL{`Qu_Dc`3!+rm$C6^kNVg)bZWCM( zyo7zmcv4H7#){$@xg@5b8*N}4MxG?851S-{c&v$h=;{E{v3fZYTDoKm^}*25{^m%& z$t6LqXhVHATjH|iClb%dC6NfWBrA%Q;cZpPe1A~mML-(oxn;Xkmb}{IP-ATvqR&#n zBo#5)&6+c1T#_QwEv{+h2-_ zd-lJ6f{Ty~ULIl&$P{HjO5R_B&J?0@J3>?-n05FmS|P82JjI=k<-})hA?`zLf|~Jq zm%-kF6I$LgbpsS|b{U?X%W&B(>XFpBb?1IscYe-q`S-v32Lp}PYGMadthN~DV8sF4 zRRjpjCNSDC{$wDt6^LFiNwGgDs;DQ+NLY8@w2<$AM8G>{fTyTp{UIi%ti1$}5 zE`v*g%LzUOmfQk>8A#G)a7nQA>zYVAZxtemgO@^P=uEgsa+-@}&&W^NU}KkxT;6Lv&$f6R{e()C`C5bVU*K;V{o{v$f;`~ z_k`#~K8@LDc<>VQq;MV+tp5CchEyb-UT#!Hi$~E=^169J7LY!JzdqNx7Z)rqNk)4+ zCzkI`Ba@6@`i{^3=YQX1jSO;(nq-`+k!dr@VXXBf_iRJgkr^2IM2$=vUXB`>9swT5 z$R}%LJP~?tH8KrM->ktVlkrzzx=a{3_8e&XWQ|Oq=_9y%#>mk)YH0dYjZC2F812^I zor;k+G<~c}h3~3gQA5-B%k{99C*Pnz(=o79On6CQDB1 z@e>2;j+*&j=+iDmI@ibuB%T6x*^UVJY>muAmc2YfjQmoIWUuxeEYiRA6Tko0yflSP z9vYGeObaTaKvfx=YyjbLJ)F>;u}Ktdl1yNFN>!j_M=@RH&>5QykPK62DoCa@!H&DZ zx6lR*oa|thamFT*qE=OpKTNTvLtmttLODFV6M8!_JDWD!;fC$miP#Cfz1b~z`w%uA z;4cj@S=wx06Vt#c$tmv`jx8R8eTCD^=jSF zukA-i1jYgdppHPU>&tWHV}yCt`7x3S*zr_hb9(66#g7qI^T&uEqh?bLXgo$3ll|n6 z(U}q0Gh+K>h^^yJLTgG4AqX0A1p7|A>J5} zj-7-28?%89FYJ+$I=qKHy(q{%#^xH|qTUyiofrIexS5w)mz9gFERKdOS+G(Ye+QK0Og1o#`RSfz;U+f*ePk?I7TTm3XOUpwgllw3JCB2 z+iLp28(;bJj$am;4}HBn^WiTWTyqXkWF)rYSj1BOm^DXgBO4Al$(m!kMcANaq|S?M z2ZN88HAnEJjZ8wxnq!B$ROBhpB(WG)_Girz>}G3lg?1N{@t0sX!|=+!FqKb<|2)BN zwq`9v{do#RhDI)%e1N)z0Z5gK$6h!r`2#XbvB9Lte$5P#q1UaoJ$2k_=5Dw11T3r}u&^E*HI!H_B*;P~`m z$wpkZ{8%>PuYTlxpZ-1lh^PToh9fnoJ7aDXD2N`BIyqD=9)iQ3yir2>H=u$DRSUt@ zP7}C?HM?Ewig5!t*3}ZDhuw;CdpUHd+A{kVJx!fps9I2EfHX>@cBHL)!BDlF&xu1y zc#pYPFfirNp=v3J%5f2F^8!`q7p}|rZ zJG%Us2K$ECi{+ToBG8I*15nZqQ@e ztw;^#g8TjPCAYA|0B1JF?uL@0i@u|ky)6ba0!h^3s{ffJ1)H^l+qhq@xinV7Bq`Xe-y9&ay5`u?CAZ)gbXb6nkoE<1gW3R_ z*37qOw_=B^{FwWN;k~-Zg9|n7BiFU602}Ta{@tTn&nb?=uC`xm3kBHTns@Ng-Nr{l z?jiis-CajNT}vdU(|x>e$GqKJt&M19KT~`kTADj1$I~Nu|4Z0BJ?1y|gCo>AfUdH8 zdc_5`J9UEsE2ewVSB{{lf6gT>?UL4=jN83lfeEC(V-g`ZN#zvb(Z!!AZ=tV<$ULedOo+;&#EW?%7&k}kU0uUFa^ z4k9ya7)+8$8QmNXB+urW7$#Kp;AJlwQVV&e4mJloob5%!mm~QNd3=$BXuKBjqVZqt zp~t>fde&YE{!@PB>%&hm|1Z9YPj*<(*ymOKMZ@8TFB<AJI-Ga`qZ2;2=qJT<8O91n>-z9v%cAs`~?K+{@hL{e7M=<5O=e^*_7+wbk{H2 zX@8t=rY_&?Z#E^w-_ZK!3+8s(pXu1x)W^$1adtJiMRS3FT^qG-Pw zw?6dx?Q8Fq(~?VLE1q1^$##ncSU_aM)9xBOjUt?AAG`swR0jmjP^TJ_k-N?211!O9B?qxM|vg0~Q$$^k3_ zEcgd}AudS>QX%?^Kz|8)vnhc=5`C8t6ieYP1j{4&yCI0`40RqtP*kD`o*nW*u81}{b(>;EF%o_;=SFggHuQ5m(h9*G!eKLaHCB|^s2v-HO5!kXH-!D`WeXpJ zOP-m@1-F_Gqf3IWl_MB=^y8q@p|lVna8))46tE0A+w<)q_M~fqUtQhmbVd38pv6E3OD)0xPM=9~YVbY@yV@h}Z< z(f`lhy8zvmrsYB72nq~=T!u;@V>loYsCI{aS^E~EGeb`l%SPmML%2-(qnOQW&p-od6j>3& zhLM%3YB+Q-%8Dqb3q8|ui~Lk0NKI1&VUNXl4zZon!EiyiG)|ztMY^^=H64s1xLgy+ zKo}`UBiDlBV7TOd*@1fx&cLxFIeQ}+m3n+{)aT(?am^jU5!Lq=2gBC{0Ri)2kVICl z{msR}aLEJiisr+H&Yo`%x-yM^VU3Dmdv-b)zUKDWDUIu);~mY{IqG0AZ<3sX42vCT z`bd%`3+oA^`^XVp=4f~Z$Q%w&CJh4tT-g5>_aauef0{PrO@-@98NzmY6euRjUpR~M z_v~Sy$?-ZpjK?*>j426xf?4~&BGG|8>!G_V)0~6evlFqr^H7|KX}5@0_+i{4p7-_4 zjr3J-efBM1*~0x=CnCnRjABrHTnlN@E0dBtVv+ytY+MTpZ}@P_^a($NUw(K+ar)j;;sNmz>GpTsHk1qF8J_a>mUe#sNg!mKxXu3AsCJ< ze4Wx(v^@4EKSi7Y9~of*Jae3SePlAMI(67Oo|5k3I#)nm{PTL&EjidIP&VnwS+ z#x;hmqdz7UTMQ#uV@OkT>WDMIf(cSFNq>Te%z(79Vu~{$iJ(9-s+c)NZqw@9q&S1I z!OG??A^qEvj5q^dydzC25};sRZ>By?N*AhCi&~^^H}L??qV5=L77}ymR@DFMp>u~P zh2@P;zM}t)Jwu-;bqcR}9__(UqC&w5;4+zM*-mDfZ*kh>yIRaN3Xtg~M*;Goj`lcL z9B+>m)%4xWVC&3^dOfVD7oYHFp7}r|3l)yyM!cYACbOcRB0H7W-%&tHQcmnspX`*1 z!A}jCH2nvpEC$F%lruFBpH#SGicEKZ|5f4G6^u#(!Yjll2%8(}H z=s1gp%%CW{iD`}%#fbZoMutO4Ogd~OS#fm9la#C#r0Fy#YX+Pl?Q(*XwZ1)5kRh7ppt;nOR9WGzg!A8v=$RxEU z0(Fo_$+9?s{kK=DxyjLqckyeX@RL+0y)1ujskX~rU^D8?393#ssjW7m>dqO{hnO*) zc8l7|);ZX^o?z>X|H=RIwQmoLu92@>bln1uVz)utDbkjJcVZ%BT~LdRDKut*cOre) z;wU1Sf)$sYPD8-psFU!{EwY+ws5_YK=G2if1(X4_N(hahj#o$avsPuq$e5y04Nii> zA+?>g5{!%~5SE&Q#fqB|c&Da+A&6^if1Z>vC0KPuum>c|EGqkrX{R&16D-`!6cY&A z^`I*iyc5&s$#z0gfg1u?(k2g?as9VmB-EO76>~VHZM`o8JB?RKcHQ4yK4P+6x$z^y z54otp6K9Ja9>pzK^mAeJ#fy6a|6mg+TQ8^t>>~ysI+o{j1L7mrg<*OsE`AW-ayHY` zJt=gcDiqeccwc(q;yuqk^RBOmR$h5@7NB~SHV+EpoHzvtMZ@C? zy@XY4*9?~|Oz((A)lAzOFhexcz9Kj**x>+l7yh<_$y-NA!d^@YZa8f*hm}t~Sq0uZ z@J@)e0!mOZe{pJ>X+QOD$p&(M88nKtr>+BU42_f(!CJ4@H&(0yZ*-0-fD&lW_S9mg z{oJ4kVwx3Z8ci75QxZ#7fj8V25`)1^W1eUGz3admbIWy2^mx?Y=Nx!z&eHXwn`_?& zF#jBQUt?kO5e~d3#PyQGwAXQ_HKHc{uAh13>C71z4 z?~RS=E%SWWE3k;y3NmZb!yf;WQ;&`5RVGFTWjj(QrA%Hu3WI!S5=so^I42^)*Z!WUZqyV~5jZ+eIww2_S^`pib z0sheKwSc*Mna3uW&|swH6C#_CuHx}b3OcZFN`x{+CN753sh;CH(f>j>!lTbjFv=F2 za)dI4Dkmk|>A0X@JP8;Vgmfe&0d=hK*UW;>!A3qXyDY9Z1Kt5wpM^hHPK6Q?>CY4R zZ$YQ0q-64k1Oozq$=rI5y&NOj>YRm~?mJ(5llin;bPjK(-=aC;%`bn!8-DWt8xL<# zveNJde3O-hXu_LfYY#|8G=k}MX15o~CMCQ90HZdcwNaKh2>t*lTC_(Y3|4thb6bK?fW7E(!UFQ)i=G8p&{l{4$kbWt5Sc1)nL*HvlT^ z<*FsCx+0RV8nl##@HlxD-hc&&Q;KQ6cf9~mG_D=^7<~&h^qYOp6B1?YlEy@eH4D%t zoju$4LA4md8)82A1bbfZYeg;ih3X635m9EVpDNcy` z6dUy|?q|q}`XPnlFlSr!pn>MJQyz-0XBk&I7xoXm{`hij*0fVL2XzIKuhMHh6E5RaKt+f49Dt`*4dZzBuHoLN0xBP)3FcqS2TYRsL#*s%Gb)9`tuZDg`Uq<#)8-*KGz?)`QYsDe)HeG z`?k^G46t;u0|?T30L4H$zdDxHsCa{qi-qBjyrjW9pDe4^- zX49^i?ZBbik~s*+ABxJIL7wZqTNZrQvpnOK`EBHVJ7)&51ebtbES6v*nYsKU-D z`rAX%PrF6ukm_bas?T}$d;i+!Mo1MfgR0x=)NJ_xcCc{`V-;vhQ7`H>aM6_N`fz1d z8{o|uTMUehrzv&8ma2t_OavNa5NaMMEZxp&gHGNI%D&#CK{QS-Lk&wzI z_n2KQ-Ue_w%@F!gLtad)#sO0}wzAa?%PF69ks%a(BbcP8qCa%)q3P-BTsRNAZ5hHO z&O2_}&vUlsF>wgoH3chtZA<%{OwvNTX(0li5IzWI)1K;^icY32-1WG!qRHV*NbOL9UdZH7!!ph;1Q{00n%3f=8 z!pfmz8C5z{lzWWB$=_#GQL6e$)44>mH0sj=oQoRot{N$)Zj$;NqB;(x6`%C{X9WD~ z2mycYF#d4mG`^Y{JLvS7Bc`#$V0z?Y5v$tE9Dk2H!!P7+A*+E7)o z`*IDiH}isE25SYAB7j}CX{LKLaJLLuId{{xXv+WU+wqK@1$&`G@}XL2(VTX7hhJ8CinyE6BtlTqTtN-7zir#OQtOGtoG$`U>h7iMav8tg|pV=AXtm#!UCdA4{D)8hSsfA{@A{6{0m zRnix?c+TkrB;Z>5wlD*jT}iMewhMV%T09rrqOc`E{w-!dYg`zDw0K1j{nsW)3d?#$ zT09rr?>P&Hl|qdA*4%QeNQ>u!+ufERLwUWFhUqr;c&HPYgh6_1$Q zqbmY~*jyunTo*(MTN@p=C}*le5T{nXX6FVvlMQgB(IUJtf9+8tswVT&%yO}6+0EUOqWUFyN>Z@N6D=EbTPXv~(ad>Q51!hANLC~?}Fo&hzF0-(m8>$z38a|C&N z5#+R6)K)IfN#pBD8vnJQ`%_>13o!^!Z^o7FDS$VKFafARC`oK;Wq7kaH6*DF(CrCk zdy2+tHEJMbW||cM(XdsOJw-z`B1mvcW0p;Asx*SM!+<+kVip9G#^_kqbZo4c?J3$Z zbcW3qtk#+;55a6tPkIrxJw4?{NYdD^k_`t^dge^t_Lr3EuS*`*kQK9!M)B4)(XOy5 zo`m!$_?qaXxZIUce1xre+Lx$q(B-}aQtR2{IdrIK%QwO#o!)n^ZqXKuq3ZGwnVg@u zdH#ud7*k+EDw$(``U2`%^lIU)Q=wwsWNBNYq%kTt?6%0h`$O=d#$#zV8wbYI0R9&# zTm+e!q)q4J}Sy=NBCi=Vx^&5&~!f$q4~$LUlz0=7zgno!rG% z*$Y;C@i%5pQ?{_-y(1DU!QKlHh$fAcZ^Kk6D^wFzWtPKV~$;3^Ya3*D**r9Fi41li|)l zD!U@6Y4zd8z^V`DR9_$ssjqk)ZGmE8XjjSyHC)O}pr7kP@_68WTFXHU&M zJT4Z{WHIwNOtF&H%t?xstY&sAa7zihOBr@&cL({_tY$(LZox5iqTSEHuhej)d8zvX zC8R2vs}qJ5dYYI=-b#j^Cq*WrF9r*w=SSMJ>NTv|zPFnV!&sahb9<0-O_Gzt3e{AB zunF}wFy#9R%CXU%Y55_gs3-6cB7`q?)7V|&Y!*0p{cr$Tb7r-g*UhbwcZnk;yiS!w zA&j2iZ*WERc+Py@UgmS!Eox27IrDivna_{?H{SMvUwYG6H1P1u^qDg4s+4K>Fce1& z-u-(QfvKdl&tP1t)kYG-}p+>568DgtpY20bbtq zd?gmfU2@Bux3UsB@3`%PN0;er7UnB~6Jm*hiIuMe$rRo$ETs)T3N%5r0duCaS%Bwt zulO=BwDztud7g`HcTfcQ0l{<2AF)cV=K`5ad}VrnOv1F+$y8qX?z@$aqv9|wt<1-F z7p@YQJgnAi;)S2M1Q4!SQxbqKS!j%oXeq0&bDBqaQ6B1x@=)X7>`}(Ql~4Xq7pdlkKS%j+a&DTnrkpBn32#7{GmG#Bx#4Qq z5^~j51U!-()`V`#Egu#ej0*_D9!UqM3zqFjCU1n`Cyw7N7eW97(iA>*cOHj8^@U|S zj&BA;Zn}lAfO@KYvs>^XPM5>?HeU{|H|N$jo5iNet#A0M)d%Ecc!%rF#e~g%(P4j_ z5CYZlvK`motrs1JA(Y(y=D6rcfK$Fd1nT3tosP%#?$YtyYSC4MOC6P8x_;S?TXZo1<}UVj+m!k5Qiy%$F1Cs=GXLCkVQ$KETvqO?6IGdvcfaub z^MVz;c^;hQ~*2^&5iAr7^OxnZ@UaRSOl3IlO)>VU>#*4$hX!754!`) z^T@V!=%H^`6!%Cj1hv5(*an5@V~_s0Mo@Hy3j)Ot?}#fd$x~ciqc}$mK=2pnTXT0p2s-u z0O3%o%LPng+1`;wIFSV3j)2a0I0*N!(5SCkUGf)cOCSbthhldRwGE8;er6f+hj7} z$~2<3UARVSBo{ocmKbryFd0F3yCQ8y5nS$+bOd8ZmKx2+ihO2V5KjZa_=qns`%8o5 z5EPr?lHhrQQK96Du>AUKrf=X)}DyJs|8R+0h18Hz&1JgG2Y& z=@+7*u79FYU94KI#k%XiUOqfj_qR~8&~>2$8Jny0_T|FHFaN+~lYMx(QIoFc`L|hA zHuL_=WOV6z?wC8R?O)Wz&rQ|yFmDQ_jM5KZ*@!FQQ~(h-U!B^sUo_dBY3lUS@J6CE zjm{7qA+oRtlLvUL6p>P`=ZC|S5;A^xGo_>eaPStjCh1)Ixt`L`_kRB$e(lv!7D+&h zm97M4z2yvHu%WLR{GB~1I1rER5b9~LVY^^k!>r=~5RVCS^R7E!z82$x5Dc>p6|e>L zoJM%xZ5rl7ojc~IM$q82i+c+$W5qD*^vRfV=Zb6iTFt4$tbiD5a~+l?@^{UMWC=P$ZsyBV$$fD#SH;_FnDXIn-4LlUf* z8Z;)!e0MP7Kxd*ukFw@bUuV8OXkB0^NW!)CuKwzy4gl?^+R6yVlKCEQ93L>ivYuz< zZ9}Js>X|$ov#ke$kx%gj!iS*r>FGT(LOk-dsKlHe#?zwBVHj_BB)H7Si3=u$KOsdW z!K^9Y3hiEHgyH#A1p-tks*Jkuqof40Y)8~3`Gd5{cQ-i#QogvVBQU$0d}>@}Z`_EV z(Hb$vRX^}O|H;q%H<61|ShVNj3?z*R1ob!S)osUu9N%2qWpR}Yf;I^W84B*UEJ0R+ ze8XK3t+^c2$Q7yEYe6bt7mThW3?b9tCQeN!%>_*lq3cK>WA%!>i7p7XTb<`f0xnf9 zu_6_)3+~r@hIG(EV0LP+kqWp7f@)WicDv=#a!0YEC}5X_;zMl|(GnV?dW}p~*U=X0 z6lQ{w8&iR4O{uAPXE4-1&Q3{BLMBNnU_UzsVp@+5inZ-+th$ac1AtV=Xo((@%=f3v zk;VvXv|HCdyS_`_pLAG*=8Qil?3$XCbe+{5Qr2jk9c<8=T}+bs7GaJilf=AE80hxw z`5xH=$FuK^ygAmCOpE_Y_#&FC-K{z!Sar!!vQ?;}<|-m|`pDRowj~Kx;Wk^6Q09d$OIB&m{6(@# z5P+Qst0dcDMo>kD6-&n-=arrDgW+@~Je4YPSS4&im8O=a^wy(?DeYxTQ?)t4!mx1V zI%LGcP&8-mrsN$ZF=%ht$nrx5?A!jtCwxzCWiq_^TVVJHQgt zfGKLdUNhez7)5qKLlWU-FM7(i=rpf?b&n#zM5}G}jV7BV)%D9%l(IrqK`J#xDllegTkP2y{LW^7!SsT&9Y|#sY~{V?{l$7?|585Q?6^+M2i8cKGd|` z#7`^wc5bQ4mLD-0SGGvgmLIZ6AMp)e{YO4Gh#VAn=%p%yA#$j)q86ZkdOeG@NJX&B z4m(O?J#LfjvYeI+?u0_0Rq^GL+n$>0&IQ2;0k{B+0$weu`gDz>N~~xe!4l^!t+I;_QVnr$sL0+p zsxDb^83AXR@;bx{XNDqf&)_I(^S_uZ#IM1tKd50RjDxfKr)Ft-Cp zjpnAQi7SBJvY8$tVsrCD#O<4Yh@N%J*0*$^_W&PoD1IHboh%&^gjFEJ{2P3EUtx3^nIOj?Hj#7)s!kV>t~B z^Vgx%mVOT4e*rL#17P`K?$QAxfZ-lO7z7nnK2#8~`Gk^ZE1{wyisSqY|Eli~f%<~E z9rq6aVW^CB{FVUZeEqZp`TX3DF--i{!2C$CO-!Qah33N)@F%P~v)89()-Z~J{w zCevuygIrHs!S*WD4!npx*1?n!cBUvSCH3h5a$^#EtC0*nBzmc&YUVzhoa|0 zFlPaG8=yZV;6YzYo7A)Y!>iRYIY|;)$RSl^5j=t=o+w)$ zp%}x#2gL$JL!d_&VF-qr0ag7EmwC8o{;#=!v~UeSbY<;I+W!K6c#bk2D9TXNQO`C=PE$b98(uhUH_?`g{qDesT|cm9Q6`k~+h(}h~)jh<=Xpqa@4D!YA` zvwA>x2$d6~td%uaha$<^0e#5OuoOglajQpa<-j%*jBUmeM^7Bw|;LBv?eE{%5t`R*sByvp(%u+)3BOpjZtIZWvooYCP zR+XN9(|A-OqAiM=nmMSl9OrqsAyt%BRXJlLI7LQP;;>2^@ITKq;`0(eN{t)-C*RNr z&*6=uCh$5^Vek6WZ+Y%-hYHh18V}J+-`pPAd@7s+!d8s}qjQZvkPD&^3Ks~v4qC#h zry)oc=7L+~Y9&NJte5PSU@BI7c;L}eg}Gqy*bpu^4Q`5LErL7fSJ4hg-nJ{!|15%t z;5A=dFkEqJsxTMC@NfjjqCJstXs(eetOx?1Bwi)_I~&CwD{5BT8v8(sq4Us@PB4z? zBq>>KlY~B|vV$j&(9Uwz_5)4#>x!CD^=DO5V|7qHQu8V5zvXD#{^ce+`lcwo(36x- z1qXND{4cx=1fY0QBl9agB{_iRgP0@k*6V}HtWt%NORW#6a)V97AVd6$+hK&=u$QWa zn=~s`jq9y=kCCdbQnkG*uw-~@6&DEv3BZ%a6O7Y*jc3pGO*w7TmLGE3zT@})M~m-> zh+7Le?zCB12M|71o%s5Vk+_~Jh>FJB?f{%e@VDP^Mcf#8F&ZXDNl7$b5k&4#{l$Le zlunypV`K$3OW@AwImL>i@oYszusk(l!~yu(Ao(rO3K{OuF zKXfeXN?NMpJ%-tF#Kbd_-CO%yEGZGUe;rs1c0o$aOEgdQbt-nnlXcr%$0L>hWrbTf zm-+T=_j~hKkAfwt&z|qk9z7aj7Pd%Vm|4)D<<6*PRz2KzLsiSJtVJ(@{dLF2c1%o; zwhC1j@qpX5M$!JEa^q9Ga_kxUG_I;!%`2KTt7<--N%Qtp<%f4wua38`9ADcTcw?$s z-;R;xhg9|Z|Nd)V^An+}HOuPGspVWV${*mqrrJa2)CKpeJ#isK`9mQ#g46{?uv>GC zB?U!iLA%*5b530lngBp=3Jz4H>iW@A+pM5mjEy!{tm;RnN>_Xt{M4u}A~6V^4q&*^ zhs26h)h-BFINV`aGC=r^6(j_!`Vm^8!3KgQx9BtPq0+1Rkv3LPa$}>b)0$QN=(x4! zspS&A7&H_~GT$AH3)iy)&(Ukv(4s&o#@UYvoR8sq(wbD&wh4R3$>Z{kHgl_2q9&aO zu+13g&hV#odm`{+c2ZPVf7q}YbfgaYIRoT97md>Sk ze+x$R?n9_~edTZc($9Y&NHO$nZPj|TTETB_wNa%w(tszn-N17Tb&%CMQVmq=fCYeT zu{9J~ZA7cpa%Gt1STU>h(rN{EjGo5KY8_*dwbcrflr7y6D^_Y9Pk=g?&|TV=8iHA^ zLyTEvs9@wx74aW}wOTLjQyo-ntAZ=FUfQR6lB1q2eLe93%ZFA+a)EeyR-H$ znW;yX(|>Q7CFhorF7r-#P5$4IO#B=BC9)tX3N>oQW;2huGpv-}Wn?_dOADMg1`l56Ci2CbrnY-Z4HJ zCANT^L|mi97W*}mL~Qv2#6^smUgHv>SyVKUGyqyq$^o1xsm4A8X_{?IxEdttfuId$ z4ndmcBDf(<1y8;1%pnOunr0USwIN~VD1vPEK)NvI3Bd$M;Soo}A7bgABu#T!Q~3y` zHOoAWv}V3JsMIL`7AP6Os z+O^q6%=8?mOHmKT*HMUpBdpQ)ra7wPnz+eYGC9FWbb{cPYl7W^FvnUl-yUGfNtlFh zg#IP90e<#TEv#y9Mu{SYX*r;aa4(mWlkmf0B%Bk|omq(H^#?0+N(m9QaPD|O!Yk3k zG{2N=&+biW-)YMaY2R=A=f3C*|4}5ev?lG^*DBQFU5REphy%&j)^-_q+XXQKPkU>T z`Z1cb5ajFafw7XnCA8KD01YBGpLnx$k0891ri1u|!n{JAl{DG}hjbsMT1SdB-fvrSa zPOhco0<&>W?xC|&`})}dC|N;I1ID=}aZz*je0$Kj3KS6ZG-!SrKJv-hH|fa)QdIBQ z$`0N)SYZJi%L*lRJ@f=wv2Q>FS_H?n-IeVM-b%D?=rue~h= z5Q3Cyv8V_5krF@vJY?e`0zxS+ssgNV*ExX(D&`1pADW}WFp7ujg5XV)_x!My{_jdq z0tge_t(SoD)9D?U%Pj$f2|^8^d51plz+7$#AWSe&o@JOrd>IKKiXigW0tW?ocKc-{ zfG|Pwh)Mzlokm1LRbNH}2wzgMsHCAl8KIJ#q_xzoZIaW}LAoRSa@`(-)+ZG;)X3iG zyU9u~D@A@DS||RE5{Zequf7m2)i1O(v}c9VDx}tH$Ae!ncSw>TYaq!3#yj6OzzZ{S zJ|Q2Ie7t1+%JDrW=(!E>xZX;Gg0pN69AC40<#Gg%Omn(@dfiEP!j&3`u87e*JK?wJ zgsYmFX$H%d!>tX~yRksk=s-RX^IzR~w4JR4F zkzfNg0V^S+jNl&%m5|d_i;NXMQME97wsITMdn_${0KfIBN)=WZDOGD!LDO`vwo~07 zkml%`yRB8O?R1FGG_P%U5^k6hziyGS?bUX2t;!IGtO6Y@x0%)yv*lHw!%96e(0Di8 zJ)^FPMmg8O-W{$?uVm9Y73$%jrNlnu(NTImh`Q`UJ8%kY4tmtCe-yHbdelCS3TI{L zlQ}RRf3<%B9h0CTA|l|{M(nwCyu|@%-HG}3(k3(O;lQ8;2Of3+mvzvzKE@z7OMA(6 zR&7p*r&vPwO6iCpy>hkPUcr&&hr+dwdE@{5F@GfzJ-Se9WAf++i^Afir>|^VbhW9Q zWb^}oIC7KhcdYr$z%j}E4S~yGd}su-4nwmW^`ca=d-Z$qvQ6D=!O>%aKK&-HF>A0R zD$N3VLM0g&TVG?Pz}66D0EpoSy%7<)+KzAFz~zC-3-aidiv$6XWTSw>u7S&=I+0pI zv_SiJkC#xNP4mA^> zu1ls~F{v~m6N zY@}T;UA*VnuZZJbdI8(dyz47M0{d3WJ1XW&3`p@}SlRipUs!+F=Y*M6cc2Xw0+_q@ zaWCP9=TIT=w%wj1uo=%?5-K>1cA#rAhYFEpLRzYZh-xE+tq)fH5`6T#CUdAzAg{=Z z^un1cR49noeFt`q`pZ6fsj~u?$Vg-cr=U9RG!Ofi;F|_l`)Akf!qW^MFcQvH!Y)o&@m`=MzZO-Ui+qs_F z&Rf3rU;N;NyxWNaOE#uq`UVOtj9J~PB-1CsTV-{#G2Nc(AgS_hry5AIF+Eu|$;LD+ zU$50eNALKT0Y%}7nn}*Pov4|N8q*R*Rv$EKz23Z=uDR`(5QYj5je(ZUDS>SqN9h!_ zo^9z}6apSWoWwLm{SfkQ|MD09_8x1hs67UDC>DvyFuSx7C$_5viDHSz94uMe49}YRs(1iWGEZ7lPDbE(kgiowo&=6lfH>?;%JX z=7PYCS$Fe>tgu;dx*k!t`&K}rdMXqpT{EbP87^14jQ?GyB5cGsl=(iot#|g(Q#R$9*Ir$C zD&&37ycW3L6WK$qDAty=4+x5=KEjs(?nhU$`gxAOY;Ag(&`^IYH zk?_K(w6^4(eRNFGx}1Ws^3hLGFjhYLDQ3m&qk}K;a>~N&qn~6f%sx7hN6P*#Zh= z0WO4?HLf3kr|JvKb{J3PDTuElKTqJ31s#=&MG2t?ELGaOo1&QMXnQ<8qL?3oPyWAr z&foZfH$;+Ni(0+*i|MuS6D96k3qM)8=EG*yH8eW!mA~`|I`X!1oonG`*N%kkbPxuNC=U4EF-+7*lc zZ5EYH-{*gaWa8f_)ertN=w`X7X6{MPM0zFVoy$6?nFecCNLyYDySzW=2+{`%Md;AncP^e_*ke(1h?>L+@b*PtM& zQa=i9b-|ryo%YL(QSEx8BV+&I4z%01IMvXM#IRHG$?cl|jXgu38vEvH zDgS%t?m0bmUFj(%pkOP{38)t@?g`9CQ9Od-@sB|Elt)jjR7oT~+7`^zM_hb`WAZxw z*@E4S1^bV`>^r{a7e4w8Z@7aDaIpt%fyKC4QD8ydNICRe=_|0=&Bzf=<LlZ(< z<)C-&f*28kUUC>F&fjfKT`sVoZA=>3!tcF z4L`IXy6)Xa-T9Ph^&?6x`riGvu7vfyvc!+Mj_oV^-yxG7|3;~lA#=^?e|h7QYqkI_ zFXni&nFz#`Eyqh{{as)J8v7h6iMOS_ap}=sU;+V>XM6`hG}As`(mQFlcmZx3JgMkV z+JSPew`O{{7uyX62dm_cods2yQR+dyCMuigv!chh@A?l|db}6-BAQRx9k(EtsMnM< znXid+^Bu&R%<{I9pNtwJ?)?9Z=RDmjQj~7}%*)9pTfgdNl2oUoZfgj@tc};LCe-ZfcfnS7Y zFR!xp$ccrJGUZKrotXgQXZD^oT0^Tu$4D!s_CH1|DLOYd6|i5rCsU$Cwj(pL*(?AC zh~qcQ1)j8Y!4RktJ+hsS$Mx>>@#_Vi>3n>XXxeZ)j>iv{H#fTl9?En%_&U|3%nje* z^mRI1Z%&MDwu`RHRYRaXAfK>XFS^Vov^g%iYP2N;>fia{W zKED3`-FC6b_rKdLx~f(Hf%^E~50<}c>ejz<_Iw>Urb36^s2A>wCcGRxyh^37cNJgSUt-T zUH^|i_`~1w_DI|-=*N9MN4%A?+i^>VAST6amw{yeihx+qLwH^b$Uqkb8^liCsEzo_EB zUO-^DY8SWIi0j72{^pv%nKvJ@4k(M_PoM%;&&oAyWbDaZZk{4P{6fy;k?8+5S#N9_fioo zddC(Z$3z-~Q4zd2#YiMc2?tE_xZ(&BNFI=cP)I|POb_t_J(M6Ep(Ijvi2f_jG4o3; zkR{u|HR7ZVkZ)Eu9(kAK5-z|$1v&%zjv%b;xm)QuhUALaZhCN?k?W@GOb;@?`j z=w^a*zB>E##|}Za@e3e0&yO88^J9n2YkcgO2r#ZEetdX20b&_)h^P316e9QFRMIdX zK`?Sr>nbLfR89(lWxI;0K_?Z*6H`^iloE9352UI~Qt~bZj zh!bbgO9{3kz7A3nNQuPP*&xMmI>pg3hY<%Lg@R{2UBBcY()Vw261^k@awHYK76hu8 zT(;8%OVT3FkDLTz{Cs|zfP8*22_>h197LUy*)zwHkEdnG$BU(i<3-PvO?|vP2|&gy z9PFFO2_&tEoPeO$OA>(Tl~u|KESW6{7nzG8U6TIc{F0 z0(~!%{*`J$`6BWKCf}8uBkBKQk*OJ$D;GTU)AA5rwcQhLfD2*LUE!g|)xdkg4IKKR zepi@-`jzF!|ATLj9F*qn9XzUA(1W1vhSg^dm#m)jBy3mJ(6vI11@#VbId*#^QVK!( z&1J?;ewb+tyvq;G0+{ZPE8f*g4n;4nhZ$cj9)xfr0pnzs}8d# z;cj4L4<_8yD^f|i;O?*iBNGMTlDC4?hAz0@f^|~`LEO-+nCgwxYUp$m$u)32tz;Br zC<%y=NP@2dj#l-(Q@s(t`LWXmHFUh1o9ADAlKT`Hr^;`F*W~%F$aQT>y)gc*R9L*w z$X)qAU1l!JW|RiwQ(l#yV^4h2vmGK`*N42~cP`u!4TM!OsmToXVDv5M6rk10?v6Bl z!FB;S6Ly=^#NgKiXtw95L0{!shG5sz@j{cCk8f%TQoIYl0d8D18G(-C9KTQFhGO^i z=W#=S7n@g_Xl)PdIlc9$_obym$6yAMw(()mdnDVgAE9>3TV7ph-!o8q{%8|1eaXN7 z@*jC)Oh{Ffv72eb93v65=b&o5&Z{GlG_V=A%gnS3g1TGNTNv?-L3p(w&9n=~EDn@R zT0xrWB8VB$YWM-oX$5JfUC^3AQ2yLVGOD^pnrRp07(vM&U<7t=3<%A%3!;ykuni;{ zfQ3?sh3&$(rkQp@{IwaSE7DXm;0r-9(?t@P5quk1et?(M_$Eo3X_th1LdI)IZb3~^ z{gR``SJPmgwdk`W>i*gci=E46Fbto3(qkSOEUwj)ioq?fNZJlF}h9C-W%^WsY1Qsf;=^@ze1O&X^-?MnhgqLmM^{g1>KK_ zGSswUo9lmwO}bICM?M{Sbm+K9eWn~Ko|XdRAcxQ{P&56^{8LX# zV#n$c;H}@4}Ib_ zoI+)C7;+U(sFqDytqq5IY7}|fD$y!x^Mo30^43(NbK;jCcy4aqTFgdL<4~FvfU@#Rfw97e_AQCmR3fwT+VaTi-JA-Ode60BorwZER~^^xNL^ihn}mF4jRg=a zYD=t1gjFp`t?rkJ_HNGnq%*t%!mN?ZcSoOirvC)|pCu=2U{!9~o1L_AF7_34u*2nD z*WO3cin^`Qd9C_wcBiv=)vsUkD=6UE7-0QEb>_+)X#0y_yJW7~5t*Wiv*zV9nG|Wy z0Y>WURvq96)!f2Rku)zfcU5tNms@>d*-o0bE9QU1rvpPrw(-reYM7% zD)IjgKq)k*4s}Y!wo^BChYCn5nA9oHy|z)p$2~@f*54+nQ+%B@+MML%=(tj}LwxU) z*vHLTFOBpLaGd&!PgatmvWliFA4Jt8?U)sxs-a$1Uk~w~iAfz31sidlS~7GpFFGan zT{Ze&Okg-C_vbms8c$u4+=n+BeiKIYU<(P2QpIQLAMYqbzo!usOmP^+AGL>nt^z$H zTW=59I_(ysuyC8H5Xk!_1pvhCCm=L5PcR_e9#3eA?!I-7$8b>W;V+(80ATXp=9C59n zK>}0_H283_s;M}FM%9iGP()PQ=GNzjy1bwQ(d%pj2<%f^qd2Hpd6s) z@glHQENY76+xA=qr^W$*#!{gNh6DmtBrMwz2?L5+VOIgL6lGh8+in`WitEi}m&C4C zrM$KQSGME&H7go!DosK}^7?B9Bn3d(gSn|&c&)&_GSXb3X3Pw$AX8n}5SdzpkzwVg z3)`kI)!)0RF9QJEbX8S4p+F7`T+yptiWV&qOc8W=e?`O6VSYf8hTXx&25aJM6rOrq$O1}wJA z0CYw06d*pbWTgy=qTvoO3JEP@#js!_$oa5fSn(8cJ}ejz1()+(5@AXbT|YBSV32DyIHoSQc-07`ydMl_`k+pob*`N3<->KN%Rvk9gZk%#i{R& zWL;f60mo0|P-rDOiO0{khmPOnc>H{SPUG>b8?@}SV*$UC0T<(z3rH=#yHr;3-4V<7 zzI(n!4~p^EfN>mRsP2RXsk$*zGF73WvVfj*F6>{Ay1Lt<$Ja$v*JJHpL<3@OQzRwm z`V>j^&?thWivv(pomGTnn_`6mL{W4Oe58#P31_L=4wT9P-qS`8qDCY8a7pr^s4+>&WznWA*_nU(ZM=}vW}eQY_8 zE$w{PLspJG**|u9=iZc=CpR>fX6zQxb*NxNw{(kM@7~L2f8jg+(>IS{qYC1c(5M!E z6Y)2F4Wke^F2T*&*xYO$t?v&B+|81F8I>8YtfLkSAP9TfTC!{$kzGDWqW1{)iWZ=h zy%+G7qB<@f1SdDwr_QDkbcxpYw?*=}5zO8Tck7C@`i)@ay`Y|f)H2V_B1kKly_W+D z6pG;5!$D{zEAIs@f`T~(D9m!q?iI|;F;^Mpgg0fFuGa6ty7W?p`Q{j?=9%epA^$_U zORvgKo@fZL_u-PT)4hP!#4tVi#}423f$s?QsOek}?ku_cm@)XWI|^}*ysNg$f;$(C zS}H1=s`WxJRhR$-l~Fki7lrmtd>=-TgjrENmK6`nm4;$KMz*CWsz(<*?m5Pu0Vu}R zDA`|}I+sWhfU)lg3Kz*#MTCYR)uXR?*Z|;4!7YHZ3L*+Ys>dSeysq1ogZD#F)T2v+ zT~KKOVIF!vWG(jcP zGClMa56u6m*xcYMa=P&;ugcG{XXq0pPUeEo4FNaM5HKHAW$(azwhK5c)f;q*CyTZxWB0aQrm9^KNKZ~VB%vGJDuG>wAXRk{1mP$& z5d;C`Y@36q3S1B@qN;(BykQEk>LQ}5c0n-edzxp>Y8RA$oSLfI1!GV<4uBe}R-~$S z!9Dr`1Q`l-WYC6KQK|;s6Lmy#Sado@7vt2`ilVB0$r!*6CmH@}ElE$-C1V0RR5h$) zElE}Fk}-lEC>|w0kISrkw_p{;I|gV2_ODqp-yZtJ;vIv~oShK$+4KFu`~mXlvBzv_ zqjvo4S60=c=u{oA3+aV!kK;8|?$Jqrh1MR{)wq?Vh2RX_n3sK%>}_Pfw71Q(U%v{ua(v?6;JMIj71d-=fwyp5e0B1D9QX@@Ku{iEuE~ zQ)y#CB)}PvN#xd>G@7FgWPoVGBp~Ze8qKlbZn;wCE7)ds(p(w~qLrHi+mKvBr^u;T z@PI%E;R`I?Qo8A26V2^{6O>~?6zwbt1v0HRXw0!7TpEIenb#r(R=&nj+gU;bwRbhn z@fP5+JU{>Q)BAX4rFIRYXZx=_qS>uu59LyEi2yFUQu1BI*LXnkPl?Euluhm;C%9}! z){*ML$k8(4vLFFqOplR%?S0JA@&dSLr7+trF)T*hrFb;N1@p6)>E0;FGOn&3q8u$R zwmalKPvc3t7D<|QAt9r@3{mle< zz5BXCz<$b8zxb&?(YDjNTTuWVU}=E{2igY}Ce`3x5GztCyI`;rbeKUaN;w2`1r9t_aAas@I;i|ckZy&q2%r(kX{hE&hEFvg zE9MFunrLY`D7YnmcO_U=;K1ai{s)rMYB*xWssabQLK+(^xd9}rSu(ZL0_CKG3*pmj zKuO+8<_a9R%{eIslKA57Wmfnh42aQ2;)719NndExz^VVy&hOKI?I#!H-!Z~Z_qVy= z#=fYKaeLXu`@$)@aGDrh)N6Nc@%(SIsBHQ^|2rh3tJc7p)=6@sht}IOJHb3)kP;L{ zPXk}s1Pi`hc7k)Z;I^A<&%l~{iTad`=HBuGp7OM73ib)i+$!cvy!67wd!BvfU0)IF z2que_<4=3#vwrTyFzcF1Zp#t74VfCm!1Yu8%obP%n(C0*0`R#Fr22Ed+qz3+1RL#t zmG92!Zq_xVg1W_gP8i`zFqb2?`;Cq8*dUeP2&TLwl*)l-U8ZedHgLUSE=Sn-5O!Mn z@KDdRYb@;F8l*%vh-N^rDn}fEBhutOM%J|F7PIbaqRI>UI{f4?+D6ggCm%Jzv|`1q zl@Dsa%mh!SzR;s)GPB7+?D2JbYX9*3U~$!j{O^$Qjenz5D!{tM^}n#ZAb8FQPqoAe z(kfAZLWuS%wr66^Ya>JP zP_mpRNRmHTAdu?r*X)X^1ZjC~ee4JJQ&*J8yAqT)4Skt zZ^`BE2()Z{YQb!oAi%an(_+Ql(n|5MqGq985_noYH^8m!52;yblPm)_j(ZSuH7D0B zv`L~6%K6>^)L~FY`wM9n+9eg%COn-)VpTtpW}$nMAY<&EJ>Q=LX0*d8V+uv`>h+q{ z4LX2bLVhJwJro4tztSXMLVbWfvWuoz_k~wc+zq$pDsYQ`ke?@^2GXmKge!jCL^;rGvfYSf zz_|@J_Po#aMkas~XdYb^jx66=QpR6D_rE{!HRHqr`z4d0oB`$ot2J-9G%H|RkL{5K z#hXrI0Z^)no(aK7t1^)(l~8a+BX_A9HUuNB3MJpI5q?0!B2UXXMfmkYkV?i+ZRlbM zb^v8Hf{|7Q?Zs}d<9YzdA|^JiI7u6chS7KXbwVuQs>c2%fYNc1XBxsxtq^O+doLC) zy?1TsgIB4dh8CMt%VWKGPurX&0wH9J)A{&hqLRgxQ=W~#c@9BwEr6)l( zUG?_0T-uFdp!y(9?123j>Rt0Zl{x4YkNQB1l1X;&MFvl@_@p>gZ zK_JrzWW?eu?Qw-=CM77 z3u&nlTx{)^$#Kj9oWcVCJ#1Gb7N~xnI*tLt3E>+f9w^dQl7MFa$@dsPEbyrVFfcvI z9Axf5c!ysIE+qg0LH)jrd`~jp9q=3C@+9I}_P$UR$H2;ySb%Qp%7$jdZzQ!IzfQO+ zSc=~Ww8WYdO_KQrZ9(ZSzmjs>H@^~XiLYsSXgbN#Wto1@Nj`=KElRDfpI52Q*hD+( zi?p>%J?w_!9$kExBtS1`DE^5g>d>e0#Ois(@;t5q1S{Dg5$b^AkN_>6G=Kn2Fb7e2 z*@!y<^BSJ#*H57HJO9*Ye%=2a=`PJZx|y&{Bmfj^HtVrVWrc<`zTvjZ0v#9JuRF!B z0?A;}MhHsuYpo*aP_(`m)NmC47lPuxn&1wz;LKAs9MgM|Zo_NCQ)bb4Zm)UQCVPr721eI(fSQVP0Y^ETW zYRRh56m_$1(;LAg=`R1`Q8-hCO}%C=0|JxD*-E_5E&3npFC=E7tht2A(ccKT;8rr< zpQy%R#7FyR$Seb2GsV4vsJ{O@I|-1qbEkWBm=B~nGLAB7^!!lb#B|B+BC$8~&2Q(SjWcvXsD>_Acc zcbUWTz8INtlwNVQ1HN}UiM&1gbEDL$`K@Wo59PQ1?7#jW{Kjv5bVLWh1#X{q*r0*5 zV{RuP|3(rPaBlHG$_$a9Rq=y z6~*~9K}0Y*GY$01)V=A{6Sc}cG)c$1jFy3wrKs;+sCQZIbWGempyN)w%X!yjuljY# z^i+na3Pc^+QRja>Uecd#v0F3dgE;{6dXENM;w2LV=#h-o2n8^Ts=aICEt%jEv(OOaGeZIkh?N?>rzromPHy9ZE zNB0Ild+8lM!z|#T&#K}`@-@ z@j^nKP6&bOc-f8%?vZW-84pDq+2JwLZDD$g^OJPD%1&>n>5~^B+i`v=a>b^ic)W^q zSAVUtiM^ni(`afBoIVJ)seVX$jZN$Y{wsnj9!+nxL|c@=wUp)R)hnx6D5|fE%eued z5UX0%XsR2aJS)dK^6#=k3nd#5ef~KddXkHcc*OGkYCxhK=cmI)U<~g-@G=-9FH}&Zs5=l^}E6n=Rf^@|JplWj>umND((X67TOq=7_+GPLeAx- z^|f79iIaq~%sh(b+4ML=`PTZ1m@cM+*g*1z>S?_qb*l?T=g5A;Xtg>uT|gHEg^!M9 z86tUOqZmTSFJw=TZSTOCREj6J(*?c9!zFc;VNN=k&H*;F&&_J$~3^2enA-CUT`mr zs`+?6I{Ad$PRBnengvzrToqr#uc)fjJ;zDXNKxgMWL|9Y#SKeOY|buu0+m%6=5C{= z_ZJ`xOT~+tjmnkyhV1)?|H|7&0sbZVxnkdAov_Q9y-0ozumkb+ z_9WcNmI1jTmeV}h36S%ykU$Y>690_41FBs4ec9vVL-WkBO*`AmTEm%V(Z zydL&#AgB3Ev%u=DaUKqYO9x4=`O@vZC)@>##;{2MHkFO(vQwdh^YauX=U}mZco0jCG zw#z!^wqO)I?}t4J^|V{mnt|K8MLh58d4$G~e&Rb{O1*MwlY4=TkBvNlceITrva5V@ z)<*V}jf7gD{RBuT15_TF zTR3bR1QpGfsREhPLoBEeOVDxb6j5p|4e19OHM(LqQz)U^L%>qqb5neHQ%vd<8TA8( zg9i^H{O`F}?uiFX;x=hQW*s+Iu)Nc}`?KYp-iUP`|8PmD*w0$hYFpw_KSB!^4IwGq zlJaaazn76|msgYk=udR|6U@F=oKlMrI6Qe*EM7uV!o-F?yK?EZ) zTdYVG)FlzsQ##we75gIap4oi>r0yA?!1^`J^ zO-Zu{@N@b`>DgX@;s+0^NWvp+B&D|I-yNMv3g<@CkHNWPO-TV4Nr0nubQgG)T3{Rs za`AhzhenIKs~zTw*54havXI=Or&!4dL4#Po)zjYspw}EMUETuxTke)AZ-HRmKz<-@qN4>GP-~=iTwMVq(heL_Z_z|jC0RyK4kV2>&-jR8f zL{ViA5+JZmRZ4(BT13{r>CywLf`4BtN8{2HE5&lK~dcT z3?-T5*9k|MVIyo0n%{ep`4*K~Raczks@|UmPX=*;%c!wYKwWhEO0(GM`sv|dfi4@D z5Jan>A^B`0ALU3s*>$mdpC(GDc$a#_a52u1eDEf;cSc%%D^!UB?UF0dLxnU*IyRP7 zzQ;}TLbV+UAI`JbD;H=OC`eoA`YY`1AW)E^8D&GO@s>~j{onkNsg+JBC%g#a%m;}7 z*g^%Dz5w)sO+msxBe4LOnX_;pxWnKR4O0t=1=Uhn5yR^wmIt|1kMn_AsR$OLjn&bn z-NmV?lw1(-X>?dK%s8MsyE(Ol=XQ-y!W`_wE|H~?;Kqs)ofko%EmRP&f@Vbt&P{N? zL~ei@{(vZ6k$kbDzz_T)(Kd(~;v%^rn1TU+`F%9;dl{M)hF-Bw+ERP!rbxR1OA~^uXLT&{lP2+P0Fz0yghrqK_!90 z>}AVaB37gPO5hpOA0DlnJ;{8Fz-P>iDBJ5+ePY9WkFYj7@o|q(R;z!d(ZqzR@7#vx z)560Fcv9|C%c6^TGA@!3C0*gW?hiF@N75`l>54;O@sNp6Dh&n?w=wn)-WU!B!$$aG zpQ)93*vS$b2?VR8fglF6rD=lRURndaZ&j2!g1Oc-NJPnOeyhUz5e7jdLD1`#jz_cH ztSkwxH%IBrl2loOk={44ypc4Jj9UqQ-|@KQRQ#>Gi!InYFwl7s<_~&Wu#FMtD(l^viXHY%xzEkhcG#L*TC-TEIpeSBj>Jra-l_32v9#(Ak1I=3*9q7My)pNI8V2G6tuHNl-M!uaS zBf|hJi<1oOL6xn=p(L3e+{FQN6P4>{2Y739nJL4tjB*#6^K6!euQ~InKpiF{U$JSZ zqH>q>t&aynKIL6SzLAk<56iYs1^>yto9iA#cYH)MJwyB$NfoE0cUc zb|a-o5a=m*S$Nyh0VsaVal;;%f&hL0*$srJ#=t3xEhEJ$6qhPDl*O~k4Tb>JdHTZK zu70q*sa;A@osnP5H{n$#8vzOfK+RCQ5efrN9>i~t+Kq2wDb&dV?Zx5Ss4Jy^IC-)v zc~QzTC9xlsf-BW;Ca+FUsc7wT8U5-|mSbk*r#|y%|G*D^M1ka}_G|O$yV95;J<5FY zRFEl@DxZETO&*>As;sB7-{A?Mxm{0!~DRnKo`x)!_+Xej;`& zAb=2oMlh@$R_rj7NR!{Vh(<819ZH}G&n4;xW#+cM#;P&nLGr)qd{}%=E1?!4;{`77 zacqo9YjAWaXHBy$%hu|7hjP5lqBBj|aBkP1VgGw>aeRWg=?a(7OJ>te3RQVCEsplW zhmsjgzV>43N`c)-Zug0A_`3h~vsw-2xn1+-pdEY+0J^B!fFd*)^jaAMFc!=B7y!gF z)DD#|92yK^l#c<}W9W$H`RuBoJVS%Q`0W4!v{=T{d?6SbERO-`w#@{BnOCUEAONvDBKkilCg(bVqF#z*4M{2wo zR7`CqiN4Q&_czvWT16IQxHTqtb=Ryw@I5yWx%ob}#pH(3<#wF@R14Dgalr%X%2H?A zmO4|cC|<8IA{>tgG_4{5Gc*#Cn!{HFM=p_zKv*J*ZLg75`Y zg2hq6SZ0g#Lr|U)zef070t1Cx1t%zf>rq{#dSR&IpnICWoSuIXYM{4^dZF1Zo5h*A zd7b1QOdCYQqrt6}*mnnE^qD|DD5CBE+h}N80y)y7XD&80b zM9>5&_=7+dyvcSb67uHc;1Qep$OyU58JiTrgj3!X&X~&Ql)DM^g&_8>=J2u?~Uc+{8pc7wn)W#P>tOnO|6Y+19Itd(rNv?^D#3pAO%BM2h@Yd+U zXwNdb4N`$dcTO6CkN=ebM0q!3(O_*=pa2 zT*fAXl*na3O;_5tL@opKJJS6C+zT3waR$)99LmH}g(@h^dDs@9${ykjDE_Q{L0XOx z8(0xQI)kajidp;a)~g+&D+sO*HV-NUEA5L}RFdA^b|9#)A{VPs`bFv-d6X;gJ6Q%N zmaMez9!p;R-sTeR`DlKJ**yEW$% z<2q5F)jGUi=ct}mm3S%^6N%E6c_{*{=+_GT6{?lMFFo0|O$Z_XtS7;qTC=(i=HJ*8 zpYs08WNPW8tNTeK#y7c@3aayTyu<(}SLdMYX9YMKq@(~1Dc`D1Hyf`9`{Lj~dj zV;7AT6>9AL=tzBup^11PNwO~DYog7N>}jl7?|Ys2qMBV2uqV#+LxKe(P2 z>al3l5apw4uivGi!nN}o+Dbl6QEWlST31NC&+EnJ9SPm9M=bDHtt97$rhq1n8-Hq zT`~8rxyH)L-R{hLgvZ_rR!;5;=?`@YHv~JR>cwPTmULH!xOury)sFdtSx<%5cobEb ztE>U<4-exxJzquo4G{ozE&{sJIsYM(o}Zu+A22X~!xwzt2jl14LBNZTdlsq|lRAB|={c{F}< z_5SSa(P)N|YrQqaH#f;>Gy`K#`&)`x_p?W%8Jg&Nl48>RyO%~Yyk44=NY|NfP&Aqy z&`#6*?!%2{_-ay^&NH_W+QLV+v!_NgOjT*u%=ZXKc%zx)Y5Y1Lz^>4GsCW9|)@Wu{ zr~vTc+coK1O~)ojx|b3V?=Lx`=SH(&S$VV?&8FHRd!ZKA0C5oTs{| zt&(qilV}xptJT*o{T?3C$5q@!;fge}p;lllF>xg6A+fWm4Af=-P{yhn1;jGe@|82$ z=3eLW!nXMYNp0rq<-?HA&+X*Q;(Bx0i`bbtWjAq9(sIl}m3~)3e~=iC-hv65K!S98 zLPs>gm4ozY%MU4CWLG9qB$LOxW)Bn1gg^Msx$*Q@hZs0VY`zpF6+tD@d=kTjSNaqo4yvCmE9n*Z|^{cp3o z*`(Cz45py*lERb1eO4fR5VHbl(RI*}RfoQKvH(+332)Q=DDs$MxoF7YqG! ziciu}nKYjKJf6Wsp+05d;MU^TO({zl1EehH>qC3JZ%Q9dTYg9%e(FE?-EU1Z<23u( zmVXW)P?3gdl*b9+uSzQtVp@3v&Ci`6fDt@f9ol$qB{koC|o_32`GjN6&Uk}9i>%Zxfe`yz?g~lkJOSgyx zL{~t(dJdy)!^=60h@|ETyCA4r!{Ne`1!x25nD#3)@u>L}5rmSzysCia1 zn%bR2-Gpu}FH+5sLt;vc;>iLM05m5CrXQuMmsadokCLPe}cU5t_DqYlMgr{+2)X ze|*Agkpxz2){8|B4jelm469sd&CQq*B)hrl93&w)$+-#$_`A9w^`<0o0C8nSuoBF% z$Wg~qAnyTkM=P~y-~&x7wJr;TcKe;uL}J>5(;61R9E;eg5f7BKkX;p4%(2LBy@!Hj z;6Q?j>KaEiQ^W`LFfsmnQZ(a;2-86=S)nID3g>PtdVzf&Dfr(|S@FsD`QO+x^ode^ zJZ!2(!BCu2z*+0k#AdC_?UVr-fJd|`-~{PPC`B*(xlWp(BOYxG*Khruf9nUoYzz;9 zL@H*@z%@JUHbyk(#bi@KUZ38hV_F2k^`ib!2#N~wGWr=0;R;oM5vV`$;q2#nY&srd zw?2TG7ak?XE=Iy04t*8* zjrJ%rw31>aT+*i@fo-{uv5=INKX6Xw+s9ZukUbF-G2V2cmc+SrtIi8uVPNi$?wFf^ z*Q16Dk9rkDRncAJ&ZPE4Q>tx)+alU;trubR&(wmXNtxF*~|8N&=_e zgB!g$b#`=(;}Vt-4lFVS)r#5CMJokB4%A_ak*fr=qs!(E3Bl26*UP1g2J=z_(*3d` zCKa;Dw_34Ey6<5UV1?m3Z{X>-lF6HSY~L+m&n4pzPR>@6nF5a)U=cX}kcs>qQFry( z^W6znwho;xtz^DEQ5--Oq4x1%4fFj$g+q(PM>4HcYmRDfReDxJ@QH5VRZ>N1e;q4L zO{Y67b5Z8Faf(~)?G+WcEB~j93^&Z_6%Fw&h02Xjc~yRnJwu-;ak_fi7DJ_rIs49h zI+O3*;@sG)Tj5Ja*v`}zB-=2zO}T~5p&tZ~VQO=3QY_kdT)@v53|6)Nk)OSqW2|$< zQtO_e4$ART98R%={5)Pgy~|o|Ca1jNfZ$wQI2RXQc;9m}5Uva#qs0}rnc|RD3|oDA z8Xmwpi!CGEH|1CiAAU9Q-@I@UC7e65&x<$plwvLH6yBVH$__7aO8sZGWNz$@pZ$-~ zG%i@?h+)=@+3gUF;sUO*j0fB7PxGFZSzZXD)yTz))H;6Z80EF;Q?AlwaKWHlMFB(; zeX(LHE@aNt4t1F3hfKu<{^T7dw$ZUcBSZa5UfC3jAycb36QrpJ4V4Uv0glBlFfdED zrkKZ7n{O{=$Bq@tn7(#eBBf!hnRG)E68L24~!sZ7__6E!ilFpX*_AKwT zThs=c=d%9wl=Xl8tKa`Ke>BSa8ufcw-*Nyz`vZ=vncf*Ka#~xrHDIE1&T)^yALtK2 z$$X?mZoAr%7Yjyzz3erBNwDrYKQJ;V=5D+14e-hd$HNlQyawwlqoj#zlwjTSjcAO+ zsR@@ygKv|W%;`o{;`G!Qo`DZdvXkWp{~=MLCz%0((gHQC)1nfr+sThhUL3wRrd{^F zPSqkumjpQlW>V0-(Vks`bz2iy3xg%1{aU$e{F_g<=3!u+t(dk`ZR6#H=eoZaN6SA? z2Ir~tP$aF(BMoXF<`UM(mnzP}>EfH%@nqM+mSovsLR~C zM`p3Abq@md@!U?w*SHN$JeO1~Hc^!b+=fi9w8t1jh0+2Tg|olzv2ZSf^B z&K?wcYIXC}lUal9y1nWpK*}0kdqtA@iW~?m4mMcBPIme2_ZtQGVp^ib6>*J{E%POV zyLdx|n{^^|H+}XZx!+)#3Cd<$Ad58)NNZL$241}Y-blixo_LbXHm1-QgZ7d*zuF#T z8&mj;x5qsjdTPmRV=(XlUzu7SBb78_NN1OVh&N3h*F+f(N#344P)&RqPN6bGQ;cQL zBLv=SAi-)Da{SP0#g-8bYIIINAS+;u4Vj-@Z0@e)#tR)MH93)51 zivQ<=RkiNeDW}L`Cu~`jV6N6-S~045f}H^|L9-(L4?p!`xguK@K{gof(+E;Qxgabm zm;flK+)~x4so7l+4B7_9*{%$hZ@U-~()D%807!ymur7N}w!vxy2Rds@(n}>C;g(vx zz`FcqQ5Gtiz4DvzqJ-7a)}3r-`3R=qNqc$H0Z<-QwA9P%iJw%WEtsi_U!V29Dq0dd zmQPsQwKhBi5M>@`HLe$B+G6Hu80P?YB&8g|m*hDTN?xW9%umzVGd$OnPKeN`+x-<8 zS-v#`Ow)b;fB2@)9p{C1jN);W7rJ!qjPODis)12nXz<;MQrv79@Ia45>kOYfhw53! zC2su~FSJjMoxlriV8&5iXm9sFqFT{hV}(%+M4t}!uD*>T;SKi)UyY;6>7eeLP9Uho zj8WXJWK=mcEoKnZztDrJMNu?>2&%%dzxRiG8=FkfWEjEi&SCVVl>a^RLQ52M=7oOd zs|*z8>f{QV^gsXnH~d$>cRU&8ywK}SFIQcqJ68LZ=9okw)Jcq-?Fa(tZcmDpD%+8h z9mtYvC-ruPGHoN6a~;7ny;=2Etdmh7aiWewHJ3Q*>eOP@o%LyjZ!6goP?EN%mSm?1 zqGHX7kxU%z>Dg8**2yTCB9ruP8IN|GWYm67c59|?07~yAd8%X-Dh-x1>w04->w-sV z5k<#W`=i$dk2Rp=x#EgTV1!9JFP%)|@NDskR+@lQ)F$ZduWt3zHO04A&v*nt6 zm~8XfaB`7}Y0D3#wSM(Y zhbP{gRILP2o|*TQ(Rtk2dE8lOQq^m9;y?6NPtQ`3HoIk0b>OWKbe&M1=W%D(KkjUP z*54G(jj2b?6^|@Gq#ob=QJ?bIlaZ^6f*aEzx&@aD!@i`SMLqH7-~2b< z5F`fb1+~G~;S`;bWKz_-L852HS<1jq4X_l^e0exW%1p^~p%D&Sf_jA$-LE=WGgZ z&lFC(MQ2m^%zMBuWJEpJ$LI57FMsNHzi~7V`S9R%VO)zu9+nPWvK$C4GiF6{7bb->Bf z_a3#wYBk5H_0&Eq7eFt4*rX245_`AhBW#k-W60*U9Vu=o$};p=lTntYq-2znLkpvv z_D;uPOw;(i_;f1Pc-r!gIdMssQ>gQAJ77FL7~#Hm@W#xN043LzL-#}y#P zdrZM*Tu|`PAsAW575J<{>!N~tBXS*%LqGZ90LD~)?_<~X`bnhz?ULIg`a1L%+AuE*wdre)s?Aa` zQC+vnXf?~ z`1Y3%S*DqG!7XUMB_RkJ0=No{Fl-kLKh1OzJT;A(X1WNTn#N2sT?9`}V-_>*k|)P8 ziHH2>{O$iJq7!h{){5s;UG9M?)FcY$vOxw$@G3XRG|pu6OYdSHM?a~*Sb~eN7qLF7h36Dmbvb-%vHmf<%lNeWqHn}m)k47n6??Y zMdvW)W=b!A@~{8%ANa<|HmVnWc^I<>&t&DR)&!)x-I_Edo5zqQhY2GqX*POZvV=(H@+HAq9(g-G3hune+ z9>kO;7~T5IRAJ0(Q}U;O#L zV)4ZeAJO?|vpikrUwd|O+ATVp<>|L*&V-|%{?9({e|zsom!XIc7>9z_40MicJphB} zwAO>w(sF?j3|4U{cu=+LVcm~xa~ujsfYbS;^}sqjW5pbYo(zF=9J=j5#>y4J17|ea zv0@Yf5dtsQAP=D+`Y76Oor-{v)PdrV#E5du@5Pd-2*^MkeGFU^$wO2@>(D@-=9N=K^StK_|W@C`3Avn!sID_ zBY0Z9>&$lup2$(07qnE{jEVFs)wgJg>5g2Jx4*s4e2)r>|dTY1nL8) zT6H}u%qIWJPvE{)IARwMo^~`IZ+x7c@_0RpcuSUnG`oJf$Ash3iJRGXCA)x63L6+2N(F!PrCqliyp$LNVOh`|`J;C_DI<@3|ToQ!-Iwy!2 z3FH)N$<+A=h&n**%xFMCvS?IGGSKri!Au9J2La_Bcq}T(+1Up*z9Y~s^#W=fcvMT| zQ^rg1bF(|S2XoU4Q&x_%Q96}w+<5!nGx+-v0e{=Gd^XdMu9=>8i_T{HX3X@(|9J1) zelk!KCcHIgI{czn?_D6;XP(k)vI7Bmh$ouxOD+Q(E5U# zWZySSrIyT_WVO`%3>Uz~wy0UTwmC;r0?8>dVfQT9bQ{#SNr%NgPe3DFKUH(-s-$8r zupKM3w3mTtXgR8izk>a!<*V#!OErrZm{Y5O9A%2&&f|jf$j8g$QL4pI_^Ujf8y?EM zd2_g4v~ZNm=e)V9_>LZ``uj?esGPsf70Ykqsp7lRZ8hH(O-nSMF@Vz*-5CRTiPe1N zrDrZ)e&LlDUc9*XN(|9pv$YMhdj2^C9Ih$uyzhmJR~Lod2wSPsTlRSecfD&$6Zjkq z;9tM*sHwdfciz9B;a5Sx%?@l>8h>DTC&fk30T&pF%ci;MfOUzk5xPTe!`}Z#DY_aXX z@L&@H1;~bv1~9vS?|v`j#6Jyp@A@fwVduU31(bI0q7PZasj>e`hTaT3vi2Hu`d{9@ z^n5Sy`?A*(o)MD&y_=FA@CAlT;!P1rPT$Qp1#6}!e6hz2IKgG0Bh-=}=|-nVe8FCG zJQ8@sciyIwB-1m#*meM1sw7%l+OwyJe1RucA6+!I*!-ASGlM7>m~IE`B;UmSwx=yJ zh;jjjZ5B}oq6B)jIXk9)m4|$Rj#R=evF2`vewq4L640An%);2wu;vmvsFkel(H0!v zx+cC-E1B=nwgZQNNb0Q6q=cruI2U6t4g}oG9ei;v@QPd*$TMCX{;MN1`!5dGJoVyW zcl+Y-pUQdzFAmOk)fea4OWzzDaTac)Vlb22Dky>Ew)Q}lyO|)%4MCN0LV_$gN2vJ8 z0+Y-qlz6N7shcg4k?HvLf~B~0JRhEXJhuatxe-ulIvz;NYOXT`>f`tlY(7Tb6Ad!$K+p{4~Bg_ovN@df?qJJpa5nlEq1U z_jB)+>8R>Z7SmG%-DA8&Zu08P`%GCq%aPaM-T&9mzW--FqWF?pJ!)|UsOf9L;&{N+ zhN^U3;|dP2cQ8$OFhO+IR>S!~c`+RRQK!XyG#8x071%UYyt*62h0Uq?$oYykDFHe` zz0;}?&u7a835^iLz@mwIX|@Xv0q=AX#8?jTPSLAi_A3PW47lJP&xROeG#G5#FH_+P z(E34+ZK0o|hnOyM)F{#S5$|aL*cbcO)?ImN_d$pj;{=~hvLjQxtLu`Pk&bCIEO6RZ zmFkzOOj6ywoCqHJN>_=CRv(acU5glGPUMhng&^ee@r`mqZsM49EmA1+W~WLHD0DSJ zbyY)xIArPkvK_ioQch`;mN3*8ey#P|)St{QvBN_HiELlD ztrlPY^2;GLVR`DSiOW+oFHiOMQ=j&e@A#3Z$Ew5WX!K$w6}3>f=;EaU#MJu8RBwKbh=A!#a|jLyTpGtW#S#3i zpo18MF3ERQd)>(_LPaB1R!1IGFO6V|B5(;ydWYtG*Sp*P_U{=w zb-mCjOoB`=x^!zH|L*$oE=aX^+hiaUrK+kkEXF8h5TVF)p`l8BPR}a&NX3qN~ z9{aVw^w!ppdz_+g4>+5b2f}s{=OTrNWGUQh+XdG?3^{x$STY0okh8iLjQ1OY>jP#! zGjp(F-&8Fah8)Dw2Uv0u#Oyak49BU%kmDLbT%#alZPl)>F${SnC>`aMU>I@;uJ&v_ zCsQ@QRegNHE6jK$xIt<=u*RslWLmV^ca(4 z5o^S!cIDU;pY&`sKegLeOO0hDQ&R{&hbh+(rbHHILae}&u)pwUOZw;{nM^T`+@dy| zJM&Xt4?p$y{+qw&TRtcFsTKL>A#uUBir#0&9X3^iL&vsS#?RrhXpx2SfgpG~TI8{0 z{7i7aV+S+qMzB^I@x_X?q<(6YV_8Mzil_!jz!!p&@$(f|%m~spW2!@YYRUMyASqYW zHXp5e94ku3&jdl?g8f`^x3mgi2ujA!1yM5BQ)3cN`}0%~WlNpHB>|Ini=(a}${=Pn zIPq@HnK~7aT0&y~g^sAvDUy1BB+)}HDd`-$&XC+~8i4eu9jAp<3s{4_;@_KGV>YRJ z;AnM`w8QhcG;Og3qA& zMSWdn8YJcyfAxvOXMg_3mhwrs{HYMmXk>-=75T;Wx|igOummdb;Y|Wh8O#3M?g&ee zNCxeuv21NQCN$O6>q+3KnkXYR29WmVpGR225Uu1F_kBvLoL@AMt3YuYFbr@Pc@p^P zsomAhxqNE(sESl=Q*Z*lxiU3$uMFD0=VaDJkqOqu4+v1reW*;zG|Gzql>cjX%cd`8 z5m4DoG@As`Tp@v1R6fa}0mW6c%qX8ptpytS+uXr0YcOM~g`5pypWTlgFZrHPjz zI;>eUJ>9^zfQ?SB6OgYue+}~Z^mH%CHexw(ygJRA6$YoIFEC2NI;7${YYfhMbPztOb!06>&HcmkXD9sq$-KK0%M?DPcuy~06)V;}H6AS&j* zyfX5jb2GgM#Kh$HfZGr99-IQo2>V&o@_++bSGCABUD{mBL$U9WiiaFxS0O`gn$7G% zfi}3_|DV100k&n$>cXZXQBVjDA@V0EH(EjEal+oa_g{lB)EkHUlmBot^A6$wZR3&bD$-NeoG;ai9dDEQ5wXD2NlFEHp|YNPfSy zzV)rOzV6fA``mNRyK`x%H)r?VtH18v-M#+))^9~*3)s={nna)tqk?e39k;z&x#{6< z@J#ye2OJ21GjTZ@r?8wNX~GTwnqYkg`aq!FAs)X6v^m`|&;~%Ic>f8sNkAnaXY%>? zez15s`gl2ZMSd;Y1W?9Plc1i%79P%8%)lmo>$-`h0NL@3%za{zj`1Hx50;#pLuoj&il{RnUI1DY?4f z&`-;yOYMU9L~qf&yF%##*VkQnW%u6K?+PP}fA8D=gZ;(}9@-RRX`0d^BS3SBECAXq zi1YGQDR@-DEs+ffK>%hi#H%hS3b=Hp`53Wo)3k>v;ft-fTcM3xy(*)z^%bdAOb`>g z)CB=M3o9eEW~JdQj}bd|NT$%I+QF$&U&RIKeA$Y7%+SJB3t4`T(VxDT3E~_%W@m@1 zwxKw-;-pVgJ@o*$3V!w`y3qw}HR7SiulV_D2Oe&V`sPS**k0oLVfSg;#8WOCjSBv%m1E7wpr`w`km%9=kG8v43;IJBSTd`&>U1PSF$8O2(T!TP zr$~HpKoTmDNNkPSQ*^g;J`y604z2LXlYZlK$(|lrDi=W$D7Om_XWDdjg%=k#nas^0c%q1e*t2nU=*fi(G&*{*|A31S?Cy^*&){^aR-6`fF-H%%2x#*V1hp81+3?)8eNbYuL=g<7QhjusOMc!yEt}x@UTk1Xi=go3>i+6lX^^444{#!YH}}yepj^F z8hQJ*~o)q~Mc~6fBSQxxvF+6*h1Aq2k6b z{?3p7xZf~6Qxna~l4IF)y;4L>#*lMU1u-jITe93KiB2052uf1o>cIu0(^fPS(mQ~K zw<|`c%^k}fNm{aFnVh!jSgt|hnA{=lohT>5ZgWc*t;?ipKgZ~_ftQQ3GU#A!%Y+aY zOvxA+fTHX$tfF;6lhamv-igCf#CljWCS$;EqaHOrz!A=lvUC901>q?v*(D@++RC_e z0GK513Y**L0ZTV~sCds-wW6d{TN?7b{0078^>E*VEZWuJSC0umPw?{$KF`qe#{!<4 z|JeRTny2LjmnoP52HnD{(29^rs{mYw%kQ{p=~W0gF4=bA6WR_yQk5&aiJ(yW65{^y zBsmTXxbcX?0#pivuH*6f636}JrS|=Wq|1-bG2{t{CcyHzzr5>pe`y)<%h8|5a9sDX zS&`8~niXDakidj*=MUX3PyD%xd8yXi9p}ruThto2yGp!0?bVuZ|B@g4pPuoV8`|Pz zF^+D*HQ&=kM-k?9=uktT@hxAK7^ezu53GbhaI<4=B?(fOR6!kODawfL)k%;_!vxWz zRt8nA#5YNTbP!CCd@Ew5kvJ)|kL4?zBQ=Q$?zhl5%shcelSrzxB4ZpAJb{1?R}BSu zBBNv$q=QfeSLhKF!6U+*Mo=6ClSEKOPYXJ8PoDR@q&NsBx$jO&RSgPe)|Ap&O0}j+ z9y|PkToV9w^^D3_xbV;-xPImUyI&;9gWaqdZx0<#WaoIEE0RU$qsRM$0bcC8g(Q5V z-g%}Q1n)_I&OIi6wri$4)B#1JS9IJUqm_>yZ;_7&V|6P@PC7D-t<+B`abxYJyV{Ql zPEYM89d=^W(*aPfeDJE39&@-KUxja@dZkAl<=Ls^?J%~DqoR&SbQIVK91VTrS&&Zi zEYCt_YGu!2yoFDKgX&pqfGCe0ad1S?;TKPsk4-#bZo4Z&ZtX~YO>ZM1+$TB$TSrZP zp6c1a&%!f&erBw^$LD%4bHmDc%eR)7n7McV)t~u}X{NCCTG>b@nZk}_&ZkC(Qf&27 zR7)s|YoUFLDGWUSJT$qrrkKKnlOO5}@Z_vOveiH-{G>W*3x?99X<|!0n8Gj|L#hif z1*i66qSZKJ5`wf1pTNP=u9&Pwt=t#>m!J_`08+Zc%FmmiX&tn8NeyV(AEZ?A>eyT(2gSCQ-Zd(@C-rU6I#xDc!sd=F?|jfq5ulFXJg-o zq7|{9{)MMo>lbEM)plBWivy-aM2+1-LOA$z~@9(Z7VS2rZA{L z;x28Lm7J@DRkf8ie7i?fm^Nob8MN!BSI!M$mK5xgg8h|2yZzp;`;G7aBW()S8fn!# zL-Jt1I#GJ(a#aXO*IMnc9j>G=vGELxoQ-u>H)|AHsbwsk3 zvhCJV+(JJaA}Xt~d;POw>g}~5Nd22g}7s#V==@X?HtP?E~aEi>KoGm3wNwv zO%8Fl8`4<_3JB~`4YDX=kjC55;@uf6XS_viE&?PzMT9T=+Hjng3i z2xO>!IZZUBa!*ceFMBoPkoVR;3$s(S?~Q#WL@0&7hym|3{B5~C5&kBJWCC)8m^DGJ zNcEZW1xUcYwycTeSELx8pPX&M*G6 zpSAM=8l^^oP?u)OVq%{uiP^E*`DD(097P4EUdKKlCI+xYTMJw;#>B8i9MMj~>r=r5 zyI_oo4;Ubiaxhjz`*nNh7!y~{cK~V101aO;#>6#vK5V-lhc&fM*$u4SLLv=t;b=twm852yY!IXN)Nd`|7{QX zb(_Y^dgt2l8L4v`7JKC3L_(NHSJ zTxi29({7=d+TM#8bL}xG1mPaoEwHxgPY;k{%r#0Bl47pi7*j}!xlW_eS&X@WQoKitD8mx!p|yplaNk5oof$UYR!plFUZa}+y=7K z>!+O*f31FsEy3!ofu2YGG;hu@0!#h$&CeIsi_H4J5D_c;v&5~!a{_B~d-Uk*cz*c=2wN)|eI3s)W7ty9+lcok|! zNAw{)^bGsG59F84t+2m{T9^Ft4d$0$|17+fTG5goSX9Gn#TsA6O(vt`sGwVRFlH<- zL2P;FuMxz)_8UIoL(jp+vPm&(uBbZZlNVGDs{yKks5qR%YJh4WD)!xBLpn#Hob1&s z!yQIQiaCxdh1Rfg&7&A#wiJcUyHLGqa=ty z>^LPsygQFWN#G$^qk#R3k>cJJF`hBCbz&Z;%WW<;g*rDiD6j-iX_T&OU z6KNisLv0&hA-MpnJ;wY>!W)bSjbIbo8IL7;SbJPU(FHhFBFiDk=mI#AUFE zo)0FM&F-^|(nz;3ChX9WZ4|u`>(i!XI;B-IL5!bJ&coBOJ1I>=`3h(x`V20J2%E0J z2}C$bCEURq%)wRY^oZ`)iih1;uAD$pezNTC1)6+(D8) zgGs{vlcAovM)%W8=8jXg(%ET^6) z>8K4C+;rHgYw1lx^7A;vR{+Q%3lLsqWMsp)%mSp%85vTk@RrAZ!dy@^jh>jXyd+@f zEl<(7JW)j7`;*`QVL$1eiMmivlO7N3OyncCA;K}dtXf&Kw;Ht@rL;e8HsmKwf>Dz? z3pEJs+ZDsl50vIw^Qs^QDlBs4V9?D`lR}?RlR}{YT-hq|s7XQOk75x8!TO2>Y&yrN zNsn7uk;-h<*pJyNs_K>cLG@7Ps5XVezm3v~U-5H^7cPTb`KPfEqFJ<_Ok90P#{Z3y zVdAxg4E7h9$<@Uvb_EJ+byP{T6X6ZTE17f{@|3CPU0&HNpSl=ZVph=*@px?_l-mjA zkNMa^BMR?n+@Fs^JiY|xu0n9Wkxh(|(>a_28kGBT^6R)pl9r6~n-1pbfu5RK8u9P0 z5r5t-YE|_TINVI&@PjXW;FrDL0|y27nz_?0@Vjj~X47Eqz&MH=A}mDtDmCIG!q{L2 zjymOg%$v!AGpB@kmk+=_u%(dp1i~UpvK7$O$}y(qzYFzA0u(v6>08F zke8s$rC_FMSENoe!6UAv2r`eEFNT4G2?7cOlT8B(UQo5&4k|32O z^?UjuL(>6%@&k*Z5)N!u?d1rN#May)b%@`b=6l^|BV|}uB@tRkFoM>HGGxQf^P2Ws zo&P?(R4wq#_5@vIsOne@d9m$f>x|ZeXwCWAt+#*DRWrq22{48RYcJ)-o2TMA->jF% z_O_yjdCRvZiP6I^e&L_^Yv1M3gS}q4hwJ8fP4=J_lQ;W-31iSefU@bKSI|JNPSMo5 zMM{SX8a$k3u7eA@I;GA)KQ|K>p!(wMiL|1tQ>?f?l70<>;5E@|gRMBJRO&maDxhgm zmUpy@#CO@>UiqYZ7X?DO@(<(ng}7inO0)7D8Q+D{qaneF1}bQ|F1l}e9N1jf8nhUYNIny5s;djyD92pLber?Q<<4Naop1?S!0fAl3EbFP>>kJ5>3g&FT{#&opt60xR zW=5UH-%Xu=nqwm8$mA7+87no^Xe7_dSRbE(?` zS~8`l(;5Pl1k6D*X0uJHn!I90FQ2+usw4TV#gs0lbiud1`0^`ne#e_%X^?)#V@msI zVa0^EvzXG`F{S_VH~fEp{%gHVrBLU#-x(ce1?rAAW=%ypj69;Q9qK;N#!ORcP->sR zJJ6j>Q)+^aU?1pCrYWt0VDJ>M1;)_!C|hVstKgy2$Phd z4l|WtN>dxNNZPUeWV=kbm~j^AQv8NcR()^YXz{zjH;pyY(I1^|6-t%p=`8=xc*5!k2~SpHfV}@ z1GWvQN{by?hWhg54qz8y+zenDd8Iv|=8GEw>M*u9a*suNJ z-Fx5d=|j!snxZb5UEm3Xj*3izNIS@TTfRzo0_5^4iQ)E8G`b5?6WEG@#Bg;`J>-JC zmnP^Wh8xVHYYxr3YJ!!-5Cyf;ljJM%ew!f5?mN`qSt(m#fG8E43p$>Fj}xc3Ls9QH z2g2u)AQiQ(2<||ls<|S%jvK*%C%_)7J@_an3A8|a*#S?$wF@!g{)8Z{U6Vj$JGv7i zu7T!AlGjqIsu(-|5xwhu91J|%=Q2Pr_+JN{L zAoAk?k--HKUUEQ<@#|W5GLXl2%wV{H0`CqKSd`J3256}P-b@YfL!bNL7heSw3&JvO zt68S=z|OeU@lRsu?xrW!qJ;7{l;0K<%YtHgGa6nC+~e(oJQ%;?`(YOgcKO*4UV3=x zD4=`TddG#Kgz{I4Aga&Q0ECDS)EuDEdc`pG3%>g2?oao_f}IzcvpM~+26vh2hpljz z$$nS>ETx#&b(n}wAh;E)au=85Vy60GZSwq#e%OMGne2x}8dY#HCm9To&M}qVK;hLX z1Rcf9+`9?@eC&rsPvKfRXVDK^!1sRLk}oWqoJOG0GX5~E4o9C1L)A=rIZwA6c&SE> zPLieDIUIG%E1%jhwE0>#^;k&gl@E@d(F1@;zkGOGF!6I%_M??B^lB5mgrQ46>VakZCI57J&CiHe|f%$ztEy*C5*8_*_irl^z|; z2?t7{&C|t%9uOO)p0YugQgi5((8K6)4sc_RaNkRKri9)RgS7=g0f7F*R1)Z9X*$|> zN6g~R7cR3gE%jGAfr;hsr(31})Fh93Su`epU+s}z>KjpgcLOlK#hC|>V`SuyaS4rwFJT$W08S@gaoO5Su%NX ze<|eo{z5J2?Z-$Po;9HMWe6K0-q+s|KTG1Sx10qNF$j67s`?~#YZ zugffd;ka)$u`gbS^YN7BjhV=KEqKcL2!o|H;fjZ;AF~sxSR)ez~ zx!qw<_W|GZ(VzR<+!fJCp>0hlHVnFmEIlc1Xs9`AtOl?H%wh;I8j>UACB+{Az;M+@ zH*`fTWP$b~{)C4=oe!OYy2JLgm2xF&kehbN9Mo;sg+K)EO>Sa9_(!{8M@Oj? z?jai^2$*S)PDimy0!|{RmJ=o$!5K(Dk+>oz$&p6deT^AAz4MIs2ge4}K97#yAm8yx z2SY7&KcrMoV5GXKJKpJ#9S;=!Q|p!ZH$$H&9qOFL&j>l-g%|OO;6*I&z!h5FBEZFE zcokiSdADf6jn2PC=j4IEjRqfki7uCVwr&If)dYEsO3i69x-}#r@00fq)DyYb2d;86fkiS;(w>Sa85WGReC_-L5a2bcb@mo9th0*`vI)d!C% z74w5j16;*}UgG;X&cz%VcSVW=a%F-ek6F9`1e%oOtmJ@LN4!P*@gW(W`im1>RXiko zk9KVK&5u)f>@SX6B)Mcwq4%K&>T4pOQa3N)?Q?<&U*Z+%kNt8{ zlb&)p#09wh@VxQC17A`5zAKrdzVH|O{XsE+J2Hp1M-Pwv1#*gPHRGCK?Pw*#V}F61 zqOuPm4ZG8nVYv37moE0}y>cHxl8i?A+Jj=cz(V?ZvK_m3^mvbubmGx*o?wS+U%E}a zM+i-*M))Fky&+m%yhlaRLX7~1*}n2|g5kvhnOFVg510&{u4vwid|6-Q%labY-^%NY zf2*H{Uu4MI%gK*cFY*@|OZdOm7n%QRj3;<&AJw-~Lll3JA=rPB`H#2wBERX%H~D_o zUEp=}%wM;=z^xCN$Bxf%$Q*Wui!O4XcAJYXrTQSy?tmAo=JvYNMR$w`++TEwsSpBr ze|hBU{@eA%Hl`Z8=P z%)`@dUX>=O4a1oHm9A1q#uK3H8EEO z4OMZ4-0amEhs;?$%CSoL|Nem=`tT3;)SMcNzMlHvstia zFip5xwYtd7C42J5=YlZ>AQV1S9G2!g-0BG%?SWUkTm;A>q%EqO<*;e_&Nv|Q>jk$?xnDU znMvIpU&&r`JlQ!ivRGX)q}%KTw7*M()*Dz3Oh!!_vVu{&wFa%EIz1}pD z?d#>tIDS`%by|&I@sP}Uw`fVl-A*d*^l@MGm)`w;lc~5ZASyKI+lf@%7G%33hzwgB zBW4$2Bykp91T;Of>kgJ5{eEqP7+nOwX_y6s;OfZ2Sh9c7MZjEVWURzR*!6}%M;8H* zO;oNJ@Zu3|FDAMOI5M*r5X5NjRxrB=2%$B>2G=NG%?+5|U_A@T@8u|Pve?!~C9Ab- zL6P&2G;T2c)3ppOy*%V1hWLFKK9nbc4`rUF?$xzs*lKF`nYOw$1dMZ@d%s8bU+eA7 zTHI+N2*)wN06l3dU60}bsKiVVoCzEwgfJgEs;!$-iJ9PNF`P=Q3SM0cf8%phVkU@W z9ds8|&IvrgZF!wa%mk5jFYJiBuEA4GY8<}BL8mm1e)y*AyWp-1($ejc9WZSbwYTarQOrqRCxghgFEKLKvUT8W1UN3yhiA8C1hSH#- zvFF^=Ba4Io((~^O4L#4lGxYk*Y3R9ecwSLO6St_<>vt7{@wk@`v6FDDs)O_}y>G(v z-u$L7c<1MSKy~mH&T2V8gM$~!9y9>d@^tyCrT&1YD}_38glJLC1!)^?Meh_?gSJTA zaTla*G{M6Tel|k}@NbmLxC_!Yn&58Tv5XBb8zvk1XAbcPA$ZZEAUve`Fy?M z07z_B%x+gT>F7^rO?q~_s!10xRX(_sUhOxB52JXey-a6nB-7ogEN(~`=c7-$3AB!G zodHJ*8&^08W`FChZfn&fwwL)hyJkFLp<)JAAkl`%vN=? zs@)91EDEwSm{sH=FdjPW03xzv$0w2(nsEsGAJ5CO_8gbhdE=g8eA94OG;>ZkK77^P z50H-Du*ugpBwwU=^UfL`XC!PS%RA3=XtM##@_yT&`fvZjCwrDxv(ctfOKy4`QX+m+ z!Ap!nO5}Ggg2(li!3qmY>k`tHgCRDiO0`3eB1L#_s^Az3-R5b$W$Y>T! zpVppt;6l+(Po?G;qsct`eSqRD0GY1Az|U7|RYmcGstJ#FbURn5^xtBHBMOMS$NyB! zqMNdH_e_%m>EoqRb)F7Yv{Ma@B%Kj-n1qJs>#*`nFX2fY_IB?{%L>oCMXeoJvcfmR zCHcpG^ZJ8+(kG&7ioUIw0_d+yT*u?4*X>JNYDGq;!x|V9M_X!wqtoALORHdQAKoHe z*EAZ_mYN`_ZP18;lqa62GH1Db1?x;(YJxiyX|>521ye*u$l$nOte84&7Qm*tsyk`; z#1a`ia?tp>A^C(|E=YZ4E22A<-3SB- zp?|Ge(X(+l^cFfm1ko^uBwIQ(HCSEov>{U)(j1{2TwI3k_Lv`Q~z%uFO`nzrLP-(*2K`2BhF4bMdiDFFm~`#12fj!qn6`*Nipjt3A@h`wrKwaY^VLn#jID!!w%#n zA}N#T(}hbiurG;bjNZ}X?Ky&O0>2UcINZ|qiqic#Zj?s^L~YHQldb}dsMuFE+GG+) zo0jK(Q1Lwv4B=yjnt#jQl{x^7BGv~P#iFRSWk3`y8;y+zvq<$H@X=d3T+Tn)saLAg z2agWEhn*SHp0C5R8_N=a?IS$xXusYlGY7DQ1^N~qr0}?3fP0oOH84q#ldrv% z;d#G+cM3`$-t=x$*n+~E;eiK>hBO^>O=8RPyCy)fvg5@GoEQQrL$SP24*QylW!AUt z;<$%D&bRG^s(X8$!qHmSgnutlSQJo}% zn^|C#;XLC#I_j`&R)dX|#3avrF@@qoo>X~;v1wKH`eNeW3iK|%aYekom?ZKj4a!lh zzL?72VSDvoOdQ2tOn&s&%wHq_WanxxCiZAwOdQW%Onw}FF~@j58Y~;|VG~$1Ap-HD zIl*hG2N+Bt8N9}AuTuATLV!R^;Jw@C3Bmb7OQ8IT_q4nB2J(d9{G2BP#2@MWWjmZd zQ+B=yRuIsP_;Sko5trjT9TJL41QK=tZ%N8vLZICt9kAsI+1)Wu2+lX6G{_TzK;C~p z5Pziem+ka}#miBsW6gkq^#nWHCYU^eCkL($aI|cY3n>iG*aXK)-NaI09P#YweJlpz zkMIwp2TK5kO5suC2@ki3YOD$HD%kHSAC{{N_S*^Y;7KzAy)OBG?M%4WJH}PJzKCfo zbyxBRYt^qF5;efk3^K|ee02}W2(>UzR3M&y#FsB$e*4Rp4_}belI9Ac{^XLb1cR=? zd5jB@3z&UZ7-P-3E5ma2gol1w?ozOdyg}NtKku$kx)3<)uDr7M`MW|i&d2=qm;c$< zc}i9D+m=!-*>1FINaHy4?cqdE4_UkNmBn62D(=wodxT4XaD^!76)9tqpg8v?s9l^~ z@wfwkB?*eFQv}NZSVVItk{V_~@pNoOWvhWs!$g}_FjWvSNmgAVuph!DN{1Fd$5!0z z=-9TP2}^`ef{tR@B(bBu^N4D@%g@EZ0LP9U^fijKvTfhVHWT zI}!Z5lHRPOXO;4d%!Tf$$fwpJYQDZv8DE=6?WZweeXOxSi;-?>QCnOmN-9O0gyLjd zUBv5~Z1XKw7j+&)IAIx|5NxZ2C&8JJZMUt6Niu>GjSk5+OVASc&(4g5=DyqwfpN;S z@7j4uV?JqMoO!p1#_V(6_BOwfS6Xnl-&eZ>?hMHDFk*pu+^4x$;8XwT!yo)9=U~yB z(KDq7j2)NI9k}#t0h$R4Xy!!T0H$4IqmO2;#BbZhtF{&mJ4n#Di=#bDh1rWv{@hMq|Dws@Z8GVG9 zl@s5atI;%OF}k}Avw{-cqCT4>&o;VhgpFf#*zor3q}w|Aq-#7e#ApTzDt|*q9}GuE z$q2GP*s&hU2QZpQ9!blck^;clq@Yr^gQaRa0bt0_f#p1QCjibjcJ#q6pEajREN!?P zdb~9X=J7e#Y9mvBRJEyKngTcYEw$<$0~! z=#~^H=tZMB>dBp6H#%#MkE~tH=&U&^JQExpHAjVKg5#6skOpwc(Mfak0BudAQnVp{ zf7%x&{qhyGtvH7!S-Zk8rKjoOo>Yw&{giA?$WEnW z=fpRzt4mxmq8=LzB%%svkEkA-6zaM~EkeJZgIav-xB_s9nB+uX)miEdjZ#UyQ{ARO z3<62L%XXs=!>0T^o+OlFh&`zH*;N(zeSu{`v-SDPv#d9v-I&yQHC*D9C@kJ_4SbUx z9!_Z0Okqh+)qN#0slIrVFuXb`_^J@g%)3Rc0a{uJZl;Cc-+uXppZVg@LLgR&Jvd3aKM2^QzKuIx`YOW}#T$gvL&S?UPvFgN ztJV?;)T3)I7m`Qg2nuV)TZDrW`hoLAOldg_NY~v&_)zt|x zUW+BY!sF59hXO+PM*NdXqX=Ccut)pIs||FipTZ@+@+}U-^}P;pFKheOQ^Z$(K>5^o zLuP2~ukpTl(o?&tgE!QhaVz{VVFv_T$$gq&q2^H97;M5}xLf)dX%i3U5Biu?ftC>| zAxoRT#Jw}>TQDgNjPQen*im9I)w$^?tzfvq`$21^n^E=Z$f zf*3J`;W01p##x>$!*VYz1lSOb}S{HR38xo!s??_R!W<~!lKiLijrL7Jj!*{3EA)GJ#E5Bgy zMBx!~$m0YoLkwcL9AX-SeU#+t`964gPS3TEbA9l6%eT6nKKSYnedgEvk4}B3v4ZKP z5;=sfoFlMdDXPwiq0ux>ObmHf4iV|iiZSs}(m2c@**jm(3Mw(?9S*fC2W-Y|-XSH1 zyeo%YHyHvSvku;sgV_OXpFm1F)UF&0lX5=G zlo%>?d`Z#wdxBzO$R*LB+dF!^J>HcggNvJ^r~6a8a<(u<={)D_WYxH-C&z&QBN0kp z8CR7Um8gnYAI?x0jzRsWDoc8r2J`QO{42zN9N<4vYif0;RLXfg;)>MlN{cLzpU1N+vGoPH zEBv}g&#vINWKQ_$NvC|zmwe*8*IuYsn_#NESO~Bn*mS53ny}=3EnhV*CfLi%bSUK6 zTR$(lm_Am$+mLD?cx4UcUY!nwmOoW#e(308Vq*AF(kW=^B5y)kF}j$?PH2KS<(Oll zvS4&E_vlTN^fm4_hg+u=lZ(09b2=1#(RTd$q&ideFg9*c^plF+OvXjm8q#0#&0}y7 zxW`FdI@T{pBAms=ylEFxlfayhD=A}pQSM_48s1XGGyFs5Tr5mF*ZsUB$S6;7nXIT( zo)fpIb>Wxv%*~`{UiXb3_G{kH!ytX#`nGekLH=QSvLXr@WHCVPc|(j=HaF3B!rL#+ zConr&im*35+s@H}iP3g~uUlJz*^S*=x@bFh`#l-Jnef@dHs*7TwiA&EYxodE{fX{5*S1E2cJa z?Zr`a`}@CV*qYnFV6<FU6zpk(*S%ShSqBp=heml6NgAjc6kL5pszVb*aKbt&Vp4<(s$gA^>aYr~ zwq($zAQ{B-id2Uth{=qFS#6KJbt6c1Xo6^b=JYKz76P8z{yd@%P0~Rt{FIiQt>jd< zIYw8sMb#qwpb}Zj>A%H%_BFH}7mxonimIN;l#HItXxVq^7xY{SalPl{dL(HW65FYH z|2~u-peN^NL&`He;kMGlPsrQ3Ds0~JLn`c_{=J|0y3coTiRM+!qq8ilBOak|TBux1 zoq~{TGiaX6EtKxw7b$wgklH} z554bj`9kzwU(hnVTm;lLv=#eWThjm8L+>d_YeyMSkV~`fc>oS+2Mb97zONPShT3Cz(Iv?ln{{uf zcelJX6AG`FL0D>&cQ>7Q-Ysfv(o&nencC#Lf9ntaslC@G)&FQCh%(g+DZByioSCfR zwtx*4Xhqs}WX^k`MvNf#2lxt1s_a+m-k9DPK@{>n`upg*6#Hgwyh1eX(D3(ZuIcZi zoYx4(2m+)^iZMSRKu{;eSBwz^CXtX~onwr<-q2xB#`Ee|q%KD|v}RI?HrQ7T$$0n{ zXf`cN8p(N(j07A&wF9n>HGwWF-LpZGnXIyjq+zGN0??F&THYil?YbJ@f-0)9Nd2H+ zU(c>{kz(7mNz^T^e^P;S8&RWsQP0&EZ9Y+A9L2ua^_#cr_6WYpBpm0J0>B8SD7O7;1!}(}*obsK#ttd;_9st)5fms{9}pO!mfV|!9Pdu0*T)5woKiS? zygj8C7i;df{VPiMXM4~>1Om7Qk2+g@iy8%=tE$s;q3(^oEJ|D3{DT&Mu@rf2*0SuT zN5)in-K%JF-)nDs8Kpqj3*S*N{@0vJvq{OmCXZ#?1>%155O;gRTZsD&A>PaK=SFCA z75lv9+vtKYl`sE_|KwM_XIinJFwRf3>$;aDimKzVKCD#@4=9AFIcn7yZffCEvpbMc zdq-tB5yjpUyh^bbtcb68m16H*Oj7J%Ux6D-)E*kA8sif)Uc3gWUhdbM9yC5Hc1$r8 zTLE=lW;6Lori_-c2H{ThDKf4<*?LyW3v6b}JgX zaE;bf$w-1zLmQ%O%_l&y7cC$8TTHPRot{`x@J6QHx>t6H{vdo|rs)Ci2JR%M={4a| zln6?u*2n~0N_*(2*1eGlYOq}~+)=wB|Cn8nJVlt$SZ+YOr zI()p8xcl1L)JNEH%w(fcaZuB#{1^w)MP1F$%?UJ{ln9s=)28;9$)WIEUsPNptWrM@ zA0F%r#A1rS+#%i8BAvvGFSikr61VXcAP_S@UV_xDlE}U*Cup}pfuWHM&-MjKEIBov zYhplYD;XZ{3qTJ_V(f&+yp;@3_XUP3VJZTP6{~d*X(g;AcAiI6DfF4$BZO(z6t!9< zA*j`gwRu71EBx}(Ia(z*%(3z51}AHKMewET8-r`diAtFUjbYb$4=?~Rz6aPWPd{cK z)=$0n0AE@e!`}n!`k1Ii#Ed#kjkLv&c|6^dX zVUJOQKW+GQ1?nEz_F_KRBuPYAnQ#y^bg5xL$S1@8vK{u9>5{%bhesxI2#lXh$CvG} zzfg6C{lQ;JFgMx++2eBW*^cjfJid72mE1wNBf@ff&aMp0;c^nOP&!PYjQ2r$u(Bi!FPY>iI(;oxg1R3F~x8KjEzmV~qPZ*N+`=e)amd7~UE0KPDt@ z6S)Qi^6@1|srz@5VXB+@co{jyef_m;^YzclBumpB_pt>c4(n&*CVuO>iKVuO&@95m zwvkKY{^-GyGgjv%RvvR7i$OQ8T9PU_F}!j1>I^;StRCfP?FX}2q1 zO*6Eqr!-XP));VhuWswW=b_+FxAWH+z0hsC`TDg0b~Xj;5O^ zucAp}fCFN8*eN;_t>u&Ak4c`;DIy`s2@go`=)p){z~Zqqhg$s_jd2nX`D3ml4Rj0~ z0!*j?c{En5-V31(enpa26z7SD2$L1^V>$mJLeLN%B5bt}5n_&Mswa7JAZZT~KQ<2$ zmbQn8AMfNL8VP2WYR}^_)oB68V*|ui|~CbsXl#klLl@Q#{(Tg)Ay(PZ8Gx=b*&|N+zYCJRFBP(DEiJlOl*Q zM1+H2#ctiBHWzhP1os_4kaS0IvV;yov-vsFqqTD!ZK4y6X6HE8$wr-HD~@)uX@pdk zJb|-9${Aq%q$5xJqF>>MOmLmucEcJHNw(mtw~|b9+0n<_=RVv?w{HrEsjKyTw(7AZe@%rgDcw&=*M#)rr=uB6vMpCdU*K`cstL(BK>aI zdhm{yKlklA>PEgZmnwfXXAJ3jbx*}?iB}$8zU58NzwlN+g!mYAFFxsY-~83z>v?&# zM25gHyX5Z0cD&8QyVbYIyf;QM+Ar@`-&zk^IT-A0G+(t_eZNBSyIC>17jEN0HK!$I zUop8CwOjoJ-p^*m=w7%rMykIRjP8XSW59xYP6Okn`a^oJI$+M}*pulKGsco@V}5Jo zgQdS@pQocq9rNd7D3AOVCYFRyUJw%@l-7~c9y`N%1JpL|z^5ngfE(qR@^&KiZUUMs z(Y5dY*b}L7z9?JOLiCftTvs0CD^%cPC;sOxPrceE{=e^=zW7^Sb{-F{$y(xHw<7r_ zkTja6FJF}~$poEza|cw3#40XGJyr#+0S@yMIWQMNjSEtbnIPm?uSh*+g3hUlz)O?ME=WCQg3hUlSp)4=Q;(S-loJ`@klaO2a(j*vCYdCt zHpqj_S^^Tm&DBVlWRg`kLYtQIMEPodaZ|O}6>VUl^e{7L%#5)YGe%1RV#6)AClq139~J=p!&dZM8p^+>aQAESb#XW>Yx4%NlSaXnE_37`>>q zTEJ~v^bswu38Lmp@-Ehvz*X4%JYsoGve;8vUcs5B%Z?>wj8WKixNb=9z`vW;oE>Yl zmRGk692HE5As$>7+TW)=+I= zZSAP|x$^I2v`){G+SfL70{a=?WHh+^Y@$|0Yr%7N2DOrw+B@7z`lt2?{>{)QN(bv| z;jH~_s;C$6_Q(>0OkO8s`EX~-&8B3iqFx*hs0&jP%nOAuEl7qZ`J&JxKyrQT@s=ug zqn30p&?m&XPkc;(U1^@DNP2LA;Y&PEk?cLo(k@j_<^pp|=x$PS)o0xaiRg}z{U zAvyY#5|VdWT*wBUSC+b>3F)fmE!er-s^*E zv2wuLrF{JqR!%ybJYU{PBTzgb->8u97m%9g>lMNl_D6RPlu>A0Vj(B&FMznPzhDEy z{%CwkjbrF!%KJ;Fcda)x&_iiMbgZ8KT87mF8ZW3u!0)6lyu$CW2@Oy;vD6j{q0a2R zkHxmq+RL_-HK{P9C(Bmn_c&AAOwM2*US|%WRynJluYy*Cyh3jF>hvP#tRCf9;QYP6 z<9C1ImwI|a)pXBGs;qMZXs2LX&{+;Nk60lL*+q^Zc_6XC>8x`DXs1}=`KK{f zI2ZO$@dWtUzZv>eY?D3f?cb#^@CFWCPcUxPUk>AzZCA}w8V;L$Ppf(Zv>Lkb_D; zdBJ^`n{#nSr{015Wqg9DY1%cz(|bV|Q1e=#Q&yx|IUKVf;qkqoS<>$F)e7m5Tt`ct zdT`k~Ks0dl4v>>1IsYL-h#npyY&~wLp51Nrr2HY$T!7!_^8Mv)K13tY|I(@VctG!^ zQ|}Hs^*TnNjNY|V&o+_QAcG6?sw;lm-we+0{iQW8x~mz4eRZ*I1fR{khhTqd#f)2T zfBEv^3*u}`*P)c+s$_MElVEKE%hkA=SE=jASmOK?$^uAG z-}onW8-@{4UjxbB#})VI1M z7oPF<*nu%6e5*~+yIwKsyRGrXgfZCR%LF9^3vCKICXDx+wbCAg;&Ij17gNHx&X^qN z+KCC{(XO4CFdpsN$qC~+WOATwCnb!%H5a|Pz0`83hY&_!h1G4D)>VGxY0Npbi|7-6 zP<7&PH~AvzJ>9T0ve7Q;elg1&_B6hdK3hqxhNjL}(|7jQwVi*m@9eLCGxUj4{dpt! z1xpakq$jkKD?9C_8Phgxvka1`Nt;S@Ow=#(SXGVk*v&F1fTivrut1)ZA!gDy+5%R8 zlX{jMaX5d?8Q1fd7(HZ|`M%Z+^L^;%yUZcaRgvYLxQ2@S;rINLuYB8-igX466qi~( zCDyI<+>g#(iYoF5Dp&y#1KQA=3=&nO*VHhoqCIp}k$@$an%cJOkS!-$-4ANHV zqKdqQ*-+zioTQ3ee#u%>8=T`5Rpj^_r+9Y?LWh{Ev*$Qb(TD<4HTihsb&FE9tYa@D ziB!>$veQGCIUhWs@(*`Az^QfLWbQ{)sC+7ee$hc7K?6f0pF{iC!dIYw?{-cI&i4>3 z2r6<=f#HH>NN%`b*={sw*p#2gTuzki!rP7n2!7qbyV`8Rc!9Ivd$_9EtJ zeYij<4(hz+haA*Tf9Vf@#&gaHW9@XHuEsN@Tc{;q-Vbyond`R)Re|NJ5_VR>N}3P6 zn&zHeklNP-EA8DLnP-KTxghU{2|8*1hUs_u#xBSkX@cOT)A$tmZ*~zTL26$U^zp0f zu15k+?Q4Q{{3=Q)C>-S#>7bh633T<6amPT{GM%n`#f&>r0#y>vC@Ix3e|57jlM90+ zwXdytfQ^uRKfrmj}V0r1>>LE?3oB*wpM z3(3AS_c$Cq-k&{CA#(J?YEy2$CeB3^!;pflyU#n1`Y&-2G|YE^Q>J zM(K8!>PGr+Jztv3$NyB!&>Cf{jCzW4ep+CRaocpcPh+7Du|xE5^_*8XI9zuO_e!#p ztP!C(Mm0KBX7Xu4eSVftixhrz;%O4jQNf^g*+lX>xV&d^zkqBH+_G-Mpq4S5DVhMj z;?V?%!{t784iS{+)=a>Cw!=sA>={lkRkgjW6HaeWt1s=Gciql;70rsJyIae6SI`+A zw~*B7M_7v*IVS{3pFNN}Jn4imzxv<$2mkovoe)MXgW)C3*u+UY$b&`0py@9YbYEa# zfKK!-!mM!{LF+~-TCWl<0-}ZK<*h}G#>-77!a+qh`ijxJaLc*flpxRqXIVM7B0wUB zjWDxD{xzB*HypjMDAs%}n_|<5h_5E>5sX&d;7AUatM)7&^s1HpXp|VWVoZ{|O4p=# zQ0d}11(n{WyNoz?;)D0Tl z%8(Tj2jKj;q&%BfC>>LeJqtF&KvF%;G>{aHVULu<{?-5OM>d`n((~+TjvAMMf=eE=y*)&6}Zt5u|{IRErms$Qs+T5;%Co9tOtUWS!R0!U ztBFY+lo`+%Lq)gQ^+qNp<%u3rlq5tY9(zAzQl8-DP*etR9A(I?AG}eX8;rx2MA4x% zCkM%RcQ8JfI$htT0H%8+1Bix;bw- z1_1VccX5Eqj<|yWj>vi9=xxRi`3!&FP@=G32}6vU$4DY~Tl0W%@^VGEwB9|6^Zd}g z+YvZJv$e;t!1!q1h%r**)K5OC5t&)iZEc7yI-G{O+B)f8!w$Ueb?tC1fI(!go&+8&U9#6X%hs)3Ee0*@nQybBPfY-Lr?6{B&32{_IacgMS+?i7?P!s zMM@O4r{!S9jj}@nRjLh5m}^iXOBWP3$|O+-L~9hU22-i>lCw;76AyZzs0Q)s;f4Br zEDDu>ITsz!+Ha2z{nH$oEFDs4199TQWPBbLL1|z-jYd~Gq|s28LR50LDJ{?NxoNb; zl-`{wop+1cROC_!x*1;2U-u=%0aE1Id(*3>!Gllr}cCAKylE z*0-Z|_rBOLJXw~gL``upkK0856j$YE|7PeDB?3^nAH%{ll6##2C`zWJ)!(z#jH>)8R8q}KoS z$NZkZJ(*QqN>XikJZ4p&f|8VQA*iCNtaiePVE-0M_2r)~2Z~eJ#X=5#e5Bf|RcW?B zN&-S2g9b#$*)dZkA1sVlqs-bP45>>0x1uyl;DOg)yE^PI@r@xFjVZw=V*KDj?^pdK zZ>SYqAYV)*B%;0Tx|i9P{_}|D=+#naIL}Zn zxHxoc5E{ywClF>elC;jYCT1>^Gz8@#P@c9`l9-GJ?*e!qRTAjVX3dz42I~XHSBNBv z5bb%2&b6b1-yB_8Ozxu!kTH`$=mr$%#S#3dnqJ={(a;_}-lG%3Z26Ud)m`N^XE_J* z$O)H2`BW*h$mn4I*1iPTVc;dezuHT{k7F+ZzE3X!x8q9yiplsAaPx*=f)R=bW}l-a zC0sFi0=;auAPz(N82R!9;M94#frTo=KR7%%;UUqdpG@~e`WdWzcuW2G$adpx2w)ba z?epWyc1WM&d?O?uDQP^Vaeuz-0gMC6M&zTauYeycZuVvy3;$wVj&E;Ru1B!AJCk4$ zG=>om3+jSx!ovzDVmx5>b+%j5Ao}kIK1Q%0h7*QBKH+@*TOpJT`-4R>wctV^@8A2u z;_s)Amz59h%jD*o)d0a`DA|GFQF<6^H36HL16?<<)b1pF@6D7 z(5o4#f<|B9$fG1Tdv(U~b5@UX^zlCPQ@{ORc=uGC8Yb9rc0iHB-0o@n^OzJno-2m?91Yc^X z6-~$>F%#S$_GD6n!?Zn; zN(7#d3sQ-hV4-QJ;HJ=@yC9XA2_9E)Ep){-hv=jgsl-h1bXp%J>;T%bIR3sOT`Uts z{DPr=^ofqBt~D!)604FxzCg*dTnB~MN-|?&lE*b&bMdo)P;VvaU{uN7Nh6sff{ONf zB;qp3-D#^4!cpky;+0RTPzHCd%&x?ntM(i6-mC9!0GG?{xivG@&v(*MJEBlqX!z1m z+qkdibkyEwlk9cyl;xRr$1Qchn8xdY(U&UP-Br=%-J;egEmgFesiOUXkNzv)GTlzK zM-onRaJw?G#Is-_5%kOfQHcs}5i5#0azVcTWeb1z2AUJ3Z^(@aAeyvP&uC_9$n3t+{Y&Rsn5rO zl&Ae%3zpIvBQy{5mT$E$^BTYWIp6$CpX4EdE@bS2x&?N@6=yrrfuEXaZ7JmAzN3mk zDzdCm@*1{cnVtj(ehm-45p>P5Ml=FwygKlJpf!T7IdG1L6DNxySJoK~p&!~cM-p81 z&e1dc5L_RC#pjBcajB>SU(sta6TkhIIKD7!)cNxxO=y8V}@n+G%m4{^XXv6%8mYcJ`n2oSh-=Bo)dwGP< z)nJPces@0jyj#>-fyD>E86W&xzqI?>S6WMI$u+$-$m5LhASEB1g>rG$2k4EW4?aq7 zI7m!<02wqJE z)DkA`HX3@s(J1VjiTGQxnZh+T7~as}XGK2x`Y1`zE$dB`xn| zT%C;>Z0&FJwQSCj(Sr@OZRxok5?cc(hMEKM7~?SVWlHsVd`Oam^0TIx#&pAb>ZhR5YZPsib zMvZ6H5!;mn-S`4mvjN?{M5o>A&aTUwJ=%pAZVp zwSl&tmHZ?gb>e0_4vPfKZUkb@ND{I%W|_EiBlsE1)2hu}zBPc%L4M7@@nz5bmmWDO z%E%(8f;mFQY@|z8ZfAi^p%SH$!BCehwL@KTtV@>4!305h3XlP+7YNA;HAwjiI$7>y zIWz($=1BotI(5CN>{Q;i;sKPbMNrfYLM&gA%DW1Vb;(Mmx*WQKu=-81C33PQ9fh^s z76uVNwkYo^iE501j4?QVr{x`!oUas{5UNB=WxBiSl>)+g6oV%D<`GZ>O~j)A{zKFN4AJ`Bx;l#6ZlZbNLjgT+rzgc~WbOG>1nOKG6Dr zB?!duzB1fP_g&`a+RyJ3&m=m2%v(fr_B8Xf{x|>E>;LzE;-*ZB+=U$8y0WH6Ej5zRsIE_X!-W>#JB+6&K6I0|`$#i?bW-muS zZabz_!_g-l12y1`M-+BQSpj(}-jBsLo@l>GcVBt~?)V%;y88KW&%z>?UZ z>BCK404}GZC5MPR-3vfNs17wl3Il_B@-H;oV%I1+e`rm0G{9<3V>f`C)JF*7bzcl3 zw4LPZ=6_TO*1CLfX@*oQ|1x*glp4A-FX| zW%=Q@i+M*QNruaHv1l$BGwo$G04zQVu-&%R>Jw8M2ksaI3-#qhac z%(U;3yAU4&WaXt(&;?_r9i?LqIv~-Pz=FfibDk+xbJk#rf_=Q1q36|l(!`dHTN>zM zfvp9+wv9j+9&%)*pfOBwr?4+Z>)AHmAqq-?V$a;lV^jl8go0_*F2^RMv0!avE!!co zl#*pO_lbr>7+&HD%Og^cPX;bzuj(Lo*6iS?&JNVI4pW!YpT`4TvF*ytu)7CiDp9&t zf{b$8cpGMv?-*fP#p&GY;9@)P&UO}kG;xdC3hNRC-weL@XMX=@f5;zk1`ybb&F-@- z6|P$#jzPo zLt$2sw{s0+7`_E|CU4HQjpaF?P`oswDM37Ac`9prjV6|F^*WI=-}jnN{>~5cAgdlO*C$nnh!BW9OoxvCJZ2J=X)&wqzCCpE=XHt^u{dDrXjV-Ad}a9U4&W%v zht@~0T=uR~{C0>>T1h^3<>D}4ZOth?IQS7Wlv>H)tvv&TVhKAiLJ1|mbm0mnH-ryb zAtlqe+oI>8m5jFswN+C7NrX~nXxpzosg6}GdU;N_*QHCj{CyNi{DSU|<+&PdIFBE` z4#Gp(KRFoL{K|)Od!j9iXn*B!SN;#BLf`a2&ac&*OL>kjcQ6zU4suR;E-32oUPCiW z@otG)9!T-gP&?E=0{&S5JPUwFS|t(=0|>I~V4J-b+FVeg7F)4H6he~X#|0&7DT1KQ4sGN4Kpaq}pt>MEgtCQ1pFx5!EmeIE7ZeY{BtcrTktE`2x9-z(iDOz0 zep;;oY+_i4=18^XVNH4nwkCdZ(hv{})%7UM#6vJiG&mAI#3qJqVU@HsXWRW?p-U*{ zmi(MaIboe4OFv|k)zx@4>U_8{6_V2?9v8+hzSq&_i>v9uq4@j0DWRliDi_AD%eOsz z`@@$npE|oynMUnQY|G{oF7A*r(iF|dO1dO=PT%02RLkH6ApC88b5lt%ajwRA9~~T+dg~klhmmy6xl$x_wTok45qJ zchqMvq2fdow$aBx^7_%Z^mv}2EjBLwj^YJ)LRy&NLk3sgYxf!W_J)M6^f!h)L3p{5 zCkWr}@6AZDF4fK2h4lP8Jwm+q((~_pM~xt{5x&ysvbM$ygqQ4jnG=LUYQ`;+EO=49 zi$76=_I(W5_sl8xp)2w>_-#=C?32I>fXe}27lC=I&+Wik&-{}UsQbUGgd_Zv*s$c8J%tbh&?BS1lvz#GBn z0vtP_1VnIP7E;g`%$yen5YR0uz{!1uXcGIij*}M8sSY3Z=79#j^ss@1Ql{G{EsdG)N7gbw^}tpJvxV?3sBpk(Q=I6D&HN2 z4;2Swj{!{TEDRkz-k%)`FOVec;6#qA0A-kTD>Q8H!w$rQ&@Y`iM7O8m(JEKCLdr&j zJ{#Y$e3gd=J}S+@x>p$(sB*@lRJIDIV)SzO+0zF@pC~cTySStjZjeIh+LTE}ZA`?Z z*9LygTYd=m^?Bd<-v9a2J^ib>cnjA`W*({6PTMX(+fL>;ypZLqwyb+1TRZE&z;Ct% zPU>n~5#Lk6uuzOdaI+$HfeC^kj)!LbciR)BuC9V$l0zL7E25WCm7AXQvS}fie)5f~DeB0jT+vi>|JhzLh>3N_J&rUyzLV=Ln`< zTstW{K&0DVQM^GltiK^iWu&8P?v(~GY9Z={h+=~}mWvgQ6s`DYmtY>nY zgt`rumW?8#SXlISfD12yXYZD>L1HgIKDqYJ;kF~LE4KcSYcF-;Kp@wb#Y4-<;Se|S z4Gy_t8JBxLqt#MrH%QsVB{`jB$gl~CyDjz&ryG`N7SnW0j zgQKs?>J}#qO65qRBnpDAUQD7#jWHMm zQeEdd0*GD4S-M~h22UXK)xd2)Fa?7kv>mAh$NKU}EqInW7Nb`K6gSYGv{hr8$H(;T zaQT-$E0TU;wpb2V{>dgl4)HDx+2Yp956SqyQMzBHjmR$PIdwyZgycjQO&fz@5KXdN zx7|Z3tt;V#QTaTJ6&L5b3!Vd6aZ}Un1lf}&4BQa&Jq?!knpF1lVxC?|wOHP}v%K?e z(PDXThsypPAO1VO^XohftG#D!pD9cbQf!O~*C-)2J)E?>)|z=d2~9lSdxC-+K?&`( zQ*OL-RYFG-JOa8bp(8-fNEW2_QHdOj;Ao4hg!V-c9FB^^LicQ$pH@yEc?t>b?a&?O z&q*e9z2BGggMI};3GGdAvsFSUjHp2)mSjGvpoaEE@~}N^k@`UED$)w=4=HI_`z7IK z@F%AvVDQa#OM|{iB2jvT-G}6UPaJmog*3D;M~5{>zC|RvUeB(E_C<2EyH{#!c61#3 zs`lPV4?!&TqkbAzEx3cb#O%8BFof#zZ|8^a#ZicDUwTGEpl~<+{^_w?1_OcHU-ap= z9>4=-|pjGX8H|ay&L!2mwZupu)Bjl7NDxa;?Jv zIj9+lo1P*y^LKvhfA-7ooKiEOY$m8n9K9Y-NK!LOQG(Pzpl9v79z8;!;!*6NkBQku zt-}-5%xR0Rd~wS#E~$0PqMF$s*tyP1_8LgS#x09#rW~3clvVIedt{FgJf7x2tyw+_ zs&G=x)EB+5P^)&(%7nbTsuBju68>ffxl(7Yt3KKp2z@viLc#c7v#4yw@A-L1#{Z2H zLCu&SV}BRk5x8?Vt!4sbP3nN^syuZ>Bdc%x&Huw@Djj_D@ZeEekeCjh4G$hg-ir4+ z2Y4IP!L>j-gu6}Y;M;)Rs*Y+{^Lx6(bwuy0tJF&MhsuY$BT7P*ymsTl>yE`k1kVd^ zU=F|rT%q775phsGBM=3KQiA9x{F)V3iyQ{>!{_@=^U{S&Mt6R?aOthNA{g(_Ibv8Y z+$em$_z~H?sz0QErnWI*D>TsDVQ)?OO|!-YK` zFsf|Fxg88QU*xdK*EQPl@Sektu)>53qz3UWujlv3mRHTy=Q0N8 zN$ZiFcZ=Fo^@54I8BEj%e&4tKnJ@FotopqMx-MQYiGSGzF{44Ivgc1W$Y9u;tcaAm zrq{~BQ1y&KFlwTNNQ3xdv+i--OQEL5wl+(5ppzyB!I*rjq+5H?UbHBy6a-tB2!{Xk z2r^<2jNVi-h_Ojz+Y?Nfs2!lzQf~!ptJm?H8e|SgJP14+T*)9Tj*Ta1d!dt6Z_bMI z>X?AUzqCK4;$6y5L;^4PMADwqpOP!uxk#2FJh&oIot)WCtM0%V^;U5Jbg%bKwoQ_< zpgXzx2Gv(zhT#!eEfqMJaU`%zJd>iP|A0 zQLCV$W`@oy-TuUmHY-NWTssosJ{Fe4@;evIOxa?0Noh#bp`HmSn=~`()1@JC*EQJN zSw)wcgACftyZe}sJSz%Pb$D)@9}g4%YeK7fj#DzeA0mvIJsmO7F$xN%9Z?W|UG$sPCpVkSwMdF=&!YPqrn*eU)`(WD$A9t-$7L(BvV zsFG-ej?Jv0(yvjF2?7`k2a2Bi3Za--Mn7~yBh-msYa|~VLE2hd5qVUy^$?BFv8gj1 zni|st(N#|%D+DoiEQ3jB8Ai2a4yUc`6pY}{`xmVpQems!tY+}0Cz>4hMSG)ZS5}}@w*oVdqQ?(VP;78CgOK5yxkX* zA>dkNNt%*!8!uqsAiyVRjY$g4gAwt&7i>b(C{fs{8=NttL|c<)Ns+!X>(DclATt{#bArd%Z7#a#)1sS~7&ISr2Z45nnAz^O7kJIX0oNCN^uzvqi{b$# z_fmbrZhrxN8}{!my5u55Anz~XmhX@AjR=EochRLLua1@=9$%ucx<7ufcsc4&SNd!H zwdy9m?T*Do-N9o$U34toF>lW?ep`CeMGf4$kF6?KzS^MCkPzveagNr+d_q_;)$ zRuC)%wkTS5P}icQ%U6hN>0y}QzUvSmv%0w>jX$4f7v#faf}vgtcK$|?8rlTCUJ7o$ z_RxI1Oc32CWJ`u2!F<$Kkk63`R(A;${w0H1zQV=uPMe??R*^l`u-^q^y%dpoDXew^ z)J|6|7Sxsu!_$w2+sKy`3u=-+JsqvIn&}LZe9uktaKur31BONey^g2NOutsvWl}tCN4p2w(qy0HWHQJg!KpovmJ;`{B z09YlTmDIj5swzKk0%W!|aeBC9O0MCGCdo;6QJp!@9Miv&e}lWI-+4www~NoH8#9x~ z=G)m{Jp#PO@?l=5jtUQtY1h*M;YRu3FtZ^q(*Ldalcru;k3hgpnRf#DfMl3@-LEK{ z#$*NCWzR{+>Hs6RM5xN1MRWmcusH@xM$*Gk(<{cslPxsW(UQV4!Lmh5+9YeRLo{8A z4RgaLcH1n<>!0mh6|Z1^fUytIv1SuLt?1i3sz4`$G;Hc}W_jCG2ZsP0SGSWHjZU)LA@$reuxZx5{5r+iz9O#HoAEKi=5+GJ9v}Pb z508J62Lr3c$B3JeVDLeHj1U&%Ygxipw!gma&?icvvarOxZtY+l(aVvoZHoJ34OpNPt?2du{igeW>s`;e zTcL45FVIEB7*>JkT(S`6cp`>U3caD=7K3dX!5B&HFvwP@2luN}nGah+5*VZu=BM{#7G5 zpWs1^o9<+N*Ks*ta+qXS&v}TQamZ@=zj57AnJ>X20vy32A)Hx=9uTZPhWX5CPxKju zcOLp4NqI|qQvK&m?2EYf{6c+s1t+4prBMGW7V78SqBh`O;L0}xSN=affBUVU=ClEN zGg|M$yNULQI+F>>Y4iiSj$l3MT^xHU>S(?|FHp0Zy>6oDT_DaR^)nNtaQ>P@C-0&= zlA{TxlU(_YV9+$r)I;MOOX0RWg7+rvA#H@4rxZe309i%&!TxhABcJS_?QipSIKmJO zqMAlCelC2upI4Ljqw)BoChv3+la0vpqtP}b3&nE^;S&-7=oR&N0mw2`=e&`*K(c=uONhZ}| z5>%;zLkedCEKw|lSUV2#vdqUkHObK5|MQ>yey{g72z|p0093RLym5d;71y(2Z3Drk zDI6QR|xm~dgRS5oA`-{p@QlK zVSFKrG091ds@{5zFkGdXQdOza@OUeYk5q+vl$4w^En9T%8HqXFL%|HwG#?Csq#e!`yA9Q`w*h4XCW-<`ib5} zwj&|P$Vvfs- zqhu{qr?%n&U9$oxk6s#H!)@Qlfe;O-O+4ZQ{~s=TDAQ(F^lXG{XIQ*BqW zWPb46RW>@!G2Wf=X^!#sjIzo|63EW;ai%${T67hy+p&BCHLdEd{GCxf(M5n6EFZk2 zuPfaoe8Ajn`|A$y(5K=QTi&PryX1l1K+wXC<$>;c?9##!zHo#;eCf>>G~!S9sK$?c z{^x$ncYA6_O@XC$%rJNxVeD2O!|j7BN+J01(3(FLQ=FxurE zeTLB{@8mO#^idji{vv*20=7A zVv5p-)}-q8)Xa^#j#)eYy`D|I$~wlChh+TUC>i3O0b9CsJDwMJjdnZUM_7KB>}+%6 zp7M@NRAGkVo`2>4`8yvRCJbp>p_fJyG!Sz+VE%9OBq@yqhHE5~kw)5)p*km2sKYFy zfz$_M3I@1Gu=Hoam_`D0N$GEoz!NK+&r%@u^#xxSTz}Z($Fw~~NyIe4(k&YZ2AS>c(nnR~F(gD3pMH1mmE9u2=X2rJJtBzoW z<>!!^n$&ipj0WZhh}zIEBN*$}f{Ccc_}SH#f0`qs$~9+tOw*MrGJd*RMQ(EBn)wNd z55dO8#7&#khRQrEtKDXb1QZny$cH5!klUVVdF)J)Wbr*y#Z1vS#+q43KYm*z;Ct#& zAN*R^>fkP~%7jYlWqOwA;qIb`dAF#w8cSjLW(vdq{(t_n&);CiakJ$-AP`;wajw-_ z4+>KBFgxS8*#eu+6}vtON{k*x=MTo{0noyo)Q&LdqQ@l_qlW`hlv3{iM2_{2D>IG| zV||O!1IF_0!9T%7~j^pzJMRi(E)`~(qlob?A=D)dW)gn1EFA&^V@%F&WQM=Fk z*d|+3#<8^C;o7&SX3YG}cnE5CuaR*yo35@#?NRQxxwAi6h3=#bZ$3i%cgZ*|8Ap&k z=boiLr3ihMOEMet|4U}e9wpt`T6Tx`6;@>3SGbbvzQS)=`+~6*36h_G=Q}QJ-Tc)n zmv4E~TX9CuzjC!fS~#q)a6tRFhA93+ZpZft5J=tW#5LW<9W4%^lkJf>P z!6Yn&fo~5z(6&eGhz2TDeGP*vW>$U2RSQ+G@U#gIYwyVhUS3V7ujtV_!xUr^=!F2% z-UGjTw2q4b4Y#IGPu;G?w0pFUi@}IN0iYi?E6|2SxHfDtF-7G}p4qR^j79GP2?by} z8cC1Vac~`g!yaOA(6y2d`r!2dO3yWs7sjx!X3cnaPKT{_Fmv(tM;D*L&U3dqqE!s} z2Q-iMugJ40=rjRAo|JNt+vu^D3vHRLiB?9f&>|@f#C&s}@eVofCwTaJ=NWI&Zo5Xy zxcr`nen)t|M}@hZ2%Q#uFZ5=1p6FMx9(T-;Y|c}fX-yL2qLq;doPE7+cxgj6$&#D` zjoBJMSXy(^v!d^#j#H`U0>h}=vAjfxzwU&u%-gcR`o&E; zTY44+@Lw7Mi)iTAig7e)6P!2k_k+F=&rv`|}=eD1J zgVE8Sr-E{@NyTzk7{?`5bJwYwFjQj@H?^c{=C@g_SNGI`Hs|`4hw!JLf8nj}e9IgY zg2R6JBY)qYd)p+|c6B7}9Q7HDe9G8I3_mb{$CV~lkh1`LY)tJd*`C@VVr|#Jno>bv zqTfucZAZj?C>G=*31C7=xx+jN}cN+w&QNOBO~nk48Z z9fGLi!1z;eRhR?Ib;5@XZWnN|>jPaRNaAGM+EBvU?!c8>C;>Ml6-oyW9fHK~0>R4* zKka$GjikF>I8V8IJo=XWx{aiJ#W+vB;R0MPW25bgCfx)zsSf#~RlT}Aqb7aSL2#=p zpUfGszs=X-P`8nJ(eoWH*qHUVzev8IUJ}W}fRr7tzrX=ZUFS%P0csnpsCbCMipqAd zqJYBkk$@Y5`wJ{EIA4+#?~&5vf=SP90o3;#Xf5nC+8+G)w?R36T`yn?JKHPR%q>xu zSL2Fs+(^z^3r6kx;s0>;uCH_LtDG8EqBa7Q9jKvzD21+EpExN1s-S!Y^}_et1f3sd zfD@IkcNIK|W|LZWk4T0=RTVs}*=hyFk4}b6nvrQ=yvYJ%9JEC3=Sb~qE3!f@ZP&-c z77=>B7;0Y=EM)4)0b|sJ#!0>+wQm(%Q9DEbp#WWIyIfGTuSudV&n9x}KSrwg*rI)_ zB=}LVCMCCs6&p#uGA0RZ54A5fddEb0x;c_4H%UNz*o{WX^|58|k)YQkVaf;xg5*hf z;_{mD{_IZcLVtpWdo`nFk?DT4R!{48KcuTl_i9yL1E87Q@hx?2;CtaA6Mn) zAsPQSN(3}fKVVxrw4VrMZh3qsbm_HK^}OYWRP~Si;!pjfFLusIyzMZthKSTHq}OcZ z4$wq<<+CVXnW~oEn5h$52Y`g&YH6;_1w~aCK~@RjF+xzmGUU_JuS$N>4!sBa1RO?L zkxpn5WKGiqk2p3~1Q-+CUsSBj_GimEn2 zCjP`n-=3g}^Es-jE|M6tqqRc#-ozcHt5H>Lk_4Bk`@5xD&61+3P4cuss6j{h0J5l7 zQVeO4gg-^fF<4x{pEU31Kar~HB8h%H8VVRlHD~$gqN7Qr3L500dk|%V& z^P2Gvxg;7Edsh^1(Ghi2iML={jEY=Oj3G+8N5>5YF)@w;0cd`XuI2ix)$j~qwF>e< zjZVx9x{>JF5)~fm`*1z)pHvz#K>5&iiJVIpDa%|QjGFQgsVV)alXj+sL}@cz^zix2 zMh}0XjZAq$`FSicSZE{d?Yt%0$g=#c86|xaCzc;FO7H!QfB4$>c>JIc4Kqpwu)$dY zM1^u-9QhEaPaMl3N!SAAIIO{ZD}vxgQgz~jG)lH&HFLYY@!z^2m7WP6w>#ia<4L*Ro4xQ8!{v2BsytiKfi?i9wiiQdsD4_)eJlWk5k>K^CVOm z8^u%6@H&a2Y{b2;--+E*?3UYE0*L!J%#euO80?-Y_R`wt-1S=SQcVSlC#jZI3XHrt zBX7e1!rs9Ny?gCgqrBo1%MYpbf9Kc#_`meO`m{MUKc?1;F$y8X4u}MK%gqdyw2=9z zHH7GpA#Ma?tU)#lNi-eManSnl(U-+U9i}o6Yk=Oo_2Z)}i}X>641i2%4;?+(gGUDI z!s+7|6CGJ3Zj=ZR5f);J>>Niwb`KuF5|n_BuMteKhWqe9jr6>Is&)Ix-G>L$e|z1M zlsC!XnS+F=J$i~Y4g`!c*1*8f?88s0VAqSQpd)phk7~$G@qPy=X;q--WOL2%ejuV>)4@BTz5Qiv(R6hm!nsxZRKGXY)sI**QCEFPyU3q>nYQv(tdZ9_IbCc zHAqWS#MKzob1LoM@M)j-qd)B})mk*NT2CnSG z#aImilMB+Q+ls6-O9By*MpMd-T)ZsFJN*?bXI_y;-Bv_UL3VcLy!!N4H0ma}TVbfT z)G;uEMQbB|jx_2fcvx+<8v9(`E|CuL5KMU^ zc$L2-wO!f~&#SI$Jnxp5?`g2SciWCQBTAwvuy=35u(wu&)$M=uweNb($F~~K!Wex~ z;agXvHqsUvB9yO6$;1Q$KPY}Paj9L5sr~D#^^MDlQXb9SB@2GGy@uRi&ifJ)R% zifInrLJIZO%WLls0Eo~9Li)Qf3zxHk(u#TQ(FAzRYom43DUvdNI28!QSF)dcG^w5_>&CO{vFb3M zRi|RNqmN|OCsQS=;T)By+aqWf*L|sNp=!%M)J2ypu3OoNS!i?JUop3edpXR8iIWl?0kn|l;VGH-ylfeB|9@KMH*pl?U!=$gOnTcD}nt>(h zdoxMjkNm<9`J2Ds03FR5SRiA02;}AIf1?o`9CTWM^z;H<+ z61v(gNN~ZJ0>R9%GJtJh=t@d~_yD#O2M=7C0y(X002|;c8p!yeJ?RVEYhCRm{%`X+ zp7e$L4`{38bHJzFo75JPzVOMcX$m9IkR8t_8Ir#27RRa*ZegZuPSgMoNq~<1<^Mb~KQ@5|>O|-UOmwJ**%F?D4x|k%t65@q+KmsQaq_!)B1b5nY zB}LnC!v8;e?*eSwnwb)3;ZrZuXjIjrq^H=3_j+@eOIlPA7b3SSd$~=V6_Qhb|f|F3GFtLel`E zKwZDf<4_(L$RaUNkLLw$c@*p@M9#JGC`5a~g+vJYYgB=yVv*#|nTE z9>u3#wZ=E`X^u>lo({dPRlm!W`@>ZI?5RHE*WWpNG;jGnY+%*z)$jTJzvaJ8`cC2x z$2oYyg{(_ZV|Y!^^t3jTB(&mCjg>q~$sPe9L#0zz%-JJq zTJ40{$Uwp(n6pQ~L#X3-wx@Ns#9@!fs*>d#S6b7U@2Vb|=@r*ZaZZUWrigEJa(Oojc8 zZ-3{fz96{hR2TLxTWf+jZs?L#=cTx8Xo;657(kW>An4+Oo7mu<--(q#jpTZ7zKvAS$q1nVNWa$VPayW+A@b4f)L zE%ZaAc5&G}WpFwQsEdI@tjVa|HiN+AgkGKg3W-5(lE9n^nhm&e8)~1{EVqXP;Ayjt zdp3R68!n*QSaXj`hxoNCgQ}!8^9>@mFRl`Gf2?AMaU{ggC5=l$>c&7XCFbL0&BZdqz`8tgbJYnk}kTkRtR zv-Lgf4w~3O$-)>`L$Ktt!0w(iOAZCAcHaa^;4e$=%W6+XUoTCG-ih?4xobA&&9Z4U)azH$5o*Af&d&%q^ zA=##kNzklAmnXZfpp`)Q$sYt7-u{;tA`I{#k>a5e<0-*{H&g$_znYyb)D_2Q@xrNzPbxjejjM-u_b5*P zrts#Pd*tAaVgJC@J$gj<2)-1w?Bysmdl}Cd+d-c3>JMH0q0fv_sXyv3{Jxui`E$<< zF0hF+veIwJx~duHjg5MUdSN;23#u!2u(I zq^%!qj|0?5fp`E-Ns+J7Jk?MO!8@_P!zqa7VAT4WMAIrN`DyYZy^Gw|q(Nb?o&rDxrr1sWY6jf@J*z!wP1-S*}R{$KaCY^sTWHf#mL zKl%KkXE&Zb$-CUSA;{bSc&^$;=zmcG$&()z%~1m;YB1_U97=n579cHBhZ1cL@ci>l z!J72=LsAHi@Px1ES$2}?5nlkEKsISkEM8j)+ZN$@re}O{*kF2xyfp|n>LUTk^pGzQ zZm2s;p634OdGf;oKxoiv@PUM{+^;D)68}ZfnFe;C!I}xjv^b(BuMhbi>ErIVgM=)u zl5x3WMg;PRy{;0Pem^=O&|1IW?=jP}Uo+v%7R{B%Z)fA~#V^iHeQ~g9uggt)aqw^b zi-Rxi#le^ETl1r{xV_MegN1run*ZcobYm|LP6*%oE9rm7Ud{M7N+Heofm`34r-bk1 z*$Zo6Xi9UL0B{ng9EUR$yw85I0W*3uL`bHs?tnaA>wws7=u8IQ2x&bD=)kbZg6flZ zL4a_))do++c8y#ex6}SI&LQm&=d?6rZ20ix{kfg?2j1zFkH1}P_T~Kf+UNbb9sA>g z<;@NJ@IzW|i=P9Mv~)T69;fBD>%}(Xy*3B@%De*v`W^BCx7)=Q`T0iEy9Wo~M1fk*npK z?|#=d>B}civ4w}T!N0JHYuz@n)HcJvxY&-38s6JT>L;91l#KFyR)t*{idtIA`@NN9@Fh-u$!w`1c2PLR)ye@aS!D$grS9hxZTm&SoOn zSFla;o;jain}-R?235}?C=Zkg63~T|3|B-FNwjka%A03`fNO!Dfr7|2X^trbni z4JHlIf?;~);2h;y@oC^Zji*`pI8dy0s zH7+>QM=KuMSRb~!P3OrRT)+F@(CP6>*SeIZ)K~PsvEJAxO2LBo6WRX-lLH>atPkMy zu8KYre>o{YIAAMe#+m{{&Ju$TlExGdt?rOD#(-^mduA|#r29ev!m%oI2H4VAG`4tN z`S|jPGy}#t3t0ifrMqa(f_BBW<@EA2orF!mfmNsNo&f(!Yv2#zQw0mV#w$AIHf{AX zlhu9A)3<)Z`EJ411c<4&2=EG)iaN{jOo$Un5silr1Iomi@UNiUsI!_NX7h?a3!Ep} zoXd*TSuVI=(saN*0rfF_`YK4B<${25amo!7zEU_SD^h2v?m-M%fm1zoMd zQN#b<-y%$?w=3T3(-`k{O(j2KDp}P`IIq`XY;U}Nlg1RkeiK8~Y|7g7nBq$);(poR z{gU7N^Uih)&LvE0&}nd{8V70QuxLtc3tqpEjol2>Vl<^L7*k`{@cc>^Cl6zTU28*Q zNAkHerM_aC84I3(Zp9Qf!nO2~v72Gum!{NLjES)unFE(HO~}XPRB}o>bBa#RNkNjO zbzc)dmSl3sh;6)=#=c@UFlE`&6k1%m!b9*y|l+hP&_o`1(=;@>EdB9{@<-UlLw z#j0dNBmrClff_j=^0+8+z(lkXDj{+xsWZvXrUUkvHnisD)EOcX42n&WljLIa{xx-m z1T03#MdZb3qNI)_L!0-nsUr!@QXnOXqKI7X$o^_i^%=V{s1nZzewZThm*&j!6#c5V z-fB|JsC?P4`QktE9iP*xb6U;zjEdE^p#Yai-6QA#V%B}VGqRR}}u03p`jod-uNnYl%r2^Mu# z=m^8fXuQWI?!C(LyU3&iHUxSjz-vgj&24uDQ0a6B=2DwC4dA> z0^MD(_!vcET%7T9N&tBPX^zyxfG{Efd<|c70BIv6vE~-uW-poJd+@X?x(c*78D^Mf)?c2|CWe{->@}7|=h>hwUtAHtFM&q?+wzxX@}a)%?r<-~Zy{yK~h$Hhfy}b*2%d1z(TO^*PDRnS(EKYX}Ah zyqp6T%^ZB~!15#-4}Gx!y3`0H2VX!%u^kvq8|XLfqqJM9cbIIhjhr5Ubk)0QUd~dz zyPcQwtZr1*)IkST$wgEndME2h-ODR)tj_Vgn+aQ;I_0?cJ0$dIf6vfl&L1ddLm z9vU!uhJuqM>O8l2kVKua{0o-qe(E>8_m6z&y#B(9bN+-s6_UBN><(e{>; zBS8CE|IX$xVC~Jh5sc*1EDG{1$3AaX^ryrAfMqm}q|jkme_|nKn%K*>?Z|zVDARTW zE0dTPA{Bh#Zmd}JXKQ8qG;O3aP21FE`=APNZpKKJ;w0iB6kvSPgN!+%re%#fNcOOQ z8oIi%-q@$}>h_9#xJT>*@aN1L4n}9uU2Q9Z zMjn1GXKbyk`O^5(Wv_784}_0Emed6*QpNwXaC!MT^2X}<%@$C zjeFTDWR$XS_T~GCjx(%oOs@rLEytl4N?4(Ml{s3HaP?ES_@7dCwjCRCB0; z&D`gN&HUV9^B$i&td^fUZl>ps4YGxH58E&D+)Z@N_B})j@|X%9I_MsPk&C>2vg+ri zDVA|ccq9fWM=SCiwJxJUn=djbrE62#TJ!$$%*6fzRgAI`h5}5t2}`SG3Qt7!wdDW- zfvSz=HiGI%*Kg27j4oZi*zC?&Y@WOaZOW3djILq6Vd?xg^2Wsh(WRWbUfG3Qu$~*f zO?iKLyJG*Y%@<9^n-XoJ54i5n?fCs@MJ4pFoj5B{Da zaz%@-_mGk75FN+9iJsb|;LuZxeqsljh!?fSh3EKqE zbj&unw&2G<_Ug@BuYdgYH*cN^dI#r*|646?K1@5%HcrbYZC^jeZM(jfcYW={*!7K9 zKlur)`0B?5R)MVq+Lszgd{+SdvY#JrWViNJ*^4JCg}qqy&6_tr{^rf=AC%uCKkbI+ z)g;?OMNoc-{D9eag)w)-sSU1J+`VzRcEV$qmb>t*?Vji@ns-;YD}P7ZmA~xM{;n{| z`_KJ~pZqJoIy$t0;6ygY+3j@;lhQZHhtknJg1sFcDclJA$`Ce95S@hL=2^ zk{3jK6XVcSkWCOr7C6j_1Sj30X*ygm5WPrkt3T!|p7mbnPKtBM58|0N-kbo9#CMhk zXxtyA{~?^zwj%fR|GKa5RYuSJ7(XSs$Rhv|yid4%&G1~?v(Myp=d@>Eo;kILH1zE} z_-WDQvP)CDuAiwd{q;DrJVpB^hhOj$|Hlvgq=5ZtSFtOl)?=*W$t1R6yAyPcedSFK z)?yq3TSt1esg!)h$zE+Lr6zb>f}BXgDl}ntho(|;!P8-UMwJSA()5GRjGmLun23?|^0X+3A@%|9 zsI&jf(4|u3vM2kDE*%uPuJF9agXhh=MZLMZb_(22r@%k;tH1phe@)DI*X-e)v;TV# zg$s2k+9#0~ttog(;uzf%&@~)&3v-M7Bz)(ma|Q9vzTfnSCQ2R>3A5~iqH!i zC!f@I&K*P8Os>aH_7C1;?kU|^`pz_n79AnTmgYR9!MsUNqoS}xaB;vnBQ}U@|o9YfZAJ`|5FD9Ytx(aFRE@~ym`R05mf})GiD|7I9k#Us1a(`+GMnUe| zQ;c)Xvh98~%L$UrRAoyCmQ3ZxZocvZABi;P$6m+ws~`MGv?jQ2X4~yX{3LbE?>>wW$r#b4I4Sev7#YP0kC%1_0L8pya zk%EUogBs1UuebxJirB{eYH7p8Vnv!|7sNOcWbC-2%+a7(4ndk_7lb3Az4!=Q4Q3vK zjO1M~a9ZF|Rxo@B(k#1RYT(0ElI~a2EW2Q%^FCmvat}5Yv)m*#wMn!om>;?&O9LOu z{7O>^OKvmO;w(!8Un>mb3SqQcy+WdK{petj&~op7187+H&qLtQwRz-s~AeI-4V7r%9{u~~JUxLVYX7bh(2nZ9~PFRy1b?-pG>qlfW~KKi9kfB82>jYHj3_l(SG z4?d%sTv$ z+2{`~fE~+2G`QkkOkhocg$!BR5QtxJhD*^zkbsN9-@S+l$XVKt_sPuCdb6qn%#S5^uD_rrUPe_$a zk8y%49u9*9op_LTG67fIFX5V(X9VY&9^?d91Q~>Sy6G3CCpp6veMX8)&U@|v61>6{ zJIeDbT=9&fC=~Fw`;Aw)qQDcAqkV-dmON%d(+%JntQ%eqyCZz;w=aI}J3ke};}Rq+61(EDN2^f@==uOb&i2*F0lc78u}#*?5q|R4$TTRY@W2)Msr0f(||z zkOb_fhYw{e3#|Q?#X#Ih4CCz|Hpzh5eO&;N#Mx=6-60XD`69pN@HNr{yue^SrW3K| z$XJMsWm(wshPns}e)Yk;@G*kZ@fp|@amBA(md@(%D}8oLvsEcOg{BAOi_&B?>6%FC zFr>3e3p)IieurFyM2|#iszCM%FH}09G*RRON?g#=S?HO-YZb@8xT!+YZ)txtRg@ws zx+LoPOJvZF-(~kY{EuF(3kUZ&X2D= z+wjx$w~}-75WM*2cuoS}$K;wPF^0G2F4r!IB^n1{qJ}lgcCp(+4v3_W;DZ;U`#?V+%oHQ5QVummejk&BtZf|H1KNHMj-YO>O zq=4*lYy}+KnkV3_oVszBDoS&=Lz1)tHYm2~qJSpZhiQDT3XP$Gb@_WF#&NsQ{}ry5Ixg=zrGoEu z@=6q4)Vu;sNyaAM*=Us{M*1$)H})ci0l(h{j(N-X1tRLxSH5BOeSb9csaBdiEudQ{ zQ7Q(3X@Mg`YQ}2zmFZI#+@YW^?>4&1I03T=^18d=X}Lk^pV|+jax|uk6$AD`s&)Vv zMO%=Fv0IV%rmYCZ8&zs2P=0lS)Td1l^Fb8|3TDIp#{}$yy>u%M1VrQqIEBWtagG5g zARcf{T5Adl%W?VD3HE_5+T|83)zrL4kSup6nP4CI-Ny}+7g!V5w7-%G_5n#4Bt6Y7 z%vrx?fO0rB_&{C@>U}^wd&zuzz$^e(ARb+62=zWD*av<)n5qRe3Qz}$BGYd_tE0Qz zNe+1vv7@Td^D~7y_<^bD=dG#E{=tEv^X3ROO&zP-Kh5LRQQ_I$cGnR2g+kyO!o5p2 z(Gg1M(1yHacWmjfxBj7&_q^rDl=rXy5nuSP{pDy0)(n;_Z_8)lt@oTRI(Yk(9MwY; zY?D%Va3JMXj+~e{!?6Lpb zMKr(_$yusSb-@r1mK{=&an2LnxFZlO6jprVk@A1>O?=AV^K*PN_K6bH4aC<0sFy+O zwICnem^2c)@}{L@7lrK^Li{GRmFaAMCk7u%>cWc&vsk&AlMiP1dtndV~mpP`CL zuT;*lsJHEodXR20V&6_Mt2d}>56nqwR#z!nT;=|0vmWE+{-$z479 z>c-KqdBNKh-5i-X8A_)X-67%?*$oW0kBl`u>1&@*4aNnIRJC6KDYT8sGv`mSc{d(! zeU8s)BqjWk zc9~0NXLyUagAo+z$Gq<(L)7CykV43fWJ` z9JhYWa(_^N(BKQ{yMbi6K^QZF^TbsG&q9C8wZQGaXp35nT=*pl2>sFN8~J(e!TFDL z9Bil(PHfa9KfV3V|A=}}xNJClL zl*`i`7+fu=u88KO|Ht1x5-+xI2`VU?bAvlu?H2Qt>)6HDnXx>rm%npomZxZ5o`r|6 zdB^+z@Ea+(lfqtK$eleNI|{jgBdTVM+Nb2My!v>Un4>Mhoe56P(U#nm2}Z?twI0k= zlHkr)^yELO@{#1igB0f|!JP}@CurJiD@?>iP=Y%b#5hgSiNH=8!Edan!JVJva--=m zJbN~IGf4{C&LqL7p$)mGqqlUp55G*lvES9LQspYrvsqFUs>U?-uno;~LxD5B<{r z@X2rfrcd+*f493@iW2+}LlU+VBRmjOWnYzoKLqE_3XA#6TPX#>rp1c1yME}&$zHU( zO>k~NW1HTn73%L$QB`McX`C_ zHc6n{#groqGv^?dOa*_gJvD!fX)#}Ozg_E4<-^$gF~)W-IX!Wi-o}34vw( zMR&6LVmLK;F+P8q+w`w}RVyrQ5*kBVwP?vcyp<|cdca3PTv>8=j0{RfkEM`DCXJ;S zspocr;VeNFvciu$O00{~*xq+hjD{@sF=e$GqoIlrv zxM$ETz^G~ks;_EI(%VYl21%dKvg^sRMpbOcL6pv&F6C!@l9yYIZ@3VnY!XI z@_|9RMm`T1`9xAkGGvagxg-1CymHDlD*Azpie?ohuTjzbTXYHg-N%39|MahaYZzs9 zpxr3z7Lc}%Qad6ls#sU;j&?zsF975KHQ61Eal^hs_!Mq8oJRnQ)y$)&(PMWbDk8`i zi97KJHV96{gvN>y6%mhXlje@76(QJ>3^E6oGQ^9h2$*h=-r*eK=%Ew0UooO02m%W% zp#gc_dL(j0ML0)1!Kfs`dZS~u7fewRB|)hsk~oL{LZ+yQ2jA-8JRIB*gz>v840?mv zC$c8Wk%KiODiX-D{vfyxtYf81bg`lDqMAIr3@AP|)b_Vb0%`kIJDV+Yd=sD46?ljM zM^Ci^mEFYmf3IC7?_uHVk{Ib>x=QR-xF$PacIvj&kz3#)UVpoq^@lO*U;SHl-}iq8 zKwgWXp6qnIK%#D2VHioq3smYhOo#z(nnF4TpbDCGUvUR)I&7;9$s91UWuOEw7mB$= zq0@+1Z4FrKjFUJ31tF=){c_jwr&X?bh$)-{kW*wH>yM#X_j3eeDh95={*U24Xx3d2 z5Pnn)nHpSTplG+EnDr*9q`z1aS;YR>1;}C(R0(7`&`V)WU2z^4c6K&9I{v}!sam&; z#IS$4w6NF=a9R2J-n)vNLRCz*9s}RGu>TE%KK6-H*MJ)jU`q+Y+CDhl{N<%adtxuO zz7G$rSp4q4_R~NA^UuQfwyYumYxn&#O9s&6`qR8L8tXj@Pdbi&I0a>dB32xhIfJ1ofz02PisdcmUg zc4(_qtFS>es9UmVy~AN?q(h*!MzmKBUf_GJJ(ld~gQFMt9vYz(YPZG6uYS#9l-4MJ zne{TRg9~+Tr9vFzx>EJ{48uO`Q*C8>7BLV$-o!+Z=g)3jIrHQ5Tq%0?yp@6QvCD!& z+DCEmo^~Ba@B*-<|bvOn7?K zgUfSl^tb}p)HW}yDH&$_!0Ge#0T$?=>qG|l`dE?_r-BZi4s(bZr`xpwi}ni%1Ow}!qAu%LtU%=gHeULbkg*!Y2T^n8!@AV;;= zXZWJY`0MuDF|JhdAUmWN>dQMXzc7PyR9%bqqG69sdS|fd^;LdMFB*oN`-{ep`9*`I zzi9l}y=aj37mXhu@6~a(vki7jC;>Y%`E|yIE`t zkQV}Ve|h=Z`EQSlZRYOZ?iSnf`yo*GufKnb^UbYS+rwg8fV>c>`-_T<sFMeC zw-T9YN_>!f5p)MjaKaIVWXQ^bXFUvN7u0)LhX0)=nMdX#6)-$;!M|O~B7ZgVwMgHW zOdOgkPUc9Ci)<|ruoY$B>3YOot883B!%~PaB{oaGfyQdhHlRytm2D$}zB6sT@_5Al zJz@-P!o@ZULMk7>+C~7%>X3E-vWjofBhIw3svW;tO1U=8vI+J3+bB2k(|*&Je)+cs z{8eA=J79LByWc-ggp~f}*ENZUpm8 zktk;%wi9NoDoJ0)&KX^jYYUp#PTF0dnj6`Gz)RLdoYjYx!XM~sqQ0v`!QemHNV2#@ zrL}Rt+A5$Iw`Y)E&p|z|Hb$%KFG9O&InT4IS92mmlvq-^xAYfg1sX~)?dOr(Fm7v6 zTZ!59R34&`9H)0yM^kU*m`JCZrXWQ5oFWJzG8I_m7KD(E4;X=jG!^%_?2x^78_04DgnpR%META zA-~dZ5m(gB5=cx?#n|f;Uh8NzbATh8u{<4CR|aR6r)b|z_}l*65C0dwC0sk;_z`+J zen+4Dl z1lJ(Mmlb7Tk7ST9K4NvYGx3OtYc#>??b#T`-97)+^)l3_-rtP4IyK>06Ddv+`DlAa7<9 z+-|jo4!S1x-$J2;Ae}WAL@}N|7=nb6DJZ<7E{I{UV9}&kcLK*nw<2%03!**XC@P8w zg8tBS)|wz#tVHvi4jaSy*;lwc;;gykVY@a^{btqCIzci+WEU zO#uESYmR|3-4!~k_o#(bi%fSDt1pxD-!1#i+_!$c^$NZJ3zOfvI=;#Z@1YfAC{!K^ zL9A;gYtc*IXz5TiN z8{bdA@$dek|M=<8i|MIq7e~mEx_YQK!q=^~a1ZKo|H_ zkfBPk|8Z-wj{~g3&Rx6xpszCfI5BnXxL$z}<#r!ufo>0V#Q;n2X(QUqLl29=Lp#sq z>7>tE5W9qAdVCkh^#(NsR+v}htLcuOo?oCMBo7ElP=3|(oc|D^q@NxlZ1oQj5CP_3 zoqLEBM$n!bZl;GwVFd9Iar=!3XeSnzee(DGEdO}x|Dy!_s|gsvH3Rix87R#ng}-;5 zId+{n_OSJD)^Y#L_rCt4EU5ZxnK*hysI7_odQS!(&oIUDLQpebX z_;OH1k*Ln9Jq@jk?g#Kc)?>o%eY~G0+ z`lT&#eB`fv&F@-8GE~p=_R95|gn#vA&FGaVNJHuM%8Z_9d#M|G1&(M%v)0OWpjY6K z_7&V*xsJ{w6!Ln7lC@szJc4^afE#D6hvsSR=*59i_o=@+YrW_@+MdwLl?5-;P^vMy ziACYo&Ty7piTU3#FZL1jk|!PezeqxM?Ek##7IJl7Kiv=)&bvj|B;>>N0sp2C{j}FV5lP4wwVe$j z3UkvN*=#!}jVzNmZe#;Xiq4hZ8W-C+WsQsN+#WcvmZ8Op17dEC7!K=$b?Sh&qqpzb zb{-C!onpD*bM=A+^%Z^6=&9)=suD3oqx1$rRd<#J^%b4c8*+rz-=m(O!`Sdw3ZC#9 zETL8f%F% zS!1!@$(j#pkf>o6G_P3Pxh);}s>=fPpc;6S%fCfe^<9s=v~{)ka^d=>30Lo&G_N|C@XQgDP!nHJ6k zQIXe=>@;#=NPQ5!nqWh3wwC$xIHoY3^2zP2 z|Lwk>Eu*J%&;l?Qvwv{l)WM3svY>7%0I(OvZ?ZoOeES>(Kqni& z2}lL{L+2QvRZM_L1wNF;`-nhtkQV$V7`ieUq&ag4IG6_AF*!&J;t(8h5UAv`&Z!ZH zU?|%_vb5$j;t-UK`m-!S+6f~@MMv&V=(y>wc?r^vfH!EEgsEeLHS_HOd%u$Mqx>`s zCiDHl)g%=P`5|CQ%F)kiXw`L}X{|Y4jB-!Q|03zz=U}A|Fh^Z9;W-Gow&vs@vbN?R z>PoLYqApzBBm9~biWTg|shijr+!mKB_B#e)58s^gp?~wQKK<{ba-|l+D_3SOUO^`f z{YCh+n1AQa6d!vk|H)CMPG2K#=Q-~|7t;j6-Fp^3G$t)J8xD=z3S@Pj#I=p+oBPdBQLfB0dHL~XR(sAu`yx*dj#P_6hn^IVzs5~>Dghsr7%IzhhUPb9=c?) zV#*kTrT*6xLk{#iBU3ssM+3^lSr$W%Fq3nIAh|sZkDlGEmv0TEQbN7RzmbOXS)$yGP~(3(x#f?BlU}3eRl$t9S9Tll3pp+@h;@ z@h~8rulVXe@@IZ)E4W;AvgV;2BKYru}k3s}_;-@o3n3`wEtu=F0^S zdjen?FCXAFh(QiPrU{zh;jjm!1}iqQ{t%>h;ez`E>78L@k<&mLfF?c!>0P)Wcw*7* zD1u81>O+v;g$u$JQ08ci4mXzP5M-Lb1y9=Tk4`vrkg0bOg4zk^bH0}=9X*63p6~-B z<)mNX`iOVY)&!IVMMUYtwhU~NWR1)v@pH7hZuIE+?f~9EmOT<8> zqg^LC+h-6Pt2WpmyJ6+cYZyc9szo0q4A8~K;4`<`^XxZ_$(W1qfaNzK4+va|0&8+g zmyc#n=>o4&m`V^MxMXlYlhol}8E! zSND)o5CHKU?vR48m*9*A8UShL=5XgN-y1j<=YGmR`uppf$l+>7Yv0BVI3HnhX7g5f>qIZxZZ%s>64lT;r1X~9=wB&%?MU}HjMhiK{Mr#Ez zezq!SlZ+m6%ppKgG97%jdQ?3(Bw10F6k!!rn5eD^T~-vMZRBjL;|led58nGbQQ<;@ zG7~~0P(4H1*~eVqeJZ#-VP{MFvuA6Q5?a!~m$oJJ6*iQK3h$K=@TcP|A%6n`LLI+^ zLsYyq-c#ol>=n$^mGbSe5_(j$jokXmpK|3-`M8EYZ@hlsA`tN<+AN|fRi4kU+MewM|atf~i_&_pPK@MW_SWPPCtX^bD&mad;oaX!+fbCX3 zLc{YTHRl@eJs3YlU4&rCxwh2f&L@+FAPukon8-YWzO`0@&ziJmqSW+^HRv^w2`iSr zYVw`eu19zj3f0@K7?~22NrC59Jalm?l1b3sz1RWR`a-WI7@aC#Pz`-&-=)-ty$QXv zvk&K+dAixzcL}|jAf=i?)QjT|{Znir+iX_5aV@w!IDpzO1z}t z3W=GC#EZD>meT;*0^@`wpF*0SdX6=pvIT~hf;h!qFy~W1%mDfw3htNW3o5A`b=mFM z@W(m*7?Q~T^cS+^Q*fa*ut3)lCl%KpNVEpE6}YkW=qWXby+F@tO%nQu_50D->J05rblERC(fz%T%z>ecTq z%F+yClvrmx{AjAY2|fo7WLohXP+E6AAWL0m#L?`3=wC`Gt`vR}0~}7~KNdHHNT@?l_)g{u>el_LV7i7eq3G zpk21eInh}NQgbvxfWO27V6+@tFw2U8r$bD7VhV<7AdD2y6iciaE+Hnd1E8k*ZMmo0 zT2>5~5I<(WBrPsigwf~(qYRHjjGp>9SGcQ1|9fS{ea}O%;@;KoO%tp}X;ts69_^(% zqkYHx-Y@#j&->f!UOl?stIJBsiZf^tF{4>P9XtD~s7DA+h1Q`SGfHW702>Y6x>zyP zBh&@7ndG#`y7xjo^3cHLQtMIs^#GtykBHD3_-N3ZR#8d;KH7MDNStG+N3iPyT5UKX z_>0|-N$L@j4SR%Cb$^ltr8JpRC@7_goWfc47%FY(PpURtM-p2V&!#9k{kL588`X6x z27l5%3~@hWse!v{$k>m+Z`ymjw%Ofdo88L=HGcS{!B>3mAN%WH6cEqbn()}w0Ofgh zcK8ZS2qL)KS`%<|!clqJ)+>iC*q23cOMoJkX9!Yxx*!I-P>^GdF_^m(r1Eq@v>&6V zf#*0fjhxEU1rgjTc^G=@tp7L;P2VyEPdaM*{OoXMLfMFhQ&dY3D~j^;C3hfc!G)yc z`Ptz%p^Efr-R~|F7-9_|cL2(kuvulNnq#N#@%FR&HApC#vSa zCC@5k(T~q(`m5A#qU&_8%xV&feW06Fc&s7Blyl~-et5#++tam7Smr6XR}99PiCL2v z40b7EewOyp371-WucfW7eLKGi#GdOje&@igy*7b7UK7Z?ThxaJk5x0~ac%UjeuTzv z!E1?;hVweO!^=)(@;Sfg=l{c>6XBI+$vnLB-0>v(GM5#sNmJ?%gOoi@P+M`er(_hawT#3_{uWcWEuq81Be zD3cW+jw_PJ-~u7_#Ub%kGM5#OD667c%xoH*q+T-Lo!x1xz7a}3WHLx=p0)d(DZi~0 zs}}lP2&Pg##L0UZ_(w1x+|gF><-;+9QP!(OpDf`l3i703})H zBmR1^dGel%$7D)bH|-BnQ%p2Vz)`-~=?6( z6=^g# zkV{>WDw@~bwtx@^JCbODF-yNuq&Ub$IP$g#M!rgt?;4{ZLSeL51S4OC zbUw!2=%G=ztm*HZufmFG@fQpx!jC~EA@Wra#7rK|`=i`3NWD{``p-sJ@EWOiBf3H80KG>0aZVems2sFU}%9>Hf9K z;Z~ymi|9q*C{>B#IZsNI#=k025Z=cQEPGy}b%9_9JU;d(T)qq@g+M(Zw?k{MWx#OS zAMFAKoCATnza&?hnqNzMK-wR{U9ox)sQYs}9beduVmY}yczG}fc#F%q;*)u~)v#CR zp{&Nd<;SeX2mZik|D1m*0Qnjdxf^Z~66&TK0%FFqWjPfT+*TvmGODGvqBd>g!P;?d z8ZWAS1@j_y+h}-?E3_)fp_j`^wyc=HvO!@)e9Txe1@z!B^!Y0rHXO^-VZ7;THT)c7 z{tEc2G*pNc<)Lw^V4uH&JwO#xS1gITlMi44vlY-yS#p+^6D`o(RgBkCon&eaQrbv#F$Ff6e1I6{eS`sW5E9Ex%la*|UGC z3cF^st{JV*407H-`4isrT|X&mUfPo40hl$Y!1^4C1ZC3XG??lsITP#10wbVqlBG^I@t4G1_i1y`J`Q&tpH>XOz4hrs6S znDH%xJ_aG{6Q;g)Yf47Te$O7$#Z?kyo&(8zcXlf<7;9LyF%X-b82lHR4&dhr1Tp%J zH2^bkT9VB7=K${s0T`WaG(MK|JnI~&A8r#Om?};U=RA$Wr)76H(vc@YWaHglNYvp zVOab94iuVqi~7j%S_HcvCbYlv^}p{Qyf0h^b+P;Cn;>5?@MmE%PHKYm;Tf2Wb5(DF zF)m?f7kxLb>XCR}f+h=rk+voday*_d(f4xCPJE^kz?j_~S~58vR6AGo4(y@|2po^T zgRA-g3{JOVjlLUKH9P_sSmuRV7+ zi63{yWdWZfGS6HLBzu90UGV#Qt%oiRpvsN1PW5XY{Q{tVAB9+Wp7#ZAtBXo^XVDFbd zFR?v8Kd{v`VMGYnaQwk?{$y*wP+=mjN=A4e+mH32%H+cz~oRL$`O8-7UtLxfc-?D|X%UjEk`|+DOP|Yyu^ct&gz>_Q3hmz{{65 z@)^}ZEH%lC@&U~%>6|r$t7yxSEtujzA%SVv2 zi+!s1erCjUHmwU?!-CviT<#?&&rprAGDz`mKyqxC{X>#ct+gcXS_H0^2A=ouKF#&Z zDPW=&R~Sq0^AbpZt*yTLZ7Cii@9)T1QAYq%+fw{>BE`2c9CbN8V9BE{`}0%OR_Ao#FaAk3JB?Lv|nM2)>!S_kErbO&}JBd-ajsG!yikK6v-g zW!-3))%vn>tTx9+ndm-PGFpL~_Z2yfR=HcSp_VU+#!$-4dxxXYV6i3ek+kO)e>H@T zPP(2@&IJBo-mX}@U`r+b(UQwS)<=roD2MbfqJ=QF)ma^Ay=*+z|RQ}?NFSoqX=waPGEB_RbG3%FQryIB^>Il8P z{c8J@rwG&hSsd{dVbM;U!N-g!tN&^2`-t!zk7RlNu^(U3#R~-KP5qUjle-jXhJs%G zND^e<5ES4L$AGKx7Vp`-<}W(K>yx=Xs|GpXeXTwaXzs{>xCv7OsX#???o75A5Trfv zbfNv?CJT->}I*R#LMm%oBdlI z=B2r>&(B)8i2NRl@N8+6v@j+7ssVyuQ3MMrJit;q!l*IusCp6KP#f2jXcJo~%$SIN z2M2bCQRCewd7tnRL6NKM03wHUUJ4~uGomh{P^g(d6%JDkB~>vZm>>;580^MJbh1F8 zmEcHjK_zjVjtJ>WEyXkq-X~RoDEX`S3ZZ(8BXzJBa*%CQBnS=tyeg}xOyXxtBCswq zx}19U*KW3~ zxC$4t;1SUxymF;Kr_##@Yq5~`385JOgqFVq-*1-wbyxrE?B+5fVJpbSbQsQ1PQgs# zpF$y_=#lAg4M^8cyl`URZsP@5IsTs^JPq#rNdeCmt@Xtkjrj99@ci^Lg@60lm$O?D zDzS7>Ih3zKlNFaMVv&f{O1$m6mf?I_nvNzl97~0;a?@y~UM_+rdjZD-`3Tmi)a}lw zPphQt+J}ymZ6JT0=+miZFN20}mNk#g8#jonfR%ZhSyQ=FRE9&7Q(;(M_0bMvW6Ue= zoN$I{Z&~GAsS2`)EJ=6IPLIBUK1;WmvFDfkWE0*X@;?p5Lo0XqaX{>!3vpTzdhkSa zd3Oc1+H~$)_0AtbqEeb>$pNkVLePxLBd@@w%?M*6Do+c2lpw@L3_Six7-u@q{p3IIr$} z)yOJA$mbZrq7{d1T(AXj;Gfv|(b90h%#Pb3XDFZiA)* zQBR?Cisa)?f$ z@$&<(wBu?w-u4j#7?cZ4&W!=~Kup62AB>@_Oqv-mwF?50??hfPcH>y2pSzgYImpck?0||# zl60{4ge=UvO6L1Q3XC8OwN7*_ZVmU-%_M+3RS}1ucy~K`8o7Lz4I7u$#**!U~Ii}TKMi5 zDiQe0)(GJVKuCNCf$0!Zd%ULkn3N=)#oJS;_J@cBEOA`H@cmI>jqat(-mB1P8Um)V{V;`@idO&cZ^Tf`|(sJf#1XN z6T-OUm^=wm#b=SW?l&2f{GITq*SpEHZhi;XNlny56AFGu;SkF5#{&5dM`KCuw2&g z@7!p(*Mi?WTp@fI#xK3WN?;)7$b< zDP%(V@L7gkm(98N%jRzd{Yv`L2!mTOsGLld;W= zi4jDbkg1jO-(%N|?P3qhpGnGuf2&}CDdawf2z*wTpK2&Zx8xq)h223Aa|Yu@2tBa| za4&YVdh`hNFE*h5Jx>tK3-mjyZKGTyUxQLn5XO@@-arBSEwQ9pp9mNP>Y6P+=65Yr z?x~R1FQS%7{bR|qrFYfNC5E7J<2A0Zb9sJ02hgk{rivfX;kL{(hBfql{aIR(m*mqz zmR6#lguFZtRkDXu&-^|hJ4Z?M5opeM7MI8AFO`t#(iQufRGoUh-x6fK`A)w1N%&z> zX-K(+*u|0UNJLuh5X6ghz>X3_i0=LRtM6(z#`%60;v=5xEWAIW=E)qOzN!nrs*>L>PWcNx$0>SC zv$yFav~i?xoZB(|W3*lz=7qoZaDMC*sKcek{%yLQ{2mLY>p_>K3tHy+MP1B0V2=7* zxt7yYp$+8GVJd|a?`L{kf=Q zZN=OjmsX{%mS8on9$7I@w>`C;mT2`Ax8^~o(xa82W<|}39TMEyhNs+7sNep~Yk4`O zTJ^^Oq+*`8K_zJC<5a-o4_U&PAnLs-P*&t_O0=BlKQKkTO_9RXrQe&GemmaMh^%Nh zM}$^PYz0P5Pcy-cEaP;Xc*BQ;R$Nh7a0S=QQI^YfKD!V<$`hHM7nl0DyX@#a!G_WD zR$JatD?!7w=sky4*6O@VSt|7r$gF0Sza=Y1_hu0&dH8s-Wtx@YD`pIJxdcitfLl32 z$)2`2{Eq)Aq)Ty99#<_OK-}`4%nsU(%*;)on0?0Z{(Fwmv8pil09oAohRM8%C<4>ElFu=68_!dbsnp^J;d9QmjNeUuu9Du45ji8&bOjqbNdLa4Yn8%P}Oa zi{IR3lJLnXnhn2p&U~Bpe5$u;?!*`SkME}V&$j%lMiJ1`AwoekXgG$30g*wS^V?fN zJNutrQkg4JJ<#$6=;H+0{S`B%DhMR9b&JpSN;vVLEqq%8$yJ?Y61S{a9Wduczzk;f z41roNo@*fIy1-5o1ee7Pt4G3@+@iWuW1YHltS~+2P$7PMl8pjT-Ss}4Ie~iu=Q`m= zY>06XHRr@>#GKNA$BUMm`P(MT`_8rX+T+dVO^K6wDW9?8+u74jm5<$pu+WMg}K;Zqq-G8L|bM+xUJupGWfi&=bjrfpP{>k zpKXnotg{Om%Z&8@{6Iu`JmX3Z{acu^;~9CyCCFXL#%{k_b4GM?DD5PP2=@IHI@xpj zX210Dxxe|e|IbmSr2GwhUhl$qP_%ol_ z4Fr?iqf0tvDlaZC&*haD&UZA&*kj<3^MeR11^lY^yZxi%z|Y>>`SZiA#yIfaKB?FHIbZ|Nk)=COp8tN`=6$G; z!1D@t=~ug#GcbW}i^uK*d;*403odcX74}ra{b5t%9E5Jy=nR`3wi_)50|l5Av2HVo za}2qG3tH*dT$9c%ka>IX+C*kY(OgoL*sjmF&xJ!B0OIDe$ZX=sRFbj}w@;Un( zTNSq5AG#ey-#I)(YA9k0Z0x#enLKunem95BuK`kmMNg6~cH0(m#`yK3b+WhXjoDgt z8>+@h9=7Xzdu;oSN2Aj=s;4Yh~_v*fLW zIoUKT zZ(dz@KEVZRD`B^4GQn4eZWm%!FOF&7c`)S(+zkejPnp3UCj3Nth=o|8?4Ak3DyioS zTekGp2!J-x1!W{p!56aokvNs(>W0Gq(6|m2WX8AlaS9;{HVvOR#)z`lBJwh&iC*%* zGHSAKI0(YjRE?0U(X_A8zzLrAJE_x|oSE$yGITt587Bmxr_5()vfs#AFW>(=j=|{p zb_f9dXcEEfmsEW{3Y%u#m$y#Y0G;NUk|FG2kE?nyujZ9*{RaI88Ea}Rq>kl@jgc$- z=6S$l8upYZ$aJ}lyr<>LQIo*m#lY+9=i!)fijA>0_2IUAXFWNB&@#(oDk>x6+KEDS zD?b93LeDz_a)L0RQCI=pCeW+9GSJ;gmxH!+CyJGe_chT zK*-?xkPHB7PwoAdNhLoW7pTvKhr0EGzMPSTxn#o1Le&6S$f2)}JRGY{0d*#4D`6&L zO<1bZjdXsx_wa|F-#jcMq6)0+fTv!xHPW}37iX4QaoRpeevt9Zxx?`q@Ew}pL`XRn z|4jD#IOD&rW0A|KOkPIQa$pz8E`zHd_y1!$9S@rmgDEGZe%!c`@0W5;3}*R97cmW) zDMzToKLEH!2C3-IRj}xGY!;~RDuD-CG!AfsjQ}`I-FPKhc;OMyCLw{x&4w1xZb!59 z%p@Fh8oCgO2VL&O%?Mcmk48qX_|qV)TLeQ+14l;+B$#l@KjyI~m+(Hj!jo?*7=e50 zNEjf`*_!mAgKUC<3l_4|Fw~i}XJx>HW4}Ah13Q;4X3z!C2P&)y`W!$a&e&lXL zHZqMQ$j8B99(!&{M%U0#2sgB6zH<4dr}6Na|3gqfBB0jIX%$`IjJ2tH+oNt2@iHsk zK6o@YZ|aQIm^h~k0WJIDehYF5&S7j+6Sn>qhJ+aAdwERFe;fao;+_AQi%tIvP_IF_ z2AefDk9kV@mu$H%0($RHAjdnzv-#7lqLpOIr2KX-)V`}Yd*Ef#%cT+qbDAUsGd_o4 zRI5B#TEd8!IvPa@Ae4+85=vm1{-|UAGW4Olp}Zkav#=bOeKRZ7Y09MWCm~Ch;LbqZ zkO%F(a537XPVH#UpSBv1W_-&c3`qou12-g;Z@c9Z5rbh`O6LLbiqa@qY8g%9Fh)xh zK2i}yQ|KH4#)|py-N%~TG6zM=<9jT6>-xowcO*q|4RyyS3=97Y`IR$BH!SnLD);lx zdAEN2I-X)?G}P+$@qV1z317Iy{<9uMQm>(rt?LEc3x;$N86X zIGZiWO9+R3iq^pwxC(Pi1`h(`7roFF^@jVHZ7xw=e4~v2^56vw4Jw;?!=Ik5(Vm_Y zJpP}J?}jjN8n@w(>4+>l!CDp}kzapQC?o%qEJ%p2-x41M*9#roYZ%uwysp(5 znSg2fInc#E`7$)KFa?TiuH^-OWguF3UH^A90#cN#G72B;5MZP|a&brYID(s%AZ6LhZo#>NuD^C z?;5v&@%D7DX-cnv_=p+lnL@OVs3n{48u8Zc--TlVPpPZZnGgC|Xe^~R@?lH4#Tl1! znuBIQ6Bx-~6(p4X`V$?8bvH>{sDo6mVkZOx=}9ts2cTnvhPV|d5Mpv}V(y4Y%!E0M zegylA55-$JLE?BA9ICLS&xoBR8y)NVp(O=EAx_fm5d|pO#9%DS`D%ZwV(X(Y?{gMH zt3%7GtKQ2^hrT1Rno|9+u@O70MK$OhvAYx>MLFj@i8+5haCOvu5- z#W;>eO>L5yGV>Na^gvy4^~-@1o9-etOpJ9Xm?!~-?%L{zOo8htWv9SZ{FAQmp#P|Fqw!7bgOWClq)SeE4RmeVNmpJgyUpSVrpC18_D z7@AvbvaedT5ak_LNDccPjlp-tE2hX%ta%?u5;;EZY_e}cncWDv2=x8(4W`XQ>%>kX zG_Klr8y+s*UPzzqU#vg_{SKJ#-D^-fny93>9J)JCP_8PA0fr;aP4ZATlxAS1yF$MfmW%`Io(qic zub(%Y8b~=9#8H2JI|S_~4M?t7O0vz;P1kAF~-a)nBtu7Zr!k82?FQpbPL_!i2G#mM#g6Z&ZSa`4&j61;4Aqc(#&$fb0f zbwvy!=3g=&VGRm0AHYw)$f*=Eu{2t3*5fZ3-utHJHInyn8!UHd-EH&$c*eTqBch8hbEH%?Iv4=H3n@F_~g`2&jTsyHVMDgn*x7`s{; z-gqIruYDcRI*koJwmDs#Khi|@@i!cD<9uLO6KQ+b>iCJ_ehp>j{WAJztMtqdi7uBG zSaS57S|I?sphNPQP=AWyVdO2FZkA%YIZ+LG&f8Lub-)RFtOLh;NX&>e0Xh@%SC~xL zMIyz2GmOwOHl9z$Mp1QWt!`h_jyF%#;5sc|Vgh%#i_8S6Uxy=pkNj`_l((lJ^X}%F z|H4YBcN>t@QkrwZfYfU*mvPuK2Hy1TB*-g1Po z(N$BDM!{RVz8S)j)k(_Dfe1RE-kUQ2UC;M0r4J=a0S2E7?3zy0+Axj;h)jJt(~YUV z=KDN2l35;4HQ5&A{^FXWDM(Q)j=>Dlt!9_hwGM20tmrqQ~B{n>e2}tGe{=N1$lX5f+LFH zqP*{Qz@!Ydt`IFVv5B<(^C^)M&=XCkG^lS#-G#>1>ydU3fO zqT;NFX)>QU>VjWG$;%S`w_K`6rfC&Mu~w}fFO`GG0AXy331%N| zel_~=K#&s@krb-}be6}xtg5Wvt4Ji;f=)SpIXq8m=t^vl8a#YIcDYtBEfaZQt>!j-Q~D_%cPBRB_^vOCg|rxJUE2*4xo)dS5UDX_9Md zG5t@d-jsL=lq`_d`LGUy$Dvpt8?5lw|F_sB1ssRL${kocgYqg#puDb&P(vV|Ltk>< zI>n^9)W!thO-Hv9@RC{KI>nQwi#d;3`q;Mdwj5Z`h{;4zZ!(6>#6|`kIX?J#@oi71 z@q~A^yhQ=i_hVsx3_hXwP90GGM3T-Xe?BoiUJW>$t}gfucmJ|tMTqtNdhYp#ALVwC@R}-+a#L<3ozvBs`*{v zE}5e-$Y5f-v?-TmtlEV;LmXHc@JwCd?rD(AF8E;$<{dR^BpRM;gVI*V>UMYg0=TWMg%56Osw-+L44P}{T3W-0 z60xu%YUPBt=&uI{UBXf)J;AcEGf%At=Ej{Jr=$i6t2rBCa9#5q@^3*oZg!nm=IS!r4Ycn;O!YjgHAQ*f_hOvVh=(`~i>G|n& z%4tuV)by_sTg3p&^}AB?-3 zx5rZNRDEJMxOy(YG{PzefJd-Isi^RN2xWdwueXuo|p)6OP)Vs@uxC40G=#NJafO>)X zn<4#9|AXH5THp7^yk-6aDg1ovql;IzWtRFcuwIy=hW^%SC+!D}9;9t8EU|P2r!1IK zm{l2_P+Z9hxS>M0<8VY~P&5gwpw>2d7~ymQZHNVd*cGNR`Hwv*&|U3o z%SU^)HvyBEUE-JOtyWjh`M*&WY0?O(_jF&q3e)~45J#0+f1u3XGhG=7>~U)rtt7nlvw)Dkqk;;28K#0xotm9B4tnFL?0=cRy& zze9?35h|Oak`2!6rkCwc9sqo>dSXB$wdbxSr|V)XepE&IW?8hwK(~<*qItK`%tP7B zjif%Pf(u^*lvK||^uC%HGXSrn$gs>pS8jsWX!$p$BOW~5uLNwiV?ORasEbvys|C~- z>KF&Q(3mp#lUAW;f&0WkcGo~8_d@A+uy!A`B)XJ(qroj3m0<-5TT3z9I&H?GtvE1X z+5-M&mcr*XX))tpV^3~2P)5m4+!pV0j_k`kHF3O08OGHsZ`T&@P}amRW9`=Of(^7y z>wM9?LuFPrp3>I&zH5*<*|yWI%qD0bJRpS2;Nzh zQaUIxdyg;F%_=xzfO?tTo;L&yW=Yd`AcBS%xF4-Ka&IRI1C4I3mNN$<=(wnZNJX#6 z-!Zjq#SCCGpNDP(kHg5uFp8&v&Hq?cC}WWNiX>R3Ns7>g&#Tg&FOtzFhmtumWw=Ee zN7h~@Fp$_>iIlRn53*jRkb?J}_Lx&8Y3eML7bcJ{mDfTOOV#TbO&#=Mt|ywb@n$C9 ziwBMbJ|r*DXH+*DI1U44~a-D z>Jp54$zod_sJj5)8@T^1$^#yN>^J5XOz7WUV;SMe7de&qLYVl`s-dBgIY});Lv!iF z<=4GIl?PJF;j2H;FV&gM_O@MujT~3+T{IMqw#EybK-#rDT3lk^$EV6}PN`(p^QqdV zBCnt59SiBrn(TUv{?vTEKJUR#8@+QMuyYr0E?8p}Bd-4ZYy5j zVmDJuS|FkLDd1A172GS*JFNFB3k=5{+6?v-x>zHE6)p;aaeXN#pJH2eZ1Sks!sMwn zE%=#OU8K3mLeE2wdvf?!>pV;SJy+IkcPNTpjzgpPV-#1h<0l|g0Hz!ZBKh}fCy*THz4!XpGhr60~BT%yt8Gq10`MsMi%snduB0Pup z`S&3|Lk0*MLYY z3zxd&*=_@CY$crLb=v6~iisW>R{Cp(w*jon)K*Eyu{l~n1*J)zi=p6MoMv?<_Cjmqc$JyoQI*CPNM9xMAD4_1|`y#Jj zHS&=`DHJ8b(jLkVaY#_rDGLKeN~FP>tX~;#*CLd`l$AZF^A`5%G($pZ^?;7DkKe8> z1IpwrOjg#{obzBv`NOy*^bOS6>2=EqHw$NR=`LaE$m627N!~IqLDKbULde68FXB1Q zH}UPGg|I1)1c-t2*w`HZeDiezN}=C$yOIm*A~OuTREzpDjXD0})NCSh+X+3k{rOy$ zFQHG>S$RbJO3>{%$7=CUWaD0np16qur-=hsPT20a_6aSS-uUwZT3E@KOKb;6w8vuJ~N?3Lh^o0fi7{+;|S2cM>3 z0_%qzcvRYl?r+38=0KY~iM{HI>FROC%BMY^56AzRs_F94fhfc2{A<8oEfZ%zPQWn=})RRA$6U_6AP`cjiKxrUD(IL{57=2@_^ejf5e*=%Q?#WfhjF#E=qLVr0fe}qjVEzD z*dg4w=Ee&qCmeR(y2S;g)pe3u04$T@GcvQ^vp+|%eq%d47;gbwQENhCz7v9@amKLz zn0X4698BS_DWeF9licDw;^+nvg1aQ4eAu8;uX~*TEKrw3(x1?BaMk?>|Jrt0*a+`v zRo}XxE>*(KT_J9A%oD?B7e$E99wWLw@YjoAfYqj-{T6MI+cTWT-;Mq&_u#LCO34P9=EPrMvaeO>kw z{0Z?y`p^3G{3)_NYvMMEO>XdQ&T5)IAS6ev?u|9rrn-V)DRE4>z^BJG%=QIQuhW{3 zA=ZBBK7h5S)3wW4Mi0SvW!yX5$e&tWku5BAaRBR3FJU#}U=zsV@{UZx9>@+Ra@;?k zq+?Wxpl_*Wyx?yxz5r!D zKko26ya4?y_dveRhiVOa1GQoJAp8^ZMQBam2E}dcgxvIvf7t~Mg9HC&L6!#X0=u*m zCZQsw_?rT1#zRyo%}t0&KdjkmtVHvB1IdTUZEA}WstXCH6jUg0xPDXa!yc1+zsSv2eM$H_8#~@p%F9{m@h)M=ie%3E| zWVR3pmXCq#ybkbGgjv<@w&!HXOu{1VZa@e#r9XDJ#pIs4ARnUb&~UtW$cRtq;J@Z? zLkQ&_;u~pgr1U?S2u$nOG3d$XntQlptBuY)lq+~(Ere!g(~5zzKa5@$d`GXG*@U+F z1YY9)ObF56Va9%*ji@)$@2@=nM$`UA!cyhr)P{>^Z&8~ZZXkXs(0P)@_9=?qd#u81 z-ksLIW-N=1v^c@?FW@EIYrxE|M4ds{1+g_mU z#@QU>xhY&>1S%m~hT1XTqy!Bko-6AkC7T3Saj;nMLP-0_u6l&|7+w){T)C+X*+J7r2-rTcpL(XTd?>9Ss4_@q1$tSL@s)FV8u10$`gCepE>RypME|7ZW&T1f3zep3C$PRF0ta!4Fvfr zWa^QA2of{B5tZI?IARdQb<>@FZS99aZkjQepVo~}OpUD@8!p2}zs{4?iyldci&dku z+eFn1zZoW3f0o_9ihG`O#nDxHY1q$??HS{8I8%MwOp;Tb&-%C&3Pf$QAJIs78JK!~ zdCm!l>@j2CT?xUh=-e143f}Wc&I!_8atpu5fS)c z8cBTc((*fi47PS0D?I_q{Z?9jAjEkACh@O$g5FEZr?`D1E4|5S<|D{nY`qxYWyRUi z&wjUx0B*t`#2Vasw~QV#ad3 z<1cH=Uq|Jtba2QgI%U_5zTp4xnZh<$d!_D&TMl5=2&JsOJhhr&Gbw$#6G#SD{)&yxrjz?wHD_~ZM{tpUfm95A;k=nTx5;1+R0s2O< zm8A2pA{Bt>-or^#a9lCVAKU#4D$H#D<61e}hQAp5R#^Voe;&iRZwy_bL?zRpupjFT zsMvc0EZ`dr^A?ynmi5O{pD4%p@hDK>K3)KRbz*7zm^H-UOga1d+~jd-IqUM=WNL}5 zw1ex6uH(=}ouaTdF6;C>>2!jOqMd6-Og~;M8h+B`5`~WC>%wBe^n|gj!N56rT`}h- z3+|Lpo3730yOulIE-@kebA*Kc$2+=r`@u=X;MpUWQEH{?>N9D#>mhmu!0iF7wOz)t zPJSN!zJ_<6<%v=9+Bjcuc(z(7*m2=VT7e}JWf$Act_%dp;ujo*Q94^VX7 z3LBCaW2!Bpum%O+9{V|5rq_)yQxn7tJqiT{gc*#RYzA5GlwMi4V#hSKWiY_bQ4w8d z)z(@^H5kop;|s)0mBBdE|LNrIl3Az;>6X$7%hJfUH&{s^9I@MytOf(UsW}1{?}P1Q*K$5Ab%c@_tqqLhZnyt zG&QPy3mTaqNaN!^sL=iL54{8JJ_4k(eCwn7`ZvqIpaBabM>ojK&$IOZ1&v#(+72{z z5-6Yqv?I~GoK(1^TvQDkP2^+a=EE#h;syIpH-IKOgzByg90X4xU-Mrk;705XL^Vv) zUiu3O<7fccf}`n0K<u8mMIq!Vf)Ou^w0i`BC>>pz%x zpgxig*G@D{q<6;Wwdtn%OYmZi)f?jndATHx^uto$j2ZFO;L4&iLPh>j_>j7l8kM^Ah~4 zERb4bm_MWpOer9Zh)SK?I`FycZGljp-_ln~Qe|hf%JLCbUe=Rprn1h}GguT~Xjx(D za--{}^@x@M*PXJ-=zV^d{qJY$FUl$%bW4x*&sHuE|F(5gfvZ6!|;EN<-@eKSxub6pp z&a_Z8ORuuZCuDs8G|lzScB7&*qShoj^;Kgz)(gO`Sb#I4o@zLeVh*~5h1X_i&!BJ! z-Wxe1Nk36Slrnr-i*uq2QC@9KVxd+6M!u%%Mj6m3#Wp@?YjDAu`P}RM=ERn$hts4|?`HlDP-8k549pTv_$3 zI;=NZ4Nl^(P-*cmuPIhfjFZBZSi^Sbi zVa3_dkMqqPf@i{ip(#8@AeuYo^oo%L??8*?FvPR*lR8<2BD8>rntmiSL6@xMZrEzC z_ai}-ATf`1h$zD4OVg?{#Yoz4RGN2dLg-XYP}nwQk9~+s1f47i zxaw)iO)H9x%jvmc&Lm_RBl!b4O4ILUVKqjE^~L2MJ_zDk$V)*{$NW$70WYTu_% zQlrdhgjq*Q3;fFmuLwHt&tN#@9?bad5v6d0CiI+BId?%-&iYQgb#pozF?OT8Ivbv| zTRzjCzfgKE-M>a>V6G~mc~-jha?%D*7Id8iA3GX0g~;nzl?97O2Uj|v?Kr-Z$4$X< zbFIZKhQzx=mQt?lW`zMe?zri2@iyV{GWz_(^*Q)yA{JP6u#_ThaVvfP5tQDB0wDL( zq6^^rHaC~RT55hXnSYBhF%s&~QQ#Deln%-3NSH#v`hDOa+2xi}+_e*7_AEK^dhg?vq&`5) zeI+BHqMXP?#WsEO2a(6yTzuAIP*dIhHZH^y8%Z-71{-?yk(CBluEpgcLfAYy{jr$2 z7d{&RjA)+?0I*{_54JlCbeu;of6l`3B2$Nn+;?wxeh)jGHyImJ)L0ha& zbA^B}u{xUP%EL@7q_xmbdUj;lrM(I!d3>ZctQRR=J&DG6>k$^<*;3ZBxDpfeamMi~ z>~}J;bH~m9f_KwfbPiP0)`H@waPhMJYji9D5ajAe2-39kVeq+w->~Rw?giYY83Q@h;9;EU8qgY5ggN6=vnq`|MHp#yrwBn)6(0f z+xK(|ING@e>XwS8Xc>0EI?*WLfAtuJl{#$0XP8VrMfd|&27b3IO(2%CT-0KmX;ED& zO;`%u+;+_(-NW8U^(i0$f?|oUqQwk(GBPE(B69hM%1MFN;wS@Rs_kg!Q(K&k$NC{F z=dBk8!%^P<^FpfKZij_|=yaZlRBg?jiNSC~rf5Fy*Dndmu~M#G?`NQFAx%izISoSP9cxq6R`Pkv*h8yb;+yEWnn=?D?;fqhx5gWkTSem*(X z{Sn3d>Q_%#_`I$2o@+$eT5i;YcV;yXbo@2==SGT%-7BSq|H4IqGk<j6X`F9rAgOv_>%mmr?B zB)dCl`!pmu;#hj)$hpBi2EmXWIsrkq;mo;O1sOc?A>V5dpH|R1^!nIl3!yh2!k2 zVTI-jOCf4GGi)G8f=^4^3E?F$5V2Gy@s+8OspnZ*3Eu@Zlm!T=&CVYALlCN9OYV54 z`*5l6$6WdrkkHlCUD|sPW#VvnHa-gP&=XrVCY2HnosX;wattw`bb>N=T*ew8qWBW9 z>w0@5=!fV-2sZELbu%n0@D13c?UIH+nV*0NKyXmRnF(eI+#kU2df8|$n-m;l|3;M3 zi1u<@AJ9c8Wf;6SL9#v0Q$}BAOE&;OgebTwUONwvJ+Jn3d^a)NdEsyYBtpYFfHB z$M3B4{vFYB0qsT+8gbeqapHIXT25Q(10T>(g~Zhrm-@tPv=n@3!(2_5R5Vp#QS>A8 zDoWW%!uPLoJMHPrNRM>&Fa`I|#{dqeV&gr-xWCEwm~ahHjc{~pAml0{{zesjM%TVN z{{!_v3cs{a6~zlfjYbHVa~KhTVk!&Se{1-go?LY^Ro}S~yX)pO3W>7GytUOGROb_y6zeTD?13MO@^7A?Wu|weG;L-ZnA>hi79X72G zbK<$mU-5H1knu@P>+rF|0RT+0Z`)|DcmB0ffoLEk$aHs1xm^6k!lX!LbCwQ}-ya$0G9M!x7 zU$At+yU}2SSzrjHOW+29y1%?!EpS3yia|WwvuyXAu-C6+5Bfw|hl^o1Y4NM!CYbQK*X}ODy5a)&E z20B@0-4#mnM%eA-26mOJ+YX7X)JG$iH@c_nAq^-Q&O__6xE@@T$HQ)OWzv*+%q5FYEr-jqZ zP@hc6DWv@rXg>wt;CEOu*Tg0xp++E-Ofxqyt||6L8>q#}1X=X3jTCw#U4GC?>3+3D zT%QAKor-GfzXE)$CfN5&6sbGGoI*O_gajrEfc=2fKBtf%2AU^CLMR3d3e>9eEGsqH zFi`Lv6CDGy?6BC|7RIYV^#X65UaH@DjkiEABk)h=+src8HaqQ+znkR{z5$8joV>yu znK&6rUjhEPA_@5872yA@m=$~7Z&B7+G@BJh2fzEh|MzeB3n@Af+_ppB42_ruMWYJW z!-717C5uCZQjo2AEq` z5!`i9G>|O{`~q}-&~z!$fvt#~DblaZ`2Z!SS<5&yRigju{b5Jc3{VkBi1vb_5}V|b zaR!$}ePYlGATFRC955gapD;Vkh#w5Z_n)+g*T{DK9V1;2|>R3EjRf8v-d7Q zo2^+{7?4CoK|=s9lx5loqBg&N_e;tGD>FnxCIUUD6cnd(m|uJYI#W_1W~c@60Q;xOUhKVO4O8^=UJC`t@ZBx?{9zIfA{Hg*i&_; zzx{t}zk7fCvaZj1)-7lHMhKg?>Kw1*Y2 z>Rn8fL!CxLi=OKtwJqLl590_wwn{x3;`%VFhB}Rg78JbdrbH~5%fR;=OaLSjL@bx6 zF_(b@CvaH7@9HJCP!`%Gdxl`?)3-^C96Q$!*1#kiUs0k#}7m1L(0-HskGJuo1ik*U;%mtN#I#NNUNIy?j ztz!RM8Hy;H+)hB0P(h`LD$e_hGZy;`;cJ{fN1|^6#E0 z9c>UKZy`Yk$UF*I!WdPX%OF3>Fir!WFMKvmof_y58yFTEt! zxfPmEXjZMnF_9{NSR?WTu~@*TtLeA9e(@g|6hG0ryE{v zl>$&2GrJT?d6v)?mc_m@Y^Dn$UL?^N$?nz%WFdpR}?ZfdVj#@kKq3NVJ1XiNHvn)gKQoHA2(1Ml=AB`{_unTIFQcNjz4;{*cx)cT; z^@5TLX+4)t*^7ju>Zd5=)VkD)P2uE|0cme{XlgwF)zIFGH^J1$Q(r{NbtyE>TNmdU z?2p}wqVar50N_=RL7hRH)}LMKQl|}Msqw%t+;xT`+sIsJTXTcPK#@di)S!lx?+(yF z%4$qlQ2&F(#q>4dDB5VqJ*b}g^UU{WkK`@RlXS%>Oclwy(afsuEG~Lx%2~DeYu$0b z)TORVeri)|L`i&m8>Ao9!0EL|@)B3~1TV#U;JQtAV`A38)wxW2W3E-~3-IOnaX8Mq zMZIxca+!CN%l!Ag`dh!|E5gCh#IidWmS)a?R_5YrZLI)Wb-FPUir{TiM82&t0JNgy zNh^PMjMb?3YNhbJ2KP-p$5Kp1URDkbsHpMx#5qbX)2psNPa4otv$7$Wi>b#m%&>$% z;L20O9fGBpx?i1mYyw(GxUEYu)n_4q>fhXkv}P`*qOstlxLe?F2PM*ccMNSrIx;6D zN^9m~D)BBFO&;J1b{A8~2W>~(ztdKDfj|&6;QubgR17b`4`IxU^Q~}N<3I2<4_ov~ z==F5+Oh3=rPD=_ON2a%^KXL2)Y36g)v3X`pL0zgiovxK%^%RdeaP{)5o*KUD7U5~9 z-UmbF=9WZ3(xhsnbk(Kut2UCa)}(mZZG^8M<<{7NX1K7~32|aBe~LrfOg_t$At^Qeb`MeXqRd(L>2hDtz~i(RjF^ao_O}ZHUp{d2fOr z;jSAG|Jq0W*Z=1IOWaik4MOSxbjUTd)dH(W%SY4=;Tb>>+*N&CowFVRvfXYl3$lj) zC8}OA=$9M?7ViXe)&oFSon?V3JT^wd&I6- zg;@W$Gmzb;*-P(U8PrALmL$}2@GOJ3&_c}RD+CDdX7O! zC4~*-+Q2HI__p+m(t`|1nR&{`PLKlWX)@={cEoQdJ2{^_jE}8HpZDB>FDmymnRrzcye0=KXZegzqU>l%o1?RW0SG~CG zRS)3z?pRPX+F{aBIb9XGIl%GU(%&Gn+JrE=hC>_GfaYr_<3ty??b@7S?yB4%3v-oZuHvpRwKu$blXoQn z9e80E<|@_-f92_ezW93r9ieC(Up#w=vbXEi}d<(c4d#}W0!TkO!f*Bx3@c})^%LzUdwWO+OPc_TK} z;fQW8-L6wM)yI93G*xzYZgY9=N+#SXt~d>M02f7p^Y3ofC7&d~x|9z>2CkcqJErEoD6{c~f1eVPAO&Ko;l-4TQj{RZk0I5&=3!XpiuPLFFF_Va)9Uru# z76}_hZp-QAar{4Vn@G>OA+}+g{uDk?MpLh-6=Pa~WLXvaOBfot5E*6B z0xh4hJoWU<kNThf;>(wl0&}NL-Fb`d0gx}jbb;MsP`*#G6dKJYdUcu{<7VWt(US3Cqsd5s3Zkyu!}ekFuL*d0VL_B z`I-PgC@UZ$L!;h^vn)^ugrg))VoG8~=vfUSC39?Ok>ga)ae+ciHyx*7_d?TgzCl37 zq3EGGmage|UI>f)g)8>P!Yug{Rp<{fOxZqpFxzyr|J@tDu$$K)_%Zmqh#F8LWa2rhzOj<|Y;j7(Emmh{j^mSuFBReI{3_?tcPm_5}$PAM0LoP718r+`P8_dyV`#drtm~z%*h05fqMmvu2-Gd2PsB^Rz|+@X`S%Rr7!^y;U2D^`*N3+YLC+ zRC0jj%3zHfEM)E!#)$P-9-(~t?J*&1L(28?%ImBkJ37EmR3n^_wHM@kJ^ij zCE~B`Mdn|oCM3eWlkIR1k z8_eA42TLv_oxg0y{@w7ee)tt;Q(>4@UXBJWFV|12!nCVGdM?wc>JIt(Vd@j6Q>F7i zaz<1-ppJ!#-!I#7{yIb|?SH=6m)|cVsM7IeJMAyixnh5eo!XapU{9|g?_cZ7G7K!1 z)5oj1$C%xfvPqbSm5M!>cm=}xG>aaaxYq71KfqFZ3}kA6vj zG{TK$`eK;;@U+q`aA( z2kgQ5=DZ4lJ=gFm=m6WuYw(?I(BKEST7U_qyheJZ0ZK~WqJ&U4i)x%mbJ!yu+Hqc* zV*v&)z~EQj{Z8R2Y{N5XJ*7s}Ol^6XhP5H?@S>A{|D^xohu;7H3l~6Jn7o?cjeiKQ zkn#~sCo)Q6uiIS)HM>7n_W`|}P?4{r~q)z_bX(OFPSZP*U_0Lj`1v4To% zHBt4*{%uioi=i1ohZB%idaWqP)tQ;y_u1Dqq)w3?20oc7@EH^$fUGT%+NDB7Po#uG zM2XZSWt9&o-ej}TPfesGg_q=Tpmb3}+Y3lwaiAj7lh&f-FbGaKqP4kRfIZfW7x3aT zF3h_{w5YjYw6q7^O?%KszVm~>=mTN|h}IU}3-EgV{2c;7N!5wn{ccD6Z3nTq&4F4ReqZFy9 zw-}+hurGQ}b*@piXZ%{DJY#uU34ebtEZ-XxW<}rqm)`wT|Hucmk=ojm=2=m1UIPyc z5kx41U@(xW1#Kp=kjMoQ2{G#j!7Y0IBzt*8Sk(1LFzmj7 zmj_wgw*+ag5?!q=0cl(zA`4Gx7oQ z5@6Dc=I8w~LsBfgJ`Oi~n0mQ&r|q5tGV6mQrrso>KRCn-nSt$wV=Akp*m{@T!Wp3C z0qqY1Nip^&i7DXd2*P8F(efB~)~%Ty@S77xjo~~gxgpB299@Dm`++xLGXxxfufiGF zpVw69+6C=^L+13Qo7Fj*UGGx{^R(ZA70{p2-Fkib_DpS<>!$KpNovjq4|+>va9PQnr@< z`R4nN^7XDDDE=Gn1(PwBYp7$gW;SAT4c`UJ_XnI5X20#O~lxZXf9Yoj=x+CfX#fqZ4Y{dr9 zK&LeP7MhU|6s_imc7O)cF8zuLK1!{q_zVOM9YO+5ssR3dNv|~l#5j%Q2z&K9&@;1~DseN^rBC3D^w+4h>YJS(OguJ z9MBhi>mT~C(5G6R@PxQmNzsPE91tQ<>3E}#M(6Taa(dx6+!CLCa5h5 z5Zvs*MO+1Wf0`h$?gC)P^RBMCe}zNSMRY+dNPY;3)d+Yiho(MtLF+=nk3sUJKSw_J zE_mLoNJ9!Q7oCHeVz;kw=v)>@7syG2)DwPAcj!_UM{OS&b1h*L#a2$Tl*K{JO!7>; z*IViEtCFQG4sEZ=FY5iW=0$y~`phBWx2MjFc1;`5qPheER4QB97%JJUhxs`aYJ9pY z89jwsw{`~&)*JtO8?K)GyF&VW(WQ5&ONkosXXz$ep{Y7kX)?fGLs>gZDkEzEm z{;a?H$#07uJge{FB~?T`-dHB{o=}nV5mph-n~-% zaNcGw80wL_O8R?%`^K;`b-QB4P>%>lj~fNL9FcO)$kjs*+5HGL}dVmC^Rjx}*DAHg(}f!80I2X*4KLPlDpA zWkl7iZgK($d3>}EXD5sq(&WVSstE|&l5i2PrpjlhO})b8C5jW3kJlw!dPGX^G+x3rfB}w@A^ld^&tUI)S99D zVO}MN$HJ-PW9D^oNsI5`MOa^cqXkK8`9`*4N;eN^WmR-~fw6%p5JGaYV$ zje-b4K1nWkgpZ?M)A0oOAzhphq@r=b(*_)2;$FccQ->`Csc2l#dIRAKffBXCF)k{a zPPb&{CGT)kNH7KGHuyTrYRZ<*hXf&tjTsywa-~O4n*l`R?J1wlvKD58H}E< zuJvG!qS0`WWI)n0>(A)}ZCi+7QM8h8&hSp?(fD(Itxj5?`_B)$Z#VqHE$a390@}M9 z(B60b)-QR-cSnvw6N!D!*GLY?iisM0mnO~qVMnk^i?Lp@IxPuTc(?ZDFcSQW|3>o7i8J^*-T7Je?iGNOOC?V1WwY38R0whx$er8 zL2#k2Da{Ra&2o3}!^C|!0GOb#i1Tx|Fp-v`7&hJ&MkN3Ru7USGHLm|f9+3P1uFLZr8#2R#q0MvscBOe_`uXpF z;5UEVKS*JZz>{3H8w@9pYuF8#_NhEv5Jddim<=otL2p~o~y*yAg%p;D-02tn-OToU%U;2E53D!4WhBs+a*Yzcenp%L?= z@K?b{ntpD-8X8jrDU|&*SrjXZYHyNW&I26_V>77XB$*IeED>_X6td|DU=VxBgwP_` zi`SeHB#c!rnePrqFNg^`;pm#H@}tjIuA$JJMYly-KvKo$ZEMPozhzCD)?NRkjscx2*$cO53Fuz_4D^QIyX$!f-~W#Lzv&yJs-n)gTYYoQ?*mB9_GN}& zATbrg5?*@=8K&a29MFM{M0=*j!mkoAoIxuqhu&@nM1>_@*rIh>A`1-P?Ssx7(7`d` z6i9?1oaNsAm>keq%M=7L<*|={3Wx{LCh1TB4_Zg?a?zTGO^lLnvWRb}3QRMiFrIjy zGlrBnm=aq^%$kCcfwhIso<`cpQxrvue!`-#jO6Ht&qzL?JTtL>&#D5X&o!w{Mq6;q z8h;sCRgjGc(5~S3)A40HK(iX{$LY}TYfZ|Q3-x!BxlmlqFrEnHQ0Q!gQ!`=-$%fi` z7c}$0c+ObEm4;}sfYZ4^@DDe_sm zDCwRzV1>rLcmEfPXsOU#qsCsZ6`Hv^>B*`KOrLzqcRc=Ee=yP~syll}3XXyfD#Y;Q zohA}oX;(C~^$5b_8X10_9Vx)5Zl7tL9Vw&+h~8t?SxDte-Zwi^V20+{Mfyi)OLoLL zW=Cp|^u1=Mw?_cvY92c~QhPM-n;;0RJHhNoP0rn}neoZN+f1L>Ipg1{!P_*WE;%uH zd%o%ne=fS+lTLjS!hK7LJdT{oV|0?mfjq-3a8lk@n3U;e$n_|+(4D^$|M2i;l&7wY_T#KnupZC@F{ z%LN<%9I)pDv^!0;3kLrjsL=WqX{uc?_~%$0=?+a(?SjEShdOb;B2Bdm2LBv+bh;I3 zs+(ZrpF`WahB)?>6|r3~_~(FT?GgE6s+(lvpF6@7cgL2n)+K{~4xI)A$$ZYoK*$z+&ETKg?N9v=k|MTC2LBv-u{3hBuP#sBQ4_uJ&%KQ}{)K<;b<96EH&C)y z;)5+>Pj=$P=Ps6%Awa}+h{&mIy!_1ihVFnxCTlFAp&)Y70qMC z8Yndg5x8dh*y|Pu(H4EMU6ghUglM}#I9mfh(Y|&vxP%V(*}SPTjSQEWqeNjN+tS+et zGaen2O1d>QyX){NOd1lP6%x8#Abm0LES5(%y1bo+g&V7^-x`4GzHK&0uF&fW_$tSW z{H$Mi{3$V`{mG{RS$}R5etd8+mE*6|UjCgEoy1=*KFZzDh+ASZLXf{V|sYPhk`cz&e7YZ#rQa zT$7HkgKXOILBfLmue3krnUp4%3oI={+8U>$eZ8&e1DhxZYK4JVY@Ifu&-1+p%J9Du z?32U1tE!pNQOa|EJ7P@vXaBGJIxP0%=K{g=VqO0)Ld_-Ne1{2Vpa{}?KA_)tQoap) z*e^tiPmCg%xBOTj`jnami6Zz!>plbA-FjWlvvT9yA8)IiJ;Zj?5v==v;M>&L>=wO?)d&3v;I7z zpr;KT7+lf+>a0I8CXv4yJS-r@>{qP%6T`10$YIk@ujGsVJnhb~0x$Vf^99@{!}D*sPQWgc)4(?ujH)heh&Yh}ATtYgti%-Cv(7uF{2HtML+{-uauR zDXtgH^BrQIZ5Y+{z^r*X?=?`VfAyC>{FA@oCoQ=>kZ_Q2E@M5^lYL4tHm}~)td)uc_EFvX_0Rn@O4m5CW#lwyq z0f<=8PzoFd;*eO8_RR(NYv$J2p}Fz8T?o>=x!`fLSJr_OJNvs88PYXDOzV}v=Cogf zOQ9Z`{)Y>KEf>^2h=9&V&^LC14C!1jC=@_R%N0-l6)um2bWL)NeivPGWd%_Cijuj9 z^|;%RpbR~%0L1raDIuM&iIN@Z*4Qes9^4!W>0I(~Is>7CA9Om9p&|eBr|tlK{cgatb+i;e6?hfO*7psSLhEqW^16mHrE|9^D zjFB%$jz&{HHb=f9Ih>~)$b;b^`FwZa*Y1?KMW?fti(bfJFn^B|Ux9nTiPmfM6na@T z)jFKPwr-i(5{f)N@u2y?_-5=AB~!9(Bp_RWbaxM=O95GW zu@R8T6r3d>dnk0}9)(c>$w2ZYAba0?bTml}CK04bgr)C!^d6UyiS3*haKfdpaKI;8LKJd@~XNl8(yi*sf0<2#lI#{FmOc3JUvuXjEcfp=O z|4z$)J|St|2}(fL1R1(e5d1cZPLCBOATz<-`#TtmDgl|VxZ7*h4(82J{;{G2WF|-q z1Df4Pe(wnS5R`z-1VPmdH;3^H=EuTCC@sAbkhvfRvx(t9!>8zfj0R+Wk^s4?k%re{ zF`jQBQNWIagD93&DYYX_g(iR^6_w zL7n}~MwXwuuVqt~Awa=}?GMxVLl^dc$5xKNQEC!(xBwJ@Ld2_(Xb$L9=A5cHnUO>l zM?W_Ct~Q#3C+4)b7w{I%>N7c>xL!7I`99~z2OK|E222b|Cx~Rv~S*b5E7iwTVih4vt zGl2zUua>d6<=C39GNng60e&|n_Z@-56DvK{Yta>~+nQw(mEt;w|Avl{PpZ4d=+Sf) z#4PsV)wF9~aAeWJL2r|ZlcB_P%pyE4fjU;&mh}EhsF>b=a?$63La;p;kd$}e(^GfI zFl&@qFhD6C@LFCL;fYTB1GZgY(!w&GjxXB@7mf4Xr{e?Ie-%llwo8P<<7=7}o=myE z9w1=v-n9yE!A?Iv?DV`_)EkYZP;@tiqTljGU;PoEc8Ng=vK8^ z<|96THoS+;T3MPgznMc0i>bgT_Cr?%Yq}n^#*}ree3Zy}Zqbf=vtC^OAxHw9tr52RfxU87f3_=ghEP#Y~5QAhj z6Nv@X#Cye+)eHy(7=zHoK6VkqMK#mjZGGFjhR0A5j@+7f-}6^(*-jIfPI;cGghkOj zhnZEW79d~+OO-97WY|CFbF+te=Qj*iYo6IyT<~YZ!t;J#_}jkm<;Z<$dEBvDRxH0i zS?ib7q4m&D*7|81eMPv*+P(<-2F^Mwg>1UuE_j%#_0u+n;6$yTw$TMA>+-aXF1Vj6 z%V+e!bX6XuySC)hROOppY#-DjMm3&a$lvC+80rGAha$YphD`Y(X*taKDL#cMKQy4f zf&M7G0W#dN?tt4A8K4e^%?w)M4G`FI-d~=awm%Y3ASO;HjKVh7PZ@YV7HR*EXqeo= znKytq=4%pSiZ5xWBl)eC&;mj#F)pI&j;jmQWMlc?vRZI`y35|1CEc~)qM5>6%J9Gb zePXi(QqMZmz3=(DZ@ZlCLPIJdGN!vgKh%YnISxIowgyfH60eW(avTbP9-zij;@;Ub zcJsMV6)Nd29h{C}@UWRI!-J9uk*`#Rb{yMW0@fUd0-4NA8_;s7BPmNz96HCLM@)4S zT=X7uc52Q1VjQYgtfzeoV|Y7+xQj5kOa4!7={OQi4>|@M?iQ8JyedDB$;96%FfAr$32uQ z)eMq+CG5y9eeiVS$Mi60DQDZ6U?8Otb%XqqAg8iq0R-cS-hhcbUN4zEGL#;{UOei)T8Iy1Fmz4P93$N(ZxWKvD#`Yp( zs@+_n9rK$HS|=OZJ3`J`6my%#J3`Jmu9!#2ImZ?A8k~+r>2!VhQ*G>7F;91~=UmHl z7ke2_(1zTOv0i4yJk5Gp6!RqOWxhSrte55f?2cezrLrHeUd~qGsw!uKKnK2S_^9$p zHS)Dx^+k_U@!KDsJtj2w-1UejK`^BRO`qUFMg|$2l+gh*;^60b_5Qs8MMvBy%B_no$NfQvUE+e0(_bynPCnw z+rZk{t$1wnpbbY13QQK8D2OOXybwEmHe}Fe@Lw|t_$t`s3B=bE+hVoNPl-(qgZhGw zCt9${caKd@7>e{3qp~B&Wv}eah;V3W{cX4q;S7xOjOA%1W-i|w6b4ZL>!iu zv_Rk5UKyS-aZyzl6iBa;N&>z0u>qCY3#Q8mWk?1@(Q%wcQ`6l=8SZvUiqC?1pBK-{ z@SvO>@c&j|SL{|S%5aaFl%l%UZA5(2aYY$|WFKV%>bTQE6G5Tla-#-X&VUG0WoThz z2o_}sx)7>%!G^I$U$Xo~8G&&be>cu z_Twt48Zbyn!Gxu!`ex68fl@z04zYiJ-#SIdMM%@C=haSj?f_J-XH#WTwM*eu< z9FTf{(}R73Mo;B7g>4?LnV#&M!|G@t?9G}FY+W-w+BfGl47@zCXjba&yzqVbFTzX; z@}S}+z`+$&63@0&*j@5?^wAxgqv21IWWu4{03wcLE#CsXVf|H-I(l1kgRvAUdD_-z z`=z%EbH7W%4?(Xf*E~R5mES)9EqK)4f=BHwz~9nafGr1y)VBaT_>;|#-nJBP0rrf) zwzq(P9gd%fCpA9tna%&jH}NTd&(HDA*e6QhE%ZDL=IzF%1S~Omm_LPM74+-qZ{zhru|RX&34d%46#2(N3iT07!e2*jEHOpMrDih z&9g1Gn;Sg(d4C$QbpF^Ohw!*yd2@TX!4sKI2S2CufNlBursZ}x-;8nD?r(NjFwP$W z{SNtnyUopRoey}v*_G+z5UBf0Dz07s-R@?`c1%7GH`{w}*{yGO<@ZCN?q7fZZhy1Q zO>4XD&8}orAW-)oT(JCe^zmxUgL<{vCcfPr3}ds$Y>wm2?$|cp{H9$}c=sM)s|g=; z(}lT7h1h%Q^YZ|&u2f{r)6MQ--$^xo#Ip5^G_ebVpw zJ@5ZG!d=Zh^tH?5YQ^qV)GpB>TDfiN{NBiXqdEr1tyIV4VQ9ETg><&%U>w?fbI3fkHqPpSs6@Cm=uL~abYYtFAQ?GD*wwO8n;uoH#lSjQ@0vlz5HAF61>Yx|!?er9~G-Sn#6vYTmt@m%8=a$?febSGBN%O`a{a^zchv5)Yb3;VxgE63j`g#?Sc zmLuACLB3swxR4g3NY@B}qJ{0~!J*IMPdA-OFzz(p62i#sT(6sLy=SGH=Pf^`o4?@` z-*@x-qI6?Q9$JdAqi*49tP$EXx&{@Y&6~EbOgA?{6m%sF$53Y`xuGXQFuY5oNz}zd zJJTBDPddTyE^!K&y9jNDLj&)tfqkqP-X&ICZ?_r=WAdUFlS44POJ;_w4uwOrNur!% zc$fIq>aPNn3<@nxXT*x(UEfRQvhhXw9vE*t4MGKvGT)`9VjxD;`cI3|m z+W{rl=)~+L^UcASw2l05JOu0xDZfWb5WeOa{QF`!Gk3HRxSyeG*mO>=m)Fqr8Ubv+8voAf-ADd z1uMV(qOGF=Q2P`q72Wm{n->!zv!dS{TV$&#w2DHjszo)S)g4AE3A&p1+azPQcY}E? zk)*8n^nCG^g(bdgrG%`K7j3Z|9F5|6YGXFTpz?HZyG+D2ioglSe)bM z!|_0{1Y$#s4pOfo&XEea9U6>E;#8b=8|&Q)!5lxI5VvaRzJtdp7B~b;{A@rRC{Z{n z{e>*?b0d;EV**l*il54=hsD1=U8pws4q{l3LjB)}JmZsp=l{kxW1lEB1&m>WYn>tY z3k|B^RkD*oqaRjTy!f=qx3op9ob&xeyb$*MFLw9E<%O7cix#{4Y&e*{?w@?-2Yz1k z1u9C+D{qDwgX`^67r=!L!&2~ak{LC$J8%aCW5T)LZU=)3#O|6Px&}F390q6vgerE| z1SeS>#O|8l1dBt*KfYQ4AqTq)+`#}aDt6b;5pC-5HdxC80-^lsS*B0yhDLz|$(7Ab z-5kLQbXLdBlK`sE zK5FWj=va*hjbeA2B<{F)Q2Q-Fn(9G?WO{%BbwxE= z9uA<2wa{yeaLx1t-vA@V=M9e$j$RSmS3X99wTwHB&HNZ)^9~;)td<`mZsys959?zD zbmmjdC!By*4(Q>RW=X2O>_~Atc}@&6VzW>F0O^S!CnaBcq$#1CC0ld6GF!7_cBW=) z3N%vkE$RGaJ5G3j1>N3kOS32h%I}x$v_H-_Lt_CAoyL+1S~B*RWLRu!FRo{cIJUX? zr2wj)EL#LO$^b&N1iy0XJ0=s{?$n{?Mg910%@ECQ^0b2(7YYsm}nn z3REa0QR%YY#W+i;o%?CV(Rb{z$!pHCaT@7I?q7@?U5w!ecYt)4J4ge!`la>~jA}LL%CDd%w4#+o@oWAO<$mz>=s5O*>N=rVAzAzgB zpqF}4I-qQ){XqectprITOHThnxR0KcGWCTN2PLO3O)L5Me8=PXQp!u4d|y+#K>9J3 zH2r%Xz2T-gn##G5(OJ?3&n8{)@jv=yfBBDp5a=Y|{3dBs6WEiRYRlv#n46e6T7$?# zXCM`Vdlu_8O|M1p5R_=ku~}2ucL}yka5DQ&Q{B!H01Acv0@%=Jz;l`&y%g+3Wtx6% zHa*TUr|Ip`?BiH*Qd;@dIZcnY+Ji#Ub_ens6v2|FM*_lHL(tpUmk3Il9-5H33MTX& zP7r5VU~U-C#%m4_{Q#G$KYG49jU4ENqiaFm*Su)dExGWxz}H$9gyzsB&?T6+MnG(A zg|#k0?TQrx>j!8Z6AvHnUv03nF&pfAz|yymv4@`y;B4*VwUgB1sF&N__aG*K-LCh8%JQ3*uzd<&F!@_Z&x8AbjcMnHTPxuWQaEMu_(;@QC?j^XG1OQYSe`X1g`U5%2MW7Pd3aV6{!=-M@S%LzT-{qrbL6qf`X z72Y?_6Fo+Qw?VsM?dTXVh!BDAEGCxr*HgP;U2=;=rL2j{Vqex1+<+gQg+y5suNvC0 z>RT(20++-uVx+=;#mxm=@sMx!_h{5q8^{y>G){;DV?rJuUwN3!38VJNoQ@GjwT`U z=}2ZF;)X$|EV@UFqyMZsWzoEvTZ7ptTYkT`q^1+57L4nM7FBcxryq=5!lSZW8)h@7ZzLofvB(fMZ2tabat66M6hfe_H!Vw}P=&!m^J4!w;B!R~Vr*OyA&& zMaphmuAT7MrR6R*Ql2+RZ_&NMF>c${Cd%usyhX43yTb17kN)z1_>11ww-|cl;(08a zO^hVt7OjI~%2cgOc{hssB2QJ5@|V-PQ5x&VGV$?+nD}T#anUvY9y zmbjF*;vNf1V7OmzLN>UhhmE|I1)~4b{<1@g`hY|zM`io&{%A_ln|t%@pC+Y z{oPm>wgd7E`kgKaihQ(BuwsuH9`(@IX(Z7D9zN+tu9?67v{J8)TuVVK;bF{lu=hmVtB?#Jz$3dee@Zh{@L-P-unu+Uw->%hu1Go z?mZQA2Y*Cr=$F?k;l4JNxoe;}`SQcFXx95n7r@* zZqeV%C!}D4eN)&XjI1@z#fsT>9<~!)O~rOjaW!SziEdMK(%}$j)gTUCY$vD+B|bQy zy-v&aAy{nZ6#J8u7i>S+^`l$aA^nqTNB2Ue_@BhC_?puMP}#FVGFf{i_h2K@glhaC zE$_O2vD+wD3M4J!amhRI1~gRISlZtpbm}U2!yuaqBiriL2yzpqXvZ_EIiIR)qpQ$9 zT$>r?LGyo+l^y#;$zdCP4qTQt`a5i+e?ZJc@amzfKE2pT@1<{_xrTL{(KYCNP@h)<_0i<)L~f6$+2ipghGcKsh3dQ=_!1nt`ZRN$k;^8g0Mv zH7BQq<*0LdT3ES1lheY=4Z3|=m{l(AQm}JQ`TkIGw`yp*O63-zDlYT|2h`^3Z+{_7 zNLKRv+zdw;m`C8$kFBe7U~18hkHe5XJ}RPn%#_66E*g~{Nv5Gu3L~Y6o5DZ<>p&F0 zC5BINCrWq)AS`v)xmw&>AR{K78CBtB2eYwlfx84G-c>Lwv9K}Q^*}J|urM8KDBEtutiod4 zBI>@qZ<}pQ)1UYNewBKTRe|}i#r@HGd;OuP46HG05#+D+94~6R&^#R!SCz->J9Fl# zj6Q?5WX|4lrd;eL!|G1H z;(mWrDn_75JK86m@a}?>C36~27Yvp&nC-r9P2=f;=c(E{ji(E?Mwa7lYYZCp6@Cl@ zv?jR0V0)Ilj$1IJi8&5I3D8{9Mv9@hzCB&&qQQ@VzXH~vEz*nqnk+i_nkc?2DLMLW z(6CBpARWEH4^{_-5VN%3_c%%J%-5WR6-YtHB`0wO!YAmGlfVKg`nV)GiEl#|Ae76u z2orgfr<1c=`W`ERmin4_JQy1D9y!$6zM2WI1X@AEt5w887+vB%j(+zv`M}p(Fo$Q* zIo)Bb%cN1pRt4=RWw0EZ^^;G~URsKX%C;5oI@wOqk7UVGFeigWa`ebIJ|9rr=QfB# z@tlxYd7tHPxhja6mXXKZoMOvhv^G*NFhrblu66zkfMKWgrNC;0E zVwQc4SGsD>RNR-txyiUMhjWv0Uk>LEThA@-smtXF(Nr1iM+xUv=;tIh3zW!%ACtp5 z41FVsD6tiLG5cE&IBrQ_A zIV}-5633R5;bb6NsyS#(un{36k*q=tyzbKyf!Bw!s?9^w>vmz`Fct4}s%Bt8bP;1t zwvEh+;PjF5)l=r{dLt4Uxv@{ax2kCnR@)^U}4QP+cU1`MfOFh(vMFxWth zqIYd6(9#7Fn%T&O0}6wkAl(ZWOueX^L7yj;jSC*z$OW)HXxtZvrhCx@+sFmPu^JhN zAl-{52pEgRNN8FeFhSD2a6vS^*~kUV#O#|^#l3LJ7`bp-8&h~3Tigqm#1K#$xd4u& zzV}Zmk}eq|7mk}jZ>NpPaQOzgY%^K=WD8thG&KTR-?A|^SqeLUQ4fANGkLBmAT&1T0p9`(j-P1~?o)B^O_2EaM zTTA$0$FsFqR5d$X954|DvqeYk0h75z zkUqeKMMsAyDIj5ex9g#u&K4c>wCO}aa)Xgk{hGz1ufhAJ79Hi1UNZYz;PD~n4*d!A z+xL>$;X=+~!beGJ8U>eIeUvBmC)8z#60%t67UqMTM}2^q?IgVqpsSBJk(hJw*p85h zdBRJGPyNdA&DbYOPkR?=1kZDsw4pQAPBm$sYQJ4|86h*eDANvt9LqWRuDUAK=v3^L z;%(laPfy;T+mXaqlbrSk77Y(qaPdfSI`1##!d4UDpv26@LnL$IqLI0ZdH?Hc3fCj! z=GmjgWmsGWvB2ZWe&dUILlz27fB4gW=tC|g0T9`P`vd?CgzN)LmyMDkzt8~y(>Rp` zIAF#i;%14&N%2&o;bM}GN0cUL=CDg|5VOm0JnfLpr`@pzuCI>bx?2i0*X~m1ykoH0Bh8m%+ww}JRp2}=}I(w79YRh&q&D2rAHgfTOp?=v& z);=3N7~skhy}ynnnif5`x)4QBT3rO0mkge4hrv~VO0%C*CQ3zgaR*X3o%~6`jRT4n z;dBz7-%h#M#z*gX*zuvgV2lg%ZqX7>&v#$0L5=_0zwDQPU7Ecxj?qJoRyl*wT(s-a zYT{xWVk?LgU`%_#jL}25#uyMyTp(0{Z7keE;&j`J!H)nAv)Z`%(p$y5c0uPyU?>Qa zS5_<-y~dBQJsRb!L2Zypr)_lbThJo_Xxy)uF?yhiBf^_tKFkj94y`KCuMeneCGg&3 zbhu&%;@AbF7wiZ?^Hl~UAg41%4?{7H9bt$3d^!4j6W(lU0zwZhxLVzrVXO+j9#|2U zB>CMZ$*&J%?L}BJ->G}(1vAz2ZqZ_@pN*;hN1uQAwC{{CR&n{B`PQv9#w2mb9D`2e zf?+oh(Aih8ZZy>{2m(UZY8jm#E#3`5nraus7(TUbr#0pecPr9VyC6bMV%o7H46ByW zV?~{q0zZi1M&pqXz}wKd+26=|wn5H)0w z%roAFgVGC%sdh=s@KRHaY&O{s%Y_tE?UI-Y#SS>GiT1i)lBU`vG5GNv+yVgtQ zyK`9Yl-U9kGB_YA9sQzFSHo*4wiZq6Ux%1?`TMOY>d_edS^zxHA^+DcDx3NHcFvuk zp6W@H4iuWsP9xUM#OsQHPsv^ZY}MGc@)5!>xF*=`d#8vIf3DeW;a{xTZKjIA&dCSp z-kUD)YV`Dud}ULxF032Zn{|8k7qkB2n)P|NXff;0#;o7}xS#Q+hhf&$$8s3Ed0h8V zhs9tR#>*oNg*gI00H-ZjHnhpIRjq`|^>If$vWzu>Xpn%u6nZ&Jp+-%)=T5?@%=E&ap(`n+>U6NCOPZ*$L(d9F`ZMuSDSM zL4u-2;7E+a1T$7&bxU3}tj#W|Ewy?tB4&P}#!1oP1B<3#W@z|8B-VJ%D!r(jGChGT zto)0{SN&H=JJw~|pM=cGvU&Ref(vspl zfb;1ZTdoFr{+v?$u{VZ}&T=HutbEp3?O z!;w@f2>L>>SXvAa#5tnPd<*P)caGW8hV4e)zCXueX`AidVIV=bVzIQ%b|Zn%uUITC zzBl8&$DrkI$&%{^iHTAh?-BM+_M>BRq5{k@2hT>p#T6?3=*JD3+-c{rHWDJ$4=VlW zCm`E!G2s!Mk>@Xx7d--X1jZW1^qXP0J+S+C;2O|%$p7h*;{bC#FVH~Rhk&Jb!FIRF z^$~7QxCp()7Ym+U1U{I_MHrZ9z^C!Rr*O4n-jK0@QCo)9gh`HY7p3P5R3&0`n@#R% zC>g$A6D|WQR?-EeND$2{Aa%Xo4@|22gFz3@IE4% z&l`d~D3XX&=+iWi$m8i?C003mm60Q&*N`^^IaoBoQ1b(2T$NR&feiBE)y}x2lBN^} zQZJl3doc>9g9>ZW)03>0wI+%5szzBOw3bCrqxx?Z(J?lVY(}4k*iw~Ur>9j-S`>$2 zRk}TF1k#=Rs^^G)%sROHS~hhd>+7|R=Z|1o^z>_`r|0$n*^9cQOkdoT>AYLC1ai+N zko&H`|JiSTzet%Xg3K$Sh9{eD)@2~q1gAQ7Xn|aCvbB~Df(!0f8+sD(21mME8OSw3 zvzod`oG9) zu5NNa2YeW$Ig<-7?oV>z5jd8SV}Qq&kU;{=DqrBZz3tsT->m411LvJ<3hl98OyP@b z3g_LT#S}gpQ}}Ov{wKcm+hVNoZLzXX8@;oMX<+HqoaRV9GRMj>G69TKePS`^G*25T zGcvM08?9CZtU0H7MBFN%AeiI9MAVYgT!E!SQh>l1_hrVK(>&;K7>v+iDYO(WOHT8A z-dOc;AbHWcs!{A>&}3G-=?ZFyCut~}nf1Wa{_rld;!Z~y2YCd>DHryC<=gK6{5$`* z`?}kt)HD=F>}pEYE#*VDx+F(I8eb!~Iaxk@zyjfO9HB77R#Urst>S{M?hOHnf`9eq z7yrudyj+->tHf3-)CQRFgLB>I$Z|#rBt2xwqaYaKyZ1gTv1n@;(RNvhP0okRN-X*x zM$}?fVy(B}u-XjDQCW#i4_?d(zi2HO8@wnT*OnTL)`G#{#jXhfyh)sF#v;lQ6`D?k z=CT>$9HoRuIo#L*L(iAOOv6Cp_6!t#AqqYtb*m*DbYRq)-E6h2`gSHqkSIV`j{LXN zh)pxwhp4jXVXlRE=w9O$oqQT2r_!AnC8l-t($s(VP5r6IF}>VCe!PZ6!Ovc;|LG6? z-&TL?l5aX$9nXqmvN~SWI`C!C|MVoKle@TcS*-)GPD6nj@n*a24MkP!Hn$!#P6lQ4 ztk$9X04kHJGuU4{HJI)^I4QQEQWJd%Zu3qstM$OjJw?6!q7rO+qkT}t6-5z#p<3uR zHj_@#=mIZH4hRne{~-8gK z|Lp&EU(2Q{SX7hkL+@ig18>n2=l(Ae=v_h_pq6z3!HA!p0^I>41ybOrl5(e+tUKfs z`T#X_EwJ}#QA3ilk5mRxL)(1*fB}{Bm+chUi>DGGAo?Q>82kWN3mBy9p}+HTunY8e zeh&7|p}+HPQJ)=ISR?O-HSz;i-}%E|7{d|A)8uKg@GuWtLXo*mHWA#QiL@prx+WWp z@sqY)z-w(tU}QydD3SnRtB#anmY1(Se68=Q0l7Z^?AFQu9kWvYo&Wo!GO-_5N=Q_5 z?1Am{W|h;;F~dSx;!P!823Ogo!@4=?a@tq9|MSNYchlzPlR zSH>9S^Otb7*&m5X+m>7#A71xBCPZCti|o0*kOp3C(Q9an=G~&j7R|p!*R-Mht3UF8 z{PFkvgEV`4WtZ_)&v<>KOvWzy9r{BFdiKUHf}Ay+Nj#F66BH? zrlez{4m;!-%YA`lJe;c=%xgFTsD`#gRFC?Di;Zk+I=3_?6dM^9rK6=mL!+gWTd}yuO(&z|xFPowyuYg-O?ML*NZX;$MCmV6^0k+Wj-I|-RnPy>f%Gmap5*}=2+MeD^zlWv_Hw!*kb{1Ev8v+oK$%sF>uT&|t)*rnwz#%axaqT?^;-4#mP z>`UF1zwC8?SBSIuyifWA|KUHpJDkmi6R8D0vorTEau%Y13`Q~=XM>52n7<`1&W5uy zO69g>L8jn`65)Dt+wKU|41HNtZu=(WT#)4eO5r3qtT8~VJ9I9{9*^6-P>mf{=K;lO z0SkiIbgg_SyZv-y-Tt@*@3-)rYzO_^iUo5-&iTYV5gAcdgOYV=teb>M7Mu;>l(*v~ zE6xTUzl0E@K*@ZA?gA(&&i11~os>@KB#M+yY%cYj)u(aE4tL=U``czaUhf( zA&S;Pk_qo!xIud~3MIwo5Dt>$Gst!r`KNA=?wu@v77GK+g;^Oe^u_1o?2eMzQcoa! z7TJ#TN1-XZFnW31jTjl&4TrcnDG-M0d2Xq%VlT%%7}}(#09gL!-|~~c?2lYR;+%mn zfo@@=H2ImT_RZ<Xqqe-|Lbq^X|U zxCB*$gK)J4t52W6a2KwRSWzCvb6WyOR8NZ%8l>0z&=ww8iBtylq=C}DvNWj+ zB9p1GW0d5Obz=M;g49_q2sT{iDzV~m%dAup=#wlC<P9kMwDH81aJ04j+?zkmY|IS?RE8*vL|vp>|79(oSk6yM9`$h z=vRm~5sTIpvnPVS7ED+aL9#?w!5V_1D+DzIF1aRwb_uA})|dwdWoJArr}Mu5IVA)= zLjXY_$_r<_Vf~uY<_MTQ~T{>J}QVl-nk6=;8TAg14quBe{Bet~N5YHHI8dkG7Px zSeJV+o+p|4!+%zQN z4E6U>LjQJj5TR>ge|NIx(6nZ`K}ZG3(Lo71K&ePNz}G~=UYl5fOX#39v%C;>K5kD~ z>-Q1c>2_`L*T0SCsy%%3e^qo|hFWEj^NG05{$%O#$Djl)3qL--D+$ML@rmM-K+Haw zqA-FKmIvjP ztq6I~@EBemX&6KgRGSD(*xQYKjD2Mp9v3_xIZ2R$TLgQZAeD>@HX1Js;{(R7uE+<( z1!Jf@A|6C;< z1b=5)ah58Qw^ZKnikIs^-Xi9&J^zijd+^oB*XbyZj2hyiop}yagwfxExkXLLhOGJJ(IIQLl{g4DYkHAIF=U+tBqrS%W}T133KYStlQ3aS zd)7~z4N^N*Fzcik1a|@?+%DYmz{Is+t42Nj=F~YECuW!v+!{4%Gja#t5Hx$0ZN+@Nvro z;o~E5fqVgX!mu}lX6wVOPH)qhb7VplQ#v_XuJ!z1m=1B_?V{ea80Wk`GwoI{KTAeU z68BM@=+o*z0-P#I7v3e$`#op-;Q7SlfohL%^z2tM&uWl?D<@esa>pky%=jXihy#Whu7cs6joy2Bo+wB|Pm2tVY z;MiT^E(=CEA;*~%_yd3LQ$P4Will&M7Y2#p)*W{^!Goz;O1^!Tlt|riBWc{6c|<&; z-Eqe?rZjac(rfV*TX!6~#gVJ5hc0=?!x~`GUQ${lC^y4`%qi@>0Vf#qIr4hl?VU)r+v@& zhF%#5o=hTJsaLFMh&mIRFa-o5W7NejG#s85oSNVkO_&m0AI>(J+`fVqpk8r7OgKM6 zP-dMDcrmG0njpp*NpubNQd2;&BK3+3PV#(;r)JoXNt#c2hD>mp<&(~wAA16Rd%aH6 zU@3=6uj?_ZuFYJ_`8Hm)LqO|7DdYl~L zIIgtTz@~PG&Pr=?IAT^>zzg3#8?h*@snLkpEqj{Dh-kJUOmU<}j>+*^ z8k4$Qtaxq_N=k((ZAz*>V#yatX)PeycRqT@!v#dkJaPKWXn&VOu6T|c@cQ6(R z{h0R^K8=>!t%MpATpM$6&et%B{m8HTgn#@$d{6@`Xzr!Ym6}TnuK@$wdw^=rl^z<1 zmLSkBvzc?Ht-uTl1QtYRfM`d!$#Sl=L0Pq(;B)9R#3}IwOscV+LC_{Ddrk~hXeve9ypM>;Ag9RG04Py@w&+KfL4@__`cAbR|(q*!`i6YwGhWdJo~{%-tsvGPrFw_?JQYaYN!(ydAV zz$MWjdr(yO4%2~p$@Gxl;OmqAq7$xDFInyp@}(O3AGX$2m(DZaBTQy*N0bCw+0I zKpj0~S}+qi1IQ;fl~@Qu$dmv8Re&2U?Ery(2PB(X$`FNlV0^=K2ZjP~K;EAZR6ZcL z)BXT4WQ;gb6$Mlx+-G@z2?yi&0s=|L2RBP<`l^kYyzLsaw}MB zmswx9*gn_5IIan&=BsxHD+%5P6lhMOm$Becz_V;#XcHVF3dZHyf8mtJrl2~kiB zi|t~!ix_u>PX3&`GA`E^9J?#rWx;u*yTW?xZ~peb@)h3`)d~e$4uIBYuy9CE2XLqG za0)<`dfhOZ(_JD|U`?;%az-@m*KD(d zw&5x$x~7>+Y@&9d=5`PAbD50e5B38^1G_OU#&jGHLi6ZvLTK)i)ydjD<3Xxb7+;=B zd*<>K&C650?Qj0V2mff)H8eJP;?zv^4zIVHK0lJeBF19cJ3N+1Nl{Vi9p0P=iIfz$ z;_iFv@CH*>yO1o!t$2Ml>~990H`;9b^hk2U(5;MyVabl59$W*mm)@G9*88U&(@XH~ z*&Nfyjc=&bjK!BNSJFPXPE~z7yH!|s!|+8e)b#gbO#hCgNPJT1KAwzd@(#<$reqP~ zDWcE+MH#ur+AXB!T_A>T(!0rEIbLW0zBZ=|!?hhL^N*GL!-GRE2LqcxuW`83ktkL?bf z&HnMUVt+pJ#n`1k$87fDkI<3eikrbXR|%ppf})V z?#=cqq#|VEQ!)3paY@?PK~(yf;TlKd6p^nU;j1{1eB$XQ{Mbm8wHSV~mgFewDGWa+ zYM@E-dW6_KyTPCKf?YEiV+LqFH}cf`zcEJ(g{md6F%!pxVamCNQr$Vtq%>W7^}l<3st_viG7a5~13`YHSfiI(oi4 zXdq^Ji|_^9$bQXydm1F^bo4>eY0Z3p4yQA+uQV)sGywK%<{O0ZM1&;aJQ%P2ZSwae zGvLmu|BHlYH);a4lnZSn3p-*q1ln5Yh5!lAqydod1P&>+GecM$r)&FW>?OO_Oe_h{ z=a=xDcZ-&&=-EU?ANtdO#&`bwa96bb(%ltr5l#JDPCp!dnly+_Pz@qSP+@q0n4}P- zJ#<0bbj|0TNeL!VQ3%o;y5QvC2U^1>I5mBN#;^%aO<$n9(gdf5FNlw8ZS_Ff(xpQ7 zc5L>7xWC?jKRJ6LH4cJ#aIg4x{A1``J1;nXTBo-)0sFTp4In5`hb~FxyVEW@0#T4- z(*;VB`SzTUHP&CW!!WTTd6mPUzJO&%Z}k}Xh5x6jFgjr{B$PoML9Gb244~O7a3k= zZ~-Lk51lHcoR4>Aj8BB<2`y=L$y6bQBt|pnnqY860;n83^{iq3Ao|Tsk_m)Pk`6;V zPaIW~+?=vmBrm!FYWn-Uu>RFD2F{lXwp2Cp_U{08+J)x22pj?X@M`BhAbp_g?O#pR zO!qMS-)>Ra^zZ!NF&SNYe2U(P2e4E}U!3Y_>JTWJ_^ENG_QKqv=zaMvHr+2rUut!q|H$9EO1K~bG~|a=#(r1ki*l(X!z_?+P9>O zWvE~M*|(&NL1EPYZc(THdm>#7Qp-N8Er1i3jC3(FwuAG`w9iX!*q>!P#eR}vX}t+Aa=Lg%a7o?oD)0%+#20+b2YmmGZmR=HEzwPSvD?b3ikQiB z=%EIphk!%ezYT4wU@Z_RAJS3g`Z_Q`*)JVIV0=vDa0t;tw&G#M)RQ>;G8_$VYOE+` z!VitgpQfPJ2Ul$R73@g{F94RPlc52kw0WknqL>L=5km@;coW@LtgMwotC?^~OaX%5 zORsz10Vl3ffa;%mxA_~f+bS!#rQ2%hwxZT}0`>M4pY(J8-Tysc*XoFP6{5K%P7Vi9 zH79-)pEY~I=t?3$3ZMxL-|7qF+08m0j+&-`J8Ydyb};Ce>;q#$ozXA$Dk_wF|BhE2DN) zb<&B}m%-ifPdGUggL^-IXIM4{yn^U}CkcsFFwbOoW@Nhr+ zMdhjrHM4p6c(m|4ZwH*IUz%$R65s2hPmjLV<;PJ7o>1_A#}W(iH%d+M-7L*koD5k& zbkbav>k53&lQYS;Ic@TtO?8DQ#9Z5RdqLqXnCtnaYfLq{+bPlWZc(3^d0w!1tq`1* z_`?wLZ=_bfsjCgvpH?Ao?|W+fH{sNWfWYIRI98= zyY4>*D>4QXLBP4IU?~>Bol)o@=AGd}b&^CE_?ic%%w$b))Yinlp$mqR7z;O$%*BEe z{wE&I`M^ui9X;P3z$6I8WO&87aGhi+=D4Q_?E9P+E%gL4bkY7Y z=({dH1CL~XZ~`w!FvbZyLi4s;fB#o@dgLBMlATHPrTfn=MfB551i+Yq>wG@54HZOV z0N2@?bPb(EKMooxoaZ|Z8t99R)aN+pf}BT6s{UYZCoh37cG{H7P#Ag4M$apTsdsA=Ft%*{F3`*OiY=?x%D6aV@r|J{%M`!PyYkr5u08BT&zotabt z;%fs0w)p+_l~sCO5S31<9Fj6RDNYEAv*viFxzI4^FxEz~B8WfxL(83XeAaPAo<-ub z5PWp!DEHXmS*tC+ryyuJG|Y>04 zi;q!~ji@F*`5tW;6{rtm5BrvSv3M1&zOp(Dw^&FrjxW$L@uyKPut`d7m~Dh zws`}_CNfy^fo3S*-P-g--$qldxouou2`T*YG;3#0}njEQGAD8q@-|)E;Ym2we z8bi`~riU8bq0(2zM{sKdFiA2!)i+p(<7Dw*!9v3>*P}~1-p=z3T!OxOxL$Bxilk)f z{VE~Yw^<-SB~&EgOK(;BA^+{@i4m^=;E3)#=RMXS&`fXxd31!~vpvYz%Eq@s zGW!SBJ`%E@r@LJ}Znb9?1-)Z&H!d-Y;(Rl+C7DGFv!=`wP3Paw7nQfW6`XWDYj{68 zjWu1r0nunj1xlvVQt%S$%Y1x!`Pu=WdfaNyEQ)cf<@aA=7RC7o#G}<@ki3ZYN2-%Tv$C~Vj4*%x8C=jN3XmcT&SyrjpSv! zwRI-(M^^r}cCp*~4w&IRnRi#l<=TQnsHHBwuzIGuLZAQdz4BEL|3tKdXz57|Q!b3yIW za>*k=U79HaPfvf(B?@;*qExN=CiT2@^ouTJc!@)nR1HIhsMYWjXG2!oVIJ=@3y=1xq-!!afD*xVjpNhzEw0!F>=9jAYArv1ww4sm18G)GuAFR# zE2JS%^X&BUG!F%vcru@q;wKrzc_7wXEwYg6u-`z-9nD&1^Dd-4vl<_0Q5T9IAf`a~ z5T4}dE$XvvOS$Q8*!%v*xBtW+`lkUS(Humdu|zVEot4N~0ukG1IZ}d$^Mc65%Vt)> zL~4>F<#zz<^BK`df~t9p3`rYC4FuwC3le1@nO|@l|1O-pZ+R9ll1O4mdC4`w1NCWI)}n5fG=3icq+Q8nq+-c>&&4MDm9E(rLD)t3NL?N_7= z&;&sjsE0;5uot8Y;DRRv+7d{UBCJ0&T>uwEsRmRVw3sW5eiPejUm-I<7r+G#C{IC5 zlj{V<1#n3-*GLS2;aEMNSW=Os2C0W?+$4yY{?R$l6@R_lM{f%uNwH6BjX^ED%>Qf@PdK+v*Qr(g)w{5KA2%{%`wegYM?dh}L*b3bJeY-~Gt1AIBdLi- z_e&IF=l(aK6l|tJsd~G$As``GVxAq_4WUd9Ys3Maqn=});|Aj;Rd8j{`B*W>Jm@r4 z#&S%hWmdVk{w3x?+$P{P40J#AaaoRe*fuI@O9XO<{v316v!`mLa@r$nS&P&cl~a4g z%LmaYslQ#`byYK?@G_OpF@^nQ2pStxC9aSB-zSxc{kYOJ{T&|0@|rIb*va&i^lFy` z6myu=tZ1>86GRRlTJn0mWbe`i#W4s7=IW(+%a7@$5C6lT@_YYAG`3rc9-an&w{F4X zXd|o9lBdZA`^wN`E(oWJbswFipqY~pq+V)*fOts>;D8E{zRDpOl_VVcxY7PX$glcC zM(*tG+z`eMkNXF!e&M2FH|CMS*pNXaK08%9npbR<+UvO<(3!S|Lp(9 z`y8M22+uY1K!hH$Ik5Bc@9N%z^G!yI;MIUG$v#c@D)mkfXtWA4L2Q0{^?DzmC*cqm zBOi}XM&4g6SojdVOSQYwrNa5!o z)?i&n_rc4o`GXTiy{oHgUzvS#L2HRX&G7&NXbriQ;RAw_XmC;#AufS~{^N`18%;1M zZ@{*m;j3HjqFtZbvU*@V!rTt*v6}0+*&&ds( zhGc^5mZOPD=P9ADmn>WejM6WXRFFxwLKM1oJI0)g9*DXHms)F8%8DYok>eTq9rD*l zIg}CKLxsl@F5#NQCzT#UK7>qsQt2^{Z#|hSXB@ek;{Pt>Eq6=a@&J($AL6T>5_{|y zr{u*Y=bU$o7N=x>OZ3|7SdK*=DPs}I!(`wWec2a&$&WI8jSN9CdM0>St%>tQ6#zAezNnF_A1Ei_ zdkXGA!6P`rSTR>W_WKQTfD}akRKH@bew@JLCeisBgAr@Z=Q`U+8z-hGj9u<3nd~X? zxZM9$^W?P#S=IjJbk}AA+p##+Hw<5V@)iBx_-5=ArKYoc%Z#If_mdbq2k0XqdCKjv zqR}Gs=RMG2WF!&(i1L8qqHN5@ALyx&udkI-0d(8yWI^O`xF(ZcCP z{HWoJvmpmb1D<3`eplry?4CsX4m(DaJqg0Yt9 zQ0!99k|Xexvjg^ll;q5dl$^dUtXAv$bfcoeGP}{&Rjt)7%y4|7LC*ihH}OdqdFu4C z8-4p1I0&zXqlFS9ffHm->dm=6&8M=4pC}-w9Z-=^cEhLyfDaICCM>9D5 z;Kot*nJDX;r41Udu%Gcsg^5K1l-(9z;QC_jyclf>qvxki z@0>h&-Yr_P+w*VHHO1Ut@>##=WB=q&j?rLJL+y*Xr?by|Ih>JeR$>Vm-r@)|E4{`j zmm{Xbbb`5<+a|O?%;;94^qPyg0AlHoU=aQa2`X`>e&}4x1$bK#)Cg5EMxmZ#F6J6l zA*;T_%5*N~qSqajYpMEf3Gxy;4L$V5sFMaw?a)K?lI1PUF^jb_fwA`Qux3TFAe%rH zn3~Bzr|BhLKd>{rxOMe^yTxVGzw>{`Wa4j>N~K*7I-5UVTK#VJ80#Z}{uvbm)z3~dh>4%;8zf@=m?&udUGI2_`g@pF#gggqXAeONG2!(s43-! z!_(TZbyML({;Wv{oUdubn0SWJ<%rZpdNgqK^!$<-ll%^l9Q^kA4-p6*(?itwCgpy} z%?2Oj2!}x2uOgWrBJxe9hX_lThltx(5dQeXu-`A9~7^ED2e3MD{3`HQTWW!sKE%ppUAgcr$W?XPk60zMlcDTT9IiRxy2~nA# zA@q&uhIMp~N`D#0C%a{#WP(7s;j$fG;}++e`^CWcxGim|5UBf0q}93}gs~}>(~gPO zKBZTyZQ@%{ZyvWhaFC%10^e@Wu?ZedY?7&G#czk3*}dH|JZs)=sS!;P7t(L_w?`Nh zOK*K}I*iM;e9N#2Uvo5#Xo>p^JySawhl$;`Ys>U-S7m>iO7Xn%D5rm3c{DHm^KS0u zXM&BiS0jmRKQgCN7CK3}EASI16K}7ByFxtT=beAeJAP&~NoYJVObKDQH_I`w?KW!F z0uOUxZ7aGdK*(e*dm)%pLRRR&ifFsg-o6mbDWT|#28>Xhnh?w>q3Dao2$G&>DW`d7pc@W|AZftQ$lcwb)v}r4F6d?nh?w>AviuvGNCzndmQjpiHm0YK})R( z(4Ztofr&wB$JX{!PldrXGg^89Lzc7DaNi_BFRo7h9t0PC=1SY_O!B+~u2hm?AfW6o zy0~b5o~I3DMG{cddY%^@FLj{D+7$E~<88X!dQBHc9keT26?BK{hdpyI%+1J%6NWy* zOqBq$H%;}>6FI^kMt5LxBBWY1s~| z&r(jVdyy9s{(CqHuBBZY-mQyB-@EU{wJ%L5iiw!3teS4@3#tUJS5d-<7 zI&Hk@ZZzMZ6qI!KS91(TknZB^-0g@kQ%m8ljk;<}mh0JMxxVkOeC4KkUIA(CQSmeXRjKa zkAokRU4)=b1Yo28G1)~3)l_QKMtja& zjn|wjaj6=;j;lr`ZXY+-RPgSrUug>%>b&>ce*b^+siDWTIp4GOx&?k0Fv_A^2}x8k zsY`OwzOqoq1r6uT1kc(iWkZm9tO=rv8I@%SVqUuPs)Zo+m>Z!-_qVO1CtjJ>dr!W(O{D9{6YCI)fjA`jw-UuA z{}yo-m{7u@#z?>7PY=l9#0F-6xCRhFpO>VbIL!HYV)0^s2{_{L1hQR2Y{IN@=2>S} zxnx0KlfEl^ZZ9YSrq2q=>RcT&Z~5Neq>lNc58n2nGuk^bq+E2&owavv&RlUud#8>X z8?WE-$+030`LA!Q-@Wu!>X;^oc@hA(VnviCRcqN-mQHcO<7vm4qI~$#=_xwK1!wD+ z_TIM-`tsgUd#5hu=>plJQ$xjJ8(QuO{Su$%XqqZLtN~^S%%Wyqdo^Rv=e25P=_7f5 zeI)a4QLn0(K9alXBl!#e&V$E)Hl~M<_mS+uqb%bbFk{N{=+XWl5CZR1IFdPtT^|P- zxScu`dWt2Edw{2WJZ{KlPz32x+xqz4`$!sXXdGJ1ogF&*NC4~9_&fx~+?n8M#ZjWP zw7VhA5nXCOG=74aWZ1?29GMz$L0~dCVhSrB50;gPLo2|;uaD2#21*B0l(Wr-%n_)aqyRi@&FD=*!C}ywlJ8FRrT|L>C`h^J3h?l2)Ou4eqjw;g?@vpM47*q^ z`UK6LSJT`PGO8)Jz+(*Gp#p=-LT|=ZY`mVJ2cGL7sPwb!QCR>V*Ug~nX|?(KHXH$Z zRMLAd#RUXT-ia!+#7}U7uVrntSNmF*Vs7LzZ~5LFGIIIEU-+y4<>y9rMD40)N6gA1 zDRLr#DsmZs9`??DLW42h)iKHFa_3|oBou57LFzK0Bb`xVLJSUN@RsmGU<$OWgSL-R1Qu!pSW$i>*@7`cFOraQE#ay#?^rC4LI*>-r# zSz1bUNCu$|aEvnnXl>MS9$wQ_lzn(L_0IR|EFzHjG)JaNr^v+p;LAIOIN0Th% zOn_}Uw4~h}k}<`9PZi`VPV(PV1vSCj`R^4j?1D#}f@E2sSf>k3kc00GzY}`Un7wV0 zd$Y8fs08W`RXb}-kwmUHzN?H|DxWT+OS!zs6 zjp?1g{^ie;KHQpKrV9JVU;nLt?Bk-tQWMGUMR~R5uwHBUdfJ11SR4=gYEWa6{tY7f zx5kJUf~Cf^IoMd;ky*-AVSea6M#T$DFL-JZ$L2!MOK+tLb3wrV>6gJdLPLl;M{#JX zFc&=T(GaPEJM*GKkSfdtX| zV04lybpsG_rD6wx0&AD;XzhCiKpfZxG_?G`>I${2p*YTpdbtzj1OG*5DZI- zHyf}s$(&+>742RT3`>erIDjfwR@})@zakix6f3SbY~Vv<+~GVqo#u?;e=>U*B=NwL z*F%RT<&PnF961w$HTqFIVM(F24o7qG!6u|Rllaxil2ZN2B;`G_(tzc4$ClKxU-Hum zt4i1a#sr0ir#17DBgu6ivsTs zU*$=%%=Tzs>s`#qAko~p>b$UgZy6aM{l+){kEd_El;Vl`@5toV?vpwTG3LJm>88rs zQy7|M_N)jhRywM6EEkkhJTd=$WV&Kb@k~O5bBbpYBAin^O<}R2N7aA)7(N#MW1a>f z9Eu_ImAu}n2sWBJ){V0af8qKHqr)>ynF-#i*uP;*v}W0+c+2zu}==C>5q2dK8J zmT0)rTRPx-L*^6#X|%COpL*S)yvKbl?%H`|fZgRb8W^mrV0YBJ8FdIXb5$FW_M(NB zlFQ?238k^bC5+M-uI>g(!3Ub51?fpbB743sXq6`h_03y;OlkeSfBtuW_`|{#)%3e3 zb-a+Z$1o$oBwU_Y?SrTkV>lwwyNCu48OSwD$2nNeV8q)c_KQHy=1C?@QJgL zQ@|h&*5o7WN5=?UG>3K}bSGFTXGw>;|?ST}GbsQi1ZG!@J zD1SJK#ahUA9A6@qv`GgeWsKkXIWViP_QdMZUX=^lcT7G0=%4uNfABj(J*uAV z%Xa6zjI?1jZaZkw%4NGYkPkoiq*0@3ZbJ^()_qK=M^6Lmdx(cMYzx8c(;W_5q>&LW zBWkv(uOXOyIslXzuwunM6$?Yg5X?Ru#=)r`J#3(HJHg`9Z9!op;VNcu_ve^>y8Tfm z({jG`ZXhV?(SP>-bb>~PD%0nKb6qF2@6thjhaKc_UDF#6*A-Xym|Ry_n&T7bW_t%ND9 z&GVKY)6Jjo{lDRV{`W&SD?H3~vw_9H&w5Z)*Xgt$@RL(FyWru7h?@%XM3z)}r|m1# z%`OOi!!#Wt5aixe?}Z?BvkM+EWK47v=8{?NEd+U|njnU*s_Tl*lzv5VT@8GCgrb%F zV7c7IU%cJP3xS%`B~*VQCJ6vFGnCBZGyU7rHb zJ%alTIY)RE@DsFgIIStJtF3un?P=yDm@}i4B=g--7XwEJZ<3LVxa38ROEn})N!4Ko zrfqsnbz^mF^=~w8`0(^y(8hyZZD$D}`$B#f9a^6zXsL^FQw|{J{WtsAhJBYI+(4nw`!A z1~!626qD~$6PS}UNExFx$!=7f-6?jXWcq;mnqW7|&h8|;QFeAG$&IqJi*&^Vw^63h zp5``6Nhr9oQ`|<`*?k&rqo!c^K~+%ajjGPF)TwS<>yfs#E4$=)4+4erf1SRQ&HOz- zkIBT}C^4-fmwdpBkPn#W6b^jO1wr!s5G3<%QEz4z1j*eXNIvr|zuD9%w=>Wt8XCKEtg+9LtuJg&TpKVXevG8;Jy6m341tQyWG?5JW1l@x;u_I33`eY3 zVxRMI&k`#l8Wa!u3t3{HkVLXcVf1Ou9Q&NkYfQmn?1LO%f6XN?)h;@k7bVdKbWgYD z#n?x!^cZ0wO`%%($m|a+stV-zM?`d0K-ulXG{j<#iPnY2KpT1rN5`8UGv0Jy*e6m= zOYHMHj(rxx{`?HPshun1$HlPUjbZ=Y|KvA*)te)!sbD9s$Lkh|yjqh5X#Zf35~YU5w0~!jj+h$980=B9O3vv;pVfPhb_gNH&*}~vQt)AOgNR!gAyI^!< zpf#cwq+xf#^BS!Xl2`&SIBDMUK?Us3HS4p_TizCX<>j87&^fN0l{a z8+J91+JBpDa|d=(DT8M|?t}I)qw;CKy?z$Odp*_J9UqHXe-X^OJ<%6z#voq54wIQi z8q99H{nj7;rC;z{e#(U@Muhk18wpdb{lYLyU_ILQPJZ%#PSdH_a}ILQR}Yck5pq30}jmqSaOWP-riqsUD` zu*&y8M&l$uwg5}{v)9tUoR*waXw*7Q{T%t=+DY^TW*d2M!jB%1;G-94Fvdnn&bXiL zb6wA^e?2JEJa~v=j301Vs==XeTCDMa#o?X)ubUsc$1?<=Oe^EcqM=!hWZeET)d(09 zCG0;eIQOdFR*ft5Zp}7m8&P&UK(j59p63~x!{sprgko>C zKV5{sC_T_KxS2XG0CXFil8~MiNTw%x1|Npm3E(mofGKH;Al6KeG>*RGFlt^Ulx6xg z(=$DbXL=1{UA>@+Xxa?MdZFF98mpRL)>}ZZxAU&mz9=pH%*-tv6Owy!n&* z&TAi4Vq|Ndfb?ZH_#xc11VZC8Rd>h;WF^B-`>)SyOu$4Qv>v4W zQOPTeeEs+%*^WXW$0bS88Y+3Vy7#svM*86V;`|{{&R@1;e}xz~1ad!INrxrn8j^8T2HR6vXns0ZYBod0?*BaHT#ATGZj!;{wK z_d}rUFWYHa zbeYC9*8$=(PU_^l!qP-Dx9#=~@5;E`(YwN3Y}Ph?UT$Ed(%=|lH#8M-HQikDDXvL+ zUdjRDy7-F93JkqIg6k?Ji{(ZgXCTF#txMOyIo6T|ps#dX_Q2elGt>mdZ}CH;In!M6 zy-kdYU!6-9K@c=22bna`wG~Ur!U(_^Sj-Jd8%vgw1)?7!+b@ zBM-y$5J0}V+MY28GY-Tbke~>#cC@CjH}dZsTw|Bi#x15c0FF&BJHWBHx?5!8ysrx$ z;PwXKSbP4%kc?&~Zk+fyZF$;^%mvS8)bISBfBCaMEwpW9AnDQQ7E%oe)}Wx+(6+YX zt9@nKwh1DXMEFUH0mN9MBSJ9p$n+5<^$J}63Ve#4Aa8)Lh zhKzaFon>5H4j5l^Qthg%IOe+QH^CpN+aoLlstmIVZtB{#bZF|+8o6{ys9gJy z5j1)pW4N2}RL4H?9FCKvTw1%%%V|n-JaSr(FdX!5XN8u0%VU{s_O~|w+Zz%^fhznS z5`m$H?1D$4Zz+9V$j7Q66*@yx+d7VP2v-*A$i_RlqRYk%Z>$)uEU*)ecX9;j5UwmH z*8*p#<%yL{9xf|}D+|E|8)aF?KpR&NAqZC%K#;~{xe>p!tQfBB0?wo}St1po)t2}% z$(6;DD6L!%p2(1hEt`Mr=b&1N(WFp|EHR9 zT%GEn#-I+@DaO3=n2a869OB^atN)8Uz{QPy?YOZiWqiAlzP*hTUhXP9(OLq$eT zKs8a<<`sfdx>R>Zb|7oK*xkFjLVaH$U(oca?__@%uXMYR|2w8n<8PE2CRKAz;F46m z{iLd@9HgvoDXD7fP3XcKCsj{deoWQA^`E^kyGmU*f-~v=|?vB&Q;10%$RJAUM(cj9d z1=Vd+c0*7~Sbpfnss*Oe{?JslzM_^d=&~YLaSTni0U-g-5rWMVjYDe*%Z?4k0bD;y zt}z#*`!!m^GD%P=g1kT^9meZxPO3%KKBf{A1(xczP>s5+wCSR7TqE({&_MD@*BtAQ z(N)GB&Ai~qqJx88Cle<_i4>)~PwD@{w-m0x0Ags(DIrfJHIjfB9Fe)uO#n0hu8c-8l zE#QJ~zzCqv@wp1`(iL-uT`?!!qTXm+x?*mpE9OtW_n&;$Z-n91glwOx4{!w1Cw-2W zhS$@^F+FLuFk&80@m6Zx%uF%hBP*%;HDL~tUS4#BU^P|0Tke!o5=o;07aCQkpW|+~ z0?rpJB6>0gpE$>os&Dg{z=Mul5rK>?`JYquF^_5GHOdea!|TW1w1G@3&ZVnMis5z1 zHjxR;@^h^Vr!^UXx@4QkwBc0Fe$9M$z-Eje%wGnToWb1D~ zTYtVEV9)u*4|wIpuYUQN_dGkBEAr7nnJ+x^{s-~ZOIPoC=X(LIeCDO=jm%+wPsMzR zm!8L7&%Eosv5vqk?>*i!#>Ee~{uTild%`VR%!z9Hrg#6;2flBLVjq(^(9(fY&?Frz zn5+c;1Wc0+B?(KD4Gk%!Q4<*_PtAS+MvW*@_E;r8m+WEGIf{k#==q{IoY@a(#icDk zO}$|D1GY}b3V)!hTh}Ry81P7(6iHS&nIv2HNvo+w?^uCb%#VtVRJS|hlr0!PcVDNq z?gWeJ5F-0G+ebL|7mocL=TFd7tCtvYGF`zGCxb;FHAw+qZtek^nLI^g5!1KZQxr2Z zf$nIYYdasW-lxv`#W%j;&;G$rYAvXmee~GHa*-$vORft5OHdE1%WYqk@-<3W!}RIjfz~cDv+>8uLLO#wcp7sXNt`4<34R{(~_<`=HM?sYdA=^i>kq^YzM9 z`UZWEZIJiapW4_G_O)iP&?)LVj!Nlc$wxzI*_E8N<^rUJjaSkyG9=TJJ;P)KgS#Oz z?(2j?+39N{(qwlvQgVycT-y-Rn(5h|Ap4AruAQhV=DtNcsK@YO0Kg>LQqi7Tkufy z8buUtlf(pgJvwM62S-ok$JuTrGh6Z7;W^df=V>nj`cut$XwQH9AWt6z>_tFp>{$7+ zZ=;PD0lRv}ogd?|jz=;+`N164fj#;c0VmKfbGPv#9BZKM`(Z)VUHUl(gSz?#RwSO! zYG6eJX7*>;z*^Gi=qN2|eA$jPKAI@u4M*Jo{jF>uN)51lY*HE?JJ5D{82}xw;37}^ zFK2j9^8S3`^Zw$FxBaD;BQ4kW!%BZ^UXE{YT5iz5x;e9f6`dioK_d}qbaQ*#jY1mEsqp6jpHz3hiC%g9)1nT}0rL^lO z{lRfM`gpaDS+w(FXWx9wQ|qKD@l&K#rghF>6TQT36HBc#%lv)z2Inzvq@_=*^ZT?} z+_Ba$*<$>uURjUwr{vm#V=b6;Coeseqc!nfbP`? zi500KToBHTqNn`Rv0aAO`}I{vvMx^TYe zd~VJGFb`*86tu;qosM3fu*QRA35Fpy^9$h{ArS0|KR0`Ft)6-gga?{fzh3Eu%ByVy zV>UTiWTRGS#jAqD9p6{ZhLj4%tC8#n;>0Q;I|9R31L(TmJH2`0-C@Sv-Z8_0YdPsJ9UD zBN7-v^f3Z*v>dVxSJo`(f^5}57Ej=J*rTpUjp>5$0Z`GWAm+D8{NZ2SJIO4nQF;LE z9c_tksbv(4V}C+sKsJxqCYLNk4@d?i@&+We9GC2e!q;qB zL`d1BhX`B!LnP^?!M*NonmiVoP2&A)o9Q9K(&ZuI_Tzqtj^vL9s7ygwW{-*QYw~!I zDJ+a_@Sx6eQ8-CH=YI&JEcu^_DWb^VU`}YbNG$o3{DmZV@(I`c1#$?*MOz}DR9r+& zGK7mL+mY~)?#kFi`m3g+@N5YkcWNB%_^%F_9FjAhF37QdeaZYBQ%fpWuS zJ5G2&0Ec{B`TbJ_a5&$b|63uSRA9WCm5J*o+i`qcu$<0QhuU4#`^uizHt{Xk<rE4p%s6AVv>XJKp4Q457{q|T!kFH+}C`{$+1y9<4@zUYE9b5H6i#X)utt&&x*GIyn-T5E)jJYLY4Ej*M=M=p3)!MY<*Dj$*X&0??Lj#hg0|LJcqk_2-y#N2u+Q z#fYGr#Q@GL8qI%)jEL&Ce6u z`XmKL4)6eT)=TF5gS)3=X)xA#I4(KgAbW_2=*9HuvgV|3r#||0droueAwPX~rtKA4oYB_dy{w;cvkIIjil3{#CA5(SI!d z3Iyr_<&|$aEVy6r@8bCMAB(>-;jfYKH~TB}AB(>NfqHy-@7wXkK?(OoFHdtLn4rrg zs^Uo0+*CG0o|6u%Rq`BJG_$ka6&941u9dMaNi%zQMsE@QgID7gCBH;J=EPSy)1gfI?W24X#PMnI1{aGLV{K^;=(ZNPCr({Nt%#*Rbs z4}v~M{e@HWizU05bBkS4$WubP`kg?S-A8cW!MEWv^qsqx91-8}l%qMGBkFP-*n|fX zSq#mHsJo3ENBS07jJkPoFSHQH_~=rTd%yyz<3Mh=Z2F|T7yrsn{P0r`q8mu@te(Lz zbn9{YAsR3jBn7h6KY&U{d4tBrzCu-l?u83tT#b&b#i$(^vMNaP)dZJ2V2R;1K(J%* z&9m~DNj<`vO!vZ9JW6Op_re8_uqIDh&Q|(rAJl-^Ou6o_=LXr_J^$AgUs@c08#1vr zS4$`rH;eu+%;S7kFdqV1$q{u2h*cCzM6|G`B_I}$Cm9#@fFdC68*V|wQ37JYwB-H8 z9gY3F3;>Bc&cV1K<=f_sQ<_+>?E8eegP33k%M zvq#FX)??rCc4`_I+%M75FQE~@$2~%Ufs6~HS)X$;aM;rA_jH7(yji11_Ol z-3EiZH6$STpw6yqrt0>afqZ0BD2j|r3>@nvX$So19K@$&Lf~=gucf@kE{QZUho%zv zFdy8WT-`=jmskQwuECYrADyqZuZcV{@r{tw>E-noOAb3RVT+IoU9Dy<6+f~tZ!v5I*qMx2DE&OW~=s>&;vUTNK8 zT6n)=NvwLQ6Ua9LAqnKMv`pneXq8S(!5LOhOJcQEI#I+Oq&9P6H7cD@d2p}N!6$7p zLzEW%giU<-;Nh}?BDF<8jWGKo?Ndzjeh{@zd>l}!y1ZpDdC2xBXJ!p(TRsVz<{Xl> zvlXHEzf)wY^l^M-&PLA;izVkhz`!msyja|*xVO3BJ%i6mf=jlt?CFcA8(|Pd+i|v^ z!y1a@az1!e#ffvt-D*o#c9gMEu;||>?Lp874HIht3{Xk><=xTKV?En0w+5v=><7I$ zTD7z_fi1JS6v*BW9>P>Hn86n&fIzyQZJ=9RC9%-{iwt7H`5AMNzSr}VZeo|*q2*tH z`wpCGMe?Q(HV~HfU;{mw9&BvcgAEDyH2Kj7SX}#H2WGQ9*!)ur#2xWC@w7k22m1(S zv-cbo5}7?WYToR5^{yT;ljqdHfxCOOp|_9PGb|X-a8=ned=6$(Af@5C4j`1$1DviO zFpcH+glk3GXB)X?d)f!UPpa>4S?QN%`b z)%9xmCQzBl?gNI2u!0bBo0f-7M5t{OOQHKX-2D4kY@Mg+-9LJ;lD=uczXs?F90eWz zma%JsLxf2L936coazwSS4daqEOg|xVc_~h(--pawMZzqo@83ZflI{I$!j|! zV;??Q+JE3CHd{JkN&UN5eeB<*(0v}bu4{%EEp&%+FZ%RI4#%G1P^ksa6iWS)!y(P( zDea24p2Ioq7F}{U%0zm~Ejp*8^bf!BSO3+o_}G@i(VO8lCEWrgkHWF(C)4h+z+qp( zh=tz(K`-n8v1Qej5DddaFfo|X7@ML>#fY=47llCG>6egjrqtufA#r44pMc7owIKoDc!wD`1_;JvJv z{096QpwkeNqpq@Bl9Z=PhTp&o#3YYMt`Ws|lHoUizz|g*xs(S~=LVAb?xZE@U9p!eHwX`pp#Ra9OnR|;^n8af_LKajtSPOn zju^L641;ZQj^jbr6zz6g+4*b#_wxc$%|wf-gnu>neZ3 zm+B2QgVW82|HIGw^&kk+x3@Pk+d2F8sh2TESF6+U)@L<_u#hST)(E2?5!4h#lC{`o zxq$lMijB4)RxC1MrKVOM;sheMhB)N8<{=+Cz)4-yVe*V zrCZFY9=qYZm^8Hc7fq^U)vN&>XoUd%!a`2n@I0>l+p@XG^zkVL z1YO+#)h*Y18{_3=<*TZFJt^c`*tY>1>rq^S%1X*eLaVHOk(w$nGic+Yy$1M#PJ0P8 za%ewxE|nbWK;83EiX0&FaF5ogWo)GwpjJFS@(& zNv5*Sv|tyw!1aiZb3)Cxe(^g$L(jVuH8_w64J7;dZopL@UI08b?Pkn#23bfar8z6WB^z99&MY0SvCYsC$>hU`eJIu%`MoH9eni5&R!wR^=BB zn5+et>}z5kId3Me{G6vzQ~qLFT@nwHqE@ksfj{i4GdQbK(p~+A>w{ALe#{SQ?n@aV zB}w?+71BjK^=?~6J0x44dbf>CSOhBTNbFy2%U-*OiFs?Mdv*1IZj)!0;PgY!sBbt| z$0K=0Qq9etQQsjB2zdd-Pj!e($5rx7;8~UGE&@fono%8m+Wzz;zqe7l%GM);7|=DsZCvSzd{{=2Ok@Kl>vl|gs&gF zl>vmr_$kHp*xW5`<}zgJ(ZO38KnU$G)SHhqc}uOnrC&fJ9Y(#O>AF;S_98knu71L6 zxShRsEK=&_QsqC-K@#UX9E@A4^4lwTjher<%71F&`?Tf965qe}wO{h4AL^^CuB$x? z*lscR$0FGQm?#HTJ4^^v!4a@kWL6m|ZB?^E%MBvg98w{O)~XofjibcfyV@HJ0yYw> zX`Ix@G&$tm1KuTxVw4b#fWuduOzKicBu&z(75S!#9MLEFt0q6HbH=#nRkgL5JC8nk z%C$xR@4fqn**^LDh{-YCFZt?Xhmx-j%TT&yk>)MPOR*eP9_vM#6IoN_+Jg{YhS2BZ z@yW>hi&c;PdtJAlEei5i^QaNq4Bz$2z>l-lsN_IRhL7{77Te)ux-*XVa7|JVy#JAq~$0G6v`kUnk`sMu>$)%NJ!?4U>q7H zUeqsB?r_r7sn6R_q0_&1#mi>*+^%(|%>V5Fc3;b;F8nx3m2os4KXp-=(~R7{ao%0X zEndgu7MCjJT~{fu8(iYi%*EiHo&i0pO8N2s&tLr9Xrw`sikLTK%~++>EqKXb7ZeB* zkcu{_)xNUynhRp8G08c=bg~v+64;0kq``ARVo_19Lp~idRkc$i1ZnV^Ao^@z$FL$o zGPR5$NQ2h|=R6_|9;~x9pN1d}o(rNzsVT1gdI2;g?N|uX;JIL%;sJz~jfW0Fy5}y4 zx+oDyIP?}+&Td8V++DI^fG|tMra8xwO0pt10BZx@iZv1OU<$J~=OoE|a}F4|D+OOf z2R804Niv}JqZ{)k*2EMyjcSu*zC9=?l4hFVG$4}uUzG2U4XlyN?qf;xC!AK(OZK`8 zfHg8bl2=oK)}adAsVwG>f&Z&w=N9n7(8nirE5-_UdW>Bu_o1d2yS6hs?lSZ-=Y=kk zuXqa5Z$KA$ew1u4#ku*li_>s-PQz)p=;Aay9;e~+f9^-W^6yPW$wv`OCC_^dh?Jw` zqllOsB_9RElqmTa9wtZ0N8m7%!7mMw=zp~zotbNvp?z?}C4Y$j^FRB)@lAZvBfQF_ z$Co_sZRL62@>E7z0s0JofaMNj1b}M^AoKb5?X(o*1#@z`^1NV9u5p%LpO}-s|KZPm z>O;YCth8(1z+)tA==|8Rj)`ar?ULFYV_$htY|NvW?1-u)Lf8W^6WYoWf)aI^U{I7| z&}1(tL6-~e@IML95SaIZ5)}KP!NVk(YILU%LyF#^IJ5-CzT$cfObSET)qa7zauJlE z*jEG;R`SZ5Ifj92WT;=^S4&WAf;bK`30M(4Xa>oNLu*j%l3*djuR%-0cEzB$9J?@& z0w2PjDM%tCp^Zzv=A;!?(-1u=f%m6MGRAu zl++$CZr|z_4m|<5JGN-EV-e{id7;(iU|umCdI$n8uX)A8V$hHm4t;8i2hxMn=1LD_ zIP~ZuZLN)KX0WPYIP`2y-=mq5s$_-iUMCo3FVM7ZRwQ;qcQ$6o^*@FR&wd)38i1*{ z6-~{@uNH;pk~VS}$Ht@;OyQI?YE|qrLE$Xet1#ogZfRq2~cYWMjry-L& zVmM$>0rRHiP0))>NCR60`zN6WMw=W3oTOCRZotzPmY2Erx%PpP3P)>O`oOTAFf15%Zq`MI7taL;O*1;CBaFX)?8t=K2Jz0&8?VYNBrLlWby);eEx-J zF;MiGmqgnPvnh-fKH}$%nnIdzGAirML~A%Y!xecDf{Yzqa0l8@#AVa8_?UO8lrykU10s1^Fkf*4@P_1} zHUO_^YAX&+3+94BT{_<|Syp}wV@DTkByFJM8vJSjcw0LY#(FEM83ur(d%vzIv7;{u zo;gygkq;frZ@px0(1I&KY5{4`!X@q2B!a}(#5HH51sTaPGp$J8&?0wsIw)y!FsK3D z0KZ{@ zB-lBn>;u|MvnA>1{@+7OH=3^c#8Ytq>yy)LM+@P9?QEEz+&t}N} zG8|E%UwE1`5~;^WsOetL1(NpK`t%or6t=T}xElT zxgWNf(IbHPN9jF4rK*B!Z}7G?tn%n98WbKYt`^n_9)h%{E@=5r&a~PL4xOV%KogYW zdxJ1Q)0(kjjvfJBK%X6KDZ48AST9G9DCZi20wHW4X6EQ|vp^kD;y0P1nj^hQODe|c zp>^iz##mNWzcHTY9K?;-r6XU5@jII}E3eAW-GRGJN(}8|4%zTRBNrVZo74+a1SJ$E z33;xNiL?Vk15|J$!NfLXe@T3nHe~85OX#Mr0j=w4F_`#leE`&xbzGE;W764;oH&@g2YL z|3*xKf5m^huVpiT|0ptgZk5T}(}rHv^MCK%-_3Fkjj;Koj_C(WEcz6p{VC7 zU#w3LhY@R#4)?g(RJOBB^ho1Zh$e;4G@rh>RxLp&{!`nMOVdI#Nu{2j|FehmTd(FuF-w%H1v%m72rohKXp~8}3GH@-@U)LjmCBf8CxEt`iGHoiY z+RnC6!8rg3z-qzV!3ZHJ=_OkcJ-|fk!qJ@*LMKTh{R)~#@_#0XNx;ni0b++<-~P~& z|1&{A@#x+Y|GQ@cYdXhCh08#LJt~#*_#JUw%vZ041ML${xu@mMTHspN~i0zrN*2B*G<5`wQ`X-oICh z+)l^G`64YHK0RFSb3n&hXlrUlRcg~S*o4EJTwE60{K>zsfaTVjQ#Vgg8Z0#UYL81N z*~v~UKceTIFdxxsyvkKF_a@M6$4X_XIORnX(>^RMyo(gIJ_ThNJ`&#u+ zdyITh&T(}5RIA2WpYr)k`dH)iqCh8#1`_5sjrquvPI^9a6fKIIIK@XUW$-wEj`uEI zD@(rO`3G0WQYu-+oIZSC`tunlZag4vD!i^u7H2g`tmc<^1XSrC@M7 z1%sdcn1Ao-ZjN&T_9OzN&=Oa;9jb%rvWVl>01Vh*LStui3xTowyGoiTQ%aGAzFSrqM2^U#gz zy`sxl6&a(F+^BQLs@&(K6n3&~I5Bx~dO64*_lfA0ar9Tc?|mV4LhQ|m*EL@3I66&q zEI9tv&w1`!r#WMxBM^V#hcAePuCY?KNMS!l#&Ttglp@sOw5?*1T;q)mlFAn8C~Z!* zNTKgW#z4 z5+brnwHobNZGtFKq3#Sp5WK=AD1tP2O>nm$_c`IpFp`}h4PFyeTZ>BeQid3n6>0EX zaJv9EjjY%vX4_X?h02CJp%{X$oBkYW@O;Jnd{1h43a)HocC1ME-UW96iR1E+e*(c; z_hTdkYmz#N3X&+N)eAXkL&JOxGotZbS4&spcSFBEj9q*>#YmnaQ&&utuc6P5fU{2D zkjhq0ebVPjE-VRZHo0~L}FVBK!q2N@U!A~@B)c=i0jrbpktJ>8$T-6tIGfj@sSvv+4^PrF4IGy8bpT`#=p zi+|xwQFK+Dxh zCV2bQ?AzTIWE3!p`!)Nw>Y*7|wjYBEsT33e9qGu#-|==avv!WCd(H(hGS-VFX4V9O zEYYsE1KNr;zZ;iF&8$f>XNu~zbgLm>Ur$mpoqm?&WRezTq&$aBThya2iJzl9eWORu zcL$0ey>#?#03RQ;V&&U|S=6B5my3zU=5n5s?m!8tb;H2k*E$2)E^FwUQ+xIo?_(Nc z!V9AvpYo#o9N)wzJ-xBcC_Si?t;-(vr`$Egb(4$WF}D8;a7=IUrCOR@1U{O{MHrZ9 zR2Kn133!ZTW$O+Zp3>(LSt2}#lkSnLs{*G97lUTIAlOkZtv{IC$wi>+oHph1xQl@5 zsCd@;HlaF-tGkQpDBYPIJce_Ve)c9^oP+>+zt%9|r`@89lkj+)g#YE^{;hxf<$)H} z#E8e@x&;jQd`~1M+dmHMBtk%JUzwBOf`>gvdodQ@q2AXCM)Dbf#hN^f611cHs1uCj zGnpIFHzj6uzG7Lh96FNESke2Z_NzH7jYTk$&za&8gHQT%jO26s)ofZ`u-1@PJlRsJ zVTs^5tj!H3|DIy@Zc9c_ydu%v_F1@m$vYF6Fuq3`Skfp~MDRzgQvh0vQ;us?Zu$+n~#jiFr zK^!^e8A>Ka-m-Q?tR&ycOzXf_)d+Zq|-=qb*gZ82n2j54N(ve%=$FoIX{^F*Ts<5J|a z7Yj13SIP8%&oKLpv?Gwj>t7!nz{H6uYHRKgy{qIB+>&)oNlUuq4uBS2bFo_WQ8B`) zSd%#oiHZ*k05w;)cd&g8m~#+f#hQp!6kHAG$q2OK%1f-&&a+XOEIAoA z|Dw}hnyd2CU@N^e*s_=A>iU-kLjKb5*d zdxxaGHTMD71p+w5tcaOQn;c-bC1wQ_1%6)IVN2qU6r@jJS5|^fiTzjenW{~+R$}FQ zPeGs_klPUzuQoI0W?B!*3dA8L$c8}OzXsVWK%jG{+-f~rl{y3j>i*o0;|sz$Hdp)E zD!0_E@pFo&v*J6Pau)05Yz@-$IRD*jU6K?K=y%A+U$19t#u@HftrxR3bV4LaAW-+` zcAW5fJ6q>E%z8Cjm){S8x_|xsYn*Ss|Le_cT_E5PsQYs}j*knLH}&!IB*%TdTE6*~ zr`9PcdG9{9@NhOnI5u&u+a{J;XGAy`+d$j2oCp!+I5l6rJ6Lh^-u?V@&j~)MQG>?t z09H)e3)BR?Y5W?wlUJvIc*^Qojy~l7{^{TIFMibNHRBz63ngOnB2!m0#xQCzVEY|( zb0;V|+&TtZR(HQ$TMQU0ikUUR1GtFQ)DTMAt;k2rb_2*3-7aFi_H0I^wuu$R$ks#S zAJ~ZY+VTk)$IvLztvjw-v3%$#BI) z+~JR!|9k0ad<>y#s_U{y?2mKT(^yo*b;{@FO^lbi?xn6vvTK?za#|k{GT29i$L;+u zsN5kRHurrl_I>WzyzdLoU%e7!+Sp3;De|2g$@!IV2I+Ri|Pyiqq7A<%yB}(CvkFL)gD5WlS?CMlV~?u!3P_ADT(l z1veRy>?YX^v^7uU1=~@&l~0k0T_~MQav!Y0B=_VZm+4|%kR-W^2r?w&mNq3MYJNWw zMRz$}>|%H?hW8`G@P6pO`~07M5QbOD%e;w#%-UFkySu&*#TNQ$m{V~A}Og#j_tg`}7LU(9MbGRTdUjiP+ zkOU*ph!rKD;d2ExNXzojfGip3LN2B`3{kGhDqLD@ zK-k3iln(&AKt#XZPCLHtK2c(5OJOZq2hat$e2c*45h6;EV78PTVa+$0<7v19gOMBWaVz^kkMepbqJ?SCtNBy2J{etg31G>x*EmzHY=rU1DES7G;3e*Wo zi0eRrEda(0ns0B0h0euB;rswA-yK>)Tt76zbvZQf07fJc#X<>jU2wTkRy;rpjMX^= zQ$h93u&oOu!)I<;f??;yfR%bw>NSDP|Z1j;FT(9;kR-{RE z!Jv;^fwf7DX9zMm;DW&(iCG!FAYB$01XU6mh~PHtmJ2}4=zqnEbXi;wDR!MC2;kO$ zxsuAN3GNqk1+d~`W5dQ`MR8eNa=TtKgF#9758bf~uT;=cZZMv(mn=7@(NPYVx6U*0 zEsSp;)Z(7S7OA_yq}zw%m?#w*%Q?t5fxar(E1qBeFO2rsCrTdgi0bHnwL>b|)xn61 zj$o37fP&5vEMYA={t4UDhHvo|1g?5bW?{id(sDALI;mjZGkTute7Fj z=+R7*42_Ok%&==?&0L)| z<5Ky*?(4MHSaMUX=PmLu_DH6tSuZ?{x8DzY8p!y9Ilg+|^G_sezA4~~ZA=Mh;*swL zbNm%w@F#xamm|BW)$tzs1bh<8a!6XD-O-v-9kHVYS=&OwaLrH+f@o&e{?QN=Pu=iG z0jAo5b6%2nAxPWkE4IQUPJx(Xc*r4`p-+3Tp$kI=sN=1Q7lIl3gvmKtXGKhHovR_3 zp-;Qz4xu)T=yox;d}#HH)vf18sp7%Df*xR-|HaK^{;`Mj$Ov#S((lD=xU5FE!x_=!o(2grj_T^4w-sRq$g;S3OCO zEaMPYNXXuXHqT(0ehj>TXHw>~FPSNtCQ_v+%AG~*tK__~KXSWg)wmuRYy%>|OlUwB z!_v?{G1E;jT#@CGlsEkCBOe_;Q+zTm7R7TxNSASy{LpZ<#^ z7PV^;q(bntn8E!{NMiZ)U$!0gDW{yoYSVuY7;go1K{<3zV#V}d9iCJKa}q12{{lSl zI!awoc|``v*s(V)m<84HovWM@gNCHT<9 zVYRQi{yiYih(<>eYmlf=go&?c0C%RvP(JBa%t;cUC+Ph{aJ57MrzT!=$aJ7n(avDdse~ZJguj}*PM)HGKtbxtcI0cxe_MJb51js-_h)>Nj*lI%ejFd?n+br)?^{|lCir-T(K(jW%hS@w z*u>)<6s#xIxAW{+-I-V#PWTbflU~H<(L2JhrcF5WKHj0kAd6(=-;Jg zN7KiETA&J4bGW1FV;D0Q5K9i1=FaoX*3AXH0|;KV@MK>hIv-6RqyDtgT2=^-r;jlN zpg;6@`WUwP(bC5c9+tj=2MGOA95~9*J@TUG%2M}rS#6+v9<)TVlG(@3s&~N9Jydcb zH1^39kH>qSob!Kib7*Yr4$LeQPilfW3ytM=i$l;PmC^{3OY#PFk~B6hxt;Hbj-}*c z+t&-UO~sOL7VzU4S^)nlzEwOLN=@LBO3{RXAB9`ZiX_SO0MAg(Cd)nmvY4lbv`GC$ z=?R`ixuUT-`I?hS1YYI1wO~rmj}bQWV}#A=1VVm<+Tz{)RqKXl=3%R4wm*42Lg?LN zKl}d1kI@lAcaB=g`kYz&VvjEMPstQE1K8C+VXjf|4128hIoVTT52*IE8ekakvkWi@ z=yR(M4KNay(W(Q6`f9)>IoHk~eD!#((g}lLztn#x2<=zmaHRcVvI|)Q1nTj*oh*L! zXS({aUajU2;M^$F7S;O) z{@N#g-CHLiM~M3bYdVG`%AgjE`bNhB!`VSsx2EYm1}OpZv5-4@^G5>Y9MM6qE8uen zvYbBh%mE!z>PklsH0J<#ljAwGRdNoGV0nx^&raVgtp6DB-YBgNNqhSrQ$p_D0-!Am z(rjjGELlQsTp+DFaIDGzoJ6}?f!n6g$=P&a%nc={Rx&AB;XF!OUCn0N0nO!Qu7{!? z=L;6B)=O~oN)f1OM@og^gg5=oMhs|MK^uXnL)Wlw15#Cb`QQ=l51qrhHn`)UyeQ?+HLRNhvb8U;tkAd-5TlZsN+&zZGH+39N4eEyN};N<9G5IeL0l*A;Rp1g6?;K61e zghF{;h)K=^Z5|~ixlig5xFA9)Mz>ayV*Z5R$5#6mZf)H>A%i6eGFxpTgEgp2p0K2 z1hXdH!qt?*(O4!eYf@lLFd|9xo{Whs=a@AqiXv3G&^&7vQtFS%nsm3u00b56=Ma_j z53UMPE21BPBk%QNijhF34a=aC80b(WC$%26lPa+eJsw{KQOB6J)4$~kfM_cDvp4?O z#`Uh>DLV2LnNX6HK2F1Qp@2RQ;x2|Zd{apQMr@5uaFd+x44$TAUIi8Q`@ZcR?^p)U zfkHrf7+kbyB3+@0cE>t(y`0DR?#1c0_Q&YFL`bS>*I1D%%(iI0=?oII#;LQ{!{GKH zZ&mGp4x1jdCRrRi^me~Qoq`HSi0|u)lHYMb%_=}pk~CFNA|U@UYYyt1LBhud0b1UHPfgt+XYK~6 zVu=~^{(MIA{_@O3P}uR(lFsg|7#ZiAGoMHfPVm~&^d&vSNFi-Xq0?hjcwO?fK96v- zaCMjFlfmo4wY-tivUl)Yzw3eryWqh-GVox3_5c3<@A#5WYP0M$t?9?WNV*w%C^$D4l9-0nKQtLh2TAF-AS9CBTzw8DfopeohUZIXDTD)=o|^P* z&vvUN5o%m>HSga)*z5Mp&OkhBR2OKZP=7eBnV#+$rUa4}8EXR3*Oy5$l5WNU@{)Q) z54ZI_#F}E>{TJ;aC>`WmG(hxMDI@7{<;kzXryJSa{uc@TtgpFS;Y1m(qY=}3L;UEc zy$3)Tq+S75qKm$L>8iGJpO?@4*p(g66xz zYt>-^$?F{SE6Ygwv;!KPi<{Y3(%m2KoF7-0L%djU0c{?8xDa@U?bWlmRj#p=7Wo^_xTS;4_1<~ zZIFEH)C|T^=0H4of3FETvDK7ZTX3vzluNg+Q{BLgBMwNLa(ip8^SYtXiduY#6a_2}XpOIi+) zPJz9k8^+wU5X@=0n1G4V6@AuHA`3rB%>=^xLHg1lH?gEd7B0CvEZCa>k7~X|4MvQ! zWnJL)3fkP0f~YlXQt%*Ss%geUlK!!;2`^6Bdk|a==<2liW^2Y^P4sfqWaw#L8hkYM zyP^uB+a9Wx&_}*QWQo#wTQ+;&YuMGl)-IUs(w_BV>@g%P>MX z_W+P2FF|mU$xDEzUU+9%^ek?Lxbk%eeBZK%M5N@#1psL7mf{@Q)9hA|$EUaz;&bn%OwJ(GQP!idqj{;uiASS5UZ8^Y!TiI}X{S;GIvVK>s=`v`DIh zUd(_gdR90`-a-E{7(S#37KE@~cWr{y%q|$H`;BM1;#X5MyCCW*+Jy(jho4U^8iIeAC?_jeno8+gO{f?oIroeHxb+EDji^|5O0pJ#i15idv@4aYlSdA6|!b+ms z?*_GReMin!<(D$j-Ib9{15Ml_hFitj-q9^e5u(S3*PQ7vzDyyaeuQ~x`s0OTG5X!{ zY5&}p{A>T@rvpZ&u8+GE9wi(N&2l)g)jl;Q(TAZ~4))p%ThjRX(C%DU0y4ij4SvX&E5lH4Z=Lb)DR z21kn(so{J@OejDm3Hk_y@6;mk@D_gr4%6_J|La-Fdqu&eD z`f5>oP$=J9(hc?hzC2kA<@=RtP4s4UMaN04sfsI?2faw{r9p324i)I-ai`jN)RLeB z-b{%qMpw11cOBT4MLLh9Ki$Lde=l7JZ$k^w`i1=Dw|dWkr*=@6j^W^`)wpuHN&`_u`D6dFgsaP87*h%qvkZJ&(Pf zdDnZRm8a!YK3Y|~tYhnTW67SiaUEmaxPkApVd zHEExygxT+5>yog{l_oxwsqk{?#m4nWl_t47UTK2aPj5TSKCW&;taO_wTA?g;XHnF} z3%I*{rRk`P7x1dg|9j>8e(ZO@65te#Li=c*lk#A*iDqAR0i%{cJyH(XJ20|6m^OL& zZw@s3TH0O^EY#Lz=vo@>UTMDx4Fn(VKDoPa^5hx-=Td&>~)78@(n#_ulC zym?-@Lg1L`uTTbWBjvkRl6B}&GO3k|p26EFbz`8HoF^u#)So_?A!z3LDu5};Q#cKk z(m4TY|81_5ZRD{3+ybY%A-9+;aBso>z2H*ziBBshHaaq#86eI4^s_tbh?*amWQ5Bn}+cRCGaBz@RTc z4TdYC)Y2=rP61;I9ji7#qx6DV0iVG`jY656<9spbjmiqRO|65GIG#=g9~Z}}Qdw>> z=&t_kqJS|C-uf*-(9oNSP65m83UWrHX}DiA-<{naG&rhOnau8vo^Q_qIc^0;!e9)} zv)mtKeWh52CYoW-RlY%FvXrC4qzrqm@*Tq0d^p%^G9Q?6KnKD+TQpCDHZ-O}E#-!M zHzyGeuRO-D^j9f&&!Ed}qf_qjp_@T?BjcmWK2VXmJ*0?GyV57ARXWG8Vw-5?@_#CJ zZn01I@=mTRbhm`z)6)UBn0*KYX2^_v;#m#Le+_UW1*!sIM8b6B?(^t)>5Yy#{rLo#js!ov|+$^PUi&#@%t zm>QSe9*#{4&;@rxezjdro|+jr5}0%>7%b{RYe#$C00-YuI}$@!8242%2DPMYCo>@Y zVabdDNPiA+UBTyRf9X%mC(I`%nT{`1mT7;OwZbqBJ5~4RcI@9XWy*X5PiNl8 z)#A%@HqOJ|nsWn_ONR39GL)y?qD!6fnfE+9^8)1U)hYk!_xxHz~qv7s|ZqcxuDhc@MCruPtXZcCpAH|Tu7P@F(B=HT5-}U zs(qON@~EjfVj4Tkl_Mg4?!K1I+mg|9+v_pR+8rt0&1ZR94FdQ@>7|$0KiLkXLkq_f zjAiM4mvm{BFSerZN&_cW37Mn|EAL-JCfL?OgUs4vu~ia<=lut^>aou}KHt{ab9=6> zJ2eS++VZ`gW)kja{_^j8-;acj(UO!`LA;1=9x&Tq$=OMBZ(o^?aX}=&2yr0BS}1*s zAazU=gl>V>q9BqY-HOyPF4zWxZReY=SyZRvxFECQ1@A&@K5US6aY2Y8Kwh1kp`rHG%6a*~Eye(dwqR#P$K zA6(O@rpW%gC?eH>C@R>8;|UfOaw?LH*w*F8lQ@0~{_mJVjK5JTF@TN~6E4W@TSRW( zCpMc!&2v*X_Ow1OJ$BmiV|wh@e&H8B{}%((rH-rXF>_;)fJJ#v6D?k@w69E$xnN1% z=&K)sIX7@LBa>4%P+p|yf@cFFr=zKx1ulZ-Pj-X!Qcet@oki6X8d`0%W1J)PSo<-0 zXc%$msXo_0J=O%Foe9da;V<~`-wCU)g(bK8UVk-n!8-o1(W(@w z+gz=Y{wZ30Uoqxtfk?cskkjhBV9eE8%#T6{h>DW(3J?UC9!1k@YgqKsR%~;%MoQVV z`YzaDRAY@+8A5Qp&x%&RE!pO3%{hvzo@9X#1SD+%n$upA1sh*8=4zq!Rc*O_g&$rp zT)UX7wdhD&C#^oii)5+KrJRU3B`q?B$?61?^c|B;csi*6_k!hq8(EA>LhJQ3SL?qH0A`Z4eO8ljW;BcJkzKKQ*; zSd0|VF9v1w95MpN3@`#A7Tc{Mn%!BiSPUR5NYzA$1?Zi+7&r&?yB%R)Tyb$gDZd8G zrPL1;h*n7s3k2*{umtqZ@r=o7AXln_IiLrQOzEV+6*YiZnrTl*&v$3HUTSCDVdvfB zNiyeK47|x)2JU@-p5^|iGsp8JqPzP=7Aw}>{sVEymt7O9_dKuC!bFcyF($z}OdKlJ}S zeJ>gs^k#TA!fs8fL9BiLhkZ4uLAc8T6n-@}*SRbx2=v8@ViKFn0$xuoprSO62}#0A{!I*{#T)9n&3zhVW(b#zQz3lB7GDP>1)enITdze zFWH1g)SFwvZeIF<-~4+Y{Dd}h>$;h{Oo<}I#B^?IIPuzu0i;b4Wh5gVLCKA7F;xeM zpAeH3LD5Af*hYx&F>)LFtq6*#FhNv+wF?V}W+}J`iYD?E(at4JSeW6(Ds&N)*vSRa z$tH-O1B?okTM-mf;erZy2R<4UhX_TJ;H1WCp%g|#&4bPmTS{)260#3Y7(}TG@WGI* z1AlT<`-7_?<{ihw_dJ>W-&k@uf6vcjGVwP`9BXhYGl^%gHiRW10!B|;y^u()OF3UJ z`3yvs){TH7JI4Q0*Sc zqk*wZ7OLm_823v)xuk{Wlx%;phX_j#A0qzoF+N1^bkBRlv|ae9&ujA&k1N_GUNIE*^d3ibBIkv_(p$;T&=c= zZ!ug7_;9$$7z@jmMifhZbA@jKcLwRxoZk*NaUI)ItFm&j`fZ+4gY!p%gW;>cJ;M<{ zQ*v#=VP0M%&^oIW?3N%_R^X?SgLZ1QL1caY(VK$wOK2xZo#QJa!=r;+Nro%B-oK(l6WEKz zn>Q;AU=r5{qvi*aY?k#kk>+J#3I|^;(HT_FQd~5b+=6LA(E4zanC&P(JYg?z^bLBz z<>;t5sq=1&xQo`-1h5u294^`t`K^An28S3n<1 znq6P4dGTKGf~sc#LF6M~a|?VkycfhC;MEoM1jarNRt)P1YYsLm*k*!OkCRV<%!woF zL1#7hiD7Y$VHgawsa`7zf| z;MX95DYhO?AkZUq$%-7sfN}gBAxp9$mTAp`99_@BV+BbNe|2O@@ST_*wHfjIsXfI_u0+(e^r$JcO#`cdpqlnGZ2TEga5v$X}?5 z<$IIGddL6#DGt+(fGmn@w_kKtDj@PSmft(1!qmR8E$GPp_TGYb}7@^~vZ|1o#&*wZQ8A5-s@y4Riz_|cU8-SZs)9C zWBqZ$x^da@4re`&8`ISFdH3c3U+C5!hpM%A0}*PaTfg+?J#+QG=U;yQ#j6LR`86ba zA|foitI= zp;L}VB?M{mT@VyQAOzxyC}k_iEd*)uT@Ww?q%sjWZ7>5(W0DY*`j+#6pggXeus~t- zhh~K3Djz284ePTFioTat?CY&vv;&OmoT+;#ibU z#361({a;U`+XbB+fP|2)4bVdlrus-A>XIlkaKberhSlCG7jcioGjd5=lQ;{sSx6!~ zNlH+mPZDqzh_vsbSWEe(&<(x9M;$g3+xB8Be&Ih63d2SE@RaHk*$AP;iBU-%m# zn7Vl8+urw?4R-Y&;1j$(Fy2NPCZO0U&aB>fLlvgB}Sa;|L#G-XNsuS{3xUDskxS$ACGR1#vn&sNr^p z&VCGktoSi7W;UiER+LP!ZNi3v#!`$FpaZ>Ylnpe_a-|mtKGe|gF?Hc2h;sK6k1A%7k%28Rn2pHzB`YCxBF z+h<$1F(bA&fER*+$3ifmx_EOBdE@j-Bbg!9@6gm`v#7@Lv@X@1zb6xMGDSs};j&0q1gUy; zeF&}(#G8;Q7Yd;hr0R9S%^p3@R91ULulw@U)W^VulF^8l_V5K4*j=e<^Tr3V|boWc9 zA{|@-z2yAeJM|78bm1OlecfEmtb5y0LzA|X$a3A+ zvZ;q3N5_olMv&oVACKg=#YY{G>-JD=&|ns1tw4`c5vzkD_gzZJb^@N0ylA0z&-+)W zQoEYMDFyg;0?yMF;c&hModZXcFJMD9Bh_1+V%ZKaR_eHEldoy`nYdag>4hJ9f<_XB zXYa=2Qh28=Kc?{h@ppd8yI+hlnJzR?c*}+MbpW(CfFaVG@mC*A4)nSRzLa4Y*DE}X z@D;GZ{;(K;FN?xk?wOV#rjjbMs?eSHs2wGCR-MAbYz^W*sqm1v>sHJP z5B*4_hlC*bGkZ0k6&|`t*v!HekpS*itO{?rW-|*VSER=%*QY2vedMU<*JK&al8>hF zRB7dOh+_qhD4wS2>_gD^sx~^Uv^A#9@i zfJ)F!-5z(tUWh>H#-OXBLRqW~#deLx3C@o(x@+SBH2{$Cp5n!#YAXYh%GP5=ux)0pJ6;HrGhpD%r4YgnYJ;DX ztM!=ryk=dW*bAUcyVKNkgW*0v>_hquf-m-FdiW_yb=c-IEkSIfjyEcaonuMW>w-w#vm1uVSoF4bf;aNeW5UaFZuLkz7*bx@ z0o<)n+7y=-71`dY%>LgVobjTn_L~K@ehD|IdfSg#vV#_y3OcEi zIg0A_B~j7UiY+XT0rQe%hL9h?sRl~|P?OYG>RA>DIUBL1QVnlUzw=2G1ZD6=car9So?;&k66nFlB-&PaoSUjGmjZR>)Vc?Fe+ zfE%?)#ZrbK9bMZ(VntZBkr!Alt?C3f--}va84W}Ts>XA`^}+r6<6|>8YM=I6+b@-{OG!S$EgcV?EPo3{S#- zF8RQ8FFcQ=cWq|Gdwg7xZ0y%e4>suC*v88>+4n(I0>Fzh6fZNU1yS54Bb5eLfKJP;qd~w`#VQ$KE+*V%8ZSl?Ggxxx>dAQ(M z?Xy%)b>qSpcj1fs>X)B+&$F}ny%(N&|0^?xxMSnND=)UMp1(?8J%5$H;-e>J<;DWQ zt(RZCdj4I)*dwKS7K4QX@7eQ#vk~^Z%dTNQY!89H>twP9C}SA zh+X#z>z1=xsMNuE-zR#+^)kVr06_AgPxMGc;ew3reX02N@-yItmFDBM1An}8g($}y00jYh>9xbgY z%_UFw&m>C8F-xj*;=4h7lA{6-V%!Ov3`Km0A!9Fg9bxQsd9QOyxY7RDVxfmt>r6l6 z;ch?|6R}FmXiWqx=@Fl?`$DQi2*<6S;ujn4eR{@cm;%Mh6l^}8qyDYQ0|sVWzOo{@ zIt<4!q^EqgU9IpIV9hOV`QVXEkNIrB!wK@?#oI7oy3pW4AD8$N#eOC~{3dpfa| zUNUSA!k@uQ#+atXKNFFTydT(M_>%FD!^p_xhc6lAqx*C4k|8EL^(8wN4|U;YJ6T}m>Qbj(Lq1Mve~G`s zpC^IzIlYb&?!|I_c%$J;x_*c^%FjW#QQ{HCGiSvk2oW+YeT{ge5MHa}AHQF=(+NwM z5WgSs&AR-42{Lj#Lq(YOm-s8~j~J!I!|N^{mhH5^RLN`WXT(E#wLDCD%TpUly9&FH zEyU8TWeA%H4cjK3)J^I2#A2J$>#5JOuYSKC?D57#C$G+owpT=kJk4H^WV{q|^ikis zf9ZF8{>QhhcR0h6 z=EUE_^^6ty=DMIE!m#4VglgJv7d$$ln(wj;9-UClht>tr9E7GIoFn=!Nfunrkx#1& z0?7iL2-Fj1Ofi>T1SRX;B)x12lCHsp6d%l7uMl&x z_2~KTV04wjI{-%P=1IdD%D0CjP{bVpV#J&bJ27TO%l$#;l!OLjL$}4jYQG3sTe+Oz zKVe5fJ>{C9jt1a&NJVm-LXmD;$ZoC9+~8QhU*X=v1+J; zI#IXXLpSEct1$+}a6OtAfy`=igNN>h`wn`D*9069DK4ha@W9Hyb2M-YKXO-lCH- z{HebWzX%OtVchB__NC5kGzP`I9O;*}<-@|17KLnGO26#2iISEkQR=DDtV`rv>WPgd z1uxAMZj2^wQD6AJP=DPH_18DN;e#JuMjNA|COy?>7)rPYYxe1psA~(T5w(iLE7gr^ zf(<3RCYxs!q@8v_bO>mJH)y!5(ikgB0A$F{pv(ZQR*i26(v4~>qRgofP?SE@mkB`$ ziEPC!!gk3+fZy4sO^2ZP{x0Z*oBY*BQL<~$7SoN|ASbBV5?}E~hBu|1&TKc#mX)8o z98_AkXI={4rIEP&rkqP|JBPLuF5@Cs3AOhynI_3*CR?iQ*I~=xouXSg@4%;~?vTSc zB*GRsjE2{Ex82z|-&~escQ(#1%4!PMk1$rY!+461oi^!6w=fcjCn=)pg9}duS9ck< z6xR}++~*rldx$4AGefhB@tog#>FPc2d@maBo_Xnd&5SyXig}^urRTBNGw*tDlysyB zbMFNIh8EGa-^4At7*DOSopOuLnN09YU;3>-^@UT$^Wfu>Hpu86GoI1sftf`b54#!B zDblv}W;I+0oXIrV8>VbLci!iLu85<2Q_<&v>_^|{k&S1xd4T?;|1sHkMwbU%`d*On zt^b&4^4MVVK<6?@4#Fix~rhlVt6mT~-p8&M5L2NA3;1@aR65X~A# zt*uILLt2-A46Pk*b^Tx9i$U#?F(ov9C5J7D?rc24J(82IG}k5RDhZOhL$S8|O2|*^ zU6QUaf7M#cv(vJqFn(n;5v=!F){+J=N3OuboyCPB-bb>wOhz?pOQM8}J$*8Hs7;|< z?CDA9liRkZf9#)q*JuBH>g<=e%QN&7 znE-XJC_{$Ip{Wy_AW*c3F}b1uI+EZc7(cZ^*SFYo7R@cA|Es%?bJ&=@b2FI?U$>}i z`ilPVm`wbQQpY>T=d+ynRQs2LEs3VG`4WQ|(IpzsON~-$3{}3EyWU)aN#`!jB^%{4 zW|qNjsZk0ZJfFXKV9ngBn-!Z#EmJ)WG1cwe24Iga{R9#DfGzP(WTWJW=+_giuIdH&?*2?q^&7In#Cn}!` zHSM)YXz+`{%LbkX?ye1IiIPxwD9)F{nz-*ZQ*GL4^B-d-Dxj0}X{9sL%np`rtCN>LmGVCkjtLTon zWN=NOWITY7=i9SEd$@q3jWMZQBf)I>npO-(XofLQgI`o`5ZZWwEn{!)960)V-7_ej zG~OI*h2Vd++tl+s&(lx`V~RUSrM%CSU8W3X<*xy`cCeHRKYFS;BIo#|YmbdY)RWmc z{(F}>hhqQf82rNC_QbNcUGP!QUcLLlNby!$?QXZkYszoJtGu`@kH=;C_P71?7ysTU z@v8smDQVpT27j>t+KJX?vovxQ`>MdqIoCpH-f5PGH0BYbLvXn@KB)zPQrZSUlo7q9 zh|w3QN`YlW%oj-4HPal2ju;*1xW~*PNg%KG^hA(A4#9}g(O-Z;8YZ|lYKIVv7@eI5 z7^NXAE;-<$u1J@~c7q^5%py2H(8QKQi_7AY(YA=Nzy6x@jByy%-1_u7Np!0LuC(nQ z>i7R%io0)nZ4`Iy5uQ-fmu&axYWgt+|ItQtZxjrEv81QpqI0s{zyHhrr@!(wk?mHu zrVsut7DO=%wC#!pGXKXcsfU86)3$GRWcEU9!L8A@Z;_$kipVeS*yXeLpcm(ugMTs} z35g<@eh0yF4*o$t#zDwTCm?3;qSYMy@8-x3Xr)>v-PTY!^$voqevdY1scB;fOSfbR z{!v9||2P6*nB0M63I3Ph#1hFZ1~&I==HMR*8ISmuYM@g+HP znA0!eMFIyyzh(~pt-v90Tf@i2LsWu)#q`K|qGPLHGvA^{;dKB=V32w!_Xyk-ax+oF z5Da`g9+N&yxGguPg4ej-iQ7s}LH&vmvMT+;o3JFtw?8@O!MSj8J&OTP!l@11y_e(L z0&PYyIx6*O44Rly)e~T$DGDfx1ia=$mP!R30hAJEr2`7TRNkMjeBPhifgKz0X<_bP zsm)LEY2ke3NhUaQb?hTLuqGIN#Q5ffdPzWI;4ONE`O871QP-T*!>Ycfh>2C|agoB)7UcgT^%|d= znzau-y7!JrW4juGK{s{JlBPJ$)#m`}P;?r%()D;uBx3^9A?(b*26aWbFDd~5*D#;t~ zTVG(m3Y)4p7gfHf^9~pcujOu$!8qR>?$90=_9qEv^ZD24gC1U3Be^yW*DIF#d|PCX z{*a=;}aL8eRY@WUh}ik@6UIoVhELDDtil8^(Zt^9P1@b1qu-yhK5<0n%RO}m5N zo^KEmjT+n!B`2K)bqb8_>FRpcCo#;;`s-d9zGQ!~MB#Y8QDuN*a&N)@;5&_H9$nb~ z4HqFk`FH+rd^7fmQbX4wQ9L2qgn3QTAI#X%=(XpBf;pZ@M>3%v7Fju@(g6UKKx)5* zaw)kp6XE87VhZ7J={yn|(&Qh=b~?T^)~4elTUz`JAt%lISN}r#cw;#Y?wg0f$}FvyJjccOn}6rWKmBjNhV$4lU~e(3KECeq*bQTaQssc@ zzUHyPEe-#M8E@~Hx;Br!W$l1SFcyhBfO4)fU=p8Ex#Q@yMtQQ47h|YKcLbnJvnXG7 z=>YxP>xTftQw8>Kw(AI+Q3z;5QDCMg8gwsd?Chp!mOt$JC5?Ucz9*9a!_?J;>vgf~ zkH@b6%twFvpIye_+`!#3YS1lK>t#3t3-fM{>?^}aHNowMW9J|U1g?ghA;{E$3nGMO z4-84I(Y7PTCl)Z7?W>Tpjqq$nL2Pm45Z)$1+2IxRd+pfLK{=Ru`fy`E!Q3=DRw>9 z#8e1Mk^;KFK$1FeNmy$=`fdTa-nhu2Z$S&$bJ% zMv8`|x|*WVK3+#|=5BeWP#rGBS_pVcc|qYeiPftszuwAK4BpS`TtYFJ*O?-8z6#vKJ}+Q?YVD` zphzRsVcvBO(pPA(%@QM_wdMhj3Rtx)v|Hul6Tz#CZxwktEDRLBuP}6UrA&>mAVKc%`t;GU&%?8D5@&+ z_Nnf=QB#Mm_2}J{dJ4Bl+~z>J;})5M^j-Q1c5;v7p%Ge^Ut ztcYNtkCJjsv)!@lfai!#5^a-+6?06(iy|dK%zd=phY&0=&33+2U@)?VM2wf8U1Azc z-62OIhyUycKc~brhq*L*N{nU$Jk!yctF%pcu7ZfBBwUjI=;ijz_Z(%(aBd0rsXNbn ze?WK0<`{|R4Dp+eo`cRdVGt;5Bil%l`3^M?YX=&tKA4o6mj9wnqqbUNdSZW`^&ZW4 zS_G%T=_G~C-Pc4PuSKW;Cil^#;PqV+gSjG54JhuKMU1LmLU>48flV%%^pB(%6%89@AUQi$3Kud23S>>T!=-xU zDL!c8$T2FX_>>qGlXuGTWjh^TO3LwrIWe)ss1pO(B?yh>^zuZED&yGgDaHhF_+TkU z?KZJ5HOATXWLtj10eBTc)^Gau7v8W4+o+C;+eVL{PKOFzrmv_aahHBl^Qo>H>+{fW zI?Sw;(L9UBe>;##{%YjwRE0aYpYD{E<76m3#JRNg4&;8|>=sz5#Fm9I{@Mdx5(-tpX5{O3OzwX!MOiT1}nODUaS4VmgA&X54DR-_JJ379Rw znHsaXxvA;iK+m?m`Vh?NUPM3`RSGBH`0v=>+Y-p42JIY;{tgLbbnI(%6@oe4+fb?7 z`37A1IpbPkwhfdDW_tu#Rj{Oc1C)xQV}Bu4JK9+WD0NISX-1?OePr7K7pp&dzB_GZ z8-9>sVB(h-m~BJLY*3;c{GyzWHx5T6&q0q^bK)oa4H^|iwJuqB3zdA>`oobn(JZrUL{seAiqaW_gyO`^2-uoD*Z}SAAq>L7oFgW=GvK_29&O@B;rt&!);D^9AS z7H6m;FnC`HUz$jcB0A-hziRTGOj9-Xq3<@o#gdq-mSIWkFe~*b31qUOAqh^>8$<-; z3K{_ZMNde;`R3#efOuyC9Hh1zQIuSq z2wM@i+bMbd$V*@RF<&1YfQqSf3uXvQIPo%d3d(7N z%91F3`^rjQE*Mm2EAnsAI)or=DlQ0i8Mfh(X67S_=NuCx0aZc#L`s+e*LUJK0(uWf zES2(T&_D7`qMn33}HqISp^?7(eKA%R`y3&{W_$06DM(^ua7>yazfn=x=m zbP5O@lI?uZ=Ejh^nUcnP2VT>@cP%?4?1_iX!HP=fT|5^CO1|Q*5#+%{_A6$42eLb$ zc4bAdYj(a+Hg`dg2Ov=IT`?Ke4jlw}n9Jk|cAbz9w=-)>#N1_x=h=5Zfdh>mHb0dv{TCr9(-;bp}Vz z_a|8Q#Ko*Gs5FQ9n!&oaCar9iJj=~clf9UBHL~}hKEPvgFgo8rHm`Rq^iOL>^p=!~)pvjG z*MIOIMp&v96t@CaPr+y<%$}fz-7Hr|$Q-4XT$5=usQ@RM?g~L#0bg;y-jMwiE3Os; zK}nZcPQ^T|RtV~D&YnHwZPq^f;rpm7;e!<35I60&ld zC~v8;N^9o3b6D<;ZU!*W!FlG}vpKA^{D=>hd`@eY`?J{+q$?FO3t*FExj~CHnP2hS z!ROj{*=X&gi)RAJx}ZwDV$}?)Io* zY*>n`%?k<{(uJ*PXB#i1&>CTE+G|_(GE!~bYo~jS*{1m0co>_?cZj=cHB0a;IgI7w zn!{LWl}KrAsLFF#QWZ2A`!g&)r+mgRwD9zxoOezbN>c7z6+qO>7diFaiQPzjd!hqc zB3;7zDBo$ZvgOsWDI;!ZRtN_Yj%|Na$g$nNMH^n-Ji!QD&_Ne;(AA5x7QeHs^74yU z&%X;auUF5)1j!6C8Ew*JUViDBj(`Dm904XOX?qfHvrb1sN})+0R{Ox?NU@g5Btk0!YW&phq@XpaVc9hW@bqmfJe zM|w0SJp!?cqO?R!#?0iy8-%?PPG_FBJQI zF8oKz@X7yx!*h=RBGsBWol1`b_zt~hdyWxh0zOM9v zc%3JccFXk4ncDYK9=o0L*c-p%n}5sq{g#jVD8%ZKm-Ar30(T@vojC?GA^MzFPQC5c z2W|fb9A&`YoHK9xHQ@P@7{xffe#M-4156*;J^*`=OxtSl$I}V#cze#f1(*#q0JG^cj-stRG1^#OFaxM^ty>5FS&(!-Y=KScd{Y(GZr%fj~ zIMNfP7a-90)lscpcfjel&`QOl)%GX%kx?ul?w+piZoq_>y>940(DbQ~Yz;%k z@c>FM!USOj_z=uqH=J!PesCm0Mak=w0Y66!GDXQ>xDb3eUaXiC9O&f&?;p+)k-?Cz zA}2VYpou#WvJ9|1)L=uNN-*dtGjzBLB!of5o5r zjVX48qtj5bTNnMDtA(kbaKf@%m#fprP)KD-ourNs5NU?%$EIYrZg*^KO0IVw9h;Kf zx}#H5id%PdXbM%NyOf)wLsP=9M!p4CL#x`cnsz zC#hXgRn$$Y)(oQbaEvNKwPdaz+h1Hwo~eoTh~o)l*Qx&RbsE*b=f7hz@i$7Ow6W`5 z{}=jK^lfBO6|9uJxt?sWuQOBQoa6otrmdVyx7wAhkySn`?aNGV?mlDL`kby&$rC4a z%vU$1cLbY#zWzPUhrFPlPp9m@3}m~;+K$(epL_NILx3W}V)h&h&`m>t8{(Bzs4jF6 z@?9hIC|}(+Q^ZgGz~}v^KRuP+*{$a~%$rR_J!e9;H0Z6;$sOSC8{nm~p#f0Oh=IX> zi_}Shvz7GDZpV;;XsNYfd&9HHe!vF)g3cvdgT1)(EV3T}pAM7;2tpWx^n%$B*sl%; z#l3+6;#hhIT8mI__=g}b?k|tzshiC{ELrJ+5#>+#b&h_l#&57^$yC%_mLK8M`~>E_vu&o{KRr$#!bEl)L@+nAUPdeiUx#Q)+`1KFz(VNYN? zG#~tx=v!d#1j?Wlny<+q7u+KU1pgd@D^4P00ApVvfT4zS!D!n6nxhw_hHHYYZ3C1D zs%BzEYB(4C|Ji#NVB6NLJP0H2M+g$36B<0GA_+Ncv)&H_Lh5#l!JDY(UbSOFA5QnZ zr@40b?!PE%170tH2qN=W6UbhM!&6=JEODgvUhNI)$EO<^LKfFZO2Y$6aNMG!-k ze%~1X_{SLkoO{l>_FiY-)2C-sm%Zj$WBzll`54b{d}FsK<2jTEaG{-+1Zp@F-0zQ| zA{D_^;g0bYso_lUu)ss2g3C_VB_kaZ#OwqKntVh}a(mp^lqaO_+co)cn`Eg&plfiqEV$yZX1YDcBknVfenj~o z-|$JASk>dimd~gUyUMuyOE(2nRB*w&iqYDso_RIsr;m+}t}rv*8dWa?4O zbC7e!AP0&$yzWrUxVn1)Fbo?5BeK7SVlFR$d!7P+H1G0-7vJ&VE8(EdA<%0W0!_O` zt#O+x+~=uq|NN)?%O4R)*mv6sU4NEpirfh|+Jqe1&FhS6^!HIz& zXy`Pk@^cgip$Hz9YjCf_oF5Ue!JwuU#X+d&h|o_Dy=Fx#2u`w+ZnGZsfw|NUeaIE9 zErxIZ!g*d?MCLOMT!P;(4p8v>+bRtx6B5)?T2iw-a)LEZ=)^fPGASO9k4M~}+hPA3 z#yNz#MyM$nolb$eYHaL3M>=UNP9YI5GN5YzggKU9+XH=%`APd0VN2ee5LM9N*=n8+4P>qxum;7&(z>`DS&ur?&*zSa;1zM(==6Y48p@B}7D=X&Qzk4)YGto#>4J zIJJZ*qm#X6dUEHhq*=rMD50K>AK@OH9rV!#cO<;y@IS)0^MEwXCrH7|KB5d}{<4zQ z*9OeYRzDNj&#kHpsg*K$|GR$q|M|VIJHh`Lo?Gg5%+0aG{T>b6VSQK%ITMCZmFdUs zRz&-T%uT6d_6#_U=531#D$Fqb4`ARMGaSp47*?vuZt~M>0^JWC{f`|gh>A8{bTL;! zH(>y(l~6JMs`a|E|50nGfRnbM`{aM10ku+7bSIo9{{tYh!X823N?{_*{Q7RF$!L^Y{cfW{u*nn67X|VCY8-8GQJHERTK}_El8f}*CkIS|eK^MUeJy>E87okcH)fmJ@Fv-CpgCJB)a<{mCmBGxp{B0XP z^vgnA6{3F?&Ri94H76TdT^^vQ^5HS|$(hNvTD)z;gwKs0r_n@9O(oPo_^t+^@iiMg z%CkJ1>A8|HlTN+er{2{_{`GD;nB$(jw za76n!%kf(oJq=swf;7`r5ZGObm84 zBSAGi{Qf z6D3>$P=GZG0L@8?nKsG8@&ME!C09Z|lg?7iv`NBq(nA3HM#{A*vlOuVx=00dnx6j{uG$r;vmyG^~gSV@OFn-4232oGq z*KAZC>e&Z)a(#elw`fKaJRUT`n||=Q&v?`MviZwBM+kyDyJwhcOcx{ltuZ|)4F<>3 z?!fggSpp25BFT0lu#VY$XQ%0@4}_%OU2vfRfe)k|h}$}_gP6_VueRFn45sPMyw{k` zFN3Ve#*LF~&M{~6!BP(YgCyJFjcX-i(=iCMQN|a^4buAgcWX0=!6*)((o{*b1hkUG zK~^-un(#;ba)1`M9o#{@J;j$pX#|-3&YH2>g1d*o7F=_)S+x{C+QU=Mvp`ZPi3wuq zJkRS_(BMnLKyv^e;$VU{y%5q2GnnA~V1irgvYN+B-rji0X$70v#dtg}#wYxVfA@z% zH9-BnPMTr40Rwi;z1vR*V};W^ujy8c zeU7P&P3>TE0bxqEpf3|(X1O)jb2Z>u_5qwSH1hFO1Ez(UbI;v$8*p~ok!iPRHq+B@ z(J6fe5C85L{q-+!hDNQPnVGh_a4p)SQJjGxEJ69IR0B-Vi}oA}+z9p;?GZt?f;7{% zVlCPu=S|MXbm;z~{Tf*JMzFVNe?--wJ#>H3evi1kS+T!p4?Eo+`ii1GhE2AhhAWEp zhZUJA)9=2bXusz~$0&JiGaUlyr>SUf=Gy+wX8N(1>43WnuQG6VQqtFN*(sPdr^4`x zVmLLoKVf;A7}Gl=%eU5u2xvx(!Sk!mQBmU2lWAYgzu^W$0 zEq}EMFkQ{sV>}$V+?uJml__v$JnSzV_QnRNP7l4b9Y()q3LE%;Ps&TFm+D4?y}7ve zVHyM4oJKS+L$zE6J&}X;>2k^6|yg4K+R=$}lr63n ziSte-87wcusdiv4f8AdBYuYVpZRyNqbsjFOxBpYW{;Y99bTtU{8D*qrn3vq`(#Gk1u01h)FA~yfMyNbw*Fc zXb{Mi0!V?SZzI+i4T5G%$FKrdR3;djd)q{VfF6_h7YG}SS}<4{ zvaA0;5n(J=KD6y9FssGBz7l#%-ncCGFf_<8-M2WJtLp5F!pkaktBhakDYwt z@ds6Z^v(b5gI7JJrR<27(kfhucabzff(n|1l(hQpm9H$QTJwE}*MXe3#7d~l?=@xP zg5tFoL1*mUuL`!!1;uGM!OGZM6HqQFK~)iS#$NCeXzt4e#bvK68e{KvQQGNUP=cx= z7#Mqx06&TsrC;G!OHfq=(Y%Og2Kp0us=pXs$I`Oj<%ApJ#rGqzJfz7Zx z8yg9sf{UVl%huif_P9Vc0)ik`S3T{5RKHaaIWIUnMH?hj%RCTr`sL?i@B-MS5f8cPIEu;l*LcCT#{HsI;j2;TKb;ftF3o zZeo*^UiN0Z$_>2*HRC4*BE8Oou484CvUROVPO`6!4k;Uv$;^TgJ;uHqqvKALDm?Bs z|MzB?LH&zhM5^yzXV5}RsB^Riwo^?|f(LrYqajy-9vE+4 z3I{Hjg5m?`D8klY3VRt2=7KRO-fdPpvD|y`i>fc+f+;9gCNu`chIMnn7!;%Ls1T8E z&}y81%ni+4wORFp#i(vLI$wog$!AMv$-W`OJ?EMr{f>UXWtNK$l_q4-z z2Lgr}zI)nWc8Og>z`&QbRxdDfFud*3904$N%|X7l@ZC8jd3!0zqOwl3U~_bFp6KG+ z{<9DM5ASxwgSr#u1r+B31+*oA4va3qchtK0v@=yu8%h|4U|@Ul+#_oNc0iaSXe>0I zG%mivwroW-4RH!P!VrMNbAjyn=TdaBTA;s(g6kb>Z#e>r(FM+oUyoh%twS|bcpLa;* zg0|d(UdGfGf_h9opgc2PeQ5e%h$TCz7ZT@-5?igluwH@l3vDe7*TeZsUrmT!q{Y@x zM=p<5KM>5w*P0eUFoUbR@?Zv0J1Re?11icx{J50XwB`Gh)|+1Ujep=>o;yx2IG{aKAp24H05rbHG&J@P%R$sNuHL2dhVjaMG|Q#Ib4uerT^&9qz8 zT8%khbDn(74}8*3f8jT}<<*`?v%IAP5{)N&w4T$dp~GB_Rr#t|UK2!xVGkRG_Z}rz zWG-N=T##?H2_7mxz-mbvmn2BbTLt&{pBx&P>sFAK*8~e4B>*un)#@Gip=o(dkWDm_ zT{)~)V1UktrsXw3%-JGkCVTYJFW(5#@|qz2YCj&%0g*^vQ6XgTShjD5jYiyoUjwVZ zL0YMm6bM<7+#cBjD3WVNKj8w6h9GJu$6MdkqOBx5Ayzq>LHGTG!S16+(Nzqh<-+WA zj|=j1v#4xNk@5W~9SYbz`H|W1p5O2WLaOiDVqNui! zTQnQx$HOW4-EaNZpLwGfQ8cdZ)j{u%ki@S=;|MzC&nn`S(2BJ&D{p3{Tv@_V0kCQbDBL8bMxW6Wqex zN9qoFizVj5HiDjB#11HK>xw;{rJi0yLImBPs;ZCyZR@?BUSyuU1Y$UJnLuC8swqnE z_Mk@LIigLdjP-FrPcP!oo6>@cpokNA6CyYjrBUW4yBJH&=s;;D8RXan0!JLEd07?) z6`OXy_`yY=n&cMLlem<4DiM@*BqL-1so&B8yA}?%e4g?49C1@5xrr<=L(43g?vG9Z z#CgJ|y|?17V+}M=irG{?voV{B=AV>4 zh5A3GnFb_gXqO4*13Ek*nIVu5D3#HgzFiBCS=fKiltVaS2&DaG+w-++oG*IlYB_9m zyjZ7ZCJ5yHrNC8>FWF&V4%QITUJhFagvyr5?Z!`E=TK2!BOXTU z0?fJM^W=)}eESD}^oPd6QV^SB$Pa-aAQDsS?;&4;mN*8bOBNlxRp&=y)TK7nSBzn4 zX^|D~wvJ#7OLuFUT-ZsZiV1O}rYoiP9F|5B@y?GC1#0o@o+R3|=76`TjrJ93qRSRA zb6Z8ugLR}EY(|9t5tMD>o}k;9LyE6P_#ak~<-t+SEsl&IJcp$#GTldlf3024&Mk)uwB8t86LTdcEw+4VX)UPNQd zb-z;!%DUHU0Rx1|tT4b9{vvReV6Vl6j1ERy-<`XSqk{pK+4_>geZ$o~xP#Gb66ynL zv6CH)@=}giuh$?xe(ziUtv~bk9W$s_*7A)OGVE%6JO{Bdv(#9-C`hu@aeO^r1iLi zS-Xomh|;}mIsB#Z>7qBg-67GXZX$iq?EFP<76ChvgzzU1OSFz=!Bk{HR6s%jdY)SV zIvPpI1r#rFho39>4A|GEw-m`X<^k;HU|^3`mzK-C=BPjU7>g`iS{2_`31%9vQ#Olc zd?XNwvJvTM476SJ+U%66On?-T_fHsR=`D#)B&~38BH{S}l;~L=q8w9}sr5Q# zJEV2s+(gP4WJFH_O}A;axyZ7(BkV8_AG|Cv{tGX@B@&WOg_Lo^osbfQg~ zvsCBFQvE02{vH4KIKRzMj6%C!{r_5;LlQCQdVlXopD8yg}VMM7A^~4D= zn7L_~5De0-kiHgkcY9Gm$eYakHmBHY@%3trMjX0OlzEa!UngJ>83fpSeWGq=yzt^r zeD-hp!m)S(jx!7ND5ozsgX1ZYE=HDsY4Y{5aKO8FAL9kjRc}{B8>C!y%6|JC%LRLs zQocCF3&14^2zd)4$=u!8WWUj7I;}Kb07Yh!obKCKPyBM(sZ9HK>jYC)hdU-f%D>p$u09NOpNG!_#Q&}< zO>C2T)< z>)U;2ImJ6FW=r%)@nmR9Kk*0u;5Uyq9qmXJ0#iCVYxKC&9385;Owor{8Lc;1pANfo zup+vXFe$U`zM&~~!L2g2VAg19kS$-Kf1{F9&qcG#b zpnlEZ|z+tiLG=4|7<)Sp10ippC5+KmhZH#WqMV03O3KkEQQtVG2+KIYwM5Ey>r zL~u$^fb^S$;%n0)ppgGi?FD8(ReOP}2ZrCPy@0_ncyfv>LFI??ux$GQ*Bb)yeSfq7 zP}zq4X$a!}lK8CYnDy=guT|I|!2b06A&~c%G^g(`Jq%(0?ZrA#>a1xE;_q)q$SF3K~+qFRkw?Gi0|A8|TI09{Z=MB$n2<Q5~wU(P^r;%K(7hZb!P<+Q4@tY5&o?>+ptDrf9V{&!DZvH`+mXrlQ(m7=+<4)%o zSM6F|S@mnN>!1%il+mE?Yd1x-x0E zP@kOMcy@s6g{bTM)uwMd<*xM0)dl-5Eq5t7CC@5NyDQwaq?B}5-l7lryTU}&fB)5A z^K0+;fKX&T;0GE8Ot(-9UhOz@jC0XI$|9wR@)cYG@fpf6FL?e?9f1ANu&J%eW6-+azXXziX=K8lu{Y& z0WzOCULW=7OmahBXz|Qf_>ixpdUQn+rE%@2D9OKc&4p8}oDB3jPY=Hw#j4H^Qje}4 zeZc}5Ow|FL&h63T{VDw+G-|t2N(;X|-5{m$gE<6ga%atahXf#q3%>z(Ksx%Um#BXK zLQ`J45$FTtA3{^6gcB+?u_IH3k&r7N+!<_wl(4ipkI{9!TDq?nQ_mX%+RBF*tN2Qc zi@HfV-~~$-MW&J-f;#~MyAkkNGhhuS{&okf$vkHFU+DiO|3#V>g8w4h?y#^YH2H66 z`AF6Ok)BYg?}z1J&E4?;r9jXE;_%>V)}Bms8%ua|>de>j`nVNL$**WBzwDJ)Tq^lJ z{XP$^m?=fyv3Gfj#^q@$mOu7AAN+uu3JiINXJ_dV-2%TCxZQL!5DFsZsMXjE`ty{$7KF#&{*T3@7AN7~r)+ylC z!XiV)o_~nTcDrYOlzcgG*2VL6tSxPw9eQxu3pJPtI$sW~XN%sYtuw*n0+Xc~XmK7w zD@Yw@f|V}^V=tNQMZ8hIf`z9;WP*c(W<;-5NehemCe4UJFv$WpK$rQthgm03WFWd* zX~kmK(=jPwk*zsAY=*9gNe&O2N%v=P*i5`Z!^39c9U2}s6K~PLuo~t#@k~cghuS;vWz1m=tZcPP|y)50gZV$`u)1ZSrenP)?Lv>jG47; zjSkONx&Hnm`L1_}w#Q+8pLk~7*en1j+Qy!lFXyS{%b6OgmG^7JOKV*KhH9_>j<0#} zFFM_uqLj^i8D{y2_6o#H0AR0dAeIBLnbk8v@S_!;O>lp;eV9_PD2}oi-8eA#(|?ghM)nbA8_73U%stY*kY=<)m4A5HixYHX)|fDhs_gGf`SvVJo@b+c zyGIr`8+pV6>N@CTFu;XxQ6kNo6D$maY|~&P7G}-^OeeWUt#bkhysd~1RJB_sHRdLEh8;Z^&|ZkCvM4t+WXObH=6vthik_O$VbEm8Qf^*`ti*!e+#BVe>rsZZ&g`<-)=(mh0S*K(mcf zYerq&wo9g@TuML!SD?zpHOH-?PnvGdRgl01i>O(W$zfX)qaaZ&!J6RZLx|r0gao22 zlg{(R#|YTG*u0I+_!wdHjE@m=P5U=z)|EaTCD*9gB*{r` zlKLgx@=MJcjich!f8JPfX;Svevq%2P(oXi*zwuA@o&EK1`aV$##g*1OGOuZ-d3%;f zKfE;Fc%b725N_c8-K=1mCAm}^2Q+W&n|xUV>;$6~7+4sze-++kEET@pW#o5%o^yh9s?lm zGOV^iNNZOhal%UgoAWt}?zBU%wpw%nE}Vlz(~l9|X@am6Qgk_NWPEB8ObBUAqA8Lf zQ*WW>syoY?Q1AtF2M(VWLY@)QYBZ42Kr35&&83!Nw-K6~_;tQ11~2eysR6ECOw1{Q z4J6obRk((NWV}DS1EA3o+=KYD^NZpQD$|mfdO@wExt!9kg z@WURtSd`R>rgpAaoTp;(d;aV{*nEqJdRjg*cg3pV4*l3HVQzCRUOd5qzt}I3Miz_+ zs0Y;0lr<0&M(BrQM0#ei(j3~M!Rezl5ES=2_zPM?6Wp!IU4X!h)66xB@H=~UtWQgFn57} zn+Q+$>+86xzD4;EyP|Y2%h7i;^p9ZxgN2*+7h&f-qUJ{Z`r2Dzo!$4aiSDn{yB*3a zIAV~l9a>IXzBjb|%dh?u@A0WK8UnXzIgGAm{D5rRNLucQ3=woSLXw_rTdFRDqEtY2 zeMvM4U94UWQd8A~nC(yk!CLQ&X*rONa1H^JXdrFV$fXL#v|Q0cNMmt~X-Z=$p{ixZ zK=lgJQ|7xcOyUOlE-DmN4;tYFRWx1>R3-Anu&-HutNe|j%iL6T?xw1c-3n!OWVfW{ z%DOx!q@SMb?AD8K``DlS#=r;M%*h}PwnN&Z5uCGIcySMf zZwq+THnWzpTa`u|90Ub{8}ds6-zb@=QW809c565j6|-BV5sA6NHWLL%NX%{lQp^S; zm?xBQ+GJtMZdK18X~hyE*l!=rZmG(?h5r9)SRP$##!cho2D4Ovx%xx)aUu@-|6T49 zUp0&Hlm>fD*o->&|EDqtT6>b5l3JT1CUU?jK`Mu{ zGvU2}lc;rbqR}fYuyk`4Wt3YvD`drz%~PZVxrUa)WOEfM(Q&b1vWsR^7^+i&ESB6b zl$dc51dq$kImVLPZokup7jRf~%T5AUC=SGaj|>`vH*hv}D3+2_a#%n$iX<9y+AEn# zZa6!FCHQ+*l(gsw<|{igUQwh+BD) zc7{{cuZUAkHwYw{a@|pvwZPRSC2}omZULOutF#jUb^b-^79kZVr9qV2yXD4sk8t7f z_*`+7#Ms!wjv@_eyO5-prA=P`+Yyogd{WL6aWz>`csJ3qo38w1=Sc(RMJNfDeqBS< z)-;`ZDW~>0>0TwS4vtiR2a-ykuggh)ojFs{ql(8b&QyuDm*sDWxq+BiK?9&c4w={W zoo5yH5Fr)}c%pC7k{PW|Br`gayeJ$H<+>F03LQ~AVD?@}J_*ViKVjf4Pw7?yx8*8Q zsenC`St-YqjF4eA5EB4*1E!?3H`znYex?dB(e|deiGA^e-fiN1p)iN@Az zAjsrfKjkOaZ}h5-UWThJ9%%Sl^_whS4a2F@lMW~Vy`n4}WHLg-S5W%UKPzj7wOf@f z-o8RYw&-h^&|_Nwjz#GJ`3qbsdt$ zb3h2{A_erP*N&DXhT-V8D1Z>9HqQ1T-W>;o?AWs$ZR2DO?OMxv9;zAze8WGFO?C?F z^rbnQ05eDzbzF3Fj8gk~6L?DTzrL0I-zeFQWP|dBxd#oD>oTph1YOjjb!tLicorw| zFlpK^98j3$!U4qyN98NUKMx&SYk}Ai{)sEk5kMX^UgDu5T?A4-VgJ_Ja63e@Qsb+q zqnF1kD=;P7r%9iF`{%#)4}FfOHMK-z`qWCYUIkylN0t|{e1(^rZ>$OKmwQf{0aL;j z-E)ngTxTnnD}D@u$Xsaz;Va4=Fs6hJK*X}*+Tdd@NC(vp4K1s*D@W)-IvVvWoMWtl zgZ7j&Zpf6-9luR|YAf#7>^)?y<^Wpx=EsP~P|gvd2>1lJBHUC>=K47*FPTZM*}BCg zHyhI5(Jj%hs3l_+yj*fh!aZ#zsZZ@Rad0I-#h;RM4r|7{vjgHlj}Dwbdv_GbTF$dx zOr&gzT?}ic`vbNly+|9B>(hBo*3s%`p1TTeN>nwkkpNs8!p>Fn^$7y(5cb#ga^I(- ztIbii>bL!!tKiSxutn{)t%A>4$J@&~7G-o|{4i%7&y#h${DN;={=COvdNZ!}8OD%v zl{Bdb5Ep$0Crzr=E;mZiCOK(R4$hI_q6-8P%!=rimpY0{EId$VBj8H_Xu zV}d#yL(ym08)?#Rff+>2ipghi(xeTD?OMs`Gcb@ujtmNtNS+RdcP-r>0JlI$ zzXC{P^I|+jXEerh;+)n1iZ&hD5KpE77II2FMy!2IrZJnCc!?p9k1ubyA0OwNl6h#l zI)xcsoQe=0@+J1lCJMz!H3kSwc*muUyM8;p!E2gv>r_3b=H8|)-3yUAESzMZM_sa=hibs+FEv&)!QFa|A_`)~9Z1$RsB}Rp785KO3v#`JNu^nl zdc_1WDuS6ZGPCYGhvrjZg3k52GkOj`H1)m-B2Hqiia!RUE1E-7@0%d>=w2|`Nb8j( zov+Aeq6(s?Uj>)eIOT$(_e~Nd5yccD7%Q=2kfh!>$?ZZSK;$I=6;xXiB>6g;SC-XX-iY(&F(ZZ`PP{Gxb^_KPKJU1-O|PgCm{){OTEy~yZP|k*##?4t2STPH!Gl`R&aC-g-KHBR1UF^nL0#KzLehv__jB z)auZXJ&`WiU_g)%B--_ep$f?I+<8WtYNG)XUn3a+lIGO%)2X8|Zdy%}1ZFrss!7P+ z`1zwelnj_`yTy@wY1kBVYY9nIgMuaiH3+P$QQ@%~ls93^smo5r;gqL;B;4v)q&XtK zy@+_)Eo!a$91))MsEl{V!(`0T zY?ByLQnjPkFc}qcvcRUih=4LpvWCfsLkoJTe8nPhxXJJC0Gi`uwkPV}88(f5Y$ddu%V1^R;Fi{k2+qHD3FW$SJM z%>xAs!`4DOXh}^FU0MYNyIqnfH(@KJ+|dFziNZ&e0lVjirX{r%9R<5KH{S(mNlg%K zRG4?jVpy%`x*#p73D$;&-O^YyU67Wv3hp*Gfs71S`NuTS7x*L!XtC0wFPY%7F!IWR zLiVWkN@nPbwtNsIvp|ntbMyp#G5jxk7hC*qg3DF_Fvgp~hicZ0_Xl9-0>Q;Bxen|n z-XI46W5P*>)12l-h#~&)fxZ~Lw#Ub4Oum}%P>7R(pV4Habs#wV4+`9Gw%1HZjrri%kc181;S`?ubU9sQ;y2AJ~5=)!j2fS6ZK>l0U>b-_&R+SIW$B^IFir|<4~t+es*>d_6ue7 z-7R+AuE*#iY~k^gPD+H z*`nr8g^TP_0i64a)XcUbdchc)U`3R{G>CCQYGxBWV9=~EL&6i5M)OGALCtJ}$0al^ z4}Cn4kd#KjS7h+!f*=JG!Q-k!fI`h|g8RjWvrkd7*rJ-(tSFkfN`kgSi+PCMI+8>y zS4kKH89mmeuWUF&aG^Ohhb4fvm&~7;RUVd z{b+BK*d|h0nbqm05NA}zIZ+w+*pI*xJZE^Ld^>#vnlcNGn1W6}!c zlxVWTQxALvlnu4`O6GMSP3VGRX^P+u1c#zVu^w+u5)?gVD`HHUYCO;}A_bNN#nPDI zVyh!K@SB$kwD3cVr741iA|KfhaA>zbMlFp=0*N6p0Edrg($kh4)pu1pgy|cqr+_qdw#yIjPJ))hEr`b(&GF`i;!v)XG9-Rq}l|BaZ4Qd zbE@t3Qf)=goM^)mG+3^rIGHHLjAD^??>*s%;pv5UX*OUS{pI@pSMcd$?)7-KO|f*MmgPbU|Z*^z*#jJxq>6Jzux-&8K4YEqm6DTV@u>bFx6bL0iu4G2|R)U{B4; zx0}dZq8N92kW-c)F)`N;a;7cc7v#L~*7yJTH-CIZlj}m3PAkh|g0OIVli+cr&|xEU z;4_UBI*!W4L9kp4oyvudHIUp>3LQhJx~P4Dfm4b_6goO|Nm2Xm0M&wp5U|`xp+jgZ zsL#;}m9!dCUs3yRk-@{SnO5jf(s@udjSNmio=6bt;A-z&%3SCGNv4E%Flk-F%4i(% zJt;eIo*JfM|s7m>t?T7+M{TNv_OwRr`8d)~&JNw%w7^_uLzwBjOs?J0WS|yrw!uq`R9}cqe!CL5DjO1&5*gx*hokQKjQ+F zaxDJwsf2!R&J)pD^<6IT>N3SFlASja9kVWZSn`$Sc^+0BP?nI9*j0iuAk7-jbJ5|K z6W*#SiOW>po(15xxrz(Gh97;4NeD>9^E^Qfnw9)t-!uGMdAsm$^;7?Q z23dR0_%Xa^*w@}OevI!KB<(%p$L2kQw7qBicq{MOTg+RsY_I(_+Aas@=sbV`F6uD0 ztjVk5JD_2j54@w1K+>P!OS0|FAnPqz`h9=Q2fz)E`}55Y@F(nmC!%(LtoIk|NO7|U z>wjwShCtq5o+#fR=No~p>)pjVHN&iF-;|XssHtF|6 zAn%`l{|4t9@Be0hu}K3bAdvSL4e7@hgI_oG@v3Mpy;^k>-)`A)w?wIMd*>d$-7f3q z8=lz)^>^nUwyH4rfVgSG+~je+YiKLC#SWCRy?1s^_mS%Up5 z2*3~i0rFXrr1!XtaB zVB=ECv?Z`UNpjRG(eV*C$lS2%=)n~6OLM?Y_rF4YS7|Z4<#Tmq>l$&RMN{2ioneFQi%S__eaS5|Y*YL$HycE9u z`q5zV91tF7@^D5xyw;_IL%G4b>K-lx((z^6 zj}I>;d${nJhFwC@gYkkzhfiWWcU;uHHpk@+&%oiViUb}Ka({sn5u3Qyo~?s(3ToMk zLEu0wIG^Dk1`jsbk9jh^q>p;>ul>fa^}Z%WKA4wOa1a8qg(`-J3kq;G?&T{O7uuF8 zhnh2+JW?4tbnh`N4^R|_rn3fIU*0G zfxZjUu9%>YdqfwiS_>DXSusJM_PAMtLumKL2>iVmG_ zVU`1;O~iX3vIcdcTBq_=aYsxLG*#qwf*^W@(2lIFjERz9HcBCBa%*k()xD!sA%D13K|~O@LZS1!f7_I##?%I zs=>o1+N(V#N>R^MdEw=SJ-nX4oyI3MVR>rciOaXjn%Uvk{nk(X;ZO6pr$0L!#$MXn z?n$+fQ@e|t78_Xzc3`-Y^Q0*|T+_&)$Z5;|A)^MyJW}Iu#UA1ZDPELZ?jZ3)$fseH z66(ORVh`~{NT=b@73H=ll&1cxLpF_bTdrn^NZH{*iip&#iRoHsVugYQS;TO&CPGcs zhb-)BG~=fkGoBzW+GqnPYQTm2G)TtV1JWe)vGVA6(Q{7zG##tj)I$&5E@4LqKU4#* zOE_Y|h80y^+sUUX3Q`Z$N0qIIg6b_FPA#(f$@HsUM6WjDqoWIFHV3}j!FVW2z_qQs z(Z0#|*0WnPo5PF8#T6#x)t4V!zVgzmFTLyXzL*wdAz>IEzDV^`KE{3D`QSb8zPNm` zlqRut|K0C;$Ey$C_Tt6jj(lfT%ly^Lmv4K^+kL;6U&8i-x4zwX#&^fX9Tl@BdZZpw zYN~N7I_ioaZe`WhxXqEsc_NX2?e2f{Z9nIoT{f@h#M+5p84d>tTQFi zVYOqU=9>c`SHBX9P^|iv9bl+@E+{7}M41L;~wl>N0nEh(LueSGUxRGUaJ6rdP zhQIk*Hg(?;Z&A4XgSEX{yye2zhM1d?Z~uaz0U+pzVPXK1DpZmU4mPmONiqOSpc#o! z@(wZLYI|`}6F!MSS8J9Y@FB;CL6spw6nJ1bzQP5ol?Em-F$kkfbkbl;MRV5W^zvB5 zRUqc}7@iALUe+72DIHAtoPfN&1mv_^G@E^O+NOAB%SoQua>2a7pL*d_{^kqbS*ADR zYO{|9f^08ZvkxmRMtTPZ-^Nm2VfObx=P-d_uf8^Lmn~!(%2;%xSxTC+bjQY|mkh*!~?EUMeDe)QlE{}ora8uFUDEdelE$yR6_k?bm)k#csm zKMjkvkKr^d@{EFkT#-;Iub9%X=vk3c6I4Z~DI}+1Ij0y}2b>-YD96+}6VtHU#e!)| zgk#`7Qg!Re$drcF!Nw3=2)RYV-sUtc0K%g1K(3dQmH<`|mSq=mzuK3!ndPF}_9cL; zl2t||NOnB|N_JXY5}kS-i-LJ9oyL`%hJ}(XYXXPaTy&|f*m+_AmhuXsJ-XB06Putg zFGd1zCT#ajKK(o@Tmv@8i|BS=!d7Fh519b2XmkY3HESyYo5w>MpM`h`0ai|8HXu+$ zFt;0kwS%D%fTgaPvBA)w6pST8jzvrnt8{3^0I(-b>lhKeJQl#+V>r5p7pH9+i08t~ zjTWQu?R4Iw@`8*g+D`a3RgX_wzE6*T`N#a?`@dyekH2Ou!GrTNvK}93-^hBLgIYz8 zcjsq>TMhC*OKtUZ&+}DOql;AoVKib(XC|VIHu`K{GL$VE%^|-2Lhy8iB3mAu1 zkIR<>c{SDM*0R`bSgh@esaa9?x|QnNfJZ*-@$>8P)1eR3l<8}rtH0~BzV$!;@5U<| z$3rPEfZJJK$l~QU7%PWPE!RyD{rqz=#S!WdEATkvxI9)iP=x0kLinC5j0J22V~PX3 zJxpZ{@lsCKmQ?}f530e6e>+Nv6I5)U zs+6yr|Mz65$Oh}BfQ9Jl@_`JVADp?A>qlU7yVC;U+QtTL_~xgLs}MAr`}VAzoKox1 z@XTu!a(T?vg>M^PbojQoy4&LUqRAKm8{G2K73j3ALPvqlwTih`@zOg1=l~96DY%UK z5sJBny9tQcMUik{qBY9C0w}5bzVoHah$4CE(t#j%nItu>8?9E`9^uMO9rF?>33!d3)RRB7~G0n*o;V>IOatq5l5LtxZiM z$y|6bg!0|b(G%6K-1rgACZ&fO>t}ev3{P+XAAN*Z-*x%YTcO@Bi4_$1UdFL^Z2~Qd zNUK%gK9lVU_kAi*iACpK@xy0S;^Q^>U-!OG|9hYONwq&pa}3>jndMnA8L4H?NcFNx zy@=_8X&K>?woAJl+oDHw1M6$X$nuBj#B>A-i66nhau|)u|VeK5cV|G0*DM zi;M24%$R3I698j(znf7nuF-5D&OykD#yo3H@?tnladm=Z%Cpui zF8~^yqsP1BSzgfG=bR{VxAFFPmKWu_oRJ-M4m2LGlyKm}u?%WuIKjV}qi{dE3Sxq7 z|Ju8dDdEV);|z*Mcw#%%q(PSwj-))kW6H8l@eHQOxC=4nJ>YtWr`)L*QT!}(8N%HT zk9nY8+!(kfNQUP;Q2k(>8}4B$AS3-ytGE|Vz1Y)3B$&x^2&Z1eP#V@|)0$UMFYeLu z-#qF4)QgA$It@C)lwn5?)QigkW%l2$SV|)Wa_U8+8wir&NxyLFMSyxc=Na!&rCtPV zsWZI$3hKq4_v{Ml#f}=B_MU;Hr@UQY_o<%}laRa`kX!XNYvSMSJ>$ojdhrg~S`gfZ z%+^f37;D-y_2L<+7eTHV%CJJ82$foygzzOejp`UH_S~DB6QS!mIb2*f{ZrD69V+Rpc*2}ELs0Iovd1j&+kGR zbDA$HEH)j2-I$0vB3UGWXpBlJKo<{tb0TUZ?7m1@Z7>K*RHI3bDm>;kMA_93s=6E# z$1gPO?87zJ-8@~Q3)JLIht1y-H@C#(Z*Erm7tn)w*5PT8Y%$0I(#)5%1}MOJgiI!I z9=N)JNEMhEU`jl^NdZi*t(az^4{F^BRlVFvrTHitmC$YR9PkuB?2A4{*^Jzx)=u2k zEz%IEVm0J$nJ&l=Q#YCl0g--$-mUveXjwzCT-g~2JI{^t_|<>m-}_5H`w62(A8V2t zDf;*%gPsyiw6!2^Et3qkpvP;n$I;e;sfm-H*r~Kwwk9;%T2x625fi(Hk{NscxoB&* zAeJf+bC66mg3;DORq22NbQCpX2l6BXAWL*U!S)E2_-4gqYik_|d73V0Jr;dXYhj-& zt+Grs4jo#fR#{Cb)4|m?mw$?E@+g8Ro4kFOaT#ur<&&)m!(2@|=UZJ(3vYWQs=i7j zZwEE{h`N0f!oDkU>$x9cq{b4Q$1wT;^^msfFV@N8=mVo)HaDuN@Hht=xWn28L1Ahz zl?VoOo%puht-CeC@KB?|1KciO69o31hdNbwe4qguDBAZVRe0(^13cfQdE0+cs_-0F z2ctIIl$be4hR6B>*eW#L$z#3d{Oyw;YpHVN#~S61$iJK(YkW8USYyrjSaWmav4%)| zthuRs$YYFyrpKDwH+igwW+liB=un}MwzU8@7W8ZY8>8lgKD4j{z`tpllN6=$4v82A z;NU~tAANa=SVhJ)#r-8JspwuEcNLB=)1kr%Lm;7}W!t?*Os@jq*Y`&v9GSGZKi$Z1 zeC&|UU*2v%9hr>~mXlEkaXA{JxLiBy041Wt{k*0L$ved3-+0!6jJpaaEYqRF3Cnnb zaD3VJ>xb!8X_^=60+>(`_ZPQ(v1E_)W`zLUhDur{V0Seqih z#Uw4;#3Y4azi}YU*)m}uyLIeVEqLgVu6m%2+!otR(ZEpRoDO&Oz^b6-#imI{j91O;-QzXN|RO<1khN@85r!)5v0#w1$S%m9e~GcP5xOT zMtnuS&nAcwvz*llwFEwc_R!QBCb(TMl$`*nMtV|dMY=~O2#lG|LjwUUeEKX%h3$fv zC`$hX{tH3iX+>Hx6Rg;7s#=9wm9N;+3LW5*xPF2HKf+1NCpqfLS6ABr9e{H*&QD3T zJ$j67RXmIMUlSVC6B?88{V3Tb*oNh=d;xQE?TpE_drY52bU-qg1L?E!NW0dZmOh)X zJaw_XA|uOFG-l_h!e05r-|){(-~>1VK?fCv7`l^H4^bWn_xDUPK(L1sB&IFMPNggE zfQwAwi?*kfum{{Bn1H8;w$4nCh=SXLF$2!+Le4EH92X1`9z)y8?b7)%F>QhQJ1j4g zUS(4nQhmi(A^?6Dy*LzHt~wc$l(sk`PSsv})Ch8k0Lm`JcNmZaS*cH8k50TCGQ61B ze9%tZQEnG-iz@xiZV3{a=Ay?KoX=CKjsPFnk&J1jEhZr0Jjn^rVu?qUvZg8wVP}Ze zqL}qduYc(F_~-+bi(#k*q4G^Lt}l<2jG<@_L~|H=qaf6DGap1;PJo#c;Kg@5 z_{!4H*y`$E`2M#&clgAbVpf~daW@Y~rjQuQFBZ_O$?>ADE~bc~KN$Hbh>2s+Fi9}E zo9dTh>;@>Tvfrh;x~{0Y8h+_&b!-nE-A&}yIEfT1?ly2x^P!`=d01hPI<+9$^3k%8 z1*5x(vGp7xBeOs{D@J$oc!U;I!8JLv(yvbL=6=1WR)+^G{fvzyb+!F&Op_q>86>sg zF|Qe8f&FH?1`8M^7uR-Sk#9C#nbH4r237qrbyq&YpA~n}HY+?vpvm=L3kz|l6TsH% znXKkssDFx{D?j@;{%ML#mLBqOVK>85eSsMtL<%6}Sup@J(7@D^+VW=m_1`V93MM=sbrhx!)a-`WJWJikaQ z$F~5R_7?D?4cov+=f!#gRkl3=i)p+_xcd)Aep>baN-`aHo`FD4AWHyJGuF*?4~*i)6B(A1 z{B*c}7^a(+>m;C<s)jec0|z6VS*B$5B{JK&M$)n(6iHC)}3+aMc`oHPd$gLcn0nWDz61Y&f-Z@Zhg z@O7z;+y~oC5pqp=)>P(LCVI|TYp1{rz|XU3o>l<F4s6cEfWOV1t=D1 zY~+0kro>mIhA_cO?F9z)4hWthgb4z5PdE#QL2f$dNH@y_Ya4MdtezQblN^TC)6H_p z1$;YRAS$}%k|zNEOFPsOKdJgd<}O*$zb^j>vz6V0_fHy3 zlq`!&W8ShJ!m=!~NBO7jL}y>G?-Q2|@q#%__7`x|nO5wKv|>UW#>bYGCd`tVGB}F` zM0@f_Qq~lWX^<()OGdoBSR>2#WyIg}U;WB|{SgkD*Px}%vXr0<$rG^rPLJF>t@rWy)5V$QI_*TL-f8Q-mi|VI&%T(;E~vXH5Hf z#w))3ogeq=ulZ;%IIN{$W%w4^!aHfD+{4Byv;d{U@+=JBQUuWy1@tQl4u=C$nVO!g zf?^77MGQ}enSm#cXh5r^zM>>%O>mD7vLbTzifMO2F@;4CV~CmWB=~(p*b0!BE-0qZ zR>ahHIrI^YW=dV+f?^6yaJ4OnneE zIh+G4H^{~XNlD5UNdWJeKvgV1*Ni_$qJ^@fc@Q2Q<-5wK;;vX6)Kh)NZ2FiFRGS*|fl@&T_8U2UU|~Fgh^oa&bj6*F^{JCVS_HNv zgyRYGxrrytZBKx%WE7>JKXR0Kk>dV*;p6_?_Wc|GMK6!#CGTOAFYw86QF)b4bxKkm zbjYCU>prr4UtIK^AN5n;@P3br6bfUhqXLX^V}Q(2(P566p}H|aN8v01g^?HD1tn2m zh8%g52g=ngnUrLti{N$-a##i@+ckJ)n-!^R>Yf4v@bl1uCUW8EUX%2E}crH;zhO}a!(MYezE)X}rF z38_XWb={~UfCogfjfe< z>n4bavP3B(JBi`*3P1A|Y1gYD8la_j62FCZJ)I-%x(OoABJc+*uHlw7f?g5=o!n|e zG!F&WofXBd*Cn^?U7#e!JZe(bPcm>afs+ntU`@7SW>5QbLP=;@G%7>P4TqD(-*Zj7 zuIAXmx79>9O`vLRJ8=-j<9{mFPdK87LQSZAc%|;y1TZyQoWcT&ziAX0cj+q>kI# zshDXyINTSs8?hV<5P18$H5Xgf1mqVNhDYDS_YpH~S7_8st8p~IYlGg|vCG0f#P zs$hvLWkBAXkZBZvM|rkS4ZJ5Te}l>(TOQx??0@j5f5-zKO}JVjv!q|a9|-?j0-oKj zkolFbO2A`+yFE0d)}T;0X(|_@NlSrcyWDX!6(XbM3M~q`yd#(2Dlv%0XBV!qPr-fx-XOC=)c9dsQiny+4R9zTpRi)J@l<2=8En` zin)eJGYRb(NoenZnd(}jHp^>sszRMZq}vOTrrn}BM0z|S(i?yFciel$LnO_sS%_2< zaOh`KGmM^54Us$n#}LT`w<}DI7IU;P-WC_knM`KgXk&mxZ&!?&O!U%YXb?Ss{f-kf zQ{FLVGAj=v+6X%<#!TjMy*Vfp13Aw&M;J4iNAk6Z6+WQxusL+hWR|W-1}OsBNavU` znZ$HxFtr!a=rj}p6O8f@v#ZVhuf0?F~GapOcZQoxRD82Y8m#5-G zaJ9f6csf3$Jmsf)0aL@OY0I}3jA7O9c>lw1y6<6?7WFKwDkZ+V$bc{hLM9nzs_2iG zuS!^Dg6Ai|1*5D|SCObhg9bk|wXYp|3z`FI=LfZH2b#j0Xo9$xz`sH90|r;7h4_lp zz9tBsuELbt!&Xb}Yl3)qpzpb24^ysaUz4mRqDz%Ks?|DyjVglJI<17ZqN6Bn|EXY% zYdRtx64`v=qRI*+L$-Q>9F1=5UAa%j|Bc5E#U9gL_7^&AX2Uuo8`exUujG_h=;A(d z%fL@rT1@N1IXHFe>d9JDc+6+S#^hT>%T{J@=|^Z?zePjC&-k4oVloT;lv{L4Dd>NB z{nz}$2OO5KCc6{oEC92YU;~g0?I&{wImS6hfXxv@pl}18kroXR9qHqShz?>v4u_6& z9^)LKDw*Bcp3M z`)aQy)>t}L%B@l7E1IX~>$A$}iD!S_p530T-rSyc?)J1>^XBKA-tFb|t``x_=*07& z6TkG|`Kn*|ypO9bXs-21tEO830<71B2!fauL%$H*BdRQ4C3GSLL9b8*_kaLqLAP~? z>b1uSV<48O+Bbr3>u?H~JgFCN_vIRZnt7WBAuh#I>ds?_k|y`#ORVn z&}|)lj8-1eU3Ek?t`YQ{9uB=Jc|uMPl3?V4i3fZ9^3b`E0iDQ*bqTZs zB$4t4GBp33kkiAOi!F1MVCq2QdPmYd5#CNKb)!P0Hls%+olxV^2k-Ib zjMBYPInsLCH!fd1d&;0Z0Uk{E-l8_KJiAfnaiiY&rv2~y^`oUxowAB3d!#f9SQ4pm zkuumX0RxIr_VdrVoepgyi1cYGQ;pEgGz5=i$C1(~=!hFZccakjVErZF5`e3cS9CWD z?GrU+&{J%68;wIRcT35+qw!rTMdnwscHt(Pm{~9Z)9`|fxbtIz8wJVQiLwUhsX58u zMnST+qJR>$y+FZ@icKEZFJr1b^~{EDyXhBusrK|b?e8?L@xQCg?>S`j)N`(*&2gBG zpPM|++qik#O&*WGcKIWJ=jVU?10P$XOf9mtwM#IEmnj&l?hrx7F;<(aj3|9g@Klc` z=)*16%TBjE)uRdeaEt8<^R30dtKHz@Od^!T`|q3DGLu$dPL*AAQ|sY zO*(J;dhp}z8ScSP_s6K#dVBEG4Z6AqAASAt4wXritOXxRt|I)Rbc?ne=Ye~Pz>vlh z(SS*gMue*E`zTTLn{GT9j6{UEP^qg@o*oSPQkORy#G&WfM~>2GgZ$rk(t#|pH6TF3 zHy9QT4nu5&?zt3OX|(9U7)U}8T*V#ugvDS`o;VK%+h7u)liLg?F?BMLx{yXZrO8Le zQc9{K1cP#UEEptDo49~WKybEq5F7GqdsgpZtA0z8=(IV(2uRyOS#2cFplITioHOdT zmrUO3tDeD{G2T{oC=gLx=j4C^TZ`m zo?5gqvSy#xjQ0n&jid;y?(c{f$dc&>t@ao!B-b6)k0d$jm(Vi1dtlQV2Yr$lR(3s+ z@-JN`SpCAlYJNnE?E3I zdU?zn0emgI{P6VvXlB)g&I#NPdTvh@f!i0o;nUvvk*@gF3Y+3DHW?rw(HI2iu7Mxo zB`9AN#czT;GW1gopa#|)ugJN(4e5&tPADUf6rZtu6bM|k;`8fMUBoh zY9kn=Pp#V7iuSi}W&gKEAzD7q{^A`)lXRk>lupH<-^9iR{RRb?x~d5XP6|ar@VrB# zK~hfun<7$p)M{Ya4hmI>s$GLaL7~SXoNyQ6%?BEr{C+68Zw5 z50cc=WfR@b@P&EsBk};9H6>qRzh|{0>XN;>GDtmKp~*T`wV0Q#gAdv*QK;~svuoPX z{<_oYpHw@R=)Y`4%JqJoYAf1bU$5^Ir9uV5G^qWZOV^L3bR9Hlc$HsU;-k3&aeEbr zqQg#%8fGxsdBA9YY5D2D^G)Mu3yZu~3&zOnuwvU94-H?TO&bLl4e)RDlxtM6MD&+ejFA_aukd4-Un09k z7L19g{eI0RbqX#}Hpqf0^1@|VBKDEF2qTFoOtQgQQgVw{`DV=&d7)_#N2lbfJI5fR zEimz3{fX@X$o6*4czYlRA4Yx=$fjG#cz-Z9yx<+s?$P<}@dg2@r!(G>)odiM?Rltk z(SYV?#>Kp=n-GB!;inL%R zSeyKIi)EQX%B3%p<^nN^8dG$qnMYFs!! zuBSQeAjzbGN$#y3Zx6JCrh$VbT?~`N7&vXmL%$oj0ZA7o$7oDd?6&3_xYcgVbPrHqo^d_av?oq#t*dDbz&H7>wu6f7@oNVak=G2} zttT7tvfimid}_vZ+VZUdVrA?nk3aO!e1T_Nbs@{R>K6KImP^HR0h~O-FR~%hoY_oF z>69d8Sp$dySDvVXLd_tWLN>F94aAjaJv2!^kRWYVbmhqrDu9hk;t^N|&Efi?U3sFp zW{X=df}0}(8zxd+(3K}v+#rw;L6AqbKgN|O8hem&m1yROlxMqQP@eoX8}LT+XM@r( z|C&)nCkp7%dCLD$vAF`Z$fKyb$426%>*szROM>?3x0%Q5G~9spO1OTb_N)4hFbbdu zuB*YuCW6di$ZHse6g4(tWLhgQhau+)Lw?}?TT(mX_ulE-qh$P89x*JO#YVMoObk!3#QQ{D>XR<-U{HIr{f~(rGfk>i7Ni zANxPu_-dz%8QJ76f|+0@3)^6?YpY-rR%3S`$~k zB8{&JZZV@x@a}yBfoOb95a>m%9%3L+L0S5WG`>|36rNH&1OY`!7P=sfuL%NS4+jGF z4?XP+-jQ5$K^k8Z#MB%hMG?FM?p_LgE-1#gN-oherc0tA+bo$Hoj}7)%g?4HBN+>8 z3UJ68oxrJ9P+c+(Af2WFJnPX9AQ0iv59oo;w{g^YCC@*>;G#jy5H*P5nj+^5`9F}8E?>bjq189R zZQy+AbZgM+o8TZ8hgRPNhoLw!rKL1h3`22ZTgLzt2gD~4Ty!|BQ9Fe#?osd~KpA`E`j5r`;`mIQ`LPWB|!PiWEu z>JfCo$~9$RnF6o#$*1H=1aHCsMV6s=;o;6@JvqZJhrM>)-st93noXX}Fj}bXwmWj7 zPUv=%{@l=Q$64gD-5t<$-n(c@AITWDX+kYB<#v^4<<<_>QOCju{hK?B`uA#`f`P!nS$Mn zb;{^3kjkf}gLBzIAjOP6IH8qC8f@DgbWhCamlOa~{~UFGbw- z&<2Jv)^t)=v=6m{G1P?FWzw2$M#oMIk7NvMq`5#`Pr>NGb9p~kt7t*d7Z;$ixY&kThInQ{1oR_u&;h4H{$Puw$85nO(u@1tKyE3r$f9IOZ|}I4;d?#?id@Xeb<;t5hujF z6#J_yp3@)F(|H`@#+s4`MqrIF`k~zjI2ZW23Y`S~9tpm9#xk#S|hkmwYK%3y00hJ{kTJh{RlkE>Zx7oe1n zo7k7R*W2pcni*bhkKtubyD=Qb?+fH0AMH?0F6L$zX0b)ruPG<(Ii2Ss83)9 z0L$qCl@i2FOKE^eD|$T50i77&qJjsuQYJwUr$HgGJ`$mU6~P6E`cM+|j0aX+a{fD@ z97mLW+7$!(;XKR$8VL`f6;$FeG&NW-ZhyU8;GgU}`|IEMCq2P_Y5>dH+F$Uc&eQ;> zVJ2s40PTXSkI+c#8_oYyQ9MxXJ;vTJo6^T)O8@x7_x#)sx2DuW6w8ql?7{*{q@oS7kpbx~DfP{l6#snlRv%87OgoDytA?Z%E z+j!V4Io+JZ1Q<4+Bu9}1w2Wd4H3MA+N;Lm^<+N_y$#903F>$VD)xP4~A2Y(blZ`*S z>~AnIVf*X$+CSNM_Se7Z`$VbXc7i!deiEYO@>F}pJQ>Uxc$gsrGw|@`2bZtB6yXdquHtQNB;mew!4fUVnOA+<^k8sMwUq#0EHy{?S!*BD+y z@zsE69<%p2#_XM5b}oP(S+Bf8&||)fJwiWG$8{m{|lI zg=qyi>(a|(a9UM(CJ5F}P>m49yjl$+t-V7U3}ULsd_^ieTM;}fU^j!-0phqb0wE%; zw@3#T!F6Yf9~GXh=t>7mws>PIJQKu}(2Wq2Y|#s*I>*nE3eNJ1ay$sU`|Jb;wok#L)m(4 ztf8mqusJVy#=Ia9$N{Ji1TwBJ8vp9-WPq5~P$=6qUd!cEFf8=9uiyCIFLgfoen7(( z{EgDkfvNC?Prj6^%%wA4BeXN(T}M4bDSs2Mk+^h4aKGcE8n#sKR~+z`1jVH@!Tp}R z#9R?wnvI~ibSAij8lmz4^-_l-N?bYIYs* z&=2sbOqVioNx39OT<7zgq+eC(EkfsKRB< zZenm3=RD0B^E6Kuk*f#7osy zPmH5&9GPd>Fc6q$z!n4t#!<;&szHU`LCL}OJ5x(L?-(4p ziTDMOqX>QE_XVt9^shepjnB9{rwg?@W>9q`9W$gll8!k_QcIpQvQUNh zH}Z0ypkoGAN7OMGgtr!V?s!~qN@6;6)G@%PvOtIo9oRWrK`*`$@N!_uk%EhTtF`2L zD)$GIg0~t~gNz)d!hGydd|Ted^dJVoSaXeG^{u3L?obj%H<83>_f}np;@i!Xrn^v{ z^;13M-7BKxRGZ$Y4A;7Duaz!s{W?HuW`FLC{@k_EsngWmYoJZHKlMG|xox$nrT_G- zLFxv-N%)hI_wNuBxi*EFsZ$Pi2xcWvD{H{Na%~E03f4a;3*;G=dk_yXqH{s_*w8@; zEvev$&4GEsBuG1K=NN{qNns=jx;EvZmn%h4Y@n!`6uK@i6n+6PD1kx zCOO%$40_PhimDe^o-0)Cxh}~6w!N>>c~exP9^qDow6~fuM7)jn2ybsm!-!adM(oZr zLNOWL$JXD2zc|Q@*!X)ocQyHY@X*2mA%F*9v1ILRvqFN7+wQL-Uk75vus@pm7$ema zmh@iSU($WmK}44#{9E6j#Ep_#u^$Y9e0*;E@#lGKg-(m*lW+RXfA+I~rG;ha`< z{YkeKvs~mH!GG8Kx2`|olcBP#f|{;OE4u!~Ij$w8N}~F0A;DF}MDybM6M~o?CyMsC z*)*YX(x3EOmrQJmk4crBjve$Tk=lo)dbq2u&m5p&;*IJ&?Bfyy^zEAQ?v(Cu9DQ-< z43LwzqWnJ8fi}uhci5oiJgqrdC#$x7`hC{{&D;j&qIiR%6Ux=7=-@!5%mLc1Z&kCR z9Xlo>s994cV#Rkjo-nsvvx34FmLzCqlwy)85?cDWzf?-AW|u^TFQ=EsGXc^(eruV4 zxnugaJEmticpweD#g6IM|LCXwz0dh*$Baq(++rxJVZol0n;aHtqI5<<1+EAyF+!-{W%`# z|3LDvDkJ~G(c=w*X;{k9G02Erclj5kJ7may)QTI)Q4eG&bLQVUWKJT#81Jd;(hFFR zV!oDPPCO1B=D}}bP2cSiN}_fMjV|}bwZFd%wN^bteCI21x4?sE0iyjyDoq&hgLRh7 zdT>WNaa+S^k2vt8KZ#Eb7^-uR*%6s&{dS%FFU}=gY7X;A1QF zKrS=o7X_2eSgeqj6XPOf$ZJ zMrzo2mf2=#8JqsSRHxHvCY!N4;sn)Z&>H4znQR7-FgvMF!}7znHn=V_7QrUQCKo^-&(o@_Qu;rCT ziSG`)4&?LX?*e*Kj8HI2GX+_bJrzo_V1?)*hE3*1>zI~8L1u5#y@qqF*+iZd3i2s3 zN`K>h&pi77+GM5$GNq_6T;uU{*+}VzM#)wjte;Tnnc!gg#FLr0p+nUZsytiqCdHFR z>)Zz-JLr}Bk3!`{{+;snpTFUquj;amjB1bjk5ah=n?apCx_@c2p^Ee+zMgypKOoOx z$QRZiuUy27JqDq`7Qtq2(3~UIpnR1Qy^9NYcN|>`!8OLBHiEHGc5zTY1?8`vLSjht z0x4v;43r+bM4X{ec5zrO4-#UcN?AC;{WJsYU~#d9>4!Uo-ee4K&F494wCe3sm7br9 z%g6InVA=Roa5Fp=m(5eb?VCImZz)y?id3zT{hiSU&$2dmO2${m*VP0rBdl#q0Lvh- z7;#Z~g@}uUoH65#kP&fG*kOc_a}5)gLcAkQTHIgkqt_y_Lo}c3%>}){id}<+gijjx zr=pK1%xyQnYh>tS#(TZK5L8mFWkMkD&u!ly7c6cnQqMw6a;sx!+k|lsHUj*jC@+Mm zuGkDi*NF63?}KsYV(Uo7PKmI3bFhSid*P*r52ew%Qj>e9H8CIc1*(D%AG~~@>EkQp zCa=!WbIR&bj%Cfy{Fblyx_`-GA?gsBCt#693QyZ;C55LkrI~{Og@x1=hccBh{29zt z^1jp+&)ze!1s{Z@G!aj+r#HoYG4$DD2!#fnm@3|0o)n&X*Fs-^LriJ9WT(FYX;QwM z_QO#aMwBff8fTj4(@fzh!$Jge45Qag`f=3SshcrI0;y63VP`H7|Ei6Qi9+!~R34F8 z`S8kBe$!;vMi=Vqm(Wu{99BNWj*KfEqHY{Em4QxXxuch)`B7+U%4LG%^3f+@7xqSHZE zM60XnAYU3!B#@bVuM!v|yrmmJST(jHWxnk8fS0G(IqeU3$&hc!d^;B%M2ci1`27(OrzDw7Xa zg5pWHG2letJ#5%r!tou~WX9qe|K}t)oeTo^9h))s-=cR{kYcOTzxA zvJdHMw>k*XTp;_4NM#;sbjG1ZWxVm}sj%{{jVRO`;-bTVpvn6BJHF}@{^(D6eMZmo z@$2h=i$)`DqY$z_7*Om{Uvs3YWIeW+Q<4>j)@RBsKu~2gbSq(GNLnlDYb%ienLRiw z*7@psY)aDCPFUAtRFb|Pv?>AD$EdK5-9415OVI4mnmT740O9RL&-z*k;E@VD+~oNST+e(qqW(Ida!H4o>tteX1pULDeHM=I!cAA((tk`em!lkLUG#ck@T*abZY z%hS3Yz1$U~LNA8FLy^8uJexuKJpd0gGEw~Nkf-S&3nla9i5-r%Ii>dBNlYPof_KtE z>W@1yh4YOdcP6F;ien&mWSVkfiQ6Hul=D=2c`TE3k7+h(%{Y-GqdfOdp1J#~Km0#^ z{CABuZdVvPIuhYkC-k)|RKT<==zI@QbtCBE36S3l!lRjb0G)QbqMJLch=gE)a-m_U zS<$sA(CLRY?g?{_UeiIh-0nSEYopgGH4;=C}i2 zMI#u@9e<6%!=-yXUdU0kr;4g%TwDufnhO8-Ok~#R4vNM9R5hKd(3(YMGp@?deKLBq z+!S6CD}AMfnFHvI96+RnL(|Z89rln^?)9i|=&+A1-KCT8yBPo ztAc1xltUvMR5B7SNO#Z#_ek8T-~ydE&7rBmOpv5)qL_AATC)tkA~l!^4l<*O1~bV4 zYP3-eR`o&spgOI%X_u>jkLh#O>Ta%7bb&r7PgZGV>xAkj4;KIPWA=TbJ$Ej7TD;(>8Lt^;~)niX-rFz>ZM2oNnW zrEz~o4&et&B;kITgmht3yf*X0KHQkhzVA={mWTh?lgL`?F&$@xpFu1rFJBb|rGwDx zpo~@M4a-+hUDRNyT!L8;?Ra0l#%R=a><)YP#5YSD2f z32%>6Ves^#+o98v5UX;9(dY^&XspT&LqX%+8HR19+cOB;q!(?!Xc)FhBV>{{fo)O= zs-pFDvu2SgVyokpa7si1sVkTVeBVayhP%SPPtV~@_FHcbW z;nfEQ7FU;*GHV^ko3uE`Yk0clRd`&n`n2WyJl#L}_D6sJzxmkOZl*4oSpjpHz)FobT3n7II zMo;&+1_K-wEQo^&$At?!b?8@f`4UK^B6RFs=v%rpaz!F?zZf&l-{vPNK70O6->3524WUfuM!eIK@nSyRnzp%l1%U?Rj%0a? z$y$^rn)C3m#~t{F1#bX5MDq=3B~-0@14MZyhD8Bp#{IK5vBsGB=sp1y3MghgKK+5X ze|8_%f@%%NM=>HXEJ7gfFSeq(A5yFE>!%^Fc_VBR!OnFP-)>k7qc^gZDfSzXjFinc zJhKT3?#?}IRbg~Ja?^ylDXlDZjltPOagLXlMZu*MJi`fGYDqhSQv(cJg9j)_S z(5i+OiENuH-AJ*_d*gz&4S?O(rwIk831@b%vowp;y)f zNo1RVlmfdQ9T^7l8sX@8V>*)Q{wy~@zEKjuhK^*qK^RJ*))^Y2bDr@I9WYl<8cMgA z=g|4>@fIDx10eONEt*jCO`m4RlNC=k92Q)ysF$t9OsY#4VOg}4D%wHNMsw?8sO+fx zHkxN$*i3uZu$w!Xjk@mDhp6o{>i%!Vyc-g$6nvl*Ye!>~anw*aD0|5e24&yIx(xV| zbsA&cxoNE1mdd54A)at!oe-}^>&RAjvVGtJz{S1uEE)}{zCwz%u};__?cd3`CUb!u6V*aRUA>DG5hS(V7})Y6q~e3hpo*N$jo*($_ITBtbx>BLVEOa0Iv@ zZK(<5>xyz9v6~3HZvcg^3nCMJhBA3Kuq9_<%Pk|W{h|37>00&Lrwm4$V6kycPbj8GKB#A6V} zx^A=H4^xS@x7!lZsj^PDl^CFpm-gQFhQ8+>Za1AqlfqaQN+?T7nNmY5NHkHP3JC-a zFAXUQL_|m+kdPP!L;H$J3M#?)NWO18{xQZs=U#KJefHk>^z8+8d#`!snE#w>%{j;8 z8{ZfMD2IAl@r@*E@-=aJYymFU*%99-{ipC}hWQ2cN`@Ykx;@urlXdS$uM_3Ylm7)I z-sKO}N6J10HA;4(dM5}8gA$@o0sc?v1ofB%t1aQ=gcCHy7nB4?a-tCOmptL2Dk^(*GsF1Rg- zYgIGGmnorLoBD^bfBlN$d0YJ3X2c){#L&ZfjWzoAsec&%hX6B6&eC=gJyGqo52~>u zLUjE`A^-1OttN}>LFj`MTSR@E&OMbz^%MJFS>oFNp;Y3^3&|_@pfrt#Sy&A~2&furU*~aZDyj%xXFZT4K*`Ce-W2xY0g0a0VpdR~g7k}zMPQx3~ zVi*}rA7!CisD{Dt2c%ut^XF(Y5Nwz6id@k6i=4@b$vwi|2tn#G7qn(uBnj^sJRs}~ zLF%z4h>?xLD>^PVoReDwsmEOKxWdpfDigG7ws~o>BK4RH0xe-H7krsLItp|vQjax3 zw0w~i5`Y*>2KtKUDIHY=R-pL!`a;E~m|P9Tdp_9J^w%B9s8XtRI<8gvzbLG~3~wyS z8>KEr1FepWp>bk^A%rLuTmo!@ZGV21jy;@rg*(h!eoV*w`Cs^V{>)ELA>l?40}{SG z4(hQp5+1lUN@{vIIwRo$M=uwr%jz9z-63PG!G9o_83}JdE0Ebi+rf;42hIw7LQ#TP zaU5pdYz!oD!Si%X(+8?-G9m0X>0pfvHaBDJs?7g(>Z;qM)ME$Wr^CG{))7e~T=qD_V&%m4>I>pNfhZ~pw0!81P;25+;sP~KI)0`0biX_yDr zhC&bquQyNG;I)>@@K*=f(wtg6q9zC{q5}s~i@gcW25-BAX{1vPkib|}agEvF9S&>t zzCoV`F^*uj3r^ax&U9sJvlE+}h?|l24`D#^u94j$sK)Vi4#|&Kx%nw;J4?<>oWqo) zL!!A%3P+*K>EclVmcqI0X91%awTu@VOu7^pDUR=ZW}$d>pL29Na4h+{0vg5fxZYCK zgxc0Z_7ja0GLt$C{0s@O0TKxjOmF`}W1g3-3iU?CUWgV+oB7WM}4lESwkNDJnI)@B#j z%yKmt^F_Vng8RirtH{Qtz|ev3TAf=Bkj6~X1A7o9gEbeg&-}juZT#H{9*_$VOk6S zNqdmGAUa%XQ4i|42Zcfs40sk8rBq@j*{DKIbey`7v=H8ENe}W&y`WfLKQ}xVT@tiu zx`7K+h_mVow(bi)TuJ?hnKYSZKjn! z)>;~U*Oz?JPyEAZX{6D(M;8{Go7xZt?y7^7Dn6D{1qlK)tTfZ?n5b(Q!=@WLgFB)*Dnl)NdoXN0;RIOI)RNI%fJlp?EfE#3fPi zU{(MlD>d}9UHBRI ztOg)!EsX&2SK`0@s?QeAimX&6pI@Bd3YmX(ji-*Y@{+Nv(~ZK=+(PqVBk(rDky8UM zgOlIEv0eq}lnT%kV0IOu9Y>(+H^Aua9+A$u#3Os@C&IZtRv_`{uYJky`a6F&2$Z_e zzy{oUdECkBudHKE7Jp?GvjdGt@F4Uc7-;Nl1He1tyk_X41?t>8?V4=>z_RNrzp@Qz ze1y@$FA^wSUk4#!tniBnlS`f`{JN1I6m>%_H2mOtYN+-?M?HZvEc>6JeBBR_-QEAF z(76TezM}u_7VS1EH3XTO@`KX5fK1N<$TUwY5yk%YE*ZwD`=<*7g+Bc8dGnB^- zI*{bs^E7>xRqdJE`S^PGQ#2X%mweEpX0{bE^_%O#Y-GCZdF)`nZQV5};Vx$X7uvUP zn~c=+NyHvbRqb<(CLSdhuTEIxwq-jo@xI`eO-SywVJC9?nM=TV9VNFv81Nx4d&{kB zKfBoEi<@|;Xi4IuQnwF)QKa`8#l~sx?!gSzNpz9*i41z&Y=J8yuhYPeScG{onYR7olG1;i3{cm_1p9jGN5P z-egpU?wzmR>`j=^KLf|}!YjHzUeUZ;)SHM4Gxm0vvA_6RzT%a?oy;tH%PijQ0gxr0 z(S#7NF0JQb>r+!~mz!BR43%0yQX~zEUeUD2h1?@%)&%!$#Q1K5mcdm}%&ZHdqy+XD zrv_z5F`78Fm{}KWBgQdowm-F)Sr_D>L0V6Y{OcGy?|P@0Srf$ILd_-MTjPj&jbdh9 z5UB)dqXPmGN0F6pt!CCFF-?+Ymc9Uzu)SpF6&V>BSGkqKy}BlwBKgfp!^p+8sgj~Y z?d&1B!T8#8_OpyU4dbMN&$d>DGmJiJvQ=!nJz`!i&VMIO5gkGw>4ni#cZHFZDNB4J z6QYdxqr?N??A$NqNQ`Fc#{V`pdrJooDoX21Y5iBGA7~XxgLy zute7A$hSV(^SMo~z|`J;MS(#ap==Z>P@j-?MjRZc&Y0&GF{?z@+a=~_bk~@$5ssH2 z@t1v<(Pys{%$O%q&M=H3=vnkQb-_Fj7;LP9%VU4)f_dUcL76<<4LDJ&o^@3)PjHPf zUO^;TVox_BPF^riyg!1Gl!+F;-`Wb3uv z!(%aDU@$7Sco+M_7Uq~v1I8hA<~Vy7P(Ma)-f)g~wcAMpu`0{B%~-NG@Y%b7z9x(U zp8>3L#WLdODc(h!$c;w6-8|b#TTH7b#!ihgXBgQhw8Uv9@yR0_1v?1YUD)G#NCM9v zh~oXeC=#3c$o0+iU*oKu`6`O<(*>BRbXV@z0cX_EBK4r zNK0A=~kVF{}!&aytIV(N_6*#s}&5m+4kj*l(&HcWS@zYrKb^by>$g64Yq;Q8 z-&&>(Ti09NI4&NvW8L4n?$8G1@ldwCeISL0*LAz43m5x}4|k$q5zgLqo*yq%;*wbP z)yJ=X;MK=3zeoD`%2(q+5cx{iMlcKQ-YZ{V_FW;i=i%`17t6GhF}ZfZV?QmIwlOCB zVf7Xb&vD(M(tlX7R_#mtQGZuR?*0#c_2#9w2hULf*gnk%KD4qDk?=BuZb)sH=3@hO z%?CEDCRB$19c@I zh-{xtj*Dw7X}&#s+tb$rrbf@`;A^nNhU9Kv^7JI~lIBBm9`PTK_&@a@VUNk-~MmxT8SiWAj7N|tKMbkf^WpMDIV3yQfiQ_(%+x6dkZ4f>2IPed>Y-H0hNP5-ObmY!ReBbwSjlLxUsQ zJ0efeuSk6wf@@wQsf1Llbw%n^7X(m4A_l_|_^+Ly=u?*jTZD!QgvY}IeOc;~sbI4G zCq?KmvqHW2~r^c z*X?c>F(soHG`nH&rBLQPshmr17M3;@jR{{q{lM@s(*9n=&u|_{0^^6IV%3ToVNp<}y@LS)H_#b-L%a zWMWyam^jwvkO5_A;}Y;Nf>+o8AiQ>HzL*^`fg}O|8F+380t@1G*XwBOwrhKU5EKJaTV9 zj79(L5h^a@_^}O%Q5+_|qlp~jf#8`rHNYx50>_saX>vQnRO&C`I0+TDtIW9g z^2M`gsjx&-U@;MEPPLk4q+N zm{ROXJMVgDwvPx^Yz7dTAorP~Y#&dKlTUdf@T^Zvlh0{Sc9BwI(bzC~0xa$ppxldf zUrbW)q!-+Tf zG!i0DQy3tr`Ah~}N+h!Z=d+SeD9=tieozvMjMO>y@IGpmF81^dNK&5X^3bkb?5X=Q zEip6V%+Nubl2Cf5u4YXcC&rx_|Bc?3E?EyASu(2B4^`Zx_ z3c!X+9>vWN9QJTn0oXJJ0-yZ=IuFufCiwMG(>(9T zd}KQB7F{gq-IV)$_y6;y|K5kEE$I>se3_~OnNJyyVN)2H+7>b}XvZiSs4W1}&YTrX zx?iK*g@wCEVKF9?l6!lCjC9I2XG^+;xx%xmGNb=B}Gik zuFWuztm<^hx|ahe7&_ zu$@aP;R=`e6xLa7TO_sINXuWJ>Z2Ri!pP0g76f_JaCdh7R%P_k$IbKzfGh!Sia#Zu z&G9GQx*C6?Nl`igh;wNhsYNFValYk%RUq1Q{&Ra6;d*!J`pFqB5&lHYuX2&YOv}#` zW?BfP7c>0^40ui5Tma*aKB!$N0CKCfeaiXuYBI-0;bSGX8&}18QZuRp89k)_7d|x3o);~Vj*d^5ma)!1mO6=71m8`^qpfWOjB`dL$+Ab@xC?^EnxL1o=iDBZJ zl@o4O113vRVy&F;DQ2bAf;JFyb_5}jFB?o%fh1!MHym5^+J__y#fFuc%6T%a491PN z0oW}tD4d8*osy^&EFw|uJp9&0;cfQ;InqGaZ zG#lHxrgy6D^#=a7m8-;_>@zO8zrI539Oc_*;x64(yUz}_5i!o)lHJQ9KOWmm2Z4qgf zQg{2Ypm#4_-`MmeL1yUMQXn(gPKs7su0W9JSS7uCzqKIu#BaMKdJs6620AlvxU_P; zomQ^j`dfc|IZc^p-N=zn>6s8Ci5_NK(7~)rWbpm>?8Fg+p@p@FCJ&rtQ8FIX1TNTm zm4Jb0ZOd&JY;{ib>@b&1b10Z>d5SWzM-h|>ZisS~Q`4yXso7&#ioTox)n>JXM5b}z%|et>GY&?PnuORsZSCGQElw)QYLgw4C#pwL(e-lk|$*1 zlLtorH}=FQJxR^QIP-M1mg;j!@^5q1Li>;+4B9NiMoa%vDr*YMc8B^eEpl!+lb6Hv7_Ghw?$#@i^8q*? zcgKasrmMaV!gJ(B_UXnm&o$r)Xd>YZ9*Y`)a2!QEv-6mtpiR+NnWDy zhW0{LMrBzsColI%4kCVLs*l;hoa(b4N&dAV$Jz}@E`>;1mMneITN%R^bJ2R9NJ(B| zA{*QSJ-dPY@e7sYWgD-8+c=oiS(2CVoOIY4nV*$YGU*?L7ZAitiJfD|S@^km4Ci(f zQIEnZCEBT|&@onC@&`Ko zBoR+9*~?d6Yz6h#)vJ?b@~$8Gj`eSd@JwHE&tAHIiJ`qnd-Kn#pW?Nk38cCH~TBo)+byn1E94?xi5R5HfrYLHkh6{KS zcB%?yMIFO%H!wh*poC}sL&h*%=*rr)dQt(Z<{4`zr+Ze3Z1H4msmA$KIbGygn0-4E z6J4;*X94T9r+C7pzF?j6UFu8Yl4~BOVQ(2mYSvFyKSHs(XY@ci^R|NNI+Wo=*IsQJ z(~f)ULhI$ve&k1fZA^nw;JGifK6>9lOmdG)Aerg=^YIi&)%Yh1`IXck(pX!?p^&Ke?a+Y1*GW0nW$ z#hf*aPKN6>COp&?OV%(t8Gv)30)ehKmx!q0h>o&`zSB5y=HPQ04nb)Z>!4 zd+;6@YT`ciRelonxa8L7TOHS6>Gx~qtZqYZkq5<)(0uku_kck|1Rb*`L8;02#$~0s zSr?X=b1>3%QTDVh|8W72LAcKm4?nBy%_Af|emp_ys=)suNV?2}y2W`=&r78qMr6pd z&XJNb0qRn`b}3%-{(|?E)28)*BX>XhJ%9X%Kj+PFX+xhB$M%Tab8>q$Fo*R4pBSYD zefBP=D~)7!BIkyqJR8WIZ>7rxVdmy#{JYn|s*qNslUDCMQe}sJu`f)pki7xCoYn zDe6K{a6vP^N40)0nG>cqxSQDWipIIFnfb&hI?+LqK%s$2bdve*9D!8;G-hHKe|Wt2K}gs$ zb~au~LUIk+z*EKld-noXwCixHLy0o5$x6!%Y<5E@X@>FmBqWs2S3Lmvkwzc}F#2>u zC!cnR5w17)%)<~%%znt%&lf&lzd&;B`gImo+tdcGt)d>Ad@bKNz45={M}N()d{5-j z^vxX_gEVWTr-x*9gLjt`0AV#yWzp;StZkyWUPvMv{F?yVhq@z14BeQ zrId|<5qGHP@O3)DYz)xXlG8q@xHqTSGmTY?iV#Dk<02)G=Bg7f6jeMGH^S8Jq^(v{&!4^ z#=n_9bvIL|u6H@LxZb`Z^gLFC5=Aw)LSu1i(Y7WC!T|g^>aoSzCXs}oXj>D+aCEIu zHZ@jGE!x%vcdT_LVPe5?>1vPVm&4Dau6MggG@;@}ZWr`JJ4tc9O%k0dthdx2MFrjW zR&DEN7di)P4se?kJ@oH0Q-?l6ErDv&M~~a53a33nk0%#tpFHJ;Nvu`5hW3(AuIT)4 zw_7%Cac{^-(e_VHSa>u-HNd%VN;Ytn>Z;WL@}{MS`f7&^FrI!qSt}o}<3BJY(^Gx5 z#f%%!SMX@9mt^;pCq@Pb*nwBd!Qq-4L{7bAdakdw+RK|~KMvPS5BAk|1JqTn6D*^_ zn(4{DiXzq3a@W7l;%@qN9`SYxX$bBXjehw?;)%K>RFF;rLip(wrTT>8_mnxBO;U$4 z<0V*c2zQJ7>aUATZFEUAZeW!v9&IGq2WQXsC|;K>`U;fmoc9u75UV}9I9{k7UA_HK4a94_IZY%%^7lWq5H6SHKKzI*TxTTK{1J~v(1Hhrm)z4bCSa?|`W zii6fhx3=`E(~y8@0K#nsrV0A+j%(y*uTFq?&gxl?-rwhLUwYHO8C}CHeMg0jpI*1% z>g~3)D=U7mCJD9+f>gpT2sm-Cf|%H#L3s%BQE7r`!70ezez`KVFa-HbxFGevn6y2b zU8=tng1j#-h`ox|A>Ye{BlJ!P@{YOSVYMJQ%t^t-bhF^3p`cTeoRzMTOmlVGhim*D z|7ZUz^4XHx zp;jcJvs-aKV}2E@UIDXG-&ynyhJvYrS+Af$lp=1RdK`MeqE}X&RtYVzhqJ1Po8OyU zOU@fAmETYoX#C$RpsZJz&k;?9FJrl+Sdq5Kzct7n9Ic6QrYjIe%a@t2fI3ZNJjm`} zb235o$um5{t=B%jEiXqNVUO4suW438ol}U2tV}>dx}i8R60v19RGk>U_S>YzsMYRs zU=7nFS&Nd>QJiSeB>DPB6i?Da^6R)BdI%+cpPJ^L^5fKSmp1taR+=P+;HWX^we_Xu z`*v!+f9lKM_NTu%vR29)c8lj+_}vb}kBJX~KL?9OLuR|olXk(AZFNOoSr^5VjV2|! zGQnM>|nMygM~LX+L$Fgt}WUK~bpJNQ>75eG)1<{tr4*(&D*bOhQG;Tde?i*E>ad z`IiB~11WbFp0N`PCRlveCW($V0yXoS z^|w*XOOt$xKEchJ^MiW*OT$FFx(A6J%)&o05zlN*jBVAUp1Ib+ZvFi)ynx;;U%FTF z^-g|3hdv4ICHVmbwjfg!-7C+JIPAf`tB7OX^1TgX#PJ<}@oN`f6Z%&XcbE83l3YO>{xv7n)1LHQ2x}+XWq%&@Uv!(5s8V4(J0!`wQNl^H?a4 zMbspMX!zR+ivIO;Bg8?5fxtXMv;K!v)_~`+HcQQo;np(4U%pPsV_9AfYXWELe<9Jo zesoW?L<{)A+4KEDPLS9YBtaN~kxFY$hGnWmJ!xQnkvbSv z+M&%YaZpBW$6i<9ZCWUI<^Obb^mexonPQ+TrC-L!R>klf)lhGYG9Xr(le_9n5s9_HL_!xW1E6yxZ-NtP+}{k-fH6 zx|LhL(zMR?-OBT-vlR_|947RDW5{2mQuOZy8G0s=A$yR|RWlc4=>EC^&bvjus=gpY zw}TA*?C<)_@A+4zbF54D#giN>$~fqwNBW@VSUqVnkz?I$R-D9v6vt|5blq5y=FSrm z2OucIhXYhX&R#in&av9WLF7Wz=`Vsg7XoX?amf@s8co?xJ?Tp~v!KT0ra4wU`kBEs z+-8|AOV08o$687uP}@;J4cQJ9VDF%cgXp?A%HZ!w&hrR>w-4%`T&S33{eWdku-uI{rJ|+|YMxA+j?%Lw&l0wZXW9pFvok~hI zw2Y=2TEUgzSxL>@FoinL3mcF%E{^iWQGOYn&G=xvv8%v8{PTXrcYRZ|lF^zLPoY|c z3sZyarwE1w8bqq2Y`Yv60<8~eaMY}L;op9No-%@Z>n;ciMr?wp1m+Y7tcWI-29GyE z!G%mPDg@!z_JU&WTo807m^-WpR-z_6;~K@>nc!}Z_R#`KZSZxvYZPPQw&seU z9pEdS?#@hu?!s@b5WZjM5-Dz}lt6)jvY?V=zCB2+Fpfda1{v(Z*~|UGD0s=P!+AjA zc)jD24)bpWj-n-Fwrot=NtQe0L@}lZ>Y9^&2F{Mnz8LUn}{+;?e6oA!bR$hY1P>LW79hyL5}VTcBj`YiUz0W|ep ze8nv*b7>rROBCIAf*IrsuJs6Pm_fenlEwWn;addOYHs7y8RToFz92}m-nO8D^j+FD zHumfZQHgzckH=i+qQMbwr$(C zZQFSBy^YI-kzInRRJUfrn4xTMkU-Ch1Mj z!KG>MD#TShGwRO0%HK)8L8K_wj0?O^>!YWC%IzleGDl(G6%=ADf5M4jE&64M`{Vgr z2ZUk}D773H#ujZ$DbS}61W*>V&q%Xz^Gmz((TeJRTca1NLE1korS^G;??+u8yP~z=p&@~GvKr_ z;`ZcT|0u}0I%aRTd_!p7ko1iu z>wWc3h?5ST=FcEmd;u}nSec*TnlIFy=RLE$>XkDTxGlrzkVyd>BcP4HdF{{v z*&&Q7haS1^srM8@ado-Sc<32brzi*o6yYU zi2lI+A=N=KGy!=sgslRg`B2xwWNGEc~a0wr*sGL319t<+dLeAW?=N3oknhTB+dR|IrN-JS-N`IG* zPE|T|X4vMwILrSvwVHj)4_!xY7n@di|B*+KZ$}~~B`4S6qEJO?b~t5vMK)bR>#rwj z`WF*w6XFmt?!?%oky0P3IsbPyxTqPiz3o)Uydy5Q#71UB8c`)Phj&BDx`blF%S$y| zDgs_4>&8N8v1qPWcFCyR3$MxzvvfpS#lu&ztSkc(+9h1-hK$Plr|0{r#}3s*bL|Q5 zAJz(<%c$m=y%$j>eHIJtC?oUMueRR$x)JoV>eUruUZz7|g`QC0TMX*iU{^{>8BNAS6Av;Au)Nt3Uk5whl zeL7khK|AMf_E4yF3bDUr5Ec^cMqs1>T+cO?5bS3%!_f)0yq2vHvtHDR*OXV9mBZA) z;~eMOA^NAI-V^k$-V93C`!3b<~H+4B&M!H{YbyhW*4Kc6Gr2hbmcsSTv8%M#Z7q!V- zM}yH&+XoYc0s6929j10Z%)X9jI4*1pY~R|2TQ18`o60oJHM|)EBRL<9Pm&4OIwNeb zYL1iUt698MISLv&2$MqTu1=V6#9Ttn-85iMoro`scL(n=c|@l#HoU!8@FE}ROcN6l zaQ0stk@=KYU^;!hxNY3~mhGWoX;}{*z|vRK--7QAWznN9Ux)F7IB)sk_NZ8Q);n9C zk+Vw&baTtYOdUwRoUtV0`>H%fp^N=Wnc!hFtQ2d0;e&ZwW50@sicK%{{(Q`05;?8+ zXfy0aGfnc1JsJS#;F@?LN05b~moz_fQbdG_vXX;t@Wdwo`1v`Rz@FNy2zpiromB zo2orAxbWU8HACto?vv@HR+3N=PknzN`~1Kc0;~x_L=W=3%;a-?*S6R!2ZluwBT(#@GY!qc#Sg$ATKnM1e=+m5v4id7m+-(F zXs+^g>dCLFwJ^#6Aq$rqM37-(NdQxrcZXVV!&`p8tAQf;4oFwZ9J{OGw<5eOgII{a z8&Jq8?F3$bm;0UN;|JZ`{lciV;IfBx2HvXw*o9yAlZQVGBCmQ8hQFIYKJHwpmnbbp zY|G-X)LD4duPWtqlCUg<%RxwmYpYIObNr5F_s12?R;0yp6(#;xPUe8IcqO;pi-D^q z&oB)=R&oEZI(}!H#DPnu!<5>R)AwkyxO-Tvh8b3M$d1ifXfqAr4$=QE?OJz=@Ac%3 zuaI#&4vqi5`!A8X?vBD`3e(Z+!mY}@I9$uA?$p(2u7)k=YbpoFt!g_ePgIYQ;4gUX zLZVf_g1l_Kc~yV&>A(`^c_CQbbb=yQZ}PHbMmA1zmAaEcGDve~wsC_9HKR}3m?Rs` z9Uuq;=NQ=4bdtH#VCL}k1WF{_Z6X3>CB5RZuF`mDC-ADlSjfoW;B#dNRklpsX$R@C z%y@do64a`ALS|I|kV`WnUQMFtN~8oZT83x~e4F^nP`+#L1$ICp%M2LId^vL`zioZMxS~cp| zz-#gMBI>Kij0;uim4|Iwqk0`M-<*jWX&P0l8 zUTfiv$TPs{&K6orDK_z6a29h=dAj_jj2?aU!NouxMByx28~~J0E`4R-xXH7$-?0MY zYApM92E6?ZC_-0YE;bqt#`o){^X;RXNr=K*FNCi@;ws3%Hy%6dgt&39+eQlorK#$~ z?9E`ccHd?OF?8sHf-gW04(BE(hb3eZK-4J!wFQRnJYq;(Jy3&+4@lc@TMixHAX-kC zs1+wcuOikjZ^ZD89s8mKZKwSmV)Su;bN<>V4*A*(Bu?SzFcP<2Z?R_K4T77^F>s~< zpSUu%o$P)M(PO9AJ0vppR_qz^c-Z!N z{}&!Hy4(0z?m4XS9wC>3v54t@GUHhYRP_3w<$+~@Ix7+ce#xxuM?n}$9j+I{+!k_m z4UBQI;z{en#w|N4fjbP-PL*RIuLMZNwrd`j%1d5vA123cN8(v`s`qpa-rU@aPvoLDCRAUGUvH}UYXcd5&&)h*3mEM4mc zS_nD=3?T_qYOJRa!ev21?>G6l#-2oWd+`8g+M16b_nuN!%*D&chQ( zxJN7!${3Omac7E0Q9?g(o}ZoSga<6+T^sSr~CH zRxBXK5T$nOW*>w*Dw2m~-?}XTB7UQp)ePZBir&fG<8L!E`=zTu8a0iWIi59(Eg2tl`q`;pt2=bAW*%;nn zsd(>b8_}Oz&TSd?bq&b!v|3X}smf&x-M930x3B`bweHrY3WY+qytxk2H6~!0&_5camMz)%}~VCTFU5NY#_%jXPYuD zJ{_T;gGHQuuQKJN+E*)1gx< znYR(V&n4^tn!=$XenqHg(uF-%v(5^xH0#DmO@Ph~41*yOu9OlwXQoS4K+?HDpTAhKC*29eP)}RO@y}+(o89%I;~GGrPv7)@r!QC6Y^*8XDMgjmgwu_o%I$}!y!RHN`}4YQd_ z-fED&y7{fw;GnTE_@qX~QGn=qsmMwDp#jBQb-5~gOYl_K(G_$B7ILw7@6PXVi z_p|aAYODjPMqWK3fMd&cATL#2;tPIBMxE93odU z`rnt|Iq@}`StXY$Di$J+9~Rx=g?^vTUV>zQ9)7p^{d&A#Gsn>X9PvNjRRs;9kPF23 zf&r*Bt%aHmLGFUpltIC9Srk~|C&4}UJeT(&6m~bzieqz-*aMc9RAb=b!3l(_NOImV zXlf?b)ET z?Szn^-S|E-kuVNZ=C}3lay@*7+eeH-z)WY`A{6TpQ^L(c$-=5fso)XqZG8;P4O3pn z;o)nWc8fB~A?kVCJ$uBibBOX#Y^?>mu1s$aQ}(00z$YZ}w;D$&4{j#(rN4nj*hffz z>K|Ro|Lq!t>(f#5WC7<`Al`J~o!-JtS9DxB@O2J7Ff|Oh%-ICMN=yPjg>>OdUr#GM zqu3ewb8v)$W5#Q8xW7ZeH>p(E$YWQ${-))|HP(f{80&0+r2?6?;jo^X&EW^ouG>~^vZCyfhjSNdn%3Dd6}R_t>9|Q9v;UB6GMd} z>SpP`0!ZNY1qTvntr2ElfDC~8<(@q4Ho%N7>=oFzlbN)RrWkZ?RAImYRNG%AakIf+ zf((2MBao@Dv-aNP<7@b29~SHpDPrX}9`d$scNxo7<0hAeEC=Cw)#x%^@*hXw^@S=( z!eLzfB97B|4}a{lBnF}da>m35oSWUt6E-F-<=#;&3IkE9HkR?IqRrtdt{8Hqj{hh= z)7#vdx}zA(9VB)D{l9T8@0D=ju6-vE8EOBJ)N|tN51y~jGGJ7y&cjXi^TZ^N#1g0l=~4v-8v@pX0U|BpwNtR36Bo$yw2%EmeN0_Tl)m$1AbYBd zVoVqo?5&^K+!u;dB72V7opMjsE+mQlXLwoU6W8Vkp~h~TwVs>yovXnwR_#qy2p~3~ z`H?DIN3z>B$IGvpvb$a6LbbcogZD%|_sfg#Zd+MW?B)Eq3`TPNlsFFgwuZaiGMHjeDjNSTXsHw)RJtV$M>!#8LYEg=7B&YTm|ZLa}Wq8 z?l(h+FqEWyL0U}f>R!V#vQ(ym)#>I*f6EA_2-+UB*{+hsgR8zs%9Xi(f!Qq zXnc2Zryz8-zXr1z4G|ED`DJO`*~Yp+=Q@b;<_g67HRo;OBj?jO@$hiiuzzti`xTjw z$EM$)Ang!8KlW@;0rKSQ7+@ff2t2-E?zI{UuBjT44jrg@ z9}3CyvV@+e(nuMerbq{*s_Dc0W1jq>GQP;EQua_S?S)m|NfS+oHHB-dmXp&FBc@JI zK0@`(dk^~8Gh9AFOYx4xef!*}vu6;w$|Qlb@0iG@E7`s_$q5qerd;DK?apVQ?=d(L zavs^*n*H@*0JxnGvqi?n6`y1@+H9A`1|$F=_d6X_Oln zl_z#NGWD?;AoHv<$DB(v)!2R=HTQH6>-(~KW3AR@^f@|pvYFrmePOp9f@ch%!rY8i z9lg7D!3+>FYGWWCOAb=AMB-vjW`g$%#3hP!jLAPNc^vZUSQq;dk_W_!|2@^P?FaLPH`UR7q}%j~4z$7jMUQ(yB;>JZwNS zJOU9Z1wa?&f^Ks;O$IX&#yiZnZq9}7qs)`Dqg&Z458Jl)(v?MNexmeS603#VH8E`s8op`0h zZ)?*U5UGAQi{Qo!S;Ud9YD{27cuHg>Lghl%u1nvXhnjYc>i67zv0XZUIlF5(mkyn# zun;PSRJ5vttS3@TH>+2%!)$!X2&;LyEq z9Xa38*C&qWFrOmPnb^cN8J2pES(}P`C!H$ZbM5y3)pnfsyr%GdYQ{pe;afsN-kYB- z$4z!}K4LBi1myxfL+5WJh+NC*DQgX&WY>&#e}kC_OOIyY2lzt(mJi?{82IPf_Q>i% z_6Y>pSyWl>@U-YvYEXjfApIr~CN_&v(+PIPPW<&f7YHIbGC9-JfAv1*L?Fz>BFGA^YvR+z)0wsUZCWeBa*E%wk{~Aui%Wgrkdsh zVc{!|Q#sX5m@B>Vgh)+98+^o=)!= zYzX{xqkiSA1aJ~kW7OOK|Naxhl!eGV3yeLlC*4=rJ4mGB7DHX7n^s&97G@688C;mw zndwiH?Cn)yAYhd&koCsnf53Ugu_db1!N=ljNyM?MI&Rg~6AEDAqR~2HZDPR=S5^B2 zN9TqCbsA9c<3HVCVWk@V@2p?lSF3`T_@5?{%m%>P6qVAIisQ_>CB5%Uo5)TuP+47L z1yG7epJ?I9*C|jp?h5Nf@p=8Trb1hP3p68Gh;eP4Fgj+uJUqz$-RG!IAc_E72SSl8 zS8Q@#{l_{X2!&;AAd5B(`){+tT6gFc4`y)zL@PnY;9d;JeH_J3O<=kSmjlhLr(p9h2AoV|z48gz#h5$B^bM88BO?V^A8tl&UqdG87h}=W ztgaXq3SJOlU5a6qxA#fbL(Lvr?8Mc!y^d@YgH;8zU-8PDzaihS@5=~=88hF(KX79^ z`Vh{=q2D-jOQTP!{&8!fbYF#C(&g&08o5)-Ld$q}<1Bf|TTBlYkM7D)#ky_iUiWj^ zfAC>jjnq1O@CnIijcL9QNpG-53u=UoG7r=b;FH5xcK)zCpI~)pP$QpbFnyvC4?R8k z9!2+FV;SM`hq205Bl?OG%S~is(i9<{CCDG1O;>FI02Sy9m?i7KKk!kA)0ztdh4u$v z%GEC&fn&m|djeZM?0X6Dy8BuL*Df>!w=|vPbYYO*iQN11VpwS}`v6&AfH17fccdfR zojAuFH7B%a;bvc9%{!HvbTRM_J<>@r2tASs+)Bq=8cIB5!EU2=tkPWVD#RU|jQ%8X z7tHFwT^W!6-D&IXj#-K5{4NjjnVX%AARzvvUMXN|8Lkd!bCs-Y;825V#?%TbW8Yu_~-x z8W>wnUqC4&;MK^qT5X-D{dYF$7`3b1Fq6|PhPtAaFT@}ZrGsy8{?=cQYY|oUWwS_vlFs1}tF7 zHMqK6jd>n+r=Q*eX-JrQTXZ4^M?2I0FgSZJSyZ@Af;gomlUsiFj>vYm-6HHjkrx{4 z+PRn*^B1y1R9p=&G2EA#^w3~145|I`hQ2ppHx_a=?y4{#C=8QILO)JHP^gHzN5mu> z52G|q+UEA#A@IRQv8J3pum36tO7HnL_WROR7SRz-e_xW5%0h@1c<)p(`&=^ft=7*K zmcpuy%)Lh6M6p~H4BilwQd|`1*GZ(!arZo|o7E54uq_FKlxc~=s)3tXlb&$s_Ej65z+`da{QX>=K8H(|Qq@FxasAICk@432V z-0;-tMZ4LMYw&f;qZ2k5VgWNJ-M^T%DVj%UAMUmrQP?{i&4y7EAfCJH6axPCq8QC3 zijZ4KM-I+L<6Jnq_XRL|`uQf+$MoU#G&9ggtijU;F1?d<3F zz}L9EaCf$qJ5yv`G>z0ol|r7O$6r5RjDtfWVP;u#PBba);17ze9{*Uip$|n_{u<~p zdoQWurbq80*{Z34yO~H{wO}UKNEMDTIUk57nxls@AUN}JC|OsoD+FKY_QlqWGGotF z0`O(d9lkhAWHquZ@H2spjyQ0J8GO93eo( zmJT96PtF_oWAa$?_s$GxJOs==En)b509o_@ZT~Xk{|H+#ehFSs3n?sSNE1Ef>0w7n z2Sm-L(s4?66)E(NMrPR*+#?bC-2RXNKs>KFZ_=Ya2q|u~H*_N*R^Bqnm*CRLI@;8g z4UT9oWd2+x{_Mhc@se8qU5&8hND|9qh{uY7wFIcendKdxPhtBvl<-T6t`VVrg(u*^ zHgANC06w9n19Ogun^d3_SzsprTN--}M?|U4(v`1C*NEta7oi z-<3fyvUE`hM$y&;uTxjV;oyIYptU1#6XBOHG7SEG0NtrqDe>w0SJ{$3^BC@E-#)TX zoz{{nP1&MRA`j6AEk6QALtssTq*mgfJe(5@{}CW1j-!M#x({KQcb#$^rO7mdOtOU` z|3{&ZYU&^pWxGKV4HboI=!&Vb(@(F_qBFq8YN%=P`*_y~;%c$&H09A7=h#GOJsD2i zqO67i0rH74g-11g!mw%6tYR$9wEX!Er=%tkWm-Fw%ZWyG80lFS65aiSNQfvH`zFCa zzWl4mBL8UZq@6@K%IjoV_>rfAfB2@}3vSQBj1NbgH7qQ6xOS_|u4n?7XXCaZqA-~+ zR%~?2$mQHf0f-lCJhoLD>3gzs04IUX)^;6J2=q!+&FKQ57TB&QeJb$&aI&DC?bpG< z#GxNY`E$!7#6_8-KolQ^Rbv=~YfG-B#{3E?ff!L{Ncfjdb6}Jk-I0K$i(BunIf9U> zWtJiOln3}a1K6532cMR7c{enX%&%7t6Csf`YPIUy-Vf}j>iLF^tLC4Ut96dXgoB3ih98oc*f()0NIOmH^!8cA+6{Uw>0jqwf!Ersy6{o3+9SR#X7lHXLKpfF<=Yy`_a0AjNF4xxu92xWf}zONF5mq2GCYcA@+ z-MwVt{|ZShhh9>xjxN24B}>G`!Ifc-aNUUwo57ybU&Y?LJ)|IqRzjypPL!Y@j2g&i zt~8L))ZRm0bqx<%6s{9N+ftsguOdSD43p2{JavMBc*CrlS#JGx8}{E*t6Jur?9ctH z**e=$)1i{Z;eGV(xoW~hc0uzFi#S-dyRQ@7{ZjT9A;2sy1+7&+9}Wo^Jxptc-)gpS&s$^-NYMnVICxEH62=yq^DCX8 zH!^u!;;FjS$s`?)sP_zg$>9qogjaf@3c)w`nVFS4-*X!+d>%}GBDeAf$Y$>LZ#~n` z&KV|9p2LFB&UV7{4c?&Xp|FKuhQ*ZdKWT(%c-IxY#g+ql!r5+tWwT#Z#1f|9LP+)Z zPV{})SELIE-k_u*+0NR?*@^8xXP0Mh-Rm`s3|cEZaGImxBZsD@`1*v^moDINVl=U- zGTmkg3BM~^KQfQ60g5S1Z6x^$zUI`h;WrrPsYkzpGsqGJF{c|RjL?qS40s+MhnC(zVo-X zte6xNiZeGSV$PB_i4{xGDq*P_#4Fqc`cN^dFCVME_>$||HiNMa#bU1?*%YP3E2mBtVabynU27@FbXZgaKK_=2 z*XorBK3!VjrewVd_MPWXvNNeyztLgb+!$*WHntV3Df0|(UA1?7mQGTfoJfwJ&@&rD0z$!l#-BlIirID;@BF2;2$Lm?fze{}q8d_$ zt`qvDeetEEWME8(!c8ToF+Wg`>+x!%Wbllno!E8zOc6r< zN!D>^+lSzn-#Zi5c2e#^)DV{jM85H?%64JY z%T&3-hR<6ae_mJRW`O{(Q~;fAl_mdl8t{727SZjSGOsez*!B?IRnv$vFkx!W2Dv$r>yM!Yq6|9!CltVFAx!TU4yu#z^BB>jf(;*5@ z-X%vCQ!rSzorW{gH0i@)s0qU^lp}X@2!ENb@`RY7#{jx(eObThT?Ui zpF$tS%yg(49pYK8R!t7p;a#e9#DM#-_lVz2!_oMX{s+_z6|LvBQ)=MSTWve&{#1&R zE397Om%k!=tdc0C68n+Fsqdtsdu_&(O+%}a!P-_SMiF$Fj@F94g|`w3NhNFDoGj!^ zv`X$D2fJ+Lc`qA*l^MNdT0yd3uxj_YKj{=r%d9Q>15Z0$n*=JF5<7x0qb#MgI;P#i z`luHeAWHLKJG?tYwU(^JJ)(8)U1tqb0TjwhCo8W5?GNkM5{rEn++f<8*W*8Bt8LYF zc%bE}-fq53IQR1M&+<+?iC^Z;*S&TebnBjz6j%W-&N2W{l*OREh(QdPaQZGqxHK?* z8ks5tA{5|ogTLi312_b73Bc-cBd`^@*LR8!6m_++*v-}P!@{jf4(z+ul_D|-Czh&7UzcJEjr!aNtdH<@3pRA`s_gsFz{&g83w^6h$ zp@)^TDd`(a`7XHmdhz{2f#o^RZ^9}ZB;jlo){LQr+tWIq{UA~g==gKp*`LYe@519! z)`j4IVb;bhu@Ts3#@PyNz7b}2IsjUrC6^W0QECWA3jSONal8vxycUtFHUbFD3+_tm z>#>>FA=P3Cd7YoKnv1?)XbqQ$wWk>wvk0Zx$>!;&$@Ji8sa1b;d{UczXC5Q-4^OEH z(T}D4xyZ(Dd@5H5mYI6iZ2b@$>k(e0haF3dmp&V7R~cQ|!?)OJo3=f5zQdgH-nr*H z<@*~yiY4I>4VTq!QS@>vlpO0Y#=vb~*7N*uw-71;iR{@PfLRkVit_p2e+2$Sbio8r zDy;J{sG#JmeP1!Jz;^Sz=L{dHLrLwsKJwYHY9OY$+)b%U=-U16iH*>&3SVXabs=V| zB5vYD8=$OE6fc7G%^c$pN*=0n;00Jn=^)KjWn*g>{)$C17fJjREwW)LdET*($kBDo zOLCz|1z-@cGmE~_sZh%S*f}Z$>cJ+{mRTRJASI1~|5L)90H8tpknFB1Ic`>I*vuLf z71Y9GGZas(*&d3OzQjSCM`zmr`ZS+Xd5If%w}RfCz1!*1|Ggmq0Q%yf;=9|IKj_o( zG=hC##+wvp34QYBHw+p&*)8Lx=><^%%niOsl&9b@0M^gJLhF4(BZAWR7ZOQLO$eOd z-nSi{L3XRX)eqoiVE@z7Vn>94!-2t^Tr%Xy%3bGWi=#pHq2;r&^EGFiVNo66b2{0D zd`v1GZ(AdbiHqk|4js990>)AQH>vUzSk`DL3f#8InuyZzqOYus{j&B%KpVga1q+N9 zzLHm{GIdVOZg>_D)B?jB0PNHn40}xy3=26x8pL6%<=AMigm107Xt$62y-9|OT@}@6 z=%;LMzhTBGFN(RJTuAt_Z{RM;wkvrHxi$fb)$ z(z;GhvczoVr5DVAIsR~5Gd5O^H@EXCYuOmBN44!9+po>=OvD_#jlD zsNUu5J~y_AO`+gR zQ6^h>;j)dTs7EuH5~D^q;E6eI{^}rznKXoM$zT*1UVuh;-yP5fdL_Z5H`b%$xRh0g z-bEY)$!?{fb)|X|hGvR{_ipoB2Vv?zjA1!H6dH>;aQR?;MZ>s;vGvi;vXvB)BS9xx zc(M9ozu|T{NxbC+sZiA8p!2nB4jzBSLsf7`1oNV5mY+x{>@bBST@eBM*OF1g7 zauQWfW&lb>Q;nmu__gRpUwgh!jQCvTh5nJ6Qe%ty=fi@;rV<{hJt3F0vIZT6x#v9Zv@|Mh!^y7Fla!RMEd1pX4CL-s$btac zRZL!0F^gYoLG#u|bnT^f&H-Gn^vT(%qoIw)7PEf1D9ZB{zSn`7uPcGWKQmtGN z+BA(2+b}R%H1dt=ez9Nz9A?485tA)t9?#fida8MXI~JO1u2KJtqbznSONFO8YOpO@Pz|HbcNnd=YIy#W^PDZT`Aer% zJmdnCmaDLCKGJzW6|>`}qxMu+7*J@QTA3X^0DF3_lRNG8l6M=!UDG=<{Mt+$pC<(M zWRZNHcpuk%-L;4zHUJsmWpHwAwZ9l{j#h_el0x3Nu_FQQW6S)7lOqEug;+0>Cj~LP z&)6*s0+97V=J|(^JLW3LLcgML9L8mN0ambs90T{;Au>uDhdM5`%3eiu-(TCjLyqwF zWgoW1%=W-4>;ThI!hf7lQupEI>Q}ji(Xpa%g6Otk5KuG{WyL1UyR1vLp`%qytxqS- z#?@OuzOnGw*2%yhdKV+_vlX52LXmj?>3}mbaOQSGAQ?f!itKW+ma42c*_T zy&>9d=FwY&P|$F}LXvV?JeBxg)qv$$Log!D~iuDT9Q?qJ`=;+2i>@b7u_a;3lN}s~9#~YDAuw4H@U~YXsCq@d2gPWRI!R|W|)8ETe&J<+) z%RM5>&%+@Z_BMvl=C5;!qKZ7COuwxR-I+R@z|~IMZ-E78e%X+ZcEK`W83OMdB2uWF za7+>H=`nMpU~(gvMUBFByiQ=cJ&k`;cC7*;k|V?uc5vnJhElX~g2{P@N#y6M@9mP^ zU9edmVS3Ok{~qqhJ&7~Yy%=i7ZnuQL_+M|nyInhx6nfTM_^!p365ixCmubPAobeoD zXpD+M*KwWWMs>pMAWbBC|IN7G>3KQu8<=*p*ZU5sjke1$BUny5YN( z6@nzJ25dy!h6O+_1M^Xkd0R%*{L*p>#C%ISIcD9GLchf z;=`LLy|JQuQ2ykIgCrR%43e~{s#giDw7p*kwCvz-+ngK!%D--(I}FZyZq&TzM!Hxp ztKY$GT(_FwkCAR&2$X}{lDclg_>*%XV+pM;zDtfcYZiWVi~YC9SY|yVap{^Lzpc(XZ?6rdZ-$e7 z&VKG~y+(H6waP_+T8gF}nbIjwEo#-Urq-%sh1#*+U(Kk|oRG2OCd{P2v~JL-X%#o< zdl}FM(09_C8zC+7kyscS75@}q2LxBUq-zduHr1>l`3YNK?(a{Mg7o(O;mz#@)KmD# zoR*UxSrOTW6~#*uDa%{;O37?I#Ogc-(N4dp;s%!usuDVYOt7NbGj1X`!@#jOe}JyH zzj2u;rki(#>9#Gu4X$^|tL%F1w-mz7&$;zi!|(aTo6JpEQ_cKa^lF^J%Zj5dTAnEZ zyqyr6YOusJ0%Q||Pn}r(sGjD#6ytpq41biTM(;%d-?iV(_E>(I&in|aCq3j9zM|{% zxlQl3$E$}?5@(|Xu0pH0w_`qjbs!T%DU^FBx)n=Wz1v&)-U1rLbj0AxWn z>$PdfWWRzTnroS{XD)kA*gEVKDW{NYi7XQ8Rb_ymK}0s&1SwYXkC+U_l^V+FDqwd6 zAYLpP`0ul3u5k+dipYPdP)IS4^54x zL0R(}omMa#^;t5^Vb6Id$x$zA84V7ZVe@65>s07_evRLBkrkUNve1~02~jq(<;HX4 z!xBn_Wq%?{P(`D&P3p4*mb+EJbDhT&CC#PyatP=I(|pF;#o2(TIJwx+X?d57okaP1 zdg<(a#`s5yKe-gWXfZ{u(#ifY_nR(?V+36{ru<|6>lm{CZyeK;mki~`Z<^Q0oyzjY z<#%OQvm)vJy5M_{?enw4ANbxo7K|BvUJB1u4>EC+LW zgRq8#Mp)k&7ooTT3D-kp2X-+(j@Un*{fpH^eWu)VH?F1C0%v){C^_PH?9p!)IRD;r z(dk*)3eb}G;Z9WqHFX8`^iT_m z6Q{7ZD2ZBA?jn&8=R1-lv6W+(SNJ3jOvpPcOw5FIr6;GVR33JaZ4dS5adPg)(RjKJ zFiUCae$)!&HIA&Pw2FNIqSoVcJ|IvT;SaXo$b0~?hW}%P@Ks4y2j_Qlskf&&+2eim z()aIPck#Q#>$mz6_JViHuKIp-@bBudBZwsUR5uA*-M#JP+d84^A0m#!PEocTL3Jr) zHci7Q4HQDA>9dYzrJ004jKJD6B^sy)$=T`~V>@ULCmvnFh&;NWOl1@Sp)w1f$< z_7aHDf!gv$lWzXcaitQE)v6T>>_p>kL2ld2sGY2AZ=wqMa&Iab)%N0d>u}>lw+o7Bbb_l-T1@@5vJkZ0G_34V&Qq_;aV-5wOV{B%erF2 z9yB9?7Om&vBDC$??jEQJLsvIoDXf6oQuNgOGOCGu!IF_Qk`VE-cCb-f6n_9AmAVX) zO*20h4o(Nott2OD+EsrmiC`!AJcP|+kF-Q6<)xWfdAO{^;RKBKUqR$%XB%F8 zWsK5#>dnaY#ER|&#P<&%OhlW<510TmG@Ex9zUL`kN6_)^s^II)HOF#+aT5&L3jL!B z@i+=OI8+IHF@uIcA_Q1Na$vnl{B1c{RgxS?F$(>5rD6IOx@UEo0W2_mb$LXX*S(!a zL=^}kn8v>6{f0uUdAY!+7RLW_?4v2s@^$Bn@iyw>i2@qeM6)p{naak~)6MZ!^h6m! zTt|Bhtjez+7``EaK3vpKsZj4N2DB|pCwc)J;oB(5(8qsu5Jk3sa_ z=d;uX2;&u%EW9MOU_rQ?NIGHiczm{4&Tzd9%_bk5GrMr6KGHP^?F~3})aB@V?(^Gj zWOQ0${UWqNOo@nPWyI%a2V-6t@ju2VVjacak4EC@d8y| z>iHjdd)}97n`g|o`0Y3>5^#Q_Kaz*JQqp?W} zmZ}q&t1%d^)Wm2WSZ&v+OdCp*ol)#(3_mw3?N?<#$i+`a@}m%9m*p1f*qoHI}oC;m+!xs`S1V!hywxsdpZ&!-s&&3E6gYL z_sgHdmCng(kV2N7xCjtrS*KUr*8nwl&medgl){2=rlYZ?q@KTL6ZF&9e2+LqX^SM1 z_)Q$eV89`32FDX1|F6ZJW&kH>te&b)PYu?C;k@@+oe<22*B5yXV(#ue1UzjOa5=24 zhBC21vcfcs>9^%Ep)JALqOO}V=q29_n}28*BtQeMkg;8YNszw~S}K`TC8SJgsVkix*1`2_^T2U4tNLd%a$kjq<(%(go`cH=$~ zOWMQ#o%7jN^KRYeevf{kb3-DNt2KI0cbCA#(ANldh@RTgs3XcNWY=<9r zaE|B)vOuR|La?N+)veCBNK6L^eO|^M`d9A_@f@*a+p(BlEhym>RG1e?7erVkjek}Z zOKh-Am{$m)DG|w5Kkj|(K9a2mz9@cKo%fH-c|1P_8I|(CJb-?pPLi?SK{o%6dvFxV zNu+b1`$D`oXlsB1A%~t#xb9YttZuSV{X=j8Bw`Vk`TGWWDkTg0C7yxDhVt=24yC>| zZ%B`+(ojz*E|mvfXXxjsh!L)DbjF#Y$9-5W55^Q-3){&cGJNHxpVNw->z41}w0J~k@UF?blBf*Z6FG?-Bk zBNZz3xAVi`TzvsDi~=Ra`#))yzh3V80MTXlRzR{$=C%BDn(Ubd_zTztc^~5~H zJrbWj=X!Vy)JN&-Kao9(5g_8uU zwjpC^#`+JOe_U$8Qxg)gWwkFq{qi4^m{Wi!a!k-6pLUZls;Q;{S{D{E&=pQ+=}deS z=JM&la3E#5fs8Vg*o+eTl>~4&$$fqs)p1-Nr0i0(x

    C%(hNNjUgciW;};YfIU4=_o_6a!9~O@s5I&1nUva-~%6mr~-Gx7FfT_lny%3bFmw zeSZ~@T~p?*3Lhhp=Ln$}N^t~({FNTD=j}IHEDphSad&rjx8UyX?*As=d;fWR`poH>?dhHA?XFvO zyQ^!bR*oJ#SO!rk2Eee~Dq0c@3-$-J}s9MirB~;uQ+`kKB(K;R> zIc~2OIoZPWvKYtYIO=&TX+zlRj3a`>^hAE@ESjgHrlXZB{$lwH+b1r#anE8P0B)#7 z%(Z}Z#p;FIIWbZls6*=y4ddYRM++wAwere)cZG-B1(oi=K_QO!MizRPu~Q$+eGdsA_x3%X=ahRk ze9BP*YB&Q_vM|Mz7dR`6IJ_`0zu7~k39l%$wbdpWt`oTnZHr&dAj4m)i!hzw2FsV! zWg}+_X@oL@*)|`>kqUxJiydY)J zBcM0lC+|Y&A|ilufV}}aX62Z3xPi)0;KfqYF`*)xc z{-$i6{#iSt+ijo=(+OcnaCj(Mw?q4>!KlQiIJ%9UtX7waaqCzsi@F&_$?Hpvz`{-{ zO0Los`V_oIS-)*n4RI#Wl~W@7cj?0dIpu^Hmf*|V?EX( z@f8ina+hB8=MR0+9`U+NnHw2ezN#A|%Cwuk97^)a9y2L=qk0=j2FGW>bXfiy4%Lq3 z@52ZcD$l^TneU%qt+b5M)7eZYkq>-=Db{=(tDLTcX0dlsJaa$~J!hhmt;uy&+?-N`Sqvp3s5P z247CoDyc`8W8wlD&hdkn=2#A&8U5V6EntXmWMkn+_spIPJroE~tPficw_8%#$$KE7OaewmADxcYRU4% zNDEG77?nL*3S#D8i9EUeyZnQcRgM=FFM=+-C8F)3jJJ(~(l+nUI5g zcgT3^yIb&`yY6Us4H`ZQ7NlTT6EoD^(`<I%bzM^2boSQoxrbiF;ZKr$B$$^Mp|1Be+nYrtBOXR9|Xdd0Q{h=h!M? zOpFBi(`jEn>t8d$-2K^KT6!VHj_W`g7G#Oz&o;z7;`_En5o6*U|N7Jbc`x4;Nw8>JgMwrP%konr4Aj*G zWihm2_ZgV)?HoNzWRG$oW^eIC$Y2rlHO2HZaJ;ifm(SkF!y56@p_B}RbRG^g#$jU#H zPw$C{y=CTJSs*6)Qj1-d5PpWQ_#KH81@-r=i1h+|lSpL%?lbzqw@(vW&__O?Pmlcy zOJhq|qE#k-Kji0DOkTbyqHajE$(+N)&yBk6J5R|*Ldkz!9aU>BE-07p4w6Hk!(pmWMchawvP?(Ro)Ubl}NnGMyC(* zmzi+*1T(l%NKp?i_mke=<0L3Pr8IT;+159h3VX2JP!rGVtn%4CGOxb)`iyh_cy#+A zEImNIy{N0ZEeKKmIpSLr_K1r-#oqF{e;GNa_8OI$Nz2CY<*r-T8~|7IvoUJ`kzFD@ z4slu}UaI>{1j4s9YE0|bnl6+L3xAS9?Gyhgfz${Ga3l^h%t2FeFYJ2%W^{~sTts@}yci`2ytl`4gaNGF!$L%2SVB|bq* zlqS9&s2jZYeC{D4K@MBQzU2tLbsXYg{pAw{1yMgzV<)TR)Zre~={{>fB$>Z|KRi7& zNNcEpO7@YDV(8|BzTv`G5DOI6g<4!|4A~4?cv?z>)jAzh9hGkmcoPN_?jl2C8G0=*E13?a7-Gbf70&Zf7-o|J#E~mGwI!Wk#UbdNA~k<#X7lrChdY;&4^rQ z@k?lD`h0Y`5_t{3O3871>3ILMC)M75xmV9*n+Xw73-RpZ9m(PxM{-L~S?|go-k8y&_SQi?i%bvX0L3f@nHndPSUvu+X6Z4Kjj^o<6)%Dy7x(&|O2Ou_`8vo# zcoZI;!}WRgs&Sp~qCxUWz1aUsbO(O4oz}T7g&yz42-}G8e>YNq(0}Zq(GWNpyX=4i~vxi0T!RlVquLapFiE%4N7+gsmMA!|?HpvN7zl z*i&(&DS8vst@H8xwsi5fnZkmr!$?By|q8O&u&>UPaM z``!e{rWn@GHhM!>u}%GypRIiH`?JsMH*@FV!`6^!K@TTEFA7^V1=LO22xf7EFcGs* zD|~O|P-;KFIeg%tiQYS6!#9}#)T(g8*AAfcnOF(ggy+brP736G=VQ6*c;@a{7PM0y8Kk(rIB4rCV1zi+#R(d!3+zXUwXL^#aWr=*x z-%w4EBeo71vjT+ts9jI8x_q(|iJ~3@eyCktUY)Sju?T7T5o|rmSE&!Q9Ul+|t60ra zM9(=1YxZ3%?;cESYn}C<&Yl3$71*4aYO<4OI{k--^m{|VPAdyh-h0x5cbl1YMZ(T> z$_)!8I{CW9Zb)bL86rrOD1tR}$$rO;eW^5!5oI6C(C+;1MS`+Fv3_W2sn~?0MDZ_E z=WcZI<`75|QY*)IHsd%d=iV;a8SjDZO*CxKd&)R)4I?gAWl9rD^Mpl?heHN%T&)j> zZhj^?6U??thBI{Az{bo9}^I6!jN(G6-hw!OZl_gE+j%FXmRA5GDek?ZYhQ>`iYff2G?VRqn=3IB=E8^QnxeoRam;Ya1`L=+RAi*pGM!5}o9={Vc z1ibUL>5IhX%ja@j`N0u7^~dh=mh|RJ#uW-+*qxR zp&;AN1-0 zf2vinwGcBzayiQn#-b?zOukaZa{qrsNzF?ZUB{Mgw?uZ-Q^aYL$GgmUlAyv1 z9>p52#_=D%cS*+ig42GhrPr@bx|DwTnz9!zxoyb;{-F`9VkYaWTViJ{3;J9-Wr3;_ zQ5|IS5B>$ga*IT$!myzzsbAhjzmqrBU+Y47X;TP;zI}Ibz&@KWO>zr+D!kcZS&1CE zkZ<1_dp~zRmq>yr5`kDZ>ikg5@XPB{(&1!kighfLZIA*5@rQ6rssu6kxs_4;yQFLS zwPA<0bM;aN2(yL3P^-8I7h1DKzZt$+Q=#*vw?B)flTEh)XqeV0B2+Wwa7?1E*b0?F zP3CNs5)!l^RR2EH4LtjA_J*On5LN*{-q+H4a;&luTJ?6zl8x9wgz;^ps~62(w;d7- z&ZjFV)}Icg2Q$g2V(@V$4Sohw{r?jxW#d1`hjF+Exe zr&f*nWNA97T#5tW!YoA~N;g>C*$#ooyM_;8n__zS1oo894+$cUoAzRD4TQ4yXR)go z3eY`|fKp~zf9-hpbMy5TN_I#7Mtm6;ZoBy`0+dL3s&q+cI<5Xu4^I#sgIe~>IcSUo z=9{AXTu>?+vS?s-r7IDYKqEW}TXz`pC>kOu+bSw;Xtz^WU+6bT)V9~mss<`PwC_Ez zVBWnE&-oZ5(A+=b9%wg)9irmiJc15__4gWtBTK`HU}4L^_bgS{hiy$#QTBL3 zM$H_Pfd_Caisi9RoMUB`yh5#)6%XvfgAgmB&L^YZ8=NRt=A>$(*hy)h%>5F9Vu~7} zCgm1d4qDjk=d%B@$%o#yvuiZ86yB|^XpvhZAHfJZshEg{h8uQ~Pej+}iOLwrOmucr z%Kvix{i{>Y6RB4#W$h|?sK;g-qaHm$>)k_}{zV{>W81~jEdvXc6U|<0?Yv&UB4g`5 zJfZi+?7g?poTAaH^{-qS^DT^9sKYOPI=*3@8L19o3Gg_#V7hw3dtkYz(28I-qS;gx z)v~nn3+2S8T$o)KZhj$2ONL-qse?s{0Yd(E6sNC95D}g*pIlYGVY{e*2K>>jbYX)~ zGf&Ui{__Wwq474-?4lFOCi^q711Qjq4dRU3LPacRP3&??YHak@3%YT5TM#v<4-&mqP-XJZd>@Fzcc%+h_zf>{AJ6Ma#P02g@F~}V9OneD z->?~SP}q;nzRA$1e2gyB!e(c!r!f0z5j@58efL(K#_zj7kiTyDS*rcOnFg$W zn%^|SYN-Hp+G$WT(Gp3uQo26WDNo-XkO+K_?R@4w)7@%LYJGnkv4q#sXLb0vas_+t z+~1V#$TBV{>65slLR&qokpBf0%p-QuwHQweuOQI_g~Y!XO-n9mZJrw4s0DZ(rKLfW_I5ap$Xb zqerSzGp;}GA`{9)3arW^P>&Y6N5qW}p2_4j#~5kZ-t^tw7}%%ayDfCU6ldJ;-|!=? zc-4+MY#E%WmT7B&xQP$K_f!7uj?k=aidgY3Q>*GP)rSlCE0H>iQP zoDkiA_sNuZ^3zAp8H)A&px*XV4?xCY)V_%I$32_f>s1OSy`$<8i^zef&!TfazsqdL zL+f6W`E?6jyhB#-YP^mme(`_AoH%f~3}3sN=y(n5;EaYE6X8Nzigl}??6d6H4X07c z2aZxp5?XA3PylLL3nTaj`Ycdh<=Lk#@TQ==Rc3u3N(5~g&Hgx~7XIRr`yNdRBdObd z^Hb$#F7k#9vyD=rX}nROtArA{qmI*=dII0_Udicb8HAV^f5~N;sRO>}#@y<=YfJ31 zeZ_~MWAS${YM>W%dWw@LMj9QEmBP7JG2xBp?xM%}LE~y`&u>=h zE&9&;cL`XL^xB6k$AGKBxNi19^?{gX=<^SjP8ifjCTky54-m>;lYN8fZ@$>MVjN(} zygXLbl(|*aQZsq=8|{N5VaMcUoFk;isy>{_zv%m300pb!DG$dE=uc1Ryq!x#C$RGp z9&vT)>0=&;*@I5a!dk6rZg_7Tey8Ync0(+u)6-k}Yr4YQ2^EJPg)UBm(nfxR%fbrS z8gnI*YA?k)aTn+DvnPpE!`(ThgGRGb{&0Pw6mudXz$7V>%vQ!!;oN}4`^41#_8sRd zckIVc7h+61pH)}9Nnv)Co5()77G;XP!;kp%;?F}tFchgEr{QnY(zq~7wH|>R_s3|CEPn(#ZjJ5=CZ>cr;Zi$_P`v2h>d%-7vgu!AeCS61~+?Zy+EwT z&Rlq9CY9Zf6rN=v{;)ZG7R6rI@GIRrYS_msg!9)WAhhz?u&4Hz@x1Q}>1NTETA9-o z2%0dc><=`{LEu?Q1XXEF1iu)k*i#rbCS$~N=`=#trE#3@AVRtU`XrkmY-<2Fws!(! zfwZBmeVYdizFED^FbWUMpJPnOrS^A>`BbkI+dC}y%J|`OM9T))a}`N zm8ic&T`2Q-)bZH8Z%gYE#t-KaLaJSBQ{HjLo(&^q-XxB0=XAvT`u&Cw??><++!yxs zjfklpqj(8RduB#>Yz?bsbVoDW) z`_3{h*hQj?>tV~WVJtAfdM)8Fh{X~!D7*d|8Xum6iMVZW{=^U3Er3CrvXGJn{ zL-^t1QmQ%EF-q(}k!c;wD4}vg>?W)AmE?<)>+;{LB<|WQN8e|~q~G*#1aY96U1T+M zOpSvU&}7KrJkn!RY@s7;TVZiQrBw8$U`nd<*Og57H9V7jhvCp>K z>*)Q9LT&Hd5#IoFnHqBAyclZ`blf1#2XN$wxu(q9ydVOhR~vm9Y3+S~Md4O`O*%Z< z={fc;HQ~}Wc+_AZkxe@Mr&6IeWC6Cq6)F>wY83xk7ly`xLc~oL!b$in#_hZ^r!I)S z5i8RRF)hpl^rBWBlr)DVLy*=|%baqUo>ffhu2_gv3xpSM0=eieyJU0(B;C(vrovz~ zq-abi#*drRxI(d7If2V00fksq4=EoQ7t_>*aiskyh8(><=)+wE_|%^;saCMA69xdK zxq0=BIm(J-cA?7dIxBaeKE{H5axcVdPD1hJqT`^%^by^Dap1sw(#W|-RB`^?Zy(*0 z%5*8zh7-;T>q{nZD7Q@lyjiPCc-?kR0%1IFxf8@hOF1#2RB=G(9P^fVo74cifNYvN zJ5ayf!+#P`Je8ior7_2R{CEWn4I{}k5XcH>9Lvv<{=zls;1g3A7Di$dcXjy*rvGvp zixVGNPO;0Jf@>;tzJB))F)StoV6g{L2f-_F+=W?6#;2IKHzL#lyZ@!8!e=P{AM3tGA;P z-!P|yLT8!9@6fih*i-?P$mi#A;%YWoYW)JTB`MN$PRmu{!rsf!ME1FNp?ZMnpF&3( zbB2c|UD#5;s=@_t_m6U=6%Ss~15=Dr8buQ;_iejDz84j*>-yKfvCOhusNMy=)<2es z{(j*lDGdJjo36X?J0ux21Ok!2!H2KkA+h^DhbA#+AVIt*!KRhF2uSj!)NS_yaZC8(KyqOz1pY zMq-LrDF1$u_$)mD)AVbutL&TFt7S6o(19oWpr^38_B^Ybs@M=!#1wxoQokIP_11e# zAkca?dZtZkrOf)%ISG(S{Z&HuE*jk5G!~VLb*`T~(vwCgB+jC*GDVu|g!A_HtL2?_ zv4!F?yjEGVtj}D|jlNzN3xfCld=Z3HMFmwy>D8@hw2vN?LA@>0mOGXtSCb0#Sqk+R ztt!Md#1uQ*e~EnIH1w|XH-@BeO@`yje%sp~nZT*WX|!4=^}M}<<4=KQ-7;ix3igXtFoS|rW#`~*E*R~kCaxoU#LSUh0&DM z#~pYaRzRKH8r*M0ea%sO{O-#>S?2_lGhN+Zxoob!P@%)Eo&06`i=Xvm3DE4Nu60s* zE2^0Vopv`~S;E}(i)@#xEnuR}U<9bIThV%@lz{H}aGK65jqC(Fsug(cGvQ0YkpP*N zjjprU@m`bIMs{(yk5SQkMdOB=Qt~2vq8~8e*g|W%Ozul=wTk7{H7iXvvEEY@5?)lvmP=qJiXU@mkyu}8-#gA6k9}L5UZL$4U*tRd@79G! z-*t$g913EnZ+%;I-^`nJ2_81~yk5@erJWmo#Zq%Jw75FF7MZZP;Fh{0)GRdKxN*HZ z=4f$JHv*Pq2`YZepG=F+F_u_sTQWfOcdQi7nZjbfTjppbk{i5?L|E!3EKt7niPbSk zcRnQI&X$}BzVFI`&!~3w&s0X5G;s=+!I|f|!^=e8*>;aN&jL^M=NE7hJEBCm_ddB> z8jPp)l_G}Jm#|MNiyi81OC<}6j`d;-(9svn$SEGZYI|Qa=5MxDn2Qu_2Crf2HMm*G~4) zs@OK&G;E39dSz7}51zr~W?qG%{(h1oqPjr$Z7!xG|L9E5)J0GXL;os#xR~Y1ipK3W z>^`%3V^HC8wxqO3=i?ARC}>uF@sc1)Isc>O^jPaO!Z%yarje-KcAXOC9p{NMmnjmh z`LlBP?;djNJhsF1yzwiuHdf{RhpEtvgH0J{Ab9!DfGt|2oY|T=BkFR?2yRKINv;o>$rxu`t|u1`^_X zkPb!}IYjEg6!( ztQa)!meN{8>Xy*2Rj0$s`Mm~fyswg<(vE3vZ>r05axGU^-xJWocfXZfg#_CX4D64C zju{>IS%#g;WAqx+JE}{T86asZa#dT{8*@lZ^R8yOO45Sk$SLrv?9{F-8+1?VtycT; z&SI=2N8~l!pgL!U=u9vrC*P;6RuHhrtA9H_+nYXHt_hdFv}M1891~vJ2E|Mey!LU5 z-G?7LsQZ6IM501L&ykd1>*JhPC^*G}#aMC7;ogTiv znYiZCIkS;y*~S6sy}WjOTYS8E%ZJCZ3$bd>LDj?hSRQ0r>tmL~qSaBt{8nGSy^e4b z|10BkH8&gyiWi#$dad;w*w#RsGov|hK56yL!ed##jMTQ$CH0Ja`vL#+!>ixc!?$j{ zHxCgA8d<=L-}D1%URG`8A_IAR0wQf3MlWcZ!d17LG@gR(Uvh@cgH%VRE2_CIW1a`F$91|MTyD=fq(Bwg)X1^FotUFV~2@cIt9 z{Y9pxW|ix+_j98d*JD6ATydFPCesrE`vGC8Yjz;g4A==pJW$TdMcRSe0P?>)S6sqs zjWriPrFFf%dmsORvX=8|s%&Ac#kZDdDP?eBE{O#NYj2*sDsrd40;j(g z6sX#*WJrY-G#u+Yc)0NrA_utBn2kmudZ@p<4@UYb1S{CXWO32qM_SDKC&;bgPQ@gs;eiv&F7Dma zFF$rXZZ}3PEe9mzF0gKwVe@`~C`}1Ho-8I!izH1emuKxhL69F;W`3?nE-fyPSI|ePcN?U-t9!eLF?97dW-BvrEH+t3@K7j+u49QCzEQ7=DS#;YKdJ zh!zjwbyR+B?Q~`BRp+!+&-5^aG%cbuE%Lz4xzm%kPVAJn!{0_s|H00`k%UyvWk9~~ z-De2sGdw)G|HzKrcKxj1;`gsz(-PhuQg7)z_3!_zngP17+aQ5T)q-=O7Kaa+HrX_q zJOZUov|2n(7cFYwXAEEK?m3`mqbuX9{C5ID4rHWTN|qXL-OOziOgm^Nw6&b#)m6!H zzLE36swAgR5655arlbG+e>!mm9<*+1pmnDfh;&g0fZM`zBTIXFdWczC%Rz>A{D7Xz zJT9Ynkq=+?%=arjKFr^Smj-%u*jzi?yIr7w8=kI=EAGpWsvOaCFdd~Dwzi1Tb38r0 z>0vjQ_uqe-pq6qC-ewVmfs>#{K*jw`PE};m=S@E2ecoo`)oge72EUAHtR;XlB9XQkVijsNTwCn4uyTeSi=r`6KD9 zUj`cMF~Z`T;bk%hVfE|70T?*Kw8-qbZXIP*&XeX>YT)WDwcHQJx9xtUH+lXsS7L`M zzu18n+@@jFK7JC!rkb8=b1RsfDtAK$KS2AP*%+0xCtzZXx^@~x%Y&$P`cLhJ@{F*E z#cr0@XmMLQUw-uGhA1;5Gvd+dsP(6C$z z)JdKHS}^G^GPAikup}f?-ZX+6j9_=BZZcC)Z#p0@$+U0P}y57U?Y8wM#k=Jc&ffHmgiFd<6euWSRSDWuqWQ?VGj zr%TiSDxsoZKcl+N{V^1~oC=4QIF%bvdSFt--V3nWyr z>K)>Dzw{eB0Bp9jv<+G$MWjB{qPg}R0{mxYC~Ot!y3OeqdiiASspv8Aq-G{ec7@yF z!|eOA*j^c^=+BPY;XxP^*jFU+`CM`NT>SJWR=c3q{*Uog_Z!gM{7{B7VMmGpNnG)o zJ#sE!!Nx`HO?aJP{&e@`zhq%cbYlLd)$XU$e18fK{@{3SG%F^49=Bmfz*`!Uflxr z+%Nu1$4UES>IH~J0S3F}|50~fp0ltWu-^i)t$YJEj)Asm2g3u=H*F`5# z!4TsPJ0UrNr#0>L6}TTD9>qQZE3i`W1w^XXiD0#q&v8y!(P&CI%qeBe0T&2eqgZ*~ zAe&s8i*Jj>9Y_RjVIt25 zD;j%NlmX$2d7B_9r@^$-w}jcgo)z3R6l#jsc9}e^c~qM+@q8aOQe^1okRA@XJ@A%u|C( z_lvPCY^XAOu*z>KICED%4FUbb^2}%FAkX>UeQI>f z;_#+i(F}|VfIi|_9|}5r>yvi)Gf>)r09r!ioy$6;G_BzNr9fncmpTbVY{tT+2(@I7 znVQT*gR!)JSO+PdKc#0`@ z!asCy{^MK@IsRi~1cU2We5#L7*7g9yd!R7%2w|NNdTt^zRX{#%BQt1DV7XrSSHv-g z*^L_BSrJ!2FlC30c2>I=jb1nZwI5ojNAta@l>gzF5@V?&t zF{$otWep~5NEofp?M=(fGxJ+CbZfiK6M&}H^D1BaVR(vJ%W-N(jdQeRRh2X;G(4%W z9aipL{_}QtV=X~6sS(n9U4@h@Qj~l<9-q&`yZcE58xL8v7U{TR3INSlKT=r$hcwrg zow`k1*f!1-PYYY-bj-JT_DUhF^SPf=C6z5scZ1_Pqe58sN0xp}m}xb@4FxTZB}8H> z`elI{KH`+~AQXsAI?me6+Q!6fb20Zo+|V?BlfiF*Zzauy3V_9QQu+v_sPz_bz*fh} zsWC1uGW9fDfV0n@z*u3e{b65%n7SHZk=tuFr=aiH#d2`7h%24~+)W&SfE)9`>8pLX zJkj+8H7$*t+Ucv^$c&zvAEU(=OFi(tfS$+%9n)7=K<|zefI_|dmr&_T5`P?Y4R9K! zclZ}p5(4ggs~EIHXzG~91yQwuMc_~Y>#9V2n#fs@hYtLkVmZ$23=dy2&RUYh z;K!3lxPpMgotu{dJ;`EW2v`Kk8?`r$&g%Vfg`0&^bV*4MjY()3*T~Z4aeGSI_6&7_ zLA%`%QWf_zYki)A9cyLxm-v7%*$z_~#gtv9t|GRqtlHYWj9IMUoNErB5UH?|jCOE; z@Hx09kB|0EKp#>{KT-`tJ={&zSx{c$INNRn~(9)dK z;3lqaZ_M`M__Bv!?eMyk%33KJ=DMC{%+_47z4w)*eX}{HM68cEpu;j8*+wBlSwn4m z&J$zda{+if}WCLtV2mFsgdpxIIh$p1Xm5g<^MuXr3p)}f)rn~3%ObZ$O}pr zWF>KrP;x#Qt(^3qT`*+Ad1iQ++4D2VS^hs8rlvULCE} zfolKsS^5_|{XZyEA@Ze5aKa-ZFdO(yEX+I((5qDt#VwOZp`Z<2+NKF%P}83{)_ zCPc(nd-GUPpP#qAOi`EbMI$Q>k4#r)ietj0mH!Q@CjV+I1v%PNxWIdWljDBHJ+*%i zB4IH}N(GXV+^wX;6m(X{{Y^kGmDG9bF8|ageO1;$p38pA%(m9MS(ubrY$* zW-ZoLC&GK(QUzRX6s8%Dp$zI>3%kmu6%}3Do9(HjncQR!vs~@-l}s9k89Y zRp2HLqrAZ#-i&OBFkKdKn00Q`6;9UV6irRvymM8+rD=v4rQMyEuoWHz#=Fhf_XU3` zX+qp)NU$CY#)4=`u(Y0;2>8br0HFxS{@4#^<%N#b-*(DnE~YLD_M@2 zcg{XH4*-Vy#PtHQye;Sc)7krI@BxOa^ch*x)s*Q7;BqC~ z!09bqq$(k-)+S%_1T#GuNj=Zbn6T10RM*ck06CBD9w92We7@bTxB@natP7(2&*rBb zk=M2Q5vt(ef}4aNXMXXre6Bk>gvCGeNKfxWC`q?AXO91e!@pQ3s%w%IYXGho%KsUQ z);ycco?;6%3FzzSz{${_FCHztZbo@Tg{(6r#$LkWV5G!ut67$_>pu8504<+F%u>=!j}jO(nKOAg*#UN7@j9ul%QtOy*)hs!_%a}}-Kz9i& zE`dTyc?R6?a-h!ENCF~{R}G}m^8VR|&OV2ozwwkA?1c_4Vt-j@9yvf>0~mMAz1^(4 zqBGRB=jCE($91{7ikh_Ls66zzF!54muDcN)qtemkuP+KRH zf*YEcFr)^K{b5}PD*CT@Qt)1o=IYjygYx_Ca}INEwDb0 zRNWg!Kp>Y#Js#fz?i@TtA?OKL;vIz2;49ILTyb}Vnoau^vP&^Nq=FrO>6;2TJt7`V zeA9l=wnLk!wH9{fTygyu3IsGB%RQ%qN_RtFOP+)Lon+u63DH~EX0y%zczC|Y81pP2 zBfvi%UX*g@{3kbSrxCt)PY99y6?8}i6vhn=LObbS#vuzP*B*}^{A1zo(^bco?QZ*P z&%8PluSv)2+kP|Q0b1-2o#YqRB&Hj09G-r>I}RKsKl>1H=6FG2uCIp!bm+KNlpUJ) z$74$$QW-loUChX)pw5B?W*QC8S~fskNRb&$cKDRIj_U`BzAr=JWUKR+Juy>a^lsaH zNpkr7f2JEd@Mnx5$%YS4uWjPd=(#%8xc?GJBKLd-k!6lC@bXovk<-rdn|^t;t(~1w zq4G4g{uRxfTd?W#9sLYsX774N*SUX%QxEliB(t`Y3_0s^eU=x^7`3=Nk#psZxppn^ zp1>Bieal|HkJ!0yE*Ac-!BL!sZ5SWiwfw;}1z6OL{X+@1fN`r<^VH$403&5?LOp95 zO8%96mH@elw((*7smC*epr(j|!;N8%gx@<5K`HtF4Xpor+}7Kz+s;D)Bj8EhX+l0$w?mua(gq9J~`@sE~LNSjiyAN*Rz z)jN*eM>|pTToLM2jnP__G-dRivnDN)TjX9ip~ck2{Ros6+fKG? zgc}N!Hs!1wn z2ytXSCod;e><^PP{CKU5z300687ppQXhF-lFaxglKG?V$zqbb)cLjvJKI2|)f9hV_ zAG`;UrGYbef^_L+PBDncWix7xd)t~k+$Y=FMgle=r?S1m|CmXj`Dz;^JwCz05?$Fp z8qhhAQ}wX@@wEOGKh53oaQpo5FtN^@0bBMx7ry`{k2??sIp=Z+SO;djcI%dA_~Xsk zFbLpQPqtzPHb}tc_8W8K##2Vv9BTFqECu zS@LP4p2LX45__Lo+{Ra7`_I{>doaA@DWg7b<`|)0fnGFV21s!pqa-MZosM2P%}>I< z(cJZ3wcZk@z{UcQytM~H0+PS824?s8R!O&nOs?Rfrkqj#eFvEDU?YthOt~UT@5@SK zX4D9XUTPu>T!_=R5BBS{J0m8#UULFDvb^%0BCAru{>tD35U#6*@crnMjuAU%-XRDq zWoO1XYrJ>?x9~PaU`}4dA+jj&_pDA#$*PBLh~!ZS$jhv5zUlxQNuaA4nrd9MYy+$? zTEzw0pUZjBaEUa!74W@0X!EjsX?VYeZZ7yc;HYP=N>1fGmNpJr8VeD@W*H4wZxpYq z;NMm<7qd*IHQPO8bEIJ73aZyn(_T%^kUx3Cl86{>*GDXk8WeWYs=oer#F3 zxOftvk{fxnQIXS|T8pc1b$|wk+x%xKO8cIfPVp`~^=cp?gW0vdtlGjg{0@yQ>kU6Q zM|UdlnkKNK@_0U0+91PGH4ai$(t3&KosXhs1USU^eeEk^>&mLN@0)Y&Uq7eJ~$G%g4g$wB2Xe|I=)4YWd~s z7KtApL!{_5zJG)fn!>;@`|{hxccr|ODk3SHFPQpLP)m!Pr2P8IOvW}&OhysRK`-Vz zFX7%ZCf;p{L$1o54{V~%Mf6@Re#C$j5 zi3E#zf9&BP3(VcopD+AtN+YD+j%h zRWNP}x$5VC{T4Ot5-cb1dAw92KpEY?uX(Nx?coPcO9<3)m4gHn@zkT-oMzz~&DTo6 z=lDktRR+O8o<#+!nIotb)-(+~_4g+X94=(v1wDV83d@Uv>m*#?Wa1IZw1pF-q|tDcke< znrCj`tnH~rE)N6k3wX`V7WG=9kp>_kZ*E?or1Wgl4oqwkvjKq@2JSn8YJvehq?Sjn zUpA6Mjoqmt3FBA1Vod`s@1f(yVPabbmg?$vVr)*ewC%@XTILdjL zR@_0oKz*Xu*vui}ag@~O-y{r`zT^V7s-m#d3eV9M0L=^7DfdBHmevw+3K zr>&xD)hadGs@l|!T~xJV zRH+eri@jqMwOg}RC_z<;)+Sc1qV_6^+Itl-YmfI1&+mBO|NB1?8ZC4+8FG2(m&X!OC#F2(E zc5_lIUsYb}9P?0#c`kKoV!Z!Z179{y!Tj(x%@~M+LL8?`Dx#(12xBF7>DFJ$QB3Ml z+b&9yvj^~YbmPBDjN7_}++SlX)D+^oY9*np3P5N4@OuBF&i`yTooi&i)c*$Id^e;@ zh@U3^x(3Q0+OKk!qaVfFUvs*Y-_S3T=g=-+{zd$1xFKzQWq*OuXU@q|X3lQ{nlV|7 zpDatts|Uh(YF4_`?IbU(ATEZ;B(uS`x%OYtEii)4`TzV`xv}5jpH=E5K1Lg6mLfF%q9e3h2+AtG<^caZtG7Tv za*tz7NW^{*D}r%#@9zd$si^7=5@>4$lS3|QIg)u}jzhsI0^ZD*y|cm`*3oXSotpKUZdsQO3Pu%A+&767%Gu4X>Tx?TgyH44&cbO7rXo#6WmDyQq%G{|nqJM{ zfo6%?t^6TbK62b@&)iQW)uE%Mcdjpcp^@CD3kp<;8rOyU6ItMox@FVq<@8#g&K{Nt z0s49j--JNwR)qd;?>+)|ND^c)6!NKReWq~#>G#!*gos3JnY6%?3Y@4t(;huMn#A37 zLXx3aS^|=Nuq<<6ouBANU6;PN_9}rQ;x6Q>+M%ictFXy9n=uQSG%1sIWj35T9FIgf zHJ?RHo_Xp4jruIxYfrZ}9kL*=A644o8wR=xk}P>~DbticGpw}^+5MC_OIR_y$G{}z zPrGio1Ny&vplJu)d+DW_x|*K`ALak)A75)?U4uORo%uo1BIEMkiCnP}=i(uQF+rQd zA8W_yd&kM~6rZk4e`L-J{ye(~Cka(*XR1!>pMAcslJQ?(z73a#wZ`qH9cjvHUJwD{ zX}aj!zC_|0`PIJeE7#p;RN-bpip<%#qf78gMnA#DjqVw}zE-<)6Tuu z6S_rrbz_-g%0%s$uQwJ!G2}E{VFQwQp=9l1(kI^)pB!vH%M}Gum?XVS1gL26D!$BHFn751{qk1!+*OFGb2+~KO;sd*k zBb$uVw_eWGk>JPDI)r$KvNS9a|o1o~YtooJZ-5M!DtlNH2FDE;1bGfb7{ww$CkJ zTXJ{_I0s#gZ z+ER&&PCb|8E#qSzzc4p>Mdz>DgwsV>>CzA8TSY@OO|DPEB37dChvt)1u7QP# z;%}dj`ZbPWVz`pBml~K=#>BvtI<7yCcT@U!0(<4*J{98MIH|aG9wVu;W%Umz(Y#wC z7`Bh1b@xgSVznc0%{A0f*I3koY`^uZH`Cw|nZ{+kcBI+_;$D z=6tX{&*OG$3fUNKV7fTet+MzQ*h%|e;%+!XPBp$U!+9W*O{>r7Hnu)iIk-38+IW-4 z>RJgUUX_cnKaNX?j=xZx{=bcJplayfIgZ|XO*ABEubMe;QHr`&G9!-Wn>J6X!FC<| zLO9KVXRrfsz$)WHFq$c@U$>U^UiuB3e1k1%8%##l2Y9913X)`ID{)l+yJK#~5FCmF z74Ew3&QVqqCAm%%lK-du`slB)9&dm4C{dky5Tsf-MAs(R{cPsVaxHV1=eFT6)oCoF z%N0K6(N&(+M2#5(_6P$Z_oDs4#@3_L7R6^A5P|y*_tc$hR_gNLA$b0Pt8l9;s@ zM~acNjv}=$n>@D@wru$36H}^HF1z9-)k{7|wXoeq{6jGyve^pAjMn?QguA z2xGc=S=FE9#Bu%9z(Ru1nB@>gO~U7CRgE0?+KF^^EDIbH#n*LuHv{{DGGQ{IXAX>sFt*y=e0%M9nF2RwhFDyjx7K zHw1C67rLtR-?K!kh@@E&|8XDs6~>gKyld@Ku1ltG&nT_0W>n-Y)ptkirPK7Pm{9 z{gNYFw@w?Q(>8*O5}-H^UiZBox@A+^!R*C;jbcErmj!hFwKuctJqOE)EtgG&92|ab zUv5f%zS|cWk#@NaY<-Sag3k<3Y0APs{qt}wf2hPo=P=k(C;V|1tzooJXmL*%Tk-v{EYOg(x%&lKX6{MShR zqHHg)Ws3NCSik9+?G%7yf<(*#| zo3u;$)zG)3-AJ$Rop1MYiMaq1Bnt7~~|aB?^UkEw7$_)6AEy5>!Xs zGX#QK`kWL6p-caO56$B9i|;J}XdZMO{x~)?ZqvFbUZ6*j#q;+*)N2hYCLOk{>I(7v z*@zmMy_Pn+i7|WIGy|W(W{JN9$IM~7yn6=~aSmpBhc8Dqb0FY6lv51D!8L2$C<*+ zH^XYi0`ZDrA6YWYQzY-ROByQBbPJWX)2z?>=;Onyqk1v zo5Fl%6#-;EM2Vft4@(0$vcR!gfaFUBY*c`$ov-OmU%x-DMSkDD!IM5Op18(S2pGH* zg1iQ;sC2D2pYKQlB9AMT`q=&7jC z)$1qF;?j-U2zo-2dnYQEhZ_z$89b@Q7`jUu(Ch|2NwJEh(`l|PAPD&YXPBy7IVG0b z+$qd$Ukf@lKoBmelL%#5bw=FK5|RJcD9Sxomy+swb_5)(#IXN{0I8FLh^x(#mkqDDZ{?KMv3K{ zSu(GLw0|d@IpY_Y3dRcOX~T+!D^1dBEL6pRFr-(6cgH!kn&z!TYbNd(`yjTesVqH%W|t5DAy!5?o<=yKw9Ic zUF)~?6FDTZYaUbjRTkHBB~&C?`kYfK+`S<#hBEyu=f>%jeN2Tm+eYSX+epjAt)ElkO7b}5#7y`RPpOuGOaqWuNN@HBF&XdtTU}75`XL1ME_X!Rc&WnoZ9f+@zD4e-9nq2{|BHDPB1&#k&AWc2W@5eJI zuWZB*Hq?C2D_h>HBW_m7>pbrWGW_3C)E^^Wu8z)L$@P!7E!b)$U)Vk)9!vD? zH@i+&)h{a09{((I{no&;$7AH!HloUxh=Ybv*gF35_0yAp@>kVlwAhv8xk0kOl?sF9 zQZ41O3E2xlp~ySaRxp(VxM&7kbS9&JNVhkN`WQiN(qJqKC=AW9aPo#<&h(_%slM5U zpZ$>tu3`TdF7F>81R+p`+2maiwihZP*kl;7DP z8{$yvMz`xJSqY1j4<%5D%Vi44)`HE)?awRF_`h!_cnR@{f(6e3@r`Hl(46q!3?h-P z`e|+Ty!V&2l_C&(0n8wcqgXFVx>T&w)X$f)oCdPXLL0x1Wrv+qn~as@2MtPgnibWp zW@eILt$mlL+l5s<7bje7hkVr%Kh4qt`U#>`^*pI;18!lT!0Q9HN_eW`^rjr6{WE0u zVHKUO);ue_j@(itIglV=kUXlfq3H2$-v}R?sYxX0v0{U?YUK9XI4K3!N>z7*S48b! zYfb9#)6rb}yZgtym58!6=>}ftaj>PWQShqY2TYL`S07Yit@)~yB`el=x_{`Tt*AEP5Re-J9sAmhm}zUt16JdCK~mK1F9xb~gCH zLS^L!0Q7ZP`j>t{=v3RC-%g(Wg{h#TnPA*E=Ajvvs#J~X^h}QOJ^eYVrNCNN7L|lk zjx(|=yvM*Dp{^LIcmJ8bJG@*I&VZ#~ub|%@i_I%$w%GjZqWN#M___l$@-MG?J)x_6g*;@pen)F#8%#lR2|>JLp6dh>U}O`!U0Q;EDFJQ z%X!lHXTi7qB-s7oJ*mxW;&EgK+exX<+m`gqEN{hno^w~c0}5WNVHD3JRFo#4V%yQbL{O@`-vBE_uM31XxJoZO~l>>z1SLp?A>alpsMy? zJSo*>Bj#Z^DLlSE&z=xe!8qLoY+={#XNlt8W=rWr>?92d`$R1-)175`F39?wJP071`*Ti8#M~7HYM0r?9djTP>W*#k z(M(ngfolzC%`vnMRf6R7SlU7>n`89z>cI7o*jZpgs_f@EA*nvaR-rX#VTqP22VLJe zhMbznb;ss;F8wq2uRyR&5o3hGtUyBH>H;ZAJ2EF1Q=g^i)cle&!(zm5#vuUKE z>Vkd+w~8O?b^L;F%mrnG>F;_L^uKR<>Qqf#K1!?o^jhdoUo6c7imqEL^R$yAqP>!F z6MEuzPg!_wEz~Ci%`2gFn(Y3lX=`j;+3YWr(vV}X$D%Q`(wPKy-CaQ2sS-W=o_$TE zb&oXD-a$v;CLol6AQPurQ9|T86KE*;efbNd^fi!aX4IFc{VlM|UzJ4<-}c%mEg@8j zyqST651O$Rb-T5q80l;(UZLy?ecQ3)k$rK^rG7RVaBzV1#D`fDuiv@lZu4Du6S2YG}4&v~B*AJbRL9 z#{hgEo3gQ})#vA5vvF$Z%^=>Y4tlz^dBb&wvEwRSlL*+6kGY<(ZTnN7sxNndroD;el*ET;Jb0>en@GkCd>m#&$xltR9gaPJ+A~~6wk$}PX=o>@Lrs3CD^w_m} zjXM$6MyZvJp%qNpN}>k4B-A{`cyfE4B<|oU;1TT2_nw@bCbE!PvV(9TOZyEQwf8;x zFuepyoK_q$*=~T;o3HH^k22+;#8n6pUv_(vejWN;5eDrNZ4d!!DamBi~1Uv8iuf()&Mls+_Xc;({n~sONPTijIs6 zZwFi4C1cbUld!-9pR9F!w|;V|(6w&l8Iu}1YL8Ae^`@OBMt*sa`bW}fG5BQj z%UwZeVMT55iPaHW6Z;H%$4)#eq4qD$+4heXrOW`D-ml$2$p&&@TfEdP!$HdaSB3+1 z6g(b4&K@34tGtsF-S5_(vEwo_+&+D5tBMp@uZ12>WJOmKIg5*`gA%%T(tN$DWP=7a z@d_7)vRY}N(e*Ac>VhGkvR`0r3|;P)W=`9%RpB*3P)g?meTyvJuqOE>q75s5cbhBq zmqepi$`Ac|-hy32NQ+m>eBz0*)={9(;^?a3;rGPwMC;XGr*C9`MC;qvD#X>~r-pVn zwjdvYBAgQdkOEFH=Ga)N8@h)sSOMb|EAD-LaGqxtQ6Uf~MVO9%plBfm>G;_EgWvpV zYoF)bzrIJXpYa2wP-{yz^lI-zw)1|IPR zIj<1+(vRK+TRcguu7b9#oQU~EKg$IYoWAnHYGz4-{RG7toc$B{{BuooiQ|7>qLTLt ze&L&vvVCMErbPfVo;G{`GJhC-pJ%Z2q2i`ok)h(>;vqfqxTx z<(Qu2UZe7#sG^yBe_xs14s-GFa2wt{jI1!Hqd6VzpG2!&qzL5^R2u`IRc);{1}-TD zd>cQTje%>u492}8>|mkx%<%aVftMbwwP%?`Z*irzE@?|oocy&IB9s3wLnyjHAdRNa zz(omT2cAO*n2Mk{vKtJw98mAanIM!E}gOJY(bU2dbCJh!68>k*hH2`VYm z?#I73h`beew6`j2nQ3q#w;sOQL8V;p>)w~G`U;`9>)OsGV}{t!(E{>;NRhQ9Kkd83=Efiwpwx<69nw!8O^{61udc^3VMN>1Vdx5;C|D=NF7z z$XI^8N6W}iuLuQ7Jq_sbKYbR&^7PE6>TJgLabli}N1n^-qcQ3nm-9#Jqf?qZ5~|@* zqrZ?LD{kVW{g0|GxOM5CN|SIRLpTv-W7kG1tC~+=0yw>aRy{y9pH%L|c|YX8M2A^e zl#5?y;E8Hg?~nc$IBCZ#`85qPWG02Awf}{AAkIANUrf!vj`XR>vxYW(Y!OGYUW*TKg!1aFOmj1-xg zfVZVc=V>7PjyARscjjMj>^*{E(-F2NRrUQhgBs;BqUp_`O_jq&ou@l!Xa(VOOR5c& zLbv;lv!Ckn@`5Kdz(^NIXE3G8lNJzyZ*0lY7i@0kyXV7KkY0ONxyYB;b@@Hdpyh0oUkl#8ElVX~IZ_-d?9we@5?06K8+)p)Pb|I(>0!IDmJ?<1Mh zCE9p!4}Y8Xd_P;huq)$uBnGEwJpn4)NlrAk@{RyWMFrR89`nh)bKTmw$R&J^R+0)B z=ji?#n-_=U%3p^#1;#h!p{8-UtU7clZoZ@azjIpP$QI4YbFz43H={9h;AF9E?c>|a zf4l}wq?z}$PGVC>MYLf{Tw92LWhLNtJQCr4f^z=+4w8+!>wfYgdGBdJzoren!HnS0 z;tPbog$tSuRiH+<>X{`f0g?fw25OCw-kvPu2`Nafnd@4br3%6E7UbYJS9T)l?ZXOW zVCIAJ%m_t1dwgi(i$UCbzW*Y4HFwid_`o`TV&i7I=OAO7 zMslhDrlUuJ^fX>iweNGy4PR3a4gjR~G8!qo2^dL9XnQi$@~184Cr|`% zH9s=BBQPub;v?PNF1x%AZEgK@iJ#m`FxUU!!LS}?1RiLBx3%~UisKzn9B_+l%ltvA*bQODsQr#A_WQr zx->=^@L^0Lo1c7Nsl)o$At}V$@6bA0cX7WCE%Tma*JRa>>Wv2h0!8g41Upf}t3%mn zmO<*@Lv}#K0g2A_)>XSNDN}qqO!R40(-&UiE|$R^%9r$W=lTBB;eHj9neD{yYQN{;vZF6x9$k@p|5%Nm&(u*BlD@q{ z_Ro%t!20K!e#q=+K?~k2K5zDJ*)J#DL|L+?dq5Bt-KgAaMLB1JtZB_^BGd8rTRd)= zRJ^0P*&{i$KdIrqP0RJ^$PL=C<20Fl$!#l_ zshk$7EYiuKz;W+^w#@*<6sGlB9F(a<5zNCQ;HmAu8n`=3xm$rzu(&(cT`Bq^Sc}MUsY;Mx~oesbEd9b3yhn}su z8bQWWXFhM#X;?yG<9b2)_A7VTUy}}>tX&eN2M{i__@fsvkla%6pPL8~nTgN6SZ@9G z&G9LQz-U9iv;Ns3n#IfX>;%;vv;m@U)C0KSSLCsvRT+GK1 zp=TdCn6S7>#&|RO0AB%cXrvd}zK+0|7}Vq}flA-m=8Kvio6ka(xFs@)w*{sbxGWLagwX{Tzl zo85m_UW-Mu+d3>|%qim8rL9B1k!C5M@#v4h7rIPKfZKXMZ9x4HWMGs=W3qQB7)x8P zG&<6Vvlm<_Px&CcbMgywna4S6C~#-eJZ8%v_yiHmhrISJ^L@IwYH*j}e4>;p(~^Hl zvzp?)8?2rRArp6f<9F(t4g-&_B|*$$?8ppJh1uN~xK5{SerdA(^Qz+FWEeBqK^4OH z+Z=CJ&c`gimkYh!1w8r>viE+iA4kPom(4z&_1eG-#q3so*;#8#*(Y(cGEb! zbAY0$cp8Z!yoO0o9Hd-mK`yk!O)lv2B;2pbaKd_-^%Ijz9L)Mysw6Ed9cu% zZYIyBP7uItd6U!{i9gn}gP}>x7?X4oG9N)xw&b!{i>G z>M9K#eJDcBf}h)&eaxZ1!wFZQ3~95sU!mg4K)2@JY-+$<9>}T2O~rZl-`+a$)~I{g ztc;edQx{_?keYO+g3_H^P5;e;K%?{6JY(dXI7U|UV-xEk#`dd(3@0aLfBSkBgn3nV z3IE^Z+J7lFg-k^e+gJ3m$E|;N)}u;19R{V2>b%{PZ3#V2+Gvq)ECZN}*Z|o!5$mgF zP3R#ulWTsHs|ttMtfbMP;NgEf3ZJSv`P{ytvQG&VEmA$BaBy|`uSv3(2(pqd7h`F3 zZ-L2`G&AKjGS4D2kF6g#jAFMMpu8RBpH*!t%J3yWJtelof8rDccuI_iBk&a~eOF7r z0Crcf`3uH)m1aMr<U_n2DP#L>3r+$+XCy7}v=C$t8{lNsoNE3uL!vhJEbA|1h?~(i@kv zM3AKig@WMqaRMb$7_=P z?5F&wYO&ycwuS}%eect2HZkP(BST*kK={w(Q>;JSr{8m!G8R}Wl3nhTp8mX z4aV7oJJWC}|GlYxzs?#fZ{Y8b}9sp%A0M<3DmtG|#Q7auxPF9kHN?TL-&+ZB~ ztiTgqx86Ny?cvP9pgk3Fjt1_96XoJK_oyf>nR)nk&F-Ap3e!LNeZuR)NmP%u_#L8c@dcgsIEu+;rd z>$|3@;8*l%D}}Jrq|%mGHn+G-!lkwryhk)01^3Ops|5-cC-;NM5`4=|NBf2*q(1OB zP#eVnUKD(PjwJ9AkJH?iUa4tprLVUEI&NTiJl}Bb&3tCaD7OJFnqUmCZnYTSg8T{(F;>3kJ`pxMif0!t09*4$3 zB(8uMF!($IpxL7tfv!XR;;vwH13)>cTdLPvt)1TQPZZ8J1zr(LWkLH`kpB3LNVnAL z*cO>87A_cx(i+w8`xvXxT&jPjb8S`{tKX1>8^+++HqS&At-|Z0p$7zyv0Mm8S|mM; zo{9$LukFZ||3{Vlkk7m^)BT=E(yUcE0eLc?yvJ?zAP^7m{Xv;Us}5qy=4Uk(RbXnR zUa$L`epN7hZfRrJu!pH^@!{{fpX!EXWvVB$O1j6mtTO2N?9xliR4Y%p%lFi9l4|Hc zpS}0(G0hdL3cIdGduWAZ#N(v8Kjo1tb?Ru!KA+xUXrWKZ7XGMEpV_#%o!y{Vy$}8( z;fz#0CTo#HSHFiBl0n?+>iY5=sZ;=%8&=5pKp~H1sy<8g6E_`T2Y^t%MJ9u^`x{DJ zk~!=1-^5WNH9HF31a{tK(Z-%(d<6z0R+dXBk>q^Ya%nY?RkbMPrlLy%C(x0@TK+l9 z*&Y^npl!3gRJ|0G(j}RRt|&US!3AebO*{H-t9JzQWnm)OTjlmquV1%YJc^lSSzMWa zHrh{nKU!iZRooIX5?HS@)xF!YF!Z~qu^X1Nyi}n(b_MGARkDGGpy&I2 zkbk_RUm4#jHs@6E@69XWvwCHOJ>R!i@t_}!o3(_>J$WhV{pFn^8s@_d+#f^Wuh7;a z%-eWOx~5HBOh#b;NZZM8nh|aI{=EfN4{CiZC{>XLo>l8apCeawr1@|o!_?u4cDB%o zwQq?bkD3(mEJIkpW9&sL#1g-)v?H*+R(TKsF0ehGd_;%eF6!R?y8br{zo#^gX5`06 zd2X(tNznG)r)-h8$(c3|KtTR!#0$ojw;haBg#%T;2PZ0vD{?uR-X1hAM;nyZwkCJ9&i6Vt%|&Msj^tJuTL6=Y&0< zXtn*4h*`CSN?s(sf~f%~EPe4OX;6=Do~~X=;tk^7N&;-PK=2utKt`b0Ak;7f+S9Sd zj#{fKwdK@?-{6)&$zCU}Ks#>BOVj8!$hzDhXS`8c<2pQRrM)unWai5LgPlEoxoU9D zh322J$s{Ryc3AS-5;dn&nTz5W2DgG8X25a3{o`dvgVR|3D5}uxGIb8Y+R-Dm&%-yH zhz|Q=b@pmrIWIFdKM#&W1KqVRJahfCtme|ESjlm!P_ogc)IOWxxnavTQdKuzb;n5x zoD|yj*)Lq)jeq2CumZr5`k-4a+cx7;-~Uyh?A47#9g$@w&fYu>7&v{Jrt_pQP9(=< z_sIFPzU%Wdw=i4)LX3*>n`NSuyEyCF{4JZL&w>-`9#$)Mq!l60&sJ?Rrs>ruS8bdU zXG0A6P4PF}qa0SMg^T}~Y-?B#Ha~OhQV)}R+p!l*j9^qxMMg=#ZehgNz_ATTgQ~z+ zSGIXASyAZw4Hv_`9DC_BrQW58q^W>WXt>E`ta3N9eq`!unK;wn-JK6jPc_XAacwOw zw|6VN6V~^VteBwBB_fSGRT}^qeSJDiY3rOdRbPejkW}pe; zauSqYnF{M|8a0(3tunZejO<&<1_WFK>W)jptX<93&uQKd6T7XOW)Zj7tiwl7u7oy8 zmeP@gl5I8DkJilXhiZ;ThY&n@o*EA8lPujREU#t9xr=hywsUR56HO^CSXID-gKYb_ zfR3e{xB+?Bsw?w^I?j@G>@C{+_(}4p(*KqC6pGk;uwbb*UDDj{^?xvQx_4K>k|lnP zK4yy?H~ov_5X6A4s&RR|drW!i^i5JA7GYH$LPIq+?$An?X;nE9rrc9G5hZk;m+^(( zre0HV%b-|sL%;E{h_6wz6vJMfknKwx30LI0t>To&hf+JzHr+;w4-r7|MZ|p5%%&WJ zQ>FSd6N+4SZJcg8mx+Ai(5u@FQ8;UEFBgPba+SY&Dtw&tke<<))d8;Aj@WBVtuJQQ zZ3r;TXO6;&Qt#R69KTFdc8bw#tg-jJ3IU}`<$;O!;sdcxN!NztXq=vKn-P9~Y70jW z+pCo=Gw6?xsGL5eVS*OG)9~}3Drv*&iI6IOP~W-DXMaN6OYV7h zw*LcNazWW*To0Lbs2CYd@E$FR)Y|$#^7>RY@!k?`bG)m@jx*$jjwv#izvtxf^<4USCcT|U=$ez_C12C0)N8|sx-cF9 z(8k7i9N*#S(-|kSo%vL27s%08Uu^JH=5*3sL#)0S5_e1AZ$(`rz~%@O#Cisf!3Pk^ z)Cm!{t3Agvm3fYc1_0*WQ}^hefi7dWxGhJoehO;>bDpXd1jZ4?Ns=S&A>XqhGCUwKjA{Z%UCxaxH)#RRDsh4k+FhpltWC- zEX6MMQ8Z+jgTq1>aBuwzq zH0t53%IWPe?2O~nsk_1?6s4;^(DyuZ?8U&P#|76{aQW9Ud2Z>-<4Xxa{G`nICYLW= zE<|XZK~c4(r^J12D`^Z`VH$0z#3smqvZ#jn{{%2g#!sKtnEa4#5?vJ)%*GZ{i z*y;B(qWbyo9nvY7*gnp>%rbpd(qvQ(JF87IZ6luLlhY2LhYweGCzRJTEp}6nm7WwD zdGG7LHQ>1VNvi}IN8ATBf4qCcTio~N?#Hne`QvZYriicodtN67==`c*P|G?ak>8Z9 zHE0Nz)`)P0T4Am-eCC8$_)|ZnWg8$Bpek^i+Mrq0MWt!*7`Zy|6&1Epw{b~X6W-wZ zpT5U+ZesR|AGO=3qsbRN`pc$$CIgpVbo3zTzNv8VVTPc4=AOh0=a(WA2~AC;Pr?!j zo-|Oa!dT1mmNE^*-5r!H5j75^rk38kWwWIhEcX8<0pfrx*Rz3Cg_l<6s2Ch4c1**knLlcPW$j;L;&F%G{}}R%190>Al+wW2_4l(kpG@jEfB|XB%O`1Q zC=mmRgSANNj+OzRG7dGymtErgGork7vWUp*x-cjRQhybiCcFVN)~GADeSNqc}88^nzBik{yix~2%Aa?-2*KOI#U{Bfb?yWoA4ay77wVmE9LJ63Fo?m$S7VwXbd zu@X7jzdG<|X`UXZy;Q>T`WlJkyIhE9|Hqp$Jz#vQWK}2>KTA1kLrhga)Xsm4n?zhq ztMjz+YKS(L#$K>rFki4W9xPk^Q5cqn(c^2d-sKDqrzICV_DoXmZO8Z1WTSqY0_oDf zO4gS1_R_Lz`KXlajR(ut-1ptNN%;wS#J&Qdy@c3pr+nk}4|($;yN3Hb98|4IFF~X0 zXF&ak`CWO{xkeOwvuHM8;le`ojRwn3vj4byKF47lGrn8Ip}&R7rn^tW)njAZJN<@# zKWcU9o!>iiS5}74rva1z_v50}KIN=Lc)_gZDT};+gs(7RGW_erfT-I%SgR6AKkSr)iC!y{#UW_@aX&(FeuQ zxhS_^kCNFu?sViO%Sv%8l6TyluU|Lv?a!#<4w%DF9Bnws`*a$o(^&2PX`Kt*9Yaa-Uo>w`*JLfoUMhnB=V!mc4Sb}E@g4t>KW*5s*i0-;pu zD(1lQ@N5GYxc5q3mmEL9uG-15O8XV15`^L;TDomtUy9mV)o$|LA;|Bz*EPN1fXXL^l;Y zAPW+HrScnAYaiq%)U5!_+w>UnahG-{Pi946(Z*hOA#!D{I6cJ$j$}HioVcTgYHyw) zL6d1s@W-paHI#aLEp!nKZdeSDF3Hk4nn^1MaR4kk#Oy{r)_iQM0fTP><-tjgJ$$Fj z$a02s`m??S*Iqrx>#{iZ%(rZBAs)%7<1)oTRo<`Dd^$QGX^@SX#?JcM9i#yzV6DOj zIt8ZzBMkQK!C>cJUhc}on7!qp5dRC`H)>^aY;29ZK;MS{e;I(RQXWjT)uAZGno-@Q zZFnZjAl~#|zgC{5=aEMm(qU-i8+VXly5(`IPRif>G1x=&=Jrs8 z4t=!;MD@C*+mh=ve~R-!?nBr_Rf)QN|52bD7(t4mu^m>zi9u}|BkUsfbIMicT#^gd z8N%5s{wVHTadMtl(p?Bn*N35|sObE)Jt5wCh%6!I=k>`JONfNUwR_-GT{-E7Vt=r< z#*e*2v*yu^aj5bhjI7P*h{1-M4ZbJ~GrkJVm1w4Y3sRb+%Sfm)+%cW#E|r>-}2j%ah!X z#S+ikB>Y!B)n2woXi)yM_<69|l8)*eU<(wADZz(O#Si^aI4LhvgSdZtP z)SriwW-8Yz*0?L1cV{XbvFR#Zj5f{hmk0qmLISn)M$}kR{QA9$7k)9wGb29Ma2H`B zc9zfDxtd9SdiWsVzsb_NN<{U|n4cF2^_E=Z_0gNc*wlKiY={ZW>4a^+m}sNLJy=Ah zX40YPN;lK*urcNT+wzmir3yY4AhH*Dw#3DL;>(4w?mC!NDWh2K~ zq$Pg#E$-Z08A|jElTZ;;gz^|@PHB3!GguB;-aoQ1^HGPDtfU)}=D z`3H))Z&QjlnySiZYm~ti%s&HTsMP8CSEfv`4BWIO0F6fO4gpLl#W|+{A&Q*R_?r1DYf$z=jDgv=|Q}$gTYm0>KRe34Iyh6E!Ws+?SO< z>VkzXm_2EzDkNlkx4B)v^Tgwijpu!dS@^@o zc6A)e_gu}?$}ST4FiQaNNrIU1BkXOW=;b%B;keYnct}mFdoMahOTVewJ7$`i5iFO= zuz{-^pQ#Stvx2PwU{>BfLt90SOsBu;Iwt{P1%BF5HGgAr294VX>${`gs_TY5 zh(J6MRf=)vu$@VDHLo6xn2kS`}1Ki4F<6cYHM@6f8*WQ*~O5IdKctk6Iucdgp zQ;Rm*sV=j1&Z>+w&|TCwnOUJOJq%!$pi=N?;Wbm`Pwv;8bb7;L(yRO1}H1~ppG8N2zZsgog@>3puglM4zm$awQ;=%jhib_E4&qHa5k9g2^F#%j;_!E zF9`mZQv4K*6kWWh4=*#e4X@Nkyx2X^)Zug&PtK}!k@bbT^$^;stpIKt#53V%?RQ45 z_MW??r*NU!?Pu5loCf5Kl%%#CQz zmg3=(2VFkw<23ZLoc35<(cJ9T2ti+8S;s)e4!S-Nwn-M?X&((M^=eiDpgy;f>@5~?Wfa*fxLs_OAj$^MSk zYt!oDvrM#~{M+ahzLpkI-nm*WcK_ZV?vFu-gq-%@l8v(edR=yoG0RO9Zu= zd~@yC@!Y3N3w{*11^br+v?Ss_;~4a`;{?-F@z{;l(6nRS^*0?(w=c~SF&zVgq^Vy%TB;Ox_rbI@a5L zO;n5}h>c7y?h+V53C)IPB?#|RGPQSI>T^j#AZ}1{)*@95lxbPI`E@ z`(m=%hgY%U=x|x;diKlH-HxwJaIWINkd#Vc>i@&lcYssb|NlQd4WtM~MkLuQBlDml zLUwk@cCttIC>ceZvgeV6WY2T#>`ggEIL5K}I`;g3?&JAh*Z=xmm+EqNALHEj{rSA# zulH-16phqR#u0y|BYC6{dK~&k<|OD?t&{4FBpT`BcvaGrSjKR1gt}l{yh#8JG>m~E zeHHh6DofpgU2b(S{n|P;lN8x%S5k;x0A~XQfV{KzN;a^K5%N!yUcAkny!re_;T``e zuaj9_(IJPg9zRCA&`2rgY(qC*_NtHK8yHIu8s-GA)g#t~k}a}+h|=!-!f?lc87 z8E?Ze3&Rl$CAR2b`sb(re4Zo z&+(MCp(TNcocLv6&>2o$>(gmQN)Ek3!Lxa)enyhSR6Slc5^Q^*=l;66nzGUCUu#zO z!3i|=$I)@BooR|^aUndnWcKdzuBas_5k5!gS0y+`Q0HJk;>b(skKct`6*EiCSu$kPdNu-)B_Nh0gnk^d3^);?~!m9YNG}o)8J$EQ7Kj|n< zep68Y;IZUoTwhO8wD|xsCG|c%O22wy78z)G=VkQ_k>LfR2)~M#m!U!xp!c5m1sQw! z>%FT#LW&6GhEEnRUVa|8)oVwbi>}NDFGN`WIr@8kGdfyHXNZYxTWtCwVyts;@VHcv zLEu(?r;{Gv@HVT?xkIDPMk=U{Qh_@T9wSs(j*UDl)m}ev3Wt~_WwN?tUe0=P`AGz_1G<4fA6mw%V|S8#@Ebpa7}4@A@Ru|lUBNJmL2g(_VD zj8$-$cdTlswB6N_m?J~+-lEOGrxxxIr_$%no=KqFp4{oitNlfgQlEZT%KKLb3z8zV zh8K+H;U`h?1r@(o&P24~BQaC^p1%u^?rK5b7%cLu9~TQH>uw_1F24?$T2)2|C+pC) zA-$9g?|_@Ixv;Mb<n$o*RD6*x?%L30&_IP0)&3TuIOhEHtrFB^hAo zOQ9SaaSR6agO|2=P%HUrjE83Rt4oqO`|Q1wH*(#4Q){dNxf}A#4^Y`jZ0E#f`sv=w z?rxW+Qc_;+t|L`a9$ZBY#Q^>jx-llMe8`l&++whQ`73p8v|7g7O7`n4Fb1`7{>4UG zxc73gmqx>9vKu{^Mk7@Vjfd*LY4|?AtEGv@>p1_;pk6y8ktFt?8_K0sH@GdD`tjYd zM~SY`Q@9I;M2S?bWAD?uDHU27%Hp~bQ}ImOR&q5w%HKKT?t3xcT(et-Gx8>7xwg2( znkbyeG->4el>=Byb?)k$q)Cx!WpUydYHfX|&%xG5Tg~TrVGzYbR2KOs>b;oPZ2TBC z5;>6t90!F9``7ErUEO=uh+{VA9??Bxn*UULqKf8 z&p)~)ZN}bMx}BA+ilaFrL+;=%M_eH9khlFEp$DUCPQojG0ar)pn+q9Qyj>wc`|ott z9I?B<@|Z)<&LyRhX?dWbcOG|%K>2^J`1lj|b||B7UN>Jju2m^n4GgGt7?O18l+D2_ z`>zex3e3BYJ2_sd8Wru&U-QtiQ7)vN7#F_B|BO~Um_FHgroc;bdzaqD;HHYbvMbTm zEDTnoZ71cq=iF`wJ54#?G-GQ0-LVnPI)1T|_(@=SjiKgYw-^t07OWCJd}_g8sJ&y< zZrq7**0gLA%Q+HJ4YPZfIFDkfET(-*KU6Rux)|wmY04OfmDHDd>*@$x=Vk>z7QuHzO&Vo?^SpG%RgF!8PKKXZ{C8~F}>!=ZTMnt?M~;qQVQ zk`+E@XT`68>Cn|JHtRlJy!=1w`m)M4e%kuV&@&3_*6~3@7916);Y^&5CXre=7|4Pb zZbuDNW~Ii^OPw&&G~hT#_v9YH_?zq-J-tI@iPzpVzR5%<8vaXGy1do9M2^yTcbQdH z;hEuz1gu~SrB(kVUEipMK6#NY9W2|2KwSzdPvhTNWTaegOX_Q^sN`Edx!f2x{yf5S zXj0fHp@dhP@9iJ`ynCK!6e4D0d33uOeSW=m_R)mj=$+d3h8nIBG3^`*>!Aiqm0khB z)+X2zIhP797t^eG5539~Zn00H1U({o`BeCcoyHgEPMHN2)r^?d4|4D>K!+L9vIz>^ zybX(~SmuPOZ|+d{G@Jw*y59|moJ_B%Z=(+`TnQF+e9^hqri2h-PV9>@GvqV(FPS)**1V7BPU3U-3PAo|tP%?ar~Rr^hUFpZoQi>a?FT}c%hF~X7|qV6=KJpYZi$uNeE8*j2OaYFyV!Qxc_C%RSmUM&~`emSJh!c~O`sB`LK2G$QPUG?UhD=Z;D+AyKu<8nTBgwWj*UbZ80@3-5QW@Ky^~0!!e<7 z5fwM1*~K*6?p4`Y>?XK$*+AliZzAr+u(zJWf_3Cn+-H;Hn!w#pH&E*ztA7-^70G7z zRIuLU7fZ`TL+FQW)_lyeqkWry_lq$FV3k1z*mhH$wDr8-XOMvVMCuTIqd|ppe78OH zi5G3IT%7cdU_nyN4f@J&I>S7W=IokLySA2#%fgX#$r+^BAsWn0+P?t()mK$fTIsvq zYUQWO~9@^{Tytp1Xgr%xExkz8Ei7i(=;$1}IZD!tEt&7x{U-MU=mvhDHiXOgt>0bBPt`Zy zi0JR%t=>h9)=t*5;fiXCte@lohdn+!oACd`kYE@fwyXY`BU4n?zRmf zI&lQ6#3Kcad{K=NoaY~KCO}IGdk+*RS4J|*;xooTuYK?_%>EewvurhXC?hKS2Goev zF{(RHJ?hdFJa8F~8}od|Qqc+?#+%~0%#X*q%a_H?glsu#g5SCqISXFHve5(@?l4Gu z5lL2s*Kr+%y!tKfBX~g|wx`CuD5LrX0ySQo5Ee<-iS#?k*-sw)6)#h?ezzvWxFgP6 zBfsnKv}8(oq}l?9JrvILAM~q~oC!F_WMr2~>liXUnRk7+iHv3O<3~R#LuU1c>)n}? z1NVuJJF{MdjXVd1BSm~}muU9r%1zLy`B=6XmbA@@s9s9zo1D1|5i*&z_Es+&>u*do znUpQ(h?QhdS}m}X4-N68!cMN{T2eOfLYYFlU+`jH?+VdU{Pbn1A6F{ETw9S`$jc7O08Db3rYbKGduDllY29uMJT0<)Qox+e*BAul~OkR*nM}M;t5<P}jW20iB|CvQsqV0Q1#<6zk+Z_Vd#f8ARAaFA7Ti8&; zedECi@-7PJx3~VzKX3-NPtowg(#qdkR#)E`4jezOaV z4l^_ZjvL{0F|*XA0nIcu1)tHJD(fr3T8c5$TXZcd5udkQpQmvXz})?`=Rc^fdbDPt zCGQ%Tt^6$d&@=Pa+#Q!C4|00IJd1i|G-(Mx5$yDllaSSiFGIMStyp>k;^;0-cd>dV$}M61R&ko$W}hGw^bts9p+H=8lq)dCN>e9lt%gCYM6$;a`7TgZRBdW5hp28UNr z>pWJ<5f*#V`4 zL{fmPEfNvRFU+UUy|xt-V%Nvi%g}wPOELS_U!=^i&n0@EwyUIS%r)kC&Y(I(@cI>z zT~jXwSdCPdB!s$xlHbcvEO>ojT8sGjDF?4z5)d3_Il47qYOd1CxPNh@Ggq=rR1*(G z&6U)!i0}5Bha)k~w%58Aih1e&YRaTa#l})9nU{QniRjzKZj4ws`?oqqKTfwV;ef4o zufI(-G!&~&eh@Zd@vHKCN?uBr3eD+Waj&+eC`@FfMMO$tM(uBz6g2}5H`or~_}^9O zrp(OOJ%V3n9xHgS-*0qV8}`u$&g_uzzj0lR@ndV~?(}NOnfT&*q&cnGrfZ924+O)9 z0f;cI_hin;=&@>ZWaiu3Bkc`Ys++Px4vQT2UH^g+;Z%muuuf0C)ltPuLa-;-enTMn zA#1rHgOd9a(Gk^{RX010@6zvP(D|}0DHSpv^?QwJmICh$`Zk~Fu$HuclB4Z|zqX~Z9A{91zkX(BF zk-oTtzEqhS(~y_uSuc6yaz1TC1KJ0vF^|A5|qqrc&(?k!IWHlL)0RBzbO zmp!!kGuF!boQ*-xrUrVA&iM1Tp;7npEfpotHzH$ebXIF+&Zf$tBVKX$ImQD8Zk3@~ z>jPQAo`>$3)~n*^D`{n#jT;*)&3l-O?H^muO{|@|cwTvj$5vgy)h|e4Z~O5TgpIwA zdnQ>xZ7L3@7|XnKZ!}E4UIiD{x*kaZOMXfdGlXfBbtWYT?I`Mtz;LnCV{_l%?p$;} zR!0}P=(I(G6ujFjvClSX99|lIVdfWiGxt*t+Blh7965Q{PfR}Z&@+goG_sl);hA=S zn->-XEtZWL?YBHY`?%o5E%f02_e!&!aSe2c==G`GzKL3a7J+F_GUhLIk~6Wpy-Q(X zBOlq(SG`=v_b?TgGz$g!NA?4gGcSrNlhe1;ni}L#tEHcB055TPUo?~L68xcAr3|w=;gEpKT;3~sPGCspKNQIpxfuI%3>Pe$ck68EieQeNWrfRu(-4edAYmp| z_~J2>PKq^wX#tkOS9LMstOj0Qbs9bQy59vV>{i(Iot(ZnUGiKqfFh=|gaPpG>+IY> zR`&^f*O3O%eE)s5D{AS*emUr1NX1#<*l0L-k{&(Opi3A5F(-Ol?z22HWshHGH1HgZ zkr$!6_^05dMRd6hXmCi7X}GK)nQT#%S5URcJFsZZFkEZ%t15vT?d=%<;l*BuawsW} zw6{0mUX^9pUVwNCtdL>sjOwvO&Ca1+EO>?%oOoDr|LSReXljymR|F#~T|~`ywRN_~ zZU>S3^dyhWg*6#J$aie{>@@DF;*AqUG)3w7jk7&ZT7&6~A|SaWj=gQ`O7S zbi@vE)C__bQPu&~h$o9)@U`XY7K7k5kPpnP?YvA!VG_fR5!I!h!|K`pzRaOcK!r20vG(riWW&cjKNvfBIuxeW1dJGgAzE#v z?vVo!0)Ukv?j4gvAdZ${W8N1JTnz|N@Os2B?C5d;EXMC8K@pZ+$xkE4&c_$hFLy5P zoyk6V*JsQUUM{bU)eQIW&d&IFwB^qZ+IS%f?g+U&aIxqw*YD4#YVY;5t)NC-f+7#& zW?~yXr=4qv{!8C0v*#j_*?Atz^oScla;#7rc(u;2ftN_UFy!JkzgTZb^Hvy%s;iN* zL}Ft`HredSlWX-&q`wHz{Iw3UZA8VdDJJ}d2GSm?&a|$C9r@Mf)tovA-UlIiN$x4^ zcTwHnL0lljcEKTkZS|+Hf5jZQm4g-yKm}-Pu@zpv?PyQ9K-@uOW^|{lS9F{0&Rxe% z4!}-C^iTycL1=&hJei#sp?}b#bn9uy>M`JLbLcxCYOsVjFVr2gD^>^mXub7om>%y9 zvfV*zA-A5kdNG-T6ZDB!yl}ErVD%Xxs}4Zi_Zg6^$Da#ynn2O73K`hE~JPe(VE>&Bu)q9A@%k z&%3~MFW^S?s?|}6-bY%B6D{sT{^c${$Y$?G4eLbgal{%XQ&x}xNxhbc1JTaGO$Z?m z^12?wW)27O$4-Vt$HzAI^qWx)$uEbvM5&?S00*zQblUUa)TLCb{}rXM-6SlA5@BBm zhV)))ZrF;=~3ER{YlNtN6 zuC*3O)6UrtSSaQ#hnIOJPpp8;dY=-QequP~&}`LSJ69=Rr!I zSv;2muo_{WTel=rwy7IDMmR$kx9T}6$~|M4-P+flzxFzR$>kh%uZq_(XFabEsTF$g@C_Mn$68JFuFf!D8g-kHPf?d)(adi? z5H3A=F;2bUFjDGq4Rzn_U|LW}wNK-Dk6pUjHsqZX#1QP_Y5~NU2j1J?T>n1j*q^Ve z$$mE>;!P5HQ*}Va#!`U6L;B|e=cJy5J_tw5^!&wUFl-Ws6VW$F!p*Ld3yTj@CXeij zUc&}C9h|VuSm7V$e;!*a=PibuejMVACrj5H#j07tRW%!Ui%BAzXUE5pegV<&CNVmW z$Y#*lP&zLA{~Nox_?Z1?e+d!u>4?L*dh><#cpz!rdb0p9DnO@7$m|;UoH;d4gXt&q zhDrl?N}hzRnhWtR72*mF2&E;NkGsMP>)vgFD%>?PY$a+RW!8QtxkrM%E0qUqDW71@ zf4!{W9)E!57EHKe<=0#?2Gah9JL+Yf*#RkzW>mgZ-f<9$lTDZq0iH^6s)MJUDmUuk zdtX)JuA`WrI&rWLS8>+_!>y)ISH&z(`8@xf4N`ELdCA3Fduq+qQ*SMj%+(_8lVsqlJIycaKAnGw3x^h|3~)aWnDnHx(q8 zZ#3uC&E%(@h>oZ;7+zUuQ%Q^- zi6e&oul1JsqcqLB_3tXc2D4zezkkq$^Luu0aFA-QN}uEAk*|q=v;qM!Wu(g5RE*n8 z^{VN}D60$wT8H_GI|J$sQb!K)7^yENy4U%pH!nq)b{HGHlp?LXuCVir;n7!m>UF_y zBRq=ELMW}AsOP7Gh{lXf>vfLj&UgQ9`9yp)q~@f>oG;+;$x^N5lhh4`oh#(KdO4Jg zGB{J^3t-F!=6@tgSc^vIzHf(4##B1Pt9ECkK5l!`e{g{D4sKF=CI2P#9M8y0!^ajQ z&zzJm^oLN$a4oysMWk=lT^PkE?kf)7z&<0c`_`lqyq7V8R|y_!E9#Kl{IP$r@Pykz zVAA-QfADik=FxfvCsz701fYgK)%Q>{J|<7H91kuPC+KPyJhP7$>j(QQ5BMR>EoO2p z&g57v?I6b@UU$l53GeVq@RUKCB;(Ap5^K#uZySQQ-cY;=O*V=X828xugM1K2Km1QR zF2>g@D9fA-I$FUUC)h*=KF}K#r?Q)VXK0jYn(o?$1#hp7Hw$7td(Y(w@i=s)ZN+@f z)0dbZ2sG+~e5X(eC-6(=5`WE`3`)!Rq3%r2VBDm6f{`hqoTYK1fB*@mGP+%%xo{E& zXZ4|E`#zWojGC0FbaJwZtkA5DbhKn$!Am|jYIJivk;ES5W7fEM`uzmEEydBQiWllw zN{DhhTtS=t$+;zNKM>@HKy%^+$v8~AXA3`1?=vuCLkG7lvat_;Xs-8~S6^aHEiILU2uwEC#^~I_3b~tI^x{u~8p?x3Ps5*)Q7xCr z6yBEq(>EbNAjn+1b7Jn@py}1ZOE22KddqY*8RkO7Uzk-5izoLwd)6Bc_g#Y;97vf; znbn}i%@iKc^GI6Zrf>bp4N%g8jgc274~ywL4=cP$AN=K?JibX0M3IRsZ0oZ0d}bNz zBU~|ap;z}DF=i}-{2sW0WRZYz+R*w^xx4=t1wJ4v6A)gUj)xv#hWZ`@86EVVx3m2dQsbl^lB@FL61FgB;fm`-V6 z&f52;e%vn*`(8F<%AW`&P6KM;(f7iQD0$CcqWdj*0izdQzy8u})2)bA*nL@;W3dF( z1HvsmE-k+5JS+E?Sw=Xv#zr?QS274#Q(~03wP%I!R^I{s(*GK*MZZ03JjI`>Vg^9IBrhgm$byIigKr-#fV`GND5UVvp2a#H#!n zXwxTW6MH}0+nfAdvuWmY6QjcKkz}$M9;~itZmCKv4pWQ6+zFpUDoM6=`Kw=-D{>W?wZR z;3N5{ZP#N;K-s&|KgEaJUVs(GR`#=k}+Qxe9EM2vDdmoZwCSNN=YJ&~>4w?kFEJ<{}x7*f!ydY+97{It!E~1#N z4^H;$Tys@2czomoM?SIut_K$W&u(h1wLJdca*(nJ1p`DN#HR1M*7LW1oYIpxc4ZzF zdRMA^MNbVRECP4BTleW6?_QZLoDtT9G$T(twE6XIS7{?sdW?r10=$9mcGy5#U3WeM zd((;add(0G;NecNHTPKKX06!s!d|-p=z(Ge_a z^J6xHFem_PseFTIB(R3q9==2t zlsoUQDuomM-nElrDzNPV|8J;D0RY)FUnJ)5RwwD22%)_LtP}pEUbtOJ`mpAIV50Eu z@s`c-k=gIehYy?!oFda4?JC+u9|kIPx>7aQH%+lUaqfB;g-lwbA9{#8%$37MOjR7Fo$Xexmn8Yna9Xe8Yz<*4#KHa_?A= zPsel8lSUSqycDG5l*OCo?b?i2D#4hJn;eEI+?@F*nWDr{|u z{OYZH*>Mq=_h+Wa<4bPtNmnF!3LpPB;HFyR9P@WESyq&=&*t5k@P{#xt({Kp1qzjX zvlIzv`8d^g9L~-VHuB*qn0FX!S7pL`rEu!7K4eXJa%81tZN7CA{&X7f87ZkYzD<@gWNc^( z+&btJfGBG;KbJwg>dOIR?anD6ONuiEZG|@ZK{GyrL)|+C^9x7}rL~h#qqvK`t zx8#%uj8@Pp4uI-W0napY?}g-~lQ2c#3`iHJ-j6SjE$@Sm~ZX#D!$8H=$h9jI>yM8x>*egT>li|FwA z0l%RQMM&YHC*fB{&wq``j}QGDQdfYFRO!7X>f}$4Uqw!9zk)WRo_@o6Da+Pq#kW0A zNmb2nDYx}_yTFrD%1y>nAKMT(ormpY&y(cwJJuf5nY=!v{$Qb_PUsUWLf1bqIi$u2UGj*xtjC2LKNOaZX|!uh+o{y2ODJ;zz_ou4Fo7!;XRaW zjmeHP#p+uY^o*pe8G_ixsf8<{Gm}em)Yxb0FeWLnT{0s3*6M!dArc5Nc)|i?+feo%%&xKlY z(}n47QIC?@$IgknZ6hDEp{;=wVJz!2B>cxwtV;Ji**_T9@Aw@=8d-Ot>6uCBt=+CY z`-a=FiWk?QSv`mNlQ}m**6Km->wswcDAitq!I#PuCEs9;mq%NoG&?nYU;T?9Y zs#j9Vq{G+tnnhoAJ8t>atlpr1Gzs9e8jwX3G#OXRZhhm4yYk*l>++Xb5{D@$Xa01c zK&Q*vU_Zo{2CG$1x=QQO?IaoJb$TCZzy+P*)Mp>*VxMv{XilpDKdF^uvT;3~K#Ph; zsIUR+G7;Jh`Zl9fMN_83(-hN(aCkPnI=O$+m_5!E@)rksyf2peZC-KWR;41K0_+-7 zvjjYKwXVJNvh|!iS1FNmXM>i;d~4q<;gE;&Mc7^(E6GU z+a_+@&H~pQK;tYDhmC>Rd0sG6B=b9Heph%eZWqyh3->YOB9?%h=%k3SCr_ z=70~4SI9+W1>NPIh!&e(RL%N#bZ{u}8!+&@%6rQVCN2P4)FDGq{#v^hl?8Z+9KJm( zuQIi)TjxCTu;1l|B#$yBsZ0$-C(J99M#OlbaV8X9n2#(lIXGxcicspuk*iv zpB8_Ny}WRod)e_(kW6h87j|nUPfM7LGzP4&Z9&vPf8tLCILuH|iIY6eoVkV9N3!kF zDx0E=fZMAFi7S zWImX>?=aAYs7>j6r)t#HbKtp)5@*?D;(q>_E=3caYX1B5?UDYs=+K$IMbX{J&w-~rWR?ra9MT*es$cq<8hnN#NJ82J_DS6&5+k|2({!^0^ z`P^T!5(*4>UP^@(<_)(ZPL&o8e&o1ICaZa1>tMI9Yw{z4x5a*jPb`RI6(8&z%xN-A z7Em-1vzCANiF0!dP*vQYa}AZLT^%?~D@&k+DBfmVM}2-+-0p!?#a}Ze=p=O|Y=Nj~ z#n!pSsP4^D(RgtdMMtkRA7LfKrzD8VIjK2U`N{mg?^qD`+9MU*#$z-!t1yq;sPuZ6 z4W6&tV*bBH>>V$+JUf~Y_`^$a_g0+X44^H>wgou^+#9#XC)a(<_Du&2x#9#at5S+S z$%qKjNo}pv+;5w2?kNj<3k_=dr;4W>LR9!Rv&C-+FP>|Cz9DV@Lf@Y}h|h)UKE^Hg zt>;T>U+I$d{LV5Ah21dnL@acQpi@(MXC}>b^RWUxr?jZii>FlU>^g5$+vCrFwitR4 z&e;N8^>;ZFMQPy3Npy%FxH(*9KrnGsVT%3fT_I259d2YMM?_{tzj{(XP%42Xy3wGb zUW?A~9k>@M8IzqDkmRes{`xxYUI0S~2c)x&v8`T>yL)7HThq%Dl!4F8J_s?D*IF@e(IN zAI3$(98SrzEf-XV&g;#t(pVp&tu(WnPawq*SJRD0_+uWF*NbxXaAzR~iD<+`Yc?|Jt!z z_e*84&|@G`n9Z;c&$X-cmgEWv**C12L{GlzjP*L~JJNn%Dg` z`~eo8A&BE2*5S}30JUy6kfek^sPB?Ifo6ixTyaSR*>ge4M^*H{W;gc8@*z8{3DEvL z55{{snzSIq0$+APJ+j)pqr1ManGi$-ZM3$kzm43JCevNz`^S#_5Ve zWS!|FKmk+ZqO5;z&gZTE-WQ0ekY0AG_~xbHw4u(nyut@F?LytP=$h}lIFh_Y-c|am z@c4*4>M-xxL|sx6!tjZjKdrc9VD6;H-qY-w@In_eHg~S(m%7&hTS1?PLmSokwIgXB z^{c8qd#CYA;bX}^{?(T8OvB}%y^OFXGUs^zmv?OMP2PMD@9 zt@W6BvW2EN@w$RjgKQvza; z$*M9~YW>DAJ`2s+Q|ZN|Rucy7#iop4$(qc|LrrX9=l0y$`r8hX}`_8Ye5|L(aN~uj?AAG8vB;5hz?7h zG8H~RxZm-pihX=g5Ou>N_5;&Qk8QildkcqHD!iZD{)3}NH*Fcde_|`BNE?_zkTS#u z11wF@zg$fZ_kBr{j?pSGEms$G5zS_3q!=FGQh1Dk{67A_(?D{DlI;B6q9&6|z(h>F z6nEMa1^(j>wzrBoH2$arQpcoTT3hVluf`GG4F$F0^}9x|NJeGhu2BBaST(Kv>V|Kt zaGXFI)Te!zty-X7z5i%twW=E=qyWS?2PS`BGI)?SrT&+)0MN$Qtr>NJ2-Q8Kf(;BJZ!rq#^H*;P@l_S#mku%z4bM=}Pd%h*lrr5c2Gs?%| zQVt}cEXl5`xqy!Y+Ir&@>Xbt+G7s0ex`zAPl`r10r`9$Qg!*XCm0?5i-K%u?K`r8=`mcc`sFv#w}#u|6_K3%sXczv_CT>v$oAQbSq$OWNxU3`K4qWN-nlS?k{<*~Rd*q8O&0-14E$oyqJS|2ECK`gzN&qrC>xBMtb%iE03M zTmM3IZKd#)OY$j2ga{4hqe6pJtK5|3flK4E1hQlu$I_!{#$G#gJV@5fVexZDFXC=p4jst;^}FRnE%~c=pY6 z*FO%|TXB)+oY~N-y7P3&E?VqAXVfIXHZdu2Rp^OeWstYE+n71GRkCfow4^soA(1m$ zh>VEFDTe+o)p`Imc?c3-;Sh$IhL0I%(35z*|9SkC-Kr`eo)F7^{p-WUW!5E?`pWgG z>|dcYMRxp*&i{1nOg2@|S^7jIm)P+~l=zFfe;0+*b?saiC3w)frR~LAt~o6~t8mdd zvjMT+C|oI(tZf-Uq@)hqtB>Va5xq=rWQC`kGD86ZYqB{|>(zcezk67* zp?@KF$fE)Dw$Xu^O?{nI}P5>gr^WDSo)rELE?R82HY0qxKH4=>=dstaRBfo8Gie2H zuy5aAS@)IS*|el_X{W%3Ff{b^<3(NMsgFi|g`vC$(DSc2j>c!S3khnx76?dPIejt1 zcyU|bjDR`(=yzVS-gJ5AlF!xO{|E%Sn=2J)qD@{6d*u56*~xIIja=&ZI#RWg$Ik9C z-WQ}g!qCuq0W55Ko_6h(b({XP$OR(%p@au;dY7O!EIys}A`|r%@cVd~@tnB!xQ{#JUr%M#340N;MdArsvleA*YmN_B4v-*)ozubBj$=ig6RWx}0c0ia6) z#BLYcsX7sT;_uek5#Q=GAPDAD?UZt%qk|H@B8G$~i!itcLF)?6DXQPG{&_6`PlWbs zPtWpkan*4zoG-9ofF##&T=A&SNMM7>&n!`dWmrbp`a5p@+lzlj!+BYuF9MW@yE7$D zm)FP+X4*DZKl2kvO|xn?NN)Sn;7|Wi1P!n*RHTkyG6t~l|LB`|-C3IZUvjjS-7|Qk zPQ(T@q*SUxvzF#YYpE6h$Muc$vLeb%R|n_ zH(Q;2wrUwy$HKal)W(8o_y|9gTCNU%8vAe9>fRThB#wVF6b@R{_Jg~umh|#ti0$zg zaK&DYZL|?yftxSnzmsdGH?cdyP&hW zCLw_nr#{(>K6E-eFiShf)JJRa_X|rkOIEId^Cj9;*DHgVg*c3UIIWcDq&wZsQGs*` zD%@apsjM=3A#ZUOsaTq4NE$>uOye)kGsNx_@=sE@Nw4urppUnaajw<-d(!y%q**AW z>_(_hmD=5}uSjJ+U0Y4`qrqbQ?ld@R?Y$2|o3)bfK8-(WE>PV-a;tJx`8;}1?CaL* zQNy=(Djo7(-MJZDP6OvO4U+89@*y3sjkl?yBks`m#lVCQx;4l_~dMvLFn=<#+^ms}<7j#fbv03i5iR zpCXXonc6T>tMw&b@b!lSP7DE`(GiS+hMv@TBhtd>10T-a7wv$P+nsTOKn^8lZEl`I zRch{EJXA}8D~{_*EA_o=_tz2BVrQJxy|0FN_<<^ad>)PZ)<6p^O?9z61LDSj@v1>L z`<)~4w)NR+4_Q}-M#$5_K}j-GxlUoP$G!_htE3A9_6D!tgpcv$4j;UvldxL?Ozar; zK?&d6TUAdzgpoVR;a$sbS3}04Zhu@%E_qSKMnKS-j2Ew$k%Xj=)6eNko#* zITVTRTwJ}xZJ+xb&`UAzBhd5={62}*4N71cJFiSrS+4VjDHGh!4<%MU;%1!} zOcsz3(ox(IyKXN34VFN`WgpEADcxo*^DBSYrtkwV1#7fXTEBT$>C{Vf=^Q$nrEI){ zQ%$et{U|;H@WP>3v(Q74b~Qb^-9oeE+i%YBYS9c?9IfdyPx+hFGxr;(=i0<8Nyq{u z9p5mcuZjoC5(oStvw!M(`Yrt$Ly{KODyS#arJB3I@Y9G9ast?%P-vM(>wMr``#sVN zQSb>JGOFqds;G&bJEANB*j9iQ(H}S56(*OS)tT7bN4jiM*0Uf|ZOCh}>8vdwJHD=P`OQ6Rk4_IxsN;u|zs-AW}+A9)hYq$%t z`zL({BTJqBV|+zjb^TVhRTZ&ofIj1Z@86I|6{mh%|5S97SMc$b5rr&L}nf5cR&cL(TQT@DZ=6>2r?T`OGjXo$>KBnJQi1=gZvF85_{1u#I05Qb(NNH6r zI6~D57u_$?Ltisj2(_FnWd-@yC zD-+4obeUJU^=1PN5rk^RJfCJH-_z*mWx0u$Kz#IiL-&V5!fzF}x_Xf7ZoLCFTR;vv z?Cy~U5N;1$f=S45H6IVw{{e8(P*p~}nDeO~{x}_P(tp2j@f8;1vvjlxb{T|iS27sN z0tebh^DKAVqfLYf2Oh2Uv<6UL%@U^e3Z(Wk{%e(_}2`5WC+P`sfNEL6Uqt5J{{+vHv zB8f2lkhAMSscCjSuhu`xD_<0FQ$nADf*MB@vvKr3q$& z)D?u(m@30ySm!4`xkfsIdpmt{$8gCCrYk2Vp4` z#vr>$IS7i_;sQ2naSYv~GevmNC_f{x&w7-(PF`9^H@Hd~y#Q>~t6rdz>FBKF$=gIQ z8I~wiMepudsw#GoS%<4;e$t8|5^pIq;F1xLSh1>@e;OpOs=-J)M@@w+d6b_QEMw@_ z8kih)u?;bN^7irOn}pV^%rRUz&q6%=Kslu-7${ywur}%z7IeYw+J;{7Qe1juc;`AJ z_=Ti-5(es`+2x%xwMYjGL(7*+y`CP}?WE)wIbJ)vuyjL)`=4q-mkeoFFUh#Qq859a z5;lV7i%t1iCfRdj&21~1#dw(Dx;7mzx=))48QuLV5wv5@)_W>Xv_g}ISbJxvC3oT`ScK7UkAE`O7Ih}eN$PW*W z#Ui$|kUcI8j^qf;-_PAPaZ?uLuLX*m>&K6hwd4M?TMGC++7?62AW~Cf=}6!v1Jk*f ztTYm^Zx0z-yzUQN5~TfHw%*KBX{u~MfK!A6)-v$$JhxJwlKvFAo4$gvn7>Y&OI}QL z>1S8hhkFWjF?{`R;A5NV>odhpV`5R-6Anf%?tu5xT53(CPf--6i#F&UFQr&(ZVtsZ zND2@(NM?oqU$T-9C&4-K2!r`2Y$)rI`Ja38mh^mDuOjW=i=-h+fV;pq|SXWiu{2dFciUf#P;~bEQkSfAFM*fxsorL8*GW+L!8!uWX$-6Ex2U2TczDHFU~S zk>=7bd~u2hvAZ(Ke^aYxmV`k42@d^9kVsJPuwEwg!g1P~u)kuZ4h|!uP-f9`1eiUg24zXl`^Ikl~ zWf->fM2QaKsGZl_=2|#lF1({V_adX=xl*pmq>!FaPa?r6e#)ZC#8OB5jMuS3gX(xb zvohNMiYN7oZ3i#*#qSpmIIc)glmxx2s&R|p{pZX1%~YxuoI{v-`}^6xj=t8HqGLl~ z;{$_rW-XT=+TF+oc#3G5}#Z(iYlRRY1?m*ICl96~zq|wa?rDU0ur^WMdYJXI5AfQeI^Vd-YP_ z(#M0>pPbEjqgH7n;TA_LiXaV`Al0&08s$aZP=I%Q&(PbW^48r5dh86I4Jv z%v_D-lC;fr-$luljhXW}>IGA7y(Y@%Nu&8qY(f9sN;fxSh-3-(6%Ud2yP=Sd)aP=* z1;E3lSVf4gDxbw|Z$k%}wWJM9H+gUL33;|<{>|?{FsefF-t0GK zpJ@|>3PlFNN~8Lk`@!7wjamAZ=gm6PYJ+=>8wUmb4f~Yl1e#+ENhplPWn|LEmP*XS z>yWQvr~|4#4M|oyT`EOQ#%=NgjV+d$lwpPCTA@>DOFf0-BukiMV%lj!hjAr$-Z1--IQ3_9PD# zLSXxj`^TH(-tQ)Y6pD)PqnwW4AESeFAs(Nd3(L`e&u%n5?oCuifi*r;6eSQcsa`U2 zlf}qOe@wVmaT>Nf2kv)l%+;?)!9sh!RnYBu?GDeXkl>Aw(9Wb7NqmW z*fs+cYEaQPPs^F!1r&6(%%q+?*BL8ixtWXn8K z;^X3qOeP5)R1}c3Ox;D$^HvvZv`rnnsH=%}PXh?vZQ%8o=EmH-^$1!0?s)9ctxyI6 z;%2$_|Css;uqNNAeUSzM5dmohX$H~_Dj-U#^hSu}$Wfy~Nf7~+k`@p~=NK_M1*E0B zMPPK-{~i9m@BdxbdyVZK@8a3>Jm)_5xz9P7wk6;C&`U?Y_E9R(?irw>#auc4Q(nl=+;KV7n`2tYuiU)EUvm<( zV~pN3=V0aGWV^J-F!5K_qnH}msq2d@R8;-si&6GRiXitK`#4qlRDzMFxo;Xx~J?CvQOhP4GOmB(f`T2I} z6y7VwJ-jy4>ZQx#D)ic6;VWzn!jT^?p?1jKFZ<&LwA=~%C0VMg<78XxD+8bglrt2kLTX&pPTKL0Tv{`6dzpv=^|ToHnq|W zKK*(3+^v{2RgHZFHCy8~S5m$$MG}7d5U9y)&Pr~~GgYhX#ML8Pp)NNS98Z<0*|*AZ z-N2J$=wKYm`>gnl;-L|dCLpWE_8W73?Prt3EX5~ZL5&UcV8b|~G3KjWURUm^+d(bO zKB-7Pw8$+@RU7gJFl&{Q+WaaxUgdVoDmfp5Od`@Ed#5a{){2(MK~f#KIBR_NDRkh4 zxZGP6(*G<&6J6v0FO$$bCBrT?Hj1j^5_h@w&Th@bJnKcyd&!&&S;S7~H~f10W-fbD zvH7My%bTY}6p!nA(#{y`g0OT4(Q3{&S-A{^jXSE-q@>I-J|L9+vQd1`ESC^bW>P1B zER@BzI&UZx3hvNN_*cz^m+4oTwm6|u09ukLj>y3`&dR>2lEn^zubxJ2Xp!xh(lpSr zo;VLU9O@s|Rierj?=c-(OY)k8;Z=U40feVA{i*SI&3npDq(;|$Gc>RES-K2%Okr!A zd#Q?QfFv9!&^6=@#icU6C;JO1CknLNi20g|Txp+KsuE^G4 z-Uei-12ktMN?+jRUU}Wf`m4ILneqqXGj3^`cH;U@Kpn0JTH0(pW-6-klbfRdBib!% zQDzo5B{=d=5d>i;5f>;m?IrX{Zo{4n;bc&!>u#}a+MnS(&+<%?Rj19&DzfTW;i;Gr z_rb6gDCQXyNW;V?WqX{+irQ~3YRX1k+_+|@6g?bB;n6!R{;PIc;QLq_%@cgla#^PM zt@eCbO)C*dlLyi^R!sIOw{|@B3byoFHTMpWA2kRa4GeD(em1_kTl`BYzU}1M=8v_L zy1IPZvK8et_;Cyry7m?k$=12^l59#mETeX0tG$A1x;2EOy4s zUH4i>DI?6a60X?fBJLtCQ~#%r=H$=(QVi@0F>Wm)Q4X^DaoMbVoZ~fBr7Fh;`a4g^ zx9OJLr&DB-byysy1w@N)PdRt3M(-U>Wn)qsRynHJ-vZ*VoTrzmNph69*n+^o;plQt z>#OHe839|ZP~$fMr}f_f$T#T~ihz_A;FvWn4&YP+0LFUiBm3z@!#j&3=z$ljPBNw{ zQBuGFV3GWLY)y;*yxM1o1(A{lVcEQ z*h`hMN53l>$lAaU9Y0&DZp(Am?a90KYiZa*<>bYnzXvrYagaKxzN7lXm zGj)>FX65eLHc+b;fcLfDw_`IR6jc{eUtCcf2_Ai7o`^DUNVm|}HkZ2Q2kmYr#ssR=STFB$CkB9wEf^2b31 zOBLcu<***-BgJBs088Y{srDB@v;kwVaOm)&qi3(Y_4pJdqpGHhX(?}g)YW&VoGS0C zsnCXjTqrO!Mfw#~#q10W{q-{@WO+fs#HWQXe%f<(VCB+pFd*eHFm_XHVu^JV#uiRL z7$`JR6nCvs%gS=0Sb^xQRXEl;M2F8|h#5fHi*Eh}mC&`TB`1cf`RrQXWeS__>RN0e z2^yC+yR+oDzgHYolfB;l&)A6^!hp5+0D8GHBNl%&T6++ou1Z}A&7FI0H!ewl$l6(- z^|cV@19Df1BVo=a35T@i45e{nmu~!9OGH~?_>9fX)xHuz+!2iFGCwJsG|R{Su<1*G z;M7$Ch%Sm4#=5GixvVAQY=Y|~`pocc1 zcajD>cQ4)`^{E?#%1q(?E@_Y%8CoI;8ZYO z0G;e>AB_+z1x^50f9rSe|F5Hl>%?Z;&-S|SZuP`KNLhC($YCN;39pmQil$zXCA4GpVwTph zaqqB1IfRW84H zZ2)_KHtb=5;x>;^=dVl8JxB5f#%ToMe3V>ReQ?Y|>dznE0-aRved2lz%Kfh<-&+pu z!!Zs7E(9?5A%^5l>!;ApjLxa)PhBYgNQDntWk6la>|!ki=zx~Lv+v&?@+vuOy(vbO zq0vlxcVXE>NrtDuZpaEmc35#o5FgEkGk|<7V0UU-CLNdN`O_dHpnRg2B{@Iu2=3fS z&}MGl$o*HNx^p@_K{m6J%~JEf64lO6gaI`aVdS}BWdA_+Jm2eWWpZ=Dw81z)H}&?k z&c)!zZ5de+ffcHWw^(H$lE=_Abv{im)=^3^-~Dv#&MW8jPh?_|)NAUYIZtNZNRw?X zrNqqj&Hnx7?M0dj++TXX7q9gpd--^z1R%~#U{zMzikztDWFz94|F||xu0QFKnr3%> zH(Y#K7)U{AkzFL9bHG#!VO4CvrXj&cm*USUP)jgWEYKdTN5mC(RLeb;qncRfQbNrg zA6I1uXvi%w2T?<3DK5m#W0( ztzO%S;`|hW1CFRSR*&24pFITP7m*Dg+_i>YaJnsgdak|W&+(K~W`R4+dH8OjLyW*d z&_9u9(XO!t!)~pVvQsVsJFef&i$}X+R}$8oY{xQ&c}?bxfQ+~kklg?nsiSq^RbUgD zy7en>#moU!rVV7b~NoEm`=sKBS-DwE_%6Uo*LjK ztGa`+scm_j8t_bOa?trn;KnPZ0{6_w@x?H}`_-nLrxcj>jRxJTos8d6y5DC16Ug&p zSGGtCOJ49zmfyH%l<1pH<{7$5AswPQTiWTY@(6{CjySd73uPK|+zn;AxN1{iTuYeU zc`RVGCrz;*gQ82{9{YS`*@atoTrh}DKml@&8ik`BLuh;`xl)XemF{nq%oKEoJ05#V zyEuCGGoi!%E_zR7g@IHXP8p8S!z64=pBIq7gjS56bGD$To5ksysAtXOqrhD^vW$(z(3n^B-4Z`-og;nDaZG!4Bc97WW(4~(Mwa(l4s^*oao+`Amu%sdT zA*a5oJu5p4<`JnVrK6cWfznt{WQ)$Njklv&ZYN*dpfi)=r8@fetFi!jb##N7ar}y2 z*E$f~!>+mg+1~ZRua@HxK0p!^HpQFUv`tGtK2A**dCv_RnKcw_I<{o*?$ zCnS6jc-F{ype(80_-ZyKx-Rs$O#Z^hD-AX>+AK0WewFn~&GB+${mWSzE;bs!vNIfo zIBSofMkVOc4caW7F&~Xg&Vifk_hbq?N86z9ZwmaBYu`&t>Y=}rRXUs@vNEI6GTjnI zQ;a~kNyd_8Q)6?uXTLCR>^%mJ%V(CJ6#WNsH^UiszYcf!JF047gSAPkd<>1%u2MO^ za`AC2NB%{Lzbcf7okp~%f31Bx8l%+57Kh?`P!8|Z8B(olx;jPuxwbk_I8zrdGxOn33{^4Ze_`k)N4uQ3nI z$&-FOaZw30wd(qu%c``|Pn^*CB*e)4R07cR*RAI;BC?gpl0~xb3HnV6>{b=U{GK-{t9T4F?(Zs}bTI7F+Iq%cEa9GzmTO{4 zo#fZHON^Rss;4()WQ8Dml07 z2wYbXXROIzP|ryD*I8RGoS8|)?5@Z(6_Vsj4F4b8Chnkyngr3>rgq||l>WvB6 zO&Uj`LqW(fu6p-HHyKaUZhd+|2PD}Y?AxBW{MBSy`%|21 z#uIq-eWN3I{#Zb77U!Dj`e5|FbqDvzuJFX0&>oS}8;Iq1wr{_LHGmzAj*O7W@-H-x zcsbVex#pVFq{++|C5{vHGsM~+%6jecb+>m``cp?$OBtQdj*mqR;0$dH>}?-&7K#xT z3i8OhF3chUA~U4Y z8C{SSaqXh*Y0OFOAOD3D;VQ|2wnI*D;uQjwQKf?TWNW`vTXnC&D~0cZLvI5;?8bJN zZU+RlJTSM1q<4aY52;@D^nfVwQ-HGlB>1bhZyE-3mAm+QbTV|iRXi&644m%8DWZ;? zi{X}ajf?f{EC}2hBq6K<3YHJa2Fi7Sx8}e1jvVEA-$Vch`yTRV?}-jNMsNve-}CgS zjNMGCzP;{cI*_%&LnaZc>#%zIqm6P;8`{a?xCCavMGU^n2f}(+zrXeJ=g18MrF>%v zl2y9NH|)?K*Zj`Lw5A$!MdsLJXh1~}E_K)YS2~tPQpekvXL(51uilzpRJv^q2cE~q zrNAOU3D3wz%Q8#ydjCq3NTpj#w#;E6 z-O6XeLzg(BR($=l14lbqpMUm$pbK&MZkS(%FR432SAy-XS8WTyMLz-o1 zb9wyaSo-!xbmc~^^I-KrG>>9gUkfUqIC=b@1SmyKW>oCYU`TX96E&=dJ78$=xY7oC z!Vhv-LW>}XG*nj=SW1j~N*39#QXHiP2sKoJ>6(9OR}!zaKqy{TSM(>Q|FC8kJz7y$ zWNoUoK1b#A7ZbD|^tKwH4+_`Tpv- zvuLXz|{Vtm09TLV5BZE$ty zukts%(mi+W-PG2MyPDMW64rRf*N00DAgm(61W~#k&3&x|%+rjw{R+2(LZ7aZ1<1Ol zOwaBU_HN%O8b94!$a68A9maqARg||DIY|m{e|WmU2oWN{?wr)A+gjG!FRlB*^TZUv z8nbrVWpv~)k_dHNw=^rW$$>^4GpY$yzH$6M(P1ZXyZw${Da=i)Pv#L!8V>?|Q$Z)c z$YCZfpT2l#zp+vq?3+Oeb2N%FkZL3}haOhkBc|{Dlh;N9YbV-11-j$nYORZuE#4Ur zNYNa1qLrW`h4HYXT~#0vxyKg#=Ni6*8mZ%S_y0pCm^{mGDQ zlfn@m_FJY3qO8_N+3^tlMOsChMkkv$q9<7n#inGA)E45}?yQrw(C2&K9KFk5Wm7bj zdbKreA6gqocQkpi_!w5h3G0gK#n{PS4UuYAnng6Or2>a%x%Z~h_}p1JM)wF$12|My zbo>~H8IA^H#HEOVxsN$Yg&9zOhxU{F28mQ@DjHRLl`jNtX7v zIBA_Eq-nW}sXrgH;|aJ6y7&4)d|=DAW_>Qr69?hBwhfq(2waKS+e8}d57`{c;x{e| z_2#s1!g!>-+T8%J>Z8wbiksV0oMe?78VO}76kJ{pYdymcI0!V@6$6G`1{wSXiU=Gp zhs_Td=fHx*8d+_DCgo>?Yx|n{BS{iA$Ct+Fhiz8cW%{MEvy_E_p-`%FDnS0v3 zCBfmrX^agtq)%|-y%%n-fuyP z#*VQku8%z97fN5H>i}i&(if*2O4yW|>QXjKU-jRmm$@q?dE$-^ye+ew$o^_*e4KWC zZ?DOv$l#9XCd)+xy&E_@n=q=RNorHHkN*C9U3JnZ8?dzvz07YB>=$_X$9fOfJ16_X zI;PCM8yalX z;gGcYNrF4uMuIyTixh!@rDnFgAPb+0_xSlpiURZJkgoVy+Fp`R@$Vkl)coo-4R$eF z^bb*n9ob2T##Yw#0V+W4nkSE7A)yT{45$e&TpjT5l}`sEG5{!S)<&^$X>)SX^9frU^{SjV zT&AsFLw77OXl-a6Q$rCAX6?B88#!GL@<-evVld-Xx4k+y=IrV4%f@$JKt_0PQLQcEN~ino~6TX#s$@~lqn;R;P_34Te_$R?T#*DO|RFv6r$NQka7 zy--onyPZSCnuLs*ge>uCVCd7p?Ebm*{+(uUXxtro5AJl9O=}DDdcUi|Hg%-BuQxYH z!aeCN`%~-{AP3ZBUJ;G>ycyZ19lJHiAxPQf@!rH%j`S+2zo>iUq)(~hw4{lIYiy0? zSc~*28tlZzl2iWa%!+n#e>`-;>GZKR37}99j-;&;8~MvT9Wv&0s(t-gjMg}rhgU~^ zUJrzw&N@v%h<~nF!PO{4LK*virGDpDtZD&^jCzsvtVtNjN96Kck+YdwmO3Gyw{xO5B7JHG6xx_Pn=1IIlT{wO zIAKzb6@E@6Mk-6APSj#Q^Isf|=HXp$oDb}s>g!%6%ES8nU5Rjj&Lhd=j~HG$`gVty z@Pkf`hw=9A6={kFW3~2KUm-fdv70Ijk<7sKfh|yZDVk65-U;_zIr0$ zYK7*!J6lz1RPemLYNRAj#F6H%sIeK%kBW0{(#_PDziSap?7jG-PI|D|PFqO07?Sbn zIjX{1K{X5CcyglPAZ?_EyQjU4JO4^`X&})w5n+PS;WRx@@AE;en5WK8Fi&z zhIj2GZ-A`_kw#XK&Ww(hp6kFDS=8WZs509jD*AAT#YF#V+vklkWT3+(=T_9!UV^sz z48pHGOjrM;%+}W0fF8IKM=whqrdd$dOtNBRRp%$%1mIU~@M%}B67Pn+15%p0F&C)@ z<0)(|FO1b_HTDQHvmL*kh;$WJs@r7~X~C7bMbUqYVp~||v9L^IW*B;%f=NW?{2=Ht zEQAnt@k*<={H;YHuiy!{OSoupL+0Ba)$2*dbl`r@1K5!Mr&vhy$D@VJetO&_3`Zkf z4-$6b11s%CpZpy372NYfQ9e`Fdq#vBhB^&Yz% zUsv63ezu!GUQ1nLa$CvszSMN^eAdD8n(@Bx65IM2CCT-ktZ^pc2bS`l+UXzs4ux*_ z4?^QRr&X#sx9?!+4)e$LIB%>OFdTq5ZWyzEv|%5?=k~8Gc6;3OtjZHacyt^>Rp_Se z>adn;JoRH=TYzpa*8X$#*(cN!g5)>?jfC@D)IU(T;1~PMZwkA%kLf8AiIn<`VT`+G8 ziQWU7b1rgehIeBH$SESUc$T zAE*u&ekvdT&n>-Qvb_+scd2w%Zae1Wg= z0Ja~$=(>OOq#-w}CEgYce5@?M^OUcfpy7!wg47qye<3Pr1JZI_kI!^aD{&h&DYCSL zz#uuq@spP~Jj@8dtOt6(=%8bZS1~! zbfRA_Vx7`Wf_<;srY*v%%#JsTFe?>p#34n;MrQO#X2;vWP!tJHqa|i;_sUF9ECAMV zun}5q4RsRyQ47gWz0=&zIZj8>uYJ>+2M1Ym)-|a7k+mro@9M7osYP9e#Sh>a81PKU zTsj8H$6LGq@F;=t2)Ai?8Xs{dPcFS*z%}x-;nqwmwZFT6Ss~%%i?fZqg+fDM7fy6^ zVLaj_vbFEJX#bNaMH=2q|3-Y$40v(C$388r6J72-HT0}*mjPa1!c1;cB?~mVqLvG6N$LQ$RP#`HFTiP#jg-W&a+=q1HC_M)0=-ETo7h#=p~aY+$rKAtKv`r(8nCo zAuIMUDr`^-e5}6Jo{ii@@fDqt^uogNfTnq~pQe?SY2ClKVWe@bs&GaJEcOaF=9@RN z@F6x;Z2?$36YZ}iWOVt4_dw+8+d}}r*n$B76jigLtwVp!0>oKCCP&~>yL~+MRfCqw zkcXX)&YcO8Jo42wps%f!hBgY$)lmh@p@mwvIxqa~G60yOLS-ncPTo8;)GWke&^^LU zUU&xlhitgQ`m4;E!=K95; z`@p0vBUgl4gMX!~aME^5VZm{DlDs1@z2_fD1V5)3n_?C}pb`Yuu|&gMZjH^_pT*3- z(ChtTXwYd|%YOgkEX1Zm>OFP=tj#rPz}W=T4vIN{4>r2(-${-mpOtR{!$USFQ9$F2 z#L!HSwI0S-?|Lt@)k}<3$B%WDx;4_>fS3@{b$4!aq)ga$tlbOrK06B_F+(Zh96pjK zs~jd}6xYp{(hluxxotdq1A8*|oXS*g{fTtzZ990N(YqeKNC7V{MycO$-%N}djZJV; zp-Uyx+Yh4*j)-sNR>oz|B7x6xI~j<{RP`S6z>Zn6?@mG=Ll#*{tbCnykkJ zN2Z0|%P`q0i!J<~xroRbN}Qee%%C=z4p|0m@@~%RK~nBy4{s(UZV-gpvffRO3!h}v z-)&`wfyrZqs7(9U1sR-MN>3u`nXzwX7>m+iVUQg(78Urd_zi2<5reyF9bmflQFq zgs^rI7zrhx0iv!~_S}Gf`;K4=Q@@)x({3|*3O$$n7kBg*bjJY#|>|<=9^OW$}lB!GJpH_0nUt>0FFg-A3 zUUqobntO`7^r>MV=Ph-i4CkXhj{1CQ^ZT@#YH;AVasIdr679t!VoeS9(Eg)TzHXp4 zeS5h4+Z}K);9(nKTHen`#81BXTF`;c>Z34sw6m6eInbya&cxLOa24E&=VobNk6Pc^ zmfk;Vb!~P&$Bel0n;bDqk0cTS%tg_-%lMA2HzB&#RF>5ld&OF6lUcFBCX;L06#&EM zTs7xxIwKX-xSM`-@Hk|DuyA|vwc)BEHqmO$+}a(@OaE|k?0^Dmi>%i?j>O=4A{W-0 z=t~@|A&pJO7cMMMn}tcP>(|UX2gkCzXbMm&38dP0sO;H`PiycB&7Eg84o{2u4>2F_ zMCD_R&?O)5x=RuhZ$zN20+6u_EJ|*z*JpRjU27I&#cfz`7KJ^BfhR!u;|5c2PTr#u zZW;o4sURjlAFy;vqNT5cjTN>;GFVXG6>v(|CO$V zIslraxx_kry)4<^6C8X48k=X|27z4*FcHu*y@D5pwdY^L|2e0*ND^NQ9wS5RFzzC8 zd*AifWZ=aYun6w-;b~6yv#3-#RhnvXd%=1 zBoaWvU!1^OBB-$UfzD)l&Sr%ihDtBTaO?IN~+ZK02v5S zcxWjzgfQ4FO1IXAE?xjs2k;w2qq}P9QRK7(YG|U7stpcG1ZBGmrw#B zQzxl_=>)ipCY4Qii0t=T*8O*D28&tq`)vb~PU-TSZm0vPziYdH9})V)_;$ z3i&<(^_ZhMXyy|2@er?@SG4SUcys;y>1>Tt2N#~q8i1H|9BnIHcZWriSO~q@QIRHV z-M(skBe#>+g9g%W?DX$ z9B#o^(3!Z=p_zrxd1YFx@B{QL#!0wY3%rY&9P!~`s4mp;Xk3E=$9S@q{fmiNFkw-c zlGLh=SZbZdPJeR|?W6+f7r=F4m9Y4zk!S;1Hyu^bIslv*z=(tBwu|fwIj!7F@_%Cq zdTsF3lC!PyJr;?2YjQ|$70V|>(+->H-oCNY3FJf+ygHsXBj1%6qW?sgcyg2n`BgZ-Wi zj2AZp(^MgqDv4McBH%LbH;QMquiFiQF_N>c_5=gH zWvsj2a!!cQikCA&IDaLr3Pgx+L@*4!uVxftRrU3a^B$v%g#H>}j!0)(^Q zt$(g8jeZ|SRAuSdB$_RjGWi0OATQZq)236h`$oFut}#5@?FK;+(2*KxIIh0LFzpc4 z(W<#+(Kki_05M=zWmJYhSXI*2ZKTEiP3i5?v$zi#rL&RRSmO`uji!qkv0#rrKOI#? zr$w9yx3~m3G(z+X5LX1r+={a6>;NhdJkA16^laath-Ex-jne${L~zUW*4)0D%%^nu zJn&YA*+BQYxB61u_J@T^J9aMlieP&qzy*pfXViEw2x8{-oFtV9)-SB8-ZD-qX-l$z zKYwr+*y5|eUT@@i9ReIejS&hO4}s8Ma-7C&o^i4ii%4HHE)YWk;N!zIUvp0FMRYyy z$WAwRW=s5`XjYr5_hcg5HSDHg6m51eI6J#&3~#lhP;WhJFy(#HlzC)V)NR%11{C~I zQH`o80s2c_>g0*c(K7>B9zzhZd4%wHJSYL+j!xp--xq02&~@t0%EB`pzvZ8M$Ty9j z-maflzlUGROU>!p_I>GV_>H-WN2k-(duOKTs!COsX8U1>Glu&ze)2mYX?ApHhyhb# z!7gI3u^6&SLCuf|c-e+nN~H6PN}sGm*-z`ZL!%D*koO}(V3-#@fZ(h99=7>L8FxGr zNUvTYG6d@V93UgYt-cOYXKtvN@KkvLA5HQqyftxz+0v0E)bTEbSfJ%wd#p zFXQDanXy}mVbn5-`rSSyl2b(33bAIzRHN0uoewWRhVhL1^FNsJMUtgAvG>$I(3bm*lky4OM`QD3o$PfJHvrDa zz?}D+GpTN;bBlQV7Uns-PXnS<|E2b4KT238-4|%Ai8v^^l^w~`yX1Py9oZ_hCMF%N zwN@uxVzMpfaXe6*2Zu{EoT)>md+V*S!(1tCXaF6ivB_xmd~HxQVi=Jt=7^}oNi z>#=s?d2CT>3GVJ_7_rL+E(78j^h0}04H|C2CWxA+wrZqN?fVSq$yYwJ!grc{`(COZ zOFwfvXJQk`E_Y0=Ol3WZTb&?#jm3O_Q;q({*G_#S#@K3vCJR)SE@$pvF!=vw`0)k% zH2sixnyL8na)GFXTucHfHUxHb%h9Xb=Hz%{bQ8vkjhj_0gl-!VPBmV975OQj#@U_6gA;h(u^z|&eDBH8p6mBVAlb8MSRX|<#2DEg%2nXVcA zobBVhTZj6M(G=R{8zrqP9Ze|j@kH8xX!=r%KEHop;r&cve5Q^y5JOt7zeqyLjr5|P zm8Ww1>WQD32dEzetcsUJ-)W@NT2l+nukN-}N_D8VB~w3HvrwWVG&ECzMdYGS$Fv1b zodcK_`tYsPaIDnuHD~O3AH3KT8(F85Fe8ze7WgzVuJy)4?0JM0ja#dHtkbwMCiUuc zS70hFMH<{ic+CwGs2|}`n30Hp$bewygZObAQ-1-0yN2b6jpZHXHdUao#Fwk{#Q5F1 zjRZe0n9FnxIkV)C>P>y3BK-WhyR74L%1OVA0el}tjsbY%;1O6&fh!^6KA)5Xdvv%QYCfrr zF5Zs!=ymYLy_3hM&Wt7&)Gv0|L*sU@P2-;u#3BOge|-lQE|;t8FMIY5ow>^@6;*Rp z$mILU?E_&c+Na(ax{dKJ_=6$xv#~jHL#i*pjI=3`DQHa-Xc?6sa(8}J= znxgsJoXz>`72wTOAm&{AT)Ria($s2v&BSU@21}oR{!eje~WLUaPt9n+gj*xcr2{ zrZG2$%fC^B7oYZ)09&?9R#sqC@#?ztjn87`J(I+GUEOCjv%M zBVl*BaMRFG?nlr4o*8VQP7Av8xgE~WkKW477oo(lV!f%6rE^h`i$Hpy(VJk4lAU>! zICUS3t*q!mO1K|<`S3T9{91!bXzg+8X~Vh?4OTTH6r2*CO25{efgt#2?k|m7m@QPF zrHUKH5@5Y?z?I97(8;X}bUWm>aZES(f-|}S0^m}5#UTyY)-hewn^e7z@9d<`8-sL` zsTr*BdJr&Qmo7o}!SaRh!%$$~AC?73gJ)5k zBh8|6>w4aoyn$5D4j^#I zjEnPE1*8&GR!I#TTqyrOKHB6=GT3Dfax?B?ze;i6X4;Q^S~%6UGGb`pomC+lB{oz- zeLBr+pWIvQF)4NDy>5E2vTSCE_ZvefBP$hPT@(Lm{aM=W71QWoL+!LfPagNs zL;S5tA<*ddNhs@$!m!7{%0-1`=0dk$4*grlFayNb4#xNS{e$ru)2j(Xn+qzZs|TAZ zHd2nW<3;z`-FnMT9$p7(QZ|OVite{bM~zL~d5^VGd25p@@+zr})$N%}f0-HD+fu?< zj9eJHjg47E83*`8P)ZfgXPgG<)?uGHmQk#uIG(q6=RaPT=5l9+2gH_%GkV!g;QlZ0b?ya`ZeTi}DwJpd(d2A6s|u~E zqaP<0|1m3Pyf*9}b~}mFj*<0}BbJiiMP-6QReBxi&TBa`meM&!oJDJ9DxPG0ZRxO# zH#{OMFEaM{Nhm~_rIl}>7K*zH&LqT+AkTiS1?t(|C`0oI=EwQ{fUY6%m1b?>(?z&s zIVS*>lH>HfSLICp#L62e-P!kO=eg4lgvwt2@rhY4>)W8KNOYtozMdI1zw&EN_;GuD zo0Tsm3Z5&b_V2i_PBiOItUT%lBDKJVEn=;H(p=1K@-OD*@2bS0Zs>RG=8@Uw#G-zj zQJ|?<4P4hQ&D~?{@SG|gFsiTAx156OAPKVP3HE7${}dAw?oKeJGk!OUYb3{{<};q^ zu9_`7jY4LRu9>+X4s8|-oJNuqKE0M#{8NSiS5gF%n?PKHY$jM(-)ULT{T=e&N5v%X zlc?(9y^6};_~ANnE`#p;FY*mcY?EtBLpc~T+F3NhA&*{mw~@M={1g|_e|cuK(^aw2 z+U|JqhFf55wCy$X{|ZpBrs-N6vgfoOyKzRG{PYapELe^@%SWoFy-@To!OzXW!b6gv z|HL2H!FI4Hc4IiHT1)uLJT^HJ@Crt6`v>#ryaE!AaG60h6xh-WkCNDvVK@f^2cLAPpsVHWV@}w z&VadU#1GhEm*E~{m^3?YOjFsH!(?$-;ROKYcaT;a^k9+A@EveF5ZD8Jyd5p~2~p&J z#u6RK3>z`YMIIkZiV=TWw*$Acztw~eyoxvAJU$+(8M#3REEIltRgHIMg9g_cCg2Ry zJ0ajvnUInep?O&v2RJTR=0Qc5$uc!A^5VA)u&}V&MyXo84GS5G=2HtCJxxH{L5dLH z_#XYsBfcdce$3^Oo>+k-qVaJcB-uNTs-aIE*kwPQds!Vpnn^LkotS45ca_~u27D|< zpxAJKhLh>xfU*n4z+QO@!Q}8nPNA*h^DKNTDL*6klz;8JH^| zX7apHd9A2Pnw73o3@e38|xBq;et*Hi1 zSqh+rK;PYd(lYZ%egoGe;laE(P^1USDKGm%&dnRT>lloHfIExB8}YvKknZrL)h(&y zc}j6$vy&=>iX+d5D_+7#Z~)I5<%5>M_ezEItnN4iF;xC4@M*+?)9*KZmL0YpKLFSV zNPGiV-BI>o#~79kCv9w;lZU;?zmk0cR_tW)@NM5<(=cfP{<9GqC6@}3YojG%P2;{} z8kyF{gng*(T-G|gD`mRl=thEBy?^m)l&p*_&&N)0OQMF;wZ4gDk|hG}997US`yZo% zRcHNUIU~iUO7(}nW{MX)f3IcDIGo;p@}hj>v$Kp}V9qxSPfUWpZ(6mLwzqKp^S$t1 z?kQngE5X-mRBv4S&I<}r10O|X_uov~TnqOS&O2TN8avqQRss#iUc3ivYTp9^>9(Eq z!@BJuSpW=EYy5y7@>~5>O|0f*OQ_o;$lSy*W1|D$qN$>OsOyq*&rDOUY@yTrYs?Wx z;q_aWZO^Q?#@LKr0ytu6FvArRrMm7>fd1!t)&iZk6qBb>%5?c(j6EK9xK@s`EJpcr z6`@G5tO*bnZ%1xhtC3z)D9OHi9gicR{>=o*^YfZxW$JyELf!|;gUIdM@z&?hWGh@z zv6*grN-!`z@C(U1`o7_PQ0!>k^L*%p@XDO`0Sn3?mvdx+x^2Am7^1wse7^~xISgCO zrcXyciAWcA6Vfx!&yTD0%)CN-$>%QfxGEQXM0XtUR}`tu|B-~`-}yR9sIQm39Y~D= z>%;eAX1vFea8JZF?S82I#2W>AjOhf7%HYqoF}}Cta&@n5F2eY!56G~SeH6!uc4|v{ z*Ce2n`JP0eLQe_$LR@cHP}w}fmWFy}`iqVSG`y#vIe)+AQJt`{-@#}iTLwI{exU5$ z^&UYw1GDXsX`$TbPhB7}aklNrL&~*j8P1^Ks{Mu!s|(r0-V40>&oFnFZ6Q!$6-#tlk05m41D#z1G$uQ2SUxz3xX3MZRDjQGlRjS>$XAH1XQWO|8e7otr43wAC0XAUx2d1>YNvK#aq~N>zetMaR67$R^!X$ zJlI{t{k%ZBu{e$78ieJnyJAdCrnY)2T_K8Utyo5ip4mP;q|Iq47v*!0v|u4s(d|ZFk!rq;2CtOyTgOr|X7K0sqEA{E5G1 z5}RulPmMjNWAse9>#nMK*rS;}ddMCii3Oww9*vKq#61Lt3pY&zeU@r%=$Xf>C(ko7 z3IBt_C%E<}{5GQ&8M@yZMFC1om9s-+?73b>Gx0bZ5QT(7=a9(R+uYSV0}FGik`|s) zW&vc?m*J?j>P5SsJ$kp04=ShDw>mNDpu1KBdZ?V6hOrz~^v+2wcCXmcrUk*u_y1WO zP3~qk@LY44B>wf|voyZEC0e!8?Vs&-mSTY|SQf9(vX(F&07(l^1NR0}BU77gD^b*QiRjOQw zb}3$it`C+yHu-`nGGe`x{n~mkKSqC_6Miryf!pcWX1rZw3*8V9pOdmzmX4e!#hIdg z8d{qcRTlewt_KIsB0&joQ-)@zpX=;LzD-Xaz<`|kYi}X@YJ5cF;MuH$g!VL5RSg30 zf~}4Wd2LQ!u0Ai!{_;n_`kx0?Uf_hNtXA4$@OPDP8wy_jvVVkEb02wy;y3@@DPMp|y$+bv zTY5Nw|2F=m$iAR#;4fsp;~!f|9hWApAb+d!bpREg?af_ z^$Wj3*~YuK9BZTb$(HsQi&W69^V;Bkey)?hqOcIe)v4wFxX$4Xt&&B7kxw*LE7#`N zTXZr>{ZgVX9vgwiB9_^NSL*9A`w#p9dwoG_p*Y9dI`D0$+k8(&oj0vN)X-s$lX zX~Efwa)}Rj?nixD$LI*(7l1x*99FjO- z4&l=_yj@+5CYP>F2V@~w9ft))vaM~+{EzsL1OJb!_kgDQ|KrCig%XmLtV+nP>|I8R zP+8d_d(UfxC?u=MUP;Kf<~6cMNaAuY*SPNG+FX0f`oHe=`JUhZ_dDkv^?o1Yj`#cZ zdOjcPxye4Hb?57J<5F%o>I&~FYDDnrDRZ!Ygj6$$CpEd1*FF>p%j=j_JTA(P04ixh z8|CY-*loN2%}$Z9LlU?&u}w)JM@bTPJ|!Ls5e$v?lvSHTbBX=04C$I*_BOs5qH=!} zHg1u({h;C&88x3sX{U@JRSgwc>)UlG~=W zy2tg;TeaB|j66ifloZNm-6_FlEJ;g*QB01XbhOH z_#JmHetGrS!Z1pc+h?^#*Gtck)-2UEbpi1J)_GRhT87BC#|7dTq-Sa^xEXDTWI9eJ zOqeEjvlbmpp`m8WL9UpMAdmq;^tZzYpKnG;Gr2{HqYXD9oI2{b6SeE`l zgX5q=dI`HK5;tFS!IZ$>WaO2Jwm+@z1V+qjCX69N|P zrJJaUWXV6YlPq~+8j;wJ<(X^5iN~NC2XSL3y*U8712fQN9hy# zQvdw5>K=a)RLA25W&W$y2m%FS<)-b1y)mpPunhkLG&0AC2O(1m3`o!#`jR-%aLN6Q zhdvJ`Dk}bK5D6U-tI5wNT?Z4*JX?0VEkpHBo~zE=#H}RAPNkBdA!nc|3~W*PAw#P_ z?$IyJMPq;i1)xfbS>28uDHxH4VTc6owexrj(qp5K&+CD7&4Rx)o&a+Z1kJesap`W# zAs~qT#RtRdtaGHOS4`eGw)S}Fc(L?Ty=a6kQq@$7oRP(0Zg;a~#q(kY|5+kX6F9lY z<8lA*I$*bn?eFUi6Hay~Ahh&Tj+!+L8(M0~@sC)Vv6UL@lC|z383nJ0fD_*#unpj^ z=K{#mqcOzZtn*8RuBY$9E1h(o+QDdD&%c87x@v0s9#uBN_;<-(Z~kJj#6Kt}a7ZV$ zxUvU+&9*J>`R>nZBV`R&)39er}PCCITdSl_c}=O##vEH6b2_IaFS`r#)S$a`BTCCI8>Y=!wO zHcUu_`U!{P6CO?TLm|4BVWz!&*?)A;Do2C~mS(Tn;`5f@y1hiQ>gFP1qv0cGXKJh+ z?Js{8I;@n4MjS_QUgy|v%_`iIP+jxYE_!bKb0Fi*8I7DAfOpON?Ix$4w@w@^@3_*x zyCR$q`yK+QVSx<%p8mmw)xk<##JUk6>D#AR9hIhj!1 zvYj}ldX~mmW{!;m&qwUu3DG8|R*9Rz%I}u05@9&0>Ay+N#(Cx8i6fkDeNxlpfb(Q8 z-^NQ#e;@1^<^+wC2*BcMEXo8~hah-F4u5*(Xivf67LL(D^a|moRQQ5kkU8W#&>pfu zn8h*9U#E15vTXs+VA9ahjPJ-c%kv&-F~~!#^oZgJ=-)T0@gCGxf1iKaY$b^yR{GoJ zw}cq*gWv2MXn$QSxN~puS$81_RmGZeP7(1g=C~Rt_y*w6ndx&KZe9-YmxsDY>`gO9nPcE~9bo z-5rjMBW3Eqdvamu*M<`rUV?T^Zp`=0Bj5%F?W1vtyx~1+KcT}1pg6MvnpV0d9rrGJ z_vf)}zO$<*tAlspvdCj;hdiwY*le+w`~`y3jkaHMunMX41&YSZ=6w~Vxd^T!U|?)4 zYyC+2Q`)Jh6gU_Knd8&gXIFl$t0)h4?joLe>XsOUJm~>J}^t zs;7p^*W5cxEVBr!YPLe4=*G34jALz~D@GQJbd2_NQb4s=$H#1Px6S_f!o_p}dy5AD zSl0^m|DfFaWjg(6>$#Yx$T9-B2!udK4chaSUaNU09}jM$19U`~>b7p7Yr9G7Ux+Xr z%#T~GxU|&m6gXecwC^z_fU?OOam+CeU-3x~$H^ipGJ^511b_ks?vmN1uO`>+&!|@f z5c0P}j0^A1NN5FA4XoS$Nrd+s=mH4gjg`GLB^`^;FPi9m#Q&febtX0K7Yej zx^lRd)>22;cBB}dlE;}{Qtjo{oZRphiRt#2yP0u!(6jnTgCHXV%E<1Oa?&=} zn7Ad_mH&VM=}r@B^6~4o)fqwdJ3w0yk+1{fguk-gR4mXodT(gbP-wO4vPCQ4;YlOn ze#u+JZ^+{ipv-f7m63D?71e9cx)x{!G(bl14&O(LiR-<=h1`b?Kk6)3?PooO%B$ti z{$8!n*SZbUIP)HL@ogX7t#Ml|JThF)lttmK7CDfjy-7?Dc&_$t_WT)epQI#2k6*L5 ze4XU55elRX2G=D5zmJ>p_f+#)Jv^CT*?1>m_`!JuFW?^QeH0e->pRAg`RfqED!~GM?4XxGi&dsb8&0P$pI|i7N7AyQ#H?HT_D`q2y^R zq-iS&#dg-=oZhZOX08}^_l@WBJJA-R6RnJX6w2S292;;A`J#ei|Rq$;U#_V}9(sUk%sZ=hzTu{rl> zd7)gXC+Ic7KL5Eoe_XdBb^H-|O>L^jSERY67k=`~3abiTo(F)ufW95!zsvJ6z9AI` zvZsotiYM$A>cmPt7hwIhj7bDkEU54x@WmGN2_w|>(W>$Dw_M*oXO5EUj815wFP(>i zl+;RCpVWKuCaRkXpxO&lr5g9H^5@|s*^MufFF-d|bN%a1w`J`h;P;)~~QcK#~OEMX6eMsN?_)l|}>r956J6`#fonq!5Eix|WNd%RL3YZAW7o>Q3XjnRu?ml!9>LjrdQ3SG9dNFs%XKlT=(xd{H*1M4c`=*P78O94Mf ztNa`Zpp|#|RZI823L13JmSoJ!zMZgagY^UX)szV|)B(6>*n1&qf#_0#koA$Vh7!^6FF7;19gvUTpM)??@)!i1^689()~7J*afX$X>GrA2D9D3EOstjS4puS9+$N zDW(qxdS6$?k5u>l*q=WlW3m!^v(O&sn0=6!+?U24jJmJkQfYM1I=z_n!7-1Q%XK6}|Epivyu0f?<2A^M|>tjKAOO za*{(;ucWB{@R;%mPziYETxau1rmq`&jZA~{Oy1SW=u~L7{p0?D@XY+HlQZ)A)WK_c z(ojF;!GpLi>Tez2I=KxEGh7fqQ3+%)D?OaqUv6aQXa#3WM>bSk(56Gi`v**Br1YgX zvtJ!l_gvoGUXLcSa;I*GpK)2ljLZ{^K&%JVAX%D%QdF_eAKl-`pl%NmvYJabC7L+w zYSk1Ye6kiAn?+|Y47HdZ3t$*C^9uum?UT9h_xZm|tQ&6C-a${kD}>#p?-Zu!hC+PI zB(rQK*ZSOWQd)oAy2izmW*#8jCbAH`4ZVNaD@O+hwht1q+n0*H8((Gx{v*LRW|Bjv zzJcOn?}ZBf3Wto;uT$Ill(5Od_YsWHCUD&ze;1c?FS10=&oWDWVf$O+-&3_1doL5M z%S!A@fDHbU0I<9f_UP*zyQoro(_`pgBbT0Htip>ml%H4g-PJYE1(ML`Lzv}150AI3 zy}r=GNUMO~|3BA1VRM&SD1Y8%KrIonbKqz>7&darYiBaB{*qGXnZz%x$b^xZ*sTaG z3dnp(m?c6!u$5TXJw869tRbyR6CP}qI}A0rKJ1fi4HdG!T@$Sa%KfE!f5&5n`kK~% zZs&$tjMo0$U#kiEjzTjs9>}xEKAdxImP`r@w!bAYD~k8JqItORp@8$8&zEqOCl&e7 z2u?-d`6Q3&7|8HL$C_>F$Q#~24+W#kuWPXv<r_Xm8lf`5G`#f8eUF;%%t^gqSbB(Mkag+lB1EhHGK7PMu*jS6y$if5WqIVu-%tZw7h(}9%q$Nd_0-x>_b0=r0 z#M(IGt$mQ7MEs6C(^y67iKV#PH_DPb(J=B;o|t%?{PNy`bsQN&enmFTS|c5Mf3Y}Ej00~1HM^c+ z_kE%mUQMl`tDOJSDx&LD zGqaoY*DB0(+vu&yY-ByX0%+c{#F%1<_)2$BM zk~5m}-ktoEDNR>l(a6^9m8yblR>~d?_a4_}vEVkI^MIp=NX%2KzyI84@RmYdjYLn4 z;JQ@nwEhX#1}bm>FweBQYr<#^TDXsRGxCfJXX<|?n3P8eJj``wcOVl-kR*=iHxw27 z#eGu!ePRS=+mUJh%=Ob&*y|42$rWWt(e7_Bt>pYYQKpM7s3QxuH>U*-8E`NE5)wo^-7jBL0b28%WCG-U{kmrwnF4uA2Qi z!gU~yV4BcJ?sGU>EVzVoZB(rw3QUzoukS|wkvnFt~LdEX55pj85 zN_QPz0oIAxDu9!+QUZozgT2uns%Ud;SXrRsJ<`I5w<-H#y#8Z`yie*nc}z5^g0RZl zFv)YUXwp_ic%)ytZNyX|6n&`}w@`w1l5S;~E%$!7qZxW`4mX=xT+CD$f87);@8nE@<;=u6A zPy66#0(YQLA4^feQcv+N~)$;qgwqNj>8dL@$Im)zlM8O!bk+8l<@LYk%dU&Az!;HwI zg2-ywqoj<@ca$qFP7xnDL?a%JPOxELAUo1kAzy)CRX?H%*&@5(9@%3ZWUqW%!g3>3 zW2)|D>4AD4*hkiR@?IhrT(T2{fw{AiAb(U_S+JUVB{jc$-wP*`(@x9vGH<26pKIr> zx>s1+AkxbNkT}(ndN0og3?oIi%QbEh)#SEqxs}gy+Uq=>b2mqn2tBQ(YNmHduG6hK zGTDH4V8T4;Qvo-^zCZTo_g388GS~f6#vmq4Uztu^wsJDnGuHUTwuf(jvD!*1Gm5I- zPFz6n0dO9&&3>7uRT0j+ts)TsLZtrk5cHADv(e8vxuxmyfa;{Hc|RLbMjIi#&DZH` zy*NW6>X-SV?(utwXVnqv>1p*N!&M5o(I)f{9l%FiJeH+oddfb|4?p)(=Cm1l{aHt; zBJU8oVu)M6)Gw3pS~ja~zsX>k$r00Lr%C*fr3@zqGlbHO1~N_)0~|K=kvj7(Cn;4G zRB0)s8tP%pjC%JslI2;A+)_D5_AaO?jZ9`PU*&g6Pq|pE@R!g3iac$a!Yl0Wq>l`c za^~my)vjJu)IjZ9(=iZ5Hax&@Am?mbCD4@&~#L?UFY;Sto7(U$y08CIYS?TsG-$sMbHb5e{qAqDx7jK zx>s;W>nVMB5m{JH;IG2dA$}!uxTl7zEn_THW z4^2=P#iwVkOSfvskKVNCGdd*bj@5U!#9`%|oid&u1RZ?xG~aHj=q8;&e_p*IzmfUE zyZ*27>%k+mu0;<Wc+-N5OJf^U-=vg;^iQzp6+DCW_HRVbXc43Df_qvhLXs{ME44$w@z{8XnEH! z*n1s|4!ioVhReV)tq+~feXM6Y|JC+-pNDuKuM!%e@ZGTJ=J_U$amY{EP;vwK;zbPz zi3TJB?qNZ@YfxU&o1VRrAP5dB%xcU#l~XW?V)4;h8(l}QL6mZKeAJSRV{J_?X677p zL>-`;2~q~!U9BZ?uYsoz$nU!S>f>#`eW)+LEP3OJ_R-e`bOD$ z@6YQ=zG8d1r=%~d-DpH)KfB-X1O?HP$$GeC@~rDZUob3K_|@;{MfJch zO`Y->OCHG)Se6bB_cd^2fHU9!tqyKPpVfg+P;R)A4RsJ*VWeh$DM+_H^0UWOXnW;% zyC?_as}dHsLD{;$95bc6QkN8aw9~n+m4jwi6vKSnM6*XY*mdb}k4~NK`XZ=I@ASu2 zsf%uf&vDm&$+fL?y|XHii2_*S2vQeD#_~3_!&j}uSChXfJr)T>J3#afl-h6mtboMQ z>opp&7fOVh5Kx>0tkH3(Q}1b$b~pb7e+9uc1SR43rLu<$w zmDw~<&P}-I)s-y0zW&bKtG0xD&rF%@Y>f%mZU{(QTp5rY(1`m!m^)${>tRAIZSjeJ z#m=AR@R0@BwR7--7E&vs1K6s^bApwej|i+BFOqs?+--~^w`SG+DcLEKiwsxh9{(EH z-^EB=!8ZdDWOCk%eD;B9VJlK$TxVMVdq3zl(8w=6YIO+^=fY_n(@1;P9uwY? zlzWtH9U?ZNWB{wMd8Jjid(|K95fUxdypY_ytxK8zr(yqYy<%+`SV2p+YDyT`KDPqpF$@x zGd>j_+D$$(_!73&RBG4x{~ zg<@up;X5nk>PJ{z{M9UA7MlOGJ4UcpAXk`d$}8(C%S&otg`TC6sUNNZ1>Pw*v z$-UB5`lS8l6w@+F%biT9{v*r`CA&rB3!)@$pRlwSAX7{_{;e)!skX317N&S~#p$wBc(1 z&1VK)D4t46i#*89 z0uROx``TyQkm*<8*TFiw%Rh#U$e+&+M-2%MJNTpDoo?F?wUD;Poto-|WU=S!aJ=^q z+tJjm+2BIZ4(*QYv4J1SNv%)XsKC`~j%WjK7Pt=nhBov38tu)TorB&>+K_JL@DixP zzDGgDvzW9d%${;$_mO*RlZQM8Pwax;81#3PwPyI|RNQQOc23y0HnHb0&fPq9pCs?< zTdj-F6W=ZQFbB4?N(h`aqCeWdol2d%9bjmy7(5|$o4ojbh`WOszhg?|&^bw9&pBga z`kgv>dc%LoMXPETb^wBOtUlA7)DV$ z%%j%l0a@$AJVatz6d`2l5Q3y+=16t`b2JnG*hQxt5-CumJHC?IQN1*p`#O>oUgR9+ zf;60eHluAfI>pF-JZtD1Psx#PX9apXTK%$X#gx)aQXPvtCzY^VOu4v@n^mSg^U8lEN^MfY#*8@MYVlHE)i#<$(m-e9o z%(HrFSR*Zo(-nZYLlQrhEV6Ce>=j&$blC(T!2IP7D>EI}l&V<=%f9>F7Qn*>T)AuS zkLnTaE5K!B%pxBWeZ2f%OXnFQ1%(Pd94M{fkG)i^0D8zNj_Ad_6&ejYOvx1k;SdUx zjEQaa?4)1J9Sx>S#XLqJC?i^`2fM%1LpdfcUZFQ;Im2MU5W+6kl#}Z+k-7&b-??pRf&>II+mh$iGF1xE}ex6!s=CFB{cEZ1UQKf+bIP4DjMR%ba*4m0ikA` zx0Gyxs-YlUo)-o zt>#+0&z!+;>}1u>1I5M-ARC5td|9)9N?=j46`a=!2HhI1Uk4v#JXF*6MvVAO1?Jtz z@U!afd?}s3g*`@T@D4kEk{wACzh-7#%!j#}wvr5Bu9drCg}wtiz-0#J4_LP1B#ndp ztR_5+gGq|%LxBpL+lPK+4mn=S!);yCPqUQ>(oj=Ol-y8qe&I@s?{VRi0}kJ zAPcJ5pDR9L&~v=qv-_!>mW6K(5K>Uye`fo9X)j-74fK9@I!jdjwjN5CGO`F)Ud{=} zm(O-L?l&{UwvG$I28H^r1E5VYnt(h2BPsq92XJjqgfOdOQ%HK(UM^2UI&X?;!AlI) zB5DrD-+)F-9BX@zzIy+a7AyCZKfE-b%B6!2#Bc0B z?VxK^vrv_byBD&GNRnz<7tU=>$opHGbTvR-X?v(T>5t%onWcfu56Ga?@M~zOzGjg_ ziN4tMTU63jAR6$0^>zD~YEwXGC<}2dT~IZ}fz)cJm4dz?bCc=}#LRT%K!*4UEIZz{ zAptbt7YS|@u5+hLRuD?*20#WfWDV_~?r%03t(m)2-xo|hC=!@{y)b~NuG_@Om+DF^ zC_{_rHAWW%>_cdmMmh{|zwjr8TmJGbo}~$XZ&|pr9W~0)nEgT8WvDEn4h7!DDf__Z zyOF-pDfv9?r_e!Nr#~Y`*mUW2-xndm83BEqu2GKlipee2FPFJF;g#Sr~Yu7Bhg9m_ukjjXgBB~~^Y^>C-$+7Yk^=jiUtbQ+q z2jg?G#=t;I$hIZmQB+FG2ZW`x^S5_?`~=*O_IcFJs1650xRAys_OdfC(c~~n8hlaD zJi+v$lugHKqfZ5v_c>#r>YYyb8abQIGyY=}9sXldMFX_fm%{Wm z$rWgUz-A|!WmHFSc3KuVkdtSv{xgO6S7DqU=u@5DdodFcg-Z=p>wz!wJ2D&lERRqJnjD?_YdhU2b6^El3y%3E~6DZeAfkb!ewH!Of@yM%&>Sf~P{ z?lvrNEMe_SLe>)CvNfIr5zTPmLS3Sl3sz%P3gZra=fl%X`|- z1%-Y#Kum6g0mKaGPYYlwy$3pN!glw6NvY-r+iTDo#qaEtwKKi(+0={YG7JCX?ug^L zbi=)7T)nFF+Tp#tjAFlcv-20&qD{U^P6vl63fe@Q08FcGFT`J`>$vq6h%*>BBj+Bs zuBdltG0w5}X&ItMlWKoDM%Tg!(#ihGM|)t$*UJh)O+ErZtjJ`2EbjamHMhYnw!@U= zneRyX?HxCnT@Q#sQJCI+D|WwCU=yX42)VN>8^6{VCa1j{nJ2#%;v{;Gm`l|jXHuAB zeJ$AUATSRk91tE%4o!zOIGA*jDDFm{%oJUZ|Vm zbw|IAVH)8O&l;}Q0U(w}*c=ouIt9S(CSWWs9WXpoQ(QFLh}n?%pwy|HzMEQdz)ND% z3DWUDee=Pa_+^*#(hheY66Di_s5gqy5vvE!3LTl8Z~J5<_${*^fm>f{6=KQHuOW-iPHzsr^SUp5o2YFXWOq+C4ne}N<;icaAX ze2O?9mBg~K86JT*e_1)rQGcD&t+X~vTRf{CC1K^!Zb}S)VHEFt>ckx}viO&f48hi4 zNasDByjfecP^uR{$Y%K8A2y z)88dF9a^HhUKX@AGK@OfJW)ZXHZN;CnN8I_w0&{2tA>*&K0lm}+48pxJ&%{j3kB8d z)wd{l>C^{SMB-F)L|&SKB$UZ zKZD&`vPRoNBOqeD6hrk>!F}r-wTckoh`LR(0RoHHtdYD@1FS#Ut+6bNWZiOivlwRnUPVUtW;GjzDxlSL@4^gIIM)htBDu&-hh!N8 zUd6yVV)Uvu*B`rZDNLl0N4$Y^6?jfUQpmF(ML0eJ`X3O>!8z9htEM}G*!@K)ksHxw zf!z^i7U&1>ke_t7PbFN{Mgj}6h=Mk88NdC|c=?4`dL9QxVq?SCM?Em!nb zXs4Xmpg3L%%x!UyYCzl%Xu`&eVOr?5Z?o|pzb_Eiu!m0$XtZqi1l8Y9-5>F2;VOS_ zTLwhv_X~4bA8-W@@L}v<9ZTj7n}v@r2}$#xJq^2=Uoi1{8EbMF!?Hu3KQm#^Nr=z?4I^ zKD;Usr!ZLp%A_fC`HJe3?Wg!^I!YVoqlCAF9NuIEjT&_Pg#=CmzjPrD2jq=Z1pyWf zX7yW2`0Dcnu_LZuWVQN>48$t{S(n6Q>o#CO5i`GH$WKhx&KFtj>{3~X95A*#rLOiKl4k?R)FuK}jvG2A_g*^93IG!h(P){n zc~05QIvWAKKNZB`5YL1?DTdxBP|s~^XL@c@%dl(^!+JCHt2D5Q&v{AoI~mA}C4c8% z8?%eRJfhxz(EC1?LweZB<7HX4W7cVtB5xnR`e+r2tm@++_=L0ix?(~sq|yx}a>eU* zb^_pzzqX344W4#31i(_516?d47N+rbo;n}qhg<$VgJX-5&z$b%%u_{+ z7l<(=9?Wp%nN53VrzuH7N%a@Iu+G$!aren8C2-)^T6=U$;Vl=B4m|T-s9VI%XgOqD zxol!7Km`PeK}ffC+Nnz`)W8T^N|_h#av;Bp)Uk3wb&5^zgUuAY6+dQNt1z?1wKhe- z0SF$v0&>TCYVXb7=~)#JY%SnhCK6k!Olj2Fk$Kaq?yVd@bh?~;!vcH?&!2?~E8zOq zex&r*UUYxeQIdLB0er&L@k>oQT_%&Bd1cLm!tg)r?$l&h3xVy1wiTD!(HDhRr?4C( zMYX)%mian*7pSYN7Yn8Up8td$=AdAA)Oh6>A=16}cBm&z?>@saIaj}~lBD$OJIohls@k^P%~W7IWNKEX)0 z_Qu=vjg>qlni7KtijZRPit;DyeqYcMkeQU5F-5MeohRo1w!)=naQBKo_mBq>!=jZ7 zg~}HNWCTqobINGh%uWV%V`tO1^5ee;fm^iBxl{mWo9AyJc%TT* z3#D@tpD3xTzXT_5L%l1yB<(%N$-FViF8z|;YuG25(g%x#*F*99Tjg9kFe-wY zQ-IZYs29t$Cg|Ni#B|ezbpt?}ZT1!L0WJKU13^qSEiopMGQb$F33s9L0qp)u7v6S? zl4?AgNqg}=FWgP#5uFUgg+INqv4r09JEIjfPigICqQd&RNCiZMcglL_bgDq~Tu)8S zGl{d1ws3jge{aHNwV1G*6b`|v!e#V;WGV1h zUu$Tge}*saz{JD|SL_#_m#EgABV^~$i0744K>CM&Qu^HxR<9-v(0X**w&?I6yOUmg zbM}(U(MG-uoVr@qyE^V3w>?in&`x*Sg0_v2BSpwu89;_8_oK!ftBS>E?`qlYc0nm^AU7h1Pol-a$!JcCt3$?H*%Yjy*$g#)O#H z`t2l#n1g7i(J0#z=TOEEKppDQSM+XB#K&~Wv{uJt!rxlG?^{H-K7CE#R3YlTz%|eB zIzfpwzoKw7PUMa&>~cfDW-k)mOxF!C^A|NMBgS=d&RTmvAm#0G{!-c8-}bRTvA$3h zG~R1~GMLogpT9D&r2Xq+OdNUKW>aZ#YgeLw5z{3b)(s*{LhNe3f+!g?@AC~NmDUf$ z>hL@gva}L`Urb}~qbKqjDLvh$ganX}HKT%rY0l+cS%?vi=~J3-w4gQ$alw{p&Sf|Y z@V|?bgWaSiG<5?0n3k$l_F|cR@6<6Mss!>HQ!T$JecwOzG+?CQAR<_c=SdH%CW7Rd z(F>vV;Px&a>_Iwo_Kwu|*m(HfIEy9x(`^-zzubJBP_+RjoU6E>6h~x+-w4u2;YQ6Y z9f$<%rF~;kiv1T5S~9D-wqIwQA>Xo_xy1A!RHby@*)JIGcPB6y-oyi@Ac&zg;<~iL z<7eNi4AeG4Hy+s2*MguN@aU7$yuy~wP1HQe3L8}JS)@n$lzgdt#L%@gi}K?3*?I5z zFFTgosVc|5e2daL9!zCl5kp3 zJbZ?36(oc8r=25K!#TUuJ@BiUKARdnJk12(Z;tY+;Buj4d*PbF_=^w~mzmzG)z$R% zg5`sE#08Yb+2BPNmmW8?;5!a?>I|=kX3%*8g_cytPYMHt)+3eUmo5lAzdkI zKp_D*5Ez!2kc0<8tYI7*+GXNhls=Oax3L@ht*}nIP)23`E8Ym7I>|29m?ik~0T{Xh z99E`zWvwO1mxNq3(1~Pqx`u>4o+z+oy0swJH3cSud^FuGZ1vYVl-xzz!j zqW$Z5UV5o=`}LTx51+v4PY)N?i!wZII_@sF9y{iy~|KIk0+c78@{iP`&Qr z@L8h$ztuk`dUj&Uhn3|dgx5do9g=Lxb+feG%tk&F~=qF;IK-!{!SD0 zLR$D4aLObYxxJMVXjXQ{Q?+K zbm{=zoQ%vQ^f71kcG;hGE$)5eviRt|)c4$=d``1!HABNF0pd(&G_(n(#xd-(y{Mi3 z99a2=Q={>p$}T3TFz=#9a&YSEuyJ8wFq?FvgJSgQ?vadb-7VJ{<=9Ku%#bg^6a7gRYQ5(liXSrtPlXy?`lcPDGLCvD9x#|%gtX zVOz~rRkm;dW&A-bM09M&2gPzOP!Ia|{5Ml9f_J-u$uysu@0%Ir(mm?cDp|)miqkP8 z$QCAfm6*NW$jodq8!mHTJqYLynp>R5fw@0zOf|l(`Gd>+LjKiJ?g`xNkE zeHV{*CMf?O>^ZB?Y~TJ;M(G)$8!*4tNl(A7WTTpUM{O6XF4AZUTBi>K#m)%V`zBLQ z{I8Wj{XNn<06mr#f6%a7p(4rqTh|)o7ve^Atu7H;0Gx9&`Firx_aG-pnY1=9&?X@4 z&J%f<60t!@h-6>2F&s#ZPd*+>^5SQ`{I?3aA02vvB6Zj7lln=+mOe%fjbp|Qbwv>m z4Wt}*!nWI8=N6muUjuB6n#(Kcit{z2y&#s=MoO_=N-oQ4eu*gJ$S8%TwD_p}z6j^) z*t@2udXr}s4_nT?cD4xVq4>n(v7sR5>9BL+(=p3w7M(lgUM#O9y6lO!Xh$TjESz;^z$(Pq-i* zGIn9$u)qgqWfD-K5ZNuJ8Ho5#i*w^n;Q*GWU@a3~R+@@nQBpbx&0{5P} zqjo-3zGdzbE1R?Rldc-lga$(PP_{yoHe8Tgh0&vQ>B6ZVLL@CkzFD|7LH6WwnnD<; ziCA={0}H%5>6bS(E=2dO@0S>E_HDJsJ+M_r=j5A41e&_9W z84726A>NE8)BM6DOwUkwij72`k>D=M0;ze6+m-5SW328Ii`l(Xw8xZs@%>CeyFJyG z9^>>96^t%_rek=WWk=&81nVAf7d1Ay4aMw4z7$3I?Yiit%

    Pt_c@L{y0avN-l^A#i&UV!4*=N zbiP7Ro+p<)EVqaWA-N-|a3wiecd6>gU4*N_rVW)FsybE`2gaMmkC~#idaWVRne{1OqsGSH4{u@<53gV99mSEAG(YI+kus<5mXgsk|1=`7L)_p zJlZ9t_`)x_wSdDIqeV`A#JE7(*zKpe-hh^snAz?KvYz@1sjKgj#~m9%xMnBJYWX&* zXX3x845Qz7{iE0Shjsu*hfxw5*=o&vgJKvxJa2Vo(=W<*2o}MH(PKDo?|O=r@$)>O z{X~w=#9sT`^F1oOL#XLRz@4wswHOak$;Fj5Bk{;=3t&TjrAFmt!4UO?Zg7fZTWG4| z<&&q}>y>LOL(!CdtK8-?&MRDd4j&tB3YWI$@NL;do_oB}GmW0>^n)P)FDF6+*^d2j z!LsMCm&aY@H@^ujgygds?U zXIpr7c1ll)DnBs``NxP+a!DWo(49C*pcaDVW;jBOl1qY|lA#R1%5DobPb$$kxELju z1b-#dGguRuAI1qGc~;$qs*HkvRh{9h7j>&&)X?S$Jr)}B%T-o9%$8s`{ zcBk_?c~yQ6|9t2ZCB}XB21L+;hNN1g6E3M16d=?U3xEx7wPFEtDXJ2UyEKLzRsQKE zM_E6v?lyEOt|jcw<;v5Z^fTJ6hknj|gSXc=IPDg-256>KyB^yT>lSWv_!S*F%6~nZ<>OGXQ0^g%f?v#gU+m8EzFWoEalUvsA}4_I zz=~W+dAig2)6omZpWa+-$+dB}AHyzTw=cIv_N30XXs*qhTg=}5pgaYOcRs&bvZm$V z`)lv|ygwcRrM@pcTZBpWKsjk*)}yv)w0=F?qTEPs^&?S{W2Q=6!QieRZ99GKcf?c{=^Q=259ERthmnO%tsYA>V<(6!QjaEGN)N#$(JctI*vdES4({n6x zrTlaU^WGeqrrHm^BV4bI)*Hz<<#VK|E`or5;dcOpTCHt3k$nXtNK;(|k1~}Bf=lAC z^{=L>c0o&^L2$h>G&xojQ(YuW>FataNx6KIVycT|seN4|#BL5QrrIT=_!S-aZI!Gf zBwZ5OJu7}i8c!Pt?5p$DXtd?YTsPftYJ8P|Q$N>XwfDD267-wD2w*jEg9AuhSw6Nyc)#L3H5d*`z+ z3E26L=fCLL-y^?pwfMK+^PZ5pCAgl>#H*R&%Ql+(ga1x414@-Y3QfW$b}$T zN`2O1VR9)+t};TW1Uo=b6ST+pL71W}$oRXgh&-;k2{Q1i7R*&FJ4E~<2#6QME^0v< z^|B(`_i$*IyC70;1ZmV=5EH4CJcV^JZ6y?qx(n|1EX0;ld#& z)jPe~DlzK5dwk_X5M)`Jm;&8q>g@BS4gx4wM zEjiqD{<0nCFVT70ly^3Q^~W5WWv@w?gF&Ow%kfU_aGADz8)y>G{Kjwl@&Eh#B1qEm zPY;s3UK&@+Cep4*%)xNMzCv6f`qZnxbv7$YhzLX0f}&4daEFO7R2S%|8!tS^Y+Gm` z2%<%}J+#~#ThZ!7NVUD|4SN-RYJxTmkPU|$Or$+xwk@=Wa{+HEo!aNTrG1w)iVF>PyBEH-B zoVC8Rjv6Fz9G!jg89-&Sp-Y${#*{+qiuD~ze(?e4sOLo;mBd;QnrxZfYWv?I>+Rq9 z-yxa!H%g&Uwaq0K&4?6jJgch(Vo5L<(CI@*N_#`I^RqYt=!Wy7)xoWMsKKP(s0F4L zg2a`Q2Xq2eHws5jPx1on5@c5szt2(v@i=Mn&en{<(%pX3KJ%!xMVw@kNKb1JkItIj zj7%-_@iq78pp^79xN};s6TwuhiQG7cRrAq<1KR$g^f)izLt~x|B{7zzKF{e7smx52 zXAUC|t?sN$PPBi=pemQh4=Hx=hm;@FLy86bA?3%r@Q^-t@+rOF#`D{bgKZfGHSD*i~Ksov6JyzHG<-%{0imwdcNGt%6P9+s<2iw&?WPEII+% zfT7VB?~;zYcOP3t7!38?bYX4^U{_Y|~?=FV7cf~w^!3#4aS5A27(sCC|KIr~T7EQY=+*NWynjYc?b{)+Ny#nqE z5w;Khp67n=E;1I{O5hm_Yb*dBG?7DQlp2O_xUaZf!P%u318>Jj>Fq147<56*aMsE> zw09YB7J~F)To7}iw*p=v&Cu&Cqd)F~=rh)#)97sz?>Snwv<$%oLH)(W2QXc*p9)8#_e9tEHY)agW?N z(p`oo(}lkspHzBA*)Al`o!iiCnuwd7Y!|A$c4c?!0s`NMy4_#pFg+C-mPPaORG@$3 zzy9UV{d}Y-w5ZM%s8{t?)4()hx34Tk;ewO7#YcyH5zz1zM{BdGKtph(l9~#%2#!@! z(_?kP(MoD6&>}cmNKGf!B@up-CIbcJpvlmv(!Rol6b0&%Tj+UV(ZQe;%a0^UdXO#& zdJNJk3yiq=Ogy`%2Tc?!sBL zI;VUi%DRb^Z^~(;R~k7DNeD+yquQLH8|f$edvZoMQZ(zv+XBpa+>iOHFVjM2ZV@fr z%W;cR;H4ko6T5=YsbFr$`(Yb*u*ge4=6xlGEiqpm#E!(bFI~Osxpzm%{L({gKl}FF zPbHC|iutJxNHGYc3-HJO$kYGhi?1s=4Ry@j1t@Mhil2p)2!%#QAY@;e3*dslOBqd| zRTMIiW_N{lBG=pNZ^S(H|9fd9g~m6=OYe7OLlJ?k>)pm?=KJP|p*T$DL# zpg2M2a(x4He7P_ZMm!T6uzIzUbdUTr;hSky$QDfgy)}uwxg-K>ZQ6sE)I5+EJ{5xD z$GyPjO*|D?*6QENQ^9}oNox-Vo(iM^9`~sjLGI@=xF;)vQ#U8MSg7i&TGeI#X{xFt zsa58?MX|?4q*iUJ0GwG=*nzwYG@cL0H!30L-GZ-kAoqgA=lul^59A%Wh-!b-svPqE zn+5V`d4I`ir~SDd$HxWBo0<%C1f2t6kv8y6aC9Xb0puRsy}RI*!6vSCaWSzJavISu z$iw?=t46xn6kc)~$@_zZYC0tZ0AQvp)6F_&XL5LM=@X0YHlr3oeNeHG$ zRVM48n4ZYWYNa&*A(+9Z(n*ivf0Xps=a|8#(nt?FWh;`26*Kr0kkY>E4eb%{%Jzdw zbV#Ypu<#`>^lwUqLVt#Zcs<$eJOTY=k#Jvz+wj%P9fH}zca2Z&%JI$6CrbBO-jFyJ z?wsX3hv$0d@StinVV6L7z`#czxRPRPh;~poV+> zSHJW1-x0C3RxI`E1-s)Os4(mGoir>BVU$dy zh_ZF#ZFWHf%G&*mn)$Y;fEvzD1c}ZSo0Z6=fT`J{xZ)he;j$HX+9pjwoJAuj1tBJQ z1OhJc|E^=<;Kjv#@-};TJRF4kx7Ru8LV_+|c-QcVpcc^QUGU?36dI5-y?&N#m=Yr~p+iG59k%j`~L zhsLgV$Ez~I<8H03E&yt*jXfTsx=auOz92N{j5DL3Bqch~$7pc?7mt?Tp~+CwA1-;J zRXZ8A%iYGe*Z3G|?CuM&4y(FmE;XMueNk?xK?IvZ_CvFI?$}{eeAHUVY_pio29#4iZQrY$$k+B-V+BFo~j91Cayun1#Dtqfw@gzjL4-vv658(Fo>1J!at| zG1kHpIZ%&LxG3qU!GuYUius&XGfU+IS2VTP>a8%@lsVS1sVwV$PF1%(JzwKze=MiB zbyAA@HDA`FF?gNq4q{a{x{aG#l#6K=q<})(2fC8c%hcX=@ny@J@O7n;1)aebl-O4+ z&|xfABsu$7*0f# zHmDDroji%L!spT6m2i^a#{k#JJ4jex?U219?cR<3FLrYGbZXQ7aB?drt<5OOcIBj1 zlLDBbs-gmnQK_6f*^d2%#v(S`e3kmZ>2l~8mAD+*yOPcyj$g$yAql1IWHt$=Cv|e4 zu(buwCiGIAKYU<#s`&?tH=2Ghx2p@5H`nrbg)1w4Exw876MpS4^?huu!7(S{F}qFB zWdjNx-^5aAl1ce2Tzd4Xq<QfeMf~VU-3;Ciq|I9_0EaDnQ$fA+b$J!q84_+>I3phqz!&NW%Pg z#b84)rOk0fpO6HmPW81yknV=BxL0R~{k`ZvY0i;GqX@27z>!(i1w5I4bN(^ZhAtS> zjId-Yn9GN}`JGFGP_tPwmk)s!45ujv$0Wq|N>=SDc7*_gE>|*EI!^Pf*RI}iLzAVh z%*sl)QeBXKVcjaFrPmvJ#m|ZVo8nmO;VZ{IyX~bsNDur(zLUG??cbTt`YrSoFLC`w z`K<5Okfh2P7)hVmn;baWV0LaOefHirzxA~bqCh|wa@@fc#HbR>`t#r;I8puCDUo3s#KB6VXU$$jod$H|1z!Ubc+6(B2#O` zej*=vs*=c`XT(<_$Qi0Zv8)l1Xl~GBj{Dd|kP%VC1XVyt)Q$QXRq)t}dV5vQEI+7e zKJd;z`m19MmU8~NrZEOU061jd7V1-YE#hF1K^Q6@=AL))5wvH|MKF9N?&`-}1oB9tw^ z9aRs4ELXpXw!jWS{&-E+!=D#7J|xfjo>ZQyk(a?*go$SH?@oD?xnwF`AEH^!7rT+w zvmWWv>Mh-?WV)+z3Hk03CL!C0D6|NbKlF)b)i(pVo@>VPo(RjU;UPGrNdriRHiJ(P zMzZ*FGhuJQ89n~kW@6g%LuTT8Kkf%V`}c>LPe-NI`FfLRIK1u)2+oJl?NCNFgg?rg3}d?2sa1vXq1f zs9i0;6~8(M^q3*Z(T@rdw2fa?K)>H0X(p1u2#7jlKfDU)G5Qg(g!tE9trQ2Z0(vma zldek~>>eYBWO&ML+!Bs~Btn0wm8y=O;|1`N!{Ue}b$fH3`Tm$eAt}eeFUmIvSP)5k zag{(4*aI_i$goE;2uFt`JcAxUQr#j9j<)jziFJt_Ah+Yu?W$sTslAeoVxi<)E^cF4&NBqYEoo{o=PN=56{OBOL5 z6352{t2V{+D}f6#bI^{LTO@njZ^|M~TYktQeb-NY{rXWr%$f#ri)2Y50HT;RAin-` zV~pUQFRFVeMw>0D@_fY$dMJ2ATywNn3V@n3)l_)CVvIr@XttofD}sfdpm& zzyqx#RCoE%)OJO%5O{!|Lv5UW#jJnIu01v0ZNUf8*Bt4#z?ytUT`~wfV6y8BeO+^a zqZ@&T2BP@~J(jL5zI>}>%NOqCpU|uF&yVgPU6R8-Z7m4^>3L?u_0Me3^sZTK0brz3nE%o{lp1LcBR|7B8zw~E*;>Z8Z zNPg>RMDHE7L5aYTSf@%m zCeoHxc3<)3v7Bx&{co7b_~hUD-}ojz`FH*|zKKt2LPuxM=6uJT_I~-(-u7^wP*2fq zRyUAO*3;9LZ}l__6o31-{ncOo-v|AxcFwwvu|C?TjBJarS%2`Hz-!jhy+^H{WFO$p zTAzij0cAuTQ&vQZR_pK1&9ebPn>gra=h6`n)Y_d{Ti7gm6W2# zs!vY z2(TGVHmjuGW|nE-S8j1$$NLn#~Ad>6&(P<|XUWH7_?lAnxgvgozFJ zqoV>(emF|PmhH_E7}75hd=avpz+{14M=K5D5-Ed<|Mc!i1QwE@TIsDRdf6pW>@4*I zs3VYe?CKZg8&ql%_8k(I@HIm6W_%3~gThgnp4yjyNxd1&5sxiLD%ESTj);h^;*$!X z1g#wX(LVQ|?hOsqW8kaURX%aIM5jC8d^Em<`Uc4tXiIe4S^zo^7a4!49jJ|e)mC|C ze7B}^(*B_9x&(7XDFB?Ev_IU1OWIN!UrH~Jr}j~bmR@~9CE;mB?gxpf}=zht&=x5t1U?^h!8#)(xB* zI;Iv3oM5!8^N2a=hOgOo$S8$ouNKTn(MVw;mD%R;a#FOUFhNhcY6Yp1{KrJL5vks` z&?DEQM6wYT>aAc^k3wxEXa+9SLE&FJ8oaeCDO!?^D56PE2bK3oj|A+bMvlZ#vp@mga! zbxqw=-^b>wi|6yd{Ec7t()-WhsJPlne~8h-rcoRl(JDOK0^qu)M^3$V$J_OWDzqaHR#fV{+JK@4>vI_g?a{rf&b zs^3SIMWq;BwKW<|_c7IH8#$)%3JX1^$1T2?|7{kPP5;jS4#~v7QL5i2lY!A$;a$JN zOTcJ)ixH}bI`mLw21Xfal_|o;saG=lqho8I{n9iQ_zr|3s<7rzBt?s-2RC1LD02MS zKk%Er?+zQ~!>Ww;9p4-WBuXQK?ONPcDZVC{RZNH)qx6kDpP1%_E0 zP^-^0%Zr@mUI#59tO9#NPDENLQY0}vS;!Dzl>0Q$0*soWH;fs{&5rrdyyoPfMNMg# zZ!v;udc$_>wsjRXqk_X~$|pCl{XnOfn{%r3>OBwN z`>-a*oh|yaFGtzV z6hg(iGraFzA60qyf8O{dpZN);<3hXU99*;>Ix0eIzt)~Z;`}5DmpMQL7mJ_{3}T=5 z9-}B|T|$r!x(f!M8U_osf()}=aJTXH>)qB+$XJmU-36oldbdOIPs=>}Y0=ti7TqPI{rcc`+5q-I z3BSG~3`vXbk|3BFkp=J(JGKbkZx2FM4O0|IqjAp;unh^f^?&bASlPQJRIaBYWhQv zR@w!R7$JuGE)eKo*0_2TAxI*~0j9Y@*Ocz@T9ttZJ3c<=udq2|_bqWf)hhR3-+m1PP8X?SHS<}aNCRj*PQSA{l5Pd^%Ym)cqS zosDy;A<^4bds036il89Y;k_6nwrd5c9$oOTL3AfwBKr+8$MrdidUQ#Q?4@cZSUOO`*pN)1IX++OwA5C5&7{QLiLfTU^~Jl9-o2xiK_*3rF? zPO{3zX9I?@v`9??fZTUpsqMx_sWE)T%*EI98nSzV470VYVw`TemB0&*_g`Bw%FVVlWMk$`1d-b3PYW&{2lCK838`$qVCHgvMF6G zO;NW$wU1fUZM&ZdhvwYnbj0o2`%9hF9Ac*n8cM)KBH#Y1;Jtq+E*C*<7VHh!=hPs!Bhfp_nOlndfAxW}@(AV7V4jfj*HF2q$v#d(MP*8PHI>6-yC`B$=vZ&wJjL8u7`z5ryaC zd`y^Z!F~Nd-Df(C8=lG4spc_V(n)!3t0Kr4qP%FFpJtP%J5ycclr}>=oO8l=v{cGTFW| z@1h8LK#i_zvxy-{(Aov9-j^)COH1O0Agz}R?$P=z*gDF_t-w44X}nwzxm$LE345hw zDMFCmg$pA2U2-x;cFG09^IOIATjf?=V}B9!%z` zf6%9pz&{yRJ(l)f>b&?BzIWT|e;ZpSoBo~u9g>NEqg20}I!Jh*d79prU9E-n-l4mH zO-g3^bABP={}2>$NsOmNO*cIdP>YQ9xi3HlrU@V7OuaQ(ITmXQvnU^oH3-6*qZ6`n z$s>yD6tV&$Q#aq?Jk#U5Ku(6F0eCc!rs*9$J--WMm&4J!rKRKjw@-hFuBwL!TkS)1 zHTfZeWQ*YNpM2oK&_jgd-Q+{`oF`Qr#_{br$?~L0mbJ_&2u>57RF+6wbe3gsqM#lf zqsL+gjxwtf`cloFNK|Ay3gtE(3)o|Sl+H6mL0EynW3#;XCJ5ktO9$k3+JCpeOO^IV zL43uxwh@(|_UCrmUq-K`{kMxvMMAR?OOW>GcI=M}mN$idZCmR8^lFt&e7iYdJaGq% znL{Bkwhe`@zPZ7-0DsYjwUkQ#-X^X?S!yHd(l@J0f_FT8=R4~zxM0sFDpuZ>BIwLy z=j2N3UB5L>-OEz6ugNLkO1)#8+O>G5+*Olgtn@2~tXb0}$r0bx36Rqjf+M*Ro~RJw z#;U0YajE?X(qUL6{j%jo#N4hp`L57aopM)(<;n>UUD||o@xp3f#k9LZY3p{>U3rV{ zjdDvc^;Av=7dxk=?#NwXS#E}yq1m0&=XZPUIdVuTfgj4AM?0>^Qj8A?PE7zcC`KRz) z9h}K0cJ;V3lUhHbyo=8~P4({aW=T+@^Koo^?*haTgal#N)<}LfPecX}7pT9%edB$> z_{H{4OHR)ZZV?qhIWWsMw>pD|3lxYdAc=n0{;1vb-PX#=} z{8V7`CY}l`+ddWir*K94PX%1j$9*cEJNa156B1d+S-ELvXmO>gEO|}s0A6uBlh+3~ zviADGtq0!-nQths&Aqp*;ikdwN^YVAg~?6hb{w$hro#2QRX0^81jqSr;HFkX6gCn9 zrt{}^oIhxV^CqYcvooky%bh`VkR}^}mQnB`?t6d`Ms)~$3kt4fq+%A7m&pXT9mq{-arRp@@-;t3z$_Dl?K%km5uFS* zIPqg7`tU=qJB()Jp)q{76;!aMEV(3UBkUpyW!qzmwew59U9&Ehk^u9VDvO^p-7?X2 zNd7@on--s z;(eYASgyZ-CA49skxoU~C`_~mIaFTNww@JI)nGqid8)99%TqKjPmTA+Pk;Zf`9~4^ z=y~=u9s(68323~{%3R2J_XPm8H@X^y!3=%8r&=7~FLbn44NSNY+h;3=YFzCQE!8V# zjRzIW;ZxLjKu{XNtnrRO?^O_lGwnHMjkn!&(1@ZMfhspEW{n5!<$4U9(v4uH@vL5u zyvW)zk*`ms@la;KmH=oxoMmrKfv4>XZCBux6-gxj+tZYCEWhZ;sVLVSY889eQsHU8 zk4LPk7jq>na&ew#^{uKqqrTP+iv>c@t|Ox{UFYgrE%Jmj$QIaC#XmInG@9`MYDRmt@<+_w;-h-tMn$Oqs|z})`H#EE#h%s%_V}L^MOZy z^C!=-#36ysaq@_hVZGWqy@VqHl1fmiS|o$55Flj)G)e?9kx>a#LXe8Ww$QV@C9?_+ z4GY$m%BAQQxEUzgXt)rhS^0ddNM+(H?!jQEP$`B{s=E+^3FSjb#zH!*2*gzK!~u$F zlG<0qC|+f4-y$ueenqU9Q9cyxL&M3E>_`xQAxY*ELGYw#7)L-?y?`W1FCdQI>5$Ij z5#@wfGZ@__TI>gpDw|CNPc1I9#r7$~c>bkPzyb&^+-CTAB^JI3Sv;okzs=XO=|@7m zR6f3^R3P|4ozo0O3%ksP<}3T(p(Dh7HTLZu4eS`C6e7)&z zqz8L33Jqs|y#@G*;ZgH}?MU&P?;DPu9_<=M=CcrY7?SDPUTjfsE1e-If9=)s!UZW$ z%>vG)Bfdv@0rSI+lDUx7Nv(Vh@T%4sgPLD&f!PM?HWP1Fk3FuE%*^oXX${0!6B?r@ znVxRo;wVoxT)cnuqG(v9D}?(@AwuKV z0%fi167X|I>k2xYZ$`KQ2zON=0DWu2;O6Yqs0C4c$gr|KBdtCAB^iZ&Qb6l z7ewg|s`pT7ci7levJe!!#|6=F36~JXdqYFX<-PQiJYY_#Nj5{t&srloCu&jp4H5C3 zq1avmDm#QDX68qi$w0RFK<*^@-*AlLlYi%bA>gbv0p% zN>`r)ZE(KXxUyHFvUO)Bk4d^ePDd|KfF^)okXe!^a(fRmKhq10qO%Jf@wNms;I{ey4*q&J3{)dpBkt5`cM-pNk$!=OSi=2ZL2 z63H&OTXn4KB7qVJ)Fku^LHc1XxCdGfWC4O0fTLyQAxJapf`@Ji#x@Ef_9FZN{k4&- zH4aT}>4G3>P^5@l!D&{fXG@1k52VCuL7}X9V zOKcx5MJ{|D|2t$}N!*KSOw-J;o;PQOK$ z7}M|n=;s|@8`%l`3q_AV@0h|=*6tPQL$%@ zDNT-AJvN-#CkIeV@1je1>{@!yd!x`DDMTl2j#<3Vg4kU9^?fQ zS7;pss>${NP5C6r^dv6|RTL`GrO22XFvU`>yYlFOzeboxUI{M;T=fa@9M=gpNT z4ia7_SU;qM_ANohkLe-BF8+}6<6U@2M;au7EC8wqm{;L|1bv{gyMl>(i2zh$SGcYK z-?>1Ak5_;bnm9-Y6r4RDP#&GYTBMjc?ce4Vfc0LKSHSsZTzd^5zQSsdD5!q0Y^US% zt&YvMkX-v0)6eOp8QMeu@*EXbCBS#Oehm=5k;FkR|b zQa5IFVHB_66Yfv|e6`asM1?Ml!4MU?fKvMr9Dmg& zRnn%|tD>iIwMsjZWGm9kjci5wmL2oeW~J0eYVqw+pYfKvJ4I*OEt>I`o55RN|LfoO zm7g4Vi_o3X})~Ls0Ibt z+8&z9#s&AtZAg(PGXK3oIJy@_FwG2Jw^ex5KrRStjj~c!60OK?S)U`_3m4pb2L&qZ zkdmo;_N!`8P(xcKDrN?^m8b$D_?q~&8c1VegGlB}o_oGANMoZpmLrPwG&r|KnwmzG z-l2Jg!TH&l!Kf=eNTnjV9oq`PT+_%2drU5&i@ie?Ui;hg4cZ}ZqicdWsdtsCJG8?E z<%P!pp&qq?nAmcav{snEh-HxVEy^{GPi=uAC<_88JKO47$=UmwAbvvm29J)ERsD<3 zI!pm3gtMgnkwFdv{*r!g*`|Ut)Hp*y8BSpgx`_8A+EztdX+4SZ)uY`DsVT=h=XEc9 zB^a0rJ~r8H1<#rP!;tZLW-z&bsxbOYd85S5;Y6v+SDYwp3cf7_`>W|?9rn1- zp3&X)jHcb9*)zHs&**bL6;LJEkCv$&Nc@Zyqa`Q`W#cn4Urz2>>whw4k_+q2(n%rD#fK*{urvgZo- zLCHwrZAq_g<-t{+(FV0X>X)H0r1fI5XM}DKMZEBg^oXe{&uG8fk+_0a37%Pdm1^(R zyQ7v}kD99TjL@A7$4&f4v{W_cnY%qmX~fzjc!l7c=y_Y!J;KN`VY$HNlH|?>Jsj8T zZK=c4IipZ^xzsblS3F|=Prq=tEBvN$DhS=n-LABk(-}Q7x2ycXNBdy{iO5z`w4bBI zl`d`G(L`JTH=6CKYzpOV==xjs1nzC~flHjvND!H9X#z7XX>EnBA_~?aJJd(i1Ke zlQo#x=XIpJ_iAoW{?zw=+?Tu|CYUHX=zx@Ag}IuR4Ty~U?JM{de2rajw_b6u7^`VP zE7S<)eAgc7FnARZM6pA=V$P?Gjw7X2_d_4jI8rOGh;z*OF60YP(gAI9IBbm{Is_9W z4v$dKHReIl`P=-MobQ6;sClG4>Pi$eixsPU*8$@`loEia((eDO+O?`8!d>2?;P(F+`hwe_5@4~oJa%fYMr;*n@3(BZrAFKLRgS@sP zCQD)IZeICu29Hr;@Ritym$?qu&3^k=IN$32nVF?T40}ppaXak(6qb_IaFbqaKwZ)~ z>)nWR&Wd%-h3wfFhW!y8XBR%rApFwRyPkV@Fb}`<5Zlkb{oO%7EY|X#ia9IbfRyk~ zPq;<2zpm=ecyTi_b2G@y3qSaGKm7VYW|U6GT?8k5%XuEN143#Ogvo|!3H~eV zYjyH1Pj2lu2wEFKQ6eT7q>-2!u9*%yD|jb#5&RqtB4AjCS!2zL)Fdtl%!s^SY;yM~ zIaZV~%np4(YDL0+a6zKMwf-@55qw3P0Y@%K04|N7xCkz3<128I+e2ScD!}aE0EHBD z0NFL*l=|S3v+^~|@Gy5K@-^3CMgJDuLQSr+w9<%L!LoN!E!X2eCs9H1M zpTe{PiZ*FqswDFbDjiWcPjO``$$W>jf)__WU}j$LdgfbXC9*hAc%1D`mzWSBoUuf`qJVZ-3w5NeQ15Ez4un_b8l4~ z)gTeiK-u36iOdDDPgD?F7j%MqI4J{g598`0oRU&^)bBK#*ca;Ta{R>JjWd>aZlIcO zd9S^JYT7NDoulcu=#mDiU-^+=`xpPvFDoW` zg#V3ihCWg14EY*uhh0@nOxxhmz*C1OKxWHkcrVvhFLrQqrC0~fpY;aCLz1zk1zHJE ze9b3$b2w_LP?Ahg-~x}+Qcx*y_aPNqKcTs{8j|9c1L<0#YN(Y=VBlhl1SB5jEs?H$ zPcl8t3(Px429h`%Ac+=;loX#|C8ITj7l!!W%}#GbTbS$3$QRkE+9f#rAYvh`>2JI44FhDe<+%a zs1XW6d4Wg*>ZA}NgqVo_e(UnA^*npOd++z__xt+vnGMx*-u>;hpS|CA@4YU+wbpNi zQ+VpR%NNrp6Mv&5p3)&|wAo{PSsr7X)0cHyCbggYe%2EoT#$vmRF*k4i*A9+QNw$Daj=f*t7p5cGNTCYzzIfRf4BBR$`GUuD}rF4 zM?i#1Mht|~fG7m1!(8yV*|IzlD=twLF%v~h&~i4GzG`D3|q-7iVky0mj8;jLkw)? zBeA4ZX1FBEVb`MVFq_6`#ginRIG02N5GzecX1dy{@=lU`d|eV_b6ACpFSKSFt^Vec zc5z9N^)GQBu_hK*JSVN0?+=;pq!Ss`tVWQUB=Zg0Ym1hgT@p#vuaxhQwSeR7V6p9d zqkM~yY(dzMZ-o4dq_JYnNj5>%_Vl(M(fx8zC#Mn-XA-hmH+sZ2_vd(dsTq!{Kj+-8 zo@|JUf$O`T>q8j7gxvHbQQ+iNEy9R8s_vE!p=ncy3Z&w!d6H;3M&W9zE0sFWR98CE zlZ-OeitU>{(&yB*q7D^$J)Iv#9_O%HHSA3qQR{Tbvs$kM zhJK?&Q{Z}GWcfa;@t1zv6aVPVVKvnJw4QIUW8!yhoyF|=mU=$S%HTZEs_-v+zERHy zRo1SUJ>Nm6yYhTXJztO0oju=D&xbU$k&cC*nmylA&v!(1TMPAN&v(!}uRPyD_q?j- z8}!dJ#tIK$<%)#aZ^_;_O=`|JI6* z`q>aB0+&QsWW*t0>S`a3jIqLt!FLig7W0zpY{jV6P*r}rb%(7!Hyh!Z@rl8O9@S5w zq2Hn}3*VTHW|LReZAbvhUm6l-!*O}T5tWFNjCZ}m>^sa#0srdFSAAsi9j|%uU0)eB z*iy%3}l^A%$(0Y<2+8VNz# z7#GB39=83%pM}xVpeO`sV_Xo~EVdJ(Dr*7$JW+NbNE=fG5xR&siv$?5q^YY<|#Y( zwRf%O)yw5KR}q_(NY1V6CjS=^E3L5olvgn4&en)pv*tG!D_kUxYuXottTsn1-yN~S zE{R4t_GU6FK~Z75rU3BzRD!J$QLEfYxVoLQr{@>Lqii@C)7Txh#`FMRA;u)DB;zcn zj<5^ESC>quUnkxfmZCAvf(uq%=b4X@WDw&{W77)JR;s$E8jPr@A&zQeHtj9UF`vAsv0R&IL?u2n ze>z~Sd2qvIS==xh%xWak$VezKIpSqW$AhJzBF-A4ew1d(bUfM(2WLoOuu=Z~@Pp+| z@o58^l=)k+iM`;Jbl2fN8!Z8jh~6PBm$F2v8bVeP!>U{uWqryqSsaVuy~0l!=f#{V zJOdv3R$fR+7nM{~V5AIUi;6CS-P~0rH+gl&uv1pgazsbJ<=%V$*QYNL5rv$*6Q-oK=S*r?l{yMh(*11W*-VR6{Dm}Uhlf27OJ4cY?O9qh2zO!b&Js`_t@g%+xtYTZ8kV`jlqtRR=#tRdSG@$VxX|f7eMHnd| zQJ$(dO@lk5{Dh!Jk9%~oKw$}JA-YH&VpB)*=IG{`G97{QqBLI^|)=7Ps9xX37oF?SMFMVZ2z-be?-1xszpc8{P^e4AL24n`3~ z^QhFOaQ?ft34|aujSFIQ3tAJ12A1*kAxHQQv1Nh7YHQaXu zt7?}$`jN#P@7BoNHs3}Z3||v17c2)NX1SCu?EC|XgW-~ZEJ;lZ%yP*pNP2&9nUc43 z$t}QD!9l@hYbA&-dA2i9;wg6ol2>3PuRcD0tT1GHn3hq_3My|9&U5fh^=|4i5V!f= zGLSi%yJR$XpYa+V+)|xC)vViNd%{=-hSrpUh|QkO`kioxOuI#G%zN*SZqegz)?fRh zf9f}0IK!+XSKL^R%B+`a*A>Iw+QekDj>=WU&9wiBq+7vk)PP4mB9gSinKk} zw%~DCT?}AL%SMJ^HtSJHirGqvZG>Pp>rgffo|)s@bV_$Cv%X)jIFy1bkaU72$iMszPwZ3<sS2R=e{m1ucECtM(hWHc)rmEx*h`JGh#o8uV=(Qn(9EY)g}uPbO&2M0K{j+eh`Sy zi2X1Qk5{#P?ExHqvOP_a>yR;3b5-}k1$vwoITzfXVk@V}#Klm07|Nb|;Lb~m3gVKC zDQ#;h>7Z|NmpyQI6eG>(^yCD>Y)o&*m~K{o@4Nr|Fs8~X@5a>UUtmbY>1k|yQC)Cw z$Qq5Q3l0uhqcJUlLqpbROkMDZMo1LE0zpSXR6EUs#?%Gb28o~(f+#@Mnj6~%1f9mz z1qUaR(U`j6@IW#eQRiol;+L_Mn;jNaT5tn7Ro_337(|$Xq{qw)z zXa34}M-PNgVvk?@1G&NOa+i)8!O}n3cxDB?t zJEw_iaGm+~47b5n_vdsQ?5Mk-cGoJ}t}k8LrmLeT)q{5RKl;IR6^8d}xn$5;%a7^9*h0oAJ<#XQ--|&^7{mC(jKn-Un44bECs|xn0McXQE3zfKAB`2MyXG|3*$zVtUintO-YO~2v+c!xKPm8DK zkav1Kb@7=6({54g0?b8OQe@tXN}MyZ;5DE5`TxgfM98Z*qvJ+xY=ZU{;}W%z)9xB< zWyVX5J&q+IK4BmPGnyUKsWJ7LE=tTE)>>;j42&Q?oO-|PjGWF^`cP(50|;hOc3v?X z=@?Bd)F3ROifhbhc1)%|U^cbXT7_Unvtuwd#v3Uj5Q0*a<=^JGmBG|R(%06vnIDl#3sq4IkA)tyM`i`8J78rS7HXgaxT8O3XXcFVE(T$>av;l^K+j}{EZUbMD>hj zr|#QFqTbqGhmp{+W7?-irqh;h%@QNi5C8Q4VE68z-P46mtJK9pS}^lln^kH`5FV|D zG!#KrsfBw6I!2h6^NKk^2xe7|lZ9#lF+rQot5oV5Sf2tx~tg%~~jtj)$Xl z&iFOnP_gK{-_A+PFLJ!FlizV&^iFUsj~?t_=xguTJYF4g=RF=Epf>33g+5*{?mekg z3sFGXmY8B@Y&A(;6nt{QWjkE(PH%`5aOU&z$;iiZJ00JmaMDPhkEdzP$9GVuC76kW zX#fSQ72~JUqonUk3moUCnT;*|aiU%nFE@<$*_|5GUhR(NnDbzB9_+d2pOM)Yk9Py+ zFTeLwKkm&jGGUJqM%%ZP229ynl3-1zI-wDf+KWAYy32wB+XBS_s$rx7W3>kdb}dK? z=7QFM0mEc7S@Vk2OGOaWduW#+-T1~P#>c5?!CVl$)4WD_yl4Wkc8PM0)Ko4A8XXue z+Qj3*=qh4Gsw5Z0rD3c)SKLFg`ZA-YPVG;_KO=uklR~OUdZ9P3}uv zTxE7@l;FS=WYfWTCz}q~mQ4JGgipo$r6XsPso=Adi~~$d?oFWOta!hawIv8-kj51>;IIfHq(+IYV$Az%zV%>ggb(Wlp%at`d%{v5J@~D|4SY~YO zB5uO%Wpw_65(SxJaicj}Tgd!_ew%f5#P%_R$}}+`F0I}-RKN*kx|T-R ztN|pjGzG8&hKW*RP;#x-GEg=PR>~A}QiMecsZ{a9vY>>TuBMKdimO_8C6v-xP)Z+) z?pXk`hQqdp$JXjIhL@qvHk=PbCAHiSDpL@Tfc^sC2C9FU0TBd<_+3Orl5vX&O8kZZf4nzb*;@=d9N z3aLGH*1lWJ6*Q#^ZuI8VS^J{J#FQ%d4i!Rn71X%0MW^neRhtznS2lF&mZPfcuPtSx zc7`WHr-F`sQ2T~X1shUFGT)uhsWQ_bpM6xPQqhE>sww>w)UB%Wu~w)v|Ll1R83rWl zcSSNnq28@KJLBMYhl86c8;LmDkYFy3xlD1)hyLe(^nLFM99(Om-LRLI0}Rs?cpGD? zjFQK884m7(gKZu(>@GOi=0Q#1f(H!xgoBT;s;7H{hTR2s&e;yOVW|< zI;c7ql1%xyZ%BK>ZM8SXBg(9l$k$ZX6XY9bVwfo3P)LI9MdNNKi9R z;7g90R*e(-!WG@qkP!8m*a!%cjqZz6^x#LQ9A)NBcop*|Of5&TH{nb}z;cwI`JC_l zr9TqUi7wPCvC=TsM}m zrg0X+1DCCuzyXA(N{;Gm+Bm;#$N2?2Oq&v-MnEugzg)lg+)K|rhD2(nXU?<(b3kyJ zfZ(Iw^%dXrd!w|oAL+|UYauDixLn4P0umgVG&Pvr&PZROFhxeTGm+7VyeQ z-vN1d$xC2{X9r}=NFPc+HGzSFFG91Lzje;DV7xtP)|rq&q8k=63)V;<&`QsPcXB)x z(uZ@S4oET`i%x#2%Cj7n8xBcf(i)Q@+q37pgVso0lSM?@P!Q0i)}nZJ3#_eDtB*A$ z7OK8czCW0fOj1_nn9$eIp1rz3PH&H&(`s+i*{eIWU2+~NvOL?xp@vu|c^v8sM8mmm zFH>$Oe2Afd~oUbP0xNGc- z<9P@YKAvv3e^ZTaOS7uut8)H>acj=MH1nxA8l)=I)!w&c&iSN;{YL%-37V8}K?3NU zgbOB&Q#j5sc)wn~l9mluhzU1d|cLY;a()*7- zO87b`sFSIN7-QIOV#p!dV9qY;oraWkih8HUU(=RvfjGurpZra~@b2$Qxq12CUQB62 zUimCBp@J62^BlQ5LBDtl8Y6qu|Rvhfp%^srO?SF@k4S=N^J8UsSUcVH%P7XPs2REizp-@5wiYO}2LObwn}%aj-8=Ws~l(-fIn zdbo0F9#HTo3@EV2`do!KC))2Y(S9SNG2?2N!PWl6n?Li<{8oyQ1X%GLm4#kUpc$D% z&so2WQeIfU46{_ojgv1!P#7Aspcq~QZfrgeEHq%Qw&<+JZKOIEiT3rPQ}HW?*H%P( z11=4Q_qb4M;e2W_ye{Yg-{IKp@u+aM)f$)*Rs;ao^iI?jbU=StYb-P2#gyk$*SH$y zAZqP2u)P-it8g{U1A^zmlY^zBgVjllWZwtbeMUaS)pnhVz^WPKfLt5CkW^1gG*w+^ zzCCb~L<4 zSvDPbDMw&?^b;#)1PWg2nT%NF2n>9=+EBdPs*=lsIRXPk1PTfm1Z}iGB?KqwqfidL zmB*@qjKL>|ArWrKr@V`wo89Rwcha>n0QSu7$CqwDR?L2T4~TvW&jH~}b8;a1)#e0* zoluVhx^s~9ju+nclJfCA|I|C*5hLH9dgp`KrL^;9)_X4x+{LN-rp2)>vNi`vmkE-d z|K=xm|N4h~zz5*UaL~|c{CNp2bk{CrlKn^yhKxLG-T6(Zv?9#X|*G_9lJp>q_h#tF8JZN(q#P}8TNL?93)|cIYUF%6kr^Dvtmj< zqKwfft&mp7HDssW*y;WEIc!JBOpv54Nb96sQ*$+-+UT_XiipY4j`<*dX)Oe52|HEkGeAKPLv**OB%l zS3^UPiR5%69V)-RSfoKubDEC9#I|AxM?vg8QMC zCwe1A@N~yhJ1YmZh;oq}QJ|UMICnKGXS+N=09UD)X#U=lY`56864>*D8o!m9N2?9F zp4^|zCGvl|+$HJ&^Q!zDCb{nuB~r4~&9natGd(9u&vP>b)=y?yq6Rn9H=gEh&-I8} zzj1ZQwB=i?!9u>@`)xn5{mn>HDT}DbU&bj4I7&hmcxwl=NQ&CAUDgd+1cOt3eN^^_ z5aciEg2?KC5Qce(4YDxJiqv>67)-&S8K-}riOs3;T(FEO!6izzBnwjG6~Pr*Yj|pC z9mP*=mvw`>;1-425`=)fLb!ACiqv>6NJ2BwPRAp|miihcN#&BT7^upF9_#xdMdP{T zmT{Lz9#MMVuE`{oOB%}~3DB2TDs8*)edK9&$r2|*+gi|@B=hYF?&G5qLMF+4e++FRd>K>(k}&H`D441G_f&f9K%q4Yv_|`4iqoTNetxZ28+V0n?W6GXbCW zu5bK>j~E*|hJ+5X)zq3OQ_yNP|GnyI8DT5nqqgcb>zKlz%Yp?>c*TlY#e@k!POx3E zG6ASlhR&39y=a)Muxk?lBcav@esZH+>Gax#49^nFCSbn;U6Cj^46abDF1}1<0`MIO zSkVM1QGLG1$^-!UVyPuLuAGA*t(i>#ewK|H3$NZxk_<`w3qhU3Mo z-=4v-*FxRmYYvYRt8UQXD6xEp?4IMhBS7hxzkG{^XKB~>XrmLd@oO21?7z~e0a8sK zHRqxEmli^F4Up(_Jur_Ai9>Vw<**%!rLG^*8VJpnC3H-hhY}npbF(tK)^-OW>wl-+ zLBP=R&;p=;@GbKN^V!K4%Ui0X<0aXcHl^;!;|$CZBN*?G z=Y4DeD=lzfvx%P;iuwG430wYLi!{T5cLxVfyG5;4pOcf9Nlt#i*L}!;`a@%I;1*#d z3J;P0S}d(7CQz&l2ZGn1Wi}98tx)-&L9Ci(1Vh1CZ;2QFsOip(@>OtPp-Msra7%2I z;XqV)a)u}HGxQp?xM79^w}>XiJ3(ujq|@@LGaP8VyU>7O(``>pO=-UY>ROL%u*0d* zkfV;H|2(5sH%w)OePId_^QDcoZtxKQPxi+D_@DjX*b|@BT=yl}WY2YfoaZb0zp-B5 zCrYK%nniig|2|CzULfY@ge_GJFPRq2zea;ohe;biQe{iUUrV;ErQT~>$j%*z(-c4= z?mufv0CR`gma+${vZWBHY^iLA@f5c?Z8o-4Oszk3tn0x@gJMhZTf(%SZ#-?ibB*VO z!XavNe|<)lZxufgy-!;Fp6@*;hq1KgBmaRkoU<*ADs(os9u>oQ!39x6X#D=DUu?nr zN@<}p*HRNe;uJLh*>3#ye*E#aJhZ3KQ~m!)PsSST6imgmwX!NCgsN68~vQW+$ZfnFp{=f!R!jp>Y0I@qL*Lv z*xK`)w#yWr3u1T@9TO-#w4Nv$9)eVOAy`NP&>1Iheh5Wx2vThP$gET5`t8CE@&05&`uz1QQ$ZPsqkFzxLvYm4uZhpRo8}~ zD7+$xvDKo+;No@&3Pj<#B=}K~^`=@zHneX3zp=to&Cx}fscl5RxY{XyXtWN;l9rg_1hMLQ=wB@@>{iMdK8qsM@3tGRv%XwNE!ol>QuA+9vGq_-Hwq*6%h z0q}%?lp4<8o7+-`_6m(G-=_@!->>@PAN$imU#N&_r-B7_M#Gsd%yIfqxNk<+d{JitW?u6{-W0Q4KcYI!tK%r0)}9=Z&9AJfvemY!ffBd zVuB}jusmnC&_P^)N@W^gu6)V%ZNKvJ9F)Mcr>4TPD+YC#l!b$sWVNvl_xLi@WiChr z8JG^K!?K%qB#S z4r+sdO^IbEOK`UAO?eLHq`42UYN{zAneR_HDu|srrAgTV2~P#_TkFy+t2sX`iW`)TjYN%0G69f7{kNl&hT8S|^-~k?>@7 zXXUn_1QU^aBs^t192M5$AVU+adHeHfaad1-yi6Q_yh5{a`odC;lg=;O0NX$$zj1!4 zZAzPbrP1MvmX*~8jm_&+t9S1{woo`!{HA6TKP}YMow-pHqCZJT+N(F>rS*kp&wuyV zK1@@5H5=yPndinZ>B+`T9Z@79#wN08xrSn}*n;NER~#(1pn7z{?PhDE!9i|Y*C*61 zRfAO-RE}juWK2bggJE~DrOJBC$*Z-Oe(0QKq-yjP4;xrIngz^$={8C+H(n$$U0qY5 zAb4tj$SNHPXpU{$eC(llo1a|Fm#=B#E}7z7b*fARh$xbHWwgu$Ypdb5U2H|jx5pTt zu_mbP6{d5^Q5CLwubUcB#Tsh71gi2ukD92wRrrws&Md5j_aSK`+;IIDjR5l$-}A~w zr6na)wRkl}Ro}|4Gz7meW9%7h!tp^(I3$k3OK%5%De%q&7YhMI5rq?=C z0qI8AkNE@`%E_aJx+63+vXf)~o;& z>}d3ScLpbfRoZu8MA)o-1I2987OfVZweK4)Izt^C7^(aVc?#IJeK^Y0%{L)tpQb!J zx_Jf|?+##`c8gm3Hdnb{rpo<8{?<>vct(VVFuASw&Q{3aCYHmmg$FBJwq73r*kZjKrNH|2$M-RoHYb^x2ziL&i<#o7-M#-z6%}82dn}TAOxgtE_#Ez!*W&aodSY zv-U-0g~do9({JO_TKf(WC{+=fl>rlT>oO=++INsbslvww2hmsBcW@HDq<7p(4DvDw z+EFA=6EjWL=m|<|N=FoZi)Mr*nL#&$;e4uLZh_Vn(3nurHwIw*&;H;C_0uf5u>Tu6 zIzIV6|2OvZeWKKG+1kv3ctH+CR+J#^qnQyYTTAaa!ojq6cW(l8CS_~(@IQLU+^_xU zzwn;l3{rT#&Q|XiXSxq?>(%>xfLqr4;D1n#3{G`z;iRnhk=*9!TL5m`mC?-GZ)r^n zu2w+ap)I3mfa;_ivfdv=$FklJ$F~O@OV0!fmWQyhoEI6Mb)UWNfm!#+VK9^DU;Mb= z*o0hIpEfwC^gf7jS7PyiG&bgYRP#~^sb-9XwJ59D(I{P4ZXuAhtQ=c3tbK^W;66R5 zLg%KZ^JVaJ-^%efN+ey|gSEk}geOpPw19(_RgPI~U2;pIO)5VW_Vv7`R3wFQv*Uy^ zPBtZlrL8obuw`^;#^iU*gsFHlDp~p$cWQ zLfS`4*T4iQ5R~n*Hl8Ag&OIq{hxxP&SqM@!xFAQrOEtlE=XhEO(gkqAEqKx;Nri!$ zR>%;7)E6!Ym%@i$dY~49bOBrtcpGUS(R2edtKLTl(gi4jV);dI+c`B|02kci+ekM# zXvq$W`T2^IOsiO+u#{0fpf-0E@dwCZ)e!cEGhxZfirD}*yDuuES6cTLdWArb9R-YD znKkJ(OlC!VoMcvDM{2_hzq-P6#L(0SGyo0^b=Wc5;fgn1bMR5zCEE!AYVG)=L@se3 zzt(DbgL*A{NN+1HnYMhJQDHOn7k})>|3adV&?d#Al%(zi&D2}+z_L(nfg&bVO1jIH zk_o0}>SfacteiLAD{S1PXhK{s44AfEmJM)0AUwc=5SL)ey0ExKQ*qr)4W*@XHc)nK z*nO-hO3AJf!}XM*1{J+BJ|nLvO34IK{zL*fc0T)<`dCqvk_m428x%FM4{*J)97qTX z9u?nabrAK1dfrYWx8PAOiQYn`k3bW7)0RG}=u~fYE2cyZ>CQ8`bo3zWqPC6aldg1# zMs^j>x~viT`KDS?R~^)NnNDZ!roMc0;rSJ+_8{My#?yCiWcfag_dUPd8n9&C4FW&FDpVuzo0GIclXZB~+3z<9ynC_sZ`SQI0zV`0s2*9|K=1!o zfA`<|zHc4Ryk1`KBeK7&-d`iEmKA$A+A6)T^SOZ{E>|)tAnUZO_m3NZ^{V{PI@hSl ztoMNs5Ok2v1oRcwmZaAEpa3#STtzEcBk(XHs-wMEKiQIu!1K?rW1^$2t3OF*tBkrB zj5w#>Uo32#LXyl0lHCg99z;^++U0L_cRD%%iPO-1k$DJ8=(2HryPkJ=>^x&)v2?(} z|DB6tFIyaItqBRRPcJqAdud#7!2XsI`U%U^&-O&w4OH{je*b^+cRw|fqe>3qAcV8+ z1i{hbV9=lKG7!QAK|u>jGeQQSc58Wy`0f`$6#0qIhn6s_d5aZ^jJn_f?Z$?+!lM*r z7J`y!5n2QcECn;1_?w^(g8HL22|?aLU-7u!QbDmp8I8w{AXT#qf+udQ^a16ca zja1Dph=G_#QMkMP)>_#-a_MndFn7O)t`n<$> z!1jrU>7}Cw!c5>A10e!(@eQeiBJb#7BERCQf84Ust;2(I8 zB1f^JBpFSx4A=%egy%PP7fvlnMibnkbVhOxK>-*m(vtSm-#m5Ts6Y!C*4o ztj$7(V1?)J)*w$6x>fKHHs4xIsV|A-1ZQ>w`3Ik2`?YI4zmTKu*aAtgb{V9@e8wln3HiU+6QA@PHJ;yE-11({BQ04;#PNku z3B;f{TW)SQrZ#Pg%Nv$g=m75w&!5YC?ykJY6iV2nUIJTMu}rBw_tjmdukKHN+v^_u zoha{7ys5459qhx%2v#ZF?VCDX0azQn+KeQ}hDk$VXs3K%7hKLOl}`D-F1Q3k40o*2 z@*3ur3U_-N)-t|0+^?1^d`nOJ4f@q+pTruK4{8ssuogo_xkIf~UlmX}tTAbi9`$Z( zRp&VN4eUC&SR9KU_5@G^mjg!^vg;<~l>QK}pD=sh`Q=I1ztbjTUI+kP$WZYnNdk;o5Wb5j( z;PExz@bka+*`dOe>CW9Wr<}yh-Ys6{6(~o$gYBupTrh?e_spxO3Uk5jamiT$pu0p< z21}tcF(y@*3!;pXR6)oLaKu(4NEKED*J%0`!JhH%RADYSFx`DrjTBc$J)}O&p@{H< z{}-N7akP9_k#A)540qCYbXt4N{Nd;17--fK&_MsZv>d(u$w!Gle5zitcjg9qC-m-DUBW}eTuO091vDX zl;e10OV4WOoM}~1<<~!ftIw-@-Cz6@KlR?xw3oNBAnj$mx}Jkk)_hOv7y&OTNJi3L z)~yFWu?+c)6?59l3X$Q}m4Q|vSf#x-;Di@H5s_@0QKI(sYuq2#R`0R(;ij=-PJ1E1 z)lhf0LD!AM#35Lxy}1sc8hB+ZCrL-rc@6U$qkwS^(T7SskGvZs@iPYVpMBRgaEUDTb8{uA6GB6Kc{By zE`DvvtuBB9woQI>cfH_E$sBMy`L=wS)23iakyDd~`8lT+r4qy@pM8c(Ly9G&YN9-T z&p-9fTq^O@J9DW7_mxtKYS)xfiHldWn9?~jAeeJ%m&vL9?yvvXKI}bV)-^iofHlhv zi!kV&Il-8PaaPSzfRHi_XR#21v5diFu;#E|vu_vC*2FLz&{S&~X9#An zX1m;?EC$h6B;P_XgEg3+g3&fGnCSku#3Z1CyVg2ih0e8Z9T6kLfLuTE+oDnQ!kz=@AP9PSMWZh_YQptq@&HCnRh4aL6b-@H zWS4uac0#0tLdTv=BW2@mu{VyMa)w$uSyPWT{9pKj;_TQjdIcN?={5ZH zfJM3_+VP++uF!d-Z9?Dyv3iEMNx3ooYLCf)ctBY4v9#jmB$=M#E3|HLE+fy5TI}k6 z)(G+yI0Vrk#D{LVLd#IT^N>u>@fAERK6QAQ&;rzvOb;@?`&yfdKnvcPt|ELq{wwY9 zo$(UEOv1NT=h45>wCC=+e(tcDpF3>k=MI|}eD1KCKX?4tJa^Z4*nA%PC7!#to=z&Z z)P(eE;I#~3(G8a%T7AxPgE&wx_wJBOu;OqU;pEo#!tgr@W>*|e5U4AVxgBm8=Jlb* zDjg4eq;f+cP#@3jI9@V`vDwc1!wkKVd3_sB)l28cfIkLGrGk1*Ljeqv;N@n8A$_&q zxZYjik&olon9^7EZb6_vp4;hy4_E7bK7M<(uD<`e`Tnwq*PqgVX?H3l3WtLvw2 z$k)&9bUbq#u_>vI;s)Q>>4pWo!rl#FSSe%L;-^?%ZP3VQo3DRzQx&*js|fGz-RGtY zb5n9EW#tXEXgP0{f_?hAXP=eoMI2wY*ja;epAz2?Fd)JN}}{EfsF)I;x_z2+TQ_+ju-CIGskmbW2!8*oQ<^q)}1cvv+a zX+6pl&u>pyJwTvU3=8|B4SV9CrS=eI?u#x=hYBM)-%kw@KqIadLNs2SzLb7 znut+UV+doET40lD3d2+z{eEjuEVP-0Vs@&2rv77#i8i}Xjvchrr>*fTl5{d97529J z;_d;_+|7+#i+fXU(K#*Dzxl;)|GYOxg|Kp~dXs}Ot-`HEWv~SBi=zebw#$-EE_guO zfD)L3*jXd}5TxaG!7^U}9LHYyp`_4Qk(Sp5w>oed*nyZ}+NxNQfrtwtfIveuj2f7q zkyNS$X?a}`?H6nf!-@+}I>m~#ye}f(E$?SylT-$ST{( zIJ9&ll1a(uYeLp`GIEIpgaEPzyeGQmxFNI)vMLsls zjfc&iO&SPQ_6uQU%7XGd70CslA4(pO31TXsl9Wfye;{Fj6Yxc2kV=wJh_6`!C9Em9 zY$eIq%Oy!OEnH8iIuz=MN;2Ob6bB;r!EXdfZ4$9Xh+bFsXR%>5H-1eN;4xbHQ~6tB&?vw`arz)c4L-#n+Mlyj7`vIGQoxA?Ab>c{mH->vXXnarG& zwQMKtT*JM9r}4BG*pF!SO(r+nqduZdZ>+4RE#IfC|HltH{_Imxh13tlL}!1`#QF@y zl+OM>%%*~3N@xEF6oVV3o&ARcd`BpQ%=1ZQZSe%knKmr&fgVIwsTIsn%-~2a-fdrT za3og+#VpoLctK%-_ec3}Yz?Y5;>NyY>Fft-HK3^cZKkWXM#qI(3)N&m%fq%+qER8L zeL$6CA6o6xui-VVN4*Y^{d3f5@XoESkHd0eo-`ru==ltKuK z=WXl_nUA$YE-Q|=3+@(#bI{O&Mjb5{iWMa=GC_<;VDN^D!VO{zF=HVpfsqR?5NnAb znv#a7V2@z4)l;*Hs9JHNOZ+ZoZ$; z|7}j(Y*K329M1U5E04d}6F;I$J1+ff?(WXqO}j<2xtq?Ydrq!l`4P)6f5(RubEm$C z*&*sn)L1@nM z14h+E#~yPg+oFYB^NCSO@Q-0cyOnj##@!J(-+y&Lq*`$ba(JV( zO_KTkp!Ek`H9R{AtvYq2)eZ7tL^-QlpU{jW##+sP4zKMmR)=t%F+^Q@ z<0T5O6g6#l8RlRNRCP8P6YZVJsG)$>k+ESFX0D1visWu71*A(gp?*Li&q4iI6$;Hz zsuEdcdQMfSz=R1zl%K~@L&V$Z#YaYEAs|_xTIVN#>=BHbx^@aa4ux$m&-2pMk9BIQNxh9ZbTrZ{A zA+k*tZ(I5-GM4D}Y!g^H(-nzGbmz2!InibPuyARXV8VsT&Zm}m+tY@Th@>Y{3rf6g zf{}>CWR*H~re%iyt&!J5qLOI&_RtX&Z8E146KHguPg>^{kBPIdv3Ww*p34W(M|nm5=3F7)^JM1vHm6N_XOq=WFFUM0s!n#v z>ceB%-N5QkOZCmL!*r8!ajQS=7R^?F`Yk#KcKCtg_x|bxvO+08g6T!NxUO_pt8bng zIIR{N1fi&CSYWIf>`IQ*E7)Oq#0+-|E-{a{5zJxjc7u^@V$-(W!u=|$T_V1cI;G>* zm^wVFebXB=?0_hqa~?^+fs1HPog$AXz~j0TV}4w00pQnPCc_S9O_|YN8{u=Dx`rKM z7N&AwxIsyjo{M9G>2WpCM4iQZ87K+R(IttTEJ==c~thTk7f)r$AK>X2!48RoOnJAGp zsVHDHqhC=w_^Jc4QSBfLGg;x}d6XS5U~2K_&Ei%Te}2xd{$CG&CJM}TA&LK z!jHQ0X!*lXFBPn)tVZzap)1?>J8R!kc+@viBx~QpdZY9O&}>#W9;eRQ7gK|M$S<^N zr7&vkJ2=-PYu{4*(;e%f<1YQ@!K6*bT+nKJvOi^A)zlL~i<1X}l82^!e^jec)1*kn z>eNxMUR01}PDO}+^#4>3b|N0%?ft{EROQ4W~KA(^VX6 zgpcwHM}>so7FHnctsCM#?IV zElVeL61xK9JAvN9lcFQF>lsZ-HRFN>lkOW$N=M2CF^OBX2S5ySdu$i-@l-P|xZP|tJIk>| zsy#xGYQ_c8JHvPvYGz61NvfF;q?##$h;k9{QqZ+W2vW@y!2@QKir@l1RkI?)SQkWZ z;N^#%uC`XvwqAIfpimFf$(8K6pB+rwMox`BqxLcz)@QI5(T&@lKR~spN>!jII$lB% zzDj!URYgy3NSryJFNj<-$iER?q&@i~+O89o&LweoR}wev7PSUxt^mJG1^8FK@8f^y zr=tQ~xjP*lF(%I^%78Ag;{k%8!L(gg66b<3pbNz#YG6W;M%@LsnA-<{81@B>e3~2$ zK^k=zL|dw6d$*vAYz1l5i=Z<1VdevXSMAD;6=~F65XKS&l{hsHu&~7iM?->P(^j1Q z@`3W@nDF-f&;D=hiBD=g`vL(qM99B3!q*^{@fH2w5b66wsZ`e8#a8D5T@{g>wq6D> z+|*dn(M!44ik|J!8qhV{x4W}%rd&o`klDW7PVV(fe)VTR?zM^fK>#EVS{)@qU|DA9 z8oQdKL`{d&R;I}!x*eUNyCxY71-orV85=ubB}u7{&d|k~C9ya*LwCDG>k@O77y`6z zL9~pGp)#2(!eV0jL5+v z{%^B8E&oavP&GU^nk-GZPUX3%OxiM&sR9+4XBv0`l}s(bBfFKxkmc^)1kjDKrNhx9 zHlP!RPFS9HW8(6yi6N%qXUpd$n4Yh=1=O$lWRLO~!Sr+&LKMODOz^k>m81$Tt(3#Q zCjGt{4$Um#jtkJmll+P=L)UnQaVl!&wz4VmZ_0NI`NaCFyiIUn$ws zd|OIs+VXu$>D|Bn+=qQ@_-tB);Fv@yNjPj+XvOY3%&3qTKFTI?#YP!nfuw+qIt;;F zv9T{BERL8#(5%P@%1>Q*fKe3DsRpA`a>1B&f$qDux{XT71x;}RlR%=OJvEh*3tH9$ zg>@j=YQHrfIv2E|n!*5M(>HAwlL8e33?LS%?LZr&%@ z4$4@Z)dPr)+b>?#%c1Des^GA8TlQGh%k9-B*CvXiWRUycS2&COMY3ApBhx$_1zwB(I^a}xm<8uh%2 zev7F)GOfYip!+)9!8_|FICSDK+TpjQnx`$_r<&jU8~^nezAjX=V)w;g)U0F50k2oc zH`e*p-W)KZX``vKYygy0e-3!JFv5@!6o1i99XVj+c-z!&e-3!H7Lvm89Pnywsw__3 zp95Z^O0N0V;xGC&mK-oL+ijj&RI{A`$=4%iEh6wLH#>%a054x5Eo!oxtJK)k)-*qt6ptLdTK_`g=lXBC-x(kbv(zQ9&9?{q zwJg2Cn&>3yoV~g~;C58W16oYmv*#ORHJuWJiv6sK5&+ zbq8ZVWQJfRS>2=Ek&uhj%!?Td$x%b1_M;l6*V75BQYXE3-R*Q2=Q3f0YaO3-#lGxp z$iyd=2B$6!UNL$P_fE|LPTfZM8vEi;JS635yOyS<$W_YQ1W=%7rB=M{%rbD|R*1@N z&n!dFOh!~dd5fZge8KVn1q8I>c327yDU+v}dTD*{4Ir>sV}JDUK%n}3xM3TbvtUrBMLn`%k{npe z)%Godc%)ZZSOv;na0!vO$b}z+gxUK({G(p??(o^QxWj$+Qew6tiwK>lxn54)81;Y} z7(g5x>V@*bl|;Bcon1w~kR~Qq>aw_7ln+{N`B`byqpi^6^ ze$bu)qM8PWOsL%z@@G6dvgpfwmpw0`c2{V(*eK`2Qn~j7wR_VWl_JH@zG8NY_#S?R z_8SS(;=l+R#feywyGRL+t2G6qvNZwKa3mVnTy@ZFz*_~S#;>%%&&V|~WUWQ1l`hTK z+^uae8Hy)byi@@%lLTI&RS6(t68he_&S}y1b^YF8vwd%_tM>+5J?{hBFd=Jy6G z`g_BV6W$w$c`+(K#u4ft$9scQz5Ms)trmqB=eWE$mJ$#C9YA})0#h_D+_Wi6v|bY2 zO9$)-&!qz(P=kHk4zO>%zT)Fi2!DVD;=#(t%kvaqBMzvJ-(K;BiQ@qeR$%E`-tTlg zx6}Cr+)T%BuGSS5@LC|QbUe4?c&R9e%{8#jT%xRf7$cP^)_DUSzT;L~HF2fSlmsKjn@#xx0gfeV2PUcS=FC~tvl zhoF3c$#;b={*=4YFIO(O@2BM<7KC_20v~_UUD3ef$UCct@|)co9LW9Lb-rVDVDgCE z6&9=i&+q!FpM6ght7{dLM=n;=gm?25s|y`0_oRyRYb?`D;n*R2%>;_=f?G*lRs@GC zE~#0H;84LObxsk4*;B8suig@mOp(0ZdduX`TTy%C0EH)>MBU}d4v)Gok4|;vOyzY! zDzE#ci;SNKW<0*l0kn=<%7X-I`$I}9*1xwA7qMV|iE5QvCs)Po*pEshmIobn zonrl}xE)OP{D~MlY^-cGT_>GnKf9F&v92GzsO{PF{n;-t@<-ML&Y-FCv}U$4m}aw) z-YKv2%;fV^eGBBjnF(+(2|ak>ds4qgQ^{T@q_Oo7EGh;lIVOx z_5eQ-E<@&^hU6%ENL{LG^g*fAA$aJ2E1%FGT9mKv?12zF(7Vf`8q$Gq|JQfHmk#f{ z{L#571x9QRd5C(RI3zx~nExAl`aV%=0_1K#^A(D7S*D9e_PEDuQ$Ju*(NiRSt{&R( z4(c!1F5bHIbYE?~sP(u8px>^U9`7rVCRoig40h+iNzXUdFntNzXHMt z2Z@i^3Ip7mM@%4h|3>@GQ3+7D%YzjO$C?7UyChsGjQrul2+CCcO7SoP#!nCU)e&CJSyjB zL5R11;@|kiul`+8>)Kx_Wa)SIr(qzncny~Ax0uDY$n*$Kcera1T(pAp8CjY*16!;*bv<Q(5E_lF2%8Cdt zw(3=dpoFk z^`~LB2)VPQmu%6Iq)X>#w?Rxyldrm+Z29)s{3)FMz`4oI+4KDYLj?w!$aP}WQY%Tf z+t1D^)^L28WL}!<89!&PbOEh68wV-5SBBB}Jx=VT`tLlkuei-YK8 z86M;Kzbe+Bm(a6#IYze_!%LXzLJU0$ZDjT#N;vwWsrZ}4RV|?9+cNLB;7aEOb4X*lLqc;?x;1kNhpThBf#P!78J%+H0H+b=481 znebC@*QiNjK#%@lqgad;`H&RBExxu2E^H)02-X=5%vHeGmX;bRw##3;$!M@^o05CX z<7CbgQS;#gEB zr2p7bKW2>+<=DZ3rPD=8Q@SNCK3>-p+nKg=9$|2q5e9Gin&&?1W5y~fKxTv??2JWv z<~C*vw;w8N$OaA^fm+Lss&^F1pY}hHA5~mEGG<{3vv~u#G9zRb0gx2BUNQS0gCire z|1mf+GW#DONmQOycr@KQiCj^!gZm*liUZgW+pk^uAA@5kYySh^SL-AeK>w>RK5Ex# z;>7gvgIZV5kr~*p2K%HvkK;NaogVqf6rH(loEzNlBLKzd`V>Ge&kI1McP9ck?f>@x z?#49F)9e6Y+#EwqVUd;)ZQAmEA=+!+`We<%2#iKYl_Q&K6v`pU5Woco=f+S)x*!&`tShK9hN=(tD`fuV z%WN=_PE<;qI%Q{{Nc9Xp3@!wzBFm{U^IWtu#>)v~U`~%ph*l(5OBRSBm5FS!qHeLI zs7RLt3V>!Y1T+91MKTvth>CQ{{c^30s>pR)MPOQ!2FxV^i&?oH<^Z%mkv#V<2^bMA zV7yM0(`n~aS~K4tkdpwG!*!x5%y7gcnQzce#}r^qi1BaPl8A^Q!%jyCo=v6+ju^~oKCc&NA0SkjG0Q0+Urq$ z;bi=cS2fH?C8X3zL2t$sG$6R#Bt#6dY7zoQ2f#Q%irfwr4ulSYTsk2FyK^8tGD-o= zC{1371J7cVLJt~vPSWwm^P1G86eE2dzS5;{==D#oQ>Dhe``7~BS|G({6UGq__W1~- zy;LJ%Ovil9k>*UD@cu!a@KHbfkN)jN<^@6YRo0V96ziV7UFYU6v4a!2?ol z5|W}!+>Cz+(v2#DWdiOf`?0lbZ%5dV;Uh34C9&|zN-pEnG}3-*l=jnu1ML`4dF@mR zLE2~+1S=Wm{$fQet@wTj(nP!99<#ks9Ld2yXq6YoECj`ka!Irokctvc$NBujYRU}l z4GX(W2Ju4UyVag{h6jZHFEV*^_tB%!ePoaDxt4Tl zu5Q}$eSzSw{PvIetlt;8I?XA1AXu{T2XN`W1ugcdS&)fakz==UXjX`T;!h6egy0%Q z(Ri1$AT^k;7$qG@*0q8RG+c1I+L87FNxjv=N~diXl&3g=r3M0BMbxrj^X;6P8mz2{ zYEsRYp&Gj_fuII+LFQ+*S^;{gtxur_b3uFqYA~FdHLK0H77gZ-D16664M<`RUQ^}Vkin^*YqD=yL8?Fpqst=vtYYWJNTF}z zlU`aZF_1GZF$$+*S40>}wo)BX z#Yoaj8OI|czT2`5nVXc(kD&0J7_S}6BtK7}b`k-eANJV$a;~zT!=Aefd#2r@*5J(v zw#y{gzTl}p_vwG(cNFwky^l`VgSn*I^n}5lZKOaj!`*tDOogWI74STmkUV*%3VXE6 z1cD1rsHz2Nl*)>z##AH`l`e{S+Aeb%T=0mFSpkKCO?C#;Q8k}9*nk|cZA{OedMP9&vjGXIj| zG`M7hQah$W^Y!f1nN0gla&4_04?7y4E+wNDdvZ)QvqzujCfrVP&7S4i zOuyrWx4oppvZY4G`yXnirB|d5X4);9&GhYzI{(n^|Ma#0__q}^t=VFa?JajqgT{;_ z2}71B&Zi}?U4~8;LGPJG=FcF)5Tu!Q!KlL>8Jij9wLQ}?8Qc4cfDkPb@6aQSTB`^F z>l%NxVogPe+JU+OG^~w$x|GS8vQC_u(kXOA42b`G3sOK%G=8|sxi0t*6#<~26%hrt zpdi9dN%E6@biKIuq~Z&ee2yBS&gY`-^KDhEwebs@$bSadnQO-6_C-GIF zFGZot_ZJHICHTLgtNK1sD(NrRTmJ8yr+5Q8h|YrUL`R1fQ=qq~F>Kx?OWF*5-5vC0 zT4}`8w6&GXQtj>pD*1@yH9 z!X)-0hLVXBWr8SK)Fcl`Q;Z-q1SKD1f@s{*k?cUotjsY4#jP?y%p7LnB!H^@x-)4^ z@?|av3MznUM6}@eH`geDyI-SLfdYNn_>6Zy^{DZqeGt2$#;8dJr9Fluxz#Dhh0)2o zdUmI^?!@G|iR-%T;-n`pSTgn53vNivTelmo>YaMs4cQ$^q|qasuU8(14PN;M@K7d%C8d!PcmUz04LmWvRj`_wAiM-?6|EqCph6Oar2Re zBZ_yfhMNv%T)gkf^v%dEnr-9sTXfDKp{q}S&1b$bYDu(u)MHJnB}I9?lwS~U=oai( zW$W6YwW8v@6s6%>N3x7j8kS1*!wyL-F?n|R2uf)i{mWS82foY(W$uk2)t?Kdrh+a> zBK4dLqUuAV*CQ}7jWy%ck`{7FVbw{xPP#6>CccpoDx#%9d&!d|eHWKR4$f#2H<;qx zu1V*`B@t(9=#D%vn$PmtC%GCL*q%;Z>Hera(d`-+)W3CN@7DcLvHtRte%bzbTh@8l zS9}t#Pv0k=V>t3|>^f>dhV3%BIWkuU&^E-H$q|ss^<1UGQuVOh7{ODIYKAqg92uR_ z6OMpvr{mkk0K~c#d^pw^fa?XgQ;KG8qvQL01koPt5z{q$7t>AG#l4GZw`le*rr)A- zyo=BOS023cw<7Y^o6+$uF5a>@*ol~}*M6uSFdHw-FFV~0n7xbRZf|8QAWdyqRkL@& zSYNR5?apVT*|Q3NC3_dct$+-$>^OAR4YdN+-o;QWVCqtOVz?DB`+mg%LlI546|nLy z)@U>k-=@(Qq z>|p344=4?m*%7Ei_>Ln12>ebR2|+O8`blC|jd9ZvfXNZz`yP%+H!X?c+(0DYX6)vs zyXRiGejv0jxc5L&9t(Px*%7z~p=do8j3dKJGww4t`t&L`!BZa&>F9b6L4=cw6w1WCA4xS-+G|4E@*;ZK8m?V!C zGX#IwfUi_m>_PuC1iwddsy4pu;EqTDA1ey#>R;xFG`e8*2>CUo9fEWOYzssLV6_oJ zRH1569)bchxFq}lD`?%IhrT(twyZe*uQ>|h|7+{Y_ccdNu^Pbfno>2Q+Nmd&H;2$e zrkHYil0w1mF?kqw(zE9U`MFuNbJ9~XaU3NOfj5Z&xKLiZ!}^yB8HZh}0%ED3Om-9z zcSUx>dY*F%UT|NCF}hJTU_uXJ8|1ny0SK*DJ+*aEtg-izEiD7kMr z3{4BUskH4zlsDQ0OOpmV9S3_wk$0x`LmAuB2lCieSuL4gBWT2d*0X7Gw-&nAe2rpw z{nR?19D<0f+iMiVYl7&CM~(u(IlP1p>A4tQ6WoD|M@|hxEi9<{)M|K5(%c9dh82MR zrms`dn|0(@CbVWs(D6k(d-4>ju-H|{`t@S)1MGoel16I zFThKtOIOJr)TM37H0_KFPG)x>ByDH-?JGuCNe^i|!|x(EM4V19pa^aUc+=?x6u}|d zbb0|UILw+(l++~)n9$3bPA{NHu9iz>j0ccW*PbJHPcOhFx68G0%cEhmEqs^Q$|cc8 zt8?9uEVXV{+XatGya1O(Er${pc5nEo7f>v&&uZ&)0@OzLl>e!Tp1lCpfUNJm0cCkJ z2p?F0fbgj-Fum8P15A5a7FcagEeo_aV8j~DiTml+=;Dd{X}4&07^dH%bMX3K`3v9q zi+^lv(lHE?O*%#jTdh~5uybnIc-vozvcSP2J}MSZp0iKP^6|$7zf3NU%ZYn7o*6MP zS_T@yDsc~zU(EpY%^J>$d+<5vIA$_T)unMT**S3!2mnO400ZDocT4!H#Qo_KzER_@ zcKgA@YS2oV#foXfPR`z)#fqKIkNY4Pa`Ew6;_fEO&Y?IzH@juiFQ&@0WA19=#l=ZI z+&AfK=f<6KhBzywhXQ`wjr3e!nIjCS2^`v;!p~Ila0P~FaB>mE=^I|0N>VUr7`%^^ z>>Xa5YEnjq7pG?%LzYRo%r*Ol7pI5&3LIxTy#O3;o$?ha_V+w0>Rhz96EK>zmgo0H ziU^7%CIw2nDC#~tGq;88$|dm{7(4fREz=mPHPh35g-n6Et|(2mNq4pgoLtldl=u2- zzvi?+DUZcei0XBn_8wryZ+;K3X-_{!1D8+zGl|vBjrRb@zRdT41BX+KC^yr4fGeur z18y6m*^SigW z24I~|2!V=cO|~Q9v<9DYPS}AenlD5YzCfTpp4;hoTyJjI2VFC(v(xc{f5-K&xgEz# zT}o`OcUNEsim$WA*QwHhYreoKf4IT*W@_v8_G*Lm0(gDA+En>F z2-L@OJ1%&$x!OQ|l?vR=@@i9ke+bma*WZ7$y;|q{zgb^lzHz>O$;Zd1|# zpRK1Y#Y{hj6D5IM1ik)ZOz!%bk)gt6fD_Mi{R|xP&N=#~H&q2bx`9In2RE^7q+Cu@ zQgV8z69i9HoA5$U&#_wQY^w5=PBtztv{Fe1Do?&Ej3B1mm43PMGxYtmJcL(mgPwK9 z*0j6AL-{?*p{&7r)!!A8TfF!cpZ#k;74+Mh9d0XuI9*sc-cTira+|swNA$E8&tSZ; z7WkkzrY#u~h2(;um=MQ#x4`g?W<}9FCWzFTc`JL)Xsk~yHmxq50Y&%p`5OcRxIU#Ve4=*MdVv6>O6H$5yKDd9p$}TXF9dKeL7g$wyxWH|ZA&UE} zkVU|V6eGxXxa{oq&oLTH4l9>iC#I$I)h>6ZWDy)r08L){ zHWJ^2i=WX5oqo>xBpObn1@KEEqY^IsgcqN_ufIo$Zs(eLx`7NxPI=7;9tv+A~D115M=r&UD^cm`Sl~7#HqK42bj~ZAM>#iK9z8z ziMmdQR+ld1f8US%)!#FLn@(m=keiNebM&Dj1x@Sk8E7*yn?B9Y0-{0183%zUpg`3)>f;R64_yHAq=QlrIaQ^f$|Vcp!kY5AQVvGdJD6 zI)Lc6iSPIJ)O5`WD|IT?xht3Fakr;G_{|^vrLT$l{5=w2+qXCzrd!N%Y&ckmlMD{l z&t#kZ&yQ#dRH zX-{1c_LkQO%DIh`;DjLUsS6^zj?5wj(FWeGNPFsn;Im=Q6xh{zX%slIBJF7rEQ$6V z$Zo4|J!&OOxX2G`{ye#D$kugT%&yig16=l(XH(c%EUX*Wa+)xc7nRW~?;nQH190K3 zf`e0XIT~l?K(xsPbs|YbB6k`|zTDIy;7)2VQYb1vkB6e1*N0ZR^Mg%$ zen&L#9BfW6ak_XF+O%6lBlSw)0gt0>;E-ZAm@iGS(M*H_VEbRzM!U<)hM8=f2RZ4elY>=Si$$!B?n z?05t`*9hjsSIUrqD60{quJ#qn7*`ZnTK{mIx=MU)Fi2HmD2$qIlXE%o1&$IF3NzS3 z3T%k#3thSFai*Xu)|{MUAmi7#L&J{7c{}z6)mc2z)h@Z+$&yIAVYr9t)%Z45#V|O- zby&v{Lp|CeZPC?b&HYko$hVt~0G;_VMOVAzez)VuV1A)(mvS`Uo;~iL{+Npm4r#to zzCUQzhIwKd5zmI2NdHEox;kRFs8p!*bcwanU#Em{mA)=#c{oBxqDL738b{qmYqIO- zo)~Y|*XL1;N-{IWcw){X#=D`uwr6-mq0TYhD;VQVyG5^G?yTaJP zBlQsMc7FBCl?D6m3J;lkj8YXRvB+Qg?O*(d-}a#;Z=}||Q-ptUEb_1iawh2Jnq5gX zx|y-ALC`W|k?8hkI*28O-N8#4iv$w`9Tfnp8!!nqr_M3O77T{kUXGfIRxrmDgLr9$ zMWQb9G+rtP#(s!$GG_W&PiNY??3*V~y>k%MbU;9g!`B8Vc z^DF+V{mGdq&joPhaG&->|JVM|DGX|SXNn>kbOX`;ixw#%M~-qGv?GtqJP@!l9e^II zs__EN^Hs05Y$rby-4nUj93)HVy-&G5G|yMZ%XT^*z45v61Fij<^I=ybht;EbzCNDY z>3EqA71z(+|Elq2q*uQkKE-!ChCHAXAL`=#avcu}{RU}yX`dB;C2Bz`_iXMBu{!Xo zDaIYL8OoiGwx2^9_4Ggck3aBVj^0O1IAmWf30d&|=@zsC{1a{M-Yl$o!*+Qss~naE z*BGTBE=>s1u9p>$E2UE29uCsdc=TOZk*~fBg8c@)kO(B*N8G(^j| z?Ybm*9<>OE^Ch$;CYBVp%Oww}+?B5bN}s(|1;?8C=Aa#gL_s|JQQAoJPbqGfuZamx zd;FFx&P6d$b9ba%CnS$Z%!_2HNshDU`-4%{tPIAQbXVFns~d!7cIk`RQLVR<`3{)} zjc>F@-B2r;ZxNtKD>q(wuWkB0^F0Ec8Tr70AMQE)lD5(%Z^iKm=Oh@l+{byi>9`l_ zcJ%RRn}c(m;A#8QO?{pzke{AnYHe~`Hl+RG$@l0?s%)8v;Jj3|$s-e?ltD6_4SHKu zmn#G+XGONdS%Gs?6C1%hJB!!|*PCmT;ftN+Y>D@tE=OFelDEdM1y}5RXU87sfGv70 zDV>hW*{Oi4y8R|wUw>almTwI;WBc#;?Em3wpO1zKrT+HV-iW}#vbKP$$$qM?c!qCHFg%n7$W_psZP-BDU z8?K2_QZ+NhsBb2B5@jGA4&1oU*lTl_UHF2}T~wg(QS}q}bBFpB?|#YGbK~c3pcI}L1cy%ma&!1kOhg4A)v*Flb; zN-B^*cbLF;L)1ThKV}h_=0nGWWf3Gmi;km)akoRU3kKj_b-aXQ>3D9Z3l>V=I6oM7 z!C;%uFTqzjp4;ho$$F&YkwvM10Z{N(z=CY2Z8RK^=N`N7yv77NcTup9@i*n zl?e{==ZeDgQ=>*kTq1CVS?(lO6wPRY@Plkj)nX^(H}k0#tujfJfMA*>(-&y*Ykx>Z zt9(u5ZQwgG)v#`6X;1Tr;18)UGG4z>fTZke2SOBnOD{~NXX&p~$P1RUG%HV$i9;xz zD${$~%4WFg@^DqyX{lWB*T4!t+%Q+Bw;x-l_VoAiTqtY8@>IPN4TN1)m(BpmZ##ppt}+C4-@fdXGDOfL$wH*-khiNQmRsaJ!@pfaGCyu8N}?Xw{o2iP&M}2M?nzD{C4(I3x14nv?wxw?V=iDkwhX z1^GGl#HT4TwRA$`y^_cO1sXq7e_x*Z`~2*qy%vw23j5A~@2`EsX9UZELeh?cdeSVC ziWuibg_HAeqQX33w_34}o^#hh5zq*V3Uk3oDh`iR2b&ui1OS(=7D5=BPc16URz!}D z^&3no5@4;bQB;@-TI(gJq=OHk5fp#UR|IpNC`FLI0za!)6cuKIMyQHY)1PewtL$T` zL5BC)$)#4=#{_2x78R(aaHhoa}et~gXa@ye1f>Pp)Gg|eP04lYP> z@cJjU&_&-;nXC%7c6UDS6TL)%cNvN_!Ch2uRM$IKmCuRQXRe=q@b>4fzxb_BebJW& zuq4es_mtJ!OOJb`x-*da0|xczC*7jfqn{J2mr1OC@c*~}7vDEl(1e;JR;1EXSW2cT zTbOLLD&M0ugfHKvXdQssrLa2Oi@6lQk}y$(NdT1gwx`Z61$vs4kO=&*k_yW|Pvueo z@6q`uF#nwzsmi4QQG(JtA>>-NC$C%z>(7TnvqcuNGU}~M0XlaHMUi7{s>nvoznasW zhV$ev4W$~<^Uc4miux$~@bV)vT?l61KE#UhhijO9`|x6G@h6)7cC3lG0VyvdS<;kO zYcqH7-Ii4%Mlx0P0afN@Nw(NXCfW{9hm*(pu+6q*G;n$A&k+9v)O;)`hi$b=@GANALNB{stYVzr zX<)?f+DRd8zlxgnw6@Uf+hM3W8E9>xB5*-~s@he<))s{>P*HV@VbYHRl$y?~*9C#v~ddu_)A0Y&T9WX5S@`n0&35xu$bgf1kQp29sk1ioHR@ zh+0uI`waxpjr!HH8YWwHi**Qfq3V*T0Z zU(o2^r&(w6Q>rwVyP9C6KU9=x-k$vtn?cb#ZVUO6XaA%B?w7}+sAvm;;%I}T97UyW z4>Y+q9{tOYqS_dtj-p-#z%1vG7}o35w+TeeZ6U|ifum{}8=+UP`6a6;>LS4GXcR?l zq?%_TT1-DL)93SJs8-wQn*9)JzpU3V>XAMYOSYU)z%~>>4NcqkiRVbbX-^V=R;CwJ zrgH@K{z^A6M^M11U$Ie?KlbzA^FzNC5tQDHHWwQvA1&i`!c8M6yN4K@>EEL%fuI12e&q%r4WZEz%dERpVPjcJvO zMHi~9xCcc~>%U~+)Jk5E-Q6t_qSV(|0jFSt)xL!No--*M$!zjr@X@$HlfPfdw6Y3} zmG)%I1fv3wB`UyoQHI!l@hTT<6#Dq)ht&f7CVrppn zjq(j*>yfO9?6QyuRNpAyp&j@_<-3CmtjQT)-ZfE|z)6lCqS_|Bn@;IXzvBTXMSTvG z;)E-q3nR~KA6f_(?us6@>mJny{Km?CpUhqHSg*%jX{LY8ofj*4*%RQ)?S%016_3rP zbAa$O=5CxF`%SZUH;(k~J(H0?CL1|v;4BQXxVl|>vL23I9 zt9JlrVWvk5XYpC^YXpF1Mb;arre?(qrD2i>c>#e5to9wj8cIV&kaDlA_h2WjFS3Ht z_G`?~lB-;^>ZOsSA@IvYmAaKbE|HgLCG*`u(T)OnsBrh8kj5r6s$f-{S;01#mZ^9| zA?c3X!LIV@R zk~S{L0Yu{E*@?Bz&VG&`5dI-(VVtvD+e9(*Vc;m_@B!fv13|$+JEzXk0}@K~C|GN| z>IAD*d{@dydn$}`e1|hDK^;yhTu1 zX<>QMOV|q1^12{uSYVlHq5<$}dOfsxbEDpQMW~W%4@^+e zbuR)X3*ic`@!6Q5J;NgrQU?>9P3hg4(rLG74q|Vo%=1%z;uo)%W2O|e70MaQEL?2? zG)d{EVh8SY31UHlWDMrE%dlw|9JIOFl!6t_l9bz(!Q!!EHl=$QP$~0-S(9nk*@|aV zx&@sF`~py&fzNx;lmeXA>>n6&+i#ssDbk25wYg}wXQD1nJ!&A;ko9#<=$;1jEpgll zQ|5p6f5T$MCtdj5?3u6V|HgWKpD2~=V-LuS7aGn8vbMMd>w9m2f?vwmbtli#+N($* zbqXw@v@5nT=^{u?4sBOK+l(nq3%M&pkdAahZYP7(B>7OGcwUnRt~axVu}K5#2V0nC z!Q%X~oz72)BW+5aI_x7LSO*b-sr(fLq9Fo&TS)P;UOjaOmvvboWDB6b); zy|A6j*3xC$X=6120QbbJ*><81Wg{8W1G3faia89$RI~#e0mh7=j%cp2vYp_}l1wo@ zhIYj&42A1K02p=~^K)x+d}%8y77~-Z+}82m#>%M?eWiL6;$p@P?Hq{CfoR<^(LblN z%uaoOt(KjQ=_^?+`(^L>CtvxW1^JrZj26>1_5j$(1G@DZvyw60!}_2k>U$Vf?UL&^ zrl7N4i$%kFLbnsKTWw6k^dYa>TC{9T!}J}G#%mU*&c@VuhG5Y4+m3n8#`K6re_SJs zDJDR*aB?=LR(}gYxEk%xQyJ6!kwvm%Ojiy1d!8{Zrm_D6|H}W=qUP+Vn6T4UG%Q?v zQt8_$P>OT#VpHJSQ|vk0(^s)QoxlpjXvwoABe8EASh3jizxeo* z<#e$*6qrOID~`;e$VseD^ibtOx;E=EU@khX3F8erE_|iOHqf@B#|}$G z2X)|*^%%HEv@jFwPS#)@DzwsLp}zMdL#vmRVoy5|MX+c}5RHeDl+J{ML_aR~UaRTZ zAkeOvtqc%H@@z6IhZ!5KWRBT(7($5K!{Ei4fBhSsr*BmUPT^Kl$+j+3{(60eBGv<@ zH4BCsjZba?{NLEq_sP_6n@@B65XGT@-L@GwJX|fSX?P%At4QksqXDN&KqICWoP)b5 zc9xFEIK2v}6sRrXjgxx=a8e2@8poKpHmwu+;e1nAbzk4HKhlURVI&Yf(4L1NT?7}z zcLmKq3@R?wkjM}e7r`Zi44Y*+&9@O3!6gf80KiRgJM!0&d{mJ{&5Yy_(MpKPMfGJ$ z>4Hn{(0U_Z2o}PMQEk_~QNvGTC`Pg}`psXBNwsV3?JqU}t1|g&(=nM>W%{O1s)(EY zxQgp-x1RNsA$OBsuDDN7{zi#Znd;`=|DAh69+939dvr(aMyH%+YScAt`M#*@FF*C* zyS_F?yPv4V$Bb<_;mCw;Rvo(LT#FwhmHTCfS~1t+$1q)}0mewVC_UHWL-SDgBH$bA zwHAdUSkJygJ}thR^(Jo*UY&4YOK*K@s#GgcML*#dibqyl%7nsvjZ~>FnCAGRM50+y zRH{oJ%OGDcPj@7%TKt&g3nZ>xGxu7@B;WnA+d*C3o!y!o)$B57_*H-NiuW1}naX>O z{_Rc&gw_lAuc^K8bkuh;r!W6V;zIj(N1X}m4S!DLp*r6^-=X7vK~^mo=EXt~!)ndy z7VS1Wgxc)X*huY4C0X4g3{J))%vOyx4$0qr)SRfY?<+N-`c;MBkjv;HIfk^q+|a7@ z830|;P`z>`oZNU?+DN-YdhZwHq}_6?ekbgUmCVw(W$EI3+Pod{o=(ZjbsF;2raFyw z3?AB-&k4{T>-p}le^Teb>1!r)-w-_)(r(1cct6fB>$I2gw(QWf<@@Z=mwnYY{`3cq z+Mz8n{Fk*uN;HU{5_YIo3!24n?Ugt`79HJ5*S-jNVRgcE%wX>UGoqYH2%`VDMP)M1g8&1)MAV6NP@5Gq&SNQUtk{D^Wt?XVFhu-V zq(#Kr&)1l79*oV=!PG})!JAW8I1hdu4v{7z1Pjwj(ir#;1oje=AVCstofZegPhR6Z z3$W}{atoWJK~|EaG4M6fZpG?7U}lSL2di$ zE8idt1ZQN6vm-WA74O$Ms^UXC8}kTg`_*yoc5124J!_;Im(Ty{(he-ymd)15a&cLA zO+G0Zr4#^20x1OmEgOF)Lxh|P-+(d)`nEGf!j+pY7#X5!j`T|Wh7_@IJ7uVFy}1-0 z38ht)Qq#FR>3F{KaXc#7t4)blBg2Gz8F19IJGboly)74i+VXua{wMtT-}ryt5`{{- zP>bGUye$B6(dru`M)w!8*6O=8URl+_ODow4!K~HyE2uRQ#I%nV=FeIK#6#pNg3iK} zx)$%wN@I6iU{>8ub^9_@mrIKU{L750 zAyqA>c*K*lXn=Rt6%pRcr@V`wo84)xSE80EJCKX3Dm5*oMM-f;b|R&gIT%EO2y2>w z|5>Gy75s`9RkqWM+UCyDb5kh_1-jDlwW7d_j$C^v8n)zA1Ls8(Hd2K4x(nY5j97RAfKGFqI04FA~0oWyG+rz-~q$H zq2mzw10ZQ@Ecq-IL1-d5HR~AL@=n^HA_yl7o+~SKc7jyLml@SaMRimk)ML2_PC7+T zT}mc&AXgmDwZZD)7o1!3!hu8S2W=XrFJEm|sEgrtqlnU`z)7K(r1|cAy=0H@Z8<>G zmhW?bzU8GS|K7h56^;rEx?Xbp5arhlYnf|P^2rQ&WfU!Vjn~HxZ*K)$2iear3DdjYU(9lF=3pmPWCS6aTrg+ z?Sozdn^pT|s$AOvj-;Y@s7dJHkzxWZhlK!`Gxz|o?&+^h!Z@MBi4f$Qqs$AoW?-Da z>^p1b+q2#6oPT+X56znS{v1%+q1U+sY~4!c8x*+duFHw0g?@IO1vf?ht{Iy*NzbcR zpEz<|VFPPAP&nKC?1c5ZI1hR*gp?q)y)|e3gv;W)dmd(nZQPBS2 z#Tt(6hVV7YK72+0w{PY68>Lb>)3sv9t6V-0NCm-7Qt9e!g>SD!BoX}p^FR_jz+82Y zD)}lFXh#R>}Ui;bmefQq$`dhy> zlB1!MnnD=~d?J*QRQUW*#vbAk_1J+8E*9+WEZDSLv{gm?mmf3CTOTsjBnkX1?zGK zT9l`z@syCwSHvV7u{Yo{F%pvyl!&dYNb)Vlwczz=Pc0#}3F5+#T!i2O&4rrkixnlL z_7ztf7FIb7a%%}r7o243#N^m81^ukU^up#~mV#`!z2V=mFG=nVhm-FbJ6N-&qL8h% zBwdoV?y+yl-1SuyQgfW_*5?vQK&dx4lyL&Yo%YS&ueqjh!t&J36PIt*IKe9a{ChwD z2VV)WijEd=736bJKq-rHE^ttxS&W9(c9{xt!SjZ1HFeb*Ngi=fLy#)S1;H#xxWh=b_6~K`yvIqYp|?t(g2QNEK8B_e{NUMGVktSELGZL1wg&hvFD#&=xkQrV4UF z`1$nTIhHKHKq#5604qgf=LaJ8 z0^FANowj_R_Wds(edcGs=TqMHwtMe*I*g2KU-NeWR|99aD%G|1Ji05?IDi-tDOi!i zehthu-`Wt&4j_PXrlz+$<5Ua5>;Reyq(0|J`+CLf03MK#kPv{-g2e8ysP^~e()({l)?k*+pj_OhZVyAG%5Y#Z=BoAP*Q%OKB6(N}<;|8qZ zbRM+Oj9(IVs{Y=J8+Shy4XLmu@Kd)yj#rWsHRXdx4#0Z=e^s^K1Ay_82m0WVE6fMi z<&)0>d-PzKvM&3#Jw9%AnyLoz;1Py>@d&%7YtP%1?F;z5MqxLbTrp*CyzbzCX$VtP zb5(E&uyX>U&kwaCU-VX7op*2ny-rpNjUoKHI76E!wt7fugCdake7xU2|E z@DM9ZH%X=k`wEei!tGgIY(pT;zSwp0ky7KjI~qwUJ_Y1hp62=6@k zpK*4$ef6(2?IpM_FTr(r3Gi?ECBUXV`Vc9f{3XD@4e~ZlehF}z{1R|8y#zQ-^%8LV zNnV0?o6CS3q}4b7i#Vh0FB99BT!#+4QxKPt!6XAo1+&`7qm_IOZilT!$JRFEKQ^Z; z)bY~^wWTYLm+f@?mQ7u8{_W}tYCaz?k4!qA+v#{*Z-$v|(Ii&E+_qxE((&AmJdo*f@O3J9>$Y*dcDUXgL~r+3JFFimUK3(qF4= zV(%^pWbR|{?wI&wcFB_8yN|6Re9%o7woPBEXRm1Ea^79#5LV&wdmp~=LQQ!2-seB~ z-0!=>UBmyc!}%+MFFg0MRHDY@uAeu-J_b!LT{tv#sCE*@teChh7S6{k*_#U|^`D)j^ zt|D0$ss!bW$QPJ=SLhKJh1dDT;;!_|l?(3sX?X~*+J0EQMbqvI59RkLhw_`f>F)}2 zJ^#&L{yTr}zYXGQHT&IYLuTH>^uNG<^EjJrGr;egvlm!X0B$XbW^$ z<3}X7>?vcaVYGoFYa^JvGVoPPGd{CNT}M7SWgyWJSBr@&jPh+(OgieRV2i=@z&3PB zE*YAuc4Xm}T#sds!hNcu4Ito@B@P)J>+njF$t6SD2pvhXCQxzhRaVi)8DKe;+&Oos zuQ|=p@>rup8rj7v4wlCl{T)9&{(N??n%%Og5ra8a5mKP)D|?Dl6~d2Q5q5>r{zczr z1EUA*eWXU)0^b3gpHo!rBy*(WCV3RVZU=`MoXaN5+@;}#`1KtDo5yJEOkU1`^eNPd8R%*TpHRXmW|r5f&-?i?O!m3(A8pV!0V9}UzoG#QO&k12 zd$eRUf@0Afb_+rQwdj1K8$nS6CK&ujz+|_WU$N*eSon{Sa%{odV$of&@E;v_pv0-a zj9By{==?_*vZ&~*0B?&$H^Ibzgz?4AifYkKGWd`7E!x2(% z?yqFHw|X#xta|fYqRY|?|4}$6$Yw#2CFcg9?OEwA@HORh3)5Xyx(E5I$~ltlbbfm9 zX;WV6;vB(sL-K5XrD__Yc=33qSd+J zQv`>4S!qv;;N@OcCDC<3qlN_8JnF?-thHUZMp{!B1i=>E0w(8IN*fm4vG8N4_KM_Y zt@BjibBGgFNm2v4B-m+))4|Dso~PBXB&i%-5+ssvAaHiza60o(#N%;Ebe1Wq@U&Wk z4JNNSYV~Q``z?O@*Z%y58T4z*O}HCyeS;=mPvNDcxT43-dR(p}v0I;y1nNsVaZ?6j zrX)nC`@f;LV%nrKtSu3WY$r=70J(HROuVe>NLr3eS&zn&%FO3D9!Zf|Sqs#?D!Kw@ zQK~u$1S-QW+j0GZ)K8mL0pcA`OPR@@P)T!uOTqIROmpN-{IsBCnePSI@*^gu;{{B$ zVAGbTvCA<#(x#18GB!*Aq9;gNDc;>JXYOABnK&%CGya~QU#5>gIK)|;&dQ~)o%DV}!8|GEj zzW%NETi_F@0FO+GG}oA88F11XJ1uw?+AmYZo2I8>#&8XtZehtFdlU(1i|W+FS&%>Z zm(&chNdiuxy8m?C$uO2mGG_x2K9iz`Khe6={anYJYRVvq{^mM{9o5qsSj}Rm&B^8) z)75#=Vmq2hOt1BcgD}_C3tUk2WfjET!jzNw>i02&u&L^I+VXws_ji5q$A9$G#tL}W zJ+I|9>X%Ceyc23j@M-(YZMlG#Fnir9TFUwZsVHbEDUO-D=;f(rpAq$ICBXw|)3uHT zZPb?AME$zpftewe+x8gS-h7!{z%xDxK#+DDqp$H(kLsZEzUvF#l*Gb2^Yl>G6^)9> zyUMbSjGiTfAfp){GsTjR7}2uTS^Cv z`?O@EEi3{3HDY4(yY8rx~ z0$mc;SkpZmo}^4{E;(v$)&3dOy#=Baqsb3y0c&8d{#!1}Pm$4! z8SDmvrBG-uZ0<5cc3vt-BG+VfB|HtQD~Y1{?!7&z7qp)z@E<$7GHv-j_x|nw{*T}L z-cVthrt$2GC2ink?6mfNM2bvXQ*0MBQ_gK4put3ifs@VpVnUEAtgN`&vw;v4kw`PB z3JXE1Fc*w$IWD42FjIxOAb25}WI);x83Fa$W5t}?MgW5S6clo>oZ)S9+wu-kaNQj? zn{(SJ6x&o+sdZ_&iy@ZDmH!o7ez>QVN zb-Mc%_WDLm=oKas^p;42_C|P z&aC0E(y5y+r>_0t*FwTOMFXk&foLG@z4hU$Q&ST5c8;jTKArW4pFcd4QWDB1;yTON zMG$=+*?5O%>I`OmaguD8>8v6c(=Rz(n)%xhq|S0dC>Bg4;EFpEPEv7%Aa#}t4iars zXSpDV{MnU-#{ze*U6GGp5gcOVrrYI$d#Ea@8L}&0eMsA7^*9$ip3>* zNpyR*w^1^}E{XKJR_*}nG7x!MGvA-RVt@eU2486BI`a)e&WJ?-N^Zrd|5j>c?I;5 zd)<$`6k1L8(M7SV^_+Ewb6+M?hM}T*hPlXaJ*qDgFRNetA6^-HPg@h{+tAMK*lroJ zkSvpw|B__`Y^ds5kC>(A%tx9PN+%WrR~a8wH!awF4#+=PbQp5fM%gl(n99Q50?1pw;aBB&EJ zp;f5*p;dCFfU4I8i{szdm?N&5U!yzzXv{HMm$*iG5lj#)P|RI0(*XO^oLZ`-CJ0Jn zwraBg0LrLFP;8@LBpy1ln?Q00ZmCvM61KJ`k{7HyvsQqrXeBwSO;z)BlNYMvx*C-l zN7PYPij98KHIcip53dvrs;feC+J{#X8A@KToTXWLicB0r>7*TD!0>++Gq3~(p{z6x zNXkab!l5i+mVt*z2OtAdHQ_3ob9F%0j_s&5w(QJu^q&-qA~cS1{lubF+EuJ{HV4$U zp&bRzls2gi_pTk;kOXAQe1&IE{D`;SNnk9sfTb4ju~7^7tbgl+ANUtxcs089pwyF8 zB|ipV$&%fXq{@l3V*Sv}VYVs+b0Q5?g_uwP_X&A)t7?Z}l}JNoPSRdLRSE9IhOx@l z4atIHczwyp_U%sQZ^T7PQ0kJA?!%AYUZn)3MY81kFbAQ{Nj4x+OZXu=G)753`=~K5 zW>XEXCHtCxed~ZkJg8^MLKP-iQFhDR^wp}eE00>-UNfA|_oLxBt=>_3l5T{(hG1wq_{70pwbI)!9fnx3K1|&g39pQ*F-gPfCQBRluHhi zpys`TpMM6cQ^yk^0pymP}N2|8Du_lkt09? zxJt+}BVDCTM#l2KHTz=iSs$^aos`Ga`scLeTSLu`n6LWTAN>A*HWq5`k?fSzEe6vW zgR93VEoQ?S7ZJ=D>1hb&P_xYcM85|}|D}ci0GbZ*twK#VTZjuSD;g_Sq2`Wr4;ev% z?6Hlwa;S;=C`l<9YLa-mK6MppqD-hLkOPMc)PgzGI+Q@HASbTDx9dJ z(7?gERCc&7(<8n7b`7-~s_fBJ&|9TaZ6kAAI@Ux__C&bzHp2AC6Ihca(!9Y)^FBKa zy`m<_^Yluinwj>3TCv`opc|=AJ##cy^)7Md5@&vFWSf8VfB%CY{^?QJ<`Bp-8yS$j zvo#7yCX_h>GbF!m|cJa278Oe1RAN6@Xam&x^XoiWuCkBJ}MUg%v9`J z!?{;`qont9Pcp*Awk8W|mKQWYVU7DnQgE*v7XPDm^vzJaUBKrz2tG%T7ZVl!EW)p0TcWV0J99Fr92c6F|CIlWJ@H8wLe|JWv`89+II`#ZFc(Rqht*l5CCb4# zu=BfiE5S|P9_fljIrD)s_C$~9yH3_<30>|kbeVRGT9dSt$Zn=Y_Uebf;ZObj2wfCd z=4Rc2m1zK}(uro6C_|SbScu;7FEy^RL8un~c7wC$6=~Le#o%w>c-xolf=5BK?t;PJ zj-+D?j-^?5K{DH_5#AvyDb_kxq**V5+C(5A(GHxHX59q=WnsWZ!Iibb#)@LrT@rJF zKp{k94Y+L!dKI(ok{Cb5DOt#|uGn%xW9@5>nqb;UwN_Em5epeRBGagXV;#0C<)D90 zIF|Ju0mmOUI6h@-&CjtXK24FSr4vHc(~ACA9B;I)1z>YQsJ@vHs#D__dlyFRdTTUT zu6g_RAOGF29#eR5zS%v3a*1KDTwKS+sZMKAHG8Uc=Ckx`jVy9uQybU=+F4*aZ+?itO|K`)PWh z(u&BNHuM$y11g8EvZGKy@!VfDOb0BUur*V$hvMQMyxw#-g=N!ee(NOL+r1h8$4H!TD!e<8adXar_R zlq3mNEzo{ssfCVA zkZuRKS1d41u6$54-4n2zJ1!f%Gs_u??zyu&a*TC>M`Bx}=&)|P6_-Bn|z-J-<{ zm_B|8qk|`mAJS=l|Mz$O>tFQqk(|`hm{(&;b^i6EFa^^VBVDvWtq(?MWmjd@m~xG$ z6<{4qpu)w@6hszfT1#!`C$}it(k|x)a}9x@UaN z(4uHG(wcvg%y%cW=;3HlsY_0dW{R4U53W8{Cv}V3y1$KP`V^X%wDpKLt~yKLlyki{J*W{jwq&bJb*q zAkDf9Vq}B!u3}bQbB#3XAqb{ho*I=sL0M#STAKAD2@WAhICMx(lLNhs1{v zWR|u$wFELQi5?tKAd4jYnpTp5j7x$$mLU)LJu#?B&ARQvFIkfUuK)+3n*wq_E55qq zsIe}ggId!mWy-FlwtwjW%RDIZzl}974ubD57t;vp`h5(tvgi7Eo#1NrPx)fF?l3?E zoaT5E3$ZJy3o9M~;Y3FooB;pb2C>qqo?D3`^SWY^g#Zvm)d3Z801OV2&T&GN>aZVK zX58uR>fY1BQk;&L?I;TZCKJw|DBG<^=7U|GAC3_vY<|=u3xs}cu9Sy zO@T#4l~QOG=G$s}YUkSOsiDub2`w$nbBe2y!OzLXU~xYu4IpKbrv zC~q-+#1_Ak<#22l)Ko6{95)B0dy7mE!|I!rWP z=rG}qnXkj_;h(F+mcq$WIQiJ46?6%ZQG_)I9B-QCh|3woW@I@_x zB`^UYOdFWwcmo8gbdupDZI7LiAvxZNAtXppDvZERW`z?!_3;3{b2U>a^EB6(;|;TX zIQ8yq?5we3jyGZmNooEM!5nYEHfsm|8T>zOlvTwWASU267sdwNA5zkY{&n^zlDAS4 zB(j=tjFZ>##v15zkwj$DIeWf4HgFGd(P|}N&m2IB4_VHB-XUC+v!5*;oX(!_4_suj z(PPcsdCfgQV@XxTt)Z1<8Cpn<6~()7Nu)gRZDF-BoW+9wB*|>1OXBxqlPnu= zx5ldIlB2d*ZJF8OM9HUyaBa5E?6C0ybt3G>>gk$uiB3HU;D4J%J13=FpG^Fl8D0{vRZt=)F%qiB>}5cql>stj&9n+0RL zV7>V|yC9H5YMo%v%*=-%jj{^@f`nQe0>#5>Yn=ljNTck6Hdqq&?zpv~F(H_dL#M;m zsKXBcBZ*NCK^kRW5d}MABZu*BDXwUgT@ZbhI)(-_*t&S2j2yD60WK2F;p()+xohMQ zdgj$Ai-=0=8=kNAD&SxJGkJ1({rhlkDG0$?~BU81(5=>A@V2} zwv|dMZx;lQ9|j>~MPNCBtjHZ&8d13*ct0+4q4Vy$ApaVMrOSn0)jn4@&>lZs)ipY= zj*L`W%wHFVyh(JkG$!=>cu?)8!@rqP3PdiIW+Ahmpx*&K<2w!B?Wo@~}5TvbjK^yf5rwp@^ zv>_q{X=`2Zum^U@Du0$43_;pj7lb#1UJWhht=biHZ4^jMW>|@wTuYl;)aaglhPKvM z+^_fevRDzLQ4osMWxre--5;f)o`T9QoL8)Bqxks_;t=dHTf1Gds*S=G;rGT>t_5vU z*BlA0E0+mkK@C;FFUasG&OU0t)xP!-b45(>D2RAz6a7Cm>K*WsS!^!{?uW~PDt#M- zyd6XC`Ofw){O1LVIWIgKgkr*wrx#tRe|-cAgB65Uh`;Zu3^_Gev6pG45n{0Ndw$hV zeZjAK``g}j?;TGEmbz7-03(3=Q}m+XZ7b+`hA$YC1{CP|XcS#`X_jg7xBhh8D~ZQ> zd+G>Q3Us?A%mFKc=})sGv0|k_(T$61BnA>7NC{R#Fe}hd^5AK2Q7E+n#SjLrcwQri z)2x^kDCS=6v_y5#uD4KO0G>0`wxF5{ToRT8 zxt{hiCDY_*hapgla6Wr+E`Fj4T!N-yRmx{1(K>79`xC}vr;^oo&o?NH$6lFaE6M5( z72^TMGv3NdvbsfKJTPLTmCX0ZxOT-F9&0o6>@$KD_g{&#$<)Xp{H@m&3=(5HBXgz>Hb-Zk+;{}M5&VRnzuB+pv z?i=~TEw|%%;kZqkQg8Qk+I=JoSpv8#i?oE6cNbckMw)5Wm(cQNLd!q%>`R~eQlxP; z-Q3pT_J{;E8bj?&4W;F!Ewr>P6zg^zWx`_JN;>0mXM8U56i!l<)@(vntVql2E0zJv zEXK7}D;i!GEOV3l+Wd13E=Cu_=~7@!oSHh(SB%NUJ6LG(j6#rB*9Bv6@n(By1;sNe zl4Wo)1_QU}u4{1n6Gv6c02hNB`^iV`vl_K7FeZhIPr_GH%s)Bf%@TJz?Xb_yw*QTA zFFyG`{~LSalb)k4WHpu-wxl$?EXbIfN5;&zy!Nz?sP#_aYO%a`XL+aHqPF+Bz22|rr03IM_z)Yg4Rn-bO_S&+7_EjS)8OZ-5u~k%Uc8;l6qKU zN@H_sT3#0nNa_wvpN$|buL}kwwP$3EL?<0cYR`}rT3%nVKvKIiRxBssk^xEO2)E`U z#qzpjKvFS8O>;4}>)vBqUbSEgB$c-=B0wN0s%~>6d@z74g`5Jhs1L{?Bbq1BSsEcH zJ6F%u@$#&s6LLGnmwU16wgwR#U3W!T}!Lpr>$Mx>h@kp@D>SdV%Nu6(a?O~m3 zc&8>|r!C(a4JKj#_%Hv!=l*PT_-RSeldz7Rm`sp7$a_qMS5_RNGp53G!NEp-Dm)j= zjrtZ2*)D`bRCq3kM^~rzzM%<{hslgHLGl2ZG1P6w^FY;e`X%sLJD3MixA7B661MzC z=i^a03IOy3?yrX52)~9)o{u{;H8S@Npr;z7v}V3RK;2;a4bBc=W{1u|l!~tjP$UF+ zoj_)G)}&1eNqi@f#M)|!{p^#?f`l|0ojo4lkWrG_lDAZ@6j4BxdK4CuWCuEWxM>1p zi~pTA0X|`_btAMaVR+b7SQ#z|)P|=qTuj9#J0xZ*a;0l#Zljk$60QEM-p5wii&9^` zIl%}pV)K_`u8moOySoeS?1qorqSj6?!QIUScVG4`KlFV+^eaojS)C?NtC|bNK@7yN zGZfnpCk!26yDY6*1VJ<^HQGHYm0BhZ!5rLK?81(Pl~i8yiaEGDZT942V>1A(YIEuw z+#OasqGQ?GKyHEh8gp=mE##`?JN{)(7`3F$fvnV4D~=k{V*egJK`5)2cH z`8ptt)IO8q3l_=48V@bb&S|U)`m$Yk%*7XU$pgGac#UlRSmCLwA2#J$(+t5Cuo@h6 z$i@?bwX)>&hv>R`h_KZ@MAwraB1qwxxD0oo9cwao@HZ*1{`)53g-H00Nc2C);tt?e2V~|7HpgEFWc#O!2+b?_gC90QL`0G5Wk;n$MFI^h)wB@3DOI7 zc8mLpz1!1MnA`_aMNTUNjsR6e-`rv^Vg+!1uDG|miQl0twUzteo0W6>-iI%|@G6;= zu6?%Gd!PT{bHDEjo;Ch|9d1(*eBrs5#Wxs}D+|8*@^ja(Jbd-xOV^Hk1u zp6lnqo7*|Zr9)rHhrYl=F_G-G_zul{vWM4s-^1$%uf6oaSGAW@j`Oe7b6D9`9mNY3 zC%$}1ZoT@_^~3kb_mQtwzS2c^e|05aM83e}yFypB=&;T&7I&pzu3T{6Ps>Ai)pk#~ zfj-vGIW8T_?@l3yGjw?*g zq#)qWu<kaFn zm496vBX!1ak~CA#R>KHd=cp){1#r&(-eJTd6TZo+*FJ~3>u!4mQjSMrd<$IYP7;aXdn|v8Rkwi?SjVxdK)B3!B(zDkY>6FqU}QR z3H#MfFcEo0nrRnAD+PTHL`n#?>Tf-2|J3}nO;`G_Gr@B-lKH65IiS-WCY9~~TE z_xLOjaW*bHlwGDncO2d6)8)>Rn%SO6EyH+c5&hAF7b$YSv7SoL+8vpww= zEe7v)4BnT1+wcE>J`@u+HFw-CtsK!)#kdqRB-@O)w3ay33_ZTm2L+T{C*e(lXSwO8 z0GmTX3Te2*#`#1di(Qpgc1TW1d+jFJbz5f% z&v+CzoY9QO0QJJ9j7Nu2q0V?X(@YOpK+bp!as^Zwk0GjnI^$7jW?H6zQ3p^}$K7W< z^t^}QpiynnKgc5IQTREs8-1VpGah((ORwPK0^YO>IA76B3#U7ZW=Zki9hh|5EoznZ z0w%o~FzE-r{!jg?kBbyP<{Sb1;wgUJf;)o3Its{ZqDqDS?F6PX3a%VXs#^etADAS7 zAjZQo#h(Qw<6(jkmk1eKEhrfe6Wn9Kt`rT9TkxPYD@w-01wjZTnR_%{NVi2kwPZZ} z)WD}oy#kD@!jqi^CF5a&hz*o#2y=t?!~teOh8zC#So#JnA(%|rUZaE?E{XA87_33O z)j`K{+6{apfLPeaYW;R_-O6~Ru5Uvi`qqMcS4U9v9&)#oIb|KVhK$q~6M-A5Rt zZVP4(+lNd}6f5<+Bmwcg&#FXjYSh%pP%tACDO2===9D>g`zPknmiQvhPo|>^9Ek1~ zya`c8k?jaqh^DN-ksv~vLtG?PnzS6NG7253fnYMguP?>xB=il%{ zU;E1e%Tmki#=z_}e(f?R@oe4TwyUZ4A_0URXBLJ>sO-}C!){?XM?XiTwRO54&d8!` zuFmG@=eSv085b;ZL_B4|9Q~ZxdO)RwjGB<+Wx*W%0D;DA7J273f}zTSGzR78Q9H!K zu&3tY=MiJzl4mr9>XPU=RI`yJnR{|cwv|i&1B%zC;*%teflJb?=$bIu?Jp$Ad6z7s zBvI*>=}7qti7{|VyFL6yYn!9wXCGC^p-GK0=23(8675AP`Jno;RmGv^n8=Jsh%w59 zS;p3lF=3v_=*$3D0JI}n2!3?1^1rB8D+xU?GPpr zfVACoI!UNceZ}Kuw^pRm7I5C?8go$}XXJc4HpXnb{FcD##NaAy`;c=KmYd0==Z1#=^nZCXuO39b6z1| zY;Mwck_``yCuRQm8qXg2C6bxcefqi}dZ*o@HXXOrw{E7s_2`-3`@tWMl%`@^9ZqLR zSCqBN%yp8g(M|>kwnFqG69*dvgSy?>oYN4LH@ZN7z2O8bVcH%Nf>J**K}_18FkMI_p) zt#lmvd9)rRVvly-Fq4Zyx&n4(^Loj|-jqz3Z?1^Go7O(N-9}{6^wDSrGL?L^moh3S ziik^*1q^*vGEs(w>VOKc1~5g{>4Or^odV{h(n zj`OQPN336%|BZF~K2d6@>$M?3J7A(CZWf5*;{=8 zuFzURZV%ioP{+3Zqu4S#5JYX_anXz0pn0S@dwQO)4DN;8@d_-0tz>$jul5^4sCb0fv5zmNdDgyoUoH z{6Uas1l(%H_lqZ$|LU$`_emvP+rX2G-R+age=6(sJgGR}<36ba#BFUxnT-O&jSAxI zBu5J=~C-FcXr-RSJEC=$6cCnfMG?p;<%8@PlQ)x8-3|UbW%U+t=v{+(2=;tR`m9N+NJX&+2+wDs32o7lU<)3{~(>k-s! z+p8U%df(h(FM8piH4pDP-Mg=w>ZC&$n;EsWll$N+S5A6iYjX35~>ZqzAtif|=vbHVfJi24jbb%*mBSupDF z5Tq;ag7BP>MI&~2vpcBw5Q21FTo7&V>bycP2|_ThNYAARYC4VN{Acr*MQ{&v z9vv45f{w1fMrzn12sEF#;sA>q6 zI6XN`m%qWmZgDYVUiy7F)HPWPdM2x4w))Xw$+Wy5rjKkk6)ag@-|B$uE3`OlCgm#E zMR3Q-^tU)vh_mSFWfZ)Jgcuu zrEA(PTC!xflO_A5@BiZ8`HL}!Uc>%QmTa}ggfd#(?Gcr%It;HXT~Zt($;}#dr&=&) z$;_HjaJ6p(`;=9H{lw5WshxpQzCCqHD!>^K3_D6<$AftVk^IP6GMh+_FM|YK9sk#3 z0c_AK!29Qu!CPX*lu=+Ag{*GKXPhuiwfWX{mTbLZauAyA1YOojO5|fHI!v?&YMzqd z((g!0Y+{o84JI4O+4np1oBT3Ijkwy@2agB7@h}-oC*EK{h ztf+kQY>5ADcFU$OiL^%f*fd~k0?zhcKRGb(V)+XRxb#pXU3Fwz8=ow6?zcBBJ=Isy zpST6PZY!A{>#H+}f5nI0pZ4bV`Ax&w({p`wh7+gVD{PZ&*Gv!g6`p3M)%d(Zw3W=s zGJ6I1jkaCM^k`q5wt@xYnrEAu71yaG7M`lxY~e!kB|w8@n<$j5haHGS;l`k&TjZCU z>(qeW);u3qN*4^h)0q+>{z6%Eg`A0;eN7_dtazrq1W2FRqmM*c`Be3tK*XKf@)F?R z>?OcqH}euOk!LRfKQ=D`(|C?e#m6T`r@s5*TVQp`@E0}pmU2@vlOXw%B=wdrN8aA>_dB_@{mk4N5?Z-$5yJ+U{tDI^&1t0*(vHOU-|d(Xi|>!r z+phZl5U75C*-pnxE-@XCd|Q><-H8fJ=kNSr`EvB}@|4?so#;?Dv3GYUGsU=fcPyLl zczT!g9g=yc5XOuKZo05-`cgf6MH`p%?&PNV=Itf|C$IiE2`wgOKL5x5?zjG-=;K#c zyiLr&>@kgk#0>H>Z5ky7ZRm!Cm5_W38ZFdk@p$gpXL4d@FxA6n!Vbf%#uZx_acbC% zHjR=KGXtrfQT<<%3=PkqdKwM2uKeSZYkGHu{BNI2-1* zQaV2$hIBlx7f(^CQUI<8SA_es5qX9Tx#Ah;NV((9OB=srBCg;2 z;KgdZ4z^yq^1?{HYf7>RgJ)FCmw5T%_50ra+4zZIj|(EA<5XaT?z^?o?S>$|2NyiA2>hWSIPTTDg&qgD}n$CO5*>F@KcRr2+}yZ zprIa-s5oz|7bXO099{6Z-fQxm-&!-2At-5jmxT2sJsFp5$FvwYvq-M?WGjMGuM{>|2YsZ5Lb@DJr`pCb)sLjv3#>TB;}&8&AGJ#5HIZ z888C=njtyr7-$^qIngxa7+n0iFZ=`D?rB<8v+Q+$;&sJjyCwI(D&{qQ+q%H2qkA2b zYg;BOTaQ4B#)WM|$KQsh(D#Yr!<{kGqo3@*goD|BOX4Xa(-t9#$Q33s9iX}K>VO=4 zDxxw@IJ@V*1zf^JR}PD0EpryPc36;St2%Q?rdEaGoh)!C6whBr`+z){M%6#P^Js;^ zuZu~mlKw{~uGyrog6DQNbDF&_bG?fy`!>MZKW+KeGBV`(%HRE4|K3-OX?0Zf==`J0 z9$kji!SU+WT0I6}9oHR-wMwf401fpIwE?Bha3Zf*X^q_pxG51_OZ6uUX03iY9ie(f zkTs}!#dL=`sssUy=r(ZELog5NsR0D zl4vW{WQi;#{i`Wm73oD<$*#bnyG+5M`@rzfnLt+QL9UVGeAjn@y7KI$|6Mp!-ucGh zOqr(hh)S-8VBWq;{f$5IwV(B+kwxmSXuvXUj<^qA5d=D%Ay#;MG?_%rS1OE>x*5h(s6E9#0LN zg4W$*MXFR6JOY~_N*d5qEBc3^s8p8((tu@mz+Cq9kssTtbDP zgiF{dhrpSre6X={h|DWVCzSCX`Gk$rDqdP-dEj$aJ|J>Zvyw(|aciP000rjwz!FHs z<>=4jJ|uy-=sBA27TS|K*DYN59Pf_LaoR0vUF(Gf|>nQTizRZIqG z2!fYh3oW(=taG-d$DtmJ%9dVku|P71y>fn$XxbomKWyplWUc6NZV69Za=$(yf}kXR z{Q4@VTV1t)mcg;9ifSme$|FWC8$R8cGdT7y-Tr6Y(H}F&zE1ZldsiDX+PQ$)me->O z{|n2z^lXgv=hl!gF}2C^(&sHy_Dj!(_4<_utm-c5Zx39M63@LX=81mPt1n$Yd=F3~ z@}m$qqNclaSY{dNb!BViy*d}y{h8?xSP!Otgr1#xi+F$_SQxt+uB99+Xo$GD*9$4} zjeq0x8?SyvVK&iNye$UpS3s*vMFwqvZT*CHxumc>zlbo?n7?e7AthXJ^1y{t$uA!E zsNvK}u_|p?@{4;0yR~3W8%BO{uQ2qSkjrVq$nhZ|M@ydtbJ{RceBesyB<^UJ{cD#L zAL>iisuI6Xoi_9oAF%Q$#MQ~osu*N!GAJAEcEiP>BN}87iz8{dhXd7op{f{U15qIf zAE(Xg3MwJK5eD_pf9RICCixF)-mM^1LF56DFJ@alsI~V@<0JPTZmjR~vNxd@>D8gQ zn8#+pa4pdOg;%lEgl@1Vbf0CO<7340_IO`V^||}M%1JKq{N2U#({2$B@9S}k)LA$y zjMd0B{B2jK!(oj5KF1r~Z}{o=f66z1SdsC(3tGb^R$L!s)>~eYeuE31 zcA$u$0VD1~1K?PZeuE3{&ucPuBhkMCziD%7`VB=8Fb+w1qaZG!WOw} z;bfSfyS9gOuC1F|f19>^tEUNR_|W^m;ltk>I!04g9-DXq3$-tz0GKm$gmk33a?TK> z{p=`0Q{QF-4#ZqvYC4KAXU(#ZsM$4wRnD+<6rG$+FHTJzQ?3!IHF1(rh%>^+5X?El z{d%j@08W5oX`mH?IcIo=o&=Bs=-c($C=x?3=L~@_S4hKYwKmdb7o4n&!r|?8&{aqE z;Iu*m$)_nQM%QZj=z@*z27Pd^rS)hfJdC(`(ebkYxf#S}To<<+MU*!CfZU0(n7jQU zyiW`Qru7<3Yl2c?GQ|(SEuCdoH?n-6&icY{zWVVrw@Mdkbylf!pdnsH3P-8Crl$(b z!107Bk(YH=Uk@NI?5#vd#+30LJgOTCl2f1ZJSPT5;~G&QY3uPT=`!kqwehu>(Qy1(F7- zh^$vO<;B+=)tJS*s{FR*UuOXkbfGu!C@Od6{4bokwqa97PG*DvAIPLl5TsY5oLE_@ zcq9cvP#=)h&l5umL%4@g1Pp{hg@fuWG?(0AmQs(3_ilJFgckpvC`jupS|zUa$# z6S+Aca_9Si_JofpyvN31O5&~5q7CvveKuAHHeBtO9J-We(y@3>c|dOm}THb zZNsD{Xio>&UdYTsNvz!(2+2>o%Ml-)*TDYIrS&>vulWLWw|&5Usk z%#czyl0oIMG+t68>Gw`UrzFug9I>kVp#B%W=mL*@6T@RCWCQ3kPRs`MxtE{z zw?6x;|FwWW=v#W(y#xkfP>H$M2!E`Bu(v5jcLssftKViVW4NP}@rzQ3&k7odFqMlvl0vU^5nf@q3tfXm%x#<$%hN>CCV;?;d;22 zur%!#?{_bcC(s5~D%5&m{Z}vUB`y;k7B{SH)%CM74k-VUMtyVpm#%jGFVyeiU%o~C z%LVChcSr|QOC!md*1Rp93pdlb@KYar_BVX`3>RT-->40i@R? z!9ZaX7{rbw3*N;@4|W!&8WTB;FLf5e@aMY|RRWCM=r|Mk_LM3CF0%=2Cug9N;g8-4 z%^h_?9_lq%boQuq6q@*KN}5Pd#dph#Qx7~kw5ypFAnkuklwiBvby z+6c2>IS7JB)a0tQWrX)uIS3d?!09FAJ-~qZd6@wk<;vvCM)R-z=~uPLxz1$&Vw@_y zY%7gVkWPIk_$oK{JyoZc_fmQuGC+WCYp(YTF;*(jqXs?)H`(FMnmesZSOMbvvw5?G zm@^#@zya#J2mz4V+OFI&Z#&9N_;tMqMc%7=6aE8= zP>S;^v-4D(3sj1h8;ljR3IqKv*snMQ@JR{$+i&w^IJm1L&V zf1uN5r$cx#Wzc|rag`FtxFk3@*%rdfT;VdBv*)|B-)@NCmq6z30-0&IsI_2Az4K=3o&Vi$`U`*Zvtx>{Cc;`s)F3`SSJVda@j0DYkWPp{ zY?5c>;8KDElx72Hc6tF`ARGj<=X&QL?mX8!3ofV&J8y8EaCsET4%}u9AZnP}&~>gH zu0UB?$m3so)Vem-^Z~jv)lfdj9BdeMJH*0<-JVzE$006#?04ikKW6N@otI{|e2i(M zeWA+~(;dfAIw9HJ%KBe;lo>vDMYj)8F?#j!vvxWOQtJ1LBax+%7A~bx8~N{F*M_f- z+udGx$&Ud4w&>s7op6Q3o^k2{3(&dy=~;&47Huf-*U**L0L^gr^e|uTw2&kMotW{NU_xYC9miRKLGE%H^>t4^&_+IrAyS7+SA&S5GNs z(GESO*zHd#KMp;m*zZp%KX#tdi!*WKX^T&f^^^|H!Id8UlnIv1a?S+v;mn!fcI3ip zUcFcc?WPmTT-tm>K0En@+>TsxXAUp&$5rZmYz{B3H_wRLU~nzgkJL8?>sIHN?R0(y zLb1s)y46_P-Pl;(c5p7{0#|g$TfSxK`^$DZp$yuM-)}2(Y4i2-jmpP!JC5(n;l*s( z>ia{WI$pNZ@wi^XW{}H4so>r_Ifu8RJH}w$`uNTdmM=#;8NGCHUxyg`CMMk`)riry zC{v)P&L?PKlW-*8#8Q~>8xA-Z!-(JX4}&MGu-)%{_`(Y{6JJm)F%eSqd=Yf^oC|W3 zSEm;_W%Vq_T*)_o+8_S7X95+bWJK;IIXT+lz}ZPi&YlmbT1q%>yI`>BCAr{!wPEKQ zn@&O4&WKp?Tq0kygb8kLa(KG)>>PmRyNTVzRwSo{pA&i6zDIyCmEx?RDg= z`}X9LD{;vfN55K0DL2#mNzvCO!4t@VR2&O(mSG=x&`8Cbhk_pGtAICe2R0Ji$7;=d zf3Ogz5mRy{UGpJ1YEjk0iVj8^Dfze5y{Ov_$UIv!6(~k^*rXPNTA9+Ai}hT+q@Ms1bAQmv|Q;NbjNuVxS*FTo#jeHW4`lX}yZz zab?52fPPk#b_j|?EmsUr9VK4`xL9$0vT9KXO5|aJRs@1QJYhy-bB*F+n;;NaaC}(e z0GXD$O0gon3%^DD%8(X9fG&*DDSs z!goI~5ygl8wZ?YukN zX{u_(y;*GM?byzL`td*cYu*;NQyrN$+y)mZCtdeP(K( z@GF*qbCjmQNAsvq9o{lPML356twZ7V9(*Mx=ye2J11W(j+{Q3gp_4+Jb7#dIZX}`K0{Z zoVeMf#IP}3`0T6V@D1?UCpNv5i9uhJRMNc~S=IY4RT9AzWzX)2o?XJo>3SA3)Ly=R z-@89>;xBu9$ z{=rWwb6vCy(AKpvt%W2VaP!Ycqc5|1K~vXGRp`Ajd52)GYwyukixoM+bJ|^BSnxo z-f5(W>&;*zvYE}{C9mT~EjdJ>2O|R*>LqJ)4UKE9T|ii_d-NwPJp-5theH zQyH__W1D0O=*?QNX}4&xV7FtzKKZZy+5hk_q}nMnR_}5P26zs(kgr;wVUGYMJYv2j z!Z|5Wz8KcqWI$IQX|^9 zl9W)}B>_jq7s5;@1OlvcR+9Pl><|FRk8vrA)_-qMUK%au_Br|%DmuLm8%{?FsCD7FE{Gll z;11-4%QL7kA~2>#j_@MT@&~JMPLq`+?8<-k%TN5WN|#yK>%a zIJukd3KFuF{ZJse8(B;=hkap^8gMH@gtAG>_V55!Q7y*;nciBt${cQyb5?VxZBKc{ zYz+a9re8znVvVKiQ)gqi2O!Fv3uu={FuN-lc}6%IR|Gb_5lqSoT}dbviy*GG_2z1K zWes39C1HmJLY2Qw<*w}4gzQri&0Mt$G|6O(A)^foLyC#!YK67aNk5OVi>TD@g@SqF zn#Gi|)hSG-%I=*M%q(>g<`~5gj!(X#|BXF;pC~n)1eXeMm?58pOw0yHWmLC`*-h}cN7q*5ez=u(oP4`AHRnhA%15s5y}cE7QPm~?hJ z&Aw&~w8iwtPAhD_Ju%UCg;_Z5b>{n1M%s4o_^4(U4f!ZqXLP%nhNEhllRF zp{vPv%rKa_7WOkzSKet1_J%~a+Uqi+Oqa6cbQk=_%aYS>QETrOQj(h?CAt6ZFZ%5- zC4`PRAMP`h;HN}MTl8Nx@j^};MwA59YMqYLIZeJ|L`mQzX;-`uC839;Idy-Ogv@Gt z>I+fQM(8GTq;erj(wU}Nus=$|aH>uUR7!WARxMEyF0vC(iGnxDh?0)SCPW*x#l@1A z4{8$MicwNe_Hv1m-o#PTf{nd9Y^*8c5$9;JywjbdIe?(w`Ir9UPkqxEAP99Zat;9y zgq)oQnU0~kM0A0yn#PzR$Z*iMP|!o=RaV@wQp>P41ZjC)Fd#-}kQ6n7w7f2e1=$mc zgdU0-?TWO#MQ{V=Fgf+n=NZPSX?cqv>X-N@l73A`4*yXKkGn$`U&fUV<3T8^qUs~dD+U6{YpdWA#;6Ub@Je1{5T z3*SiSKq|?6i}o8Pg!$dk4&2@%sfYP@$8d6d5xqykS`urHdNS&_L{@Tx>T0gdFuk`2fl<+&A-qHv6m*YORGEY;piDOw0 zx+|dB)cmns{YZmp;@@U>wK$TdsyQEY#@6AQoPdZQ zGy7j&{n8oYtdvM)u1)|~^LSpN@JP}tJa4Civ17&aCWhNK6E`L5q@9F(O0$D;5`_ht zB=B}L+@LKtrSh0Va1`t>y0slC&`p%Pn?gyX17mg#Z7rUZpn;^ss%Fl zOny|wi1Z+XZS%0kTe$}Yj9)EFPJisc>gcAne(b>Pm>)ZAj<*&~c_`F$<5{!Ejtr8) zL1BB#V~5Lo^2cs~Lb9!PF0iMKq{EW)!0jkGh?^IiAeiI>S}G7qDVcl`F|MgRy%mnh zH~`2fy4?X|hd@>GknMEBmV$D7w-l71jD%i_>)#%)wpDo?0`>KCJ6%6hgRxn|#^`+D z;BZZZztUC8eQaeE88!)GNuV;2S%z!E#dg_OA?z%z-khv*H3~Ci0ed@16_%Jt^4Kp| z7JT*P=dNFQ`0B%#t{+H?85iau*UvMCD;p?1l#B7Es7r^wkPm&~c^oQW_HxP^&Hm!U z>(_9$=U$eiI<^wheEmldnNbp6t+FI_);k9;5bY66ijl4YSvP`-$K zfysA;85=jN-Cr#3UcX$q;J%-hhw!THp6D&=p5xM?{2t{{ezQ0IU7_E+{+^GV|3uVK z^c`*e=D}&wxw4!ao=psE0TuLZN; zj0I2dwTO~9TTgPFI=LH!^uxnaK>_b)$0YmBw=t)31@s8deFV^~{o2_fq%VYOl}es! z$w^L;_^idDRmZr$hNAm&y21XXgA3Dtp9^W2;!I7E>3HF;Cc2r0w#94#n{`qt3@O5V z4}#4A0#ENaP~Rw0kqu{!jtGFj2}L6lz}%iSdpkqh_mW92@P_Fb`~}`{;bH2Ubov5j z(DfsnHP1g`6vm55 zD;ma87D1Y37d&l&Or#(X+W>jfhKC?cvkQVAlT#+CO~C%$2+}kcK}mUu;J$Ne1}ZLy zUxoZExW*%VlWN6zW>jon50y48m+tH1y1Zmbr`WrjlF_T{A4ukpy#HO8yWV*l%w6-1 zqdmW&lcpKRD$MFLk8!w=!3hrTg_xp{s zNn{s0`4XV@b5u1ZDYi+%l%Yfk`G{$c~1Twp36w!@(snxN4 z$(vVSS>dSC$c>@)FWyGd7X^fe9-s1}{2Y7Y(-fIndMF1^w!E650bGC;npnKul*?ml z2xcgiX{AdZq`jJc2 zgjdRCA`y|icO-jj^29g9L|c1Mi}tv8vnp)b@_j1or+@SZf9~f3yrS$+?pGNX6k!q5 zc+`!1M!*Cst%%qfaF-+pEP)a{wGBwWshRr7%;{J8sX;lTT&JL6>#&4Vg}LA$NjOzl z5gg(OmuJ(j@dhZuay0foT@)UAa#t{*Bi# ztY{Wux2j|yHI=L;>ym+BnnLSIx~tR(NEr*IH{VmTXMC=jS+JUSh}Bf>GIEPrRlRgc z+)S6m=iL92|KY!mG=kdA)?>%i3?{{ij9GV*;@M*#9JHD}_Q64`2~vO|Ah1ph(Lvn} z9Khua{{gf-nz%>NpiYU`9N+<==^3<|J@$ambmpwqos|KhS%Y=8xkk}z=8m8j-!L>M zxNG&d8THuJn61=Dt}JM=Ugd)uNHvbxQ5O+i3QoFx2xLOoxA>$&j%3JIRF`+~%ne`| zELo5GF#qpeaAfr_G7pQ}KCf$1@Vv>M@-csenXFS3XnPT zaNh5j4khONYzbETRS+@c%)(!psQYA~RXm%AHw*nC_O^QJq`=TG$qv0aZAi_cQM1XTh!W#C1$vpnBlpf`Q?YB z{arDixTkH4w${673SA~A4YoZzj2T?;&CuV4q#M2itT*#{Y8bueLSqL1G9h277g5}#Y+zG~*&d)yF2}WngC4;)VuM>>k zkV}@C)V-ZxbcbAWxD$;2kV_7Bf=SlhC7+}djQ)%|CI(SQUxaSk-^2l?zKzwAuls7s zv05kUt41gi7PcATFL zSob4$!D9>X^Ale1VT zUmJllQfY1AnWMks1|@6>tS~!BF)k6*<>(I(7&1NM89_a{Z3)WJ9~ivI4~BRWk!)M? zuA)Co#FL(1bmsyKl)v?)m`+te=&+P&$}KiG* zFbLP>mDaT7`;^uzU-UDFuldB%l&YK+o_cpWA$=Kz z#ucopcq=nik7#wL)$suX!beZ|dzs2bb?(O&hb#eACJ z2}v@kT^~VYPwkekQ7X;8;+jegN(;!94uw9IR($J?;dGFZYFDJvazSu0DepTN^tbJ| zmXwuCBI{;LqGdqa`s4S}YNP)^8(?)Lr-w8befa!!Bq8Bz9>8EEU;KpMa*%Z%@0QGr&?9uiG0EAGsgLE#RF_rtgBwA+IFyuun;B`Ob3P2 zP`v|)ezy#-Ps&;-GfI;O2=!OB5W)wpJV@CNm#-axDlcsG+$rz8KJftUp|QeR3~^x$w;HbWSK~%iivldy(mUd zm0~^xUuIjwRIRUwggXH5KoGwX%UE#-?E}HQVvdLp=y!te#pzh+RB8ly=X^ylw<=5s z1yne*^@>$ItlT765v&I4NX9kha5!ZwHb%D^f;k#4Ie!2d%_|N;QMG=NpiNOv5@i&8 zs4r4ftxMwC@O5Dj0PwULMp`pxETA>j-$k0iT z7;n`me1`m0$lbw7HX0hZ%aNo4AG6S~9m1Kn(rgd5QBz&bZrrjL;kInRwB`G3z_0$& zANyCnYt#m;sQN__cge=GmO-Y~Z2+O5wMNKFW{_+w+kn$%%Vrel1K0}ndF{)>s$?5* z+F^K*3Zhx3Id!FBPDfx)WyOt=o5qUS29%mJRFV1RAz0Y}uysf>VGjm%b)rMCvH?gN zYgZ3)Y$v5bO_EjCdJ7sI)vX}6X}*rQ+jby+7-S|y5&3?^T)Zbt>+;LGZsY1dHQN2PNgQMv99K zJC(*Y#SlEM<8JTGT*rNZJ>4DbY1%DngP#TVbThE0FZt|$@J)Yj+#zA{RO&UWOlV3QvzHVaq9ZgIcg6`<^%|Wm zMJzG~JvFD!^_u+&lnacvP>t?<>s+sa9E#8oMEcUwWASCGdd(TrowN$GZ|C2_&@+IH zUlKt(!$S0vcWXdQBD&;hgGs%5_Pr1+Rh6B5cS<`ZBFT=2B>`ltNqP%@_5Ie!s?#^B z?vGA!=8v=$zR&9H`3482%Gp7CB``8g4AYLJv*%j`WPu{pkvtG^ zcO{wcQJOrAZ(p5#R-jt~OAuqk=cZPrp=fWYt4>QUUD>~MLs9c@x?$_!U_(8sKiHLh zj~+Ws>E4Zny?6+{kww#zsjeeg$ZY)OiA~6)c7*W4Yn>WdNL8KrASYmrSnBt;Wbt;E zA;a`woxU>2D{Fp~evT;Pi=@LP2bQ=p@f15wUTG2A8>ES1p&I1R#OnQ$drgIDAv>jPdk`X zSrLAMwo=Ba#hChvYWPU<h1VN?p9n9+*ap}wTC51@peoS{s>FOLYHOSm`PG>ho2perAo5G z2s<7><)|H3^Jic_=gzJP+s%+)he20+T2Ci#Qo}ia%+Jkk+0=8t-q~rPg$XmQEHKGT zYpyBGH1e-C%?o%CtDqhN6<$NOQ#BE0>4|7w8i+JItyuj(6PUDJ-Fte3ZcAquAV8!)TAy5ATdS?Ku4WUYZ+~r8NT=$6u~V=&QtKHfWRzBOZ3Zvv7A|tU=wjkF!^IXJ{I; zydu74A@AxP$IREGNDhu;u5Qrfam4Yy%P?xMO?SI-UK^r$|PFg@Eelu4iM zm||bzI7%l>NjF$)l{#{(!yv-8Q3b*JJS{AZEn#*TEzUvxb^2ZqUC!!z5puC~e(3FE zWktke3bTjCmdXn7?M_xeydk#&Q2XiShn|PY1lgzPZBhlpVm%Jx#NLw;&D;TaFNy2B zOI%O8MXm8%64y7Axc;GMti7lIo@p)c-$I1uJ=L~@i42w*UR0m@ianm8A>KOEDU-#j4W4`HF!o6(>lv03f*Fe}QqQeJg?mSc*_i?Xc~FAQh1d2CnqD zL4LGekvhl)Bb3{3Y;19?Naf{%rF{Ug6lgaZL{aY74dUaS4}gv(YxL`JeUj8Mz^xs` zSA~2^NYzyrca`r>L8>A&ZQ#6vqV#l)8nYPF;JG}~IW+r7Ek%f>zoaePwgI|=?A zlGJ1Nmu7=`ZifWJ$?Qx1C7J#7wo7<-6U_$pQY~ixjhn%pc8eCXKYfV9oCyKDfA~Ls z#b=D?9h}T}8IuJ&lYKG8J_MPP6afYU!RPi&Ptc=eTyiJ97RZYQew~59S?K zdp6H8H-d9Fr>^o2$PGxw6XnxRoSs6Dr_S3QxV2ccJ)SLtYnKm4BIg~@Z9|$4rmi;D zMiYW{-r)$IEz2fI#W??vV)n}qv_`U5k{&&yImPT3Nsy3h9%Z)$M!NpZtGt8FlEiiP z{ODEQ0r?TQcl<_Z1g&!!lN|)L_R;FC+tYId^c?h<>@kQFp!UCynotkuC;H8 zgV=M1(rV8`P?U&OFeB_eLvb`KN{nHGCkzSJQ?E}FA4jQE69azgK|X$Z2rh_zelN4K zDooQG*C<&{JN5anMk)gK6a{OrAk>0Yjdzf_UlDD#<^Xm7L>v9!kz407`B(;St9gA; zMip`VvHzP=@ONxw*hKkc(vOOXe(-1?{K3AKn`sQx#@<+BiV>b7Q?HnE_zuDFzbp@> zqWD!QO@WcY2-@Pl>gW%>30J|BfyTVfjZM$smV=Z1R2VW| zfEhi_nu<5Kvm+{C1#b_cZtu&E-xJk~@=-$f2?Yvy$&2DkMnGtRa4z&v30fGAb+>R4+jx|EU!sv#P+PljAmnqoE zeU|NX{N@USuha2o&b>|-ylcL{Y^UR8gm@gk!`$o&-?3w~pU=u)32X$SNi43 zf_-;|hb-f~R~TaoACy>AB9Z?5*M8#f__#4lXZZW0n9dD59|Y5Bq=sSolYfN1i~~7Q z7#N&7o>X#=*c76D519IK81(mddnU24Vh{LJ5)0~{V1PKB4LUM_P1z{WxW?ogJr({w zN`kd_q8ULu?5V>FTs~a!C}Xp-8)HZ$mpmgXk=!At4t5;KX3>gJYMf^2qSqrF?guWbRU^y-=>AqGE^VP_~-s$VyJt?u;@= zXutTRDj1*$`_P7_XkpXyJUQ8|>sb4Xn8F*^RJTR|p{g{iPVwqPb#-**!oZjs*I+pi z&n8`)UTNssw3mqZYO{)Z>OQ5cPyH<&(TxmQvTmHLdQAz8EZ?Vkf8e{mdV9>fK=QlN8X;+DToVJ>MM+vD&NT8e_}bHS_J^1a0xv zLGER~x}SYof)HM?=uFj;mtA1pA3!$U&LDPb@KC?+Vprctp{5w7aNvafeGO}KIKsa-kt^nIdqf;;r+uw_v4yp*cMH7O;^SF{XD zMh=u^RgXjNW9xMsF?#zB;6M4$Kl#o-8m(gbz1yT{jDtsALs2aL1J#4HLc{fRmiKBn z%v`taRZ!$krU`PkM1R7ug2z(kNNV}Ro8jOs#FM`>`p>aLoUEA-+Ze}}H+ zFqsUJ=~Gsh6`@|j-Q+Eo8quxSi2Ox(%o>p$J`%8Y5|v8__tL@r@Wtl^bW_kC%z(V* z$hf}!NB`;9{-emt==*ZnETc#>WR^>Z7T};aGv6{rDBV1GHp@}e>=}fa&GH^2(9 zhGlG%`q?bQAz&sNfya3-tfyfa>x4OYF|C>E3=7?O1XZo_gz=xUBxqEvd)yTqARaY^ z42S#>402uzL25pEoiJZxSe!_Lf3tNns#dN&iWmYg;osK9sam-VM-LR=3K;$N8)diY zxB?JHek2U==r|hr1|5OH5sdI@#maZ~o8~zhYWvqY3hO41#A#5;oPM`|v0*{iIT%yN zVdry%45L!Kmq$+cSuiSbioQujeO}9B1!SIo_xh=CBOl)ogDo5~!Wok=GIKa&geB&b z>!!)|Mxj(t%34*Oj!}6`|Ks+#tiop~38*!s*^ej*Hi81!^A*d8&<%s5`qWe_z9Pz`>7eqgdpv?4ih8DIP@Ejzd}=TpTJ2Ay>@&=-?E5yui=QUZ4&`Gyn0j zyl4GGi9_DU6rrK1!_SO=deT91__5O?es#hKDcrzyVTr45V0y-{Y&IhR3)`KbI4jBY zkfRL|#(?6~9_ z=vr|G)`t!Yy5bK_5`B6cx=3zw%zso zS|y}_Lt7K54&{nR%X&v$DS>49Mlx5JYj!!nKt6W0ZoJeuYkJ*!c{t5j){PAvjc zp8V_eisFlyV9ABS-8bB7tSGj`S1e6T$V+MFDg;GomKDjGNI(&Si57Y!+R+3Nl&~WU zf_<$?qWWAC{Z0~Q9d_V}ZoW;0Q9`3S@wz}B?VvU*$x(+%6F!DGN_6v@?Xl{8>FT~v zxo>hgx@KRgN>}Z#-A_sWOp%F;q4Y3db16{We1R&AZ)IKw(DL?5g)X1gsI(NJ?yd+m z?H19{Rt*hzbc?j|bcXiC1-u1|P_O;2_kG>}7ey$&83W*8a@WPc;ce!;AeSY{NLzD{ zw3C@=HZeDA=DTBE&Ri2sBduh^P06)78+xty-)N!EA? ziwHt@HPo3wQYQS0_~b(VH}>>>qEtcHT&}?D^+AS9iDqgcj z$LPG5bV8we$tRT8Je{!4%qTlxIv$P6Rc2ZG>(zl$#+@zo(rNUZ6`@{@XlKl6f5$9a6wEMqcY~H$v7py zelMLoz|ufTGl{stFtJ9EcA%`dXJHNc2)!MK(~DE{*>FK}LI`0i%2=kfLy&gB1^4^i zS_F~cwA^+GiXCvt!*0VoG#D(xo57JB(9?!Pm3(8M;$zh(HUd zCmCOc%G0lrl|wBdBF)!+>k7$rMCDM_EO>`HkhluTRc4R?69>9e8jU!4h2$D;lBQ|% z>pTxON$1-$+$3GypBreBZq>IaKh>!l)9v=RulOITG95RUE2wC2s$1K*n|41~%))5V zk^!AZMmDM^9F8J69IBd|R?m~8O8XqDuXU8^8dfDtt(2$Z`8cKHh2$~Lj}rVW3T~9b zs~MAI^r=dCS}RZIuS<9mdd20y&xc#=nw&s4xZU%0wY@I4rK_hc->0j8_6vUPPkc@2 zYE}9jM;HDZB2ajn@G*xST_6f5h>`9xnDDZryTyn@u81IARgs&=XP>DZT_ifx(FF>& z@n3o8>@b`Wk-s{+n`S6bJvXUnK{heBD`rRcP$n^*P8N5^HD*UQCNZ71Hsm1$D@PYY zkO(%RlkH5hNisXSNb>*~D=sm<7`}J@B}G@4FMisQ@dGaL0T9_%GKV4Hrm$H|7)nt) z&##9|tSb5<$m4a-8W_wKK}Auf%gm&=?98OwcZ)yz@!$2^pM3+*ssX(1@(3EL+T!y$ zBM>?nE%{)(kj`LE!3B{~AkYu-nL`d0U|TYOIL?Gw1gx_XCAd~RYx4Z;mJOuFXo(d3I;eDiLx zW;A5M76Z9@q82+}-^`P&lF3L-kjy$c53M;ceefO#&dp`zBFj;ym ziHzf0zWCgSUc<`Iy)0u=vGrgXbd%w1@}mptt%f~xe`ZEM#+I!g;h9gpMLa<6iU%&6&ABbD~@wo&2U;34$k4D3TP9#?<5v!GS66UZX#|lwkz&yG(~KCE@bq_44CmhhGRZ>-z*iBdzw&u5=WQSKGk zEf}%`HND;$L05#NDayS9K7dSK5JV$pTXM=#?$rTwiPC(5ZdB_WiX|l@X`9iifIBYq z>iL<-Q7+ab4F{uKv>3G|H0doZYi>7;a#>7*M_a|iGoK1H0pzCwo44^)VA=dsa5HBK zo2PG7H~cZg_by*Ddu>a%g4`8MI)1a?6?&eZ@vVR5o4+h-2-*edo~QLhJ_Y6q z_C%tkXtzOoA~i?!ZqoB~LF2?`Gb#{=jUW|}3!c#mE;WP$9Fw*&o2uCb0WaZ90HmP+ z&Qp&dPED=if}Hv+E)LpUh5jmEqbP4}mV#>m-cw1%pqjw+P8Oth)S%|L$Y(?9*;|K%fJob;(+i(3$Sbbo=Ny6uA!eQJ|PzP0Wz zjHuG2esrEyAapW83V4SM)g8$ULO-KCAoYv`YOIBSY#Radtk4{1`p*vHVMzy9Ms{ZBsiNhK%BHj~x6T$Ab+0_dG|l(JQZjTVf_ zZI{8gi=bliAh< zJYy*-j~@1*hiN5Qa&<|VUizDCy}@O)lGLO{@&Icik|3PvtVuiPl7|hbjZ_jN*V{Go z?E#{c-a9e38|${RMOd88_Xq3-B;Mn7I`An;<{JdY7oHtIC)%w#->AAnha<^$uqJT! zoo|$H5xR|Oxsk~PMoKZDe)dr-LM^X4J1mH92ZUX>&6qe0QvR`O{NYlKu~4D8eaCv# zZCDrmCa1`jCvoEHj%cB+}c#DVbAi2T7PV-F>U!i|NCG1o`3g$ zdoBELEi8CG!^#YJsy3V&Ak9BUm2JDsY7{|dq#JAgIc(9YqAP;INfcPDNUh{67QQw3 zlmLnISqMQYr6Rb&JSv9uoD8c;g%G4pazWGF5QGCxO_Ntl)gq8Cuy!Xa!e?&;Q?&@u z4~Mu=ca1gw0163a2rjwabn%NB6N!dN)vp6jqLWwH)?8CkEM;1!`+sZ=IP*~>K{!QU|V!>995N@ZXp5ERltbY zs|l|_RS!?7Z8bK1+Nx3_8Y)#PT8$+dJ`^YwINsbNoTYa`dEI+jiQb~pBHKyhH3onn z`KnSfQYnJMuG>vv0IEs}1dt0%=jV2uUoswPQ)1_$fB{*DIhn73?a96^1w3u}J_Y;> zfAKxPdvu1k=?!}0V_o=6kkH8<>%vDO8CrvaD}%Xv)UKd(+hS%~fz+d2_&XHMWkuv{ z)hCS=C)FZk;6s_HtF}5*IT_-?F zvSQDCaeeM80fMOziuFL|*&1Gb%~2Joil>V}RM)NRloqd(AN;>dZfGd82`bPR^S{la zvg!N$Z=X#38>NO27t0K9=;K)mS8Q}rc#@|Kg(vDbr8H!BNol0L)A^C@LB1_zIBoep zW%xaR@WpR=>Fp(lp;>G9M!hV9esT2Mu(}53uDUFvt>`WY$BEHA1-nGb@-uo#$lX>5 zc9==UTAAQ^L$^&<+=Et~9+aI7j<95dO%T*3bl=F&sHE>%P?Vvcy3nU$L~C2O5@qOu zXS5l~ipQf2lFcjD)wKa?=Bm0j$jw|;*9OU%tLhr(8Cu288{y*@s;X-sP$ug!V>RY` z>ff^BM+RDp;Rk+9xa{q3BsmPf<8Z5$@%aQ`U1!aFgFxms!1$c+P>Dc#8K3hl8fJXX z_h^9e`PpaGzw_G;eqH%Jk9!U~u74#BPEFDb7V4Ti{2Z0!Y=cE5-x{l8E98TkIOju| z%9)}^Spl=0ge3oAVB(WXTLCkq3ze<=?{Pte=C(s}ONv|lM#m0k^>sym+Zed6N8joC zlss~Futm2d2UmR7@W|QbIg8Jvq1I)JWll;%sd&@*g}F9eFa#=(T(-j^0eb}xK8^=e zi@AV^C?HTD&+Rx~x?t00W#9mIN{9%cn-DH|3ZG!7dK0k+{lUV-IyL`d3SzFsTM+ek zNCu~RY~&WTUcB?H_{lyj-x<;b8|mtz$IoE*SGjd&c_FuDc+r zOQeqP@57^6cfrA_ezfW?_#{L9ig`y~C^VIz_eQK3vAP)PJjnE|Rf@csW-Py#&!v_{0+6D%y8%bl6N<8`J_-*h+4P>7ZY z7$ZeUq7p3vLLntqK#)XGRItD_4BUvzI%WB+xy&e zPT%g?Q`K|6eZRHey}$jlexLQMQ%znOt5u;AZs``i){W<{{pJ7p$3Byw7lI-v&c}NaKl=Eb8^}#c7ESy z{QUjTjL=N8>uy~v*ACVZzyPHBM(BlvX7-g?mm;X+ELck12r*KwzDZ`r%XEq>fEhxG zQ1N=Pq9nylaJvMonCaQw0_~{Hp+%jTAZS?)sUY;Nb@5G7u72pniiJPI#x`hssRc#p z_@TkdibGRyZE(goM@hJvAR0MHt%cMPTD;p8>k1)2hXO>~fxk*)Twn66t)z8R%M(Df zV%A!7soOP-S^urWr7HjYs1JhHnAmc#>#(!2HdjkwTsI|($O2X{MKuCz&Sph&e3eFmtsxNcasr+uXakgivDL^|-Ofc5EEeiFWn^V=7QJ^Qq8;*{Yac9kZ zd%!-dHS0ZRwYR@0*Z&LAI!WH@i!{nP5Rtt0PP$7)oX%QajmLh@Z zla_&)X{|_B<=X-kf`?gaDZ@>=&W|bX0L(PMx>Dr<6+-t`yJV%x6I2MXSG%T^D_oTq zs1VwA+uu{E@_-6qn0$MQPC$irU_5S*p6^dUg^+ITteJ06K!x@jkag7$k(P_>7bU3B zu49$;buGW$hr^Rsv|Tfs+kgt~H`V}QjtT;MEf(3Dpc6Hy5Rg@^8Pj;gBm*i0a)(;- ztQk{F5ZKl*I!ldxy;jLDlG&M|LhCzk(w5U4W{Pe}Vd4xGs={=+JYnLVV5rc|TfE=( zhBy4i4@4eNtFj)v6b!K!A!zjV zAxMqtf?MTVBmhyPoP201R2Lj1U#7)#L6p(SQNu(FN?6U0p~Z8-<9fqBQ~LTxK}qIA zXNU%x9u)L}zEoq9ur=_j#p3yrhdpR&cx;dxBXw9`NU?Y>IY{U%!Ap@GWOSxK;*!IR z&iVEXFgj1x<*M6Fk6(`0=V1dTq%JjX=;ux5g89FQD&kZAo}c5JzE6}$jj}z_$lRy( zB=l+73vebNn6W0~^JAdH8^Nm!sj(Jp+%0Njwi&1?tk#cR4g94~`R>2`GvNhjF|e|XOr)JDg35@?&hEW6?S&xCj0<9Ko7RY48iovcMS1})7@ggK z0%&(%tVl1w1-CkA2lJAaoR3qlNH4$z5%rS$4n3%_$cl%F73l>OL9paWzaRqXjv&1N z7esbd33iW2X0|Je7vPfU>?WNaj*a$N^#bD9;sv-QIx~@B5Xp^|B9kQJUYFcrCYu0H zaYZ|WgCy>CNu*-gZiIGmfL=8d?Q5R3?KF65VxtzR+dXz&zc^QmRH-L#h>aU_t4jg` zDcm=UnSJg}Y&DtEye;V2RF{-INW@>#y6ul336wG~ExGA#u{Haau!}Ri6lq$!c=k2MDVe=AJvqCQ*~37%o*qKhB_GvewF`IFQ-2*p$kv#nbEyaNwp8bG})J_ zh=1c*rJP0Ay=hTQwD!X&q=wst+%QwzgAWFBub^6xHdudF?`juqX{M<+N5sx_BwQh! zF{E>PW4s}q(;FuqrtY)^`e#r2WT)To3xE6avm(8rZ=a_(+?)+No?y z3;6?JzsArU=BKiy4j6!?L{oBY&~jXgoHiN3VRS(~J!DHG69N2JE0}HR7N}?e44~iD z_@?6=vn}1OVME21VpdOW_!J^%yAhyBJOzyI5rh%VkEv|w0Wb*#3>h6$eOwQ8?$10njZx29X;5fro$Ia<@Fp~fE z-wx_<(8P+v!4VJ5(X+F&2P>s`MTZ3$LbBvpKSG1z0F>$WbP$5>hw6lS3OUZVf3dIF zNaY@Z?gaiR6>;2j_Bb9CVc+SL)8OCpZ=X#38zqv9(T(hpK>;mA^fzgwpMYa6&4h;j zu>+DdlSl|bthCC!6u=AKZe~Clu;ToQl&g3-6y01QOQyOXBQgby(?(_r+LSwy(O>XsPrdlvkuKKUy{mo8BH5x{M(34%t&5vO02 z?+{!8fB`58E_ua|yX08|L1n4x`E(^)zn>a*>RuBI+F8rTsZHe~3|r9m$?QXG7g7k? z&=65wL;nr*uS$>=`V|HS`abU?~rWAsrcP733Y7oGlBE91r6a@Q_H$i_0l`@%w&>r}y z24k*s-yR3yxLed3-5J_;JOjPZ-1P~1!HZ8s^ zJ1!ssCdLc42{4cjOtY?48uu^ZC~S5basAei*EsGp!=)oz<$l>gJXU$7UQNVS%oM0 z?jX!U7bdkZ`ZjBw35-gCAjY;T+JHVHfX0+Gn_L@7u7MzCPI65P zf<)&htpPxCK^(uqxIMTh*aaqZ5 z`mrc%X1!V^lXXm(fU{XIpLmDPbgf~8GRhhzOu*T!7pEeP%6dtzkDV50vR+_*krKuc zBR5(zRhs}zR#6ksb0Mp&*A}f9ZY*phcl>TCcDNEYI#U`Tu-P^@r^)U!_H$}#PE9?04n%DzSiQE# zV|?Eye*VAss0c81-mY`7+Vow}oUH-igF)V{y{*u`LK%wQg$o{0P8UiA3~4mTUkK8> za6w=Ukv~GDwFL#MIt?Mn0Mi8lrOe7lwH22FC{mk0_wP8JmJ!X<6^EhN$Sr~rvr zQoM^I38RhN9VL;RYYr~nMUey+Qal072eyU>39g7g71EqtzFwU~{nsz2gN)!C>DzrbwVl=M~G*+4i$mF`pK zqg1Y9hATp&4jcmE4s#`=hv4FTGbcRjYgPJCW?H2m+|0O=JVcz1UY>v>$i%SeX4)Ru zGYPT|;&E*LZQSyG`L~aI?h8KVBV%r(di0(A+k!+}j92tFF#bHzl#UFOX3J11mzO#O z>)!DVh~i9F80!Fi~6)ZSI{! zUCzH@rV=Xn%xfW9t4rhvYWR42poA%vm|ZK98);vU00fdb{{{|g=E|6orH28`aO#^MtbBqt{yNn*$&GFXAX^bqkB6__eIy>2PHQ4ZuJiVn~f%F^&t_ zX1zmYQ2;=w5P8tyl|?%PK)}#Mc6O9WR|o`wB1k6;f$D^1J4^`r%>c+t`yVebhc@re z7eAf9bg#w!xM0=Kk;_vo63iF<*4wHG&4J?_IDT*hjz9b(fAMR-I4})L|L%dKk-@`0 zl6P7HN0j}mVQv_}Rpt@`xRnur$#$5V7Ql`OXi@-(`cwtq zKma&t&Z0QX>2$<67ITM-g>Z7ZxwA+2rUI~W%l8Fff9c`Reaq)WehSVpDkvU+=@#zp z2Ji!!UJv3aEt}X^u4ZI6WNc8ihxS;4`x139adSgZ)Qky&tzX$j&kZ&(Z~s7Ldq@H>jPntmu}s7*6;wa8CffiSLJZWNqdZT3A#Y-^0TOpMe*rB_ zouiAl<`;c+u(m0qc#R)4O>e3`vuqX>kSf2^x>LW;oi(DxbRC0`*@jLEXp~%%?$e^W zd_M@mwW$B?TRHx%(WaKzf(JYkgR!zoChF@X2D=O8kKpPG`cxn38`GzwmhaQ2fAUj* z^lN`UXb3c_cYW$HzG)UDw6@DENFr!m}Rl(G_-%nfbE>x$k`I~7g{3;l1nW# zA}31@hrOjhP=#-kB~?Oem=Q52v<4Uvk^0JjGO{+S2fWyzL{O({>V(#gqsJvp)!xRd z=~LBXODmSI!b#OH*7ikC(x}!%Craor`Q+-(|2ALCrtWJBm4fyU-qh#{HH0sBJ`i`bg1xdY{TI#B-XN56FZs~=uEP&6KEvo(8^r>)G-Sqn_-THxkk zln)(6B?nkx5NY>lxI^iNQ&vNb4Q!Kg6_voVNV96D!f_89giU~Zi1O0n)C zn6ouI2uMb0hu&CP^Za9Swg!m<;^T1W&C+n>zT#OcN$U~T=h3~oS>>Kjj8VIF?@`b6 zv#><+$<3kvZN9FK)iI3s9>M(Cu`avD@zK32n@5W?;IL?%;eYX5NT8|D#RV#XD6z4A zw>@^A3k@_~5?Di%MBLom9nJWdBq~$dGK|7hlT0(m$nMs~cD1Iv19yGDkO58kj*DYd zBrz;kYJw;Zq9eF|HZ*_a=Lt$GeKTRdG+9-JYTJUeF*xP%5UEvV zBM4M^JlT%K845!HPQ?Bwa!vw55Z_ppt)})318Gu~&CoYo`&UrDh~^RdVg-G{dX65 zRnz_`)K$NK-Q;0qJMAxJ#G{itZ4l8x0>8{#o~XFi)u=rTFXpEenzV@ zJDse0?Q`^^Rf85=Qd9oiGBpJUFWL;N&B|_{ve_I1Y|%lvha&6BI~$VU`{IjXN=7VC zlQ435ist31N#FlHfAdd&YiLsC{PH?L;mLdDC=>^o5vfW29MP(++!e?N95t4+uMnJ4 zle*vmahW77fCIG#fe@r7bwSQbmlOm4s>%&PYEl;*CLDx66_SI5gA7#4nx_c|E!-`V zQgDXOrQ@mAD^63wU6(YZE~6D4Eq{_ik!2;( ztE0j8_3J41RaqfOUG0Kf%wT~ZEqP-&t4z>^ zAa%71S}_#K+r5sr&w|v|E@&-mOfc`!v0ASvUaw0YF-TVkJAelq?$O$zB~25KE6m7i z*JS#{*F+AQ6U^cDqB*VoJ)*0ND16>g)XCS4NwP%!6017D%<>y#(0 z&hpZd$T{ukNk`qYx5BGCXAq73%eb0s*6ls|y`%q6$>>4*8^C&W?>rrM8sA|Hhm>H| z(^*X8UTIXGJ=8a)d&e!`r+dHQEr01-pLu;LobC5Z;0BBCm8~V-K!do!-M5LKDoBT# z5s7U9fCn}pd1tq%I;qCcH6SRu*H$#j2&h!>A(=`of}(r<&{$H+Tqwz5(1++X6CCY_ zl~=2L(03?;=<7w+8MU*xI+ zsn$}sEcuhj5Tot{>a|EILp4+tg$@##j(Z9=8)7zCmD^=z+tom7Bnzs9y1com0?@q4 ze39eF$fYT-weT5D_o(c#pZZpx%+X4!Su(Vz6TXq|t;6#EM5#!73OqwAD&Mbv-;(&Y z;Y0oq4rf{k+3@(kMr`OX>yi}i9jJWmL!rjqqE<7`u#D@0WqkYd@BivofEYAjiCZ0w zks*-@pY>Fr5_hBqs>UW2K#51`L(VHwv$!C-logW*S_83HA$Zna(JF`?5ED>i zEfN?}MBIXueZqj`uX?^tM|g$v0y8maWvw;LPv)97Dl8VGz1Y%1MloQt#c0yLtv1lH z`P-|3;)EFVx8D65Z#)&ty?*GC8Exp6Bs0T$vJ`T zVFRMI6A^sQ2@DdvXe)l7-nN({BLHWKL=#ph%%x+EGQj=%MIRT94Ai{q+h7hek5r9dz1Xnc+oMWz@`y!qYFB z5MO=kJ{))1?}~a<54Q_`H9ZvatM(zY!i?6?m!rdc;EXd`N8v^(6zDj|%^U)j-i|qT z5Z?XlP1pLT15Xl~|8&5ch9}{CtFHbhqAg}z98ShKhx2lnZXq&Uf*exY49V$BZ^*u~Fy941_M!M#APi(WXBMP7b-_r8 zV$e9l{47Xy>VgKOMVsMzDfrkdNOf8S0YH%M5cK+?)v;btay8mIgj}sDXmqn6<5&wy z^j8Fh=Mq>iuxz%1eCYkq;KSscFibr|lVy8owa2z3AP7oEv)_?zvp%*)*?w^JZi~_< zy0X1M3M??m!wS_cIXZB^tOMpNr07pS`f8)oclMYH-jU3==dfBZ#VVvZ);eTAmdV)a z{;W8;l#&OeZrY>g8w9>H4rs$wLglRORTWTQxt`9*j6APVwWg!zTZB2}I^-3(3#nLE zzdhe0kfhO+0O$wgYkl-l?zbxIMQTD{rq4~nNOk_>=8E-@-nbs#`K1px>mXRqmGAVt zjQ5$g#{Qwxq!hMJK2bCcH}iPk|Du~ky00UFq!`nz^czfN2Sf@GYDGV$kc9AgWjnlF zl5Xbw7Rh2N5F`Zff~Nhso%WXjVd;bcqOGi4iyD>f*qvA2{2iAt-qH z;VbA+-Y!S1XsX$^poDE2B1TbmXe~s^8D?z@E-Nh@E*3(%l~)uUSHNum8YswX*FpD- ziZj6@rd6sSTP~Y(ln2rUF?a-8ldTupnwbwR3eL}QyJFuyQDacz)r#ZQ7WyBO;=-1y zuFxpx-#8DgS6y+Bvlh$8#;e8BP*?WtWyN3;h_KZ@M5FpMwuFZ|CI7f_-;|OV9loscVL5lrQ

    07twM(z0-24`|bD5xaB(To7O>;;SIITA3edUm04$1rr8%X&y)j z(gkqAzyMyFe zx%H5QAVYK)w8V^{bvrm^anW3Izgv=b91Oe*%xDpZCYBTztw^qxOt@gpJ($;9Nk&~R ziOFE-vZW;2O*G4%*35SYIUKgeBK!m9MSb!l$q2*OM6)e#IM(dk@ClAr)9*nCN8P9< z>ySMk73hVese9gs7Y^S#KB@Gr)B{`JtLQJ15rLdMqIDF+kmF6!wx*9#v=3{MdrXnC zx?Qqy_)JxLK&W2R3B#$X(-Yi|^a8RSXabHO%zVm}^hkqn(aYoM1;eVP_K);l>pYWP z$~H)*#gh*s>U%WQ{sx2%s$eDA{#+^FZ7^^kjQ1?zk&!a1sbG&7p5--1w9gIzPuZ@k!61Z;q3m!rtqQ`y5Ee{#-Y)l$s8PVUz+n z#v4I(&IQD(zyFNxmhwoba;>kr?ll@HQ#98`12r!9O3hrU+Zk_od!*gtZc%HpX1w9` z;0^!fm;FZ{@!7%tsQ!bSeRtQ5Bp0@Kx3uOP`^w7PE=ZPEr0*e!AgPUgasaSj!Y36$ zP-nN{M-`u~*DRxAMfAP5F-4Bg&^@jd&poVu8^|R;4x`P{#4fQ1uu$!aReZMIDkBjF zUv`4c9G{`QOln{_6311yN0KS@bbaWXFz#V>mhSI5u+J-wYwZZ@%UcYv|r>H@z`4Q%H;v%SzDpjk- zr`jQh85&2W14`JD4=Da&+MnBD+a7zS6V4Y8NtvL*5w=z1!5OTO_=RygdU@Q`-o+-$ zrqk=B_Nbl-E@oqT{~eeUc;^)X?~l7hvoRfii@=kkchYmjJzW^=`(U#3&wuZ~`r1#5 z!OU8^^wuydWP)vFr>q0O{m4w+TpUdm&!<3+&cqwv)NaL7VrZ*{qkR?0J_;f#uWR8o z8O8 z00Pc4-=6Jag$4*7y@xAEF=MtSr;I830kM4j+ef@fZDsa-j~>HBovWtbTh9;-U7trg)iT#-S+UbER{cyei& z$K9gYWw;ra;WPf$-~WH!A24%m|MB?STjW4H!LA)(bm6pX3C_N<_}c{sCmYZv6~Up& z28_Rp;Lv0Px(qG|0H9Vg0XMfscKZtFNSC1q9+7mWfj^>0UOV1HkS>D@?$>KA5*|?* zs0GhjL)r(i8|8x_%`_Tr;LBzUUcA~&4NJf|l zYr@!fV;R*5?Q#?bS_2ZXB2qo#op0hwDKvXnSq4ucUaLXDHDhZKu`bpf#`+v21F>wQ z3=kx@hdvP`WAWx3s~~x?FrF!NjJARqw9UADZK3ZP-B3B49%V$;Koa6?pU`CQ|CSnk z0C0EEO@Yk&4#m3OdxUtBR?HXh@Dh7NsMzc4Fq?S|ehxF*_lXjz*y|?M|H6Z*@a@M9 zf`57FR_o5(&zw)d{DT!6AMn)m%|A#F@&({$PMLPJ=HGabb3WnXxL%=X&ovKwmqF0#ungpmcX6yKsI?@Y~bFe6eK*qZB(Z@>G4%boBHzU+ng1z|*V? zCa$+@rpNgLv)geQnITyno0oX}Ln=6Yd9r|=w}-T#@$KLJAq_~r@8Az9zR3?M7Vw9Z zAD@JWbYNt{+->%F-Dd3s1f6J*%06p(W25W?ggc(vsMB!dK~-lG2z=_cYa#JC-`tbE z#>j$-;R2HbDma{MhtIlM$ee*vC&EO7P1S7&fogx*PW#J!z4diMSj~d@@P{$QByTwq1^~HugucS}g-g)EGn`Eu;+{IQA#smd!x-d7Z zw!pia>Od6~uh8Qc=yqW2Sg9&1z6g4r|D0S|un!B)rDueG!h+Ww`{iPnix^j%e)pKW z(l1vQ?7J)6Wk%?yyTYQ?KYjM!|D^vq5c&$o@eGuKa}w|q^ORe>qP%?uEv)7#)8EYK zOL@ahFnD7yFpNd3EGSNlgB4LdQQ)UQL25zqVN4J-2Mh7=F`S{pHXtsHANoKq0}d46 zEHaxQA6gWyA9{_E1mf$^#c9q_92gS>{=;YiP_%1*jQZmyX$XBzUf#;^s(kDU__+go zRnheD$yf}kBt-%GX|6zZ%ijZjSRhs!$$WRf+o1G6JdF-OHQ%0tb} zF!_j7u0-@b4ujZ1wp5A$ihOEh!k%GvT*#7k`@95qiB zs51MiDGz`kdI{(o>Ig`wYa${9`S$yY7$C5btO|1V9l=~4*sa%VQO>AL2+LnSbS@77 zYNrG*DF0gyBo3X+0|*+~XN7Y_oY~eosGR*AZH57QotA45-ZEs36{(zEkbPL99zlp} zxcCqhbHCt?1SR-JC97YQZxAM6fFwsU6-xM4 zNmh4gzvXX7{sCACK1G#eb&JqoFXxFTRMJ$HWWGm{slYR;mNu>@&~$M<@u1nLZH(g3 zE(e!9YnZ64Rfl^z%ku=D)UqU&=LyoZAT<=8Ca9AHB}H&5L7)en3X{;i`U%nWbo$yG z!d&%zGJE9?b{A`jC=F1<2npHcj(02wT%gaZkP<2wEm&y>6i%ub_)J?o7-Fh9g=D~~ zVqgf=2jq5&LBTkaTiQTyGl_AABr+Lm2|^o;K}Q2$2Oa|w0Nzi12gRfh_Go~Q`y|*aC==2<8D#w0?b_w*VE4}819KY0w;*LWSm@yS>8zwu4qCrWiY5um|K z)87oSc;z7$_G(NuccY^PaxYk%#_zT!7O6Zmh{`E9gjWif)eR%k2cn`y?O zjn=e38G@kCY~z6(4&V(3!z4o{kUq5Gz=>$hM~B9tb1;AdvdD*w(heD;<{Yb709+VQ zGmO?iInfB_P{63R7%(l7)~bJXjsy^)lCG5SFoaHxU=^)#z6ru!E{O}!O0sZaKMqbV z*;yfpQKRVnY9f~8pkXXaiES2^8jLGcMQiv$0KCi5z11yA=G%iyZODU-0^X`9DBmAM zpXdmny}%e+P0#t7qjjr>A0Z>FX_c(K1(P0#4>X+fBj_#=o}iihBpJ0#uGJ1;~SAmMQ4i@W$T zZ(?6&1SYd^+LL}p?RIi-a}YBJF%O?Z!yRgSrMjmdVJ(X1Rz<|!+8}qZ0qOcN?<=F` zOJT8|H9s(heoO=4lhnV)kc&59`^dAqC{|hS@pYr$RwBqaL6D1Out;agf zLCkdrF#%)I&TI`KU@8Sg?xu1?1VS}dIB)y4SB6T=tR>!_Tl8~Je=ac}W4d@DHR2Z0 zK#aUa6n!Ob5szy?7yX#c*=xWQe%{x=@UPz=`8*vW;`uxqDe{yJ7Ng<9R1L95_LT+N zE_m1ojX5ynATZR3JOpV9i=Z-s5NwWeK(iuEp$mcsM0qL!Ffn53SdpgC1%V1i4-}LB zi)Cj;nnD-EaCJ~W(ztH-g51bsjcE#95TPTI1!4+8!`Pf71MMQXLzlP0b2mn4XQ1ke zK~|$*k0Wx0-eZ&7QK7;vma0$+0goyaA~sN(MA?lTDTCCHZHU*7GXj!gidAf}#ti$a zvY8sfIAPh25EEsyin;9w;4lLFf7If8o+=c3=-OMd(K@2 z5M;xQV4b$hsjC2j0Ib$#40CERU>mEAg%h6Rs{2F(wsBYrVkD={a%yp3P#YjkP=>@< zF{c){1q=atg;r2Bo?o8;LtthGe@#BNXgrsUgdtdeX=EzNoLbxl7y??qk{qoYMZvjZ zR0R{+y`m2Fi&M-Fjgrjz^Hgl1N9<#A&_ne@6vnI^CqwCO=>=KbQhLGsG9;u6)?GQC zlEP3bjZiqyNY%hIXbj}3@(vP0Ry$;1!DEv+HAo7QI8D)TKm$}7o*H);iNROAQHg0& zVs+P$$myl`9Dxe1?ly9IxRwBQOxK_GTHKWW9JhR*{(RF<|G|&>b5WX6M4szU!{ z2y;VrALDhFZ=6LXXwrjMEP}3&Ke0L6T_i=QriNKpitCGyO2R}sH^A4!Wwf9l=iC-ii zlZJ6_9RR$t$@z81T5_JeN}Va-|jqnH}A zG}DxgVz!ClvY?@=wVwSh3%KmtR zW8Hj3QZFmg7=DLDkXo z?FpCVumB9dac=Vc$&+0>-s}mNWw-23i_Lc^T$U{cH&jQTU6!|%kpkyj7LRKEFSwg# zm*pB=7CI%w)lCMWjI($h5X#v6-?-&lgUbBh=ltQn{yY9jG6v#(dBwx3=M}YVhmBQ? zfz~Av-^zGs0OFvSTD-An8xsr!Gg@lv!g@_D8wlnNE;r23pXaw5Tv;)D;@x1V~!DnzRZ+_Abo2W3kw{kluU#447=ko+F1SB3QA#Ah9^FD>7ekP-PZ7i%cj^5F zbijI)Ls0aZOIpZBi7YUWR=|a6`U9)^CpAzSfy- z)i26-2n&J6j7JCGM&}phTZG;$)?uYVOa{iqnrA(d@HfWyD2vmgS=BbZ8(GsN)R)%P zWNZJ(7hT#~u52R@is?u9^OxlRnp27MxvW7F2978`O9V;q>Z|FMfCQYu95mx5S%PC{ z2~an0LDuq)7Qu2NnA#xBeW$k>dE(pbZDy*Kr4cDDukXBxdE&}@fk53G&Fyslgz=l*b{VzZD?*&LghoN}J%toW&?H!mQOugiS z&>)d}>f~$Hhh+*bAg$(?$Dy-6G}ntIRA;*Q`Z1An9)sB|tNyayQpD2;~S==4;zjWxS1MCW3AWw;B3AX{yg4Bkx zr4#kwl7(Rp_k*u|WfMiQx+I=Yj+%li0Men(tz}^7lAv!u?~^zU7;WFKnV#tjAPWiP z!Gi_TzV?|Gu&%F(+7RDRJXnA_b{@g>RA1~t?1i8UYpxNYwntBo^#x!?e5|o1R&OPx z{_jTzRFc0PYc4kiD7UY0l#=kRgxrLD+KB_R`(#M;_ z9qP`omJBxzIotq@WJ zO{H&+(^0nObp1fLTt!b{SFY)p!N*j=Xl|O084Sspdut6k=IZw=?{OejWjlT_c#uIq z9X}Xs&0tMVKUj&9)Bc?cmam^aUhX{I)vHxD@$JT)C(=1@FE$P!6*}jn>pv=+)_8jafa*F(&$QY2eIzFzAiWjb>}^F!9k=u^@s~T4$!@L1L{Kbb^jC*e(?Vq zW$yp%f19smQ;*=9zN_bpmVbO5@6S#9_s-qpMb@`)5|?-ZBRwVlWzu(TI0%G$^?r+c zQ_JTUTBFC%59}GLV2TQP4gDb7pr$=x0*v89U^+!H-%g?yw`=YlW{0G19O>a4;Ie=eg10k%$nUPs>h2I7&>2TmzG%@7>tX=Xn&X@iLkhOS=x@1UBYkm;Q3$(_g1 zxOD2B>8csL8<`@L?|tQ$X<0kH_f4-2z)8bdCq6*&p7OoUejL?Sl{Q!OSvnpcm$ZM| zxifM6I>A&`)(pLpl^-j3=d7oCG)p?2I&FT{qQ5=7PoARr-M{@)zU>D>(P%j5E{X+r zIs3D=LS}@4DXWIE9?D;uPa>079aZx=@fB0rd}VWtVnr$%7fflQt#L<(U~)4Nq3#&^ zGi}aPOnqo78ej2%ARVcF3ToF$&Jm=58r;%54X7E?Dz+#No#JU0jQOjXCPIp!IkZ?R zKXw_yeOv(UT1lSOU3}5ywJ*w~hutf_tD|ELUwiZ6s+X)RSoVK}>~|=c_(XyHUwjjv z^7s54-}HT=L{eau4@Xv~H2;alN}h`-sO%~65zKFNtAb!*Z&L-erAl~4KnkT3mK;ku zVc8CygTx9{Zu-Fp%`25HnU}mjl~n96DY3LEmnVEB$t+CwmFyY5DHS$u`95Fix&Q8m zKJvxjM$&~^6}HECTxrHbg0PeC%PI^#edM5*=++Pkc53D;*%n&SfC&&mG`uOyAOy1t z104hpjTO-`*e3h33fmqwWM#muN1d|Hp=o=xAKC_qFe!+6CzTp`^D|k6?Ms;sO0N~H zRM>K@*bY$iOH+7Oij@jGf+qtfiDUN^6RS)&h%0r5FAAB4_Vf#MR@tdiN(n*H97UN_ zAGpE)u1&}3!42|IR(`azdLVOvp~&>8_|8wm|At84CrS+^w(qv#-dz2AMMxp+iJhwT z=1#TS>r@+ei(1PuqcyJwt-1Jnzw8S}WGZAFC^HoY8*m!w0$Se)?tP{Vkb!DcL$Jovh&G(AO+rj6Ct&DVmj`S^uMp;a%VwiGjz1y*3m8l4x;H`KFdBrMI zQD}mXYm0__#VZ;>wM?(>OvM1;WzJO0nTq>gZgj4hJCDVbZ~bl0J@e=sz;6p}fHE`^ zK&ZgC4sUkz2a$j$G8M~CslIaa*GpFaWy)y>ev;k%!-f!4#si>AZOiXfrUKmICfH^R zYB!%FCM0G#0Q%BK7}?FIsg!Iiva%h)%FV~bbfsSfk47hxQ@Qzo>-d7CE~rS z;_bsu_c`}f0AU+9l=mIbrUDmCSp*@COIe6cBDQHm1qx*0cRG123X)ZB&hH`4GF=BZ-zay7#{bZ`%Z~3Ob`v<@Dtm++tOlQ>#@R4@p zAa5dF>^awvRWCRyS!C+hs9E)HS9|ha;$|-}gSAo@>f^ay0ecWK5zMe)2;xwZxR6;w zu^OA6-|JfSM!M*TaTv{^E7e=lMLn?YO7%v*h!Z%PB`eh%2_s~lJK(ScjN`OhWWAtz zq4=!=7{8}dy@O!!42%OF?j%}#l#&+B89H}q6|z3>R&{9ZZ?S*zluTCG^3~B(@n32Ui=WmQeLAZtFUcPCW~4x&jJW@(;D`%AA$I^daH z3p6QIbL>ymKSk97&4%KC+8?UF0wX}6{=q%EIjL&7JfW%u3ileyaDT@y`_7AH=wEG5 z^^Qm<0058`Z#J|gkeJq%XkQ_5P5oO0mH3Q;90Nc%ECi{4iy#EBqL3&z` zBz3}S{P0n_QWaLWE0C&1ziWJ2`Nv07HRc$-c3m=4^kV}_P>exRR~_t93nBmrVG7vj zu`76wt6v2)k@Vwr(tqB?)q=K8uB<3qee=*5lfE6_2V)QVP5G|lmhbajKk#?{w?Fb* zqB^JzhORqxYYEaOROYFdFxpvif6=t7y3++QtC3XfgfkrsI&WWDb+8DoR|uQoX@d3z z&39C?A;|0Pg4^{$t7I5yW*LhRr0y(&=s!@kv{DYtydrg{3nI)WttPvgmn&6?u_AS+ z3vL14Ql+%ogEX@~N9s-&+;7<(Br5{p(Fls}bjjj3!^YTLHb3@RJ*O%w)%;YkrOp=X z_S1i_0S=)}e22rfn~dLb70xj_3O@N$20VJe>1+}Nx(PQ zb2?Rn&6U2}tMrY#MXeQ>D}C2f>3i=R{_l5wR74?qGg?b}7@}KY6k_)fLqoU7Kr>wF zVNqce;(~)LDwQSOY-yXxym0`XN^Q=vCEa7*3Is{!v9`2{9SlZ1ThiTrX`{tpa)&MH z0O3itq{DnCdGN|G-w6RDZDHc38i`PRfRN&aiT{lgXs zNv#XD>aheGXXjEWN*U@Acsm6j+o^Q|jRPBRA&A;u>%>>;u>>0XBicqzt4EACAjuTf zqw>6-3N+9Ivh|1r?acLxInaoK^q9rm>d~wokxxN42?LFi!-`*hBG9U_tQrOgk=t?BqAnd^K61$+wV`kc6Nuu24PvqQmT&mve-?dsn(ub+(W^+% zC<=%`53GS+?W?xLw;@XgH=AQheqCT3UW(H_8;a0*zqus+dL&re7Go+vG->>tVN74>QejM!C1z zcdmzBPd)5Azv7us`{Woas}Z?dFi!`J?mNd=YT`gh#oBgDD@Tv7SUOkshz8Uhj${BW zm zTwt=`QIu1kVI660cL5sjK;``(1`pE9lA{fYC?neFG^-%@ zFok0Sy(l$1|I}s`DSst|pU_{X6F!50Mu!QkdfFfHLB(+*{V5qT&Nn_e34E{9rd*zg zHqg4WzXG3{y#!|r63H5Kci(LpBp&zjS!xw&F}!^CxHxg9FTHU8^2LWQJ$(N1p7iVJ z4i94A2i3mM-GBGXSo!`7VpyU+D?Wya{}a{iSU zx66UZ5vC&jo`Jg={AWYfR%zkM?CZQqtQzHGdNQ)Bb zV?<1AwX+}aYNy=@y6sQdtopM(I4jR%<<*<=~AAF!2@7$ujx z4pCs$QN23?QYULJFzUU!kE8qmHO5UgfHqUVXj%l_K9D@J^BTu+d8N(s?8CYHAdzB{+$TNGfPI5I2f@!BiWJ5 zw?Quhw!i(+_kQ66p{R9;y9aDG(j71Mf{Z>(P$H~>Kwt^bzH(d!b--Hy#K*KBpa~#| zWG`c!EJ#J|D{eQNgJy$43CenA7G%KYf;JxrNDp8h+Cx)OyWn=U1N4^pWdYIEEAocA zAbKZ|UnLq3gM741X)UfA<1>zqfV#70zCT;xj^@=QOLTkme1l5C8O-`1lFH|KCFQR=zwVw?W!#eq zR^hDwMWwqE_rEIU(VlHxsXs%{WS`;E`%ICvuX;f6RhXD^X2X$S(*mw7-6_G^^1$mr z*~qApwYeI=bJLs{f_{tRGs8S3qf0#uM9fD%hocWL_XsM&MC3VA>12+ z8U-qAk~aU-dOO@3-ML>6L8J5t!JM`W-hE)?+Cyh|y+Ey@HI1nwR!n9c7So~D&_=Z@ zS`#3F9*K;5@NUuD(y|rhv>gg(oMZ<gqRgzd~YF&+{ne zJRXg+x?MG87ey#)P`@frjmG9z7r`R8)UY zPbeJ>>y?D@HY!^5gksn*&0@4sGL9*N9_wK+g;8CFARP=B1d@h?tOzrgI~z?Af>bvy zh=I~%gGCemZiykw^`WV0TyTpq$oMfN7X#NZ4W*Am)4^~-@am#d z7Ka9;-?C>RC=P~89+6HJw}C@z)fGvSxwjtaR1ivX&FvA_zWzDlVECFlknV_kgGsm& z66R|z4u(r^HxfLezjnQ`@@_hMzCGLH8h!;kap4dtsyRvK`vc|!68l1uh1vEzs~fc1 zppQX*5yJLXGT$LI){<}tza4vY*37pE$AniS=c!4E>bK{6glL&0$->cKbeaB(&N@Po zr;H4zcqBb@kknH#KS(!vxzJ9zMODCiuFhH9qI$1um=~fyZ)|&Si)m7fQVMxH5o`-W z0*Gk}4Wp+1QpgDq(v*<%v1bko=qeo_GkoFdAZ$QxZN0ejG=>Nxy%V01Y=?4d!SF)r^n7^j$6Jjiv6nJ_jkYg&qt4$I%S^A^iahbB#T`B6dN(;nW9q!a~Sj9hLXvh1q$9{Ib>eju3c&{|J4!7AuJvO zpOi>WbG|v^ypjT|qPVniK7?~+<9{1fmezVD0=7KA4bNguOu-nUGc3KOny!tvw9{4# z$E{LJ5?iO&x@{|M!JtA6o)5@pB=67dPzy+3RqV7ZCrug5z*HlW0woj2sHsv*GVd)N zU$)cnYqg}8$JG)7wp6f0z=o?U4B60m$izVySxvQD%@<()@E`vJFaFv<%xS|} z+Zbze74gH@;A|DACi*!g#qZsz@c4v50C^+xX+lsk{3f_vVmO1kr)#5S3_&U_+hXey zd92`hp$AQC?;$9GxvdCny)r_ARo6V@5R?bf1b2snl9cbz&#Kxj1SNR)b6g>*C5PUu zjnTygN9m%ZA{siU^v$bI5qUG2{mqJKo-Z>+jY-JVXr5}DvN7J8ICQp+1=3Bv z3JnoLFx$rCaicStF;~9BSCMTaxXUm*1BV9E%@}}u#k00iW;l0BEZ!_}z;Mt>hZo|MuzU_&3wkUY_z;#If}Wx zC}!L(YE9!D#as`M#y|Vq&sv|K(4s|CPG|uGT~oHKYTw5d$ln>9AoZ`|j#n6!N5i&| z`d^{Vl%+^yV%ySgU0dCN8kB;-ShrQ!Tv`QXJCV~!1+LIW(wrmJkRN)xI}$k!K~Uti zD^dd$!4=u8q_DcMNGpC!uB#pp?FbedNyy>aHz>70_bI<-Z_{Ue$?1WS+PfNKOcVCl zv5{Cr3zw{Qq_?RgEN6UjOY48*oA{(l*M(I#wmpf%nKyYFJBE}jk`0y=d>CEf&X^t` z*>gHIK${D)sk$j*DMh_Ab{;+#lQ1XGkqKHShWLin`cl(+c0mqSSJi0P{S4S5$YCp&jd6Z;NS$)w3rXFizn!5b-?HY`` zjbwFy&}E>K`}KkjOm*~pgLVsyeV6kDcX>xL-yw{0*YWWC-2o87X3czyfa+k~6SoMB zXKgE+wxjrO-!Je~u%+vOUtg_x)`toAWD%npfFO&1Z&38&Dm?ZiP4i`}t4SP@5$=tO z^!Lo_d07WlIr~TZ2Pydw4o`fdXkza5)PnyNL_yt1B<4E7CuSHKVP)ao+zgD&Ufp0Z%30j?(KMj{uSik9HjB0F)ina(IW`n;TH7}b<&o) z;2=9!%3MA*$j(J?r>qEvL@Km>>|EmQxa1%`SKX9{X(oajM*^nHcaf)+4D)l*+wr3h z^K;P|b;)5yQF=Q?a*&^^xu;!;?zZo#XVc?D-M4yeLH@j zx4k8^`|;$uALDM(?0(#g`|;m=*I)U(7lRo_GhYtAvOE~#`XxA25YwKY_c+koNBib0 z4tC;IWs9K(J6bni5e%IqrD0Fjao2W)<+8e;@g<@#+3-b|lV_j-2x;>`5ZHy7u)oy~nOIE(D zOD>*t@Y0KK&lFy7V)I_r^m2v}98D^fhafq)D7gqL*R7RYMqndomi$dT<7BiJjF`0N zzWCSu!}kaDRbT0@_C;gJIPJ^UVzxK;bXMor`=Em**=RC6Z5IVzWVo$ix~&CQ+V`-~ zdUanPbk@FuLv*wD9UP*YweNPnVS_Kq(4}j}R3lJsb;I-)-OV%+XazQWZj#K}x6nOf z2)j)Ob;&D-N)lqIVrq1te3R8$6OHIjeM5Ow8}&nR^MXYOH!F{k8Pa&J>HIG=-aIgE z8sU~S)khXqYaXHTt~fBwp4Kxe>B=bFam)8A|DM^A*t) zz}k^0+cOg)r65GdbdTa)eQ0VtUlDmGIIdJrXb)(A3^kq$Zqa%tP##cB*+^ph$~B%# zqG>|WL6|3p+FgBYE$)>ryd~F!7TuDwF-u-qxv?;G?qwrEgUV4j>1QK=V4S6H{v&ZSc z8?n5&fA+GS(Y1Zb@bzExpFH;yp$s(>(#`z~+bX-G_wcd!W?IU`l%Z`wzYL5t=Vf%k z!I9=wZW)8L#Qoc2D6MLwI5d@^uUH8A`WU%$?mv?w2z6}!F;s@W;!R8{Ux2uk`V}*R z8~VD2KbwF?(ZnEyFtr)KTTo$sn#0r2bMAk5`gy)R!_&{J`|}vn&sCpxGy0W`#n_^v}oNn@?MS{kgqOx#-i#v-v5Tq?)%i}@g8*K+>$VjsTDmOl^z#Y z#`X9UO~^M^vD-_21;ze<{_LCngU=4dt`&Ay>;=)3__lW~_DQ^4M|~9tTMv!4*hfbc zZ9w5EK4}k)ws^U|Vy4k<8N9{IHNk`9(EDh#B{}0O4$)}Kqv0!R?m-Y=@HlHEm3X-> zxWVuO1!pa~oYO*ykO67?&%%5FXEVt?F|-)YfGhwzmaL6*G`p_94ht z`}A%68&5TrQ9V`Qe~~hfnd*sXMl^9kYDrQs5zTa%ZNQ8(rSVm19nt~OK6R^86NSkq zjt_@s}&aMAw>jT^N~GtSHLV1sBk!5;`L;Zv*_C zG5{A3i4aL7us4$FSW%Rzt%w*L&ant0Zy_#!2aG$TrF-Np)%W=|#GT`+ zrTq(4GcyECQIwKBPl`s!aYE4~I-Hq1$2eO2nL9`4OFjCUn8a#|X`+)ncb#5O*XghN z(eL_0KN$r?y%}vfeZPT&EMWmkWVMwzl}-m|ZcDP0ZKE;O0T8lW?6m7N3{8Fxy7hIkUDaA1@Yym2LC^3>X`0xXcjDws8jrI!WT7&BEqWgkVmmqh!g!KJb|~ zpmVN_s1*=xKQIL?KwzwrMl|h9jxx9EUUzwa!<#?bwGpCc9sh8_;}dt!|HU`)DSyw; z@lD?+N~8pNPhw?mJDnC@jA=Vf3G(FJB0(-)F0Yvc`Hy|d|M-a`225fM8P(fi0hJ){ zZ)9tEbv#+S14>-^=&0I~CY&J(^q@|K+FT@#V`^q_*am)ARXg=_bzw4L2?OejU znj6{XRC7x8xLR?{6(>=GQxQrdQmV>+;wkj#+5VtPc$aQuB&RXutG+%}!uz<~a;61) zi~-_qC9gfMC@-}NhB z_qsc8e40)jbO;J#9=m4 zojl?d*X%+FQW?154&+Y)J2~Q_tIrsM^r~HOkB$b|2^Q(#6GCfXT&Mh)1$6QB+0k|SbK#DNmPR14KXJCBuQoMYXX%`He?o!px2upE?%`u?$>Mem5+d`bR_fb z+3q$9KLnYV1r+J%_5DGMjT{{#pfuo0lKBR0_o#7Gk~6zEQZV~@@wEYm9dDq&87PRqo>ZMt%-DZ~gaxr50S$`D*G zt024NSwkgS{ZT+}sK`~*cQKMGYhw#^qqjLm_wS8Jvh|8XIRqyx=H=F-m#GaidR{j{ z-XUp>R3ViSPpKr_sgR0yopwM;^;Ty%EjhC(9Un8Cs*>_rY9~?BmF+04tZ}~Ev_D2X zsYWd`pu|^8`*S+@WM)8K z0bpyho;jJhcf>28&^CU^R~&7A!}7JUV*17`Z?>Tkfyt-*6+bs$r?p;bWGi0iY@4)W zAsJIi*e1A92att?Qq{!y!92N4W|JW^B~TrKJ>Lbz2UsxY&&nycG?|2 z69BT+xDGNCNmNYXvKAd(c&huOds$Y&Hnbh6&&7&=<4JdsD0o`=zqc$< zf)l`E3eqLZkQ|{-=i)qBy2>g@4#M%D$SNdFaOWx4knY z@ZEB8N5y=J9w~x{Ng=cNg!lgMKk(tDn4@^aE&>#`lvxD~*ll2r7jhn4UJkP>WdQ$idH`Q~S6c^xx(SjspNWE8w;V0nZ%Uob}#ymz)j%uC4S3GM>iv@giFZX6)OS`jDcz^HF`DB5bv3rjy z5*KWyHdicNSy66JXm#ZY=l{Yk_I)y2>DVB*k^UFy8G0plwJwgRnkj%7<*^nQES{rF z0tTl%KwESU)-)2%Q%hHkz8HA)=G(yQmonebg>MEyPkApCCF%jilg4T+)kj za7*`{qo>FB!U|}>{4PP{(XN@E-wQa`c)p4GMGf()57A}y5Mir*h%QGzM3Br65jWFA zgr%#8h}$>)5WV%}Q#8+cBVom^YV8x17j>NBId3Z&>=qs?%0j4dXOC50(^w95B-EW^ z2cXU-)sfaH{-B(GpvQc%zVjwHbrRg6B#iCFou?rHy^s#b?WjYocNch7(*EmEbFmlM^;O@W@*s%uT>>7}xw{S4t z@nU_9%{9IStQFXZ1;6cX=B3uv%2nF$?GGP35SOpm{I@^*uKQmu9w2?dqH~L&Tm5r# zWxTFx&ST+ z5g|`Fd@ros-ry4n{V}h z`+;Blm`^{KvWIa6zh<~76@o8;Rv0CKT!VrDvT3;BiBWy4ZHorGpbR^LRZ4ihUL)0t z6+w=tAwaCi+vY10yeygrD8oa0Xx`Bxm{5jBmlZ4WX1gHhv=;&*gHA(SPMT`9Y10sO~L>W2@Y8+ewFP8+%qmB#(;10y8 z^=Vcqd(;awcJEE@Q|bDepk76$o<~0t@!hPM?~l=$33kxNW2N)VHwbM_)c8#9^J!|X zQgw%b7}fN~Vk1Fkb(N}HgwlhYC!SF09<3zvJ&HgU&nTaxELoup@t_$JbaRmYs*pTu zN2t|RD|g#R)q-@B`zmi7+tnD3SZ#cMUstQ>WAwnt@7srh_(hkx%gSMhH= z>27{M*&(gHhjwQ0RT&3C$tB}};HyI2u|QC%bBWIC9kMlQ!!wXuA1tg(Jgc%tE4 zI$?=^(*6*rqa|*KHDk&hU2w%5F@mYAsbuKW`O9|fFZGVJDY+CkNXYM}`W*rwARExh zA*mL|L-i&uEqyZ-xbRXh#}DYRS9+@XI_dCB+#>G&>F6P1hdM6nci2l1^})*UFzyz$ zF2IZ$ydKox^26Tn&VTay5*%sz)Po~SrZOSJ(VXOC@KUsWWx_bvDyF z>ny)tx^cH~s1)NDE2jJ?wB14L`Y2d;Xp(59{m^JcqwOWl!rE|%u_BGM3(_Ccd@pQp zo9`8cYUj9LE;(jYN()U5;;cPYBQzA3g^gSfCDtjH|5MB7Nm#L7_D@47`>=BHDX+@U z@lD?+N(^^}&D=*->QtvsrAU)bT^K_tYotxV@4Ichi!c?_MW>rPd&XyT7X{IQ{NR{c zDH3}lYNc)ZuQvQ1CDVqv8ai`}W^;ElK)(@5^a(hXKxm7%>Dj9A{U~(iGfDSwv)tv>ixx+kd>;FOk+a5ZbyTb-iz8t!TXRtDN>n#XI z8NH&u*shq(9a_&;Y8R1+(9k)443(E{p-#HyBu?1H!@5)L&*fSa?-!s1p-sjfox-lD zJi9=s=aO1hB)dge0S39}fU^@&9_W-Bk|bmzQn?nOX-v+N^JM;@xjQ-t!`D2nwU2PO zTU!5S{B%X5o8)f2C%%$C!CFXl<3^753DjPnj}Ylp)WQ zHJj1Z@XMA}=30?6DLSSn8sRYN8lYT{%ri_M;^CCJmcmEMJj-_4U)to-{+RA8?KqAz z>Ok@NyrlhczPaek%>8hxpYM3=FWzO^6c<0Dd%^urkM8XqIb#QAQ$5}eTzhms?iS6a zdi*V#0#^T$Ke7JTpB<)Jdn(;jTZbh|Oe@mhumxVKNeJ4#y;hMvE?D?HK}Q3d&FDS^ zX{udtzXV)IRsheSQuo^Mz~~O z-8pMk#TtUEMl7gqG{kD@8;gK12NUJGNX9PL$YoENs~x^^)UwCBJ;rnMkR!fzhn=sEdpY-26Ui7*)WHDm$O{%DY|(9sa6@My9f`wRLxZAzIdqH5vjo9+mV3)$wV zdc2jHqv{i0WfuWR=f%2i^dlmyq9F#`o^G!N{5SotAM$md8IFKfBifqz9>Z&_6n>@! ze77c=8a4AZ3b+zqMy-|l!nQD`UaS`zZMs-8Q505_HH5VGinpM%Tr)qe7^i9}+yeSI zv?vp=@-9|;uSN?xJF2{z0QYmmkLC~{maW%DRU0ejn)zY1CGQ^U#yjxSG{3s4nIADY z5bXevM8UqUnP1(&(iVx$yEGU#ddhXXj;ZzEa@txfo0?0+*7hNQ*ryDin@Ik>s!Z%> zrqp9@Z9$Y+WJIH zjp?i>OT;;98zi#NLEB(KH^GD|53;%Em>PhoQ)KR=%vrKOeF@@XUj__Lv0g4? z|6rxq!e|kPB4xP?``@re@yWmQzwu4qCrYJ&(5;vMy>pkXtq~n*D{G3b)J;e=)23M! z;bT>!R4`m6pQEwI?p1B^TQYV!pRc%7>G<4E;Tz63b4f59o>C*GdzDs`R;CCBrGPvK zgPF47H8K%)T)aM8u$!@9f8cfh=qGVuU?nSzxhNXr*G>1r5XjC3bf$qgdtF8Qn?-0 z67VMgxWd-}d}%I0GD46_&jo`)W_Pgp1}=E^ z<<}Q*s8dlTUOfyl?oj*RJ9lRWnNp&W8cM1uH8qvmMVI!V@>)xIYWiDkPvV)^ZmzG4 z_t&nyzB29>wVLs^dO&zwBQ?{HxkgfD7+;x_KXPq8FERUu; z&a72_`#iIjNg)?ZQ*hC&FD|2fW&C6=n1gs?(4N;5hr8LZEL8-5} zprRd+gjlSaDXTg!u^bxZ5P0(#8;h<#?Hh=56s2P;0)nWtLwk(HQ~x&vrM}{a2JMfc z7I)fUna@!ZCnjm_5*+HtiWObzSW@Z1ToOb^xa5>v020|qN>8Gn=6b{SPD+BWxRuOz z$1-son7cAIpLBHbGHp#lNfoepSOV|S96jHk-2(6pIXYma?HyNrZ)<}^hZIl9Kcq60xalg@LOoRU#sZ885aN@bNFRBSp3KPM zx$b(je^IGwJb(4%v*#{}tOzy0d;`}!Ty?toRhqBEI>hHKd~=cpRU|%WjhF(u(81zy ze6k)@p$-JdsPRZC% zW8CtsL1n1%wLkXUr+&`4N$0PiF#y3D$GE~6fNn)|7ootiF&I?cm8uz1-PsrnYVK?d z(3d`-xa9#FrMM-AHpyYdosEGJ%L$j2L~WIU$;JSc5ip3tZ0x}SSgZJJQnJi^sN%YT zI=|}5TS?utdepa}Pk|}R>J5pOGnAQ)0%mX9t)^ZYeNGKFNuNuZCFx`6^C=)ap)&}5 zs{TQV@vsT&@EX#m-}2+%{cn>#RY{@F*o*=rEXjhip5-EbP=`f zHuTVjAgw43x=kH^l4PwG<_jDei{t&wxsSGYXlT)SjU0KVD00zrAkxP6fTNKX!#d>|8C^=YGp1XIivwQcAPYcS~AHloWLMpxRRyLc^wpY@p zw){=`r{k9I^G|>MmwnSO{$$ku6i(!+a03@HM`6530C~1(NAZ9RXt^)ENC-`9uw%^^ zSa^|k%Z2GGI~Y`u1jD`}#;FK75jrSMTZEwar;Zve6NXm1LrViF{;7jOofipBo*hB) zPhHS?k@gGB8WQCfhZg_T1q&?_g6o!PNs|?Rl7$wjLv}Pu_o?p}RXyF-=`i{^@SvfH zuFBKXjE#If!zU3=_{PiP-s9%2v`HGZkh^o(ZkZ%@j{yN`5j%ln!4!{cpH62rZ z*#XV&B9Ipxd;!7T&W2jKZEJ?8Z$K+A?jqEe655Agb{8>TO~<>!bF=!U3!YV4>M)J& z3m2`B)kmm_&R3^2iyqQ?!6;<^;P62A(PTkYn!9oEz&IJxSpxueJdAL83)MCWQ!2s| zc}G*0tP}U5fL5jTB+jdM$Z0{1lhXmGqW9V`dMbhe=L_dQelXI6IKR|O*5*OEos?7! zXwb_OI!pLplFmv21$0*WcD&9S=QGW9%vZ3EIqnv}hpObI-}qYF%B!RN_0NWKfe4^91sL&4HUuN+vj-=W_ioa=J5>_>_#a zM2wAPOj8JFD)G+n!q*5A{%T>)Z0@ed-2Kv*e#r0HMWt5JrCwQZ05@pA73l)wgArB? z!yJ~aWMeM4FT=esTv&5LA;`*t3vQQNglRB$>}GDU^)z=bh$4=LVaMaTGhU14&INHm zQiBmPk2RZfWT@zZn3HV$D7%#;;WBQWnJ^b5jkY#ggQ}#3%rjJU!Qe8%v)l@bUUSLd zGC|>4K`!53-l?KizRAf{i+k!(t5p{Kv2H61S1l#jdN-A88@GHLa}nzD&wuJ4 z93F)N)!@w)sD*M*0s78f86cn*NWV9EEM9CEENqR3)oR<8J#%Rm?J-JP45q_Q*^@WK zR}8jBjGb?bnN?|aLwGe5D6$vmQaYV$!vqGe;bNjvBbZCGLF%s@Y6Xw*RC{SPXxLqlL<*+1aMg7C2N5myD zJ(AQLyhLzKE6KB}Ho}`IWR!qLgP5j@kz)}RI1M5!t3M%iT-UPp7m2IF7d1m&t{>`> za)jxXMvhQ`Fj3a6Hb=k!nFn-Da#O0;Uam9C_o?1*|NX!Dt3ULd>J6gYqYtpQBQ~fQ zaM_~YkzkiCXl|;PTdTOP1xBmh;J?M-m{y~v`-HLG&VSpZD07*Er*KkHX4N~WCo9!E zs3w`MET;$=F4cT>vA1ZutCHz9xt!$e-U^$ZYe(0L{ujRJ+{1bO zJ)B89CKn3YQ93+bJHG1G;d?*!=RWHqrh=(U>z=4NxOnoeeZ zWm0%cFTgiAl>ks%-(LaLZLiE3|8lN)zk(I-akr=q0p=3G^^^cU_fP(pANbgFVbpfB zCv^>@qcted>m*6eV4+m75oT26NIDss!TG-jyxw{iW^PKXj!>A2Mok+VvCmol=sFdo`(O`MS97gRBTPpqxR5$JAsWMo= zr*TFoGg$at&4sKoSo_6V*@6Hn?zSN$ED*AaAlZ;L7hA*Z`V|_Lmum7*OS7M5wbhs! zbB1m_c8_Z@-uB_eWcPF4i=X>~wJ|cWAEoE!f}FDl;I*Ruq3bcFe@nP>PL%-I&W3KT z>HSys&`vegBO*824$n`VS-v$hO!EK!Pk7IN|E1?uOhY8CWT5@93t_=>fbN=%Kz~4_~Ea6-JLf+9qsI% z+Op1l^k9NDQORZ2ATp_WL8yOSaJ#W7KKsq4=~%aZEpM0Kh!O9rBKipxi`Xak)X&61rs%h-pi5B7w0962vKr4Jjdm ze*~2Q^{*e=`d?w54ydv=hZg;)J?6+Q7+IkbYSv5-_yxjEw7rWYBB$o)Fc|UM5rWygNf45@nnFn@{kMa# zRZ`K16+zPV(RKW;NgkIAgu8rffg0;brU(4uxTB*)NpwNAFAZ{Lah{lQxso-ZE1Fl~ z&ClqVAv^k3)di2v{-%7M<6oM~>ZQR}dTFp_@Py0LFAaqJrQye+mj>TgFAcX(G>*$l zg72%BhTHk2!CB>(hMN;!nzx>OYwmWKgD`}7kojl5yjY_aT6%&|g9qVRO8Y_pw>Z#M zvK_X3y}lq&sO-O9T;N&B`^&?W_UCrme|y1qAogEfV6;o#Uo2PJpWA7FWS!xf$Nrm( zb(O_ki$P2Kb367ICZ*V1A1>DWwA=FE&*Z83d~9C);tr z&E{f*_n@5rW_huxs6`-9@6YYD|L$UwS!Flti%s?WH_h*t?X*A6H{buw_F_{}O>IOG zrXS4h*dG@xZwirAxORHA0&KTQzQCQk*uul<6-{p9T9-{MwaGM7cd^(OHKoEkb$9S! zRha$uhYud~v(!S-BG@iwrwBf{|AOQz-~Q~o?teLC8oAM{Gd>@)dX{4h|J;Xt-@8*| zkLH}+hxEEylt+&X(YU9O&WZDTi6O_l?6w9BEgvXf5njwjY~pc)NE(89MZS417;`Y- zBWlDQEAo+ZL1bV#e;Lm>?rggvpQR!=gvRD`sgp<55&UcsWI{mCEevx?Av7BBWH>7v5 z3B?kourf!d3>|XH_Hc(qs;GR!)-5l7?~5;n)QIIJWj${BzLfQ6yzbur@OM(mM4COj z6gNooGGN!+nmteF%^UXAlXAuGX69y%zFrwJaGFWztL}p;4oW0v1ErzLu)he6#cI{F07BFErDjr3XItm#K97+`i zLT=)EY*_3$#S$P<;pt8v8V zFQ0$u`OAlIliwpht^A~uBU%?2UyW-ZKVbA-$q9z;70a$)U&5lCaNnin(l#uD$rNs2 zK{Sie#pRk5BfwYb0VvZ;`KlBEjsPyCaK+e-+%Ysc2 zaE*$dNN&LD)<{MbmTf;a-i;MVP2j}OQIE}zz61P3%7sW0bZ1dicjv$Xc{Dk@g(Vz( z%?aLB_N{U)Bhm*Q??%0@QpFgqVZfzQ`Rx#XO+`?>un$>Q{)v?f`!GC{JY2JLemWso zGoyIrp=f0-Jd`FMAi2J9SZYRbG_{NGug@`D0$kl)B-Y6{zPm#5z#i#H3tVsVVBGRF z7&$jVRlE7&pZVD@j8-DWg}AD9@D-}9govTqOXhKj)a)xHgZVPMpv@A1;E|M*bX7x; zexnQSfDn^(1O$;qtOa=kTrfb!J1p4u*3wg~g@%$JolSAZ8Y zI7aMifozhj?ha;%N^Tc+O5t0xiG5j)Dh1LiHW_n(jpICo< zQDQy|H2nFyQ<!uV#XI9V*CEv-Wg$@#6ove%;Ufw zsc!gIUkMM%Xz^T8p1DP>ah!XOuBYed_x$u9{f|HL*AzgfzAs$|VQ2!4pr$}46E1L#NO zlNtJ8j!Wx_WCsI7a*eR3huKGBIXB1A#st4XbJg}0-G)rv5KI&xKWja(%E90Q@cxKG z08FjrZISx{uQL}yT(b5b! z^XvX^{VmD&Vrl?BUPc0)AW-uW_|c$kzF5w@qwbS4?@w6yCc9}D7%suy4S2^J;0CMf zjprgg<`-y+K&FC^IRf&I>zAJMi!!zh^mzym@;$_w=|R7MStaxWxrjBeF|A~J(l7RF zxbJeF7-Qd_r$8F)3A#W%3O_{L-flyPKpI@~fCP}{BDTPQG)EUmgG(A$A=1~Fh|!*> z%q?`uBW7kuVG#@{;H|HJyTqF=iSm+ZgB2LTTFIn|F3JohQp2?G8H)bJj`!-eb0PgiJjP;aqk(bOYWevf+ep3{>|Plsbl%S^}c72b*KhEruPi{ z`g_KY`8|VVe$Tl1M|$IRNXd3O+6D6WGSv zg?$2CnM-nneOA9;k(%-QWjp;~oNtEx0woHtX8ysF$4&clJMGV^RBY(~B~_btCUs$BYxwvkZ@c+kq#;i}8Yy^>KyiAJWL=cuE~?FFCc zN=h?XF!>|MC;IH3o}T37j-V4|pfNakj56EjWMgo07{UKA&=;KiMHJ_U+JcknL+W~{ zEjUL;2=8*@xzTvq;B<)s!>thXdRTgFqVT1j zf=pFQ@3rJs%eU|n5Q3!5$}Q;6)6r17lM*(lN>FAym5W$+Ml4VBGje%~=H;oVf5rEH z_wW3PP}Ev%b46{XxA8s6h^vn%RKe}S*hz+YxiBx-DyyLn;uff={m`foX?d=%d6|mZ z1-C=Z%eQMeTxvxCL=z?Z*&ACAqG?=H&{GFxf!4Wu? z66zOTH?CFPX^d2bgj;r=!`;jE?K#=Kj5YK9!O%>O7bRVYj%%*YGv6R^e<*ham}$}Z zlb?+%DSuVqHw1_XU0)EUa=b^T`EBELbEXR9W=?PA)brj~(}vOI*%+Ji&s8=MZ3K?cOtwR5BJ%@so!FmbJ5!(u+S-x!mrjUu!jc9`9i_6J zj*s(2v{yPJfnET5H198Oc{+b?$NrMFOPg|eJeItRt97LsnJJ5#QtacF?^Epm=p%mo z2j6fG{7^h_Vj5Z)K(7bhSKROQ!26&I{T_G^RH5GkKL8C-IgK^hPf+uaYOE3L_rSr; z&?aL0J@DV(KkaFr@W3(QroD~*9ym%zQ)?5m z2VOD=ci~WC#AhfYD3_V)2d-1nzI@q}y5%dKHbqy5_DxRpljVWWV1{Qe-+r$LrXXO0usEE$MwlT&Y5U`6T>7u=WT&26`9pV}n^7e>6kSO^F*x42X9xF8tZiBZu0dM#htSLX|e zG=>o*pk|mh+G1hO{`9!{17D{_ZzbhqxIOqQ`ds_pJ9m#4xef@l1x92i-&>>H(Ee~w zFf%*sBg|t}2GqE;lCR7|i~C;P@~w@*}mPLeH(X++F))5>s=36?^g{I^nO7TYu>roM%@zmM0_e^)+h<=nSkEPg=Z>-)z$FLfjy_ffQFC?4YHNzF z9^kG2R!}{T>B+{MpH-~xQuiKpJlA$Fb4;rJeO-rs)b-yo*4W9ne`+tGY^gu9oEarr>5r2xbm9D&>jd zx8Q=e8&GOBf|c?NMThC1?Xd;vZ0C&1KTcB)-a~#R*+iEt1D1~fAZXsvfB0GDsfufn zW1OXFI{W*mM|ps1sxf5$-$w%KJ*Oxj;Wg_@v*U2ZasaJ@kp zkyDd=5R;qV=|o0uvBD83IxOWT`8cIOKn5U2YLmIa3gX@ZFJwFRXB?7o3`iYLn~x~D z&^SJTACqt#l6sBPQ96B(YwltbQPT9t#h%tPp#?LQ8G$w$;5KggzR2ZW|JD1x>hDL| zryq$te!Mn;ZB%3jG(7$P?7a)HZEIE*)@cEGMu9}YO6gIF1zcBHuelzj(&D`eBwZw& zd$F)d`qb^decKD%eUIHu+l0_oB}RdO*oj~%k)V-~hRTD&fOG{2f*>ux^dy!w)|{tQBMdSQ z0l?0D!DU8YhUw`b-3!nfgmgC=^SCHhIdqRG@-f7m4D?;XwsBipOR}s&*F)R4)2l+Cz(KFOp}@d4b9V>DsQnk)qm*q|@XA zyS!tnDW7G9T{ikzz)DeW(L|Rm!YV=jbq9+*E>?SSG5^FVcUs&+q5 zVC9go;}yXg&{$QHRP8Ql#)>HCmT+!TwNuT7Dn(y?g%x;!%$e%nGMZ+Xa@mEv0-^o; z<*$7O?O(aGp3fnsi$8EgGf&fYd3YG+b@#7(Jn$G( z`t;DF7hlNu@xFm;yZ7LwryfiIpmUHnbL#~E>2XSr{rHS0>BYAl$7eiAFTP8H6q>CH z@D$Kv)jm!Nu2v0#M$`=n%PG0B;#i!gmL*IQja{U*!V7X(_vbOC$9`qWcls#<)1cC0 zzrs_GK$vTyU!c_u3c|LdV-BZI)WDpK-Uv16#V^;cQ>(Bhu>HMjuYMz?8o{dsa>VwP zm-gwhCZI<0dP0MNP_2GFwOO~^PdQI|ovcqbl8m#_x`c?TgGmmGuT*WE^kkMINEZ z?u~(x{{9r5_ZXMk27XXJSJC+dMKT)Z(Xb!UF&p@+=o|ug93r}x?Ffg_^2%r#e$0KUkV_K{{Op=X zPiX0~Km$L9E2RTU&waXovK`moZP{j@_Q!Chs>=id)%}<4w7<-$iv2ru=~q?TPP~G+ zVA+oSWh_=~>f=?i8=y15!F>}10!f43yN@k;OkTvrChm3F#8O?Z>E6d;UFOTYKYFmL zMq4`2OJ{iiw3s6)rmzV1Th%MM*{jo!p0j$EV*&ULU;K%G@~c0l_}c2UyRU67{CLu% z3e@*IQe@Jf@)WAAVZOGnc#ekdO^*$RKc)+gCLVH>KALyPX_V2lLr$ZNW(aZ`<#>I9 z04`6}PJ)IHO}M~H_F6w^(EPf)&CXLZ+lCtSLW3rrskwN0W+EKTtwjIg(9w7E|6KT0 zbV>bG{9if8YyXE*<8wiB15P4=0*P7gS4hwE3MB*Ug4~~bDYu+>3KA%|vXY# z!f124X>=0%BBM~rDGV0poo_5$? zmWXP3quBddd1314hZUFYWVYMsqe9D+ypXBsqd4E(o`q-u`I@|cPO$UTPBmD@_h)(k7qrk=ha+3pU>X6j|) zCu$2jKxISY}U16wvdbBdiLpAL?9cj~w)@rqBg3rV(Zcf)};( zXiOL@(iHlN2Sh`9=rXe-1gYIz(1_OHUTyaVDG5QELKh@ZLXswU@Oq=0ssD=L4$Qrb zo&Y8j+KOut>BqI=(>MfV6p>!agdHjpnv0K<6k|~ij=|oNwI{wqi+@RyZl_BEFVFlw zW@MuFr*#OIoV3_#XkUFqx}L|YG*Y9n$!cV?5pSXr7)2o6!iW<(cZn5MilMZ>F!H1W zYT+!r2H6g?*<&CA=S~%sO)(IO*AU02Wv!wTEuE#~*HKC9lr&+81P=ZLe#m$9eAGvM z z$v^()pLiuD!vqZC5r6?c;8Z)RZ%5Q#0}a7@TLcTyC#_y(4xs{u=JddN z;!-Fo1&q)jn2{pq0o0exijoX7L1f=p?PE3c&^t#-hM8cuUn_qy%BGSG%YZe>fcT-e zKtSuEJA?xDRSN*Z5547x8Xo#U=GIzJ6HK{m>^$_j~ja5-q(f zR#-v6a8X2XJH0ISv`(mXrs=9)mc{bko#mbP6D_$nomhXKq+W$ue=A7K>w;x+D`P`zl9WT!=+pAL zAQDRK*}@~Xu*7n}?-)znQSwbZIPXnX))+E|`BChDeS^xTF!H{Htlze^437HUwJN166; zF19X(Fi+Tw*LgqDV(V_l*8N{U`YnIv@1`a*DeJnevl1W();RnCG2vn3;RIg$~f zKD0!cCU`(Qu)qns!$Ag0CmSSAm8#QL7>HR&>F;-;)|oRkHgEexcXVxW%&52il?0Q=TJ-l2)GefG2~&m@Dc z1LI11KWuuQSLY4M`}vp(tTq2!IC^@Z(VDeaW)JjJSyy_zONtZmqocbJ*dVTnu~yY1 z;4Ne>x!dZPRMraWhXs=9nO=cbgyg|^00B%=k1!oQJ=7}<=Te$suqPUtH6*nXW=BUC zB((!zYa2Y?&3S4InMqndBqX;SpPcW~yoVZa*D}b|AL<4It4>;ZsDX671_&F^k9_j| zGP(&%=ZBj8NYz{uY};g$TYIP%*lScCfMQ)>uc^Sz>8VwLSzxXSX7(z=URhwqXjey# z369lqt^|>x(+kOI)eHCTv+v7=!7r(8Ul#KxqAuf1K!Yk|g=ynde;3s`03 z%9hEcX+~y^1MJ_#4Dh?WVQXL%>d>#kkYSlzntl~Fy8X4k^|Aln`=Y9(B|fhzS-}sE zl+#3mfO8yImgXh2CQIHATxB&Jh{ii==tAPGjD;VX7vXqFlf zTrcy@La@R`pgbkW=`&m}b$LRNzLBqZTyH@U0pAGmLGP;R8o3|_G?*Yb7+R2=`1>x2 zc!>;hSQ5Tmd+Z8VhIFDr%P}Xyy6fXC#eMZP;ld#hQn#=nWSw-P=%%Qn;}L;H0VNt3 zAUe&yjqdCnab@&-7KvlPSyK7#?TMaL{=^cLeRz8*U^O0@Y_=;8$;96%J=Ot7{;vw? zy@1L-bU+!aq$w*R7r z*pRVmv(*?Ry=jF;^6w%HxwcLx47#LT@&V;NPZ)G=M~bG`S&eSjir+?QmD2uvhSL7R z7MqTb&gu%ozm=Cg?a%Glza^usMpNA<{^n7zs`KC&J;Y3vnve#!l118DAZ3qrq=@L3_ z*FA-p^$c?1niU6mS5L=gH4{_II{+`5Lsy1i2M#RJ#URFRZBRA@r#;8Hp2QT#FHQ&kr3Y35wc8<)FQll_BUrlpz5xPIyya`FsT{ zwVCSX~BvPs0z={kIl$+}3 z9DwX;UqP+p=!3ID?ULQ?U>gA|3Uf5oBbFX;GMFGsA(CKYZX~sm<7;*srPTz;r{_Fr zCC4OB!2d{X3a*B9!snw`WPljNjP5u31?wYT@%lV9_$)_1Q3o&&+$Ta*+5?k3f(uAr zS>$Zmy95(fV9zU95|p`+cf!#dPiOv1gSt;{obMB@$TNvz(XRhC*jMGHA@j=EOQSU= z-)s7%fxN#o{Fq-dKP&f3aDtb}Lo z@`nH(ytF^JV}J2{VzcE?QBIV6I`R(3ay`-$kfvNz{Xsz{a~zQLq#`-cGD|uj)nc5m z7G>i4!I1)Hl61ma(nJv7x6i5LBTy`IeffX?QVf0{?n>SYLpo8c>e$+6$o5Wp0 zEhqKmitjj7>sTsKZ$=d^)jF06RPIfB`FhNsjOX@euGoAqfhq`@?zj=$OXeYBukGpw+OBeMo31Gxm>a{xSUvrwt{?a25*S{NfC?Xo~xGK1zI9Q=J9_+@F^~&VG zv==(H+TcmPz4m`7ow~BzuK2&mF#)K3NPdmxoRVGFup{icCZWO+Kn?A(2|rDaidmV; zk(($0Bed97j*OCorwgtf841|J5z*V@p2vM=+>Xh2sJw&WBoK|azcS$< z%wt5FvApD%>^+-UzAe~LU;nvZ|Jt|zLQvx>W~W66L%XnblQY#O5W<*;ECCsSzf$K8 zT&sW*k?fhymMr3T>ze=&6jDqK4XvLr*FW zeZPr5jCXN>QMUSWXX)SvO)F>fs?K?xZ%QT(ZE9g8DB& z29NpU2bOSO)%2761CK=T$S&5bam#2qDbXdx&+Vk?>Dt65?M4o$$pTv?6f_f+mJ`#E z3=a(9CB+S7JgN)?G78A3BulMRBjSLv9Vg6;M<%ZaD=j^2%rb*E+^z3DEi|z?gHc~U z5-Kp1asJHcREB#Z10y-SI2|os7Q?A`t8C(1+)a(qkN1clh09kq@ht)8g>~dU_Qv<= z52LGBR&xp2-}TN_3EZB4SK_p|)8KFk*`L&q{m0(@hrjGsg$t;@WE-;EgdQlA?g++o zskFk;TEB6PxH$)f30-Ad@j zSP-C6C@sJ)dJxYXvLDf)0zU?a-h&;uSuuy~M+~UfCLJ83jqP*De%yjjMLZ)!}+>FD{_v0guT zaBC14V$BGz4R2D`JbEKqJ2s7?Mt1xL$CN zqvv19esf-tM;z&j@=sKdKCl+jbyQtZ{Ts0x^Qvo~=RlV3q+BmDAJW_G(2u0i zgjI`lBPSW?o2zFzAIp*)Q}0RAg#olJ>peWY92+IOpohX&v4)RM(j|_%R|F43pDdv8 zyn+Q5cm|#QrA-?k%AX)Z5gPw+H<%|F_pkWOg@5tbSQM#frQ=HhD7i~hEdP?%Nc*Ge zaEZGn1=n;re4k4f%rj3*xy&E=``E(WEG3O*6BSwLvha>7|L5kD5(^S{LE^sj@>`@Y zs`TJ6wJk+b+lbeC(^N74;H_`_BmYBC#pvtU zdPc#%3?yl7R%*{ENRGWFD1fl*{D*hRo5U8Na-0IoYA*2wC6dG+D)j(Hl&b1X(U|@bdNhM>yZEYQt=$+d0rb4rY95zcrdBd>tKJ_1)%}( z2qsRxvKkJWpy;c?R>$L7kEwpdlUQzZb*3Mj_O1K7?>WCNiuJ?ApYs_cB@C7klc99Z zFf=MKj4izabCe7|1yTLcs5%4%gsGW)%=kH@MlUYwsYkp)t>r6X#;+Y39HVNDVns&& zE{H}3m;v_}wk?Lit}N}Mm(}Ja z>z<6bysK`+@52Epv(IFbP1l~--fjacn{xkd@Qxp?$e4SlO7mT#>Q%jp03 zTYt;pOFp`^YUn&Tj}&Y=92^=J#i94mlm;)G%Et%CX{6wS;Av#r00hrlYm*N_YElmMUMXew;sS6(09mdqlIpWyOiqxbo*lnQisiWW$N@_G; z3^i#H)CxWXLDEb(cNU~3ErQHR%8JO|s-dy3*bB#^u}hwC)uJCUF%)=%dP&iwMH2a4 zF$_qd8a+T-lbX~ek!NHE7gZ?02UL$IN!mG=>_C?$y@hB&6uf`FAbCYx@`!me+SGC6 zw3y~R^Y3$9!-UDvK_$>W8j?dTYaZDm$@4_syq0`qjjuX4GMOd*kq@e(a|+Y`;)5S@ z9#fYBZ?(!?ikX_D;pfOqS%H+fMBGvhmO&zNe7st35)82NRiSli5=>iJMskly{!*yb zZitAan#!tHq9YPxs`V0t6EmlHnhR8(sI$_;y$IIUXu1@O6EVhc zur@GfbvRhtX(xR|7|QKq>%*xrV>dLAH3w@E)onWIZObd>U@f9LnEN)g%E6j7c}lz_ zfa$zq4%Se9BtDT)62w@|?Wuz`fUq@KLw}u`?zoXvum=7{a{C|vM4_|}DR0amt5v;# z>hK{9uUX(WwDPXa@t(~vpz-M@3@=zvy=2%XL^Z>i?4W{t!VdOQm`H(EhHEN?+4S2p zg?Y&!)wae7&*3s?w4`j4;YD8a630O@#K{iJYZ~E~xD}ThUVE$;!~5itXwUnJ7Q=fx zd^}(KT|fG-{ZAh;5!-`ihLc0l!nz@wb{*QWkth_DAMN&}TbRtspse#b9HZK!^k z16f(8wRkF4(*#cga>9bfr*`G|X6O^8Q=3m8e#2^DRh^U6X11l`{3Tlo+t;NakmbuN zh?Jy53Ib(28J{L!t*g}|Y1f}VOA%OgToUm-i@nMVWawx*is^47jHF7h6+uu-z`rL2`Hrx64b_|l63PO(Hlop z%=?L2E3hQ#ZYD|h+duAWe&ZK?e2Eo?5rYTxA4rW2z3@T=kb(VlL>!H0UNid?fUy^w z;utB76bvv53vJFt1MCGBTF#|rfBdkF_o9e`-*F(M|%a{3Z)GLN37wL zl4N?eK^wMFay1a5;YF+;S;-;#(JiM9S8ancZ6(vwy((jn5#H{2MfvH@=tdB>p_^V_ z7)&pwqnITn%JHKg5CDryiNbgf2Q2Z8OX5ASKBb*Puc|d!=5|Tgd~>BigvZdnlFYx+ z5&ia(0moT1j3JYOxIB8%Z(W}M^1%h{MF6>3?W)@Mww)?S^j2x>sZN!anhl*QRj4ez zCh35(9kDU`ewo)Q_2P@E*>Jwcyg%Ais(SZM64?>z%XS>0qA6rDj9(b4Hqznyo^9>&TpR!Mz)n@1OFY zc&g*R-Yws>(LJUuWL6Kb1ts$V5;)xJvWcZY@)ltP7u!Rjl+4Ke(Sucqjipa}>C;Z= z_5!?SFsZFYeNw$X>RP3(ugEtx>w7|xb{!`ErK^mWubYjo$IFlOAr->3IAX<^_Gr{< zKm0SF)cthSAvDnIW$BbZ3+YMF+N)<}5n-F9Q(|Of0YIG7=G5veUB^@z@!wD}@ECHc zjGD&Qf9kviL%s-Z4j|vJ1*3DseG&mQG0gS%W`e z5>b8VoTcMnYfTUqf}&Wl%F-RS$Pr574=zFc$lR1|2R)R+8bSUl0;l zdgVY>gWA72&;0X%@t=GXs7~+Eq*F=G*0)JjHhrq9!5S6^#;yLJ3d}DRtNaYE@8O;o z*`16H_95V0jpA=MdAg(Rt3*HLI7)HQDGqJXRK1cdaKlv`HC3|3w2`Vtjkxv__*0LO z>r&jbl&B*PByPHjqa@Hwac~oOwiR#*Gd*x3(PoL1r28ek)@XN0-?ICq+MJB{=6 za;ctPAkqs&`on{-;fKEc2mk!%hrgh(IggMGM28M2wy`pvK^K-f_V$%UNJa3tVFFY_ zsb-#eO=b!NHNeCa+&ZyCtVn;sSASfIFrWK0LAWHgq^mLfzst?UV0KTrj- z!l4{yyOAqWhG~?$!>=vgN8EAor(6;PY3X^P zGB(gcoFU{Z)FD7a29E||E@Ex^K50$*Q)Nx?XG>WQPPK8NB}w{IMRJdRWF8%@XI5lP zl9F+?ZpQ;0NIYzWxWE}}kDi`ioG1FMH6{kdS|vIEAwp_B^Jn!RBH>=`{f_Z_$tQVC znD$Q(QB(o!+d|A;wlB!(`@`e^HYd<*+Cwyw_lJY9FmYE9Lro4x-deUJ?=JplY+_1B zT}A+UezXkIBSZzEYUOWE5u$>HG&8`WEP_`wImi=ri(a7ub(HG*Cq~L3nO=?5AxY|J zp+i#n)eXyefB5&%4#i*9qEXqzw~SGOlQF+Nf+1rw!fKIu7|Z$ z&h3pS`Dd@r^g^jdm(y?>liWETa!f(|2S4*|AN6N~B15Cqeq%SHY)Ke^C9=%})IsiA zIWJG^Hgr{&!lU6)IC)M%U|0hKY$i1@GhI}&tpRT)ryxe*cD~Om&4WBEe0I52cI${=f7ap84IOtB2>M0!nv=*1`nH{k}JnQygd=Lx?K3D0PmC-1o*1ivMha zsIw`p2f*nzvL+@)iu-JW9hia;gF#nA#VYbA1SR}4!89)wd0=SPJbzKbKVK2xDA6up z6cHys3yQrr!2^ab8WV*Ey;)Ggn<9vriVPc0hz4j+vY>`HE?H)qVsS-L#notd<7ZiB zo1);?UbpCK+mZB5L?_om^6+Y?1OWP$H_J3W_w*wWF-Uu|G1-mkk#Z(!;brQqq-6KS8}Aradl4 zZ%_EpG9n_-FEccr*;}#TCMg#v-8`bV@a}Kr=#l0T-wUcZ>i;D zsG9)^;$pJ%ds-h9n+XLCdr}{|a(+GW<`akWp{x2EykoQj;c5U@mSJv{BoPOGCqbJ) z6a%gsKw3pw#97wP?}24Rs`DcT8aJmYkX&CA2_^Jk@#v>>&zrBD-{T$_6OlY`L4=eq zeNy!nRTr9eW4Xm`Zr!|=Jzce|^9uz&)ai+?{4384l>Q^3Yoj2jf+D| zVC9v%6H0j|xNFNnsc!TXa%s#FqCXfXp;j|i%ylCmH}@zv(h|cYE55}gXW3T`4lHft zSVGz&{UV?(x;@l*aeZ)8*72-Sn7jCtO(Z|ZH}PqXOf3yl>N%kSDB;o|d|4;l(${DKgSLcXnm;3$VO2hXM)p&e>aTb;)b?$figNXLpfl)*@5qdo<-X$$sn$z<=_+fo@V*wR>T@1$d>t z_0p|jW037D%f=MJ9bvX~*Va23Ta(*5=!F%*F*+BjFc&;`aBa9EaKwfwa&P7z1OP6R@Y>Z2e^3zB*#wAAyY9wFjlH&|D z`3FMlugxFWZ7geKi{K%WqLQz9Sj%t-_{kgi4(+w)pQvQk9)a~Hw0(HBs@MCLK`q6# z`6DhBI$1vH9#2k1RIhAq4^4~8R(9%?!|$ck8~SMyHeZqh2TBL9>6Al}H_04IqWf^3JU3TO&oCaLY2HuN1`i7}&do66{I>6S@%?`~iu3AawE-CBIj{smG}ERxt|13tMj!$`087qBXcCDoHJZ}~++47)u-~W0~j+sLDuDVHecB;f&N4Od4Q$H%~na{!gI;VKvC_sapCF4ZTE78 zzi=%cU9I;p9!gIV1^wdCJiKK3ZkzS;Y#5MHOCjmz3rR|I@nrRK%}tcqOElq*w6>0b z*MH}a|MoxeuIXIAPjJIf(>6UWnMBX^d;R&aSp!vC=h1Vn-&!EpL(@;;=UhMZxHi6G zNDwOU^@=&y-yJZ@NYpPzzO`kBoa+ZNmWVLKZwO6PPkX-oxo5=}^<2M}84&Cs`&Z}s zCzVxsZ?}Vb{%B83HGAU`>$6p5CQd(1bvE26e1RGKI{TW zc4G%XjjFIj>_!R;WIO!$o(crFKoyqsuuObd(*BQ0XpAswlfx2%RjaUM0vaXBhjco1 zSc01c;8fB`^0hvfKu>wlKkFUgDk^@-T}6iq z-Uf~n&WL2hF2Qk9sRi~>bM=0Xz{Rtpo^VFsZyG^SL57e#Lj#%M#^y8I8gQ6I1v!** zw^z>!5FzQ&&xe+BqoXqqn8vBVpS?8RXF)ADnk1m3gb3l;#W^s^R!PcpR@U4Sc0_FG zS+e?-q_nB|X`&Qtup_jgwQGuja7kyC=4nt%S8IxeFv*hwscz0?874u`1K-7;xXXe%%Bme1CUDb-Wx0*U|I0H*_JZG< z`qkHFV)-HU``!Q1{hxVraM-FI_d0`Vb>`>bD?t|kcy5Vb`^xGJE|`Y>Zmbj-g4qKM zSV*B}3c>6Fp2z|(dU^vz4V96x8QB8_F5UPu4~KONxyT+MYOgwT0<{q1mybhd4-mxm ziRgyb{s&`ecH4qH`3?AEnUjwSI;E61WayL@`MbK!>2GxU>uqLRx0 zHDAl7AI7?lLKT9#)8|VDZD-!)3g73|`FZFF@i$70DNX!nDXdu);Bg0Y-22heQ@sMD z7qB3f*|xhL*h$JW_M@#pl%rj8T?eY$=CM}i)f_g=TyaVEW7jW$lxNDCI|NDkyrSc< zl}t}IThPgQgcfv};@zy79_fpq<JfeQo_9eiP{UyL*Jl!PA+Afd$5{&e)vsS~b z9%8&Vu6Flczi`)1^nf=MLcNyog}YWPdt?EG%QnJbX_1djEiD$5TFqTcve~_p)pqDo z2N|uC)iR5>Px=nbwxu5dT)#xAV3%s0Q`(_iyjUzd_SV!f}AHg*_)-dO!)^wEP>64QNhr{tF* zi2$cW{4zjTT0deq+Su=V>^Q^G#*wXIwFfmEZEVjy$avCGnEK(-2KWZrt4=Q2QxRuV#>bZ`IlL)-O9&L0$LRA+&Gum)B%Oj2KVigC=V+@NhZpgKI z*g96>Rt-2+Hy&5qZ?oQ-#?EQ-#z3NFwi;JY4&4eq1Az)YBiqS@Lcv#gdBA6oEJ@Sn zp`R-F4Y$+&kIUjHdHLi*B6q#3jxX=~YIaJbeqR zUT;=*dzhbqwz0$)#Yo&N{eg!s3y_i$`)R%gvyen@A%@9Vz$-QPH+vl29`KM9%E!r$H? z4mh676Y(2Yx8-1*6Z2xIFN42Y9i|Y>I?FP{$aw(sq+U=6W}O8Wh<$(}C>6X6j>k{PW&sJaM;E9ouovw!F z+TcYh;DkkI-Mr4ayas0P(vzo`-u5Fu|6gyWm`|W&l=um?>z;DRdI@75Ns&Sj>eeWS zLyJ_t6d+3|20`#}wxBBnvt9~c3h+%0HbXG$r6a}`BU=x>wC4Wv^%B@Y3TY^paH+K4g8VrPJf?Iz3FoO_-I|A6+^Zs9MZEQwe@%dJN9Rm|v`8GkvNElQc(4EhCR@I4bg(u>jHn3s&M!ZIQq z^C6PaT`cWLMlMr)f8fMcSP-OcwzR&lc$!cmZ#n$aOzW8E2ZdhElcVew6e&I<$BlfVFGPw_dHf z5Exi_@8MM2T+0xo5_7?BzgGfY!rRq74?!xiB6yG~V(`wlj?4%_DzOj*C6BoAo3lCm zAxI@w1h*X+!o=V2Gxt!5x!?)0hC^L5{}J%Shod#WyX5Q;LR1yC zgen+qmekMJGk}GsUUtulrYNnDvp+ecd1N&z&O4@4x9prb z960aD41hxeU1f}Q;pOLW0J%!E#IQJq;V5kZuMP)}n4cw*Sh9_)B*Eu_Lj)}yyppEY zS5dh{)Uw}=Q@NmlS;Lm0(xqVW{OBcYfj@-UDOVb2TYR;bku=opjrEinfT|c<`SxK( zB=9TU$KeTA_*(3-3V$%fF$0`ATWFwHaJs4ZT=?RWt{{v&_(Z~eF7 z1t7ddGS9sL-2yUivnB@`hNxjm7tLIdHaz#N0KJ_D0=%r!C(8-Q?A6YKjHi9Yn6`EV zPPh@2GM}$lrmbz)9m{-qMS20gB1WT;JeDjS98gpX(hG3Gu1s6&x@P8B&C>Lg0j6<_=r8%@VZur$4;d$suo(0E~m(((!NdjU7&x4oK9i;EIk`%0| zNggn0NG|*Ya#o@sE6MuTv9t&V4oTb}l9RSQY;qp^TnF=NoOf!exr6_AjWPGH{a+QU zMuxwd1Z@`LXS`txd0r;1$xEGzg4|A^Xuis6Q(oA>NZ;+GwZ*94ol!SsyBPI%zVa3C zP|N-DOIPn)d0#L#9>iBS9oqkzzxX%)kB|JA*SrSp(!u=H8ujymlP74@w`iAX>_#>l zF+vqg?yY6L#;C(~HY-*}U71<{klh>wavO%Irrzp+@e!mAU`A&q^0Q!N)OD5~BX-QS zYXk+m;}#fJQddOC-ue^Ss6+0c=?BoSv{zjj^)os=-BkBx(N;#iL(Nc=03_9D&Qh^E z#i;M`n=k``5=Q&uD2a(l+K>(qe_`ZbdqEP~yW@itg^`JMO81y=rZ(&NO#;5zXvcO! zI8sSwuM5CrEyZH!2pB!;A4nT`Y|Zm=jb9LWt<&i!XryY*>L&uLzfhBa9j!MvSNZpB zO)!|T;=vRV`j)DrPdYT}tPEk3>5ix?awEMHdLSdFFKd(PF)9r4Nu}pjFI3v7Xk+b5 zS;ic>+!i&QlQ6o%0Qv!Kduq~BT9@PiwA>VWdv zrvq|3TnzHcS1e)hF{-Yx310b~%%V-l2e${-kH%UERE@Q=osLh1n>NK$caP_pr+1-` z*Wk85*DQND5oo-Tovhmx@r+%^7;7`XFKnK%?GX&}@eoq$YBDsW=R z!!gSF{+hKOrq+BHIF5C*#ybns<z40;xV zw4E*pQc4X1;oJ&@@8n-$McPgm#GKu&fPp*oASv;6tjJK+1v?EZ!4?3jBeirPNZaXx z0OpZFoPwA`CnnJaCoLT4L#NQ}2+JS#wm@gu?hZ?%cDPgHYe2M68+6rk2h|?=KVyA1)Kj9 zZDu(cn>D!!KlM+2$_qdHam9jZh~yT`1E!c+h8fOG_vXkdM}OUZM-~X?9MSmF2~Tc6?61=0L)7>&D&iM8b%A|=ZI{$8YZCHkuj=Q%+Vir(Cjg)>#bl0x*fs2 zu1zXPNY_DK9sL0@$6^8V>)?ZE4lRnzPckNvt(owxPqG5K#UwJ2Rj8e{MP^bvKP0tt zv1%GhKERl1S}os75OXk`&*+hP@X*Yzt?;nZ-qSFO@ySIjU0XI&w<-?mp{AeGV-a|@ zDca)E*JIvR$Kz4U7l%DY!nHp`dZ1UZIDjJXfdJ=v2DJm1A{dzBy z6cFqXtJ42*>Ww@UDBW}#Dt!k^PF@alZ+cKC%moNpe@iwXlHt5kxJqC(oA+p&Ms zmh0pRDtmcej&E+N$n1p9JngVs?J6%90__g@_I5-^tx7oA`Dg zRHgUv?Y^^$jSd&H#cMjod`(Bc7=vk>4DDJxOk-z+wf(D6Sg)u zK34SkKlgBa_wV`WNuR$ox6lQuJ&NQaJ=G!S1>;ZVH%_Ch1+&kO-VF{6qTr!Nzm^;% z0AKcWCm;yFqjkEH&Uk7xib(De_;A*6%()``xt7o^c}L*OS%b%oHKgurJ)Pn6QFd9vYjk;>oE$ZBOP#p%0@lRI6m2Wt2E;jhDDf&)BeD)R6|U4 z07^Q4zVUJXf}2X4a(mn_c;;#Nx8;QbXapGKQ^Jtcn=HT~bABtfhYQ7v`NL%>pH}dlO2pO-UHM(*@7WCNl%2y zntlswHejO!)!D%qC8$oC=BW{aYVDgT_84GYO)BEwF-VC)R}q`7F^94>#|#pENjOP{ zdx0m`%l%{rBY-a_Obck&WT5D42J&U&v&!u&R2VA7C(Flk9~^yq~-P zZYQ(P*EwzSr7h_Icme5chZlgmnK_VVnD1+VQhjaw{SeF5{Z@HMUCIfL0ZN^Brd0O79R7dXsv19zKtTaZSFY2DUl2rKSXI(o z?PL~?Qpp)#==zIBspvSAbU=XktBh~2%b?EqA_Q?Wk-$V*f$)n&%9u*>0+fKMt~ zNma{>n*z4<#zR#jI!rSvaW7GwxI98L#Sq!TB|>}BfQ$2fq9sDRoe1sMe)_#%^G#C` zTEITe;0kTRg&d)k6z~aU>NXu&MQ8wVNM;xGf4y9B^4WlybRY>vb+pOI9HGI7V1AQH zWef~y&M`-5sNrcX{ftv=)6_Wy3|u4Tb0dm8!(z5qom0T*xR)I*|%F99Bf^M6A@VE&$;hh*Y!lmPJ4!#MkQ@ez`b zag#m*bL5E7(zv+vUQ3R*d^^7_&~g-)dI+~4y?yNawqO4Z!8xPn>Cu~=HSWdS;@rM7 zrj(ll>*J$T{k>6d`AkH%OMbEO#Lai?D* zL_#jKfOJ?Wx~Rq`(EHdQA>c(Jn_~!}RT~tHY(FwUo3z zJi4Uc`y+qwkA3UMPGV+A?<&E_5-_dbxJIogo8={7B02F}UU=}r^Y3`xEHY90YMUQi zl}~7m^S_l(K3ltlCngc(un#z}ws;i4)_Zk~A1jL3>tOtT&?=C^-gg#Cd79P#Ww9}( z1rl)oxbNehQeI$nT*E->s|X}l8%QVjbA@y`%cncEI1V%eB>yut1MJVeHkb-Jf83$3 zMb<%b(D`nAMh5~z?&GG{WFpWVk5o>Wo*>oj(`hGcLV>z^?petJyJWWkV4t%N zP+7-#(i$Bm@E(^m-XRA3#~wwqV0&FMS`qe2xmX=N|3=Y@fELjf#U|h$U$fhR$5GA` z44S?3%)ilbhkTJHCRoMDS2VA9A#DTlcmteo?-~APZx{YnJ`KNTkS%qK`aQ$G{+{t; ze$TMea9@F+NcEm!?^}P*#ySZaZXn?9C%AopBqw1NDKb{Od#~RD8DAmEcT%W|60U5A zR|_;aAN#UDko|aMQ&gZ}^0Ysnvb6uPMzRyiUj+#}K0z6}&+W9obRMMRA1*`k3s+Js z*8=+$sGlweNc-w^fM^HuJ{=!O{;DpckoUNL*-i%(fPCx^;Qqb>(g8tVACTK=|I=!J zOveYLeqVJm?4@#=j?eA1zjPj?odVu35c9yI6Wv#= zR1uu$B$&PWFw(uhdX{79|4+X6AO6z&gO5OGNId!gpbkU5X+x10HXG^#ZggycZ2>Ip zg6jh;57l!B@~w5j!fcF&Nnx+cf_yw(@H943i0`or0y2$pq)Z}mF;@1K9&UwYwpP8V-IOFY4*a&WZFP{U*@`VdHKAee!M$&{M`ynjRGHQWbD zon)yQsLK)B73w4x9Hm{MPI5v1doAq>pEnmQa251R?t7WLT)Yjq%6i}A&L-8Is+l23 ztA5k4Zpt5L7G^_>8I6SQTqk>OJ=N1{xuosHpHKd>xbOM(ZxS~>2OW?8T{`GJ1TF9& z2q1zpK{Bc-K#=XwS5PttNYVu()pbcZS6XjlIptvseJ2f-mpl0OykDxsmXhmIa{cfq zx&CtZJ-_}J(x47;Av~E?ylij@2>z3u5Uo#~9sGy{b{k_Ja-Ud}S-v6$T4_B9$SE7v z8G_ltk4OLw^NRlr!R+9lcd(L@qdIBB%h_Fwi@ChiIF-T$YI90`l>G7s53OSkU)GHn zkKmrqapC94#GxtOXU#;;#2PG~dp3nUD-7cRp%g-%ZqNDMOz*`#P9e_#(TQN>HY8N1*Vo(wd@MgOvXQmqJV!*z zQ}G)%l_}n`>Pv5le3F0QH~BBxIwEMHS@2+wg$L_(^vI~N><@{Wii9Ofb7-Rp2Z${^ zRfZG-RjEj}!{b5qg_Mt_R0mpxiP~TtA+ofWNvlvgLkyBm7y{MtWjh@o=bK5ZSev}0 z)F_qgSWffN#etJ%(b7CB@H!`+I${iolqoe}#U}Pel`_0NHkUFZQ%EEzfor*D^(q7{ zWuu#_FZCC^j&5pUs!E(lHQ9RqCPvkdF~94zKDXDDT=|)YeibggFjb{rMe->>_E-P< z--{ftQUyC0-iU*rvYDk&C}7~!5}w<^Vg=;&Jk>=cHz*iAYDKgJOi&UAR`d1gWHPgH&&#>uRb@eHX&3A; zQcPx(bO*HcG%M2Kalr$!L-3HfA{wt7L2-Co5-A_FP*HN-_3n>2JT8eF1ZSJqecs^) z&^p*x(;h9o8O?|^h1BBaR3EqzyA-HR1+=MPHk0I?o^4iNx+3nZ*k~V*w6k@4irQG* zAEak)91b4_xH7RLNo0FqL!Zwpu+CVXs@&g)iRCGpNusFqzV)l#{Tc6%xL41!ReDAu zOr?j2rg{Ulu;s6+&0nUw)fvRkUsa8JU9bbwi}pQkw+7+}!L0NSnE5Di(U5k`O7AGJ zKGTk^V$MqMd_=BS6wd+iUZbKarMEe8LI%RY4&hrPn3WzdpIRz|oDEcri>Z{}2}Im> zY|gZ-HFKr(0K3#tmQas2zdNl-54POO^BxsHQI9YT)sHhT9<0f`CH5;y(Nh4|Mfvu9 zK_w2Oe|?q2l@~F3d~&hUK?J7briTdYJ5wG%zCD3dTzC7wvF^|(N~N|+SD5}UvA|5| z*iBv?wp)%<2k->+NA*pHWHRR<$Hr81k=%f7zWO-~U+imk$Bj`d#>$KEhp={j= z$$tBq2V|-AjoEN!dVQW!nsdqSxZX>FhLq<$$@F;p{lvASvABH;1z%j&+^orV)xj8Q z3MH<6-ir{4k@EbP5Atk6;JR#xeP3RLVR){uGUr9W!Td$w$L2-A`u-yD<72-FV_@BO zkf?OXrhvLfqS&B&nL?;APE(UVAD6bf0SlA1`;rJA;NB{O!HhzMF%w?uR03*ws%gL? z1E4hDkgZFd6}CT(|h{Zn9JWGOO<3&Fw_*jfp-BV{Dp z)eXz{Pam(6Ov`z;u1RblfxZ ze~0`sUAhJjyHnOixl3~Y^-o50YftM$_(`Rh!_RvQy5R261@nHQHbZb%KT*m@>qlr( zf9t2Ft8xb!smkYzAM?I1zjT$StG;v8h6uKKt$;|G_{1sZ$JC zqcl_uMhj@IAn*ogsAzdzFc5f{zob#CeFa-i%j<&hWv}O}qUCkLQNAi#UKbo~zoz9a zf@5@5w7f1D;J+cZstncR9uiy*vQ@ET!q+VDKcu(&Y*nexi(N^N%vM!`NPbWQXC0v4 z|0#b&Y$+B|H@pM1>a&jUaylig2YQu&fM%+32MuY#N@dlzqd3151xfF93aXH;01r!q zO)TVBb!16r)H_sR1N1?zBa_&vilQWSk@lDEh(aEl3R60Z;{1s|uA)#$UgYER9gpKn zRw-@reT_;l?iPqOBXFrglaWNUJ^9yY*IZPrO!bWAseJv#npmEqd3h$Hf9J>iqc8k{ zsJ`iWdLr82SLB~r0s|oppf4Iq*jE;uxFFi>iO>P|7(MYVU0zm^rGb2s#$6M#GA{+* zI-{64bXJjxe{a*9xR+HVn&1ymQvi#uQ37mM%qsGLCJ~8ZkP?QPt`D76q@~}nA_#gl z+=+9P;Kc6_OO2YPJaA-u9Ox!J;o)tHVYoQ)M?VMo|pR zk*ai98AUO`l9%1Q(;h<^sBw@G>v4+II_yB!bO>TPRI6vR4jbj&t901d2&+nmjZT}& zZr(WYUUu`wiTARb_c+9RMZu_Adb|M>$rBt(#e~v|PenD_hqhu+>IIKqEod__i<=j4 z#hY~VFkB+N=)$+RakwBG+Tc^CP5OixE;vKsF=lo@X2NM((l_&4i*I~xz4>huKT+#f zFXyG)50K9R<(*}c_8o_MW(XYV53Bsao*PHW{?fP+p zqMMu}26Jn1$Ic4zQ?~0UwKLs;cy+^)etj|7uAjR-Jp~8?##aXt4IkfF{8YszqXCo@ zPW(96!078bAR4s_PR!+GKtB{(XS87CSxcy(asGP*hKle z^MiSIsBtVlI5R`;BDAiNuwsUW`6X_GH*$qd0|NN+rRgqs<1 zVh{a<0qXe(GhC3z%p1M3|H(i2^^*grP%*~q4`QzE8L43clWZi2<&A6$fsrV22zDm{ zFlIsOW*01E>r|7=rwze8sMV-4GRZIt#f}KD7MAzM>}U zNrJSKPV_8D-Rvu#(fY61GGwo*o3kKeE*Ia)- zXh~AYi0mv)0D*mBi*h3~hsq`^RAM?zNqm4JztH4*Wt9%+xz(u>}eX?g| zWRWs@q#{Bur!W2Tk%ULh;G!fvG%wQZu|JCfm#AtquT{-tgu+$4kupL!7XkPjg>FZ0 z9M}WW@zH%(6+xtVF7HnT5c@Y|DtdbyJ9QtMY^EsfWHYq21dR5UU1|)LdjGZ2$c+b# z^M0ZwV4NS*UsCV?ynpMNU;NGCl&JI6CW6Z#D{zZgUz2%RO);wsFNPqhHgzzT14h_p zpxj{fKnN{3@m!*hAR!*jtQO#-utAKHH zz#L9VEq7fTCg((OSB4kEF=|85Dqt+ri%&>0_at*7IHnixPrY$lRlpe2i*fhc)0EZ# zzjFFJxaln8bvM5hur@PJvMcAv6Bd!3| zlI6`O%(ptMIJ}VB?YYT-@uG=W_tl|sK=oXQ!QPd{VR+{&U-1q#IZqnD=)9k3aTspL zVfd1-_@W>D>EP1Vb_RDCths?ed(AK-g*n=WY5bz-*fQ?U=!B}RP{6Z5iz9$F3_?PX4ucC8tjZaka%wk2kPbr;JRNWiFq`Pdv@CcC(qV8x zbQxHGBa$}?lX1aWR{auVvG}^M8kA z;%}5nor?oVyMNb-YI2qR_CKgvRY^@X+N;dV88MW;ZT`b;{Yw_m&M2p3{9gFt~ zul%8J_^C;YcifUD0DJ<7!dl7`W`^BJjG9I|(=K@2?tzTtihH2LB~G=k5Ogy{cEJv| zQJb>%V8R};cwl&Q8+wv}Hk-z5@s21hO70vHqvem|(Ana_YI7(wOd~1*7g{{ETShfY zQz3?dz2gDE3j?*ivDki41J>1V(^lMdLl95nEu&;#}ppZXjBjh6!) ztO%Nl3dSJxJ*51U>wG8d{b$dAk=s6X#cMkEs}R)tUyacG&nx~)vP`uX~C+xqB#(o%~>r2skNr55|j)dOi?1JWM` zLArVU<2e}wNuL&Lf~^2|-h0wgtEQr^v1ZiHf`eR&gclYZa zt|>#1k~sy3{GMcvHNGKW^R}L&!Pcr{Jg@6p%XX&Y|&NKT(n1@4tR$LJ{%X*-*`~z_wj$At& zEwGz4@U;8@!azO03mw)+ppda9lHlMh%aRxQ95m8H{)YVRk^0HAgAl6^bH35z`XeZ<~K<@5+kc_*DXfgZe^wMVdPo97U1QAt{17+j$s8 zmce1?>rrI045%0wT9ooZtkG42p;8l-k=6DW&uViTdp$eIrL8b>(()!-h>U2fh31=H;@G}xDz+L4X#80Yr$gm~OHqMhY5aNQ- zCGRgjQQDu|DMD@xIw@l=sq6yYTvN^fOnnLLOWf6TI&2hAm0W?@bowjQTAR&c!-Qw-CYAqY9M6ZaHd*~L>KNbmpr1y4}}Z@ zvbPOze9|{i^WN{v6*H?}nv2l73M0l`_XCXwWo#q2MGbSbso)UPk$h81>$YXhRQWXc zIsAj6Pn1ZENA4^5zwjAa6D}q>n{de|g$dV=tmI^%Vd;|br|gAg;;KNU(V}z-YuaNs zY>AJNj*ql_HGWnJqSFQQjZaQOE%YNZu*w&9@XY8L#<~l zPkZN{`h@q=nns2_fA4F4|409w0Q~6SLua41F^EX&2oWU;AyX4Jw;060m%KxRimC%! z1BFsa^y#?7r}8{Z<3dfRy^#{hbjst1SQR9f~OtU6hZJZwTBju)>l05 z0IKDRsL{59@?eV+~!+n1B)1Uq8 z-X2km@^8DjGd6Ba)B&L~>p(OR+7W198QjMOqnZdRAZ-B+L7F=k#Lxzm$iyykY98I> z5TvJnuJ3x_mh7FiWAn7=in^Br2KOrwSWx(Lo$r@;EMOgs(KpXJjv% zHJRdZ$qr^27Y;@>+|%CC8O4O;xq~x8$^Iw|X#p*g`y*jVyqVy=u8#hQmR`&>8d}`i z>$Z<6vVR{ArZB_i$|v2^!W3Fz`-A5?-4zJot8N~aHyeL`ZVsFltOq}|HNF&=EG?jy z5m6v_+6z-sE>mbKF3)A!V?AMFdS1ZXU}N6$tp#JS@l)USg`fBlp?x(q(AHQ$4XqqL z;A`vktmiDnC^LVqv6QL}h~;}UW7NK$qd;F?r=Wrz6<3|j;7{d(XhYz<8K&V+7Hrs8 zun;+iWH3e&vF&>KkrZZv0tu9EvLdcu^?9tAb4VCmt7BjPg9{Y zH?!&UPFFdkGpvame7ymxBCmP5{!^7V4{6z`oa=R6BN)2LC%J}ZL??ru!Yy<;xT^Di zmsvNrGV3O#V$ypo%&JH`-BFwSTyif8<`YSHsm|>3G_2b)Xjj zTEh958=0sMU2t}@RpeeUj#6Pxwg!|J)uFEl*HYCXd@du*3PGyFBB&&3Y>n#Az@|EM z!NYn(qB01cw}R8VaA$WhgL-Mf948z!pGO$IMo_8;22zf^%V~>Y&Gm{>Juty;dm!}|+pIWwrWT|H^Ft$t z!mI)T(r_;tL8%`2ikKrU6%Kgz()*k*MydxU2&PM&h6(zs9xEobRXH?zsNwmeyoN+g z3m~t%r+|u0v*OU?e=fMMS9t;u5kpUCOCDCY6RgUq2lXh-?^&7D>UN~XrBb(JEiRS11@*J)MsOjuS~RQM13Gx2 zz>yW%>p*~fj+MGao6bmsOKw&*y8UY!Tm+!#<^$a-YG;NTT&iE^`UaO9Qnyrss##~v z15@4j1dhG&WXwQKdeOEwsBJ}`KFTDUc?xd{qh8IbwCbk`lh@j$C5*b6FzQpD{gM~H z=i^`V8eq?8Ry3w{yIyiLVD1@K`tUQTP$cXi1!-VpTG#>kQ+(?h;8-yVdY`;AP1 z%*6m1Bj9VIja&WU4qy%Shht5^f#c{M2xFv7*zJziot-4WlcH%B|JKoqvGU=ez0W3695$I6t?X8)ABS14qnS(XAz_e6r&U1*brd}KfI6`Ca z#kqz1aCe=6$@yf`@Cqms3~>H5S(ZzKlDw5$BPfTC0V zV7EbcT84xlR!H%sz#alsfkL(;lHcuC!iC_F^4)sHw<;0?5UBU(cG|yNN&UU-zg+?9 zllPa@Kx9&O+)n!=Igr`Mcl*_@f|TzhU`_jTJNB0mA+fnTt#)}X`W}~4jgvN6F z?P{M39=qdeU-kV#pt^q9jsx!Zt386)0?FTRR{JWk0)cveZm0bZt9_iYN1 z^~-kJALpBY|9!XGS8arQiR04+b369O4a=MQczI&yzTPe0eCFwW(wFz{V+#+b*MYf- zdtElM)IL{xxL5}*RtBmN!;f3zt2YO$(l{@?^x}(k^STqmDE&<_Jw?zvv8UupJN8gF zJY%8K@2498qV|q)-L8e7hr4PA`19|2Csus^ZQ`&t#5^xu*On_n$9 z(q24KDT2ijQ_p#(pDU7Op-M1X*k}JLj8W$ND#LQ+gokb|cQJ4*Z>;`A^L`ad^M?R^ zlJ3eY)4N*^`N8+AFemx5t2bO7q6DNKKtFboVhHpbstB!rMZIp017J`<5U>~m|G{Qq z+gEVelHc%D#4g*tQ1WFzEV&JQ?G@W}Mut%FQn6z4?7*A1Ll8u40+3bhIp){}^Fo06 zq9cNVW3?kv$1ZFzkz^mZY{dV}-db|&un+`zXcTJ%sg(t**yR8mnJvjqo7%CeW0wPl ziON}myikdJ;<~HY1t{KwqF>h=qtbE7d5+aoJmOB(KrB)s5xP?;IG9`1(NN;mZpTB7 zBb#D<9}#2U$W($OekyV>(!Ia?E82e!~>-vx2UMJ@E4mzI5xizlbxd^3dr+Y2k- zO@e`R!Ll8;U!t_MDV~~}V-$7Li!Puq+|6R$aW5ZfoVGpEVH?D(yV(hA-8A@Dc4ycV zpl){sHnBWKGk*XT=oh~7k^kTur-(-^ltm#M3|bn>20WAs)IpyCirsH|vy^yWU9f;+ zF_miF0#5mZxF9BOQYDl9Vu=su-#XE28MEod)(5 zlssKC7X;E+n;g*N(3>Po1zH662W?3}_#n((`5Z-o7RiFM1*u65bwy5qA&W}}(Ds07 zl~A>@=A>F|5;7aBv-Cl+qVg#1|A|7Yw4(i`kLlMhhVg2ZUgX;Lhv6KKAKd?qg80xU zN{u4dsLpb?FkV?OmZZ>3-v6W?di~4*pH;DhBv$Gja`4bAl1saAK424kHNz%)?a`MO z$ptJI`kWISt5^;Sw~EDNJ1M|AwAI^_^kI6zp$~C)Sz+B(#-GP60g!p!#jCG0_pqa0A|P|S%f7-wdY#M7AjvI4?TzbD`m*1^RC)#m zb7t2=YQ|3_HpMj2#81@Pl)L(gc-#-=R>42|Nk97q3EQUrLqD+bQ5Z;0s*!Nt`MGCv zV1ppUVBDLXv1Nu}@^_xXR7JqW7y<18zZSC-f>mHs=1zg8Tg`0<=D;R}O50{|g*L0$ zZa_qEkR<~fBJrBwA|+rT=mV;dS64(|96AR!F`(*5^3x_>Jdd)-d(bom3SGWvz*kRO(U z3{uIB&2^*f|2ALCrmogzk|U3NH?1%W+TsS$7KUx}2ll40ZMNQoP3f8axh8Ag@~z2Y z81x07^?U#Nw?`gHnGC!$+jtGoHX>E6En0fPWGu?jzCz?cb?bu1J!(|cqR7FR77anF z+ad@&7!oQJT-%)35R|yo*g%TfIbhtM1`Z)8sd5uE<%kvcdoYqPZU{liiJKti3vYxu zx;vjN6bV7eP@5o1jvP@0rw_J6+ml03JX;q;q$Vk><7OiO;e2Stwz(vliBK8^ng=&Z zjYll0P-2thm|x_kD7o+5AcYc}ByxLOX<KR`ooD`iK-rAb<4r;by#|#oIa`IRayFi+hmWMisik!pSLr3Q zY|99vWXnr~wxQ%yL+amG^nZtB;%}51kKY!0shg*lx;#R&$M?2W^}OYWRQ0cX*H>L_ zLshHJZ$0B~y#+4?{n+zbS`c!AzV?i56i&CHnsX4=s3K4@pD~p&$};z5P&7fhgLt=kA0-aERq1ZwvvKS z@-@@JH=3%5gR7rwk_V()`UYoAfsL-U}={NpwpApF1K{a-(8oy zX|jn>qBU1bCE;e;J3j5T-}RsU>4=xKtmE;Lmz!tPUKJ<+3Jz!oXBcE_7(}Y9h~NjA z8)61@YH1dv$#=m51sLMTqJnk7(~gX}T=BHAyiFXss_^gG-^*moc`L>=ADSk=tO&k6 z69mU_b1^jeE{Ib*!<3RfeBCFrVkN;PF*HrF0H+ON&}PZ1!haV28#o6b$m&`gf|z_? z6SG;ECFJ#JcWJ&JG5Idpsl!2Luw9SGOA5P15`$eOT?R?%4~R7R z*}pz>EZg);U4&Tus=J2OT$l7(gKbp_t)b5PNK6fV;wg_Os}|0|o97%lCQUUg*%QcoAb4%Db32qN2dMe1o61fYwB7hDW_ z0kyE;D^6-PzU!g`BHpkZd}30K?{2z?%9Znf*Qui^8C85WXR2qD+JBe%Pp{tqYy~h^ zH2z2*TLOE@b^=Q=YEPR2t#Yu`eVA=PTQ5(x+e12`HF|Z?C5XAZAZFfAv;;BpX#~)M zqQEu>y$#^nh@_)&eDU9Y=Fk3OGLGoGTZeI!tqm;L2JBhzsFCQCu2YyY{mPA_37(L+ zg!9WnK08Ag#Dt(2M;G*v1BB=Z0BS)ojxOj7UH~tx+ZDw)njjFuTJa~>y2ej&Xfci^ z*qu>smy1C=tJysL3Kt{B(FEZSA;_T>-D4C~bB$SdY31VE5d}Ugn-*~_gmm@(;kt7C9D*2nOAsCXRxGr{{fP|Ad7&ZSj8&jI2=br}| zT}Fg7_Z*gzB`3|j*h%z`sJ)yhCaJKcI(1_ZJf(}}%%}aOkDq>2`=0GTKM$>=2OahS z1}aKR40H1_%p>>!`uHzCz&l_0ig&0L5xBHlhbI6MZ3=7RCt7@f+wlRmf8=j|&Zm8J z;i=I~RzH!sCkPEmLg2vEcv#BIe1MVwLlIAW0E`A4O=KQ6d*a`Qo1bzbv)gw@_%YD@ zloOdpq%ZZ*$1N~xG+S|wDdPcE-DwBX2|Sq^&W2!4WLkZdZGamaOA>-Pk$Kv~N20}q z-L?+b5ELK4Hh}~Ocu^#eXkBeivPxtg0H>4w(Gz?Y^?lNs43Kj^)SLJ5;3 zeSji~h)j=uLY}leI(-0_Ji?KZ{!yH!)L7$Y-mDK$jBVfk^Q*q;7t5@|L%emm0|0pT zVXXW)WaQ^K0v`t;}F!ejz=+_vFgnop*@QmU;;o~SBYBeFp z2v^6P&bCYP1gF3KIAY-Y46G zxXQ>}NjXwH0)0?n)6=&c&0KBHs255((w^;5q=Qh-o<*OrJax3cWE0D`5i2vUU-?%* z={sI~i6*rG#b4H@BTBvW8JhI4BhNntr#hmH^K!By3ULeq1gtoqa*a zstO#gcJ>rtqZ?K4J#hh!J0||`GAr#aW~KSde;*_|k1#9kiB*R0{It(J{M1L3)RUfP z>sFpYj-$Taj_sedkHh4KT^=UU0H&onZr8Xt^_+SmGKK2GjD)t~G}$5~yF_bpX7Ji( zO!`mp+_TxOJnfNEQf0WYs!|AMWr)la2Nh5mayo5&F_kh@G(2=1N?WZ*TPeeCLm!#S zP>IVb7cje(D5q1!(FHu%Aj~+)x;?bpQWH}dl7c6n<)RFqf5DYs`|qL*Z$%kiUh}cX zdcr+>6}-PM`lWyTFMQpU!aJ6zcR)R5g=bl3x?p{+!(^`A2aqenyOGFzdoU8Oc=NNu z1BaL9oY7iodF&9(3J)Q^GCUv9$ykSzbsT*-Aa^cG=WytCg=d9lO;6N0yGGYe>Kq1= z;yV^UrCpncr3QRtm*>l$EfbKqvv63eb)24d3n3Mu)*6pUaW zqZU(|RTX+_qG@7SjG!8)@8ScL@~~|+Ti62g@#OJH$K!U|AEO{Ml`@-vE@4Mx4^Txv zhIaOfidopdQd+rT1Cy{IosRha0Wgz+S_*-ch%|);2tm`gj7Y1^86o479g%+fzx;z= z`8%dDK=6g;VSu(9<>;8i07+jUlov2S>InsKg*wR*E*)k-Nga$iz7{ORhs*^JNbNxo z8e{+pLno{VVt$??VSoj0kucOrE{F-*jB(*H?Y8E6+E>UH(g|}xo6bQ&Fz<`Q6oT^T zxg?@Xo%Bs?O)WX8=2X@6u{EMPiVoxl)jW0bP5+ibG_s#AI+vPtj(VIUqbD;8rNJvG z(2X_RDp77p*@-U8%16v%rF=jynsz`crs6>dn=8y?wZlzntHo-iLi}`O(~Dfs4m&su;L6Hs+enHOzwvflx9X0MWf|!--HX&+BX*7e(b4#{xg5^SCm|{ zzQ(O?#!LrAFP_%Bo`TG8e}EDZp0q8_`Q^vJaB!E4w#O*0M(<_aTvEswiDlVqQ#CLP zSvMD^k|VkZYMA4sItewfmae!#HKN+X8u6N0bMd+sjg#N<=Ia}kiM35rxMpboy?6h# zs!|Qil%%&_vge^C+Ta3-g^K1|>_ZL}2)#_cb(2?t;knzy3eFc+DMAG#RxY7gr;(1U zk$Y1D1sPL*d7NO+?Ziv^$m!R4%Ma;*|L3#6>G%9#q+f@1z--QjQBNi70C+yv^C4s% zFzTmd9l$fc)=kO&8EBQY0M2u0)lc@%PCywWc><{O8DOeLPi6mXoL?bxHjMBqOe!kX zLD!DNqz33I7gc5#_&1?Z;*%cuPG-+oP8SV!60||B$iGXz<4MVP%u_V4f*$+AKk%df z%-2WDh7tj}FJrVoPuW<}4aRRF=E57D`P3vKWQ1nqg-5j)f~Ysqp~!;NV`attTAM)7 zp4bzl9&^DCl=H}&BE;RGeV|!UGH=eM*0Cr=ts}V1`q1Ldn;@{2=qSaC;8l_SlYEZU zV}9rpdNyQ5d&Ja<|{@yDlP0_?*aX>j1E^f9F64_8O;M8P+4jQG?zvu+fUF%T~-96T*Q zC4aU@tsv>$*nwOOmkjb!#AWQyF!+)Vj^5N+gu#_ZDpOXIM=jgogKlL|PdYw^x>lt^ z2vo^?*^d32%Aekz;?rUiiL}d|T6>r;b!wO7_uVDG=lw)&V6Y^=ZzlQu7e4PZ|L^xs z2Up%liub#XM{Y(9+D`LKK&dVC${;&QkU;Hiq#K)9!?6BpHi5bW#wfVGcdDFyijYN%EHgqx@Zi#uVJf^`Gyg{C;~GzeB(C8fRg$wj8TBgaYJK=B zlSxt8{UPR$>N>aR+vowDCp>vX2lt4KC%l~f!((qOYB)ObY0}%#Txf(sB%7&<_cD_^ zry8Z3JnevNU={lz`B}w&vK_JCW9K#jQh8c1`l!uak+kf#j-}3LK zEQF93v~AFav}G^y#yHrcHBWpY<2*>+&6vFii<29I#n`%F=`-9hDO(GQ8Z^OR*8!S@ zHY~3wrq%?5T?aH1E!~3nLMCY56)tAIGiY;Mj2K!I40avNW~*r#v;)U>dkVEtW7j$C zEngffsxM?q2D=W2$~IS{zK~x|Vb=jWM0;@ch5R%NyUt$6UB(@nwDM}Auj%U1FU`y& zb)nlghRxIEhEf&sP3dH;%{k3-{;#px&88V~FKiB=U0MX4;Cyoex3S4^#p+%{WPGav zq55iJL}fb}LFnzOcM`O5l@aY#RB2Sx{*nX@Bh2ocaj&C>48lu6H&X0RFXoa0txTMW z)6sOb8*yXTc?2`9&+?M8c!JIJte>!etAri!PIsQOGA)m+66Ji1(bFW#AGRBg9|}wMi)d-p!gq@0L1#{ znaecOE@)Lo5c-SJWJD4x(oDM`2GZ;W&x8>GR;LI-nrRob4kWIKmRVeNUXc-v3vz&r zluR+6%-UaLMKRMw5(B2S?H5yx759`R8K)FUgy6VA;8fXzji5QWm}!?hpxz<@euoxe zMM$MJ^RII}qC~*}AHNPtHT4CF{w-_n*JuF1(E(92-U459()80bs-X>{{4lkck0TqD zN`GxJPmQ@%lRnhXt4H#hg>6-nyzUhCI#9b<8hkFxMo6A3IRfHhha(_!y)JhI?D1V3 zfhX4ynD-Mcj==3W0{{Crzv2165{|%d?IKXsur+n!*Ek$4>x|}5hZl6CwTpxra64x; zEI^_pMDjfL?nv%-R^)fW2cJt4IW>;9SVE$R?mhI*v8r9{_MEs4a4+ylZH+%C#!2!c zE1nJ;5Khu=#yRG^IA+-qDosH5RvJ^XU{$-oR8oZ?BMl+Bf=V(aET8H&B*A4?9_G6} z%c^#Pi2_Q9giOD=3~`!O?E>25p-b95oiGHdG`nnvB}QX! zm7ySP>XOdi7I7xGCk#7^20~PNA%BNG;)`K_at-^upJ*}cw`17f@Vh?Z1Aj4r5+vQy zCgLN%&iSe^agpn7$(gro(qzdDK!!%JO2n%z6q-?+J{!5~oQSuwv#^JXfy;*$9bx(C z4&!R1Z3kw(NBEZ$@rN^py@_E*5~3{@?#otPA$?BLg($t(p=y2DiIIZIMEoCTw4RbuQ|(HtGRq|^1pylK{9hB<%Vz$b zpC45w_TwrEQs{Yl56|ISszYSC$1{i#gwO!KW$!_d%!u9a)fod6#T`Q;r&kTqq_wSi zf>WuFD@Go*bt46H_|f;m@rJz2`P`%KRWOH1V$1>3M$8JK%+tQ6S|Bh2Im(3 zBXd3Zt&(OUzaqR#x+YS0y&9Yr4Q$QBjuj(W^K860{v1B{tQ6tPnn*O^e)5TJOGl7o z;h;zY1m%)FGIMoE?C2-ldN|jhqr)W5`emK}7F?CL;Hta@_*;4lu;rDh`Ypf?{$%r` zcfiG4fIZ`{eDVE#gd~=y@*RA$dnGOQg`O9`3f4^PrH~E0))xIjzL7?8hF4OJz zt9{1W>^G}@b^Q>i_ph&i->r5T>$Bgj_7&C$0`>mfj`PP2%bPO!s!YPVuXn4&1o(D; zK!?H}$^;yx_;!!MSiZT(x1dCUrd#vd{wB_&EVZxFh!r!>3opI+VvW{#;VobB{8tJ7 zgvO&tbbXKa@LrUL zr1hg?dM2I?)b0Q>?xhXW1H3vTsViwvJVX8SOi%C%P0_3z;^>&EqU@XgU|#qbNmeDE zDr{zk25jEoV}#ZGG2+LTry(9Aq+lNZF&astAVpU}Uy)uLhwk<&d8mC=Nf1q@CC`gb zRRq!MsU^?t(n?<2Fmx#y5-?3UiNOhO)g%H9>(lwyQNQFg)8(L$nYm5b4>X!n+KH=` zuK&oSQ1V_lPu%CplPPi7M3 zN51sxn=YXC0k6F#+?~5d>teQr29`ZrHISOzZlO#00EZy8vI`!8xPZwfQ$C3G8bK;$ z7Yy!XfJL;56)W<6azU_3bVlvNIzoMDx)m-6fGA-fWQ^a~e5+WIkCY3Z5A;v?ifmYU zs#oMQ;DWF)I!gv@{+Ixh1t$%M+J9q1)s4yn$%f-5%|tcNIu42nPDxuoXxT%+lL4kJ=2wcS$LT@t?= zl-*EW^l|lJvPd^tS)dPRf&rlrxWmNnTq|QQ;Uid?=lUujP|^$yPwJja!=0dcFU8 zUgi3~5>=+qTPI<$9=!L9Tq~<7s=dHF~fcV`SS>w>u94=Tq zUJMYiP&ovtIb5(ilW&1(V~}2II3I#^eq8W)rnkvdJ^7W`lt;*6uQ{F8j1hT?8%gU^G1vPs$C&k@I4jMV@%XP0k z{u9cvmm9dFS}>0*97IaqBxT`}daBY4eMTbg`{G-uVLr%-pXU8UZGL5;%)c4R{9pC4 z-}!U@RLqOjAEW03bT)b&W=5v&WP?=s07y;2SwO!@>3y)D*P;j80%@U`O$hF`GEye5 zSor{$rX#rg?u30ByH)!DWX9&9H*jAY!O92l92JP4B%_oMUHbqGm1wX*echVO>;oJR z$D?B1L9*XEG?fnkc53$Huynh#35#)mY9D|HHOXyzIg+FDTZ!S0q<55#94j&Vns;ii zX+zaUIv9_r`WrRDk87q~xB9=}s$Phno&@42dtfg$({n?ldCRvZhAI5t^!pC)`=JPt zG}da9D~Mv4B_=)2*1BRmAE6Mo5Tp<8f*^ZSmKbEmt$QW}tK^C{ zroV#SIM%^7V{_sw9{; zxP2CoVSVGgKc8bKOzUoi-CKyTBYBMrF=c0rf}Flw`6F7#s7J8Ij+ zs2&cz!g*DT$KYkjp2CG-v9Y=siF5qeL70QdrS1I@L++A6m~+-{`M5!o(F?kNw|e%+3e*n4P(yi@hfkhP|~K3|+qL8{hxEZ=cTW5L<|M{{Z67 zHqB6Fb^t#TAS5^fnk<8&k1WQ54&CpRas;IbDv6w4$(fxFU_`9QLj$+i2VtwH@(RJ&AtIp1peEt4iuHbIgwXd&QhEc{?gr_9`f$4Y z-p;A!?tAL?rPG8;j4=>tAr%VqFm{9@RH^BhpfR@T2v`Oqod~v(t_p~}l4v0bu}n(9 zgvQ@*Jial$Z_YjET>I?3@41%=b$hRQ)|lU%Yd*%~H^%r4AX?3_D`e-mMuESaB`Z8z z$(-Fe9MK&klBFdePE#_me$jDEV<*AE+uJCN7)26AW>S8N{Jk-*`X$iLbo24N8=%Z)>TGQ#vW$4ttE{NVG;O+p9 zP`uYE@ut7&f@Nkc1Tk``UXj|@1$SG}*ND@HqMTNc+SdhRxGkEUR88Ag(3QN2E(m^R z6uv|I0)9u$e0^wY-y*1Grw{}jt`;Izr1mX>yCpGj5$u%ni}rQNBPR8V=Et}y^o7;O z7VTRkwMqd@J0Q~ONK*T{qoppJH2RF7(JW;py z$7#2y4NhiA>t-OWx8D2Sul&eZZmP_9KtY={T3j^@A_{*okwKH#4pM7?XInstmOAJ8 zxCe8wSi2CU2`hqYG=XTg3uS7JV9rgkyF_#R+oOz}&!xmvHNGO!Vk>b75N#PxbsU;X zs0bp>Zh~7Ic^HCJPcB%nFT~l>OPvobE{01QpC!`lxTFfF#gbKS3Ui>qHOL~v?NJy8 zsx@WI-(a50cvfFr2>Us{t6pdbB!atU!ppjM?3C2r%Wk*C2E^QQ*4DS4KEbP~M@ zoqNTM+lOf64ig)nRLC2@a}Qt0#3z*od5(REwdEf!4^HEE$UFnFe`DGWJHr((-F$6* z^2`D)Xmv8}+)k#QFLc@zBsxs{HCdqTsh&;y)5`)q?H0|ZeY*E`&YY*u`Nlu`XMa(82Yy-B_=El9XJc zUm|q#D#{C5na-M`p8Xn~P@2z3AC03{!;{verY;w0y+tOEl0Y03-EqlLcR_7$puD<0 zfC;E=Ho&*)%%nZmZ%0c4Rm?E}+Zvq=6{bHv@u2y?_@?iZxeT6W>v0*{e*Bp(Mqcpb zGH^Tj2tD9HDSx>DRsd|_d^Erw-`Qn&dR>NTw`g`5ZpUSK>Dzwn6ZPB;wa z5h_G`i6~bx6FupH3}^>I&d&MG3_e00ff~fNpc^*Kb)Xz&?Llz}Qn$F^AncwK4uhyW zT?Suq7<6Z)YLUDF&|S=tSh||buW64{TUgI#YAl&9^3*}=J;oIMz*T>)l;3A)T|A?( zEuAzg)JFwvODZHm(`}lu!SYdGc~(Pkrz=mO@^r!wsG)IghcQI$=t?qT^w&1`p5vV6 zbGYNgr;O#a{W{`r0R3>S&#o4=r+7A|Pp>hZc8g|XdOODSQ~%IQpZBv-Evh%;YGaDj znIh(P*I?0d_CB)HCufok!E8*eg`TP0Rfiic8`H>5gT%JYBWGh8HWDf7w!$DA(_$mR z;e$ZD&Y)Mu6ostP?8Z2~EgZ_6sS8N5V>^)0hB?88Uvev!0K2`R9f7+8y z6>BarFS0tz$#JdNPKJF0A3T0k+na+|`xmE&@3Xm$ah6TB(6>>x6i2gA*3s@B>)Vif z&=^)N-a0!eS>HDHh54=$!#eJ$+96v%rB;o^GOc+<6{<8+X@5RIVeZ&@0iUZRrWrh* zV?B{~ubS{-tj|i!i@A-{(aRIoSJL)(W_@orDa(q{kNxyt_{q;tsH2poyVmo9?VBGe zTi82LZ({^Ob};BsLA9(#9{kN{g=H1gdMWT^)QW2e;pcdC;t()wO5soz6x7jI1ZRgR zGnDv9RcjU$)X@Zwo1-Q@F@sgwakHSHjxM+*e97nq4+e3}EU2iXOF9!9DDFBH|DyH$ z=bTm`E$8n(f?KY$CKHM-Sz;%wDfOz=(Z#p+UoHdDaGuBQL8@;nNkJW5@&Mi@`9+BI z+J*zDkU08o$z&_EGqRP<7~xUvTokfu%5#&HT}55AyX$iPRWYE9_93Sc^}u(Ws+@60 z)SaK+x?O^{PK-k+dsanNq25RpQ$tfNMM*b+JL+37he}UxsAS4-tTwJfrOk$uJ>d)Vz==6j0yf0NBEyiK~M6$P?#i zu0U04oN>pJ)I4rC){qjvrV5qNqNmL;eU;z>EBVpE2S(BsMx8v39QsW++hJWTQ&^3X z@VX+kvAGu{_RCRLikT2$>0Z0G8mQllXLHZb5g)~;DKfQmDj4pGt7a0B8|;w3U=KVp z*E6bl`9L_p@czGExV}u~%%(bdQ;#m+Qwp+Qd~_ML*jB84`TWC|Z>C`Q-}y&B{#!mX z;(NUr9^bn)IHaDWQwuF-Q$0CFIB5K{sU9@`m8m|WVwk3Se_El$I9sf`CkZnEA^hNG^s2dy<(txX|4J)O^b3^FOH-w_28>xfcJ+S5^4;)y5w2SJu`zh@zw4k26 zAF)1jN73{^aqcL(;$iCYwGw||bCo!AM%`Mp2kicPzyxcCzV-s-JMY-4ztV25vR_X- z7ujW}G<~g>OaOer?|kEb^;6>x0@`-9;%6neb+$p-1c5REls62~QLoxSvv?zRQ#%mUUw6uOaS<$#5E^nIuoQvtKg0wUNtQn z7a=6UAKFRJW~k+~##-{z(eKr9CF=XL-l-&B`T$kLV zfLZYyB!4>RnSHnuk~mnCw@3#h#bb0mM@AeFbuNNZNVjb;rfy8cGg?y{ zG;wy9`>IgdhL7vH9dzPE<5e}AJhMTBqb-9%Vs#`D+q5*Z?x{*O3kzPV+mh`_wF2u0 z4_-2Oq;YaQE|_HQ!RoiZ?_$x7xJ zd2LLT4Ce+DEz2u>?}GC!{o8%JIUx%?`#QytK74Nqr(b;d-V|w9UrjNjv#;~I@^yaO z=l;kSeI)3y)ZAPRhCmgxCOZ2%g`fp3y*86M>~1!u1vNoEf%Wi&V78?}(6Vc3J?eVt zKus{Qsm(HEU#AeXa3Xd)^fVh%6kyZf!! zs(lYCEBQ63PU}p*u2eg40}oD@){&c3W)5Qy7}NzsfMG1>gk*q!G?Zg7BU+FjG+KJS zrlecdm{jDPl70=Rq;FqE{*^a;|DXBRF%=o9K$y#U5wNS<&#xp$=ktAHeT8g%qlYKfKHoriydBp^qp2+WtxKj zR0)Lmp#9yI_ZyW!NFH{4?|gSkB@h`8+uK-qzoilgo~jWc_)i~IyEo;jhSq+K6$H(> zz;Lk1Kv>Ov9T_>@c5O0jEpQo*k;QnSc9V z{^vgVSN$qvJwr8gkcrinl>khxAlXrQNOkks32vEIHY`_zS(ws0aM)}+jIg)sz79H@ zSvL>*nprmwyPDa3y)joaMcxlk{HXfy0hrZ_Mtl6=(yJaGM0Adimm<@E^0vU^QULkj zaa#h31-%H8A9FVY51RjL7B3F+m`vuY`fMmIs1^@ z?u0K7V{tnP#f;-D(ELa8a(V?z8EFw29vnS68VgXybKETg2!wBS00GJLG%rwcOX^@| z0NYRll1cF_01a93%@)kX%`2C4R*Mq1fiB4A5xX75n(2XF>^9^U2B8q(#ZJekz!#e2+uKZTCS4zM|Q00qm=5FoDHJ^tg}&hj61Vq72q|J zjoRRR`GB*{mMjEFb|J%*SPUQlgB#f_*-ppjiyfPr^`#KLo1%WjpPU z^Cc4E*Kc!LY^y%hEiX_yzHG<-xM2Boln%ON12=NDeDm37wn;hOyTBG6PA_%hCiS1x zMIkwerM6J9rB?a^i*0l9G$n9qzB<28o0T*3jz=%NAO**zpu|BoTpb{C1zjkDUX^l% z+~n1X_f1(n%duYN)8F|G|LO;$O<&piohGkLyx6aS&*P$vjyRD%bqJzQ1T1fS#e6h| z)~oo6#|=sN@kAi$VZ{p}NZaCqyUj*BD=@hP%DY~Xk9-l_13WYax@Ibe7PPwHevKJS zMnC|kKv=)m88^>|-UShobBa4>$&oLwJ~Tb0B8UJRb_IgyTx|sySRpk)t8k98qXhFa;FsjD_*s{7N2oS0dfdL!&~R+xQ@e6}6QA^G{bNF) z0;nRO4CF7G8WFP3gg2F{gz>FZrEG_)6pSNnR_f{k-XN4=sJlwTT&25^OR(oOluR{T zJ=E7~k#h-C3QHwbF>QH@=H;ouzU;^T$S?oa=$=ywHP>K9sK%x_zRUdKYlDyMD1` z)~0GC1SOm|K`g|9-a@u%sxAb@jGG{|FpJ(q&}#TXkQ&Uk?t@)K=R*M!1M4?cT?mQ> zbIJX3iy}X0FbD!(%#x!TEHsT}W1X}szVM*Wo#$GMsp7aA)GF&d-d$a@HAO>BkqLc9 z>2B@Utirl>FjzB_i+@(${uPCO}4~_Zsim)AYdPEi!Q|gD-;f)9|!8FtU7&WD3Nr^9jDxfsI zy*>g2Iw#EzgUH~$5P#5lhjH*pMpsM~t(1IFhu$phK+Mp!w)QVCRG|ge)i1mbJC1U> zbC$0@-mb8q@#!WkFT(W_Jj{GuW58SutO!_~f(Lm|3-kei7%15J!NW9uk!vT4rY+xE z4F(S{yx|Z2=z9bHQ1jCb9-RCiEDyEMtBE3ajti$haFPxWeGVQXCRm@)IhwubdP=}? zwK+&-5NP|X2h|RSxFrVt{;^|OgcW%;&+fU-{{(nM z@QZ27_o<-I`J~_T{BMtrLDglRt9B1yrVN}Z1MOKLp+R-(H&#$0Wlu}K`q1<^gem)r^Z;$;|T z49?V~t_vb{!pth?dmbg-kXNM1a=|@X6C@?FJCF&zJ~Z`>3j*h1Z4dIvE0PSypf za{L>my4i>ns+<>IyUvETtUK=g3(;Zp9)y+gpzV*ZZ?XUabCzi5WU8t*9$g716 zDhNAmaGqZvMN4c88CKUTxJ25T6@o-dTyS^5+b?=$yA_^|tMm#wv&aGlb%6=P1ZuKi zrB`rnC_jN9>iKJRp~WKQ&|3L|&>T;!7A*QXKDBy<3cz%Wp|6*&S3(J0?z<>%=#aio zjb3q|+5g_VnDxp{>6Htp8Nexbra5NM`0hMoQy*t)-`O+18PE8AU%$Km>jP}l%5b+} zRwoVvzGc}AN=C`Q1v8Rs{&U3&JzHn!KB?jtiEiy*~18x;ZWwO?y4$-Qpk>$szXc-0F~;_WJ0%nWFMFqiL^? zzB}KY(zMri(FITUHA~apHR!w5(mpx8M6ueJSJyY`u{x~*{dJpMF#mUzO&^nq{U|vY zSs>|94GV&6J?yKuq*wqp;W4M+U~D#^kZ9F)M#F6gb+(fOGIAD%sf8*HGsdwcX_Gkq zjW+FFkYi(S;lxbfd9Nxs?Xp8M9(n;>jVQF&k06RH&Z!Vrm7;uX&7@Wj==Htw(&eMK z<9dY=-PzW2?&wy2CZZUZwzJxzdIR6g9VjxOfOIS!yeQ1_(hyj_sx7JtUWC*O1_TC%>nX%*ZC%7PXie zXtm`R{X9pbd$;>y9%&it5Bl}gGG>v!bZ#m30lHN-lmEgvoX9;?8lk-|c!OcTr_Ahc zf)iOfkUP6r3NT!XAUU-#nk;4LE z2L=eApytkk6LOBzAlchkPAfG6Qj|V_e+)0Mg{v8mBH9-BcLxkiKrE@#T|-=92)sF@ z4^sTdPk!I~e=v1#NZ!cRtye#QLl098(J*;fgY;GukLMJv0Lw}$qfBB4pS}^yC8wv& z5dj<9JPt=8l#7bWdSGJIrh=)tJv7yAIY&f*qIEDZQ6?4T6{&7raJNKDGu17|uL|p3 z7L)=k`!Q&hMjHt97^W_*+8@JL!dJwIq$4g5>v44EZC+8-ZIN7VQAH+8Ze*ZrB`HNX zcJL#rcX4p6iDyq0vn}ES6?{$fzkmu6YaYM@&|V=;4)~hh9f(P}&8W!p)UB#HOVkv- zcUCE@Xlqlhs%>rK>c$Zjc$Jekv$nlSZF>Rbi#GK0fmVC?M-*NsMKc4fcL%giyG5-{ znt|4v0a}0m|M6+R__Yy6DbnV4-Ep@>AlW78t)V;&&ZRX%6L^Q9mv-G(3<61jxHMZC zx~+iL)d7(SgPtBH-wd=4GWk|O>kyM~23iM+d@G<8W#Z28-(@yo1+D+=VIE)Fb(b9G z@y$T%;5d7+>%QhNk8i#`hbQ3itzs;(#c4oNGUr#$6;S)_K~@E`>T>>7Z~Ubq{VgsY ztodI#o92J~JOA5!-E2}SL9Gt3_+OM7%uwrj1Tqq4rKn3>a*w(UYCYc%uvg$*KVWKH zHEsFUhOt!RUC)2QcmL3s{spa+MnNEz?NB^r{hPSiR~;s*{DSYnZvi|9VZ(ZjuJ^0; z?*XM`z`Od%*RuW{Bwx$=7Xclat%T^ML!z7YFS_i(1Ps-G1g~35LRINs0BjQ3pj@pZ zC~;M2z!h8fu_REe4p&yzzXsXg5U(pTd*GmC2+k#u@(>;6q<*Yekx+lP(W|oAuG}XR|3>NLoc5#yX3D0gf$!w0)nS{T z_?~b3(3lRJ?3@nzoLPs#oAm@p(6qw8hlP`wg}Cgol_8I=>!b8oZX4XS%)3AC}NglFE^4$2ux&DhpF~x zrW1=M&~Mi2rs+n1wlnlb+OIFeDT|PeH}Dqa{h-;c-t4Q zBXg;5Y3qoUz?l`IZ4j4ZxWNk1N{KBU3hgQU>{nt4`sxU-nSSO>b{u2c2CtP8Tfwms zMS`+x$-&~`~uHkcPM5T%-0e{4}bBA(5XM|eHEIm$ z*q;to2^#)-WjuFA{S5FKOieZLTN%%xP@#$dhC+n~o*s%7k^%%z?)75@T12tA<%1f` zz6F%5oot%cP7&gyM`Qqw9-O39Zv zw~|tNxnqA;QdYi*GUq3pw{E|XEJiZTa(O%_2l9~gj>9zL>LMK>EKs2BN7X2*F7M1V z-+spKXFu)ooBpSWCzKG|spyOz8+7@`#J>ZBzB={x6_P@{TrPM76+QG4d8{#mP1H>Y z@;bZVX?@g;9p*&>P@rB4L8?R-M2?BmQ_wSgV9j*UO+*wMxTmM*qo;autYv!`^#=1!FTGFn3nbRg;*%Aj` zyAaYI+jH||bEI>3kL`W zh;v4+W1zk!M>>OLGda>Z4ArCLNN2DdB}Y1g)hIdAdGcbE2al^9#si-`p=Oao+>&v7 zFoBpho5^B<8++-CSLG)U9`6(56J`#2la@(e;%ixF$`Rs#ltxbW%5(-whEh&waX=-e zICB`|AuQ#Q6M|%l2p4;l2uMO|kM5Ed^vwlJBjXB_FTXIy4@}Y+E!bI;X3WtUR3EWS z4B_>5WrIl~yv_wni{ojn!xAxsusd2XJ;)2pL$)D=D0*vwaiE=XbYrk*5fpkO#i8?~ zPjk~S$S*&4*v#x=*v!uzHg6E8#%lSw<7Rs9Fhj6%Bj9^he_lIk5Fl;^c#llded1Ar5=Ez|7(FHxGtin!Fu?$_ojfzDzS!jCLA;#> z@Ym6S>H1+5V6~ji5{w-%*^odMGEj)IHp_d@K%m{BI$%3$aC5h#1~D2Caci9a=D^W| z`TTi-()Gg*)%jD=#r4z2%Ta|3y;@}x-(rrTq6)iv{1#&geY0nfU=aocfkA>rn43Iq zc+@)3&<|AM2W9$SaWlL{5=)?;(xaVwMex-g6Zl!r{s-$fPxoRFe7A}<>3<*yn8&4s z7)$FocfH#3=2$uL;j{;wQG=%0i;2<>ps;OuT2lV#akp8{7_BP}=h^Tj-4BD~H6Y-U zt9AdI-;}+WDD8lA*3PicUQ8+Nz=+;`E10b_;S8{{vEpo<1Fyx~jSiXm0%hxr z*4Y(DqQH`(tE3}Y-JR7&hZJHiiBS>6-KltUFlFTkkO|qjz8aK#f6yYzJ5Ed5-tlRc z6n0ecfh&@|`el^WbzG=$mE@CpTjzqxy4Rg#fUorA=5k`P_EZc5Qa+pv!a3!{7j!QU zN#%<*_6Pm~Tjg60i>)>*rCt-KghEGqysZ{`z2Zs9qItOCUF8~SafHT2jgOGK6bn?H zX_pO#`_800h81K&9l0pvy3xB>41JcFNq2aa*sXN`OFsOi|1feN`o6TaR7UQA@{B#W z7#dqw8L$Ir4%EHq7G0(260CEljWAoodUj&QY`&sVb&JG8bLi||fT?z+D}v*?jcl`f zal*8E7(^Dfkb|Z++}XW2?Y79@P!MzwN;Txlsjj6uZjNf;k%RyfMZIF>UPR?R>~_0k zp0B4qR-t1 zE#IAGp667_-K9#VIcjsNTA@3+k|fO(q5xf`WhSR({ODKvVGo{MbPLwY&LNldx9_uP%vgGt5L>uUJu zH~jJ&zhwfb8f4_4gUe2E-O4-IYErq?V3n~pILsApR?Jb)Ajf!)dNQbbXo24x^(0WW z+mn)`o}j7Oku9(O)itQP0hTJ^(RSGiR#8u3sllLU8+;mpR2B6UmKsQQE_oI80BmM* zlr55(?1p-0Do}N>c_ybk?>|ZRjA+qcaiFT}W{Z(Bi)mYmJ99LMN0VxIxgBXW zNtCBe@m4(BHG`@%s5%+zd0uJ?NSMj zPN(%!AyB71)&^BSM-qN3SKrYSry)r9!Ua!om84c_2ikFFfiv?r6_g8F869ic50c!? zf^;uj5ZJ7CvVuvx%TLaPze}Q&NeSFh9xs*oc}a0EToOFFM4dT&Y}XlQChmnx?zi9y zrh5Udck3RuukMWoUT)w^@Kb-#ucJOZhdvfzc_H^W-Wf4be9{Bt5~R8SsV+ZyqT(Y* zJbSDuSk0)t z(Q1^~U$)c!s8w3!{ZHq0)UZirpY~_5)j73O;)%xT=;d*%j*cA05&j5XkO+O3I&x5V zbsa8UTkqWL=!E1eEsLM-$nvcbVpi(oKKoZc{579EHtujKb%)aSWJNE2fiym|t@{OL z!YDy2Q3=6xU6Db7sAyWSWNY(pz&uEJy{4H$k|%8BN8CS%G4#1>!d< z`7NqMBotUz~VT*Yc*RiPo66{r<(LfPT_RwYY(X8W-~#bz=>i7Q?gOI8XL(7P6s zAR#7_`R7y$^sw3x<6`w2@@>uUk@(DyjtP{;2YW(8P%D{l4@yCgSd;1-`nfSO^1_rg z%RJmIMr^c_`3A*6Ty$A=u2OY}%0%4dwmaM+-=Z=S7h`)ZcbBebzDK+D5`{~+N|;nC zxyWp~L_zs4vT-K7n_JkhY+y$X5-p5cmM#*g#=@$1ddRW#`-yqEY|7%G{n@zdSt;G? zka^<|SM$b)P2|~h5yKRPqF=I7|EzQwR^P&39`=U%{+!Ynt!GL5Lx)%P4g!_ElkG4N zZE-4UXE&9-gFv;vY^VKY;8r?5YCl)mUUrIGS?n77OM_|JWEnt|V8R-*1M;p0@XndK zyUWy>Mmp1~-&IK!y@}WJkgQMtZJ+&<9G%iW6PiV`t$LP+g(@I`g2VnZ)6 ziGI11d7Ih(WYY^M7|sS)1UH)E%(ez{f3;_{;Yce8f+R^n^AOC2w+xs+ViZ)nVr6&N z9{z5@%+~yvY<81pw3b;l**VEp7tVu)zeguuj&rO%qZ0ofP#N4DyYh_SWTB3U{thoy zE6L{ja?O{_p3>$oH=R-0m1mT~RqZ6tcSqs{Uo*m0_+?szoqkciKe#FAr=btEMG6$> zS*@9G5L&;K+Zf46A>a(jTlF}y6Cvil;)^e_bXTn3&&YE8tV{OCz)$F1@GZhqQu2_F zd7}=f32rr5O~Gk9c&l|sfcc)q@1X8WvhHH-(*Y%(OwqJ#Cv)Cp*%i5bASK0GYy7$>9X1^| zyCUy->1$q5DEq}nm+x74&k{D$wAGvLum1Rd{L_ADbz`N&gB8JaMJ#1}f3VOuC((mp z8;FV;??^k-(v=m}!_ZuEo0g}ZazUcy(z|=yA3Nj8sgjD|(HL7mjJ;KR9EYYW;(}<( zA#To++|{nGS{^mBYOQjYYt`dgb-3PSe{0p^-nMQnpb{5s&uG?RJ1iFleZ+}-P zcp30~Z^A7SaNh3l$SrEY`8kZg8E%qa_oIK~^S&o+r#doi7{5mu7|ab~=^bbgnwYW< z4@ac4`(BC7!L+7r3XWgP#5H{GQrt758X2RYCNeXbc>Jg4NlIAace+TyeJoK3tz8 z?YOT9*cc0ulXHXOhe~kNKo-NMrYci6y~e{@$B26j;&M^dFUb&;gxE?Hmk`_5fTC== z;u?|!K`md|k9Lfy)Gy`Z$>S0AQtcSe2GU0T#aZ=QB&W(;LmYNcaSgSQxNIl;M+Yx$ z(u*tRkh2;PBB!8MzSc)rW(|~Y2^c5g{gePoVqAO9M*^rh#+_bbd*d0;#aIi9@-sm+UxEt?*7g9JX`1D{qS!`XQSpgF@3d^bwZ6Ids)jih5~V5@Qo+Zu7C#cG$s5EF7(* zfP*HvM+RDcIPh*#*wt%F#gG5;?TKUJNkXv`R?9g0BxA2J?lLu_)=jGF2lS9M>W&?T zi2khaQuyN8RBb`YTJ8vu2G)~x=vfZHAt+8{yksu zc^`fd9r{-QhMOI#as>y(kZ-)NxdJ8whL}DM{#90iKx?S}F$4@+m&(-fFmzXfPhSLA zGV3skK zjXNtZnW|~*4H!{meVXPg{>{&L@5=#c=tAzc`CAOLk16)lG}>TurKTwrhGVG-jB{mg z7GL+Z&3*E>xYjg_bS)KRm(Ml5CO3sIiK(=BcJeD$DcYSI!+xREO4E;_W!hBX zlB1?yt*%uG7Avp+dQRJ)?(wwEh*TG%e{xw5$kOIKB!`i`073I2=b?4_QN|9?;jGHL zP8t8XP32-|Vt{u-6__Uw+tCUfRwIxK(V znUiU^s0|cE?+4*X~Tqrd*0Z~wGHf1qhB_X0|OeYwKuPMBik8k+pN(jU0s0oGqo zL1gTWp4z@Lu&)U2h0%?ZOS^RnxvBv9eC66kor29mjTHrm^f*T`n^=-Z97*+nJCM2pS&Rcdn|T$WFDfj@^> zxk&khN`L>in$I($Aw33^KXA5Pbb;Sxsv!&;H0ahU7An6A0z(d$R zT0e1;3>~v@pC+m@kyR-4so-WfFGNeKxev{ z;N_FQ1OqeO;L*VYOdg^5V9AB;Ok{z}Sq*`MOIe}c7*d8?mV91rM`8^$5a5+a2Rxp~ z6R{B{h}a)A6nGob2}7VdzHF!C<9rY4_#DDkxxgbc)nw#cTCN?jhM!Y4refwH~5+?Y~`YGm#dCn{BJ#zZGSd zj?eA1|8B9#_kX)tY^xApD=IMU&+XWML%kQ9`goNPK(AKW#JAghDZ9GBx7$46XPfjL zrnVhJm_yvK>B6?@OZ9v!+PEBdTLmUnj-(87D}!KS>JLK@6Ckugu$4FbK-nFTBQ)A4)R0qLDB&?f>zHfjzf@opa|{{3euq#p))X- z8o~t+@bd&uJ7VyFI(o4pb(9P4kYm(CBh{#$RtVBgx*&!Hf{_e{?TElYvAhtJXu~BB zYq+lRYh;{4{@K%Xmc~q|{@7ZRPN!B04Oo7CknNHNk0tFIo%m+fS0alYBtLlA&Y-Mqhe@M(W;$Noad zmo~-GDmD{W3zQ<~=kV-74i#Muste=V^!8TjUv?>K)AylP^*3r{d5Y%NVd}Bp`?){& zgD*zmg4SBO9<$0Tyq`YA1Qd^L@uOTIt7wcNCi|7K%Gw%^nv~K*APO9a?68uPsf7B_;%k{8N~q9yLctyC zuNpz||NX~o5KdD&p|w&bz2!qIYT_pe)<#+KxM!_Hee9~<)TXa8{jNjdV$D&FM=hor z%)&nef4W`QhlX4o?{&4G{mTo6X!Ok?jo2?fxjOZ~@lAa4@BD9k)Axx|Lx9HxUeLK_ zp$e50he-Oa4wXdzCw(V@QRusC)-2fTJED;2PG*I4S5UZy7JM`D|K{8l=eg3%{r+$X zG|am#`wn`xSH~1nNM>$4TQThxwKn6fZV`|BdZr}&slU7VTMs|AWGmD^aJyUFUg&!4y*KskPp)X=%4 zS$#l#$gXrG^ZfxdtuxnqXPH+wXuId=R$NY0K-O{Fs3#$I|8W77k+*P<;(_}V_&29t zAgU}zn^syr`2K|o`N3lks<5ipfn$mISI#T7-7Z7mamVF&@ObC$$b(DoQOO6r#P{x0 z_}{Bs3je;Gc%PvB8zqJu5M&+FL%%p+IFsfZIsdPHNYYcki0M_Q9mdEuk5hW=7px7E zR}-1FPQ)(JkUdTd^hv3^iMpfCD<_!@mpp6;P~de(vsOoehzH@4NJ`oaDdaQT7eq1{ zMN+Be@!%c0+DjT$T=InBev-%pw9s8MJ@&}BFv-M+c@0h1TqS{7%bGe|1ClHJq*gND zqf(}HL>S!pMfo1VY1gI+lvPef$?Xn-4Szcduj*%M+KY@NjJ>{1W=P%p@*+d7_eI9P`^(9XK5!#mWGoy1 zT3%%StG%k&t$mUCPvzU57a4os=8HU#HR>c`kQAtr2%RJf*u?WR3uavg(~B z)9~@0abg{~Gz^ zy#EQg;A;OS>$IywsU6NY-~Ziev8%Fn5U7vO?Kr+9rD9VbuM&!B%E>pMeP+i<&DGBZ zw(xK|G$O}72XpU z4Epaa8V1Dz#fmg)E@-S+c+^mtpr$_r>B_laA=<(zqNj#FLI~2N6~RLPjd~yT`9hEu z%>{RWp`-)xgxVXmQz1xgzRHfzP3vqfE5Z>4^r>Wg%n@gC3hgxR>>0( z#^&c#gHceeh1PH!9Tpn>jrBDbU)$G=GLI#Ss9VW=dv>QSN!R&{aHj3i^Zhx2msIi; zzyZaB&UakkZ$J8JbtJnQOI%QIT^)VYMANfWL+n0wpmypId(DQIEqT4h7AuF1I_doaed%yit3f!XD zm%}ZFgkg#l6tK=}-y-Qyqw`|A4aSc&2ZrahFunk&CK%ZZlza}+YDD$|rEzUiBBwc0 z_Tt#dHP8f6} z*j1VXvm_AgGEPG0dS^{>x9sS<6^jNnqO$H#`vr)-!~~QdL^DcB4ohsWrM4{keRO)w z!+zgzl#HrtRd*Q@d)pL;^b6^~Ml7_RY`T&lU<_SvA z`2yiRfiPgC8^8#yWO~qn#mFE_NMcT9=UGcn`T`}QRuh4CBsJsltZDvPpCH5wD@O0c zBUUzWGf7IgWs*QmSQWu1o&8hwFH+0ok|%tS=NCn~?VGE$q9$3c=MlbZ=RA`lS^$Mm zKM$U0hv-JC{rnzrrkcDxK#03*=6i&*Q)~+;R7b<;s0uqz8?A%ij{I?b<)^)8015JY zhRyap15A+KGi>#|X9ObLhrvg4S@^F7fVcvlh$lWi$$N$~Y2P#cQ(2FV+MQjl{hkeg z6+oY$Wy5*V3e@B+btaoNC8lfCbXLKtMDwXIq>1h~f`+663V4tYC~tlQ>)0U&yTCqb zuml0@Szw8j)TZMDEUCZ(pdVGRE!z=Y3tShQZN;iqpr)VG!A=@<16a&?C8mOg#QC$t zw5qe&%DWcVPqxzuw_piMY^&ct0+wv0q&6KNWv3O`1cB=M$#yzEv6R@<$IH=)3%y#t z39v$t7gY!MF0h62=z>mQ6F61b#8QA^GFSl|B^TXX3?8g$3@cR|!V((Oir5Ks*TD?*SjaS=ofkQiH} z3xroGADU0A3mVC}AYO>a>&qjaYLSH5!%0$d*;!INRhPt}*^?rj)G`@1A6&o+m)w;W z<;~jim-dx=szq{(^nhL>4j9YlIn6E>JYPxR#L}q$X8^u#1W=&cZu*Gf_c(b|d_Y~u z+dI0=9sOXz~t_XYm{&wIcA zb3PRHjfx<6;P0><_!}675-b`U{pi>)Mn4kL%}8Jd7X$kp9sTI+a|nQ26q4$+oMS<1 zq4MeLUxob!Qrk1Ak?Pb}44}5>S5uw3VBoaO&o+myu-}5yve!?oh%G|Z$8e~ud0H9O z1xTT4q!f}2{9W>h&N&I3Q0^gka$NIKB}siFnyS<-bTL&?njzR1H!B6QMjTK#us1}43}h5qi$iB44%Csv-4KM4 zR!lwwDLH6Xd%8cv#yUZvMjttfF8 z_GspJjhcHkwO6)!>DLhqWm~HjJR5rZ7l+K-x+PXE^_gTnNDB%EB-jH8UKe)vD5#B& z=BVg7=7OtQEiv2)&6MrN&ZkYm-jdl*Z#za`Am&V6)~Eou7Ne8B03$YK?vZ#E8J(ux zqS@?EzeVTF!uWJv-SUO|8^6grXD@d*B57#5}!IUzEGZQ5h)s2rw9kyZ(U z%Z;(m*jET*GZZ?=6q%vWL8izIg`)ENYNp5xh28|Yp&Ga-HWF*5=Brz2BwuEO+1S4P z6+bs$cXodg89nhDAsn!UGjV&B*J;b9WILI@I5caT zPP;|3t($&}&ari${)M0M!`~WdKdtL_oZ70qks{p_G$o%1Is(Onc>#Fa4=9}bX!FB3 z!M3G^A+E2;VMa(KLJ(;`MU`Vk+Bz3Re8=V!_5=F7kPxJ;b3p`;N^^KXaaG-JrfEMH zJfY!5YGuh}qRFpVk+!Y~dWd^M3R5BS5M+q!f>!&>F29YD!G)lNxJ44_Hc2_+GNO8j zzCe;BPVJJQS7sv*3Vcv`*O4Sn?UGm#lNE4FL6?8_k{R20Q8J3UgSX|`){Fh% z`E^}|*pJQxU~u;V+P{d0XAa{VBxGoS1o_d|HV^G$?f4Y#}0w7uKw>Lkk%wOEd^GLoSPO8`-;XdPXzE} z0|w*AWcU%*g`lel$~fP=VhulHO#uL*_5}c}By$e&aKOZ0U9&rotA-z;at(e&mu2&N zs{RrDV9d>e#^nI5x*=ww#;r>g(dO)`w)9ux-;&x@y~%<_`xM$Ynk=|`9+G`$I+*~IDIv~>Nu1a2O{l@BbB};R$d&) z6<Yu$K36sfqW)yc*@b!dM&Cnp*Cg%2QFkTUBdz7-&%*TlE4W7st=ha^Jih zja6E%!$6Dj*s7WAwi#%jv^*H+i`b;xKQ#P;C^r3IWq(cO#l#`23zj$a@hUKoHlq9H zv(HGav8y_g(!yaV^}N_b8++NrQYbVI_wWLXp-nse!{EUxckjl_d%Fwv6+|d$6up+j zAT^B(GsqkD=rVKIKDx{twpVjX3B9d%Ue7$W&oBI1^xaT;{jX1++7JBX zkALhfkweiK%VQUZE+*qjgK$yCl?G9uj4PdptI%ygHKHY39O2N?2|q`Wp3t`f_60hZ z8VY$W;!499P{x%8Fd%Du^h;!O&w&;lz+xqFU|h=zS7Hyp#CK>C?GO`7&6}@@!JrZH2tLe#NOAtpkmvE_Vid>B8nt+C~P;JOFG65EoSK@rZoRDzN}cgzCt2Kv3yc z9-V+n$pw?`@JQelR5J}Y)S+s4Qnw+UFrTEfKh8IMwD1t&VJ44AI>Pet=@O>>>%^E| z9(RE*uvuD~cK4orM%-zC`!3WQFD_8MS$Q;dHD>OZ_t$3x!0P1CCM^Gi_}JIK@jtou zAj41I)PpubP(wQ1A?gHzWIk!b)ISSy%q3|Kp~qHTZD-rEe~XB%TpP(qIb z?y_1lWfg|HKo-+M5E%r;@cb6mHU?=J#pTi3WDQ0km=&0fk&=`|hp#N>E)FP4P^2Fq zU3=&%cftBSDbFK&N}UcF)rr&!p_&|-0)b!AF4jdWLi|VnPqor?1*r17E>j2;psKMn z52n#wor9Ot?h`E1sSv&=12FTTkLhzSGn+xIZZql)-xshAcW>Mxs_?sz&fAbf=`<;)+sswh2l>BcU z@oZ_yW(Dt>$^VmeYlRi7E$u*%R$1B{ogfwx=s zy=UM_WoZW|o=9Po{WvJbfsrSoNnLVy=1IOgPcri)%z0DZ7hg1CkK6eW=-7HS+o*%L z55r7fX5?KuS$p}0DJT1oeS~}({CuUs&sM*+_P#yW!QX5hJj*B$!l{{OkRuVAzu+tb zDBr>fs5fuf1(>k>69zdS{iXl;`~J&Ny;?2T79&UL@P<`Tj5MNjm@l_&-7lrXAm_43 zBLt~>T`)?A_bZ#T8iG{4E?7#3(UQ|SG*zz);`Z6>_=B<;=5x%&$T2$}o}7kFacHVu zUomFK1FLEUsd|fG866Mu#g?{0RIf`GPUii7Z{biJTU4)0#^`wPm}$6}B3#J zby*?u-9e%i92kfLLCYrP0{IdNkXF{*U<|$RM+j+seZvJvE0Sd#JSzeGTQzvJJg=>oU=?c!L0j?G#pa&q<%$bgIk*yd z#dkZxFDTPhPKOeVI|n?I(uY`1FONra&&VdyckBz?Fn$xL?fm%79_W$a;Mxe)wB_5_ zgB4uA{n;P?|K2dByut3u&OnNk=DV#b0=(h}BW<$YSCx|A+^pWVpH8$9`n+xMA+th|#s=<3g`yaE6797)sDwHvka2BR({ z(F(ubTEUE~I%9#bO)RwqmxV}fMo4vh)(6V7tfJZ1995sH;mi{rV}elOn@9#UdR8{4 z__tGJ&QzB(3&eTqdXNQzoI_CNuOs#Np}+slU-7<35-Sy?1NcS^Ih`FhrUhb#kQR^H z8mNS&dh`|1kjIiS5^`!Ce5M1XdUQdMle5np9C2GO^o$j$9$hfX|CVbZ)XFPTJ-XoO zupyf)pbym5vy&nVitnIYb@*PWfdFn|GD<5*_2?@a$0d+{;PW&Jc|}o=F1ZICn$-Tm z;0iB%B}u;{BvG6w$yfTr?V9v$T@uqc}lv!lFWAq?($Nv5-gb3DB6jU zN+{nV8-B%Gv^0A5Bw5`fWT+)Y4PxNt@i^OvP)n;8UKt>gN)yBXEj5W#TPf83AaUYm zpwEn`P+uxVPpKbV(Y^Yp+I6pkLo$a9$;9z_Mk&c+Ib}SCPR52LXys+A*PPsP$r@z0 zymgD=R-fe-w`GxVi>oR(rJ+r3H6O9?gIm^AVixD*gi#e)<;0PKMiw~zU}dU|aIi7aWyd5gekC zq0V!`GTjPLh0KDA%4p3hyC9O!q_Sq$#ahCJEJ%&#g2rzNYR&BqvutT=>?{0gDm)k5 zV~(7zh?C#2^8N{Pk!9SQN>KHl=Q6;L#wX40vvJGT6PT`r~4TiVjEZ2+o(WaO;4;C zpH#Z9mPfw1g#L{ma)~mZ*i}B6{&juZ)xU5|>)F==lO%N{y%I_PDsB?61nfUxhP;EA z&(L4e?ojEkO5qbYxKmgK=bOvAp#;yWNfLxEevV!q*S`jLpRZEwsXkYw&S~tsOJh&F zMXgzy)7UqY#(wz0Z~v1&8>U@-1UK#GG2FLNj|lqKs4NwWWM7$Scfpv(0@S{Zl5)I> znl2rui%?Ejvvcr~<&^eqrVLq=`FYnjVnx0pzqM0SSIi<1;wp|Tz{Foff zU`PapQL)~3ckBc+IhL_@b5=C2%&UkMt9TP#=9qIYOKzlyHlL*Odz4^z%PF8(lc->G z$;Gt$HOCZC%(udt7^-1|uF}zSyjh@4bdp^+<_fFmCA>6+YBclIhe_P6YK5QN!t3EZ z<6&E8w77?H@3-slxje3#?fOmHb>ywn%Z^0#&Ct2o^FLet_q_BquP8~1v^9C(4K`Be z(meA1<%gH=e)P(tmo6Vj%{PPb*Z0W#cf9rQe&DZte4$a$d{U{PknGZm!y=IHhhf<- zQ2+%Kgw(r!Wmey|I9Qp22v}iPEM-;%B?)IM8YelTYFJjSmyQ*w2z*6MebQM@JF&s} z(4#@98kIigQ8f$$)mC8#N{~l`;QuvW->8h9TYu8st&jh`cX3$cl>2E>CEUe_NpT_` z=A3Uw`nrk>)$OR{GcJb$;uSp`7(hvv!=FKYagIyH#Yp>eI|XuWAdLFt3RjfCF9*VW z5YqnpMb5S}EUvQcoj96KVeDRmjB;s8P$^R_&yOkXAwAc+Oh5stcKjU}S-y>jm|FjZ z|L!~fr8j+2d8;+Ba$nx@9gNo_*OQQ=An$TDOgA`*A;WZMkfTf-un@y_K>?ywOm_Ov zJiMd+W30^%KL!c&_QzzHZg3JqhUo?;G1M?!8JXKRiXp>vruI1Awr3OrfoA_bC3}M? z0BB(Y{nap?kIa=6R(%^KcjRlv$Xv{K?5xR`tw@%Ux!WaDSo!FawXW(hoq?mDBPTPe ze^sDU?dtm1|EgI3hzFPPzbbof5~fen$G>sy!Q=}{eCC>{N=qY~gS=-L3E2)UJy;Wk zAR)c;2oi906Q@D5N!%0A`q{}BdkIF=Zl_>sMha)7@X?FUgM8xk9y45g;}3u57Y9

    E&+-f!ES4* z{(BA8g_$e6yDjD4VteIV+o~Gmr>5ZYs{Gs>IIZ6w6z3>3KQ7!NyW)9lcH& zgFV8tC4G9)w`sSijWOq(+s)+MzUnJK^J^2qw4&7>ryF(+?$Qm*2w7;k7P^9*D#}@% z$hjS~+b9cGIX6^*BTZFJ$RjS11GCW9ueHPN6&X>zdS0v58Rwi{<5m^EOny? z6noZHmgiY~G0IPtom0E+v)G+hy4e4xXyB4#qW*U#n0}6>o)yA6($FLbY7>iRdWrPL zODpM35KP-Ecdm~x6+@l2d~4r`p}zF+W1sTwXiw6PTG!3qIetJZsAy79&)Ar3Z@q2F z|97mhhVB7rmg18kNZsr!7D^s~vpbD)LXf)I1%r}jx9*G+;GJ^8!)lA_a~v8O6wDPM zKo~1hH@o0&xnrp#(8*PoER4F@1?{EA^_rLJ{H-|A{rl^prjE@-u?TUED7&E52(jp}Dj2)F3 zM6EK$bOKX39K(O zD8yGmB<>r*3S)x)L%AAZD`@@}F{?WJ_aJ{%$PA6AZBwjhU%x^(fm=<|lp=I>i+%A` zFGtf!p}*qO6umb^Mt{m6fR&ndnA}G65xI6zmpRuiVOpe7knE_6u9>W_Y5^cn|@X_yy=n-L4vnwS~Dx)}iL-~E#B`>tOcH`A}$G*BQt zw3YqIrOHgNw`|H1Gu=rpRc3nXG|=F1*kWE;V|}xk4oaU5Ghwyiugr9C`hdftU9vIL zpnX(>zOjHNypGCD?{^q|bfxL1(shFlMpw(00h#L@J!k4}V-Tv^&n8G3G5z|rgIAUP z*X8il6x)4MGJ4Q{AHZQN|2xB1Hw#}~J4BjhVCHg==_5GjDXCX@E8Qs=^jmBOhJJ)S zC>lbhiCjMa@MW>(^*E7%Nh4FL{Lh(^`p3Te2R@P~f=h{aDF?BF?@~gJ4l2o$TvQeoF;Ga3sbx+*~^G`h4bV5(#LBSw9oPG9mcz=Kf($~qmuV?`D}_g-kt7_ zoMY?$&fy1t{{Q@h(paIsVX<{yfB5Qg77kJo=6H9IiZI8!MwCKowSzPwMERjko%Qe# zxG=kqfgxiYXdA}ncz3ryg2|DB8zxT&;$3uQBhVDdjf}+1$FAbt0Gn@)i7**nW06v; zYczz}1O{B3cIqSLR-1UcS-3OpZrjx*U0Mma)zXvzZaXavsI{!Qqcc053z%IcV)-p#(iluTn05x7YG{&z%?Z_OVCUalU5dxVj z87U|T|GhcKTn2%Qs`U~WI3yKa`Oti5{m_RkEP|{Eig8V^#jh4mu1Ky|%s--J0U67V zB%M5$+^sfR7LF1%n~Cjfj)oRgU81O}52`n!DOR`ZnsEKMoJg~O=>lo`ML$useAk)c z`xvy;jV3K;IiPKuT_`zRdN6Cer$OV{(>mhF&bZoiNA|{XwQ0AgwHkA7?PhXoKmL~c z?|3;ZueK$+<@HY8-S)u25y)FDmsTciU%{Z#^19%Dv)RD>vD^E!R)ZRXw7f1j*m6zF zTLjmvjpm9yE!VWXE{G}y$$E?7#b5uHe^kyjMUTOJscADol)j^`1LkUva`{$}#@7#RH6t*UAX-wpA1e~* zb3yVn8rbGDM?z4F2mR2PBkzJ%TM~kT-IyQ=lVQ%;41K}}8p;q9QcYsXy)q;c{T!V_(K^GnB0$U1({4XU z&ae#@ib^$!9eS`(l!n)T%y6A33-?`;^K;aeuX^f4X?Tm|V4WyaBt>$tPBh=0C#e$+ zn?2GbGOn|FJjy z#V`GDqHacAEVt?&1tRB9$`8b}8%~3-<3-vH7mT=jufy7MxLAeLdsLBXlzg(jMq2{` zdJdBYX|!^%TLR`EgLc{2Toe7CWR z)C^M>%NkK?iMF|?|JQtdqcX8Jk2ahZo;kzLE2NP&qErYYZ)lXD%5diMO{qPoBYL`% z7M@xNGi~|S46qR9XaCag_**~l$))s97iv}5pk}I67!q8Pj)R7hRM!)$utCj~RT!o{ zDiIN3IqlfxJ@D|qu%c29XP0q-!YSM=n$gW#c%$=Et%Zb&<1Wxe zNk&b=(x@wKjaf3yi^9dVJ3-mBlIg+5(NCax5t9o#o>np$=LOJnQsMw+KkzgfQ<@&_ z1vtYvE)Pa`1uE=TGCkW18xllG)Sxz!+C6W-9qx@1nI14rwLLn@8_Qd;KnqKasqOZB!g9}2RZL7ZZXZy`>(%yNKJc5{1emgP@%`ck%7F?FM;Ih00__y>HV9VQ6 z>bC$p_>;|#KHfCm0_++8THXTwYq!WOp49l{eue*yZ{m}G=YQjyzE6~b@9?%Z{w}Wp z$JKyfAQhDgA4@JOl?OGdXgfnrQ8!xke?S1wLA67!LdBp_3^h#$#E{c!m;(f={bf6> z^=8A#q_IC{pyI_zCk%mle{QG!WvFY~ACpd55dn^Q42M|WduAhkc$~j%$Ns`Z7Mq*> z0?%$*4nLRZ zHu3Fte;?)h;Be%S+QVXdh|Mj&#k|G?Dv0=PcN4#(EVY&U;G31#^^Qj`ydcder6tk3 zQE)5J$5`H$BG`7VG;)(yr@J;~^(;r1?&tpeE5CaJ#T(|y(Wa5&O~WoPv>l*$YioCT z_iZ<_O@rWvuiq%e+lHgDG0<(4;tgFvdX8fhZxUh)#oJL0O8gkwJwM0O7USl4Xi~hj zznZGa1p!L4yAoy(328NZv7)$iMG`ezCW$~_3}lj|x^hW`%xvwXLiGW{Ns=$3OY$$$ z!8}cP9POG^SuS~4lJk>mF40)pO4hdrqwPgfrm|Bn`_V@YWE(x}wz-L^Z&R!c&5C@m zNcph`(U;*&hAD*6Ou~Jm@?@Dyuei3YGlt)}G4#KErZE1^jH25_`-__+Sm<)*FL+A$ z3+&kq1=-s$cZY2g5i zEmr^cK1y|1K?0O!zo;gK(w#^ZV1u2-#xA6n5N4bqk$z z6t93*xGjiDQHM`ZNA$bfT&KziN1_yrTSn@OZCN zx>dhDxUA-KB9O+{laCN5#dGMEy1;&z;;B$m1e%z{z}pSRoCXW6A%_LROI&M8!rZp^ zJ3|f&(4?Xr5%+P2AyFMkaU3n!GD+M>&=qvT-1Ph|cHqsHund#}9bmZ*owqxfIzC0) zb@%A$30~~CM`Q> zlRQRm^XJA5nQy~@&BL3>Dw=|-^M*IA(V3YC!6U{Tj&U~#B6CoNflly})A1ot1y!=0 zf}$;h*c22ZNUnmik)X5_g(66!HyHhS;oR(E{t~7*;n3tV3SmUX0Ql??FwMb$6KFA zu1I9$g373c5bC%VwyG>Bex(V*J;oF?LSmbZP;X^HiHuAT<7HT~09}U|ih3jqN@V1R zKAv=p%3;5fp1Le3X3!74*^*8hDvTjkv!a+WKlFCRVJ|#1m`fT#O*OhCNJjJ|akA}& zRKMRPR~VeiHF4>s0wbTLrW*Y;S8H99oMKe+m8AInCJAyfvZ2VDf{Im=`SzeU5@9GM zaU&UZRFc*G@!5O0nl?N(9t|Cn?7v7!5oiaOf(OQ$)77_zcU71f`dh#32@gzNd;X{7 zgnF_(D(b*i1e}*UPn=r7Pz=dcR04W7*54S?2V3XzaG9cCJuJTK#GW22^nhLs54ZAa zCl_`l4{6}~$l`&%Bz#r`9cR&ztc7qS_9s0`?l27f!AO*GN_1S|XshMvaL+{kNs~ z?OhvLz7G<6%b)l&FRr8bT@92ge)r-4Iw(UT5O?cTwy#X_yCC|nm_A_U0#mA>FtT5m zeOFANG$$9m;jLeoeODwGsHREZ;?P4JI{U8ZW24Oh+P>v1&ic@N0Q?*a>;b|P3>K&b zdGB2i3@fH5(a7DNWA7D@|z`m3DohCN+X*-HpiFTHH1x4cDGFz%pI z8n?|Mh4alo0hG{L(+EQS? zH{;!LGfuljt>T~gQf`JX<$w9Cf8*oQFipJ~t?`@(w#@_E>@^)P`c#`7weY%a7}X7D z^L6cAYyWSTDzf7v3i7s1;@@AwMWf}u_=pSA+Ba5O zeelQM@EiVg^#Anh<`URowqj?ZWd`Nbx>F$N5Yj6+zXw1kjbILJFz`~V?160A@HN*v4JeNT0_KN1x&r-nvtkw4 zoR%0d%9v`|j^})u1Dilusx)q$#C@U^t_L>lUjPJn7U#ewXHZARxV?q~o7-1f|M>?# z;oE*F&=`ev+@Um(C&BQTo!FwX8R!idezOD9Tn*o5oHvH9uTW6b2xgc!q3bB^Z3Ht6 zk8(;w*LNs-Y6JzIbJ#l2^>qiYPe>dJn6^G3oiKa-V+6vp6^TF#26a5HC4U+KvzOmE z6kWh|oMgLX1;g(OxDJNi4zw?^xc{EhNo&23f9PCF?OgHmJYiL8VVtm(X2p3b8Z21m?-$w>*^zM{2pifdf@t5G`6R+X1`~ z?5_0txm@7Vq?o{KRH#&45F=A3fu&bdHwosS1HQMgm|PymkuH#_0W^O;QZx;J?s$PS zQgnAn(X?CCdeSpebTdfNANqaY{D!X`YvsKKHiA;i+|%X5zg3b zB&AKw*Icd{*IldZSt^OZ@Wp#IfF{%weY4Vdo30;fmaEJyD~GEhZq!6qgXJO6 z?vU#{I*qz$KE?NU*QhHs-0_3is9U-C=)A4YU$!H}X#18WQZFvLUahi;hYwxAn(E!5 z(H2d~yR!N0Gia^Fp;Xssqpb^D->nOCvp$fv1N(t0?4z{PzGnJv|J44c|G@V|ePcfw z9GN=Yyte{p>TSn-1+Dgo~n5$4m*CL1J4 zx)UyWKzXBL(I^~j@p1uHTyh5*EU98Y0s-nsR<}p`w|I0wO6`4=NZHriVd;ndR(c#)#!{cKI~s1q z)s#AQUlSY@n)^hHlk_lD=b0@n=O&0<#2|sLWvXrwW)MoK*-hnM&x(YeE`eB>ko2XO zy3>A8KdZJcoJUUd;0oUVs+d>7+m^My%2w4jTQ|+RDbc+=+p2r@P5TK0()X#~s6+?$ zg;u?X)2&7B$?4Y!IT8U>!Z|EqW%oHiI;ttEC<#%|SxQ|Mb2?#!l~t4jfhtOn?XUuj z5;L6=tK!dz5(QgG%Lyu0j0&z6`bxv72$z$;DHlowRq9LY%?U=}No>rVGq}5)f!**C zH(<^g+zx~BFa7#I{|i4IzK+sKJ6nlU-@g{-5*)W^@(?6=*BxL$OX@#n2aZN*RPGyK zKy~PXP(7SMNJ5IeIVScM8dB)%xZvOb1MziSa(IFPwPTS40hDGJFyK)Ak~p|P;4TTI zR=uMAc4-|XNs^wZOQJr5Nf3g&o4tB4Ns=*Zkvy^TLL`?K-6l!;qD6AMGAhS4xw>c` zk|aR|mjqq3@=t-E)rxhKWWGb-nIy+FE<9Sw)f-Kc`4)jg6?aC?lMJ2B(epjJ?U@Yf zfsFb^>Y8-pYW?>XuMV`@-J@`~hMNTSblg2kdrgeAb^oJ=|Kom9Q?Ecbr2=!6(=+)+ zEw3aB6skrW;ZaPC6b-K;{g8cvd+BH0Df%r170Isxnp~m3fU_&VO12wEC~ZngEBqqi z3pu|)!d{XQOWFyjXJ_Z`oSkX6Xm)mP$JzN$fBBRD*Ea+}uPF<6b}WH`GO!re0E#Wz z6whraK<|PFr3XjT+vvE44SV(}xRk=ayrSf#tWW?m?-cYoBt$p9aeQZw;~>6l^kih;6wlg>6ATG1riwipf1c2x~#d7TKQ-P2Y;N7 zha_u2M^codNuod3_|q}Bsm^&%1~=5Uc|k=})~H{G9oOx;y0O6y9LVs$&DUXk@1`Ht z>lp2C#>7$9SD_Ko`;y=bL9vq61?pSX0kVO%f)5lW4!bVf$*#fH0MRO0S&{%$W^$x# zyF(%%a6~%cj$grNB^@8rBCNFAi$f3ciio?@o42{7k;Gi~qYxn_C-{t1Tu#h#*Qt)fdtTE$ZZRFJ!7C@YCP^Y248Mh7R|(pH5|M}%a<&PO5P68nc-jz zv?Z}8qOR4(=HR3?>Gj$s{LvN8P9)cs%1@FR4&I~8Ue{b6ms&=UB=harZFy<%(^2zl z-j7R;Ityyfz0xfx8W3H}%E!b~-A9?C1F63JZF*X_d9h zN9{-^ZVpdziZi5^ z_V_dJ`lEB&U4 zU)8)0W({?}KD=9Z?l-zLjQPJXnei!q&(HBq-zQ2WQ|qsn|3%n3o7x*RwPH-~kSBL) z$TiJYw;>n9g^&H-uY2Y6DKS7^n1?)Ea5|~uigkoCtYIT_;e&_BiYlDiXuCyU3A2Xa z#U>J%1sN{*iUr_1YpMfNcX>rBF<-G@iwB@>R5@8tum?X!zO#Pr9~BXmezqI2k#JbN!HMh zUYawHsOcaKnNL$aMgQe8Y>xRwFnQG1a#V#<(Wug6yMNwFPRYGSn@PF~Vw*p6vx-br%0(vtZ}m8&=X+cYmYhO2mZA`{$0QL z@x_N!XViU2b4^L{q?Ko5Jj#QF7#VV&9tdxjutoGr#{%K2ry!o8>$9f6B15W*dN9It zo$i0b9f?o=o&Sw*;*)>pf8(3JPn1gWWSb7XcX3=~-W^2fRYPf`aN6J#%$t?IQ9m}` zSJ{sD6$PAi#jBvG>3!D;#A$y%9%=s;HI{Wu`bHhEw12H{ z_{zuG$h9d7KsZM443w=DOpV*Uqj>#zNj|HL1T z;{1Lc5UdLzAZTHs3Jkk(F7?8?&^HN{x83zrurBnFu4gdpB< zG!^x@vqYULY>j)ik;i(&krpkfyYJ2z>Fw&)|Mn@{__uzwi@Q?@aavU-39YQk1mXx) z)RG;r+#T8ofe~)QW_jstYecRnb0xiAR zn0q0Ci92@_bmx;)r%qU&xShYyMd@n##WNj4s`)Sf^=H53--;%2?J)4FKyNiVY(cXn zut85d6kq-#ctE^PHL+iULsedB`wB0=gxICoXQedfC?CY^ySfvLfswCRm@vVhWsL!` zqF88O@w8baO@0MX3&tpy@^r^fGQOTG*U{T z-R-eI?BH2lWw1LiWNCk)Ld}L%bX&5-H3$UIs_LqHLZ&z13h14W(?P5HFvkx;t6X~n zV~5u^o&N}RYlo{9G(Z(8l5mq|5Uvi&fN|laN+&E-sQLI!P$r;Qgi#xuZ@&M)+&~4J z_isEQ?2yAS0b*h~>3IxXMtO5fl!kA?E9-v31-{+*q+hxXK-`MD0wx3!To)!pgiRO5 zrZUo&m20%Aaspm^0MKWC@vr&6KN2;E7^{wam;*q%h3llHgm~6Y2iOWeruLQf9k}2g zoGF61pY|BK(g^ZlazQ}&WT|653#qS0kZ)ZPT%%Qx)(K|HCT_){`M9~@2|*GP9q5ff z9#b=9A;{;*1<~}Ut_Tt%mYxeidKg7e=OV+1A>pSPzYt{b=Yn8h)zR?C>)CMj5R^&? zmkhgzOR71RBuNYElDL3N88?rgBU8MN{uKi?27fLIP>%D7(OwHC9(9D$n)Lr%61EsF z6<>MG3sC>hB_~~5gvuvs>ppyxeu)`Mj$RX3fK_L-~pynDjd-lPG<1H zXx>;CakTouSg`^R)*GA}eE@M|>K~KA12EQU)QKn5+>cmM(0&aN0WMfIBzpGN3VVm3 zn0DI)rn0m~K`^*=BvWwAlLKWM!_&>?*upS5IHpB6Fl6hfayojxJAsDmy!kLmW^Ctl zLQkRMCR<~-Pm=lmkP=2E(RQf_uuG1*3kt|iY(6Y-42Ie1juSE9>|w+rb&Tv0vMy}o z=(eG;b)OT_uG}XR|3>MdDx;Yc{Ru}uQxodNrZITUjB?lVv1dU)e zNw9~kd!#3@vq9Z%l9YhowlG#(iUip>-`cJ>zo~K=Fd1Cq>|=L6UF|Y}$yDs-aRcsv z`p0CK;Q*Efsm;Vpk&Z`IxeP3{)Lh5GsS^CxoNZvk{H@G}T5{FK(TTnO?h}eTVo6^~o&Mk&D--5On z{_2;1?W2!=VyUcDD5MLlui1Vgz`BK4hM9DL^&QD&Ac$906O|#Df%P4R*&w(S&|1c- zWZbv{)_o@I4xD~1UY>z=il_bgq@+w+o9UG?<@tQYrAqsAJMEA2&527A@>J~t8sDY; z>3PNebgyDdFOFNVXP=RYtNu1zFbfS*Cc$rzyMr)t&ga`VTW_{+Pp^HOc8lgv@peMR z@Bhue`?tI?LPf12b^GQetkFUb=vG6;C^w}e>Vl<(zK;lo_RR&Oa{w4_Te?fF<${T` zb}h|cxyG0F%>_#nCff8Ye`;UB22+o@;0{Q+M1Yu*VT5%dNQLEsfJ-$Wd_<{Idydp) zMR19^mo(NO!5wa)KLCc7P?2=G^@SX@t!h$-s&SKDGz1or;(#616|GWdojH zQ=~T;10G>#tnfC!E8)1j*2-;Qp$Ik;4N1H{T{=#gECxl>drMHcHBHlA5jzL5Ue`6Q#y0 zV!mXl*FO8~8dL=EtbZ2+jdmHExsle)EjY+!ugy7FcO|o{gU)@UipOaxket@cx95bR z8(0&+2)X5&Djqdp`Tn4;i);k^MLX<5aN5_L>>h{>MI zB#w^CHmMnwu4MHzUO<=|!R#}fR(ma7I>2erG_hN`x^4F`6jx`TVIZuw-X}W%63Q6e zDQ*bHmA5BZ`3$=i^131kP@_J}QCm#oRIF6_pyn=&uWr{7y8c`4lC^(%p$g@&HrQvpqzRm6PuJsh1ETlw?VlTw$3m!AH>w3(&<0~u3a^hjCA>H z$5O!R#HA}z1c8?G0c#|>)6j&vq;sNe(!J(}8a6Z`#gjUH>0+ki3%OFVynKVxrd*z| zywb-zTi&2GWihz$kt#WNDtVYJj|Ys?8@OUVdpq@ndDq{Y1w&?ccN@vqQQ{%&+-!=l!{z_Q(0=x&+d1R1TCjS( zy+XgRx9~pujBo1O`;l8-(5>dy~ESQ%s8#yEehkurg< z6xb+VcPOXbpG4N~uB zMZt?~#YFCl{Awe}=&r0-$bC_0+pZ{&p|2R^zOY8kihRC&MR2$pxi6gHR#2-*e90wz zZqdj#=rdnPu`#|ThLBRv;-QCb?yM>9x~*9PWeg7mf?eMnE!Z*1T_N{HpLP4omD$22 zK|U`~sFp9GoT}Gzp^NBltEg&sbTA|lFapnvOzZ#IRy@N9({PaS?({9n6M!uai@(b_z?EBv_1_=+& z1*<|Ij2TDzk@@CssTG|Dk0^q7u?IWOkT4uc4I_~QwYFP#=W0l}gpnYdv{K>_%#d(| zk+7k4FjGUq5k>ZlB+G$m3`*&n`JI^@OKw6D5NN^Z)fyn4>rbhAw> zt41|?fy8dAq1@z3>xn^`?um*o`w&#(dZ2zYp3OZ!_ZjagGO-_}6Nc<2+W(^Bt0kTl z_QeFLs+SZI31VYl#t}lX)Vd0RMrxDWm=C_f-Lf?bGj5zeG3lx{Txs^>2g`QET;gA* zO>y!|2!^YLfON)?XAId}7YGTLd7~L07GPbbjfzMa)|F-E31qxVBwgP9zklp=KNuLY z*0OtiZo3|5ee(Hb!z90Qy%+S=);m#FdgTz6~9<8wG@YWi`t# zcs!D|QK*}a=yYWoAp{w07D2ESOF9tb3f2h|f^=S8uuQ(%q0Cq7a6*vqRT13n8I_Wc zX)W}P`OpkDUGTKqY^BO}kFsga+r^4Rs9g}fy5Oqhidb5s(hy{@>4HfAfi@c`?S8+< zsH*x`3qtLZ2*pu$1j!vHq14xBG%(bd&9Zj1J!;AFAS<0#t^ZyZ7WJ5}Y(jOHbIW;~ zMP)Ou%Flf=dbDHINDWu=&QuX&`9~()Qt-$8McR}UQpAGSq>8Xd_zL^v^!FW0Iw6He z)$Z@o$nvcfpb!2V-}m7k`_DpyDF)*{xFa&J;^33O)q3WcP=l3oT$6nt$^o=@rbg_m zriJmeUXnit9R;UdrznLQ%vU@?8>`?7G<~9&V#N%Xp~VDQJsx_wZki&`(@XMdKkoDF z^&3O2vX*ExME+_}fp~qRF1*iu3GUsiqQO^_Ra2Y{kDV~8HmwAV3J)VLbtw}d4B2?2o)9bQWGtxJ||D zlC2ed1mNr9b)gX292Nb_y)F}kzMw|~0-!Zoywv|Fg5q_V;0Z*Oc+JVId6L94e54{M zUY85*_w?h)(6zPx><|>M%LL)hD4!ObC6tVb>r++~uge5MOp0+2JT!{g+jA7J%LH+1 zoP$Ca36j-{*HcBR1Oh|X?WVRVYn{*mlhsDv{VJt3MMlr9_KX})=+QtN{+8ZHag@^g zs3i|c0X3*P^g&goq(usqeC0?82%nB8m~}p0lTL0&0JRvsOc=`hOMOT>KDU#?!1?Af zCFb+1GNq9^Z}G&_a&?&!F4nauM(n*9Q3BW2G1Hds(=q?Tm;dWOvyOpqS74LNH3#;> zZh@d*0}_kJO~=?)fS9}0Mk*6Ce-L$y3xZUEu_9L7LVwBo8!J-BxF8t)j0_3$+0;1+ zK|VM3>y1Fp5nS914oGqA0CNqv(=H^oz=P zg&iVLUdmW=R25R&s5XgS&{h)`^`>9c5u^Uw9oGL$(T|~;DV@SbSCamBP9Z*bqbWp8 zXiG0SYGh$bc2?9$ekLRsiIbemKWI<-Z7I)b%l9hJ_in!JJ)c^5-;^iO9kfDEx@E9L zFA2SGTGQ^#pACiTZjatM3T{@`b{2xXu4TpjRwFx5s5ax!-ux!X=^CSXX=DR4sx{HY zieiRM5NBpX;Fe3PQV&5X+;oogBfLkdr9FnZDB2Z*VwhbJP3q#H?a}?O4q6COdD<4a z)H$@Ch#Z?J=o^NhC{LG!&&Mtcku){ylG7EPOo0=vg>i50?)exm`*$Do9s}Wghf+cD z;4ySw(Vke;RUkh;o*qd~QD;+RIx5dqT*n`TMG}`r@6+P6Mh}5Jbn9(rs-MQDF1ccB zCw+7LpISM;{MJWdn~URmg^C{yAPkL7lIcmtJUTQ`s^rn8)FjFDC@*$6J+ayVQElvL zl1$I?BJurWj!^qRrH6R|c$F2lc$m?p(fLK`X2Y4{w`>NL@aTgYNx^_N1R#x1xVfdaZ8;CZF}G4QU6J4V_gI1w)6 zCg{dMLqz()5U7qX+v)f6=@8i(83?geAZ2ZsI)3%Ktxm?*g=2nw5pM z1j(SNm^hJ%ggPY(j_n`5`-P~PsU9g*QYlYQ3>3*6x@V@Lo$0?1-OV`QC?OU^0&6kCUUU|D51&{74tmn@~-v1d;j}#&j0^?`piaq z{%@bXzIX3$U)J?m&)QWhS2?R|pD@>5u&-jwD74g@bpz9a%6EO1?Nwhb6ygLN+rW6AHHNJHa-;ECe+cla#3jrsl|NR8@(aMG9$ z!HWB>O*0BXdMqx8GLm`fsEH5PrT5?vlbi%{HX6zJlB2$jdeDz+UE1IIj<O{4zta*vcvG~DmyIO zVR$9xNSl>;yo;;#KqCz7ISu73eWI@Svtq6gUX|kSZ!M*A^>=Axd5Y%cslh(&kN(;J z@^i-XuSX^rku8-%3e`v?19^cw7g#`~oZD9C0^cfA-(9d2gU%RWqH%nzct!pdynv#? zLXc0QubA?$7+}^2QiHkRVT1ezwTMn9tA7kNSP|6G-Vi+b2>Up6&c7bFTh+3d3v0!o z5UldA`@`-a$7cRjJ0+7OAIx&_EqD?|*R8go_{mvSM7ufv8Z7*K)WuuLe0Pq8hMyDW zD#=m(S#k}DwNC>+PhxB$>!3TvGPNqT>jGMJhvR%CwP64BYLEuut~gY-QyUlhz9HS% z7PFy!tDtP87}Q3gP_DC-*+Wk;$NA=h@f=%=U*+V)+J9vlyH)QERsWwo48Y zxXb%fB!`&hq>5c6uYti`HSUD6T7E~Yk}Ln0R^a& zniap~V8bbGr`IMn^;DBg!AYmv^v$cB-uWllB{hARIY zHFK``IX4Bl%7mgyuQ=>L+BVox71fnoTyS0($`9)OMtOz3dg|i32 z4e9CYxH?C{sAjIu0wD)|tuQVGV#un)WzFM?m{HbzIi39KC>V85P&!Gx48DD45vw)R zlY0TwI;kf1czm>y8Cn(7g3rdXVhc0}7$CV*zKUg)jVM$Vo5q^OR-yZLqcd>v zqsOoh;V)>`%(sUcT>@Vu!6g`~vgG;x9L@*SX_=v49UIE=QB|(0(K5uTEGWuTcTUjg zp=#6j=B9*;PWMRI{=u`)-fA0%xGo}{Tpe6A`88^C8|Iag#OdXRVwd2lIP~@A1idS2 z-QKL5QtQ)}?^EkP_s_oP%|AP?)_q3fdEJ?1R4ESi?9i3C@)S;}n5;L-jKw8Cwp>4zHVB*k~TE~P2%&TH_a0ayaJB6aB{ZeN)kdx++Ta0AX^vjqpxwczPLf9^OFpmx z5aoNhwoGQ56I0dfWx&^HsnD}zJ^uVsDtt^!g%hlbc=M)ZMyyJo+W(gGH+|o|DADLb ztv6qkbl)J|O6_~*{&u3pl3E)h^1y0Xfca(J2DwzQp z$@Y51to8@T<7Tx#I2|{u{o&!b>|rUt=IY_NqpGjeaa5a`u7l3w4%L53b0r?9d!?~6 z!y!5%A?6r3U2%MLtt{32Pd+$5H@llnN~GdYkA7PK#q%(D678jY1z*veuVBvI-%B#c zfW4-;wS*g^Z(w%EvaL6wg6IS1gu-oA+Iig4;A2Jik`SvhE8R*vzgs$dtmt08yNuOi zThZ0cM++q~A06h+-uzEK__1F-9$=-sS?j}BmR8Hu46wG=J>zfm6M5DNRmMDJSl}s&os|J^x^4Q{w!k+MG7|;$|Nqz41tW;p*mEHlUih zmOUX%d9;&X?|Z`!eC^vqH)|2nqad$gO#DwK*Ia%XM1f#mq;8>wUujU$7y?nKfEow?fUWD~>_b7BFd{xQrs6+tJPf)2HEc&tcm>w>7<8qoN-F|RcQ zMccY0n&N>0l4IjaHpdoi>yiNBplVIYv(7Tjms~tym)s*QCu{C^hc29!+SVmO(+Io{ zE)h<{07kZJ8X#JArun$eUe%ZASHah61E{Oop5)g8ft}Zf^crday^@JV(oISv!+BH% z=E*NOTg&v!%98v7;K{Vkh&61@)otY`dECdj6X-90-B16*gfi1W+i;cq!XRlxV%j#W z{4M>-uk*@3=d!r2`d+NKndUe9EXzRjH&{V4F%;#uf(Xi|ll|)+%Y8yOS4keU7Zk0m`=bH)fP1#P9tZPsSi(CB<{T zdgp^Y?a=7JgdeI64a=jd!y<@bK2nbWZ=@+N+hw`NA{e}y-Fc5xhc0N7hd59O54fm> zSdr?`1&v`Gb2NGeLsA{OAaZl8nUMQ)>jQd*y`=dF&K1QgkfKpdLtum~Pl z(19j~rnSH$1VtUXBmb#hP=)nQtb0Hq?SNC)zSl8wEQ zWLW5uhZV;xaLw(;r#O{gE;_;`F?IlKbaHfHw%axH{UPx?Y=)d?_qXR8RQhjV5{!8& z{i5m)ok5#y=V^HIB$;o~!;HmbyrW9`>g=iRZ<-%f=V!DumkOI8pH!&NjOdzk8zJ>u zg;!vwYT60=^-u_1y>6!0rN3~_SBE$+|apTb2 zn;pOg&6<_fILkl|NcLFZ+G^}!gn6C-8(7nhAAPb5uX^fgjhvLLjRci%%;vevd9fb# zEr+=-nLgd?-n;8y--b88AhoU$sdX2j%L8$i+LQa}>E18?)BoBJYyusifp?q0*gO0c z+4WA`ol6D8_&^J>8*tOfOQgW($s_tuRPb;f?nnVchzf$lvn@VQ_gbm|SS=r0jN#^O zrXwdXVr(&x4yt=2-9X*zE5_Jj;Ku44Ld{uP#ugvX&ercMj%q4ug;q-?tz^A*s+CMd zWkn*Q@jrPNKgXW0fM_8%SjW;}bSRI>4mf;~k?ZWoQjtHB?2AE$Ydm9>v`rxEy&_*iha{Q$@c?(U^xX-Fh1P4Rn@l!fFkm=> z3A{E2-Pe3@BOlhk$qHpduI|CrKxQ@QsOw) zFOfBfu48P6CX`fKNUyYXk(9k~0yHP)KEZ^f&ZFH!^1Z*~pZ&FOjadtdH*?kNaM;%Z zsu?I@=8V{$fiYsD)f}>0lO3bniU0_)6*^-u0hH=51gU!CR}bK%sd`;-5GP%snlX%5 zm0urFT3l0Ka~LU2)$5YONNE~ImmEe)(=xi`@d&0DUQBeKYK&n2-{7G|1Cx$w7vb44|xECVkLb4_*`%J84H-X@Ziw?W{6l*7}jAqxo*(LOftMH zMU~)?Lc2ifGUQ{GyY#pnQ5Ez2L_m;S?P7c9GgzpY)8z*;LS%>1_ff~FD&fjqnD~VG z_@v|GeDhEV>g+jn2bsc1)5{NofFcEw;WB)g_MzEaJy?;!-i5h|t_7R@ z&dYb)d+B+M1bF7{84Jen>5fhca8}_SsqPTbey&RfWUj78ZV^SRI?yfMqQ^aE{OYgx zm%j6fNVw|kZZCG(ByaS*9$6n^(q>~}mJj*1=2xAW9@LhY>|}&JAxO*UEABBh9QKYl zg_Q+5AxOjMf+yuYW+4fK^u)~wLE1$ZJY)D1{1FJkmMW=Q2vV;VL7)?~G_?b*T(cq_ z7Z(ILfSq8d4eemRE5TXzlZHjDlhXBw$&r7!Kb}HWxw)lwH+}v$tXzEZef~H0^nIdK z3Z^`j>VJ{dTU{UkF189uKFk44wocr#Wb05|1M^IL0mikesY~&#me01SQ%FL_wyLR% z)0Dr@hb4^Bx}i-YtgNza5Wu4lwo$gz_i?`HOpf0R)LB(i*AYbN`?PlH`!t4Wv#zP* zVijX3C@tBoFBxoO+B+SkWsmiUp6%4zZ%NPcxF7Z$J=1Q{VhV2tJpIIf^Qk}nRHRR} zM(U=}p#^BF0q}vQa11S21c6*r!{vAZ?MyB!o;Pd{MwoUQLkl`#1h5L49E=sI-F(IU zd9{Is>jweS6uKb0m?VjKIBpTB);J03KNrM_5&B3g3@CFWNX6!YXAJET@bm!#n>yG! z>O7YOnuA@$#AsmjY_p^oiz11<34V@9np0uBI=GM19%WuiqL*2HfF#M_%GU(n(NUa$ z{SiG~)zN3!b~Voy6Stkom5tYp46L(%an9(RQI%bnJ)>%`GR}MieR}dQcgikcjXC2{ zKjG~^|M_o?ilM6f);>n7=B-a>528=-Aho!}Y##@0cx4|EF(Ebp60FCDXZtuf%sku2 zGD!#CzSSVZkV?hSE$MM^mU%*}Jy|+=&>__s<0Ck?vZm1S(J=tTv&Di|V2zlhgF!|e z?$C^-Ax)hGTA1V09pjMwmoiBQwCt$ZX86gdZ=eR>aOle<9m1%QJWM`=RQhuAvPlMN z1}=R8m9Z+@34tnmDBEFV$=8{)hwSmZ)ykmsw8r_f@3YFDj&vloEwgfYyt4NQPtsYE znYUNhGc`F9nYN+h!nt%k)7O9Z*ZtJj|DH%@Dqo|!7rKS|LngIVe2VQtW=*gOMw?oZ z+7ghNsaU11XM&SwuFfE}we;xqk=mkxPHK-Q&=WF`ntu%43%@)EC`if70&a9_FORqv zE{SP&#smSt0h5_|Nv(YO1)4l_b&r~>WN|@iD|v@%&3t$A5LwC2hU8>dASD8>keo%xl|e#GSuM>gdxw`pkqR ze1myyjT*>rZ0OTH7~mSgN}npH0?JLu6}E~+a1y3h4!g}kx`&Tz=!<4WhHI{>5X^Bd z0~xRhZ>Uw3;3RCt{R*79%zI)`rwktrt)f&CzTyG1_GHBq>X+@0$@&!Jc~GMW08fx( zaVW3Y=+hlB8o&o&{9db~D}73Ca2ySpvQv!A9@9|@9h*Prd*r932H%?i-+FeKrIt)E{WvPXKZK3(FZ+l!N? z-J;eeEpgKI#7RH6dFlKA`;UC$2~c4L#>zn-jsy(+BT{Xezq4H)CprFccgCPpqC;TU zH7OT@8UI+Oa07n;E6eO%2xk0a03|m*S~~>AuG^u{n4m6E4WO6CBpZTa*G&-Z3*cN* zSR;TZ^`Rw^V}gK@7*Em;R=5!qyKaJk(FEg24U|Olf>fXUBnwCrGfx!s;U_s7sTAAS zCM;t|)HTIOUXJx5BqQC2u#Bo>eB#0Kf3YV%<^B8|d-^_6qFd3-eFqCQ?Y!)&xP6gq zRo^bMlahOV08^^Z@LW?mIW(EHd}{_6n*74If6Tky5vd++dGOH0n}KYS58Aab^jS5N z?J^bSg2&B?d~Y1R!RgOb4&fa<|`hzThOr~Gy%zw)o4PHD$E7X7*V0vI-G*$twNA0%msl7-=OXS5Z)1i z6n=H|N|_3C$vwD24Ssd z(DxfUQjdXszO?@hk-krqN(yy}O-Snr3e;5YK_l6<#U^OvN^d*R>ByuVqLGVT!G{L` zkk}J{Q|flw@_p)d^T+?A_q-`GL0aZ;b?dcZbe0^TMLDFPI}1Al+m35a+kv`0!X;FX z$ku>frn>bNbD4dRqKN%3;s_o$;G%?z$h-u(aF5KBGJ7I(GDuMb3T7=zgT}yUO5+@< zZv7mA=v7UR`9(IiDFmr*UC?MsiK*KdGhGPQh5G}o5Hmq2%-2*9qY96TKokT&s4_96 z?tSwVFXzl_(-mf`JXc!&_bQd;`}wy|CjN~QP;j2iw!e$V7z&OIopb8(H{&tdBRyAz zEyzn0{?xF^h)KwYf;5l+@IHMKBeFpo?3Q5HhFM-C0c-w28+Es|T< zd68W0#gyigl%k4DqCR5t1GIdeD&)quC%RY$7F_?pg8AthdzR-K->K=EY0I~UgXx;T{ZXH||5qY@z>G{3 z8$IK!TX0==8;~LMtv;dzDsQ0eGNo4p(H0L~2Bin@N8^VOq|$RiKvWqPP@!xVI>bj4&o0wJ|e{rwHez1YK)L%yD_7<)+PDy1bN zxV?yA+AV4o`w|gcPekx@U-3hK>i2|k)bPwB0t?oVTRhM=M$YU2u#=cF+hxYl1q~R< zM#~LAPjxCRC)a{U6n%qQ>Wmd>9DT*ZYO|Bj;RJR(H9R3$CD#<|#Jttk=x9Qa#?eTwLzlroLn0u3a^uEK&goteE_C#wTfvhnV2S8tnLT5V`{TZ>F>StqhU`q zYIS>B(mf?{wpz&uikui?vC0JpIbU;z4I`@2Sz$>j8s5BO&al~>AjG*Ot!V^vhV2B9 zkp{&3vjoI>#hhUqWRcC-fI$-3j13s(kR`myuTNmG(Bay`4eAUV!g@t(0a4b;+GT9O zweZqT4~o_NrWTN7p&x1{QDx-m5ZFI>}MlRbsHDWh9ogho7e0!ntv|F^8_M0*7fBW0+yz-WS{V7vZ$s-Goz#3+ig)121 z!)ka;A*jOHZ3yHt$kr_3tZN{qmOQepc-nI2mZV;Nx|ESbK~VC@erV*Q1^xyUTxY1D zyLt2w#o3?ywl314eOHaJ} z@BXJTD_pyBJW}$`z^Y-s?IFw!o;sChy9WMmD|4%Jt0UE^uZZceCnd$f$UiPlMs9imdnf>ft2SO(7a zwct~oy5N4zNk~vrNX#1C+IHc`h&pvigQGJ&y6d)WN+*uBZQAH_IZNSD&1YFPc%C*} z76q{;*aX{~Q#W{?K|i3sd?x^qYR&5Qc$`Mt)AqK?1P}j3=Z)~0vS}1kC!4FOWYpfO z3v{G3qMi=yGp(+sYJM$u>GxliJg}aSO$V_J%{A*u9W4yCEi+kR_jvj#eDsQ| z|8;WifFdf@PvR276c4;HK@8rR@&PWOTb=R&tmG+!!vWZ?n9N6}gKveqDE;6QM|Gqh z41wwg%XXAjTWX}L()yOCwn-V>xr;3jCzUB7%_c4_J=ockCG7>cDWf!P`97occYnvP z{erl-|a9oGmS>J(#6-&F zhk>{osg@v*LKH2OBN#Mm6s@LI%8KB2fgqy$-2t5VwJOWik^{(H5G)X8jJBahFsoa5 zm>hf#L5$aFwP;qi@Pioxu#?govRyfjdV`IVXEg&=AvP$yi135|_prJ}k8sFdo3{;7Be}M%9 z8S)Sp0P+(SFn}+ z#QAWVpm9^zbkvl{Vh_f$#>!R!LxdLSm}z5>s@@+Q2v|}}7jbaOV%g@CL4tq)s3V#0 zPOu;VL)ekb@!b9(GzhTrXErp|7gOv=IXY(XNCppF4JU24P3M_!Py|amEyiR(hGemP z&EP@U@4Hxse2Wqf!cKaet2J{_S9lOG!Km{H3zXZBzDFOGmQMDb>x*9`xd)d7AjCl{ z_(|1$AN7UQCkaMvIuq)QbbAX__MyNO?n?PzJ(QPQ#HX=;a)O4rahaav15eTQe3(UO z$l*x2YK8n*OMNXvZj)cq;Yn+!*n+%Z))d=^&2O!l&#LoVRd2CosKSww?QngzGPO6p zkMx&nROWuSt2<9Ypw5qRJHC$#R&7dp+C3@c$C64wehgQaaA)=uVTx|EiAxJte{s7W z!(kq##6~>hHpjTMEnH9A!kgAV`zL?hnA?RZ>;y#gdsFExMjF6sf-r8z2@)nN+x44p zyHKxW;^5lcuKgN$SFU(oZ*BHP{FrRg0sdH9a>F^aL$GqYj%enPlcZnMdUCbf1&;%3 zYI;Ml7->!FS=)RPWLF^Uqa>0sbmg)KE1|ke!f(YCLQ0-D9VRWATI-T%o+h6avoNeT z)_I-E?b;tO6NPKSL6SixmE)7$uETi+2b*hxYq)k&P-3kd;{z_J9=)ZCf@F5PEQ`-y zw6>;}bd~ZwGB!e-=YA^;UHLpKx6AM{OjRj6SV)dKQ{g;C3%R;8S36U>zb~g9t91&F z?mqcn^O>p=;NFr+>JXV5WgFuuC>880H%8*A?-S1m$tZW5+P`q4;8o=G3{3mT1QC?= z_Sua=C2h{gkIjj0SGX}OTUPlX2vly2Y=;}eGGQJNy*bV+6SCBo8&FV{1Q;UujU#TD zlwIR=#H)%iXV`>dUOc14Gx9*?mU4#l$S&V`MtT$EE0%=4@g^=l;0TJQK!kz)um4vc(;H+X{tgdPd$=Neqq@rXgrV zv(bLZgp><{&5;OD_L81Ev}!cGE(lw$o>AYZQrcY?JZ-mteR4(QquLfx>PQzH>?Nhu zbwT6JCk&|DODdj`OCDF?wxJ(^pWR_<6VJ#cOPkDT0kMlLznpemk`OAdCZ zif2?L0eq7FAaKx0swH#OiD%@J$7^U&RkI$xMC3;j51d+R%gVSzz`u=oR=K&DSN+yp z?;)Q?$LMv3GzbW<;#lF%~djBj|xVsSg11o5ZSrg%7>dRfMt(PS@f3W|cV z6%qa`;nNXKz3MB)igYi0Mbz%lO+%+)wU*IAc@}}98vGO=j6s3Yn2 z!(sVkjmw<;uz%^c*XoYBb)?1*k1JN?^oSYm~jTp}}22(-~7Z@&u` zu5A#2;#D39Gxu91-$Y>6A-v9ECgz@4(s;!wWc7+vGk$0+$Rac{U0}e|jJ54TT$aO3 zfXX%0gP=hCatM{f%$TMv{XX@fbC`)vQSA&luV4t8b8Ny)^i|49Vr+uipg74Y%q-Ki zG0)oQ30-nj7nX>pd{Au|O^e*2DyuK?tI}OCv;Mn9aAk@6U>nf2`qdu=^MALY^cn;q z0^>Cc6h-MtOr64)PJjo@V5M{AaTv~v2sD6w?H zV`H%e;~3wknv!x^(emOsB&EykP`Z+hNSoplxY7fdIlbcoM8MT7rE*+LZ!BFv|;hpDWo zIZPg0M}OsmS5UChs)r_<4hM8qlMK8!CN*>_jrsPV9OvhW0%G-xCMjf9kyqr>isvUE zO#j|jwR#^8DD`KfH;Xd14=)!bt4D$|whu3-uc|Q8Y4)N0)-@76dqTY@od)fgD{62t zhqW!#8PsX0H|X;bCzhz`&}VJUj~r}s)T7o28``FIVA`9I$HRd4xFbd#ua+sREJsueWBX}cyy08lgYf}uC4fZZoX2OTvv9=Pp+ zq?bwX>8IZPo_D-_@5L{8~qG$}^w({3ZVXvrG!}zh~16)Vzh|#myd$f39}M z7qR=!-Tg(b$sRANin4^yVXW)^iQPrzSy1aH$D;Ntpg$}2VrflCZiS{E;z+Wc9<s0qhcVCzg;V&DrkMj^Upn3(>=c9o$r3;3sJSz%B@?8J4MiIqhoTVMBAe3~{uIcvks(Xy9zA6=AKSfrG@4WELdvjIznfFFv_s(F&nBViCghkq~m0eYxnHNLq z=;cRp>y;NTpMSetH~DF-e#=j~vf{o%l^f2M{D8@Kg)Z@wyV5ULPPp&V@+(%e=RMIP z$h5mcX)D?5SNY3c^LK?Am>>I*&-%W<6|-D4Zt-x#q8Om;prkDWBctMT(jrA?Xh*ba z`)CGchnJr||5yeFlgpU1AP!OaIr5>Y2mBn%%t#DO=*&;c8JMWw<6PUEPR_tY{T`Kw zHeEtX=Z7xkdkhcm&`?(ym?+<)y+Jeaw#c1HZhW!n@H9d}?4mJds9yXBi@ilkB_Txwl!&VtDHX&AV4j=ShG54$dgB2y8hbE0v05gfxUB)#Us6{lSn%M-EeNO@K`BF+ASnKr6Gjn{_n;9J zU&jQIt>EYuV3dHQX4)qcAW1FD1kr+q)-tMB)Fs<Pv!I^jXs84Qg1_U($y1mX_#)DdY#yclNA~ zC?xueSs~q4?~aBYd^7R?%<(OcP^CKiedQ8_nCDx*I~a>x9dArQs3M}6fKY|hRGTsF z7PU6xwr&xR`#6J$fAjDE`mgybho>nS3e*>rgSSK6YgO&uC96HTzRxt0U*=1 zmgiE&V9kSe*Uu3%W7JB*P<5K2aw#La+R>QQ9y*sY2CFEvyXDYBRTQziE(tDNVyD>- zw6$=>cD1Dpux)~dhLV`a*69|fYv^mD&@xa+$%UrjLQ1|p1_r;Pkdp7ua3Q6-L4`P{ zuaJ`O&_E%jX|F;DI;jkBo=DuP`nzgfxuIjqK169J!o0puJfnnub}Qq55u7x|X;v?Wt0yf4 z2-WC{foCLf`OpxchizQP`NDYyw-oCNcRm9RS=Ua?=nwJ@5i{EIb3LP}R(;y?tyO0v z_@l>v_(?C0MS?-uyX7>`I?9sTjt5Ueaa)cA2jjLJ2@b|>IT8fV$JKFLjsyqewj2qb zHYYHLNXQ8OnC4gKNbtPbX)7xTLL||4Wh4l0c4fCktBT-qE6GF<`Xm_D*?c3dGS)~t zD-tY9PClp#3cVSMaa%NC{99I*suzJhaz*KXbw@wMNDtS?)Nz%<^Huq`PbU725=lMw zRI`^@aLgXe02|$1V!^&?XiF^U{g|l^7R9ZrYh_U>s}q~uko$3u1%KpY{@0J+kHOk7 z_k%VF?%nBR13=tU(HyL8B{I+TRyrCo7%K-G0R3}@HCEh#mkU-c^F!oVBgKNi@-~7w z)CgjX%|;M)dBq%Qz<~pz3^oljN~GB&pJNpZZua0Ar65zwVtM0N=QsoV;S)+k0;q2z zSjU2earB6kaVwc&Z2>_;bj=Ht&$5EG1?MPwbZgAv5d1wa^jBXw548dPS6^k`U6kRY?dXB>>aX7hvjdwFcF2Rm{e%;e4xJ3Pf@h zen)`4IcrPjx=GWfO}RXtwM7jpz2^uMQ4!py8Ww^;b_eb0cdidp5{Ar@dg9~Ji(LNt zuldyf_dgq})29ZAb*EyT>+~nIVxg)ol>CMt_BwRkkPpp7C5BYdik%R37XojH*TBL1 z$bvo_AlPJ33sQd;L7$0qIvh>4GS(adf0K#Y-J2SN^F#i{Ktf9~E46y3(mX ziy$&%lF@;lH9kc@^r!|as-^m%n#v-L`&VXWG(tdFq4JX=j)(!`(-d7dMW$X6@r7%x zo>~(e6I{WPjnLXt)>?G0(@U(ysPqNAS5^9@qvrS+rPuseYYnQ+TWyBG`R4QswD7FT zeG6ig%i~(>E-WhQ`1AFWJ*PLNm!>V>mr?oL@BU4{|DQ%iMF%-KTGqxo0W!Zn;l-mX z1T`Vb!FCy1)&(uM0Fri0K~ii^2vXm>;0cuL(#nK1K}V1elnd^`UnT`^%y+ZC+gOo$ zsR-^joKDYlFZ1YBU?E7oz2t({8%#m? zip`8`3f8%xTQ=aL7^s1wBvw@qks^Uo2#&LwSH5v=8AE3|BtB&|k~ zJa4fSyKXltw)Rw)2=8fk}up*_2EweOY4*y~Ll@DvN$_`|i*KkXK^ z7Hp~XUk{P$FMi-B|JVn|D*Xiy*T;`aJLB1%7*7R9N!^7=h@_~GmD#KLH>oJfibM39 zIlBWov8&lPb9QHdd~?*ol@LNr(PJ`!#jRHR_s-qAg9vU4B8nVAG*H+U-Ugdjr40nl zl_QQOZSWXRV0p_^(#q{`!(D9QS_X0#rDHtwx0Ur+?AtP*<-=n>%m4LT|FYrANvaGB+G1h(4*B0+X66p15h@yZ^4KZf;o%|VEFoE)r%0UqukX->gR{mR)FC- zhO4679cGqGU}li(ELcUkFwz=n9?`hk#ClbfdjLXBLQ;-*Zv?9-cehsdkppb!V3fPx zU`~j#I~^>S7(cs;a!B1cKw4T%6%j{^6e>~8|6+2q8WDin>ez38=(=k_06yQl%M}i zF{VM2m5w8})Frc5$nQa^qH+vwxViwr_uBAOe)J$ zcQ`qO+^;GmX{m~&4!^+jx%265Nisdj7lt;cs~34>eceiw>-5=s`1WUYytdV@*UWu9T!Skj7`H*yWGgf zIcht2{3Rbk3H&r)o%_>f<;WC5kU}XD4a`iqyT0%8M_eH{d3Aa~Q&!J%^pbx1C*JqA zH;q2pQP8O^+2$Y!(-(Id%wmW^Tji``R1gJQP0+!8 zap)YQ!ujDjA^=-&5MR}0#vG$!uC~=9F*mP`D07T@#&}aXG$YS;#T=s^S0`4B86~pH<8|q1M%3YKg<0#{|f}q3WSN_>?6_#kx?#wHqLU35=Vh=m^+#ePb6)+f_D zg(DhB!qWlZ_Arkq-o-ZRM7mdp)I)Fh$SqoI!Y!twBA)Snqh+9MzJAU`k(lxt4<9_Y)%;g0zjkBF6A)%@j>lMm!RNIT;_b z9rkEYYgVk1@nyKf38uW3oNjJuq%=_Tbo@j0Myp-z1JH(Dj89W6^%R+U#bk4PdKQ*2 z^HB&h?b#pE(~BvcuBWe^A8XnzT1@HmTQtX%zVC;AdHqSRd*TUrvUFtiW^_#H5jIp> z_0B7UxP(zj)xao#AIV457?rC`X=iqBHl@)eh>~P&e00=@od_y`-l~WCVp{;OO{ur) z9YO5doMSenn2~3#dSKa9R8jou+LZ2KlUa}e0HB@u)R4(g&3T99{h%hNs)zQM!k<7OTgQj@H+4tDq@JS|L zExl!zQGgf287@H6te8!&elus5?O&{M@%~hsuO7m!MBZN5?C}DY-kEvCS8Xal@P;1GkP+JiL9IzhzSCyE(Hikw$t~)s*piU zXyctVCwC(=)Z+Z(rY! z?CVTep2pWxk|VBEYo`e#`a56w`agI2m=cs~J;YmGyj&O)*Z0)Vy!KuNtj3=KV|_qw zm8~vYTBTZ$O02AS0LL=*HxkD-aW+=Wwa)_xW?;3*({M2I;t-UF&MK8Ql9$y~SM(t$ zzO4x!*K06-VMQRBj8q{6slaRtKL%~2B6vI+jYSB`^JXi8{D9C{tSGY(>R&B3#+O7v znAZnbr=II0Hl|1(H!Qr0WK(!G0I^FRz!3o(BMmGvRv~WAsGcoxB9PY}LKb{1{;frE zt6sfVQ{UXXUozDKxY-;ZL3`N5N}4>H$G)0&CjtXK24FSrANTr0u{8% z6LJ%nfx)MGvo)_I9t5$?ws`^e5tuqY)&SKjj4ny0=lY_Mr6S*9O;1TOJ=hmkf+vRW zWHo^#nV#$m01DJIK&KM&6wPy(9_$D!LDNpzmna*1< z1Qu_(wI$9^@PdxnZ^^LXcg(TVYFv|@2l%`FAm1U-6n)JyK;y-x* z2fyUIBTc1j6`rPYN|uEU<{diixp=VZ1vhC8vCc&#+w-v7MP^{P$mkJrubFq6sqf(p z-?n8vYI)UiCe6`flM)G?;YdjTyRgB`Bk`@%78*OcSt7U;=*syG0QL@!WCs>x#`G-y zwIeg8-J&*uvoru)PXoYL{gpra{?85zrn5-gg4tveON}EOL4sarJM(xGNf+3hm}2T6 zD5;w)DE@P2pbUESS;WYK;wbxy+m*Hy91*s&bdv=oSKxwY8_0~TS!Y;___!{3^3uQw z9B9pok|`*Hdvtpvh{DLuO)Dsg0uxNLY)BHGfocYni=2CJAB zL*2@FeHG|*BH>UfKd(FJbLue{1PPS_1y8GWr?5>m=7NJvIn-hXjI}5RQs;C{cot~_~iTi zZ|v#&M5&>A&|TQuYBq}&;8R{y$ZAqvRV(t52nB{>WQ--t9+3z|{k@(FiSD*45qr}G zdz>%cy3*Lkf_*iX0TuWvkt^GA{^FpdP4Pk^kd-pQeAR9b@rds21hP|A`?TfzRQsp= zf%pB*_r|yutxV>UdmF=WgYFv*7kD+E#OYm6#@B|$}z2e}In^Hmc4vj3|r-I(_F~9vM{;MD{P(}$? zLB=lu=M|NI!6%GNW(m&P?P{+I!`6U{NAJ>CJZ;efBG`!4Rzmb3MSbhjR6#}X#HlER zMj-TUSELGZ!Snurh2Q~0U7BWzLsJF0AW#I#3D-C4g(}De;VC25rc&F1jZd^+tVqjL z1b4fgDmBb{QJhl$`wID50SVWSfcUdIS9IB9?9@Zl9E?pA(QM*e3qB5|k zuQ{qIRj*C-+En<;@l{k(sul}i0TH?=v8?H?(stJ+qo+`F4S89i{R`p+%#F&GYh^~r z9-7$0Kq$5@9o+Y2++L_oL4M5l(CmR7(O(@8ZK0OGJ!<)Bx2QESOR3>{N)7+?_x|C} z`||Nf!MTRu4`{1KIM#M3)RxcZ=aL*^(I9+RQ<~bj< zoN#mW*rdc@WgQO5!ZnmFyd)Wth3EE7#0qyc)9=(nuldm7{XhL{Kls&=nAQZkC#Jp1 zbjCbIRIXV5+?@&9%{8uEVB=J4|>T3Af;ilUQDaK8mBH$w;XrK69m zK1X@p{2bBlBrBqSOXy|tidFg3625?`;vCwFYE}L`t#?LxjfkkdKvns3k69af^fTsq zH>W9yX}^u=w*Xx}FVS(=xt5A$w_jxB+`O0sVdtMds*Y7Z_VsU3tI1wt+t$xxl&31? zmBXbm9f~a{o9iq{C-*GPQ%O;3p)C}pY=@$J=-jiA$fexzb&k6L3tW2R(Rz-yf1rNF z%>m4pdG_PuR5aYY`JepXPefWroi&w3WE5GY45vFJ! z%T1wWwW7j-X#&<*Y`ajnV3^{95q)6To+dg%u&Qu)ckdqHy|ySoQ|K$AxtH8jVl!<% zPpn8&SOm*xgwd&dg#NK`am4g_xZt_CQdKy>M2S#}f@G7b1#^WXMkAnYxc${tg#%MG zQ23F$?MiTx`PdSsl%I24VR(=5};>7=Z8zKSy3s<-u zj0H9d}+`P#+XbxsZxKm;|y*yD75ekf3tB6cUD$&lkPMK+v2uJ+!-}_sB?4^h-v;)Dj zKGu)$Bs$4Sb%SE;YGnv)+hyw11rOUTSrq^+2D7hf$q=MEb-^-nn-DtHX(32;S_A>x zgL{t^K{=xoKOsnU>Vg0%oh7A#!m&_9oP3wT5na$&Zcu`@Omr5cI(0#2v?ZMawqmJ% zXF;k{7c3xcq*o-U$%3LzizK=NP$!q?*^0Zi3nwY+)Ft;Q%}cc!XlKhF!tF{kx_)-uEtN-7$8gkY7{JA#8w zgM?kf1WC`r-_wM}>im{6dB zl=j61PRl^mi`C=J$N7!E7zM;B@n_&MN1~fkTKK~ng`aV%=xEQ?{-bcak+H)NG zu6K~wVt8Lg!#nL3ElI@bw`h*x-F(&?-uFL*;Z;Yqje0RDhSNUbNAHgYtMU*`4X5c|H11X2zwn9{wqh9K+WT4eeV%~Fx?tpb%ia#gI$}L_ZVPgL#irHg z3Qycf2ezK!Yq1ngWU^pPpeL6p-ZuZQZH{lOk2P)cA-Fw&jJ}|KbLf0GPwd(pP8~H!_SHbu!=e8Z zbKm77Im;4-olop%H=@>cyKNzbWxNsbG&4>aIDdNh$lbS_;Bbz5@|iMYyFtt_=$+`} zfuqHyBPO27Ryn@=z&Fmg&?c|KF3H{u4&WKhtp|qQ6?}91xU1DDl;GA4CAEk{BQ(!6 zLrm$mO=j#tAh3BIipH%+$|x*Oow!fW@IzKB$$gW=$afp!SAOy;p|wb6?6+$PcnlQf z>NZZ#feu6>tCkm^eu8#t*e625weWhm1}k`{rfIxst0}&)QhefCt&q?bZ1K{CuRA^? zH1-+(7^P7Bi8S+a=h*C{l%bn>l4kzq-us@$)Cyvg&owuEsP4UA^I61qptL(CuYUSyuFRlF8{+-^Lk<)P|%+Qa;ZK4>ukL|L zE21XA#aCo4QZH?AluaAw7$nNOSn*kcGxl22f3BBU0SgQ`Vajx}#?JjYM(asg$R>&v znTQlzjfj5Rf4pz)Bh2u9$F?IM((hvyp6|E|f?AhFpD$^-Hi31R=4D*aPdeCwrcvIa zDpAvzM=#K6(3`b43cWLw&qPwu7c}XiZIN!=aCDkrHtp50L`XOPNH-*@DhQl-nGr5V zJ_r{NtPnYmC$#lx<*}yofD%%&-VaSYKDJIR9MeI6OSq>faLiM)U`kAvNQX)B7R>8O z##u?NSf<^+WLoj%=(+3dL>_iV`wOd2ly_H~1M2Jt-`UKnrEHqUbaFfo3+cpfoan17 zQz4o;AHiZ^!h~uu*o=; znZot6j#KrpN9_XuPpBz602(UhZI=Nj&f822yv%8bW-EGLXhn4N`+Z$DZfhz%=Xxi{ zH1#mR2(rLSNIRYDF$MHZlZc92g;cDB#QvPu-4kc8JOhp7yt2m%g^Ekl<5k4;A#v(CnX9-f*YB;4JqN>T z0wprzNH^*Y1VoR=1m`}+zGSao7US_bBe`-|Ab071-x~49#yk|(W1qesyFH6&ndNfX z`&WXbaW*_<2x)JjP87>}@Z>dZwvW-i0$Ihi~#*UxdEZ_k6v#q`aa*~ z-=pkt!QdfD2)7r8wz5)&v`f;kco_=V4j(Yd_bnZhX&?1`sCB=CnD}YD(KZr=n3uG` z7jm{cp*Q66<=Hwf9rWCJ>TJpwokF)=IamtRYNM4jEmHxkwbzcDb@yi%Tuse~Ie3-isSIZWR&;6m$(jw(rF{nVP>49})ib zXYpytq-9f_1#?Hs}ab}z_{owm5w$f>tew?M4R_Dgo&+1uv1$?_>yh*zc8glgVN3bKFS%gn=%o4c; z?)TUj`3IhGlPAH7WjdGQ>j6qWH8?Q(*)wZDr|(b9#WQOjm)M6z87<^T*$~r5jaOsnPLJ`IzygsnDVMy=lFr`QbU|82|Y z&Ul~K!wgKc{#j>!FA$sB%yc#OQP4w>dFPB#@L6opy9T)olIgHBnf#JiBG~PKuorsB z##!Hs1^jB8$^vcw;p2JTu!nkz%rmdHhzLPSgIun#8SMNnh$|YvlUpFnSTE50gH(eC zzmF*q-w@^sv;%4!hBO^@(!N3LN`W&GNKY(*xYwG1YAlFJV`P9=KVz{YXjARdRYyxCP~1xFjC#{xGTdqn?s(MFmk425y8RzszEsipk5)*Z9nI zu2h+LQt&$eA;OEmn1fP-h%z1oY$R_JnQRpr#!gS%ZwdA1rtiK5Sa9L->TDeS;IpV= zcGc|skKt5^@g$lI$LMd&AWd;Ia=amg5QEjkelRCBs%|zca@qd>?ZOhN3f4v|8rQDQ za4~)L<4aM*de!AHdbooegSN#PlK-986whNj+C|2npc-pos&zd=z~V~;>$9$QqrvwS znksWV2`KK?WoACWV}opOT29nZqM>liQsQiwpL+1-Zl2 zyf0qVYXvd9AEz3fA7W>VB_0Y?9K`a5HV3h%f6 zS2&@U@0&$dk4+b4Tq#f}Q$i=O9-Ae#YAFzSnzm?L2$8<~)}W0*g3!Fli>ps$o&(IT zk~)`>NTkM#2BUgakUW-7kL{MAZY39%|GbxVuTTvo%myN@O&G&K1S(@-Z{6In)QVw? zVH38O+QMDgf_DuiU)GO}j<^gN^2Ek7g_c}P$E#G|5U&f*TVHK4;`2Y=X})IvK7Cok zK1>K)LRXMT`u(Q$ds~fXYj{!Z>OGO}88cLmkINH(>~UBt;oBwf&SGe6qZL%$z!~33 zcnZu!2OtB<1KxA7(xgjK?3fL8BGoW|el9aAiR>4GJp0c87^HCoM?-l^9j-eAH`1jh zpFr$lo1l|qcP0sSuDK@$eWH;;9avIE*ACwUv}?oD$hL4fe(e^;N83M2UODs%4`f}D z5_}$@#-0-NQ^H=Jh^C7VSjJNg(yvwFX!;I+k_eZCqyD@JPsYa&3V+nBNJZysXy`S+{5IrH0alws-&O}M z8h_udw@bj4d$n|}*wVecdRNrHIl-v?wf_ep`ENx3in@NY4!C;4&8N?C@A=-zKl|?P z$Zv0C7au9F2>%6yV9yZgk8SU9rEcS@`;AdG6sYB_EGB@?h9zi^|l_OaglK3)dtH#Y9XBMB9~tdOPxfjcTsVF+~X ztl<>yx#?t35vI?`b6kg8MhY*AxklQ=p+i* zDu0zUuxM6!6i(9hf)DfRh1aHS;w6%#@Yti9D%Rsb7fE9OBgC<8PTGV~)^xnIy<8<{ zaO-reB@JhmuPXPSKl-PqsV12wolKqJ4gT-t2pC5aZatWv=q*j^>I17{cJ87pW!r z@|mot{)AV9n}K}+TgvcZuC1 z1sT0Wmnf6HzR)k7((1@bbXu>=eA&uqO%`@gf&zA%{#?bgknu8}(S!U_u(ZnonN!Swm9G zcZo&^J2DF#?|mTttnfdpll&OQH4D3lz{W@5W;mEZj zk-Pc<W~GEzzKK~M%{ zEla6@ss<++*ttPF(WoqN10~I0b4e)x=VE{|35y{<`ACGKln_291RXW%2>Dz(aT_13 zP*HMmz(Eqp>>Lp?yXu(w>#Uf(H)Bz0qJc~9f&>K?JBYQV;sYYr2Y3WvG&wng*6&8JK(2qx%$-wW-zU{1KqWA*aGMt+_?o|@}>_=-+{B?aBD z1nxai%Ko{VM#&h(zHh=H@naVIQvWwi_z}&Iu_LBcd>ugHhKu1m5wvBRmZB7?W|0WU zW$J=4PG~5WDL%^{W0~B!N4*K}?oAWR~%0(3Y5+RjHDf^uD_n9l@m<41sf;iW5PA~Ze5f8!S z{-Q>urOluvYm{De9nC^<*(-HZ2K;&O^S#iiIjO6BBhaK<=y4(c{0{@57xp#u0{v7?+d1C zyk}8i%UhanLExe<{TJ}-wDMRO>+t_*2kZzCZPrs^{)~hft`{9m7zqE{7ng@)uQN2;j}OJXW9B}}jDGwD>h#H-4J;d&wU=N07+eJLkx++U8HK~uAy^#4 z!*x4W?lc%;gJru)91F8`FUVFmQXK5@L3NMt(8?bG~N#eYMtVe6MIOxln%K$576O2Ed)OtL> zh5uOC9c_Lo3@f;agvmpsN<*av)X3GH)B}kjmX+xsA2L2lq@gg)H9%*r4HRXo_s9YKmyeicn8( zLy>^|XYIGvtfLHhulU4)*Ev(yIgT*jvNUQ95FkAyZ=xA8|@xmhiVUYZ7pqo)e=mgp0R6VE$t&sKdBMx2!s5_YS=Rb z>Qq84d^cK{g@pkj4U<6=EtV}|2~fOb_NfrV)@DEPguvKlh?%zZUD-0FyaDpOTAl{u z)@G2LL6uOgD#I6iEb%dozCmVD;W9LYo2B@D?+uWL zkPJZkfvh(@`4ain6YgT?tz2KsDhnAT0AvgIzJkZ)vVpta^iVP8Lbn4Re=oe2pkpc4 z6BIE!Xb(AAjje9SWk%*=&S~ub4a$2dgF$6oS;7i2Cfk6M$B_poqsYpaDH><=u0WOX zph}){R)>0)*)@=1hQwS!ui?5)haU6gCElNt`S%!H(+5TS%i~Ldn7F?@~Hd6JN=u##Oc* z&s3tS{Jg<$Q5FW*wM@@U7Acoi^jsrDl}e$ZTM#VWFL0&?_XPzne5bwwj^=|6{kT@F z0Q_Xz9@yOf{2BZC3AFb;l=t_1`}rgiB>K2W59Kbg0>W1&FBFo;Bv` zczkGBDre2^6!*dU6W$9735?$a1xR=w?4ur^4aNf#{l(9gM{#`*{D+OfdCp1;akaghu1|C%&51`W47HC#PVg z2~+L(m6a1-Z-0jYWb`eARQV$|{R{^E!{!{!2&za%{2{8it{vCBJn4EQc4|NP%S&TY- za&vz(*aVYPyt3IfI|qj^BShwM>gu~}p15}PsLdjc?dane0if~RmSM&<)UobQlHOzK zJ*3>D&DC31ICFwZC=;z+)JWr)>5^XwhMBySQoA3`!;IumM*5q^CLdx@CJ0^dl5U0v zYrA(Q=B63I{Nix&BAD#ccpsgyksM%TareT;<3%t*H?6$lk;XC(@s_eu&aHbAHw-^m z_lmMeB*f5#;i2HJgx6*mX!!&3i%f+jSqt}BsU_`It!9QE+y}q8t)(!wRBP>+2L8?#;a1{hUqRTob$RvMiJYAZxtXdr0`Gk|4zeDe zBb783fjV>t#b^sE;UZYbNijr}#~7Y6N$96;AAFOtJj$Sy^#n9^k$Jkmx0^q`3A4wp#U7dq|5G1zDE1EUjD~J z`$$8kGcPgUy{WLy76@b>IC@zKO6OYtO}7DsC72E(BWaZF!Kd3*p?$X(U8E8=N5N^8 z!f#Vnq;|WE>faJA2SI1zhZUv-Ua^h|Ekvwh$iqlL(8I3`4mjIeba+`+qu}x4OaqdM z@hiPvq90JA4s9e0@YR91G`OQtPsln*zrS-TfJ{2!tSi*f2nWdGEvnO1z@@VHXRS%k zNzf!!s9Vsec2!6uM{bhBSAZo!4rD|X+jN=t@R7D`OD!S=Ss^qVL~mqTzpNTsEHb+T z%uv#$WJTB)w@-ftXUEDX|89*w#{{e@^H$~$EJ={bu>YfavM0t&f{{o7XLOo?7?MyI zLp{gk0DgxZ!d8?uUd4*!-sGRYz>V$l1lbpL+)Rw%dH+Dy1?*1O-)12t_xS8(;%AGN%>;YUN#vjvmjX3*GQ znGM<~k57OtcG51AtWri)3TkrhN@eWv961UgR@V}uE1<~|eht@AI2I8)LjMERk=CkCqQ(@i16iL5 z!$zP&)ZfegU$X+1vLI6dNwU}>$4A9q+5v@Nv`Bw@t?(vl)#EhWuxtHJ8O_e2rna@b1WSv&v^9c0$Dhu=K>eT zM4_Ob5}Er1;LtmP;l)rt(Vq(f-eDqoM!eVZ0c_P(hON#-?ffhKoWJ*l*J*X^?D7?R zUIwry148V^>-Qt3XF^fnCRVC21Of>f@~Q!ky1>n$@(urlSN#~PWR%F_qhqD&W|Hw3S-dg*Mvr) zh?uB$6xTD^?{&oWa{p?B_NRjO6133VFHVd0dCf#|z4%z>0pZfkl7TpR6pUQzK zhRdT=^|8i5&+)KA594#ClF~XbyXIh+@; zsT#wiS%n_1efbL7T0mj~u%eLUt!+slFjpNJ0-+>Qj_(-CVS$bK#;5~5(6kUugc#DA zWu4TZMb79S>=m7C3o1AyBR#%B0t16B1<$%^%k7sT(<1n%YKkJRktX|m5S z{q>|Z`!>4>n)|xT?)_dub(2t7wOg&_1-CpWft9NG8+$K(;UK4S@8z*Vs%(Ytk?c*x zFdG>MGQE|lsgcFbO*4kD5hc)-u!#~R5nKI@C@5*twGRxXhb(efnDva5BGQ_F=m+{j zL-jgPTZzDH1up%fvcC9`_E16=twD~eH2GuARBknWgUq4K$Cd^U>Ewmv*R2|qAond( z?y_#$Qx74>!{-ZUS-ImB@+uRg(8GzDvBTPLHfobh_}x5Y_zcbEI4tlCcJ4r@joOQG zs-Bc}yNnH{Eql~l=iaWgRBzruECH8atkSHFF zFH_EYZi-dZ^2oHxGh>{4M(XJ7YuZHsn>`Z&Dv&F2_?p9CW-6ptc5iPM?@uH^`ujU> ztp>$1BVCg)f6LKFr@kAyg0O@a*;U;~Us;Q;*&2fS@9FXN@hS*f&NkTy{yg-bIWu z(0}sIFp^A}GQUGNgSq&cb2rcmH>fgY80c~Wu}&7;KV7d~VsU7n<$wJHi1DCRVr9{q zUAx4P+36CtsD8Gt5VSq~?eO~n4Q;+OV||KMu=s;3G-9w4Sy9&_7aNk0ZtGfL3V2fS zJ`5`|2CBk^Po~^dwHWp>Rv^vmCSIxGqqv7Y)tU4CxW;PITzt&nRG)h$2oR@o+hksw zOW&W|0f^=5*pd;==`#f@W~Jhv=hc;h(d8O9hO9tL%hybj7rB^!7D=g;Ee)@|>=%+q z+cQZA*!|9u``!;huFH1x5IXJ%R(RLP5=jO6pq#vHiJfF zg$5omD&xIGwVnW(@4)T|Mv^dPOCL;{#iA}EYEYc|JfIG1@R6b}QVcK;IEu*0a8o8D z$%*f-BgfEIG6Fo=2{jLiq~r~?nFN#*}^Q-3Tld+$BjKDv8dY8Eav*7-6 z#n$X)Wfm#@p1S?nMf8=ckf>GdifW!@^&xV5Wh@H&rwG*tpjK*vx#QBt-wd=)ud&8Q z6i2xX2$U9%3A$R=XcXtY*j(=y4(t=b(1Ov-qizz0S^>SQU9Lk3R!Q)??Dru3uINP+ ztQ!BNv9DMD*zmogl1PVTL0_@wCaRc7r#Y{8M;#iOcd(`?mNQaGRZFBHHeq`x zqqJLf+c}dcTqXp(@#mqGcXA~sRa`4VC0=FI`Q9}6bP;A!YbTfRzZLX1)^MqHO9>t? z{_9Zto@5D^kaizobyL@nIYOifCK@&dCkmBIg#-cG@*?TH3sk-SFC=<>>+^csnZLQS zLfT&C8V(uL;S#&gJ@{;?D>Pb6*Un$(M(sgfDR+HtYTtbK`O+|HZtwCZS?K_(_*S=x z!i|AO^Sv+_s@;6h>qV^axQ={hZuiVH!iC?vm|{8-bs381QuQ);ZP&ul0l36&=VKBV zpY$R9E9vJ>klbIfg)Y)B9+>*a$D1H*Qa{y@-Mkd0+0m_XM`Z21?S!lIqgL)<#M-@0 z#pPZ_{1a2gO!{+#wN+oEtE=y4ZRN)9a%N=)w&wW7fOg4F(RvS~`Qo`i)&JE0^@IN< z6MFTRtp5#(NAeNTQtVMptvb@OeL=l3$tlM4!r!|socc2sp8Oz{N_DNY(Lf|!;$}FVkFlL zIB((x*{*ns`B9DixlXAQZ{^lbGVEre9sIRDkCU!<*LXf(N*fmS-m?c z{8V+y+hx&10;@sL)_we|MjH~M(?OA_bJU-?7tcwO&@c4f;qfoGy+#a_R=_d(Z@yg1LNy|3C zrFLbMFPD9fu6@4U#G}{a8p1Mw1*v@4P34TRyR_&mMaWoIESwN2gqwd3!ZFMjG3>(} z#j}9343{@@ZLq|0NS2UVMR8z_mpAa$vY4R+%ZPOt4Co3b7Fa}}q;LR!gmfecv@e@! zn1(OcB+}^-vU_L728m6bRy9_cr0KU#QVlWrTuA!}suONaAK`vd zF5Q+4RBYE}j6SUuX5N*7une2k^qgDP6Ce9*Me_-~ZM~EJl-Y>WmW=0Y#>>6C(Y@Em zLlMv2Q!c3#^$#7v6n2wU>3o{V2Q5LdvJo`T{7VkSyw{F6Q_A}WSm$^#4b+(HOdGB051IK|l~~@+$s~*DOgHJjq7%NiW;B$j>dOyn!DEd- zq27B-Yz8Q6w**`VLHvc)25SQ!X>5zEAkJ`P9GeN0^^*a;rmcq>h>70F2aZ^BxbP{E zn|e!#i56rTVLaZ)X-6E?={BJBJ#+^{FxHZz4C2}Bd@`%aU5}~+aed7!zLCN=t$q-d??A=n( zJ6eoopgI8N{WSllh5zA1|9KeI0=ZzTpo3AJC5_6PDU9gK?Jv$zvtQkth$R$JTx*$v zx{q2Ev*DIw?mz#_B~?*FXMwoDfD}eCIiM#X31WMG2A(4RtEWP^N{g?t0As8K{xd`Y z9a7U2e>n75SOOpxWGl3JrMfNj^R|wCOJNrLS!4lT%YYf0$;YE4toH(AC;`gV4!(~H zPcm>!t-Fu_YM7G-t>kkoebfqb`~e5B8K-it*>gbzl_&#B+?nC&+2ZwXPknPvy7>HWOc z@xv44jjb@iyPkaX4Hz(D(K;^}jJlPs6fa>=%Cf7}Z9axrw#9tWnvlym1ZZl5_`UXVUJa~1UgFZ)UOuR>!FJ8s) zK=$tDGd}T?^g;y`$tl&305~N3D9Zp$ci+lFc@M`K_8dI|+ZHCI?_u6b_s2+JLLbLh zhCZHK=^iF!HP6s?cNiw*l*YuO`V2k7Y@wH9yYEW$V~sjp7Xl2drz%NK%C6F}(q^>s zF$#;9Y8O*y7eFCV$eZPCG4B;2c;U_$-0iJ;n#*u{4Eg{Jn;&q!;AzLW)mJS^h!o%# zH!Hj$w}+WG;Np{TtC}kRgJ;llie^uaK2sFJ2Y3xLtvX6x3pnP=XUw^8E-&7 znH&B;Gpb|%&W%yJHmmz(w63TZLg2@L2tKL54g{&M)Q)h4k;2Np(n~KhVpGbab2~jE*c~zY zyrNeENk54SR&_MBl%YRfu&694KBHgE{dESuNOMH~XBO`~Wu+-c0q$oW^4}9KztwPh z&h(Amds6MByw}&nHxj&$(xHQ~?}J+W5>oVYpjPI~jsKBBBq+qlYqt=YDc#=!{Da@=5%1*lZSO)S9N4eFH^3 z@0^b}i23puX#TDIehe4S9S0zd*v&UxX@uWa+9?cf-mK5MdtY%*r$=jHvdkP)mb~89 z;LA$|%9=G|!hQ1oAeJrnB=ZN}@+hkR2jpUV`f45;?S6Os%}DwLHAX z*Z6cLTw9&ym6H5wMU9(2O#^;noAcLrzua`7yK|zJbt2$-1WPfB{|P?D}UQ zdGJ$eqeU;;47QqkE1f?T>iIs-OzB?Pg8A=%1#>?QO|`YKZ^p>~``dRX>d%jo+o_Q4 zFTrLUKVJkPMSe<{e%;?pic~I+AD*K7QInE1qfiz1JHr(Pg3GhWD4L;*&qYm=vDUHa z8g8qC6$Q1hs4bDsLaoLI!ifDE4)W20CL<3UOMpc}N({8-`7Y<)bIRmajR@DyUJmprO6)F+ry^p+%KJYt3#wR|h@?az1 z{Rj3KN-Z8wJ0RRx_kA>r97;E^zo!UTP}a^v&PZ5o#mbniNM*MV1a}Ge{fyL>6njRY zPGuH7vP10Z-uHjEp_w_srY%N{%17FNdGb2ETO-smBfnnPEFmxSryb?n@BO)Mtl#ol z#7=1x)tJOBZDKH}f6lSKuEBW8Gsi$XT{7)^aQ>Ms{Y9*Qu79efAqKGT&bshj{{%Rz&OB}MDOM0CJ;k;F~!a!5dRy6p;`I_k>%s@`WCdO+f zT7FZ0)JYUI$J@C1P#gHRkK~ibUiCI>5w|{X z8Mj`Kaq+F6;-CEG4CP7$1|)RlzKnWSAdmpVVm zA~94a;xu`Mddab?Ae`4q60+I7m{#`yN2G=S|n;dxTU8qk{enwPC^x5 zKD)tLEu!qyWzZWHOx)=oyZ;>=hf|=Lh}wBKF!GEh&Cn{T4{3%i`_1TAuS+==bxfNi zzUo(h@~<8xM2l?VDn*)r)Iu?RXmsr}tC%gTRqk4gJ)Pv|h6F>%;Ws66@W!Sx^Qc+A z?Nrn+FT6v|=GbtW#gLg3Pq;Zqz~t76X)Y;>WMHHTI1hv1DtXV*_$DAXO=2zlB09)% zieT3=_72m7>-im~X^udM0u z8o1RQDfMk5^#P2E|9}xCl$9FUij8K@@TT0y9ily-yi!w9s%lp$mHWHebW(LV`R^;Q ztRLZq;|6+*UAp04oxYWH;6?f!8;fPd_8a17mLoc(J#pZ_*7UOxv)=nM^Z%6+7pGr^ zYd^vM6ij=a3Ff`F8;0ynewL8kVg`IE z+;2fuN^NPz4SSxa!06G`pwe~o;7PB{pCE+t3l7L?+WGBatKS+VvQ{@V|UcJ%?o1T*|K-SAj8q^VpZKteV}15*kvxVn+8uT*C-qO6MvK`XLim} z1s$DGX74AARBHF_SJK|cJox`wd(l*d1-B%=!PBFMpmc_<#|*n+K@p)wd}a*iPIP7( zQugisfUyJSFE`x_)4K`0Caz!o7NvjWH5hW`4)-y$RfCPt&k!^4_-f=%O2$m+yl4P< zMxcL%p~bMMoHPS4C@) zjm!1(FZJ1@<=BevdGqXqHZvhQ#A8 z6k4VLq>SmE8HZmz53qd~UA+tqR8un+GrGW9gkHZz*xV_mo6+pzhT_1mWb`^h8Q4sj z$r#m~op-I$Xuz5}g3mXBW`JqJ>`Z3}LJip5Pgy_oEG>b57&0uO%$&oqInGYX_XCZ) zE}W-N5a7@7xMy+RQuVaU~?9h$oh$w1Gt)YS12<| zc!m0p@Y?phYHo{ndHXOegh+6p&$nsCs#>kd{jdM}fLX@y zh-$}#TazuJ`T%E-eo@5r@)<0P^UY<(D>j={FFDWM3-nrwTJ!n*+Y9Cs<4EHd`&u_6 zKLn`dpNIIxKy%k}cZ11zsjTQPRG2t;Jmpl}8YV{Tw>^8{VEO_D4~mjr7O~W|YB?7$ zY;Q!Ni|BD<9jP#Dc<;zqdAij<28IahaQkU&R4rTlW}ZxbChb2<=|9@<1X(_}YayJ% zP=)ML!rpcYpkp$ByAK$Mb$lZYZHbyIx-=Jd}ffgI`p=$V00 zd8{BGY~4GsMnm4Qp0N|+@wG*8#qD`Jj<;VzKuOl_Pl7r~vZMtRfr213P1qfPupg*A zcY^pL!GWg`4Q#Cpl-i2GZwq7DcpF7a(v9S6$h~3`og_>O(y0|?DQlGWN1u}DA}A@k-mK*`mHSB0f((?e_Y&M8zn2GGQk3&8$aO%ORTqm zAUvljMIsU8{7w9v-$*MuOq|!t5KoZm+xYCfEx;A6F*1hsuQ_@)mWT2A#qCJ+ zcRM5Glc80urdFO_4TivryF+N5{zaW%*I1E(+(7kYH2&V2o=dzWZ5EQs+cH^y5&!j< ze}B?L8dUUw|M;x`!k(M`*eM&5{$-fwST18XKzLP?PBf>6cU6PwzXK+?=;~_TQ$i(k z$Frbxg8OzQFG( zq_-}{?M5p7OZ?SpST9|^5PHO=PK!f}6Jig$GT0*>o)FwSq?5H1LQSCn^?xIuYyPYT z`&KYrz*<4pt^Ff-AaF$t)j+l_=7_u`9dt0vkQU`TQd14$24bw(r-ea-{kE`~+qF!* z#+&(Ym~)Cs4~GfmB5iSCgl}76%A5kss?c9JIH1}Ccm;v%eId-b7ELho{c}=-U=V!X zIkpJ%VyIzNa$z9(3ZxNUDr0b~+O8vOLiDhA`{;byStJ}QGezC280OP z90r+X;R9bd10CctNQaG-q7uM1IrMr+z=)C(9W^;*B$3D)#(78}pFl}D_o8H5aWD8(7584fsw zK)yjguc#TGM;qr7^yi{B&xBY~)Qk+(x{?$(C3EY~k(O-0=}zvLB!^fM%G@0C zya86VT$^qiGlJl#uTE9{d+nc>`I5W$r`6=Nq~#E zskUKQihMByc2k6cdq16&5yorv0O!q&;x~mc>)n9HFL4UI!crva1lUqjo*GGY!p!H(g^`sT z4LaGl=f3>pL=M0~Oa~TSMt&IB8eO>j_)QJi%7Er(`pjjz@s{$3{%Js$u48yZg4JIS@oCR|a2x}$}- zr}u<(iJCmBRfeyEMi#EYcam2FC_Fo~$N$#PvHyMn3;ONP+%rYVWJ0;o0CD$g=UESd zo&g@*XF1je71I(tqn(Hpy&jMlf*YJlGGh)R<=uznL<&X%7>dFU&7FU9(s^cY{e5|b z0_ww>R2cvO>mH9XM))m@iZh-@L>11DquAaT7nsj+@0gco`taUr`V zAiaTD_u`lpXJd|Dpjl0vKGE7R{BTawRs3+kfJ_nim0O3H4v%D?-N)7YX13v)YbuB+LMH2w4gpK!~$~3?jhF<*0#CxU%2rF1s|*kh+K;#YvpZ zh7|(&URaidx2E%iUrn%Bq9)0JktvgfD)&u(Llc=kgu^kQkZTN64h9TI6)Ks6(?e4d zXFwnQlDK>Irn`OYzSZ)seZFpby;+(Gy4cv`+H2Zv>2>w2>pOjYBX_u$6bHdX1i=8N z1LcYVHeDZXp=ae32=oNfmd3l1_ef0cJCaT+5li)&Tz9O?PIDrrTiZ3jwMl}SrJhDn+%I~3ZL zWLRkf6ZbT=%BKN$KeejoH%{KazIMXmi8v$geG9TRn~FxvSy>;Y*O{)Cj~=bvJ9kiD zt-K+NpI==YB~&c82~TT5y#>Iqp;UF|n`qA$I7uX4K$(d6>Xr?L9>c{z6Xw5vBF>`s zzDeZqcM0ERWm7r{nwqJY%`%oKQqg9O3+*lSSyNMnZEl&1gc7`_)rULbb?;1_W=aNw4@qY7FPaHE+`0xIcH-5~(6WeN=40 z%N`%inc^0*2FgyHNr#=c%dKF%_%m5q_*j=QP4r@oC0i-@ubg^ac7Ov&e8?8jyu`I>$3A^(`nUEAfyT%iwy+S zg4_;d5Te0Byb%*2Vufq^Eld9#`fmn8>{zJF8YHRCMk7vBLzn2Mi`bSFhN1V-vczw?slAnF^f02kGxOKrXIpjm5IEEZhv>^T*n*yxS7W$d*P6Nh} zx$@kQEk^qTNG0#oLEb>uB1oAs;3uI(E5)!k0uW^L9P{K@_<~SM+?_-nM#K>TR>2wq zPk?{5oSj4&D89cpFn0blj}VVTZU8!k=ZV3_wV`|bF-ojl28J#wB-NUu3|w@2iZbW{ z&m-*#^ny#;zLT*$mDnmV8QQYK_9Ed5mPyDJDb_d5pW1Q=S4HWc_0eKkQOD2=|8SL@ zJQ?1@7(Nu6mCb*@B8j)EM?n2Q?)&+-g1xk0FzO5gPL2Dsz!0; z>V)DH_O(_pYt-;_^p9$;QynNEs4F+Ee!NGVNeq92GsT)|Pmgo8Qaga*GrV&^QfCg1 z5w)IO81X%p|Kv-U#YmVrgiWRiy4S;qF7wjC$$i5{dBTj9krN+-)&*t6=Va~ENXC2p z@Y)Kq5v>cmjbUDq7f!|fuJod<8yT2fxOw42Ur6!Ls29@E*zl&=?_e3sYcL}u=%)UVV%f9ydw^wEW6(5G8?77>(loM~$6zxC zbS^1O7mT&*`eE^k;d8f_;z!`8y^o(NE=%+>JCVT@J?TuePz-Jb``BI8YwV6Z{5ugK z|2IhZZ#e(!D#9?l`k+9s3+GF2%DTRo0%VXw04Tls0DO=`1W0`9k_IBA0n+bJVkB1c z@Oe>?SAwStw!J7|+^B?dkr$08M=bMi9Ob?Q1XA&h*D^$NcdwBERw78vfLcX|%8J7n z1A(BVg0$RdJ=w1#NLI1$MG+tMv3lzKCWjaLxL-Db8X<=8Bl#OV>C9GOr{lwPDRMT} zc1qv3D8qc@f;GI?(Nw-Zk$a~AvR7&cSOYuiaPR*C#Xvg0;eUGX@A%|tI*iv4>FOv& zDuY_j6QOE7I?q$3>VATikqKXfJ5Lq$|_6rD} z$c_#e(wPuIaTq~)4~3pR9n6dY3PdHFH78BJ*yx92+(T-`1Ck(SHQV35;qQr1T*c-E z&?@URYPMx$tg=PyL0ReBJu1moDG_))!;HmtMg3v(LR4JIg8FQ!!s+K#C_r`m7)Oo9EDp_hZMohkr6d}KGs%nwXa|eGd>XQ zipWeeF^}-JMG{i)_=+HzG8iM!H7$^k{z4HvtOzn4#wOBVaKUkCA|9P0ISM1J0GuN* zLU;jeaw$h&Z81a@Km2q;VLIB= zkh}oFXTF9&g!APX;Ho)Z*?P6b58Fay}+uvJ7bOGQa&md)3dIFo#Xlbpj@euGJmS%SLp)*Uc>#WT&_ve zNzZiFv<(`aAx+hvD(LHahpZYEy&k54s{S0+3aok!ZbyUBS>Sddr%lHPxc!{|;5Faw zr0Mw%7uEjMlLCcz<#HceuzLkuPGIMCW?kEQSGeI{`nE5B_Ny!?`m&c_4yoI3hWgpB zd-_|}Q)R4Z>fEn;6x3O@6h3X(S)dbZHJy_?hr*}5KiNP-zvORub5<;g>}g}zl@P4- zijOx2OLi|=RVjY$ae_C5vsE}`tXL_2fip7!wL+&)^JB8&$1Fb`p@cC{H$NUI6wC~y z2bEs_FI>d_xIHSXgOa zO*6-;)tniOsPJ`W`?-g*9f9rY(FS7k@u2Sv zjj|;v3*UeH%G9F^LXR+44)ur_L_>=ZUytSC>U4SqkeyGs>re?mOf zqpyg0?~0Jy9SD`H&r!TaTM;9DbX*u}?7dO)RFA$Q2C5Nqj>P}zXq{;BVXrNX&3COOFT+mXXCelREi!Nix(zwtG( zLvz9MrqZXB?8#QHR@ub2VD9m*yc1Czc3Mc^@n$ry-D7q(4bYT#SZ#^tzO zbsANg=GDg*_?O=F#?Sk2f~G_>6>UZF1kPHqD+iD+wYb5YSOzl`N49bz8Jqq2@_Tlx zsVH{1oU~L!V-@*K*lzeMEsQej3$1$fio7pHF!2wXuNH^q9dki=Rq$Z(T4PE)W|-A0 z@|kf#km?$1E{tXSV{&5ofa;)vB@gJmXjZH$il>cvo)~ck=HPtnNh4KEM)g5lAh$)u z64lO_%H;CdpgmGCFPPa@g?lusBwsz;UyXa5W9h;;QaYg`+zIo)OM}F#yFsE-kToVQ zXFmP4n+TAHBG@ndji3J4o}5bX9YO1-z0e5i+H_o%;6u}}MzC8LW_d?F*`zwmwm=cT z#;|Ge;602CLFzCU1WO-b*9Zy*@^;i=E{Iu*Y)2l>+fj$PV9ML|({a>cE(ioWek}cG zWGvdeT~6?wwuo_6aD8e8>jWPyt|j;k>tIJ?*hEx>ADja*uTBNh%`cJ&abOOR3Pg0= z<{<@`?vlIRk#~oI%h3`Me)L%yRMnbCyM{%$w7-K5+p6u(#U;#9fj1?iziBuh*oL^! zrfr2zDsIU~TQFt=3Bd4i_3{*N5SxHWyoU0DfBkjOy!ds|=A%LM)%n2n+U81mw5{b5 z+j=7Mfdu%9HVwg)Il;IC&j(_bN1H;Es6hk$Ck}g5H8jbAo6@Y9@+N3q@x(U=hlKJ*vv>(^Rd7@Xk z{pmTe1%S1*@TI{dDwvhazi=2&B%1PHKJC-=5l;(EL=%s!lz# z`KXFtS97mAC3$=fL@(FFOiy^n@oA2^sHMl-jvMGO%Oq8~WEo|sN4^~t;<6;%jIh=oE9 zdSsYS%7Qd^MGzKLD?YoEa7Jc9T00jki6>MYdyPrdNktIYNHNNoYhe`^`wBmX{)h_# zvZ9U)rkYt5<`D-@P6Od0*qC1x)76ZNmB;k>6ky>8R|>y^+B^%7o{SaVO5`QIhPd-_$~Mzomr zyEE;kT_(J*#kAiJGV{-U;Rh~Xj>N4NUfi^M878_K;JVi}lV*gqHr1m3yJ8h87gw6% z6LO}4%tUF);!Zml%qC5{AKHe-a2Deh7^HednsyhAJ_%U%_8e*2T@a;HWI<@)z)Y!G zjyN<;y9=JS9Z^q+uU6>pY7U)?Poo36s^U|SlnhMh64UMnA05$EwX==R=#o@}uX$K) z6>7?|TYHJBb~d!#DXljeI?Vm?Yc%NuoE_{Zk0D3;kq79N&GZmM4aOzZw+}C;Fn&(( zK1Ze(;JekgL@)fV(z~%xikz2Vkj!ob_|jRDfS&?>IX}R+XLrKH^aA|bFPokI=?{L% zACACE&$HFOrSuOXLjzU%%UEmQDE%XFP}e;K8CcmC(t=+4hl}4Tf~#YZ-zcmI+gwhbl5T^@H|2W zvCc_{F}hAV>~4nyE|JXLMKY$JCd|wd$=psP^AF$qDgVhwO-3@Mn|dHh;EU=evakma z;q5A0idF^zj7-7kc7UpA+_56``szr=dLw|21LaQ}cVt_NmIH&)VR*o3fA$fr-VAy8 zx3$idEyeUjNhl&H>vIm0>&UMUhVN_;90`OEkn{T2RFMp5h>7~4GQLtk_X;5>E7CiD z?>@G`^`!7+V2%+2U#qWdp5A{2!i%qY)6<{(je#saNC&CoNOTKKQyu6-rHF7m`Gh|a zWE{fFRRqxmg>norbe$mp>?^C=xFA?1SeD>WFwfb9Aj1n61fGK3v=AgMswj~VWO(6% zz&=C4K@b=^Q;8u+mFR-V>2Oja1mWx(hOYb=h8IPUBWYF8dw*g@szetAFT3`Dp|{0! zrwg8^zEraW%uTnitHI{znVXW)GaUuD<(vl`9N3A4uObN4XgE{HhQJn*jv~Y;ZL)5c z;st5NJwNAR59x$6dTpgNZ~4~OWwYxS{LuIQ_n#L^Nz?zH^RQyT6H!bC9Jj4(QYpD0 z<}V@N0HuVMchpzuhuBx9lw2@$%^toD1aqx47nAYJh}T6?6||UCN?9-$lMiTPz@Z_y z+6e$J3sNbSLxW~a^&z;wc#a`BUxmm^=p|L%*l2Q-uerDs`F#%`qxV&jZ)9}Qw(TvN z-focX#r_7b$Qr08udaAj1h|gTDC+52aAMO1^s6S|BcR9Tgr* zVRdPLJ}K#4eN?I(oNpd?jr8YPef~65>1;&LgtH@PDSsR8V++?ZoE?Cr@QA*4ragb) z$A0P${ow0g_quyeJxPVCzq_wjq^3i40T@Q3E^563=SB1iE>R7Gs6i?~rf^;&2@X&pLU9_U3H^+WxthkBviu@z<#$MTfJgRMjMx8 zEz1V;Vo~@D(rv((2{ZFyu}uNkX0B;G;G>s z5oT?9*7z}5g@GCu0C6ZLFa!3GY_$sO(5);>B0{Zy&7=wwo#TU_iuM@(;7X*gxkPp7 zQbTa8&@AyurH8-_x;(-G`*1FJD~`bvUi4Vb4J>+W^^DB}^0_&g`XCejF-iFeU-c*7 z`Zp)_*cz`La|8zj?5)!=wC zVns!y@^WfDw#QfkRClPJw%}B#6<*e3MIQ4bZ?1&C8@7 z>uW4kFmp@9W&h9~x`26MwviJSUL967wL2qW;fmD%#xaLJQED`)0q3Gl9}3TCPM7j2 zOqB=b7k4RL%KU1@CMNjHdWWn`CuCQzhiY+q5rMw$~ta7^nVP3lXcuE1SjjbQ3y_@ z4ig zE_2<}sX0jBm#`oFUTx+wEB*-kVfI?=Wmf!qylfKoAAr*Asq+dciJo)`(xLc~0LT~e z+Rkku!F@;)T&fFIcn>a}Gv&dwg^5U??1IL@cN0O+{-$F8Za**t_O&&zcy@5x$L+*mLTv-!L zKs^S_Y$m}+?K8K*Y1cj|f*P=w;Npn-v9K7BWV$Dr%;W_uuQusp#vh`){G{L)rRVt~ z9{dhJu9Zv=^u=zwV|r=_XJXR}>%>Zw^Mq@w0U*pqeV*5SP8+i3@|+4yv)a#iP9Z$< zoZ?%5PWiEUPO+drr~G&)&*>Oxv+(#tn4`2k$vjJ-k;1GVFAu;O6%OULd3jpWXz=o! zMH($LN7D}m%W@Uhfd#q>L}feb&4}xAm`Tp(42uE9Gcyi18PM&o>$c|qSOX0G0QdV9L)swx9m zpsVA{b{roUET4`(UJeAhuUE@ALCc(!BY2qM8Zx}&bQuyawGKc*WGo(%bBFlK`TWi)u%*VBPl?OMjLa~%%+zBf95eQfaev+qfR zzw5E>SI-3Ji3$cH#)+p{=Xu(Bk@TO$-FzteO6SST514&dm^LW(w|B)nfyxUrBv(#& z=+bf*8@;akbNKqb-Z8G))h4g&uDnIB`n$rE%t!xMf8tZ#5&gi(E_t0b-v31(<|ufXF+=AerWSM z&;mex(Flr)wH5c>hG}Q$mGuEBih4zQGQMK*j*udd9C|*qC|z3-qc2dfqiWh=ie$4Q zJ#$}i2W|sRwCpxAS|+b3DH)eEXCL7M#)Q#}sw73@`jynA2$EZH_v=eO%QsSAr%!ws z9#Qh*;lsPb9N(XruV`Fr+02Xb^L1rnKdzDz)UGM~FFI-(crNqB)i49`sgqrWmy3jS z7(!;atBJMB2oQ-4*$zWUkZT1XM#8&tWZJ}tY{&j^M39h9$3J%%y8wt|eG5G+Zz@QCHA0mS4nL%5u7lkbtc%B`@=w!(;}+2p&b&nw zeI;&DGS>PLcdNYR)x*@kMcl!xwSLU|zGKW?y4ok2bBo{-%(zAC747b4{F%+mpBufy zY9Ly>8|L%?V#3B;WV?IXY)Fqx0}Yf(Bbe=OSV@c*W5}HiP`0}$$ufro;|GLhYfiJ> zJs}%qf@>Jydc|yacUz_{SY#lzW+RyGE+%!Lw17h&(Y~zBD`r38ZDhM^cskffoI>kF zRCf2c25v=`+@GXpC;x28@wkQFtvPp%2WR=ZIZMg$6iM{|NGkAf=z5n@yFlEQqgXGc zb6|!fZxlzLWy{6zfYT(M5MsrIHfh;@{1zfMldt+HqXgx<3 zB7NV^T}mlg{DzT&02QjQScvKS^#E0vI%^>XHF1l&GKam6^GtTci=mNfW&mN|v|$H4vP< z_{*R8KYx2nht?h~4^FIO3)&6CSDA2w88U-rUs+PH2s%wWI$&*pN(j=2aX}1X=>+)& zyJt1V5TrNbg4TwLL!&C7wloB3OI;8Yu3B9^%J5m5sSu#?;UZK(?a zcFR%Dgu!mX`%w!rIB`L+xRb?$OzG-D=s+ZQK)PbdN-{c&nI$@`DIeS`4+{jpdC7- z+v}O{5t$>6Pl5A}>nkrn&~kK)<3=u+=ZT$~^ORa*kt{=&krvjNJ$}1Xu3U0|-21Qr zPN~aBpXEbcmEzTKEzroi9aNFJUBDWi=Y2TNgHInV|EEWHU~+`0m!l(YbT99}PJ8*F zHoWl!^1NHL z_-ONQ(K!j^pZ((Ze(QHc;a2Bi7N#|cI00zULCUC}uC~r%reB$l<`XtS=g8zNM(La| zjYaI6PuNsQr3)Un8%~7=`3Oe7DGn?I=>xbR$n(`(;6T21MY;ekh%^Q;=Ts8w4M>Zq zIb%ioXhjfn`g9f^fFlZ43qd*nF1SM$Tm?~%RhK3NY5!diILf1VA8?k0CMh3Ud^DE? zP>pUMXXXKf-khW)kX;hfpwVH93k283I!NQ-l0bGz4BO%0SCZBpjPl+kXW9R-o-Ij} zTG?|7yv2}SZQ{+~-`Z?wU4NAG4Zd(mrAXsZm^ke-N;X{(k;z2%8i`OSu57vxlGHoo z{HX%o(*e(IBx>>S0KlDvhsXJ5o6fp%Wz#3p$>Pd~iPy{HHl6cfuSG;@5A0&5OA%I4 zL0+UqK{)EORvo>lyJ)R2^`WtV*-Rr6(3vkt0$BfE zteDMoj41~OOj9W#SefZErW}pHt>LfDbhpNU9W~R|`4CH1X8O44luepLaNA2>nQ2bE zgEv8gzQVNh+CPz0iXGw!B%7F`pp&o`99ZQ}<{~Ks8&7AZKDq31VP=G>6n>EiV`%$Xmx}d@u z1yhHZ_^$4Pr|lZ1&@oQqoUaEOOesZCEN_We14aY=B?4VTOP)prMn5*Y;b@?It7rKU5ATGToafwny7K_6T*BgdqZ>7p$)rA2b z=@tmC!0v3?Q7^81kp>`!1jJbpJ$wQ94v~>Sap^2y09A>qO29Hng?xuVeZt%h=K)0z z5YwgOqpVV8R3@qT18&DXn4;#T8 zRJxT9kRLlJtx+~^uTNzI3a2&nwS=Lu=C$;o1W43K{SU6E`f4vlRYNRL@^$k+s%I?* zvyIFdc{v7$J>MG{n_@@vpD*r#3~lBh^_ z0JQMuAoGAhZL~AK<}9TrDxxSk)jr|!@e5TumVgRs5cljwiY6 z5ozw}c^vA0mmb5X>6@KXyBbw`kJpH_J3^2y9f;^aG>tQ1wOU{Lu9`$O5%t;y`9Af; z|NZUn{-`NVuA`GN?$^CJo{UG_pcFDj7?d7`G~*E$EM$xjw49V%o|Sv&apDh zLB@!b6hoXaxX8<7(;Q@s#}yoeMlunp0D|mfj6lX{0WBT9x;ur85oxUU5(zTEe|jNf zMDwK#7pl&a@nVtmd8~kc8zd$jy}Ch8#>j>y&7HaAq_d$;K~%eRdu{H8{mq?_$(8VQ z5?P*fzj27`W9ERk!_hh-a6lF^#`98DxL`SRrIZYwYI?lL7#9a*=`woZ9d8p3slr$E znkQ$U$qe)8oxh5D2wVwt7qTE75bIn} z73C{}gp|yPLV+Mjge=J1fD0ZsYq0j?91-x#^x-Th4v0&_RZzMDbe;6Bk2oMMxxvS)fCY`u1}b>8xARLly~fBH%P z>Q8-VM0C24CtJ&#sAXT_a_i}!{K}RJ6DiuXl5A^Og~?ZBx2Dvrp#4C|Uu%I{p&m&U zBNT1IiksC%R;X5NXU`T|Mw=BYg}Pdkd5wZ-PHY6TLPcP>mQFwP8VD0~K6F;7yA=Z@ zs^}dgn-wdCdW4ErdN}aaXoV@RPo+>X)tNR7YLa7H+BLI6MZ||JG=C5B&8-oWESg{R zBg`0nknqFxEQFGHxzUlX2sp3!*Kt)aGApazcuH9-8AG{C+4*a9ZBG&CaFjpofeGZRbvcX#ohDboo{ z-kRDa0ev+~;W-_Q|Ibf+<$v(L07a4&4#P3vT!=-KEih7Jk{MzvL~C1Q5n+=~Xp*2H z{TgvsOz?nV=u$)m>csNOAt>>m3o3*WD`FUjW+OvT%%KY&*06#wocon$fkRNNp$S?e z16G9f)=YH>io0Th#S7V;Fp;tOG2*V6AQIW6gn$=%x!|5xMFe?K>dT|< zic79mOsB))#f8$eaxAItib*m>tZfWk*SkPUfoGB@w0KGfBPcE`1)SE*cc+Zq-S4+u zd(qWh@il=}m7k93^}r)FzbN0IJ;ulBMOv|uGD}Xn7HXQ$NGq$IA1Y_xx{Gm@i{Oq6 z{|?E-znMefk)ol+WpAd}QpSu=J+m1N&If_iy9{Pv_6=6iV{7PMdOhBC-_zh-;e^M>{5%5C;wv>~gIz5BibpRxUXX+TuTgxESaFwvB{;AlS+_Hn5NgP?~;$NxLV8syAF-|Fvm}7W3j( zhkqM;lfN4HTBLR1+h~A}li{()>p`mv_&LRgRtuP&_QnTCoQ3hiu>+j^s`psqu<&_h zJ6VXf*;*J$^6?s!>daXTENrmKF$05^a|pd998RYrS0@af%;7lS;Mr4t zuED!~==~S{$$#lD{8Xe@RO@>+sJNP-sg&9csHA&fv+T3)z;chI0IvUPZFEkyhE;=n zMS$62iEl@84utB6xp*4(T) zfQUT@sPngLR(A*U6IBv37kfCwDx~VxWV3)8Sg)nksyceUKR`5U<5>TW&(_8oK17db z(w)&Qpt^LRav!QN?Kc#H=wZBc6T;W$qMTy`;`AC#?LmqEUGghWv$yod@+&CVq}Lt= z8yJFPD%jMU!2Z$WIJ=S5-oo2b?DLjyy>KRDe(XK}_#Zwym5c#f?pkZ@$fLHpBdZR0 zm?ZR*kqAB2ku}G@gRP_>T*+RJB`c28ksX&*F_=HwqNgjxz7v{Y2p&$x>tk2NJV;lB z;1L3mucS^6;z4Gml@&Y4j!Edp6}LU(Qz`Zprp$0fqR=%P9*3?Jd!ZdTu83a9ihWWI z7VoiqP+b?gk6)+5=vf=?iFv)~;-Cj{sA(*o@ z1y>6wgN-W-!8%*BLy!f_xLb8LD=h?bwg%k&9Q-Cp7-6N2Y|VUor-tdOVP#@UModlq zik}oB*?ceHyqM~kCPxplM{Bl&vqXO0%rNWP7cXuxzBj@{r6~VN`*t^3>)GGcp>N$UiKw=ESdrIOdX;TgY3NMmEXG}?8=-WiC^lG{! zFyl5_{RH4xd-NR9?{{l56Ux!COODflD9rE*v@0X;DoKJ})2cq{;i$KN9uN%{J-nN>)-SK z$yC6N^Ebv&M2x-D(q07aITa8?5z&sU<~IbZQ~>4?O2!{$MJqstU`_=9gaVI@=?cKm z+e0($Zo55^IDB;!dQO1QNV_2W-=s7En7EKpMsfkpM8)5@S=(s7188z#&MQgkQeP7> zrX&l1rvmL)ho$-f6y|=>NM8G zkMI4We1lN2QIcgaBllS@t2?x3Lm{&|LoAp17LBl6;>zcH1gcKZ(eXSnt-j4Yi6iB| z-7rPu_XJr?_1h;MDf%|*&qQ@txBGBa^-`=ra(PvuMEA~)lz2$vPL%G13QBlW56`94 z)YE(9Ha~Zs{O{sKJNsD!gVHlx0mwrX~5WI{Rq;DtBp-okE6yWYez(c zk3aqJs1g-8kYDl&CS8`upzm6(-lgLP~XR1a$Nr9*v4<-E1Rx=YSd%t;x} ziEgusm0jb1R5#9L*-+^r{TnOBr>pg@d~-RIZ^QL1Y(4((nH0nlpon}z04ybeo`P5b zIOc?T)0a)au?TT_8WDTrzS_|17FewW2}ZshQKiW@ZePLzXcVYLa{vpe#*a z!$Nw}ap_{cNt7j7CXrITvy$wLL?!)Nci<Qd`wq!U0$}r4-t) zjz(4*J?)Z}wMKQ|;mH()uK(QzFl`nbLXa-7ZIMZcWI3cTSX%`p1gWo!;J!0`4G&Mt zA|WWY)DC^@P-~GD*U(_ip{cLSie9`yEN=|4u_E=g3xfDZr#tT9UAHSzU%TLbg;7$> z4$uo9B^)>%_nhkt$qr;j%}G{-14nQiNa2X79L-$$Sx)LfRb!?DFGClqZtUk0iblMa z)2gd_1;(~sL5yVsC{c$s`HQ`do)!WE2uU^aN6K``!ISOe;I$5}w9)4S%CnLnEZmO$ zAKAeb$V+liZ<2`qKCafaP?YwtPH3cF%)ekz?hb=8?-sR2W5J-@3KZ{BUKhkLW#*ok$zGfFixp{j zT@cVn+EWOkK%qu01Zj9(aDNguT2A-{i)?df8r~v^ggDR$6xr>w=i3%vLlA zZppM?|7t2v7X%w2%Lf$Pq8XwVl+uYy?f?UUV**Jq*s2>5#}>m|BzIdn9FXjM;$)I! z?kOZmu_IULC^*x&Ig=*3m|V5hS7ekZr3Q6d0Uz|==BM?|C}-5C9(M>U+QdGxnH__2 z>W!ctvS77y?%dS*i2m5_^`ty(hw`c@QXmC*8>`$@ zzCBRm)&qd@D(zt77;8=%5!K-l=Y_fG$9O7h4aK^VGheamEm;+{4#OP? zdO9c;+lS~|XiQAAIca3t&S>ejb17D!Se!Hgip8*C z4!|SCuHHnQMDjp8qBYk0doxUiVD9X-ye*3~Z}}mM^nZNo``$n4umifw8nEzV8h3=* zz{X)WE;aIFl2NmEU2BIOL#Z`WwlY4+SdmYoZQ-t;tOk5MS#Bu=v%?O^6!q=5|*I`%H6uMToP0wD2z7-XvU*3eWZ8V;2Qtjpc zt}7#FdAT_3jF-EOK#MBnw>a$2tnR&wJ+03_`;sI*#zuL6y2?bgkV;W`y({22(FI33`tgx(BPQrM?DIkwawzx>QRX&SV-6! z`$HVNQjciFW#)qkA9mzs-F&u#=}NDtq4Gg>$yAm?rM$tz85@f4dE3mHLkiCkE(4X* zrI0Y?Ez>;=Z&9!TX_K#MaUQQ-NU+EHTz_yWB-~vgVcsojed?uH@%@g6qfzSN4(|DITcelfIw3uoCvwUA!lL$ znBPdn0n^yb>yATLnX=uADK&!YHj-Y+E9N{*0I4uIjb<{RW0i&}Kq^!cX#28Y&cX!d zsY5xV5v($0fqB}2zrU4C@i7J)6^hT5%>#;c?1T`W3c5VoHp@cZ9#Br^;r@B2ny>+Haw>XMeCMa(f92aN|A$iJq@PPM;c+M? z*h9OR>c_WTa^5XkO!e)wOaAk}@|`dIc$jMSo7xyTLf?UiHpj?iXe){lGGaCA&uXg8 zFGCwM>f{mvB6F?A-@bzJf3?NP=&@n0k%>V7`Cx$audZTb1l^o0%lQ0c{zUxjI!4}* zdWw>OS@h!WT=NIYkYH~{=v(VNO>5@6^C)pQ4PF?`hmVX7Fl*npyZVJ=_u`P_>N9g@+b)d|Ou_ksNarJ|K`8+abN$%F}y-im2T7x zV+mXZfM;68<48VQE&IyiZx<}oLI5}j!XXPvM$Pc<5d;I%qDDPdq*3=3ff3^TT$n5Z z-kU=+{&qo>$B1`_6@)cx!Rd^@iy-1zHJ2E5tI7E|G~;g<1PHotW}q9WfBnsvSiV)&1jIe}hyK*3&R{t205?MR?f^ZaO2G(nOwBll zSeYNOKYGz=bs=2tlU#iXf0M0?R^)59+u+M~NL=5}8Vc zq#aIMu(M>zNzKI=+8BMW!%*?KS&ZJdV%Q+3;Q-i9}g_uCMjQrc!M6<>^0M<4h&%q-LmgRB4vex z-_Gwku}A*4H1oXWhtfVD@l${0W4|~`#%e*@>@wOf*olmejse=0+7$(Dtq2a#u2ill z5Xjn=HbA>lxuRoiD%lk^_CI#Uta@qa?23YIMfDSaW74^oGvL`31w+)T#jQ}3@nB6Tn6&1lVRWPH}^o@J`ctX2yK+h0@#_`9m<9*~s|p+0@QxB?PmX zJ%Le10wAynSg;a;m6=6L7jWCO+}*b88DuHsS(O_s`m7+MCfxyfRULfNAZvVZOBo*v zJ|5pf%#!grFXp+O?j?Hx7Q_4amRjcBqQ&su4tnaJd+zJL?~9_;g7z9r3U$M)TWe5| z86ol>!`!TgRRo!$q~UeJLf?ayBQw1A6$EK`UGUUxPR5~ga_n?jk@0O21Yswz(w^3Bn#5{gd;thUOgdHQL1_B7#9qL<^bP2b&LxZ z^@iSp9^X54Oc8`*Egm)|EZLMs`^ubq7X)F;Rc!B*s-wKwsur5&19{VnNPgQx2goPg z`&`cSykI#>v+^97*oD#wvefVMUj|m6L!-!mFJ6y0pjkwlv4Q<%cxK z^FQ)ypY(ZWXbvJm>MrA2bIcqNv_lzFkdK(}ASJr2i?Zf8?D3{6dmP%vnnP#JaX6v- zS_D@oV7KdYq<7+)gV1kLF*}e9)@*opr8(xj->O|~Dh_wBf4GIVWA`$=Q^~iNQTOK& zu#Rbtr=HB?_TbNfQyq@5B!NIJ2lwt;VD5P@{U5(q zVh)%8a=J0RCPYVd#;>mGow|;hP9zZ#QMD~KRk{)I@ROz+d$2JK3CromJ=hl%ae4Unm zg%u}IChJU7xsX5K0QqxF!d8;fQZW=x)1pi9C9oX6IV1R@di0F{xgOe5KwJulBoO2? zL{vZE`2GZQxKzxBoF z26*WOY(M+liyoY>7Vm}^TOr=!#1a>>)4eXq#% zg8JPOHc7I&KON?i%9+>7*b1CW%yMW|M9 z&UG*BrMSW(IPEOe|Chb|a!Acsp0>kZ$JntlIKKQ)p!Prh@i+V%pEIS_N0o3^>!T_& z>y7BT#RMD;t3q#NwP6iD1f6eItzcH`N2H2GyJ32S5fH?RS*?$1>vZQi?2f@C^<`gM zXSF`ASeZezGvN$`5yhI-`nY1v!1%)>DAp20samsCc=NAYLwVMrnX0=Ugi{w9(yF1~ z;*(0>sW$EB$CqFY*5Sq`^#ISAUUcY7gu=TLjsPb?*uJ%!1pbqcFny!ixaRn-RK% z;?4TB@FJX62VfIw|1;~;QJr78$)ieN5?_AFN40+CCXcH9%1uVMn6fPlI_cHzakhoN zlRne=ec((nsxlwcoM{gpT0ZUJgUj;iVKtxO!NbyZgpaB32)noz=f{VdRrA9XP5$6v zOUeLg5UZKsCB6;4KF8mkBU7(9<`W~&nI7{CWL8Nuk8JFrvo79vD5d+xHZRayfdMX* zM6lB~{YhhvOCB&eN@`Ic&=*$TOaLMtcS!P}So6?10wx_jJ?a;5I>G!Q=ef4l>5!DB zCtnlXMTl0#8(G`nl_VLuW_5Agq0N|U9?`MaMugHBkg-^vb(IVJJgp#ofNsLug zNq~czcQ-5i3-r}!3IEvX{P}#9Bym^P#56@{L7XS%c(qp^9)EG0E_T};Bi9|W1teyw zHAn7_x;Vm=*e_Z)ar3+v?XrH+u$l1z*tFN{vb<>cxBQ}E(_b`xOfMRC@fVFB^NR*a zf6@4{4MpQc!;W|JqP?}P21fLW5lz($C(K2fn8CE=q@)!6VQ5q3Z)_Pur07$&BV60= zE+iM>L!Y*oyi`Sx5UBU(cG~}NvE8TRZ@Y_aH2`@_dzO!1hl^XB?>g_lzt~og0tnRm zb32YNGp%B?TU~5(^JBNU=&E46Yl88vyXbN?VSBphs%}LHRL7U?bin;Zhj*t8&FZ!n zT@^ideA&|Pm+iFw@uEBA{dX5#_4~W#_se$LALpCze|Na(Dl}I|m6(su?X*8bsMypI zUnP3it5r7f?anK!yL8d z$X#e(Jm%JXaCv!(pl4jKkej_aWBNI(XE~;GfB%;}_x7Kg9zV%RGI)0Zsjw`qm4pqJ z>YxggMmZ^lf~OvCiw~y@9yTPfqF_h;X!?&QBg?g~?#;6y?y5C$^d@^&Hv>j} z1>$Fa*!=H;O+_-c(q@A6NZL%cLw!jAlQt`@avwp`$0QDS|6dc~vV;T1FZ}F<0#z7qwxxX&gP%VAk^XA(WXK1&$Z4bn(5BKw4K!B?`KV z$`#TWYZhKT*nzp2m>XXQJH6T<@~>5}qhurLfOW7#WMZZ^A#~{+^M<5F4ShoS4BmU9 zW)ZJCj^9wbNY*ZyNBZl9^%lwHl<7cn8CREdP3);6j1pxA)g+2qguv|n8RdmmM@ z$!bAdX%&AJ^mE9L@TuC%bn)y{Q)v~!Jo<_XA-l@iOGiQmsW7g%Js9%P)_~uiz4TGP zKYQsoGiGoQ#bOkcYK~Yjd+DPALgl6Fz%S$x*2H|)kpz{N|7wH}OtB$g28=^hbsSop zF_%27HfX`7Sjp}z_hPL(*SLVI*pAb_fj#BI}A0S=NAM1*@( z2_nhHrjSOqLrHTgd^U1~dsH?@a#^&(Fj^#9f@?n9NCu+DsFNp0Jq&AGv$ z0orK=#T6+_ZnkWVhra~K5G!7JNpVGr0sl<8Jn)&u%%sGc|3vVerjb_b!e-P9v;ohNxuB{|zIT%t3R+F78as#e~ zNV>DYzv={(+M8G2vPg*etT5ea_l}&jwXPC9i@g#dM~ON75W%r@bwY#V9Ag>Fzo?|G`H* z{U81EN0*|4-VA4?vs;67wHxIv*~)8!H*Aza$f{MwFi+`qM!4-pdB19yPHQUIu(e&+ zqg=>FIc)6#lRwM=NIiMWIFHAor@Hi=4druinyRgLb0Qje{n zt`7^;wZWA8Zgo3Q*wEQ#*A{wMMb!@;T3qdiLGE5wEZY_>yV3W*%?UJ{jbV52lK(}D zNDP%d6&LV|P)UPx20#GN%+0MxPxZyA129`%O*~tzq$EJ}rd_Z-LTfR{U2pKM)MEVT zEEQ=jhWWI1&GcYj0JRNzVR>F5+DfJ;8@NVt8_O@+_9fG!ePJ`G#3%0BUnIp^KhND} z%ioU25anG3^TlhW9i{f$3!0Cua=kSrN#$2*dpxQS3+#GNGAW)5_}7GB;ONNw)vwFE zmjFm>_hQRSfPc%`C2S7kz2#{H82e^k0vsm41l-Kt?}N+gCBR|v_3YOy-UNFI#-QE8 zoslY6GKnM???wNn;(db!XJc+v>Jsd8uKe&(3x80t zSU=Db5U7qX+i^g}j>q*QG`y-DLZIHC+iCv>S`N7MdC+n|^D|n6(DDkJ--!y0>o41J zd|a@6I$CEe;elSQvWahjTlZ+;KE4Gk-8Y|lQc>utM*#c=Xx)VY4dJE>+vdo(@|2h3 z0eA689z-0OXCbND^;}S_lS#&uH>?I#sOr))7v&f|8Vq}As3Z^3=Z>&qM;KS;YTfyMv zC7U`o~|P(K%ri2}qFU_oy4=M;G89B|+I-SFq-pNJp}(^Of4e z)&5;QqF%r6m0Wb4p*&AmsraPQ*)rC4v9^kjWE1^8bx?C%UpHfvpqt97l0 z@1@jo`K1pq;5qo4?#Z}k?<1BuDj%Mbzp^w*Js!Cf}dwu8IzSzuK&Ta z8?QEd>UMMhy0E!e=Vn@EU&;2giP&H<0DupB1g~jbffIWMm`_tOKn)s`2EFQDmDc@a z&tyCHSM+8&zJMLm32ROy?73{G{c*k(pdTUgIrdyKf3ci8cpi+{Fun7k3-%ySDC-69 zIDaV2joZ_Cw}_^9fiAc&Q~Iw5m@F^BWI4y>QNGJx|7(B$jWZ^OJCP6cgBBL-t~K_w zni#IB(h$t{bhNRovZvianL{`+yftdso*u?J(6T*+3S{vEzJBSbh;z*L^tA18M3_m8 z?*XS{?L}mJih_^QogqEkSV@bM?EnmVSy-AG;WEnjf?`Ck}FbX~|Z zn6@nZa@WjYx^LA4JxmuYEx+VK(n_v<1r=UtW@jP>d6__+bGVT;b8xYtRkzh;Su+HSinmI_$aGBZ_t4ODioE?q`kD6mwnz=9$ zL)-T_qQr~!YaWD|7=PLZjg@8&!b~*WjcVo~%mn>sd(|Z;RgUh2nYiPq$mXkgZ5=L3 zP?fG14DGLzL%HJQ-$rGcvVLe4`I%Z<_d<5mKD4A!!%c7bGzLzkIWtO(cV0e_Oyg?^AZMy-!uGbtaiI#i8LE))|G(b! zrR(6tR!mC^kYfjz7Bu%Hkfap}@Qb9dv=WW(F`r3ROFOixg3&)5i31-t)w|pVBMCy_{HI9<*2E|!c069d_AX||& zS2&G)nv*7;Hcw1y0mem$7T-12U2SlV((GTHY$S(jx_b9~HR|XpW6Xcg|1}m`HuLva ztrPoEVmvrQ1rRy#pdgW8EoD5#ovJgY;223e040B?DOQvytDG`YtQ87os(&8mn+YOO zVZAv*Y*vuVKq3jw(z^_!p1z$QoY`|fVIX?x+quEnyyaW_!{F?DzUjyQ+&2Wxk}lNK zonuhotUE`cz*%>WB7D>J2CjJoxSMt7C~mjXonx@wtUDhCwOih5RVx{z2!x`%+xpE7 z^9u5~x^RU^yg}r}NTq0*d3_FUM56YTAsXTp46s~==Ryey<|G1*YIBCtprUcF5)`K`^}& zlsXNI5}$)T!36@UC+|=j4 z6<^mSkpMt~6TUmVeE{O?$4ruGE_px^Mu3oLpFPnljtBD0r(y^uU+#GM@TgD4V*`sP zWS}bHFBm{^z7pnv+sXLQ<%&%Jw`+bW;af-nMavHb7(by&B?5tyJV7x}&}|%$F#a46 zpbLQtJ7Dz?s9^E3o%RPqe2#$FrchxZC)xr4e@_EL4f zg3Y`LIuz~-x!J2T@+ew+Wc4h^=;WpE_<}dSWx8}U6C{^QM@C$5Md=8gf2diL1a_)) zBw8PWH&!}ADMsP+6Q!e3d|oac@h4u1&#OvDD7))eYLt$8l(tprh<%x2!%%?lLHX*^ z(HQ8jDjkiY{;Hz>IP8yas+W##0`?~kPs%MNFX$0UN3^x-O3ffnu!t_xEo6VI_O@=u z*BXETETy9xEFBq#Q3~)di{+4g~kSEou{YOX28d3P*qSrN8&ZzkAxfn2Ag&!~cf72Kw7>l|}(4 z*?f%xPBJnj$mj>WhE$?vA_7!`#KVCIu*OnoFzx49hW`!0_A2+H+bO~h%Bt6*P`MW| z{11SW9*QLKgtMT=hI*Xk04%0*FUD~(88Ypp5C7}qVkX0GwbZMe;cari>P}3S zql$kJGsv6=(cA_HlFWpkcyRB3#f@qH$G`Kx&DYH)rN+S~3uusWbP6K{B$x_uuU63D z;v(D~jq>6mu#>Xt#k<2cy}$A0Z~2tpb%u+8!JLv%w!4PRb7h2l3=J|{M!pn+*#=p4 z1D)WgFtm0$y9m)Ous>NYJ66mt0{Ec_u>e{He9CPrVRjKPUlnK;CO^-!e#nO%g^sDKb3WHFK|L{eh)%nFyMauG_W!trpDjCaQDRvCx`$a#<_fs4?A zMX_Bow-xM82ODs@V|}1GdcHfSLq}?6wi6D3Z1e45U!t^L;53c(#;^MITmwfjw04t~&aIk_I1#;uCIy_t_ zs7%li;}FbB_gYM{1;SV+j&`H*-3psdwv^dHfbq2%a7V|Kx~bAR3x1BE0|2!!tskfq zTf3hfgwe`Fb`ZGom6eC=AdD9tSSs|B94S0Z8s1_G%Lg@rk;Ug1XIWZZV2B?_mwAPF zklo_?UzxPFbn)L<7eD$wl81?GJvb8ZCJOACW9=}G34Zq3GmY#apHSpWzJ9(UUwZtn zc$oUG6#awe;d4nb#;Pkte@MVxPy?@6F#Zd_^xgl(hoaF#-T>5N2&2pbo~(%)|2anjf>R1qq+Q0Wb`)jj^+> z4WkSXqK&b$t>sS?ql|g(J;5p%FIE|_9&3b&>r(~eVU^drCLBh9pHw9rA?E-Bfk69v zu7CraFjJ`w+w<)SIKX;s%_HeN^Zf}p00!d~@YD2-mqb)(&} zf@~>fIWShhEuF=y!y$)caP&dDpzS%U=|dYg(*9^dIVU*}j2CzT)Bd2Mt$2+D1eTNg zeB%QnHfN;K;|K?sfi|G+a2NdwgL73FN|e`Ie)JnY@{dN2RjC7Ats9XbysI>-j_+>f z+oW1|!D>{UqGs(YQ|m<#(^t^HM~c&%8dbLiGl>Lc7-XYx;W#wadO0+D>{M_EHtgod z$a~{ls9P|`GtG%)tn}08Urn{{E5^9Cfk}R%){7+crJdvsmY}|nqSlM#8lCqN5#lUa zu+0Y-weFI;^+wkO2b^>`R+9Pd?9r#AIaW{wu+OlPq*^a)ZVuXB2?9FI8)_t}){7)Y z6x-FrT%cBxZ=p*bb&d|x>iDNha?$`aQRAGlE_d<&G$5SSR#?Z5k*>YmS$CqdkC%A$ z;r{uNEUyi=3+aP*Ir?C`bPRkK`C{&pO1$n5`d@@P4OyF#uVpJB4`~2r$xfP)zGOnF zkiJu`e=>n4X<@X!R7l?mw$mQ8`EeSZd!N&AGq`t#?qxXW&-aAxT_VR!cXGu&BPmuhLy#_p z3xbT@=GApb=r)3MF^XWe8=Nu2@g?sK3X|x|{L#??R&fG~JYQ+zMe>NU@$co!;?t zpP?=u@v{@c_LfZ8jK!?aw;4B{5T1977PCJ87M)|(zv)Bo`=NE1bxr$u=D?DG$Q-<4 z0Pay-Hg!ZfN6gt$IMV>bUQP&)671!K@F>4tP6)%{P_zCB^m@rkHgR$K=7y;*)Sm9| zfJSe?KH`PO%`3S`WUkj$6sy^h2zz@S$xzx=@=**(N*=ZbrOmd=(2pEvOL{3CklV>1 zoyhQ$PFRwedH*I(m!T)IKjZq`V2ikagY~09S4h;;`O9|fFHT?Dq-R$qG~LJ5LQ)_l zKU2v-s;lMO`HVaEW-Yce%`AQPJ@3Bomfpu;0Li>twAjwuv7I0Hi68uy&kozEj*K%u zmG~?|VoZT;qOKft9kmSz$@I+$k!&6ERUt7(TOs0uAjUzqf>lTi(l{}RjC2*@YiEUM zJcq=n3$UUI2OASRwdxvzIV9dI!MF$-HEsyzkl2QwG1BdyDUEg76hVsWJWflCy(Sjp3{W>2ohjkjf7#Q4hJ)f6IyIWRnq&svS*TMDlg> zKU_Tj*L*FT`FnmIlF^f?!%(Mp8NdfGvq#RWk&4@q%&b&iA`F!Z>Jo;&1_@|QmrQs& ziz&SwQ~IfI_`-kpTc>eJ9)`jVZ=o-IQ9TwM9VwE5FVXvhYI3_`#=Vr@AIvld!$;i$ zlf%&H{Xx*I#@WsaHn$2xm)RqCGJ8a9s9NYIuvSYNx#%RBX>DnURrCxGKzDeBhK~$H z+e@3!c~B*xgi3CJoqJEue77 zn!w;?OJz<)8iE2|G3x96@npn-7<;c8Hv|Q{;vCuA6&gX&b+HE=vlWyof(e3=iE$GM z29F2C9QC2)2K$P~j^~J&WZ)bHTjYW$(<$jT#y)99EzVKWK_<9gb?gjbl;g2o2r9P7 zBmwHQS|XsZ8j2-JVL0`JdmR%^Db1CfjE6#}4a7pNUexWWkjPY|<7=!+R}SX zh64G&>&nD_TrDAP24W&fHKt6Sa2=*hoKR(@Ok5ABiJ+>QaWBBcdWRcL9SS*ZI$@*^ z&*{Wqb48vfiOk|zh#^50CuJzFX(&U%6P+Iu*<(H7a4)5~`40Dum*(c(q9rDpe~Zp3 z&As>IKJN{`7=~BrF1<8o1l^fdNFFwi>Zhk7c_2P2%ZiYJV@&of_$T0 z5XP}XG7y4W*rG;|hSvr6+Z{op5X8%`y#TQy4X+FC*88LMMWRe*V+li$hSvqrcLG=p zEi^`$Df%}AX?TlZ2l6Em1kgw^upvmpTLdu)Q4WpS971vs1i(g|;F5sZC}R?SeUE8c ziZ3pCfI}jn-W{l-+Jj5}s7T^k>uF-jB7?!|=!{rh67XQOk|4Q>J(}A%Y0Sm)K5Q71 z)EoyLg4oAmjW34~H@Xgnbc8J}drg^W-4|pCE@=PYFj;4_mId|P0JwEw|Jxk6*`!o| ziU(XGfugMz%+1YWZqTBc-gXob;U*=PT_SS!*Ffah6Tg`K$G56D?-ng)|8~s&|NayE zfAVzd<&kjDtBU54jgQ!pnuSG)z`8OzM!elA1O8R<_UPoS9B&7R^@ypK;vYnWn&a&Q z#uh6q_OwCtdv(0M-^1z&Fm;dYKqHvr?b8;sY(x;AhZZ#b(34h@)+4MB<}Fm&zz6kA znFljwSh~^jzm2u3j@2`a828|ja*TS&yIkJ5dDICG?$TrEwDwQ!j+Le=@7z(9>x92MTLwQ?@prgjFkej_a-L5&SXF0YA|E+KR`M>sGM}<|(-R@Rc z@f!tHBTLwCaW_OWI>x5y-`Q|#0o{r<&`6CSwQ^ap1FNFg1T?FTf|ZaQ5Ho||x_4+k zPrf2Rj)4ELjpYC?$mY;|a9q$v=|QmMC*sHOk#fP)7TgcA;vNHqnicsB6hRO_nE!Et z{i5NB6)Uh3x_yz8=Skw=?e(eqcRJ8US+N25re@9Dzq13kBl0aQORRg~E6LINc^<$L zsRpid0?Krr`S$G4h=kk2HJv3hNz!Qgni!W#9t|3I{G(X{MQQIlm~q4G@S?ScqauWk?#Ds z>!7RzJ0L*NjI>{%$zVr~q~WTrqPa`ve7dPqSA5h6r!nfXnO%kAPc(vZ^vl_i%>u4^ z9Kcofv@VwS@vXAXyG4uTo$nl-1Frg4zWYnw@e!|o-Rtf>^`w-rge}k`YA3q`$0_Pq zn5&E#GGL#t3G}2&Wh8?^t$5JnW#;6gH>J_C%<+Oz;+X}b}VXK(v zydo{HuZX-HhqG*vFW(^PSFcFRTLhKH9zEzMRLB}Zso2<|F$D(@Zmf9NBahe!N=n?% zafJ#nQ-TNNP1{3j4bdd`2MprllE~566nHx=1nF8sG)cg@NKyovQj~goYf25#C08BO zp;&XT#o&B}BpvF%97~~HNY-2n*;FN&Z;zqVrB7ezhbzf^f6y_@Zd8l|HDn`X0`)Xj|_X0;u(YVR%*wFs$Kj(1K(tK=rs68m3$(6!C^d z#SK)tc(bBnCEF2fKQdFUG?+w*km=H@V%Cn2m+f?XL5QdQ0oFQJ=PxgOI)84*{(bHW z{94Z)+$Vk<=+U#unCyK#*D0BsUY)mmYfzb9ef@v?H~;Lf-B=hl8Z=d5SgDOoaodeW zS5+9c+bEI-9sfq3?s1EDAKDf(Jyt{mWnD`Rz-Pe)qlgCVOIT z2v~(-qcNbQSN$}{gFwElMY2@UhJwI+d!piX{UA`(U5TtzxAiMts@TrK_YWRA{XNdagR>O(;U9Ao5r1cD$n~(y|1txRBI}F8 z@kDekp=IcrY9EXgSzqiik594fn@;li`4!WiT+J0+9M|a7=9&NkN>_CynUWtD#|uR^>q?5~%p(16m8j+S_~wPDikTKS>#(GiQ59L>dki%f~+G|*ed zT1F+A9`%d;35!dT1{8|z>!Z=B9lc!7BWC-wc^|0)d*Eclmn88cvz4o(=X=CCz?#!I zouoKZN#=WGy?@9Noz{Zit|U|Tmfjzk2Z!~48{cOG<@ z0N*?;14w0{gYyq=5+T?jA78-5aXQ^f;KSwTbeoH=>csElZH~N3*IjgyO~lK3vAy>+ zAjMt9iVcD4_se!V;Qpe^(Ccn{(N)mvPF}WjKyIh~j~Cq`UB7O3(N({{Ykt3Mr~Prh z`Tlo@i|&-KUneRt9iQ82e?CvKsgGAlB)w5A;o($HQ(#iCiECXp zvD9vzl2G@t*bYXcWTozp9;`|#6_EB#p}G4D_QwS(P`u1uCJII-HkW-JF@Dvq&%cch zmHfXB9=r!e(syH#XKf(#v+sG3%3m*B=I>s(%->y2YbtXK{Y>hyg*;J-4&#Vv1a%%l zT_npwm7x3(PENcx`>rqsDr&8F#T<3XYdR!XPI&0jau?nRyRh6qVX1eFt9G>ska}qT zvRD0Gk?w&HfAv@YmXDc;jZzg1U5AbLlD+R3FxJ7nZUnRQjlvCmESAd@o}Rsj$JoP|b!LsaHp;G>-!#G3dz6r3sgrc;R+8y~Pq7qICGEpm zMMz-yVk8GoaigumdCe+90^ zc$u54R7FVeWOTSZI&6?>s9#K>ONgsPh#6nZE$Tt7WWGm-4c;D63#fhfu2Q~7aHBaC z2y3Er(K~w5N$`x0q-J5%)lY9|!<=0u6pTR0LP><(kMv?jyd}>tHcPB^ov2Hmd4D1O zudRIPFD4?O{)>qt`-{ns@tVbJ6rcQD{l&x{huFi9=PxEBuF)5BB=*u+D}_Q5TIEng z)OEPhz1Ru<@SVm^Xq~KrMZ`{(@2~xl>4bZ}Ki{bmEFrY&5DY{S9nn-B`*1r23yh^= zQ)8`IPD87_ToZ~SG^%5#_1(r!3|R8GTf|Pg>i2876W33+;|K3>zBzVAsD+3p{a^@G z*H5-%e_XJ9{j^EggRT3BB(bw^BKAto0)j2X>2Ri`U7xWR;#~MGzKNxF;9HDP3yba0 z>lptqda&7EKAq?}UVbGvd-dViz(bVb7=pg=fBgCHI(}Ak(kjV-gSL%w06b267#&YA@*QXyZgC_~E-@Y10 zGY`{WyY?@dE0;#B^T1%Ejac{DTSf0{4R=wvgwv}mq+8`%_GKmE`Gb7yu@6-`*mp`L zdabtBdCODV=H;nLzw=F-@BW#OELjEZMRE|XcTQriB~%IY9%2JyfU&QPTG$0a8ORuu z@X5_iyYoYkn$!h>MKv!TQEMy6%kP2!KhcS&8@;iSBe5biX%Pf%uL%NW3vVBGAMw=c znHA2Fn$!gktF=-Mz$-E(9)i@QE(oGvjBH_?BN2YRA~mTC9@d;o{AIW;4QHo2vHXyRd+`lF@i~7m$lP?HR>RF6uLuS%_T#g|f7MfkS;Oter~tBt zJFGhvoU!781f;c^$r`Tc%>8L?{;c1`TEmT!3sf3zy;8zesHL8A%^Ge$*5O}iILxtP za#xZW#*h*xDZZj@!bF@{z@}yg&E?2+5md;zUDY>K)yZWv;o!qxq;D=s!V5k zsy*f0C{0zrsDImsHdj(IGyS|w$;#xMjGi1ESNo8&E03hfU4#4Ig>>a+Nms5-vSP2x zgfi`UqYDo8?r^B{Zc%IR798r$;7~vPAARuO`cUMT^k!V`Gaw_{y8V?^!e~cH8y#0$ zft2OYBpapCp;?tpg71NdgI-8~XjbhrFd|_smYQ+8@3m+@)uVU z0z-4;Lb#L-v~G)pA%Y$0LjVM$tyxoV_=@0!VR0MU8v3IxgHvs|;3(Ec$_94mQLIg> zSC3IOX`*hWLK2pDy{&h@FG*!GioDqIlMlleZCpBXJS!KiHNnRxxaU%fB2 z`|--X>;8cZ;F06LqVNpl%DSq9OSg1x2H!Aa7CWF7;jDRE?Do!ubGEBjpkc+NMB!Mr zBjvV1w|52&1MG4Z*(RFFH*khC{ z?Ee!0C>jV1pd}^p3J*6s_b?mQd2>R}ty!^32%_NzzOttIdhtT--0w&xEt2bfd&w*3 z{;tP%DgsVa{63O#Qvt#p5n~pTg zb(tzm_D|lCcW$M=m@+?<{X_1i8!~}b#JW0+L7AnpNNQmclt}TA$)dC-1SY8JJAsXX z|5ojg!A9!4*CwRuJApvGKexkXpm&2$VVEEpA&^d3n>*t8vYqx9lbQAhmaW200gYE3 zU$$d^sZym)aqirN-N)6ULtSe2(gfuX?S1W;Di*EYeAS0BU-SHP>-VKFMlM2&e!_!6 zJ%Li*B>D+0yNphNelmKI01SzrY~Y@l8w_fDwIU%XVT=pz(NiFYM$@IL(h!t~)&z~S z8iyX3j3i-<2_{ezo(NHTv7$W2CWwAiO}v2Q%JgUmN*LpUXhT(FvqJf)Sy96nmjt;K zGD+a)@5qbAmm-#|&`;KmDw54?Bp3z>WBm7kFiXr5rY%_&F|8?2x=8}Ntgr@5F)(V) zB$;o|30hp&1fHvRp85Xlw`A0WVZhKQX~2kIG+W)Pn(YTDsxCJXl&GNLuO4QFPnD_P zW?e7J)s?URRk2qLnsBvNUk35q!2#{=NT?Gn z%DHa!inXe?SOYU;q;o2ChJp`leT=M?NiJ9sXsC9@N>zJ(06H6>vHH-Js>bMAN#%o3 zt2fxbQPns(8|2b6JhiF@dIQRmLs$A^<7-uo!SMo9fciHQ;m%-T^k?>5W6oCxNI>iUMy?~(q(W#9Ff!4 zU|=^}OT2}kxC}0N+F{6nF1ZFGoklxJGDG2#FzIldI8(dKKEi! zwg^MXJr(ng#Q~{4smd^jUINW|w`j4v^PQt}1`@peXa4ltz9D*{)D3Eb_0cS74%SDL zn>koVERP%@GqoG9{g?-4me;n>&^nUg%fb3cg0BwN_a(F@Yh)9$=3w0*EHJ1bU8pk; zlg6(Y8Z}c*bttUV5MzjZ8~-!gHZjPgupV-ssqDQ&Q*9W&nr#{D^XLbgFao7zX?2ln zC&(zQtJ;SqBcgWFc65R*zk(ZR`k<1p3`WcEj1fRptw_p}$>7L#vM>$40y&6vRV&iq zFCCu`N7^6fO9C7gG0CyUwCE^4qhYrfmngx2gL0-}pg|bdU&yp1#j&NNXRg-HyP)Q_X;oRm;*9ulT2}CvOR07SLuq(vt^`&A&-6JPUsSsoTOzU`NO=fC;413)$GCq?~v4Fpj0zGt1Z zM;oBPRCdr_gwe)pY%JX+7cK+urLq7Pw^}gkq|*t-U(q1gp>|f@^5(3Qc5u9)4xzld zu9t_;Iw`aq7(&`ZXPp#U4w|?wD^Kbq)eXj?lBoqI}(;aW@UNq88Yoznj0`v61l8~Ptb1n(_D{@SI!d6-$qifZVhy+R$CB(Od zD7I$49U;Y?lesx3E%1-N=!M_@b5m&nAZbt*XK8*5AZcTqvbjS$8ky+ntFMLO{VH>Z z(SNjy!%lrRcL(r~NG)K$IvrXAn$4XJlERAc{#(yFn>+aO2T6+WK+)RT?riRkYmi!s zr3Dec77a|DAa#*z_&^h{MKJmhL$IphpTM0ZX?6gktQjy#rpWIJ8wWk5YpKBywA!v_7g9{BQVJr+79KJh5|zxXCT=U7U8npEUlfr2NTP;ZQwfZAb880slr?kvz0;23|9H8zRzK)29gh>@s= zSdl)P3m(AWq$?u*p?QK3qzZFExRpxa0MaLO#X^uO%mstM0s2-oQwUOpxggq{jO=>T zNq=*0HKGc0!P9ZY-YK?0?#!=@6-9*=$z6vgFiK*`bgy%ZKATG(HuMvb+&y+j3~{3| zNNchR?vh~n)+Pdy<&XAw;gFmcg`=Ym6rW2 zWZTyAgnE{Ke--$>(SWnHdd=Et#MmWmN$d4{H_oDmw)+lQxBc6TeySZq!@|eV-0hC zH5Evjrh|5YMo{Xivi=G&(1w;0p2TL`n{y#a9TUVX8MaNslRyx|U?{VYNK(fH(YN8V zZ#GiH$bzD1Opxgmtca%R9T*pz6(yRdRUNyi_4}ESYb3T>wD;k$0HYqWVXn_r6 zD|v=^j6+O-3CXi72yr>5+Mz&>bU-v5R2UioU1l$Xj%FBL8tIHlflmQSkL+~FGm?xp zx6}SO-->et4crwbXMzGm`gmeFMc{GuyyOsB77U664XULbWR%Wx)Zte1UqgWx3MA>s-Gir+EzWrETHxrbJ7Uok~lVk zE21sAIdo1M9Wg{#ehg4I7)V{8W0N#G5}%`B^g35IsnS%Pq&l;zrJ7ub`au7^&KZTq zkWa0W(On#TE+Y0%uJne~ShMKh%0n``^k~iqI-!IuOU~##4Jv(*NrQ>L3=MX-IirPq z_U_1MO~ajO1(wj{W z(fHFjG%=DW#4ua%$2WpGG(jV{<}OiAMrU;Gp;w{F(TP=$2zMI69GdJ97NNx&p$Q;f ztzZsKM#HBnG#QPb>d<5?fYP3E4^74*DD4?H$?*^>-=6Uhs=7a;AymFWW$q!oZ0Xg{ z50NJE>^x706?g;?x1La%>jhiYE!tZy7%&J_>FS%VJao1u=rdWJLjZ@K0%l|5=;!$` z;s*Hqr*K>%4I6$)ML?qajWbTE%%ewYSGq5~k@GQd9<+(EoBBFK!{*(?u_{^ec-jz3 zL!ul4NkE-KAQ^5HF&AT|a}u4JtW5i(RqY(wLd?a)Q;_yYlvKrxIyf_(Fkkv`QOH>Z zq`}?$f^S zxBh|en2zXPu}0+Mkt1!dh!Jx{H`=jWMRa2w%Q>P053J#yI&zcLfm)8}!1&8x5*Vd! z-@`Oi5nW&v);fPT$ERY4JnjVlpMe!Hu&fOy#SXb-KnrfJWm)x5l)RE|wXS7Ts}D7P ze24^C=;WLYlReu2G8A5Xx+xhw_1WR#GGy<(gqifHh4NG$8&{q-Wbb_SI4>4iDp{{! zC2QU-YLkXbxOg++;xBx~@B2G1MD`nJ$u>G4kIGbr~oB%}^IQl+y7yNdBAe&ItMMWXMD-nvAto zvYLMl$VxW4YB;m)wtw-Y?xV*?x}f)F$9Z1v`>em=84P{miT5j68NRYqvTm@F_0*FB ze)0E;^KsF79#K)fi7FUKjL-K1Z25_dWX}tjTlSi_d~3m2_WH%|_!mC^OFpKQy>y{g z`yP%PFb1*ee_9(>+OJoweL?a^WHZ$CiY<(cD?+f+zGD-4v-TaG$eXne zldTa|CCPk$;5(sg$u&VU++HN98T-)>-QKC}w_t0}mvd70i*|kZz-OjEd~oS4FAp5W zh=22-?g5aDqFEc`@F22h_-OMYv8rd#utfZ8;ce%?x}A9NuxBaoPvzUfS{3>qd*l0T zjLJzh%72bb>_X|(o9a0<{|o;>@}G9`FF?Mja2!lR1;uE-_>fFb{Y4)00Q$2=GClSe ztV7E~LK2o%QV@`Sbds|OCy@-W$o zFc7d4gQ*Y`d{wSs;MTGCtM|hu2$;bI#enUr!HRLL$(1xCT}E@}X6_&)5?*$|m=X!L zApsY593JMCR0>M5DWFK%n3O0HzX*mnNnx;%RI**hj;kDE8|VAh<6rClyU*_4=bm%s zYSd7pv-|eyzkBcQUXO3B^(|<+=2sG8`?BT+(1}Pc@s(Q1q?pdOU~pm7B)?C?FEV7EhnpXL@N2xtSR($lyvY1ldsT5* z`y%t7%HDw&8Ao5|i~NQb{Vs2^4Fd>Jc-@`h!ONhqJXb;FI>~Ot! zC(8HV?4X14^+TXKUbf@^o3&oWik9EmMyLAU!MHpO1+;m}X)+g%Z zw)lxESNKi$o_S`Nh7P|h>cGm&Qv{vi?oCg>RVYLnxyh^3hn})}mZP8j?SJbFzU@b& zCsB22-_Mqbd`!x43VE&9lS#W>8hZ9iwr$sf{1r`C-;O?=L)SQ6T3+UY(B-UK#i?PX z+Qyc250MDK9ic@T!cCGn0}m_dkHp(#lXZQK$(rF>IhR6g)S=g%lpGEeuECLz6)|VE zHD;Bcjo-&i{_=pL;e76CCJLTr^5KT6X4NGf#v8&-ON*Gn(c^BA>ohLLd`5qKTZ@BH zrE`VZqflYyj+Wo3TUXnaIBoeMu;AI{fAkT5B`SNidkheJy(=-@f?e6{I1L#F3t_Xm zv9`;gS{DQr5x9sbh$%AqI)@;Ym*sJ{RY#=s7c_=N-J^} zq!M$%9ZEJNAn7n1f!CM?sl;5c+in&R#Hkk;@>~gyDv}cD=_@~^M2ZMM_q+8Ckut_(3<^62|acm+WE?#t-SQ%QY{wU`4RBgP<2&O`YvB3+94{m2d=N#pPD|>+*`U zU@o{XeIpoXq@hBrNDJnI-C;@Ee;{fL?E%ay(hYY(gmARmDD~NKSdrSw1&xM@ zyhUj8YXn7+xunffqU5sQ4?+;2NCG|9k#60=;O;niRTETDG7McZBr{3oyAzEtU{P$j zPNdkw&mNsH>t(+piFTt%mR6Xq-vA_Vw@XGZ3)chyt=Z9%p|Chys!q;?P_H=7_I1 zPK&xJEd&<;+^G#XdbyX+zUuKuE31;DGnb`aWm)PS7M?CI;q2H0eX6rlK|RAD60NVl z@*~T)hMHK0M4`c?+du_F$)S<>eq-wvgCKb4k+3?3)0BMikJdQrHE9dDDNz&-m)!;{z}Ap1f+HgX^gBS z1!*=(#HDDTrX;efw8E97V5((JFbq->qy5BQSCWFBx+L08@P(v@T&4+ClKJ)^Va2>N z&%PAauS!y|PG3`|ZBr6Q5IL(P^9{0L4uWp?zk9wzxdEc-@IT2QFbY*|S@&D2M!$** zT3y{OfNj~713k;Bvk~;DU7o10(}@a=S!y=fX%Sb$kYY&5f~J_a z?dgVfKdq;`?QcL&cNO%G5V<^be}nX}N6?K-CmzoHg`3QX%;-)*a~<{S&*M=qDshqq z0CNnQDd2fYW`Tnd7VL#MJtj7dGNQ*XU3xQZ`5`m$JO9~l|F6F>`V*8+(PI%KS7esP z#$b=oknb?g3@VEq9LwI6QPD$2Lta|8z&Z*uKqoQ?N<|I1AnKeaNrz=`WodSF+mjEQ29d;rb?3xacVUaE_sYp zUHjxr@(8Q?sH#*|W5p>G{Aa2d(K?Rqm466bq-(-iwht}1H%yG47aUl$chZYw;yNgC ztmK3&d=;Xn5M9y^y(us)1_unUN>qPgRC9KzMTiq3N%YThtnq86dnG zfbbW;`tN`0`yzHzwAN!c&k6x~vTkC8Zda1x+O5zzPV0?wEd$@|WKD|eXfBLK*{|_v zE{sOm1&`*!Xp~*>VRB(^7mL}E5BjesP7a-7*mU8SA|IBcgLyj0DaKg5wXm(~l6h=! z2b%~6PWS%p;T_SYJ@0=ucsFP8rro01;7#w4JY_n_U-{LK@1BY%REtC0;CXdesW%%U zkj{l^M+v0qzxs+td7&AFy5L?}^;u%LvbffE!7|d|6~P?}$pkS(O+Z^6MuX>qrHl+; z28kC2m$4!Zo(o158K|5!QVu~z5-xb06`IAKE_s9%dNj5y5t>@JNXl@B{F{x~Dn`~# zrS2>x(a6yBL}HCokvCbY`5!;A|7~_Ro0K|^v(0sBrv;{xF-^8q5No%kh$M-1`=iYK z3o36sk`yj|WQ$Mc0kQRFOZ(`K=bmZxU#3ObPt|mZ`d<8c>Xu` z#3$e9e`C+kCrTYdU8^}|XF-CuUV&G(2F^1cezsq3SR#*F*#UGv&K)^nO9m}HgN0JTQK(VdeJ3KN?oYJb^pK=N5{Oh{)> z`HC}?*$_ivbO-VWW`0on5V4=EbgtddLv*Cc z3`jfL-V+oq(0a!1<$Vn(y)A+P_I?_6{;>4c51R^=TtR$c;^`G1xO8!5?4K$y`BYSN zv57<{nBrnNGPzagN6Y-iro3D~jMOaQznCck0hB|;4=&qrLiAm+KB3edRD|3$-a?&Rm%A>LTr6=D_3k7Ffe0nH&&IH9XRILkxbH|C0IUcgO+V+hfYbe{a9 zY)ipq!rEM(y3co%ZE3OhyT!8CUY%`e*n7l=wLN?Cwb_<#L7T3I4>qzTD#^AKbSfMi z0$Yl4vh9izjk3FS$11(Rsqs*^Mury*=naI112m_d*@#L$nvdeWN*e7{=U!rs(%?FlJ<}|2pio~ zQ^!1&PC@9Jc7zi3hOb!q`cOD@e9V)#>viDDQr&86Sh~|!JUS1GYTX49Ygu0(fHYNu zu_7M~7mU8XHHpb-%tDZA-36nq56m6yilWwC^62C!QR^-l?Q%n-qX>n#WVFj!_e#D@ zQR^-l?Q*MSuj{gI04(itAR1S-Zi|e!%c))sWnE$<-O*Gxsj{@tuFzN=>y^tYy{sL| zGuZ^|i=dF68{DIMzU%3X^F|2&i-w4KvhHaO8PYNupq$n_I~sKdo0no6wP$xkJ$%sC!KPu;pl(_KXm4!!O`N!h}hIe2&p zImdSklHD?91E1@$;0{3*SrRD7*tU*+tKbd`8dWEv;F^uA3GR+?j*kXS#Wt$#d#Lg# zwVLQ#Z;h|+@Di!8z2t_}^9~u|##+l}yYi4s{2Qf@mq^XMH=Jga@>f#il=5e?olGs^ znY79Gv?QERB9+h^1VQ+9S%FBBL&QYz9WhGx#!95FKPr0Dcm31<^3$R;MWeU22-K#D z1993en|w#h0=_`ovOrU-9!Qe);nA|vtPg|65G{l)KvRQVHnI=NtsXOO1$Gs_LriUG zVWbM|Dl~@O4uuG{n#*8U&=`Ulu|@7`eds)fLj|Z6*mbl5wFbMuC$4bQ2AQztGApp_ zNC_&malSnV6kJHZJZQ`N9OTvg0ZBPZFPL3(HX6qhM)kI;&4{0LyJ1UHy?Y6;i;7cM zoIts#f~LW3LmS2Q{2oTxmNlhcwI-h{F&?TCV-W1RFK+UL4oUmQMJ!zC& zu*3L3iBCEd{Lmif6={=P(8vZ*;J9P>Q47*%a6z!Fu;K%POO!1(g7g_&(5{hWpi5)9 zv0dXRA&rE%^J-Qa^Hw5)^1+QtgaEx=Wyway3|FQ*+1|Jno5?^cUKBM-QA{V>5q;2) zj4g2%ODuBJWS~={4SV!QK*m=4G6&N=^Zc*;#z0@RaL;ovR>qfzPu6(d#G&;HKjX*J zdOr>jCsP!NPe5qW3QAPxf>0g8Hw>;2p+L$t$J88tY5*I1@q3Zzwv2h4nwrA}_gc?} zQ)66+LLebX&EbLt0YRad%qGvLmgJmWW2(VNZl(Fwd`f)9E%*|(lnx2=_SE_S*^&p) zvxve3%d*8Kagn*u8(B4EcId@}S`o^ap^i&l+KX3L*}#}Y99Wc>E4uOzq0Lm08B3sT zWJTsMjGhDj{bUuHPdaeoxP-D|0$)7c;eUY#%;3uvf-eHEctcuu>m?;VnW_x!J-LK3 z{Dl8_{kNBqkbX$$kf9gONPAfL zLEdy#GDFUp?isJLnW9;At(O{RfMw40`>MkdeyW{4$z^E?*O5Q0xaY2$aQ$J)o^ZXn zVFE4~7$wpd?veGe*gJV;HTRSLJdvse6Cb!d#pa66YFli}-tDakO66gSXl4jvh9K@e z_p}T`TArOheZX^!qA7laV_3YFYBa!$FWfzUaPP%?&!0af|E>o-6URPN9sAt9^S5ES zyDvyO7F$oHrf2T+PMCVv3+Hcs!?SVR3-_>n_l?iS!E%YWRLqwckmAKKkoeB8{Zs$h z;}J+`PTd0u%dp?ZY!Nuf>~~q9J5sz_+XZBS5QGbY4FXO)1Bn6db=poB+^?C|fS-)I z(H{3YZKn%jNT34fL)`1MokeiH*2Wm{N%iW;Xgggnin&l8)_$2>%(cTjRQ2UY*xYUA zA=6pAwWclXWURcU3iNY>>5>Gt=&#)PyvHO-?N}tYs3q1;Ox8!&m1LqgU2?l(+m7~Z zVp>!qneR>+vI<6Xne7r~Uz3BA;>{;xZ#jijNUPz*P>I$+sGzp|)T-JkUcwX^z0{+; z<(ZJGwcwIzNET44ky6UE<+nw4=w|s9AGSwSX1YX=B2vJ}+omt9l#1cw$aXUMe3{dx z#QtIO(ea9x;bfu=d-gBT#L;88JbTN72O%}VYoL<%moN^j6umD$gvMU^SI~YpE=1?TH53={6&amcCq+4=eGb>IoB(|+M$)QNM24Rl~ zqOYK>v&o?dRGLkc1JicfzmY?c4rBHNZUJk#0XXrkt55_}X(0$l6TJ^@qlc(dI}Z2B z3T@DlD7@~`Akb9Blu8>mL)JggsOl>!t9($66>5(=E>?LeeN_`2rs%XOGNQkWHlMMX ztfffjlN-Ac*1TK`W9CR_j&wdeBAxGh=WqDP4~`~V7o2(s(+oxzYq#_Kq?%?=WR?+) zLs?mxW*0=JgmV(%Gac5J35gYHnq3e+4cb~Lh(Y6OaYK-%*#*(8i1aR#t>BEOeaz`w znr0V7_x(~<1o?olxnx0_<|0_8Ln67-u1M4Dg29Lj8{H;^#WcHQP~xJ~vCT0{%EToT zCoY1$>g!B0&1!h9_z4rxi%si)aJ#67^auddP!9znVJzd5N&|wl4?zK-%U@wzp92oo z)|cavIc;)MzK0wO}C=Yrj8OC}YBLMSFBc#&6> zSkVXi99GJ;wc7%~X#}bC%8EP@~kS2BWsU=VBr`~WzFZ3<= z;$%{I78IrDO9K8mK-W_eV|JU1)I71Tsg$g8a;Xcg))d+zlPsa#e!K5Ynv$y2a`ycS zc7t&fu(JBMJV}v8G;?G=k*m@W?y1u(5@N$W(!;XDDpd6?W)azkP`sg>hd%Kf$9tq_ zaOY6~ckFq-E@e7x`5|Tcy3hIJ@A`r8AcynJM`>ex?CdhYaol zc`71pmph^phm_VAf93L%|4|^)s{L9I5Celb))5}y8cd~)J|yW?IqN$y(%O6uorO8X!p&b-P3MS zYqDl~hO41x`2LT5|5ttcN5A3~sQnGA)0+M30De{npfB*CP62*eBV7SMYs{opv%i;? z>1Z{)-Ficm1_%*LjvK+s>~EHuh$cc*n^UXnabr&ATs=1~ zme_s1$O`xYRlGU~fQb6zrZez^GA4543PjXHyei-a%?Yd(qAP*UulDTOE9=nbf!iag zb>X3p>l}3uiW>m#{>1NIh6Jdof2vdg4U)XOkUI)E8l!Z|zh1x=q0<7wkh?q>BU+ci zcX^+23gezScQU8@Pu({MB7Ld*yJuQoAn0!vJ_9L$l_MxI@4o*)^XxI--kV# z{92z?D|&5B1_|aZ6e!SqN!7lFx zikF7}c(Hu{C4;=bWSHI1zGVETi7(laISU+WzR$GzpzVi0n`r+Vc!0e8pp7j&`zui4 zud4$jh>99E9FQI4HF)`@Vv32(^{pp3cc3cUhd_l#$adfnV&`Taonzo}{fAyA!P zw$ll>XPaD3vss^QsyPW8QHJS++)l^u&o+55#HKsjRNsHoe1F+a$K!hQ{om})Hq~H> zji|$Per~7Z`8>rY=2GC>mKsL=wS4pO$2!@qTI1FoY~kT7@DeuhTbE5N)h$!_a|erc z8GgER^kfx!R7NP2qH-@(#04SqSjy%qBwf)^!L>`SpZa9o*YXmp8%afDV_GvYcD0^QW zjQlbL<&iZ(7+CNqL#K4;x6)$m5ERqkE5Z|iAnU)utl3hQoH+frV!Ddqg4xpb3``2o4F;-l)QY#3y~E4O#BpQ(-`vD{rG=80uEwy(I&{)t z`F0N+s`Q;4($l2WVBXL@vV03{P~rXcPy2W8B`jXcIy@-1B9Gf!3^JGM@B`Qr+d42h zCN2mS0rn1PMxggrCvCf+$7qpV5OrV}5Js10xw5YP5Txtkf`uy!YHUrv`QW>-A{AZ{ z1ZXW~d}e$levHasb(h zw7cY@wyFfJ0~<3?d+7PiR;UFkN%}axCOUnPD4_oCK*x{oT}d)p2}v6n2>Whnjkrt+ z%3Tsn0m?1_T*Ah)x#Xx4RYhl5Jhe_yX!1dKTX)U*HK|WMiFMe9vMt{IfbWleS>cuo z4-MLUqC~>t-G}hMNPW%~8CO`5VOYFBhF68f+j=AVu%~WkEdJ)O_-VJOHCZzje>GVA z&;IgNbxk~#K3g>wjEnHBM(Q&<_n9BBm2{>4XCrp5_Z6sw5F(iNxH45{=>%>;xJnv9U^5SNsa=n?zu z&z2d|M(DV#AZ^X%1tcxofk6Xk0gXUF@*Hylj5=~4nzxJNWjh@&Ts!G_ zmyYLlIv&@XQyLs)U8PhsbC-^2s-2U}>zb$!X8@KU4!w%#14 zB%+zSZ%;%sci$c~GR7cN5U>FX8PeiRA)0~IR3kL)7R_6&pZ`|Tx*Wq zkXr>Z%L&-e3jgJ>>dnIBOYVX((q)5E1To~c%iu{DJnWAQ9H1e0LF?E;uz>ml&G5vE zG~`7Pxenz2DF~RZIW-Nr3o^kWkq^F1ZxSXAxeFF#bPrk#+ULwP!8pYQk5c$aoZ^y4 zIQ&M9F8%prE0>xYX@Zm@l;aJJU`E3d74v!k+p=2Pi!E*h9Pufiyj)T2Zaz^0fr=x5 z))WET!~c8z0*K%os9a&70z{B@-5yCqCirzxa3+BYhqQn^2-(k@YKE8*!J9(_?Us)? zL$js59!tCU&0qZM-w~Ep>8!l+!HL~aK8U!M!Q&qNDPnVM7YryZtqTT+Hb$bc*Cu$~ z6cH?fAeEEY8Wj>!7ncQTX^Y?I~b3FGEY~f*60}T-yt?v>`}KTLe2MGhyVxrH8pa^)-s6Es_g>i-HI)$#dRF zGGuniEqX2C+yFZS^`ANpaq^0F4-8%)4`gx?$JDHQqt;susMSXdAdR|zsGU*wJc3Z8 zT-bTdqURlr14eufm#;8fmVo#&v()U-9L!?J;Mr<@F`ruj>o6`vwr%V7z6he&q_slXOoNYw@G_0iUdHZZ>zmCqI8#^( zL%Zf!d7L_%>1_w%UN@DRxC_B-rnl&B&=nULZP(b;Y^L{Mg_KyFj8W~1m6^tXJb=$) z(AS1S$GN3I(SIP2Z?PlxN{z1sq9s7(2eoO}R9?dq z3HFuxngsg_E}J^*fh$)yFT?MubX~`72hJY6k^Uut7bfT}7U=w}6QMb!Tu|Pj`qwI@e60SpN+}tAVj%g=MP{57YPkC(qzDP;iWsQZPlGgSUcD6yRVAi;vOUkUseW`#^|V_wo9gQ^)eqkDrLXw+f?-ti3T~=B*BQCs zuBG2sDBA^NrW)7}G_15;P0~1Ksv#NNW|wo)_<&L_YkooIS_0KrF(-||%K%Iqw(WpM zx;DFf`llR)vT1vZAy#v1$!H9!lpShJZR(qDOvJBM zc&H9cPc)pJc5lG{%0)E)M%$h-4p#bpZK+-AAT&WkFGqF1f{o7pb`g8;+II#_wv}MF)ph3EgV8md1;(<`rQ{kb z$$Wpd3k(Vp$z9i{3aoCBXIXdKb)SbQ-yu-BC@j0%U>r|%&qtNMD&~`@0xzfd%>o=J zcvhhyj@Cwx%ce>Xkw?p(bI=FchX-oEnnfC8Fp%495KmUd9APWa2P8mm>0$t}e# zx5^kCV{ogD0SuO$8?(fq!D|~>83WiA8UrY9(8$#$Yg8dpDX7*6z)H7k2K|tG#Is7F z^i1EGo?8y+yLkf1X{{5&5)k@MGj2uSVe?WYm(vtdua;w~^E++%A$|8XpZcBO^`oOu zei^%@9Viv?1l_9wD{okBg}3xTLJ7RjnV}*>`Frp%!@GjudfyYIzVj8~D3PH8f?G6c zH7iozxnKv5AE}8upiNAn3EKsqmio>GW8xFYfD}jwLFzjfM2<<*_h8Ymy1fvrp!{y5 zgPaZrjScd*7JcVSLMc<%a@y2JxaTU#4CQxa<`YJhXc!SE7kt3iEUeOa2!!k-*fAbT zGm3_Em|(hU6x~Ge{GV#u;n^a3o~aIEUX`Ci6%Ku(M8XDKP0rXr$=J`GVKJ?nm2)pX ze}3ihK)4coA!+ckncv7}Z- z0Rx%q)eozU(%p_ui3f3$bZ(DMiANQ>@KK?;yjR#xBW@Q0axL_>NPXLCBfwOyn4=Ld zf-D*^!CrkEjd&3}2=^aL>ktDs-E%=Bq4Q(V$=_72^3Qd|e8q^|v+WbVaGs?9a(KR5Jh zq*sX^V{#bOT4i0zGXQZ)veoM8hSK*JS@692kc^(Ku5NcNI$-i=(yA*Yt-6C=`b)KY z+w**Z9uCgaOU`7Rw){{;^qSBAzIS|XWK1=*Y7wB9FddM99SmiJs>r}}Mu3ij_Za~? z3f^Y~=qPrd5uoGHJ&T(xVnuJ`G3cHVkxL$j?sGc8Vkha8mLvAH zLz1_W995>O$HriG>Mqq!W6CtN(ZywmLJlmfA?2@;%|TAN0#)e=4oA@dXd8posWVCF@M;WfzUa1L3_7_ z-@rIfZ5LcBB1|p_MjzlNaCgZaP?t^Uj9VA9QQ^=5K+M(gixsI)T@d5Q*hzvF;r6!I zNPX&pNTz`Q0M6VVNz-E$C~zb>f&w{4kS?`?)Th28v?Tpvp;j<=H?C2f(;``lTCikW zCR0_QV8A5?CGaXcG<}N8nbxE}^)5u1NAS@|u%1uPV7drmwow3S5eY(|B-|AAjsI&)I`Wn9#4SMTn8Y|{AHeYfc)TT)I@@y#|0CBs-_hPxlqnW@~uwihq=k) zCBS5KQa%;_YF4om!QQ&(n!1ajw6HCd4(mY_dX|qV!^IN&^aVNPVE#2>ua% z>XKZB-bX)ss&y2Tk$n4}B13Re6-Ua8TOZJP?{)t>O8u+i$m{NZ$Mv#8nCy2PJ;&lu zT%T6StQWT)({jmFTv-QGVr-LM5){*e5t8X4)H=Pm(w_A>+<0`G;-=lAIo!COrno=y zPd@*%em;tP^=7p8u>gp$DAIP3QLhYo1%L>@T1(XI_BN1YVN%^Kzy#MADTW(%82&ok zhrxEOV78CBh0W$O#Hq7=EWjMw&04UpvX73-p_;CTuV(wWTfro&4d;y3+TvD_B--^j zY?jvEGuD2Q(=Dl5MQdKW4klH#&H(zt-sw4q-2IS=PnWW#?$ft_;p5Lts;6 zDPPgz<3CzVs#D8)?KK%O{>4B2g`wfJR@60|SB{$E0`bOjElzV(j%r1a z3q}zxitiPyuwAC%To56>de_}{VH2oBuqx}tyk^7{V5(r&1==H@ni|em!~i?;S(DPD z>s=!?oC{*WCK{!nW#G53Rm1tIPuDW?R_p8ErV;XM9xuOq%Decv*_{@(>K9Wm&!v(Z zpWCMDXltC#Q&x_%Q9AkQecf_6%LqE0Pis;pED8dJ|i; z0-EhcoqSwxm9YS*Uv)siYp*(>WIL{(Rxh^n$8jJ1@yDb;%Ad45*urla49#ed!XtWe zdz7s=^5V1d^eqn_gw#~MGHv-Gz4Gqwdfk`2D|%&hp`KoWdn1*G2lVQz`{F-PtyfCd z6WSfE8#4rnEZ7!22X7bH9L(7W!Ah^JF?W(!1t|QasWunbS9%3dhIF>VDKS_zR?K=O z2Hs%)jQTkt$Op%-F~)eJep1no5acuDf5^z>Wjir|+6Abb8XYakT$en+vjy-2 zC5;KD+RB{P%=ae->EO%Ll2qS4-=G+zvs+maPg=9OLuHT-+VZrBCrRd8R0iqb8*O^m zneS04iR!?L*xDxv>ixUhm{(e|on$5A8%?r1s^~9HV(b1ujdc4WP+RwAlBGe*q+(v5 zX-Tr>u!4`haVy~J_`~$z|1h=?v zm9m0NZt>D4)%y?IOn5HMTu>4;4WT0x7=svg z?jhXbJ8)xAW%M%A9KZNIf8u*bM?&n64RE05@G^m;a~X1l!hXF%)Jq=>2CGh%tLE?( zLE#0DhJqtp(@dm65Q+MMX$#aGzT(kg3-qd75Pl-d9kJqS*(1)TQ{{pH57_jJY61+K z@6{bJGvbnP;^CA_H394#^k6QdXetz#ljNG4MXwP^9N)6$LyUx=>PUL3(S)j^PHCKx zwOk+iUtQ)@r!4eaeDW3jZ|sRrdbYaaz`ZZ~n^Ys*{W{SlWrxWr=_Tss35wYZ)1Svt zgLx#x3wO^S+hY;nfrp ze#%>a<|{rmnhkVVxfc@J=yKv2AW~#xv)Bu{RvuO^;gU^W2R6-;I@AYZ5HA%(SnG z5*oG;h@=h6N^3GCbxDlKvH?>YG|jea=DV|Bm6Z1Nbc5FMCogehD%~m?;DacHaCi|IppUFmqB2u(<3T-d`Ik2FO@L&9^72-!L(a6yA0P;4D{Xae$TIeUi2O)6xT~> zl^GStRB6+7Kz%hPcq9RpQ(DMtlgEL*fBghhPMI7{KxIs@Fk~}&Zu2!66WlI9LnY~& zf#f^2j>C~1_`bz%c9;)YgNIMPHm6H=;9Zq31N6bjQsd{TQd%8IthM^z1~Xktq>Ik^xsClQs6GNzW{sIg@ zcN(9zThel97*drp_H6FAe|ToRY9OSy$D}P66Vf~5-XMu;b0O#07yraV`lcPm*MZVr z*{+!SY}&<@4NJ|mcvW%$IN^|U8j?j%rd_sEPD5B)lWQQPrs;&&?HWj3ah#t`kf$^) zO86gN=Zg9d?9n~dv|oRfz=OZ@(O>XF^dIPT_Ec)A64)JjiS_EG-AI=-bNa_g1tST* zU!e+s;fTN8b($q|;HX#97$XELm5S~x)oU1j*DAnDr6RD<3^+j0Hp5e?)a_0?ssPv; zRdt*?tJKJUBX7glvr>NqOYJzeqj!3di;Xm}SCaIZU9#lAQ5|8e?tbz~>Q8i3iflw> zP+Rmr@L!ASshSu>wo-q#adEm>1#YBh%9ULEcOK671mmfyn?o|qNohBk=e7(?BJzl5 zF3F^75A3N*Z;oefE}ofoi{^Oddg7Ts|BjFQb6*<}sNRg$_@bHEhV#K*9WBv2HpMT; zGkZ*@fE9v*z%+}t0xicgpdTa|AOu09qJX6vp(kIPjW1?SkcSWv5{A{aD`w+sC0JMy zt<1p%!j;;O5v^(mBJw#l@Gswe98uV6hMU>+8k^C*2QUdF}Fg&uh4|ZT3ZGU*z6%PfMbk+|fvN6Mr`vX^%W^Rf*#dO=9Bz{Ffj6oA-~k3s_W2 zhd}2i<*+>i0s9r1{b_HuN<8@IQ7P2CFoJ+0<Nq+#mYK`qqDhb29)>g5e!{`3!&AT7>vc1`;uvwdu`gKfzYAjk@`lMaRyy~=il(bdFiXo9ND zn}T==D2is)NuQ$6}N64l#ieIln9hDok5<50|w?K0{e7mWE~z?T^bX0B(!(`+_Z z>7>Jo)~gjO(&G7wF+XevyVI;l4d#L%5o3}NtUs#-;N|+%w0JI<=7*6NzZRs$bHPKI z9|k73_S8~<pXk&$vHUBdf zxQp1m2-pFascBT(g_|SMw@aeiP1oF)3}uqcw+AIn=nc~~LHpL6J>MU2C?Od_N${ig zuCuy907dj0!FkaHK{|VNhm`Y(47ZS|&OXW25H}*h{<>YyPE%K7An&Qd?s}ZNGcv?t zq@u$yx~^|2fQY-VHv?);NXdMmBt)+_Tb%SLkC8;Qp5A|i z=ZMGA3{ax=W^=)Yy3?a|dpqXL!J|uyH0>76nS<-e9Q@*Y|Ig3=#z@<1Sz22>jluTH z28iNm1H8RLR-5~63&v(gp3e!z(;JlSh~Q}P^cubmY~y(Gv@sTLM~bI88&yi3(dI2q zPOh>R-^D7OjZ&5s#Ok|bLD5|%h*Uh$a|jZofUV7f>btlk%CM|+$!Qhihg9FiB*B8n zj0uXTQ8S?QD=s-|ztwIA&aT^rv4clRw*zZ0hyp+Oe+`zc#|#arkUi(H;P&A`Q&r>( zma{Y~=lvJS#37VObxLlX{qLNzzm}A}n&s{mjJCMA`jEnCOG4j`_JkrMVxC^2KO5yo z*eL5A9=S!cQNA9d{0-m!y|4Uf--Q{$Cu+9t=-Bs!Q z<5hRLmhO1fU6syPP9lKMr&rynskI?f#Uhpuy4yO4&QF^##YOb^?vbjz8$kZ&=Ht`A zf;N>Q0Fz_?X1D!qrrk!O!|k@TCd1R5bKx())?~ZovmsBu*1hw$os~Jt_s-LZPb=0t+M5iIBE9xN0`TkZ!=q?6nfXBB&dCmjy$2)K ztJlap0f=De>aXs|@@lPt6h9hXN<+ zQL57%p<%Yw5vJ4J?G*9m5YuTDp)J>HERcBaGo9uL?KsnEj-QV+o#xvUF(`}i0QNnp-ZRN5YuUnp-ZP13Y>%+BbUnI4UC?!qfDn#RBO*E3IR6wB81;hXF64_ zA24(YJ_jJ^%KqqvXN_6H7Ki4fa?eK^m&@`tGQTt!$%%;Ot z$t-e0nGb9#SL?A=pgXH#)rLTOK(0@NRz|sY)Rz&yJ*E7bIojOP3l>%6I`RceS|sY@ zB*hyAX{1So*Aq0U`_pJrrQDe>a;rR?<`*+fbL= zTN6x%VAs>)sY_d=Y0D2;q|f_>pZ<~Wo?e8sfgfL0g;*lb%W=H_7Wq7hNpg`5Ri0&S z(SEbgAC^2~OG9NjwC{Jv;MuY=&x54dX{kL{9mI2Ws`ML23bL<(HB)L<4 z?xqL{rbs2x`_xLx3+|V>-e?mJ=oD=1K{`7vlAqmJAMnk$$jr8D=G$YVvvHl^Q|L+N z`?Eu{vm{#4Uu4!Jojud%!wx4V!`SORE5Qio< zwMr|j#_=+wx$fxYO4?}J?Zn`3CT+YL(#97*@Na%^LPqswI5O&XE^4MRxT7v&t=*NV znFhO2Yvw9@Sp1sJ#@LO*%4KsHehqj<+EZt17&X)DH5{C5SXLs%Cl%=R>|Z9ERTA(Uu%{z%~c?33M1Rceb}?zCRthz4RM( zoI_Io?AoT|ZqWcNrS7U(#qFJ#qNU8=ho)|^14v zh~Q4ujiYiQJVeFNEGnB+OZmScnfSMHB{mP*JdI~pEPupMYTiADx`RzXZ8w(QnLA5w zuCvq>&4`_84Z$2GT}_nqYv1?E58fZEA2Ey%Xy5|8@QwY+6$+q2;#^e-F4bqwhAJD= z6NXkZ<`Ju_o_$_18`J$7#6fDO)=eRUMoDhaz>KQ|?ktlzK)kQOE zYa_EU#d?_L$Cv_bEbY%z)sI+|#7Yx%Pc}bfRX=iAEtIDg?XA7h+Ex7sSf^N}i?buy z*{cf4cV~A1m7{#21t$<>H)7P3)8MIzwRGEkqpFP^rw1u&_|2Nz#v@fV>X@kiMe|2H zc6KJI74#!zKFTXFw25R$F+ZYGX*`|uDby#ce{F01~wR2g)8Jr}vcoU$?f^v&o5JLbF zbx?324A@yv01y{+8n`W}_0;qC1~H}7vn@m=2s>oO&Bg|KhoAsdwxZP}V4T=)YutMw zC;*iSLZz`c4gGFtuy4PNfL=V&`bZS@u2BIhmxQ***Jcad#<&UN+;wBzQigv? zxpH&zQ8lSbEGiOoJ0Kn1j;c6n&tCWuVTOD%#dzQA>Lbr1dv3Zh&Vd`(iT-zPjJuY` zxYJ`Ed#pzs>PsuUY0D2Oy#M9y)4y~(O4@X$l`A|Ss23xOHkd6cddzkia8m@gEUcv- zTRYWN2vXq{LBPj=f>2?!-y4B<2vXs>V6d3LdsXi&1ZkLDa1VA<(K;R4l@+)NK`J~K zG~k9ap`gB-FGGdrf+$$fiHy*TR-qj$QsEUrK;7cQp`A%e5~>-7EFf@66tXF~81%f1 zkgg<&$h#zpCh0*^;rV3sIJx+mMN&u3LUK(yPa2sdNps|quq{NQMAB-NlVrX+BAsm(lB#G2hkM^DXMiq{kg1 zi0ZqKnuTzZ!+TccZKmNOUQ*TlZK2wg7zkbZ)S?4^ll)(EDPgeAhlfDAweY|2>IB|T zC0oKknoGVUdsI1?RgD(`?uvs=JBX_TvJ0wiWIExg6r(aTDII^}C;k*4i^qkMl3tEDkwV6DAC{`fA#$*#KQWdx$x@B1W!Dhs+*A|zMC-CutpHM0~&^J>jJsU9D zbvk@PGbeFss%k$qYe)os;NLdao55tz?`bg!zKYFBjTLJ!d4WVUl{)NGFCkrl$;BPs zFWBEn^`F+Hs`kq))I|WO7pkh0WOa9zSWM2o?*sEva^q{VN=CESD3ehumDbGn2erlo zyZDVzA6;GNrB$`4H`U_-yXf{nHBBhPblj=wW?kKyK#Enk?>ztK!!rOLN>{r(?SBEr z%)0stb@hjNGvdem=xaam3j?aw9uimV-pSR)G)saRQguW%^7@7O;4T=mTA+qiA=oYm zQn9-r;1ky7059G`88$0YakwB7UkJ$w@$8mXA{i@Ev4>z8ZGttM73qV!V3}+J?FCbi zzs#sADxQ`qf@Y?pD3&6U-}*-RpGq7IVdQ9Vs z2***&QE`x?+^-s_tfe27d=B4x88}qhR4r=K2APWWWjo^Ko??_KjQM&c7E9;nb~+x{ zTTMv8faa=M0B#+sUDNU0j^kBXyC(yQtav4$KQJ zGRX(U1##2C=?vY6_AlwH%HO&|gc1CkASxD`Ym~>!m)sr>1ep;tV;GZqwQ-T+FcwK= z#1P3oCQFCWB@wZckCQ4Iqq?fIkLpQPUK68h6*iYmH(FR!{r#mC8*9(vs+sAr6jd>2)Ga-Txx!{Y>5dU8kOms z>4+7VND5a*NGnx*ML^oLR?NqO7^xA=W;(1dI7C{XBb#YND2zOycA$4!!EB}t)TiL` z&+XN&62T|fk9A5ywhhoE zY33o(9kkkkg5<`m`qZGuUbGRXp|wb?`}Rvqpm+pTmOE;$GyD-`A*@S%C?* zdS4rDTIyvMP(h7g)~1*t&w3fU^kDaE1ar`{J)j)KMW=J-WT25U-|)$wf+ z3bzxC!_M1PO)Gj{ z!t;;8ehcTfO}+9(b-_K-$bzKp_Fml>iOQUC27MBO0$50p9aw2)2-1}LilAmE0U-pz zHlQ{)1ZhfLFw(=A=dPwS1R0pPU`Y?}0nxRBG^It*htMG3d{B=+R-`F)!LB57kwS;Eh_K{F%ArJ9GqF%`^C!I zKMapn*PS<-x*dQ8n*@nwJd!a}MvIhTXmh$fHFM|Savx`0kSs+U z-_i`Su;mo%iGZQ<9$=hL8agi-qqrRXdBXb22$|CZ2zz2jJp9@EzJ%6y+AV5>u-W=v zjrINa|HZHU-(M4ikeao0>su1($~A-`GGOXcQ{d^%hN5`G_>3tuFdx#gWpdeE6#xSX zI|aeRdS}>NtVrwYr{1pDC@-KOcoEfJg&?i33zn%X`z^w5F;^i->sths!SEN%VV230b#Tqu06q%|)xaBpeuab|VS9(A;XiJECx165j}3mYjWM_0YBp zsI5RfE{U6BRU2Tq?43Q|Ak>2?pFKK21eA=kkNOi+KuPrRl$PDTaXUi;s$w(-gL`QO+x^odf*N_+Cq z|IWSiAKJb2b7|1cl?IvS8S#x~!+Sl3_m%Jdz>oh}U;qlpI0j(w4BTNS;{@Yxi$!My zzL0Sajg`v?JZfHzjB{YDTt?u7jB{XGTt?tg=cD{#;0NoCcFPESwU969fo3QEV%EjC3lAn8S}6vs=Rw^rYHHVkno|dUV2>OI;TB%=k;@k z&D`sP&HUV9bG+fspEv&-Pe6Q9Ck_u;lheToN{ijlb9a9E=k5&-r?`XWf8nS#xf9KW zq-0I6@<56KQmFxwB~||mhBU!@kL-?Y2ma6-(S&NNs{iG>M>I)tC$67#8dd*`03!MN zsTAY-o7@p6HsQG|Y#3{dO{#K7GIJ>{x89uLC5!_=r-6q({ooL&em~ic^RKsOh;ich z_4;gGm8w9XKAzj@c#M?V<>R}vb@lz%5`D$}lkIdot~b+vtaoSYivD9QL0LMU+v#|c z{KTd{UM1P3zgF4A-VK^HBpT~vMAc?lHXna%lN8*oJJ>41=r-b}3v*MZ6P1-W66X14 zRW9+Sd(S-c;=SjdJ}VH+o1T8_-FKW}0sQ|mJhdX|gKjU7o4h)`yz*)uSv||KB=3pe z`jbENho^fnBb4HtWQU-aZRQJUG7P<{C3&W*5ojOb9?xD(xH|*fZJQ`hhH>6Ql7n-quk@!i#f_YUeysGeQ|_*JXvQTHW!kQ!{(-k7h?q7eM4d;oiME(Q3~bWxZGUC2`Tz#0vLFzs6uN9D4cZuFRv+A`L6&NZFi4!4 zSQ#W(*!ucwgVYvti1~5F{75&h&oIf&!6Y6Y6!Rz+x;4BrOmaOi$!GtTFZyG*g8EX2 zpEyinqfl-i&>W0d6h7AmoK=#Iw_QLMBq$)2%IoRRq4Zt^cgx<8P4RwBFwK}ktwgh; zjCTRgwSfGZ&Lt2u;-|YO% zDij?Ka%n?dZA3IW$=os){oYr6{kM0~Y^!wzZYsQV3WSd2{z4%d7%JM*HYy_*tVntE zCAVF$Dbz(S7*%!10&C(q1gVN#(1yU{)KGM7bs0603wEIULOvO;^vZ$ASdogz1$PIO zv{8_)r)}jQO+^u0vG$CDw1A?qVnseAE{Hx~<&W=BsH5sK1gok#XiG4naY;_&YL*nF z*w?fHBamF6z^;{~sc^~eKpK34QU}E2kc=w9%PCe>M@v&!2C6dZ(;XMlO*S!M4i^VP z#-z%JG^w5nwQBYu>&7W7$Hh=O<-P|Zz?l}~vk0`iab^KKQIc@niT%rE_=s){+|Hs#a{?znvTM7g`*~e<&qr9R~!6MF_ z6|le+=M+$s0*&znmztpwzTjxs9iRagPtH&69X!AjdsQ~#$eADzFP(n6T{*#Gd>L`I zP4FnOuozhvJgh+_1PzAUVA-Zvu_^`Hu6J6M400jkc~6q!YTKHHgLu1T2vHYR36^IE z-Ug8btCIOtt{X<>$@){Z)I>DR)o9jZp|9jq-o?+&ZrPM&JS}A#I?hN>FkI+6W#u>< zrTfk&tdI0&E$}|i%JT}9r*R5-0tLRPL}mI&LzhB%vI~$5T=Ye&%?YZG>d_1Ju1k~J zTQ#!$kS5*yxhFs2=K{1)mE3xw#leIVhq-Fm*{SEbPZS)Es(eT&)4F8Y z6Wt*aS9cIOj8-svqTyhoT~lF@ICb_!$vUo`69`_Edc_4tHBZqB`sU|MxaYx(NPg$z z-Ih;zSCMaIDn&yf`v)gXaYZACneMq{#f2-DzLz$$K2{-ao0Vv~254QAhgRiMA1&6$ z8I->{P~McwiMo02U%a@lZ~4r(eCg}QSdBpm0I*q*mW_b&5zM#t&ASajTh=xa zrU(qF>ODs|0YKR;d+mAIzS-DSbQd53XmOEj-#|UDobesV<6F2R+qdZA1In)uy4yFc zU9>HrA!HXo006KD7A!Ko}F+@z1i>B&8yz)=LLsT-7j1L|@BS_M@fS0b@XwGM0+Kd^{hQWPh3-K!5-zaTv4cE!Qw1 z1$`1>fP|3gawJall=U6_ST8S{0TKb#MhFxOwoyTbbS+iK zhhKYuvwF>t?ry!-B54%L3Q(H`D@fP!Z@kEb)LrMVT|v6&c+xQ+-Imou^$%1xN@FUY zejo5R*O0E27rEvHAOd@#ufGu5%r-8+9<6c}SGHGs=LFKw!Ky>R~KH#{51y>JiPci;GI94wb; zE#$t$fD|u=*@oBrwKgCX{>U1L;oH2b%)$Q+bBiT?kgUx^QCEb zK@gf~qGN|zV>Mj13#c2NLKo}~DAb|d+G9F^TI>*{X?H>X)uNT%t|v&-?t+-fqSPj! zOKV8LwDH&l_j|?|_|}-ZWzz<17p_rEyGvpkIG2PZIAYr0M@+j*qF0BM&;(~u+azY# z*Bmv&y#Pl3;e07JP(QEI=k+n$SQ%W~(40UR!}z2E*4Sbj*OWmpKyQd3WTqdxdit@H zK}hehWMffm>=}fqDFb_yFVLjXMX@}4%Yz3YHJjy|Gt1L%QJZ#|p}nht_Wtd!+kC-~ z1hl6_x?Tiew7P`VFb^Kq1QyT6BHAw49Tow&U`pBdXVUX^E`o()dtfF#&9Vyy$2R&p zHHME<(=5ASaBRbdwt_UvMNnq}lf7eY&783!&9Vyy$M(Qnct+eV7#!O}W8s;>D3XO^ z`}EQ1YUM0Zf8HeQOX$lFB6(h0Tm82@AV7?%E;_Y*G>5k3jA4}J(-axKn0oln5i>h; zY@gPrBY;c-4W&#cK*O8m*q&gisoVV(2^(C+JZ`C5Gr-8=J+JzKul=7s<`u8Fh4dia zHLXMU$il1A!9&QAUT7IuFAb-+U8cfZFj@wn?Ar2msxTLfmI1i;ZKXL?mMMzxF8hVP6CGAmZS~2&RmD-x?rbNk?`3ugrvPjsbli0eGKxI_I|`FqPX*^ zrH;u2QBS%@KA%wa2G>xZS|STScfm^czyf&O?sM#(tZG9$Q!%G%QpXnjFMsLuc!Qe(rEOBr zw?r*S`$VMY`wS$Sr0hcF--=UqnrDYL+HU6AehG>#N+RU6JYBlaG}10<`8P^pu1C9O zdc@CAh@#A#d-S08t}{L3XJ7?ovkUnibf|TH9<`KSpRzNUYp#i>4A;?qBW;p&$qj!T zzBj84O0(p7FDTwD)jLkgxS5^F2cAGHVJM<%4y!T{GV! zu!|dVfR^IRyf@&C$jm9&Y~LHOMx^%!TLbS6{?*?be$4L;R?P1WH%HzZh-3hOo9Vs5 z`qg{G?T2}9jxbxSFhgUThz{6zQI)*3T^-;aSVKP+DhKqfj!-#JuZBe@6GP4_f*Jx3 zLCT^+08d_GM&Ncj9!v~)4a)gRB~dYBtmWBB$8$R!kH+Dg#U;~3l~o;KhQJS2E!Pl5 zfI#6m(Ss5$r0d_EZCE8xCh0<;`u?&V*T31EZSc~R?+=QGO_f!KKz%&7)A8H0O=iZ} ztj{*p_uq)ti{DSS)A6|8eE&Dy*`}hQ--s?u$M=4)d^!4f6$ejgF?R z`+}oz6Th{?Dr9BdAYWK`SMOl44h>bIUFfok~<*a{5%)=_Z%V&c^!xH~UY;HNm_^XJdM`26|3H_G>s zuU5X&g+SHfOumSGfysA;-gWT@dcTkx5c=PQ+p%*dXwa(_PDg)Lp(J!VoQ4Mk+%J z39=ydR1ws{%CJ(x%37~TudWC}?MuM{w0Vz?uF8q*P@krJUuci6b0VX$LqR*r2X)3* z2DW6+XJVI`E-(bW$ewdBquYm5JIJO$Fc-!JhQs7Wi5Y*nLi`1t5p+)M@kL$(zi#9; z?jYJlnj`FOrMm?ZDQY(BV_z@S_aJ;i{aGbCCM+-Lj9u=?@glt8^66m~kMlr1f8m+*SX}Im9_9P) z+w6`wYBxM{tY@>_2W|g~Rt2?HM0li%sv_hbcccu@O$VT~whHM+xTO#ltuommJKT<9 z01`(-KtFO z@UbPTTp}(*kyknPXc%*G06C>P>&hp0*bR@1ufDjuBdrrKXX>veQ~z1N^1I*m;yCr@ zL`SOIb&LVUk+K2O{ysOs;xUga8){_g(Fsa`d*gNIv0`?lj=E-*nf|bKq>fHGtsN;e zGHIdTz?joaj6LF*4^9j#m=o6)n*XTE0L~sX>cLBi~SMCeihEAIEsqRkl2E;o^-UI|HZ$h?{ z`ER`;M5QNrLo!u7ogdy%1#n9SASEc8j6l;9ERJ^JX(5w%fw1X~2gt2lm3>C3RK3k^77Uf-KrA9{AIyFq6vT~e=(#ah9OW=RO zyfgOzS91*1yhXNGw!;_;z?U|q#;SzoH{1g}$J;ko8E^XK0y8ql+t+LG{?dq^%Jf<1+ER+FwY^N_|c60^%pa**6>OT2E`{+k?745??_QtkLanqM8xnlYtB;~J;JqmGtb0r4o!8y9W?jXG^UlR_un!MPFJBn`_|&c(dxk!l!*HYn zV4iewTKs)<(#0J_*NDbX&oSHMJ7SM|Ip*1AxH*?$+AU&`S(O3Y&@Ixy_~qN<{`F6N z@OPg!yrONONOj;yx253~ZFRTUByWmX@5vzp1HYd{o}j*5P98T=YC*i=f@M~7D|z@$r~jZ%=2;T0%|)9QCj{c3+7sdcbR zL2i*v1o(k4LIblYh#tmOR-GvO#fFXma`Uk7?aiq=QEVCq*IddRt!mADdu&L$6dbR6 z*C{TY|42JF>k~dffkLkfr@BGlA(HF#f|=^<`3~(#4k~GxZqsz(Om;)WXshAxRsCDV zfpe6pl%w=hhgZ-8&?Co+{;QIIFZdsFDs(w3EwGK;9)RH$@wLu()*28inN#AZg*zv{ zJU&vS0TvN!h+;>CXVZCYmqnLFP>H}- zsDVO@l_^7lIj1Jz#P$t`R{asLZsp zC+_3(PjBEsOPm6StCZZ9;cS*(j`#@h!BkD z24iyj`-rJjx|TsWzk|syR${bP}Khu4cs!XgO7~wkD?=UpNmA|B=gc0d(t-W}F+KEcf1-lhyf>ZOLV_A40qcXGM zfC6g;95o1Hu3THWOQly<1fvtYFA6StQ`4#Rir{|3Mp6iZmZDuT*O}h%^w>#Cuc)-7 zxS|p@)Z!36rLGwYJ>=N0P1HgCCgQaTN06 z*CjWZ4UV8n1fp8n5hv)Zxw`aeFMg<77w76yp)l0`(6IvGmpgRzXYc*#SAS0ogHjiw zACK&i9U(q38jq}yK!PCS5hYMCr^H$j8+V&4E@kd&CM(YINTD4eS4dlok>in|Xh!2? z>+0utBq*BEVb}&PIUWg`WKcCLA47bZDjq2;$t;0A7LR~6URjb6Wq^03iCmcNiXX^W zlDowk-7SqIvt2G31u&Sa)qZhtG+i<(V8Ardlg!~_ng?^(_9XNDDK#+NVguJGU*{wj zLXCRVi&mb5Y|sZt|C%ADtMwstwHvLG8SpCRl-Hw>^0HTEB-ZJrye7CM4;f1R%YXdo zpZSHO_!0PcE>y#Gvs@#@$f*G}oE5@RC6o2RQ5}=@^-&d*_4UJQn4~*iW+SKiK+HUH zs_MOya`IGd-jtWqh9?k48toi}d9+4OUB6!WjIa2HkN-eGG^!vj_5;zr+oH%>uN<9u zm-Pw?jy6DE;0Gd?*}~0PuY{%=C>+juC59?vc953en6`rpn)S*{If0}2frp`K8H0Y{ z;m}UYKqK<7A2=`-@B%-uHx}?xKAZY*OUvX9OkZ}yyTCaW>aB+Z3Cdz2It=(+?5v5t zZ~muUx!K)pQu=ry^E`Xw3TJNs`blp(pdb9Y+XVffCuw^L=;x9y+zpk)&~^N^3mVR=#ZWHbPKR(M9rr0%w(6 z+6<2*Nt0hBJFxOHB?QO|SCN16QS+>3@dC1N-Ff1KK|ScD%E!pr&uhkT4|_Z{AhQ zH;M+S@mvs*3)zA}rLaEqXuGNLTre?)?|W?A)Oaq4K|E;v;EGEGSOTWTsj2abAOZ+2 zf!rU2yegLgQscScVZYMG%ss*@1#)9WYCIRTvPQ5tY*#V{DX&P4=Ypu=fii}6LV07u zD2#waVrZ*s1Sbu%>RfUooLzazx=!pD#kNCVkIO=Y*T(dY4f$Ra) z(>@$;E{Q0u3D5>mbc|o!XI3N`n3{PI8dfEwo1r*^xPYw^$9bcu2?9JK?GwvK;2ORD zY<=qq5;;`N$`axvC`z`|@hz1!^4+HpCy<7!;=Mx9R6$`vJC)DRmp{(mw4Of7HJWpP zc7tsts?J6%d24Ft0PSi5v`_xT{lET&0XN^4h$D zEe3r^L^F)Z9%X&Vxp{PzqTU&P07AbW%A0fZI}`wmV23mIGVZhjb|siPzr-X5)(Rs@ zug+IoWL+yJ6$0#0g2)nL+bysi?-F$=7s61*&+s7=yonW zxQyQ<4vZ{yj&_&WI=OsXFK4L7C48izPmSN?fwTXe=`)|WQu<8rWhP|+f=oDth%&sU zvDqZo=4BY5?FAUI(Y;cI*^Rn6H)`4~n%$_oZ^lGy8!cVv&Ie{oGjTF~0`=F(Z4Rhx6r9^fRmX~g zD!8CiIf6$Ms7}42pgty8n8>%wu1CKin1Tr&))-bTW^%tXmhCvTpgtzJ)tQ(i^Z?S` zoLW$yB8b)qqCRLfMn7+TjSZm(F3u2fn?@1mR@BGV1fd6ia-sf^ey{vXD(d6k2ZK0) zi{b1W@^v(47fjCA1S|w6j)WcvU0TU}d%DF6m5oB^vFKBObeSYaKqtRe2odZ1gb~R5|LUZI5Fvyrlf!kXI4^HtJu1p8~1ffJp#50H;Z~ zjChb4rV!vJ9ROUTqPNzmY{8)=+ktDexDEmG8^v|DWc-ZlCqd^agqkyf%n`3{b{Ve6W%#0h`b*z(9@TJ~ak?-yj#;e6uVtyJ3sd7L?gmpDK4hB zd{C=7G{!RLNL0$1s=Z;m*l>0%hGmRT*_!fm?1@iPWc2h$Gvf$8rBq`vXU0FYGvjlt zesi(9>66*;zU}$9zNpsq$kfUy^GHsK)!*?A-}Gs(88^H;l1Kvq--BYYF_TqI8mbx) zwL);m2LIZgG8lBbVq?%rJ+iQ%-tEehudNI(0#L2Z+U|u=B%AVVc&(@af#_-_U3pos zGQ5k9bFM_NSG<-Duc7%AWX)OqWwPPz_$743O|NdQGQ1d-M&2p95j&&l^shbHoQl;d zRu5Que6EKhW}_CSt{k3st8Kd}Ldqda>a}G9r3Ld;#M44>L2@D@*CHKnvyr)nsPH_w zv45JHo>A}V@zIr!jhc3g<`8!JEjq=L-v0Ez@PSW@T1VxZ@mfb`pV_7Pn^JI?iEn60 zUC_*#j*Zf;D%)j^{VsTPP$w;^3m%;YMN8^}NOx=Tz|f#hT2dE8By81_U4Kv~EvXA` zmmAK&LcLZ8$3QHp?ZTI#B`tyukMB3@-gslNq%OHztYJX0{fZb$?c1 zMbhg88ECcUWZyt7tD)bM23BS-pm7ppF^1XpA%JT=&8hB!9yMH;m@k8$n@ednDUtfc z27HDY_I?y#Z+p^5Lc7^#m~N1++-I0}i)No;`Yk%eXL#{{{cT_J#vlXII&}9LtSbFB zI;T*1FZ~f4F^ajgU2?Hr10Our86x(E{{(JvL{_l$+odbkCAf2lHRAnL#q; zMQ~56O(tnHku|5*Ou1j=0?vX;dTC;OZOxRMB9!vXms{V)$#R&q9>|p47 zXU}(Mzup7ske`Snx$|#1*}jV%RC7GQ4^6YEMs^HJPwNcX_r}~N>7g|CmD}|rSisx| ze)WCe{tjGKAGob|s@0!LxiM||)?%?6?YF=89Y6PbBSO<~xlJ;n&xs><0FhwoPn&Mz z`)pA*)G|DJ3ZjBnR9*%gE39+P&MAU^V^}BreuY_4_R^;1GS+ESJ}l26Fk2&&8SC7m zk5}+U^ayWS;5K8OfNg=U;u^Q>-BGL)056)mL=ZEFnp0O;CzPC0w<7Mba%B7IU}Wkf zBTs6Gtjrh4zocke|IItlODlG}MA>$G_I!7C9n-J8&K0Y->z_!fni=#TyJ z|6={$N64n}vxjUJP5VS4#7zh^TJ)A?$^{F|yI-J$Sd5SDYGK~x0d+@=NS1ETLa>4n zmn8XsARDo?!Z-v6Vcs2vU#KMy!C{zphvxR?8Y`H0v4WEU`$zy;ZEmcXVO|>(gpdtY z;O)0=VBW3rf?!@y1B#jxVP}B#M%$B|e0h+j@(R3VTZl{URzzZXnLA9WXugkR%UtrX zS|IdfXbBgg#jVAOD3aRt4@va2wKrUP#9VTBKp&T!9fKHaKzMQ(sFwDsVcrrx`uGby zjnjeI)4%&Gu-Y>N89Y_@*sC6!87^+yC2>KM}<( z+7;xw+IoaAH57djRG4F<)N`+_X;xdqbhWPt1}9X>Ky9E`RwjYvMoj8z7X;N2l{#nt ztgI0wR-~?WL3m{x=>x$XD2ha7g&=jc3m#-J9Zro(6VcT%Rp-gqQdhem`gzgyiWN~_ z(<9}kt}cRL-Y~(9bgbkRMOVAzezQbG$a8lKv1OHH=2!&p2fsHYQGQDOUrDAGK15m@ zG}7`i7fAS3l9RQis21vy`h(~*OjA?6_MwfKd05qkTZjWTXG>V6!jl2glx4+}p`&!8 zp96uza+eRlT!^C`BNBN;xd|FRDiwt|Zv_C}P&*a`fl%>KQO664|jQ9__pMb-QRVg)m4+POq)8^-fiwbI^5j zLD#ff)Ec3gnB!`QInMsWC;!RskDyB-U^nCj2)~qhvJS0{;zR6E62lNFr|3T9@?_g? z&2k->4J6^(JYtn6LwCqRlXPH$Y`=GtC&Q=?uDOHc(L5RFT4;t4#k35N;2vekcm6sXCDpQEiEic538Az&8 zEJ^6I1aU1|*GLqQpb-?@dDGH4Yr7|7OI!3`A^ZOkeKmmF2NR6?ShRKGT( zmQ;h9(gn&TlCIs#p`qM#5n~;|4dDOmddGH{lCTMKxNq;lqz>1pWO;5k| z?mI01oR_D!``&-}y5ITnp;EQRp;!2|Mt3Xo07GLE_|kg85X!v{2#<%yB+x9nAXqBc zNW*#|pzZQ@+b-x9s#F&Q8m=uW9g?=1VGTjv8yDOjq!gGfsi;0{R-{oZf>2RbQneNq z&RkNJ3%|f;!ExAFalL6~A82b%SrJ8S@SiET?v;{Ng(&)1N-7yuK$B7dS(V12r?$j|j*Cz2%CRRt z>4ny%R;~`)zjF@Yi7U+k(Ar#TG`2mkBl_#o>T%lgLmubi2mgmp`J|6{#Vg=hGfOv& zt|HcD=_RK$Kuh6W4Oq_j`mA=oxTX-4qKPahQG^%S1KvZ$2K1;TE$bDj9v#??DGk6S zTRc)sm!G<0T|YoZ6w;#ZwO*0xv8=e@v}7Wj2UNy3f>e(#cvz8rPhiWv%%#a+hG2jT zc8k5%hN7=Q=F((AQI9T(+%Pm4mqZU^jc=NIbV*PIuyB|RrsPzvzfOg&qB@2pEkta$ z>z*Wym#=AKCs0QO9Hjj%CHLi$olr;1*_S;`TO0_N-0zudrX=u@lM@(I>IZ5HGhP=@!4Wgd)ypIIi@Bk`2Pif%8nPCli*;6u_+sQHJ zD;}Ggx^_PqI7HF_z#)*ShQ}hrn5e*o@EURW$wUkGR$Z5wn6~_onfMzY_m!Xfb73ab z+wTJtJ_#AaXfz|_5v`gD+kzX}ky8d`H`{|Ti-jP~gbN}@Cc`KzRfuEq28Lh;CNSzp z(^ha6r7I!}QVaQtr4x%qCGCn-LN17*WotOc4A0q&c7@@&=n8sz<%7F@;pFvp75PR+ zbPhSq?L*tBeT6O87iXO2NywFAk*b)4mo`;0wO&#GAoPk1hw+E}4mn$sUV$*w%;|c? z);m?N%ta=1k;#WgW&dZq`d7bV6eR+IiBh4BkLUD0!qM@Zl13EV$%@P!+Ne>YeIZxd zAH>Pem`+BC5U8;ySph07F^?59O4Pw*O6&B{9M6mr0r6&s9=hp3vfctz)Md6lfUee9;taJiQIjqVk0~nG8pDXn+ah*2#8q*E;#QS>e$ln&J)KWp{Cqxn zJks&p4n+aqy)KEDVuyTugCaD}J{|aK)+Jh>4;h6Je?JEc1K~ls906%PTDXHBtH2IY z93i{)$%M{JdkIQZn-el`QY&Fk{i&hDgyki%H*NVLNaCIU=uiISd&eLNyv4vTi8P=? zMHPd`Ry8C6xEfg~3ZnF@4)>pYZH6S!ILMwD2*Mj`OCT~N5g^Av^#va?M;eT-008bl z^+kpxj#gjrG4vJpEA}1%lx4=O?wrxYUJ=~vsW&OOw28&>^N7n03*$q9I&G*Hm#X!CE%%YxSl{PMuVRubFBnQt64#;wGzEG^X=h0K{-2KDU3O; z5hFpa%XPw`6b+AYeL`%UAtR|ta!LF+WCQ{V1*cgN_H>=q9fIi-ry3Sc`1-3g^DQzO z54J(U61CS!9Z=2=1ElHCfgyl`N)}0gWh!YL75VIV`(sU%yJ&MOC>bUB8j_<%ON~Z2 z%f*l!8SN*QLJ!Qovi*zOpX@NJ`2pR9n;rkFJG?P97Cy%a0A0^YQ_6MSA2D!xl$EH& zQM=)h0%7zhnkoVNx!2x297!G~+aV1_N%w0tTNo=2Ha=-EF;M8L5)Z{3m9bIKI*grc zhjm#m30tT0@6V9*OBW0Qq?6)!*-ppHAm%uJ4Hz7XGAapWL|;C>=apyMt3Qt$I}G?s zDggujP-cj#S}}I8Zp|i+rSo<&0&nlqb(y($uK&eX6T|PB+X<4yjD*RB3HbXo>**TLUX|<8c+-|2(s=*XpZ`1E zI6)>D*r0Y;nxdnebNzvU4ptw6-g%}HAqWgWi+wE}Y90Kx72IxPr77FZimoOJGIi!s zKD9)Zc517;V2wn-kVHTSuVGm&fLFn84Hc3|oN0pQIkV;i!=%M~j4wlt=U;{st0WS@ z@Qz;jjA%TU+^;uQo&j~+E~!Deo!pva7}c(XB`<&Psx-y)EsI#RT)dL3?hc$_d~-;m zN3bqpnXE-c4^=9Zx=MA@#nUl#e|A07Izx&y)Lneiv))J+*7d0ARX9+k@no)qzQRgq zRCox&s1H5R6a2c~heD1uxYpZCXj^;Tu1niaTYgB}e$(&&Gavo_fKzlKukdgsF`15d zkBAvA8P)Pyu;BdWi`{aS=glnS%CBGinvVE@(LT_&T+uNNyDI4KtZe~4vsjt+eLF({ zLa@@dNJ6P@gd%Gz2D7%cP7SWeoEc-RSTSo`)H0H>L=?Tawpp;!wkRs$_ykHWVMOXB zD{b4YH%#ckCJ-uXB^f{Y%|V3>%MghpQI$7qR@!z;bqveJt7z*v)$6j`vj;(p02(kf z0@YXFD7_%JQKhH^rudPJ0VaMVfm8fCF?qQI>_c6@IbH}z8Z)_-J2dF@ex-bifYNJF zf$Nl!$<=k{dxSv?W)blqwSIsWdHJL1>0aC;D;0`wByfs>co zOYKlyw5Vpq@{TlS$uymcqv%@JWeQ*=Ixr`ocp7Lsa}xZ6TB^LR4(|N6+H@j_-ZX zUwc(_25Hx(TfEYgjY32$iQ~N>`MgP!MVhB>37Qr3)?6D#$+xr7{7FklJ2v8DAQp-qUNwIjorctPoO0wmg9Mz_c zlC;u|fkAnqf?T=ruWG0q2iU({6$Bm8LVU^>P%b@oH=ihx0Ox@SU`AA~5K%z{kX~>x zK1e+mz?pcF+r@q`=!6fS+@ zEv;bXVQMu9@Rl{*t=hlM5kN;KL~2+>0K(#toxv&sa434eNBc^%Vs%d|*{l1r+G|X+-($W@d!6|PVb~hS1K>LMXq{>$^Bu~q zKtd~=e|Raiupf^4(s~6&Lsa>2XvjHx|+hx1VFLy!Y1*pI9 z3!%%s6%<8hg?v%Ih$@e^hEVjJ3vPixQGaZg3u7>kQ;V82!IWeLL3wkHqUC%=_l;4G zVSah6D0awKT#=RvPBhRMt5OO<(Qzh-j43K==;?z!xIMMnA(K3yZ4}y&p3D}oO#MSD z66Ghyv@5YgTl^m_LHy*C^``pN7gt#8ensm=S7i^m(PgT}hGp>%S~`|5B`bUqS^PJ2_X%kiGJ{E*}Q{h$3sZ@(K<J=y#%3RbmE!B-wYiG`Fv)&u zz}Q5D3K9x9*+K~B-~x>eI;(Pr*s6^La&TcRHjF}GY@07r1s8~<7+jF#YiqVP&Rqo; zR%1`e&J2B$lz7;Fq3vo(bdQo=y6TdX?6;cLeh5MXsQVh`M77Y_jN89>GIUbXpfR__ z=4LB>S(!M_tauxP`1Z^Pb}f8hYPO{TD***kGn7iyC$vO8+8tN#e&^T!KmW-XFoUUX zEWcsFcxN<){(5S{)V6G_IP}sG=#h0NPzONNz*~@DwH#xtn0{}t$zY_AK^a!79)gwb z>{f(Pph7w{*E9+&>rPApH~odkrv;C)?u0J3Q|~=j>!%)7N~%o^Q|1d?bc3s-P)#*I zVZ8U{kgQJh@ZpkgJ?T)zVzcPr%0n{oZ{td6?g2fe?p#c23M2##_CUgVKrey+sy#h5 zRG6T}=({=^JF#lYCcoST z+huS_Ul7e9Ex#(&j0;A>5}htBAqLfq3j%1+lDM%>ml&*#rgT*^ag9_nzGBSPMh;6| zv=F3==7QkYB54OS90ri9h6_P?ocYNKbgJ-4`MMH20tqF$k}AE8bc*LbSYybF+> zE}@Z36ZT6vLL@%GbgXRaHi`sTUJFPW1rsf}ngL++;W5^fq@&HM zj1{TzV#Ph%I9TMx5)6j9A(#PRC{C7<04Y9z4Wtpw05HH@Z4B8j!HC)jW&n7PG6g}3 zQ8bK3#C+=0JTTQG57UI_io^eg+K5kj7Hd_u4Q0_+)#3%Vwsk`CD$c?4ABS{desS{x z;S@cU5n2hn6GGdzm>^)O^7P6LTOE)S+i1wE1~d{wuJZKP-NA?J&3Sru@Kt$wEdY<} zm+i*&N}E-l{tf_N0K_R6l% zbtnqe?ABp{(xCkID*c9Qn4;W3-T>a6S}^OZP;LYHvaGX?=F2LbRkUE|5S8Ctov-WH zh;UVzB~S+?gLtzRtaR3zY(><9Nb2=!Vyo)e1+xP6hSWc$6H4W5$_2`HC{FF$i1Sl7R(3>t@6!4CYNz9+b2}Z6dao+Ahyt#v z-b%LP__i@zejbmg2WCv6ZM>xBP)e3JX+prBa&q~VJ?ta#F#*9m(d4GmKs@jH(+m`4 ztjhV*hs6a~`r-?B&mY`-@!s?2PsvOcJ>Z!*_L=I~=kC7!ZCLs43zE*k)>ChL{;e0lMHGtX<+@VCF*uUZ{gn1l`IH zjRLA*pw)%}2MJHWkW{Me6E0YvC z(=npZhn}A9NoSb94?RsgL!yyDs6d$nF;W;OsSv>cQ$#DFh{#1t14u|>;^>fgiBN=# zASH$r#YHHe=e>OI`+aNuYpwnN`}}>nXF>J+d!7BRZ>_c0TJQCFpV$A!H}OdZN zC`Q&N0S4zD1VIB>!*EcHu3v(k#9pi_N={KuGXR?gAIHgzF zrr&@lS`ftf&{ENILB~xWk@BI_p9RGY@^g&oH!PObf`SGpEBf>s6w}&^kyNu^%^rCs z?V>?#to?ly4Pa~9fLpfuu26uh4_;A>#PplpMnb53J&H*4HDMrdbgT(ij*0F{GT)w< zRI@+e&Nq_z{*?9ZboDF5wDn&_%@~S}#nA<5z;%gq8nj1?|QqlszI=rG2aApAG-2oU)osU@a*4)lv z(PaRP_x{(P{n)?vQ6=xHgp%&E1QYC*1N%TOhsIW|?ATWp78SuQ2F+1$x7u_@Hqj=! zAZ$F0CRapbk)|qRMVdqx#E4MNO@bh}Wi%oSL0Uu?+^s+*%;YEXmC~#l^N*i=8x5ih z0_UeirPFB*4r1m$V?}B#7sRCy8Az63Fs(I$R8}qsc3w1YQ4rc+i%PMgxGY5ygpwM& zp;1+xnB-oAGM_cm0E{WL+g!IYL<%;s}DQyfciINPU zGa^aoOMRZ=ExDwXX8`K!Om>_85?qy+;Hta?_&0kA@Nex)fG>Mq0{pAL1pGMk65#vl zCE#{`32@9@3*hF3UxK$Ayac*OiU0hs!%dUFC;nr0a3vy1S@pK<84hNZEqK&?(Hpid z#|e`!x^l2VK~y=YvK=1jMjYa_KU$%o)KlBFgmrPj*rD=h`G%+E_6s1U(sJnHuBy`; ziPK`aEzTF^wNgLd>=s)r7{`Y|b^fv)2i&d~+g0BGgjqG!{!RTIJLD7IZWr5J&fcyT z+v@ruP#?d({_SqD$!+i3&0<@XVIWZN-}%Av>1ff#1BCnfYx(9|-n>oL|K5FUp=494 zD%iwtT{f}Q_K>P7Tx^@mEcXWwR%MRf@%(eoNj|)k9U|kzoKty$il8UBuaTR)I(^_N zt7kc;rM~c+|NJMvJeHPZe)Q5X*ptB$@9{Fc-2s(A=Ghi!qoB zL9yPZ?i6_AM=#8U7&qPC@rsKvmTC>hCp(Df!2%rj^FsJ^odJVtBp3 z=IUZIANo8=J=I%NpImQ)kt3>_R^f6DbBrr2C~OEy(`S)_W6(iOJ%ZAHWdk}xCTbf1 zJ&MwM93NiVS*3JRuVf4vOZ%149HCyp50-6+Qm}{7&=i$E*YI%g>jLO4$aRwN!hb<9 zcy{gGUd7PORk<{@{#<)!S$;}k_u{qpX}4%jGAVLm$}Kvl_Wtuf`6vJ7G!9i;nY{LH zjZH|Nq)smliM{8k>GT?Erxg#?n_q|8X~h9C!Q&QOV~p@o&F?Vlhy&s)4z<&Y17d=M z?X=>6m|*HgJ9Z8&4u}hGw=3;NL+(ryVe#{*1L7ySB{x4J7vKbJ4px$sZXc7}?~$<* zNf~%Z?Uy9Q0r8`6kf;+$w1OyP&m~6yZoW>wgvHRs zO&A;DG-c&D8l@9qPixHQCfP^QBzprht+d}Gbg-(A2Dm!rKY7RaG zvw8&ay}kTk-){x8dPILK8b73$e$!!5%jz)(tRfrVUQAYxF<=$+#+v=~bDXSqhMWedO6L+C!hG)iw!iY~if&5>5)AxyzDQ|6x25MR}cQZN(P}(ZrI5L1q ztY)OoG&c8G;jSBD=aTasmYgS9f>u?}CFjeOoIm4U`q7)rIB`rPX#tnmZRn12adYpx$@F(AQ=~nmZRf zZq`~LMsx{AyZX>HcPEZ$y)kIul-Kw*+UK=+uzObD9s4<>XS0kDx+* zXu8^Av8`NZtg4J&V^q1DcaG}*{mAOB@1mK_lsJ_B##H?3^bj?fN@nlwG*byU+#zQ= zk*Pcf4o8Gru2wShS$Qub)l#XxhxejCPw`%`3Cig6gCu)DMxvMwwtDtn?#_Fec8g~3 z<&!el@-CN(rE&war70B>NueX7^l#lBW+_51o?tkQ7}cAn-k|q z)98X2wF!hdj6Kh_y%>5gE@*gsU=5J;Z4WKpi%X(#tVCEyQ5Yj)99z5>mn4`E2j`kV z4K+V`)u?>J@1l|`3~y~EnM(0B(Ilas#&Jp3_k0^~Wb4IXhZAsCHBE@_(UJ;*+j*C-obz+w`a~JdC)@1($~i7nA0* z3X@VS^|cd*XHGCU%b~}e7TLzn!}d63tMic_vfVRWskW zMs|e=2d;KLG;OD^hzWB{krG}>vs??(cDf+4glt+ue1ptldycf7E{Gu{77t(oQwwht z2!`Px!IFRp;0)GK5A7f(1)E(Y!G0;h2vC^q)zlbJ5N`_h6+Ic9Chw{>8EI=0uk*rz ztZgp5YE3?^2_>N<+Dz&Tx`mC;aZ7xz3#VePqx->oAJmFJhGC1_d=FpzFG{Jc37^9j zd9TA~5g=%aQ|Jk&O?hXVu;u)8q`jjf!NQ!5oSy5Np_SJ>Os`H_qxY=d^c%i2XpPj# za=UIWSIqFjD2`6PEhoGpf<0nBSe5WByzkDMK*F#AP%W;xW(`<)mEAn^-1uAqi_EO=86y69Z3)wiAZq2RIeYid8xi z)|?c#%y5z@Dj$2)veITsU|W4qiy4_f-JWDsxlaC1cLglTKI8#k@=vVt*@yR(JtNkR zPkGgHw()iIi4qBx+0bd6{EH z>M&ms?fq*b0yyFW-}(@w4s$`U&XTME+1}&El4~JI9p-|8`sUO`te9J%8JoCZar)7M zB?>tUQim16BatOIbT3~3V-uG|%>&^Oq62_DWOh_uQeu;kT<)djgo%xEIh7=HUM^|v z>^M4fa(z9M^rC9407Zy~DVnGHzzzPVyY%*ZsgAR7qsTr4mw%(VWYaX_!=e%GAAB5> z10X{9YCnznKaR$e7*>(U@a5K9r1?iOhD~D_bCMPMKpLSE`%u#u)xs^B9zuAd`Uk&~2eMyLos} zR;nTa^J+`K+08p`$T$J*gYpCVS?Y7F+&oMr&>Bh4@Yh`WKxKp}CVFo;iDt#h&0B6r zP6NRusoUy9S8g8Y_S9(wP|z@5?m13UXY%6noe!M>?g-UbHR@b-%~W8QN@H>>*Di{U z6cW1j-OO}#$FBW5J9=*=2JIZ;>p@2k#L?8D{^Y&FGhH9O8~DL@|Ly<#mp-CUQR#KN z8)&}*oEBsDA4Ascw(M1xBLrzRb(? zh6g+}X%w3X-B8ek(^0gLYm1_h9+6Be%OiDL;_x(kr^<1Wp_5R)6~aT3?vZJ1<42@o zhs&>gZIW-$`c^Qo6(B=t5z_Jac%=Q?!PDZpBv+?{VBy1%_Q&~F>*$`XAEo={81c?^qMkwxf*+_lwW?|k110wrx>>@)(1wpD476wYT=h7k zN$w6!GVK<%CT2#HTn0_@dw=w;e|@xL{e(1wVm~g{vF;@D>{wUB@7>__*!vS0JtTPm z^tfT$Ly%_LwgA9fDa|UlM><>?G}A5!MosX8(fW>btOJ#T^fU#*ovHTE4?Wrb6)ROf zs2Qnq2Lo<>{)(SyXG-1xoxtoB+cgXk!HrznoE98)osAWk)f2Vp1Q+ z#w?FDdrYr%F{BEfARcpCiy4wSEmf85n3g*ykJV}HlBtXNfNkE7+hOf)-Pncmt*9w6 zWwOd6O1eE=a8t4rjBT8bW(~vmN;~@b#@8O$bB*uRbo{jCTf@O_tG}>%=No=8QgPR% z)t@ukrfO;j2TgdmlkZQ-vlgmBpH z8bwNGq(U&4964oN3WS`!(Bib-pbSLlBLlVNk(B{j-Tjuiqzv4)sH?d>{M&e%~@x<1`5M zYMh0niMMxo#9&&QSNqCgHu8V7@|<|qWk*E9x8kYs_Efr4m58%pz)iae<9 zjXfh)%xS*EdcA=iCOUF&_ALZ+nh&Kj4Bv&p#?++_)g@g3znDO9!fQ~cB32YX)-DFr zU@Y6Aa<*R@ZZ!nO1t?3drN+hr-$7;(|0Dv;>Lq@shRr$GZ<@h&B41yHk z;m@GVS00pU5A28yn0vsc=e{oA12z+CzT-hXzy1zK+y9*}eER3UCn9wX`P=m5et%rU z4k6*OhcOg0?YS}q2iq1VsL2pJj=NiS(r+}=E*LW$H<&!vteDf2sDmLPDu+HbX}Txh zmeZ5g;{laZP{eVLG}C@)j9Fwgj(Nc=v!|bIT<00OHMY^$!;1_#wXWx z=hhi?@L>y>3F9cArr1+8{C8rhEr+?XzX?t_Tk1=;PXKtF-gD4M!4GoIDUQiOa|PVl zv&ZDv6FuAU$G6Nl?H0}9_H*9j0N~Z{ZNqKrYGxG8mYacaTSvDt ziryMt)I+~|4#eT$ZGIXJ6D4;saBZ8(TfuU{5jXu{Na>f~S-A zhI5oA3N21s=lfZ2tPd>x{KN^{<^nF>0XSj0QK$$tcU0+YET{k#fw89}ztkp}v2 z!RgbinV#kapka7_8Z z{N;s8`*S`E(Ro zP{0B@h`MazTMTMGz+xSrf`g53F^9Qqa;!5e$cQ5OZFdvrQI^8Y<+NF$1j;<(OARjW zF4*PJ!BYlK*{f%1dgcGQf&5e1*M}otx9f9x*XOwFyPtmV%UI;;7p2I7tp~}We*P+d z_xx4uTU7vkQek;0gOjM)TvsWMuxnA`h8&no?-B8CJ@K6PLLFrJZWCGCw_p-j83i7f82{U{! z=y*6(?T;>AWw{~@{FboixNXV&M}08$=Z7eh)tT}TVLC#l^^kO(X;c6z+h0S|GsZ}3 z`w(OU;cxYQY669x99`Q+D-0&dqPK0Z9f}gTWR(ej)D$JnfEnTstG;2$l4ZOgU+QoH z;1O0t_VhW=aUmrPiRJX?DTW@Ka4-`Qe0r^svP<8R2)^2^?9WW9yn<tZwrApYXncJXavBu2kX2m*T zx28`6e_`d*_Ttbf#er)uRvt`nwJ|gym@*s$+K{IM84mnTovaC*%yQXC>R|M=NV3H) z=T}DUFs+jsw2}*%6L!0&R!Ge?wDXd5hG5c0L+sg4T z8Mu-sioI@}S zuLCt{02S(+@*v-krZ5h4wKMtw9wz_SEGnD%dw%YdiGQO+Dp~eqB4$47nWhl0CWn1D)CF5X?GmP#NYVapZn`IQ+AYGj=q@ zay``iDVv1S(*QMp)^T8CgB}tyi~@Ue)mg{w4_hijXf6yL*HA9hYo+6cx%pXxE1LLv zZhrXaEXTRzsic!vt4`Bul_W0dWJD6pjMPaQRux}QgSmcje%80>So<)XomHVb#b&y% z;eY48$Pb&o$jZCyPb<@}^w%)l(#fj#-sgPEul=R~y6gMg*5`_%>?@LG_c9b^&$!O4 zdO-~Zu18U8?9i$m)~tGWn>E_OMXjw2#vN)ct6o6IZLVhzXLY4|0nJlP5sFl8TXz zI7Q}KhtXA+|Ah*}a|`oPz#y;@BMh|d^e}{BRq01_TM^94b_BCXQ`J-(Kw#e~)dtSD z8b^Q`%IB2cq#gj1Pa>!_)ANu|sWXRPA`q8k$m#8-O{u%NT}Rs( zzcxJs&dU_3juemKF|qpJ`#b-)H-2ldQ}##2X>JNiA59FKqhe!z#E|Qege3SJuP@`|sQ3tU zCn8S3TSpR-DA?oFBz!)0@^V#Fd_>QX;6YDE%=oSY@*EWdfyxyT%B)t_J`(|X6%{X0 zI+CauZ8r6alcHiZQ%#~zZQMFlJ-oLj+p(~TlTF+!U_AYy_rG&c{8miVyIfEVMdOK(nJu3?g0xrrdlPbPjDsiU+BzZggypFjCoWIXtoT_)dDF}9|HbzO zbgo}%fv}OeDkA7H4X%05v(nmaH+!VXIPrendCzkyuOtWiO3GPjfi7Yvnxn6zT&41k zTb!CC2fI_DveG(W-kqEy3c#SZx?X7kn2zF!s=>~H8c-r zTzEp?C;C$5f!<>9Kr5M^=*3}!Jhw_7G1s+OGdK$5$lcgMzsB~Flbdq8!ZYIyi2wt)Vy^+EZ|`|g}+9B zY1PlUY5F;3JC2WjPBd@C1p|!-yOg?Dq~SBc)7l15nya*&^v|Z{q+K>IC!ur%;+wV~ zc5}!5uxLW98i3IcTU|feQmgwX+i}6@OGW>0Trl8zXlhLttc|O2!Ll9uZ>aNPQ(JF5 z^Kc*C!8mx?#J5{%X@m2$LqF|qv335M-MzQGd7E?{`f2we%=TJrx-d5-AyQV}RtKuw zq#-;V9jsy$ir_1a*87szzw6)m?a}V2XzrG8#2|BI#011mtVY1T`B2-|6NfEl$gzE4 zjNTJWTyRDpI707<4gxexzz|@Pry0RGw4|P_b0X&a_3(g-UNONz)=pY0znC)e9UtIX z(yGRxX{?GM{X?1{G;eh1SOm#ZB!T~fp(Zk%4W#vwnM4C?pV(n4lIE?&!80ZwbLRIp z6A*?_4PcVYcjt(94M9F4hG=lWGPx0`^#AUinCnxO=p#N2|ejuVcfqfb2@b}`Aujqf{o4!w!f}iLrp#6*Z zt}Xb=V9%r;#g|IvrNzfHyIR>ZaewL^Dh1jaaN&zc2b4j3dH>pgslg9@PV(=n!mYXB z(C4z96u1n3Nc*GNvf_sT;RW8Zv_H3Fe`(}MoAUA&HOopA+q~n!JDz^&X-kQu=vFKkO;-1Vo4c;PwSVyp zOB>h@hP9obHbPpj1IwxCF>V^pTKyMso=ze6zp%dZD5NnuteVb9a#hLt)1A_S@RToBxe$de(WLgSDn%tDZXk_!Urs5!VDW+rjP zOma+L%LNZ>pkpOQLjx}%|5=cMk_+xQREdGo4jtbNE3%*jN-l|6<9d=igp`cEDoLhk zToMr0fk|>mgi9L5I5NCj zFES*_^kgqkg|R-ot$2OR(A++W>FGv;xHPmNRe@KPFMob!C1>qN2Njeex-q#!&a;wCPd9SwQefwr zCFW7zbVokbpb-tcej zdw?XgH4);=0{Fi5dw|9Ad%(@~9x(G=wH#vmHaN1Oba=}aDcSBEP4iLB(QHfa2$Ojh zz2j}u*+|C%;!zOoOlMc=SY;@T@LRUS;v*f406Xmue#nX&N%FPng4_HpU-GnE%N8lw z?)3X@n(9D@-VrHYEEx2bWAu)FnzIHE$fhpKQkkBaaL^%lQ3} z?MBu)pFe+~^!rKTIreYZGBsIJ(jWS3`KBejUB@xQU6`XZ;k`K+krXzu)HbJ1?qjhn z^htppPy(mstMmJ``6^F||Bl7q__2@wCq@{3VzB*&BsX;?Hz!?D<-MNzC+A!Tsej~2 zD4A}UImw-GK}OdcTJDSIW{0SMa!MS@`s=BGa!MQnK9S&-Z2Zxhn68S)JfV0KgD`UwjB@Q@-dXLC=W1vT~rj(xS!huC(qMLj|%y?(XX%?<0 zDILKlESuW6Xx-H9!D_ENbpAKox%i~6S~h33F{@44tqj>fAUsdJV(6Om@JJe5+}cpf zS{^B?5u2!rhw7}9W8F`bbIV>_IyVhHVR=Dq-7JMl)YjMi>mT~b?~00{X5Bmx_8c6T z{8_$I)K-fgp?Y+|$-VDEkP7YBVqB;meZ^?$9D|x}mP-9}1SF?Lj!-@Nio2n%a;irc z9BeA5dUV0t^pvZTs9kX3F-sb%c;7DE!zmhSM?Se;^1sd3)#A`lzVQA%Ey9Wpw|#qs zZ(|)_+5g5jeV-^b0;?i1oc*FBuquXBE{P(oV(>UlqQ&6VP%Vd5?GeunLbZTuW;%zU zu9a0*?QkCU`q6D_72rzX25XynMRgQO5B-3IT6QF9=Zho;ODkp?2k%@_dXfSE!VGR2 zMkH#CHPFv5R<_K6i}l?78E|=DE{APo6t|y!CT85a_it?6nM= z?S(KFhTpHeW#QY!OC*&7ttPVS;I7V`Lx8yf zb)bD%Y^p#X0#%?V+tm-2e@>-5E)Ir**2*TnMHK9u!1wVjFk8NfFpz$-zwmdVRT-iubAd6b*B}}d+pKSX{S~K z2Cy?iCkJ_l-4@q?H*9}E#MK-+2YJUO=Ju%I8e^1ln1y6-P1{Oju%el|+?lk_<#G-y zB98?3)I}cUAaB1WaStWeK2g#Zxi@Od=v=su;te|`iz(UsEa7opF=y`lcotK$`Q=}| z{u$G8uw=--;v^TIyl-PbPv+atxG3lmfXmP?{i1wW0?Hl{r^?~6`{amnqHKzT|o zPa5o`qbJR?IAZpxVh>S+t9hWOzXkA^9EDfj0{q*JhC`VP_7F@i_OY1}RsMBaqlHHc z{`b0A!N2F^k;H-=2U+6P&y9RoXWpkDqphm z=&3K0qL7Op5AkrbPYyt*BA=@E)y8*Yy$mIB+U_qi)QTqF!7)_ri#>^5L(^-_t@p=MKR2#Xg9R=U2~lzx^A4)&VnMCff=O=-u~ znQ#|=mD}udChC%TD2E%A!#`4>qWS0ud=#($(4-pZdJ_zHz*`js7jF7^EIhysiWL6n&uI>p+D7K{PbA8Gx#|jV5C0 z;@8<2^@=&~QK~olt%8$s(^U!&pTL+rrtyJyLPvEQBBki?38ZEibs;yu-Ww}s#8N3Z zd?FgddPbJyya$NZEt`QXdVAGX-s6O{kwC4GbLmbO$$1aX5!5IWIIm6@SI&E!j$3fY zGd+WHczxlc5ud2bSGu^ZC(%jZd)ep$$$M9p?O!O*xww5^?O{rBJF?5#@TEx%A^$=c zUDC-KRr_WYY`hxl;Q!ehf9)^*t)xjYxoY{8*TIbq3#0^?MD!HO8waGOnDRLmZZviO zT#(3VWHTWMf022dEGU{()<^KR-4c%q_Yci0iZZn|kiHX5YJxF_{YXaQdPUKsz9O`l zAjc1z4Ki7^plDJP1jUHaOrUs3y_e5XG^ww++Jce^DhlatfeB?n(WJiOhI2Qeo53zG z%=cMPHK|K(5z&g|VJTBPDoN3#E{SO!k~cg8?WXY7_<__nGD!?`XRetT$Q_UX_4Np> z+3(ICka{^!tSLj~tD{%9=eXurci;l|OO%A0HS_&}-C|_GYhNOT*GN`3XbJGI{G1pL zO^qK%AJzE9OZ5z2!RqGho@cmw%C+iYPw%Q#`CTr+n!>l@Z7$tLQZ7EJbl>n%UHV|~ zf~!M5M(l6tJx^};IM6b5G#qGTb5~V4P7}f5K(ntk*FS+I9~m4^dmZ&VP!ZH~*v}mz zih66VU$UNjPM<8(mpNjfB0h(A1NG{BIr{Us1AQNxcpz^8aMHIhQWn$tY$wd7V?`_4Sc99+bxm$I}0V)?6KBCnS$!Ig= zB0K&QBOqJ%GrPz!`Vp>TT^o4vZMBQ+_)p9wY7bqx$OZq&1Y>iK*+mZgC%SH0!OBHO zZAtN;Ai3`pI>2IL5pkFp9QP#i_c%Z^X*SR^R5Rkzwkvb$%tTS-oK}#oIQKqBgLfl6b?qUMpoGM zi1c2sv1_f-%vkW?+FP_*PPs*^B`x|_{PK@{->;k#s)3s*d)Wh_TI=FhvB0AZ)#^yV zEV>6lr_}+@1cQr9g=!e#EP)L|HA(eF5aM7TJN5xQ4gv;2x33TqRgzVxw%)KehQSiZ zJ)57r3f0JlBrz(%ux)r;hiYgDmMcf7hS0mdjgrmqpbjvnt%hm`BBL6~DpW(BPp=4A zl+JnPPz}wjd;OKbI$Vd8qn^i|hiVctsq5mC7}fjf>p+PBD?X_-LbY=04-dKd5}{f; z2EK}2-?xpMTsNY~Aw+66;3)H+g@2KhPsx~G(%t2Y_fp?|vc{7neFSR0-gcu_P5 zUehhK|9r9ny>T5-z&b{jrH?kas^dDRx*IEf6skKJ3x0iESE%kV zLa_s5%W+*$-SyN+a$Gl9(a3RKp}Ir2d8bB_<2vX;rMf!+m)8C~bzE1d?m%-}$6&A* zx%3fKcYOqQb?L*Y?!XDqf$!zJ6I6F-d1xbAwF2sqfiA2;FUZQZ8xXn`wHUQvR<|h8Q0-eW~|H^CCzBIprS{Wq>Y@r6ji9R&N}DLCC)fkbA_LMQ>k4!pImPzj+j zaKWS24p`1!TH|mC(qS)x=%a!^3c)4FK13IUARR&%Jgq@8M!_Ax!K#QtkgD1RF{6Uu zV4Nd*2^5+ff>hNmcmjI}3goEjVp4`C%tDZ=+6Cdgl9h+BcMyFv=SWpu1ox<=s~{WR zsMumfQPnO906ok7Tykw)-AR(F+9k`(O4u}0|1NoB6&Sk7^`9!so?1ksW>gL=WA>qK z2?NKcD$1%Fk<}PKH;c;V6d7ImsQWh>uP3WE(~F%~G?Ab#YA9;6qv#+dmGhlbds;`T z0v+dcPL*Aohd~nTvZ=CZx2SdS=TzBcQf2pk?2~`(v%~T#pwm$e4(|+dPZY-0wAfc> zd0ns!lEUN%s~3eJEw2kA?l4d*a9wIALy(r&1q(h5Ih)QLW?EhsjNvT+*0wC5w7f1D zETA}BHTrRAT3#0{y?f*fXa#9`iy%6iV4?|qIUx&2%Nr|-t1m|T%Ot&xk$l9TOe zvY$ivS2b6IB`Pzc?aUMmqcAgS>6|#?6~3EdE2qeW9X4a?75tv?VZB&Y1$il1WeBXq zf>FT-Gd3WvQSv8-wyK~*pz6St?PPtLJQN|dRR^?`$WsS#Q!-bGK{~!v05HCv79DM!SkkFICc80ZZ@X7E!xnfN`yqB2A#2DHl{(o(_@}1W4c5$E)CidC6ji=Y)lQENd7zr zbHt&uF|}l;;7B{ZMrBNos7y%+eK?#thFmg^{!1dkO5h*Pat91x^OK9NERxFU2}$r7 zX&y07Q`BgYgf`cs9~n(&Q*O=DE_p!GKtSO*O-#?sl9P?T8stEy=yp#YF>LkJBx3Ob z?8Dtg)z^9fJt1{#)Wt|Aqbf~w{*m@@Co6to9H4j| z;YAP!SP@1l{5l<Hl+Zf8J+ zL^_7Nh~5C;9vMz%!Hi6a-hczp8jT>8h97!YdIQ!Z%d1zEn8^+e9tsDXFp{qYMbY>< zmfiq>E?NkS)Fgey0GzCrZR>>8Buy~zCgkgB4y`pwKQ<`z4a0I0S1bQMT9Y(MOYn0} z=3a)$R+3VaG|7Fzn;<#f!G_d#$MGinwM?vzHAn3}Lt?eZr0U393C0hW8Hc16s+t^m z`vP7!R8|_XxLGW(UX96uyjKseldl*`A@3ZecIbx{R^)-BMZ)O!ed4i3m;lqW4Z`*{ z8By3tbCOzi{LFB~(!;$djJqsqw~wM`1oS2^j+jOOw-!w|8_r~{pKf(CTyl*#T1!a# zPV0+i2}}~1A2#y9wZvTB7H_5)dz0L4K&Ppa_}Cm>eHLHyut!aZYc7{Y0&j0JLImZa zF-cUL2)l;=y&{^tz9RKwO!BnCtYVQ|V$?z_nI7;(&|kv6ZaZ&uTB9G`Cr0QkXpWxm z(N5I`UPQ3(*VjJ%r4fFc@>q{E+vKNPo?PtWFAYCFjG|AUX!X)Se(U&k<-|VFspfOaBE_svE$C15Z(Z=CTf=aF)p9)&NDhZ`bbkz>o-voWU z^jfh`j(q87^UZ^H4^MV!d?#;U<^Jjf&~*IT{RJxxGqJHhXa%bz;0O(%IK<&Tx2%9l zN1uMbmeo($_0sV{+){-P!p)cB71@phf%Wc`Re*X9aAMiWjh@o=ZhqF z`Tamg52l%P!OA8RzrSq9{`kT2{61|~c!n-b%`K@6y9;*RTyW)yqf2i^{$IV`X$&je^|@!$ zu7XULOk_!A)v3sLKY#Ty-0G)al$sH?0{lLd!Ia%fas@BWB!R~RFBjiCFBjmec0?z<}~{NS%V^WG4g^B;cw$A9NOLyGeE~G0uawWR%4&ix!wP?x z#?uHkSQEk*UBc*mcMeOi8>&OO?c7Ge zWca0HjwAeC9(}npXpsMyqmG(J2bowJUCQFi=rc{Yc#D{`5ATQ%dHe`jBArHYLlA9sG9$^FMGom|H)9z8vD6w z_MA?nlSVixBAwJjHIYK@Cy-2G*a_TZ57k79=D@|1s3zK2vPvgypn0S+1rmok?5i7{ zPN=q+;7YKaIoeMyXd-Y0qsUdhE^1I7gCMhIj00jxj9n|IQ1UxGr3dNE_2)tkWWaS-vkHA zCqyy(ilA{9XB2>e&Hyk`%qF-)x!eRn8!0|>5V@&hHp!q!gSJ(lIZ5W;qcT(+gm>rC zXRE3i@99x>wHD`86b{vr{}&o4^w>iioUI2y_OR%&D_4-Z=5>k1X3@?;xn7@4{2L{P za_;lEY~+=bvLava*G%g zRK2oybc<5<%X44XoM|_A#vP3ISwBKS7ppv&e`Id5S4P5MrYXq}V9%L@f zMtOj^bTnjeyI9Ol`H(H4ejdc5yN&d}X7qZyy7ZtvAZ(0d&R6umVfgw!Q3}S;AK#wX zV7bVJB?NSUr>DY*#)458LE>yhCF=T@Z~TN)y-Dddla<1(AR! z4<-nb@z$%mY+oS_PBr6#z`&BkxxYu1PmT-10nudee!I7sII$wtj0;+jz-Cr3!HYf$ zL8=)SG=c&O?f`~hzB~l!`xim52}lNhzd_Qz5ftCwCHL#2=C(l?V~E{YQeH%tH2xR1 zy>5&nAW0JAQzTEAuAvRGq;suLQye>&B)|ws8D7w`(?Klxa+lm~*2Ex7AFr_FrNa$D3uy9Fy$lJ*bh^$W>P1p^T$dxdO{ z>u3MloInzu+=n|e4_uIW;LU<)LW-7^sSQR3V*5sk8+)L`MeI#^&#}t%K9!5N5>2~B ztpS|-axc@D`@O&T4}aHZM67~W4*j%V+0iW^S};RESkf@4MaEEqmsbRrF!^*MT#(iY z<`n27R8O?%9)fftTre1fNP!K@msg|{;etDd*6vVR)@nqoNGGBQ?#Rjw3yEx}S+fwN z6XAkj#XgG32O`SqFfKS6g3w-sacGOl@=XzO+fx1^jLc;WT&x$L@e z(;{c;;pJdLOpYh$a3^3HT$aj#M?6r?Bi1@oiXZswB!|5nD1&&X0^%vkHto+RCGFqZ zV1z>zkgRRok?piU&bMm+MaBG_Zd^K+X*KzABK&}n$yl-p@quL9?Abimf=yUn!jEao zx7wHC$1i-{Z}^H=Ky#q2p1p+#KQN|5I|uyr)$qf%0KW0k!+}FYYZa3<$KkNV2nEI| zOPx!bby3zFfb1ie&qD);Tq~`+(i~IXZ`Cfwwa6XpAKZQJFK|v-In+m^Il8HfS#!*q z<9&iY?Ite1^HV_8L0=XF2&ktIKh7Zt&8NnFSlShz~|0Gxa3N~j>66G>c{;PHse zg=IL5F+T()abbes%urwheF_E9g`gxZ{LtEL%%WxC_0q2l=x4hzRYg`-rb2WS3S#Xd zD2WSO5fC;4{g?`IVv`V*#DyOk+_n-%WD8{`Q49q zytoJhUtd&4&$W)YO|#&6P_8ylmLepalcR&vMeZayY7ghR-pL&mn&?1XIfC69KFb4C zWdgc|Chl9%?^bgv`woKOA2X_sX}75L@aFjXGV%52f8W3OtzR8U`hMi(33QGC(xJ6* zeG(ja0O{1$Im9xic_AI#cEd_>M}bPH1#*N7)BZ3hv?F;%+B#oxx27$G;9&*&k4BJQ zauL+{n&qWar&W=*t_V8Q@ew%qwsBQzfqsrY^yL7e$QEcQ038$DEkU76GmEC#PXC_* zbWC!OZa%TINSAkKUr9a4BmsU`N=|^Z6^&Lh-yU2DSWLL)zS}3Adr1*(FL7JACg7Ou9nZa_C?pj!c}%2ikDl+)5dd5% z%mB8zt*_{2PDb$p)Pgt2O?5pSq&Y%0tipXjrN%LlO_jc5T6wnuJuV3fm^opB&e#zm z5Mjtl6;GtOtBeW2kyVC8wj;-&qYq<$P86#$CL_!ponB1WGJI=rHQMBi{q)Z5fx=G5!G|}voJihE8({9o1luWP7oC8q$)8G4N z|NYMyYv%}GR|veiL~HrtT&y$hU}DJY$k9? zFpr=e=yYjhrv&5Re9q^((^HX6-VT;oyWMtR$7+mYc1l2(jccG~hC|f)1=%UVR5`}} zFw7?~9W*OeP6;M=>XaAEj1%NQ{vj2@W51+1WAw2A)o$0U*g=+K$TkXbPzS~y$8>?SKuGACA-E#IX-b&F|-XAsb%tV;Ye+1b~H|*_S59g7M|rnDRB z_$)17-=`z@m9M-M(HiE7U}{gczm~tQ2aY zTk09bTr1S2PAWi?SVyO2g$gjz5m5;hDtM5aLpKU_XuMYyz@)BwWf{J-U@7ONLlYd`qevAJm;5*wkK+>-Fn{hgA@T z&D0%2zNI{IEAcPo)G$By9U=aW5<~T7BeeAQ5H#1o2H=TsAYElRlf$sUoFSS+NTTPb zV~o=So*9=g8l!#6(i6S_{}x&*`OaW6T$>pYe3GAMFfM^(ynV4H#Bs@BTw0?6zB#%^ zKc?b=`H7a&K$NdtmA^~EVbKi1hP8wG-EJ6{K;NcL10kG^WO~NIxFm#TcozZu z=$vO#L5pB<0@Hf?dusG)=b2cX*xs6tKJC2$MNxiluxYTv@}_{}D7`n>>UnSQum0Zf zr;bwYouzvO4aN8)DZuH*Fq)XvZ%%n?;ZDyXINtgCZ6p^>} zyuTrO9~OAr(;uSuspKkGa%gp?E~c!W<>*Q7|E)KC`A-BMPaCNu=hSE87 zmgg`cNp}7039T?XKXyG;Iq5MJUmJP@#doKnx1bi|{26*zbbRWQ#RbcDST@Ptq)qvG zB6PmtfGT@aLMK(_Z>Nc&uFGvkwKkPq3a^>(Lk)I|E~fwbH$U;uygwKW6bXI zN z&Mvt>Ne%+ZmzDDp*jF$JRTq;bLPgT%4kXE{iwW+$Au4M6REKg%yZjh3H@4?+%z-|^&;D$PoR#V4nsXb zn~u~d>#j;>0&~d^@$Fga4VlSGy))B!PG(Y?-QsezSRU#TqZjZR6oSsmU+dRj)Or3U zovR*a9Mj!#8kqJOxkYoy@X?eEpZ<>ZKX^}=X{BKF47YPNg6@(%vPhW$@XBORrm19p z$pwMAVS$gsch;S8K6H4BppvdrkXf#JMP>k9@U#M9zO0A=e#}_qL(@#V;0|0!g4soe z%fb!&3Ko!N+6Aq^$4cN9oN@JvG}A@U0~jpanRY}o?SeZHvddK;K~CD9qnPO;DPULp zKG;F(n)zzPOuHmNK~~sAevP@ukenQsh@~qgum6KvMzwAwgXX9nQm?6bes$IL=HTQ! z>-}hg6ML9PRC^~lnXT{LS>I{5XtutO#`^x-pZ(4M$-U^9(Q=YoUn?#>5h&vA8iaPa zz;Ydm7|5Qs(kkf&E!m)Ay;OU?M@wy621@le@UJ?Gc!Lpm&7o8I4Ip85@uIAS`SzXh zAgTKH#0gQiRP>H0;kE$gTwgva=$2R^)~xEQ&h_OTN^WBK;hc1k&Q*PR5A?KRfcBiI zS1(xscJR9x1L|IBIdYY*MiFDc3v!Y~{DO(4T{G3*a2vOZl0xF4gFuq#$8R`iChB<} zR}!LEN6+_XzXXy>jt=jrz2gmvc*${t{5iWAEzP{y!?DA&qdwdgo>X;3=XoCLH*{)w z7eNu5PZHVDzNlV>P{^|nQ>4KJtI~&%mVdZ9c;*$~^c{_!)NsbMNnkQU2DWBkoL~d? zLBxMnNd1~d5rYvnX#sE^B42^bnWXhWEP`|hhR>XzFz|}LXLKGLM%Z_K)xYvl-w=VC zc0;?V@ZRVkbXYD8!xBx@R7NfsgbpaWXhau+G!-srGq0%Mz|;V30N{3^h@dJeg6r){ z;0l04Sy&Z7)my>A(O>wsK;K8L`G-&K$|CC9hxNd?G_4HxP zN!9Z#z@tg3+EY7+b&qc!#J2ooVum--@ zbZq3ivmfl($hQX_9VFO92zGwQhMLw4lk9>dGemMzU?ViDYHMFJ$=!M2(RtmVk_bqp zRe5ovv?_DlUqg}7*ZkcdTmEclU(IlOq~!G#6sWY39%Irv1t`AXE(nY|d8r7QUSlRt zF2pF*&IN(hz#wZD$q=@*btP(F7ep&NSf;24mMep?*;htG;!OIql32bB)%PbVaqnv0?K^+N*|r`Qjq=_FFhhptLm;45J8#d&jU zBo*u5rz&Zc*%^So8wXBYw?s;Q^y3MmJ7i5po$Z?W?tnFnAbHNP>yG3T@7LEvc2HA# zh)yNvSzS@SKPX6Zcr%N1OPTszN#>d(8YA&5isVKTt|2)Z(Os|6^&3l*jnA-Caj(+_ z2!2`#Pfdf536b#3{%IPUZjK4Biwh&@Ya%>PviM)&gRQ8>71fe*WZHHSD3}gx1={Q! zRGyB?2-pmha_2O}u`@p7wb`IoajWaxqIAN1fI=CwQy;HgK}9lWSD_+DI`tbAkp4WO z+hs#KX&_-W0Wjum>sk) zR7=jSXTL6n*@2V170hm36jRQjWNF>=>~)u73MpCILswpRDW)7ZqN(!5WUo6FQ${FR zN-<@Ok_At!7Ae5rihN%ELsrEUXmd6Ivh>hxPr9arjy0u9*Q+KOCNOo;*wrtj5NtWgUQp8Ld6dS(f{ zf&qU&mdMV(=wp7B|0{;TKI9^YF5Fj0QDJmL*@y04eFc>;2z{S;R&ybxG7>W#%L`Y} zKLgrHVST%L7G&i$=(C^a?ZciUZdx^~HKsF1++{f8e%mL0&p-Q21fqH~JP@^8Q=>cD z=G|=arl$c5N8AXyqvCC8O=EUQPQmEb#bRWKBpBU#uo&4P2|_o7&8;t#(}2O}b|TQC zaWkan%Ww<9=Z2=Z_J?d766bTni1gYhqgkR#1Hxlb=K)BJ_7|$@SiGGCfQ-f^7&Y{2 zUN4v26Qin&=Dz3AuIH-fx$bzb`<-vq?d-vxGr;=x%*OIo2D9e`pMz{Hi1w0q3q%CC zZuS9?fJ2Kw^);0C`=)>4zyIKQ_AieylHGM-59DD+vY(gGo004Yl1^!OYSj?jA1A#g_^?f>jN~QfSm)6|9zOQd z|N4KF_${=luhZ_0PALH)166HBx9B})tYcfCWELi4@IJxJXe})SncXXbWeny9DRwbf zAxQVf1&!x{Y!s+yHG;IhE(l_6pfP05BF}+FHCCkcErJAFnxJrYXF*!uB6t#0$&PE} z`I{AKeO>TWhF0zXqHF}k`nqHctwg__8g%=rZTH@lVUUO)wLIsNldZ4X&)~P{+Fq4- zM4$sV?EY5{-~5k%=YN~8Wm8YGzk%Bga0*6d!}~ZGUVB(aw0ft7Y-+V>+VZW@V72M* zzxkIw>+^!pM;GcSJP<-kNddGBRz~-?&xYMdLn!+0wI7xWZ@Ft<{Yv2#!Ohz6*s)?( zcu|&u*3kea1hc|BZdhx9&yOKl*4!I{S>X|dErj`KbP@L6>lEH@OTr(ncsMlL`*u1< z-r$GVRL1?)=ilb_&tLU?Ez*~g>C-Z1v&=Fln#0}Cy>F-O=W~c z1)x#w#mMvInRrX5kf?TtEJ*$P)CuGj`bT62<61jRqKe3zQDyG_bj`yvM92xV=~Zq-%RzTgBV=?HWsnzP%!@T`3Y3xZku4i*F} z?K@ZzthH|$@zhrm%-VOjB$)4x0i>w=drE@YIL3&l+Pc^X^ zf>cBC~m^6|kO@*&{IB_BoOy6z^7^pb^NiyFZ0An$%kVjvF zm9vsOPX(7uMET&lSN`^r+`qcYnx0MV$$QL$cP*c7SFt_2sRArl0EPyY7#=NJpK62B`vtcMRCnuo}J{J+NMQf*ddZ=}^9 z?i`Ep;HuktgyZ3RU)29Li_4~e=YRWT;@>Eh=p|e^`Ck}eiCpxDT%ZFDC_*N|)*JEO z^DnNEi%FI_aDeq#Q(fFdja*D}w?ZeAm=59ZuOCST9{QRq47`#1jrIz40n(c3>0JO_ zgTg1XLDF8_teGC)#R0_r`e>|3mDxz9=XYT-4xhHANjJ6ZF!`>~&6;vo`sK}+UAOBmCF;MWDXAB%VYb_t36hH!3ojHfj)@WsGazy%axiR=a z2vS@5iX3eS9{_?t1*pRrf^-2~5Fs|*3bGj>%OK7|2vUE!;OU6sp86kanU{MVS{bAW zEbU=Ma4)ooYN{|_agX+U0VHtc_8h5mT+n($n65r`h!n&{b4j>9Bs^tHKPF~2S0gT( zOX3G&X%CX{)!MVHND2<1JHYio@~{K*9o;EggcvNkXujrYZE*t%i^~q3LB2i4j*2T< z9Y#nBz@Z?t3&?<2h~Y;cb*cUj2^D!H?eAuiC<{Vzc z4d)(BTb>pvk5!^#|AT+^kG$a*gQv5<=`UCaQ0!}g6B6Ay8Si$>aiLI}8;nAQlF5}J zaZ61wFdGLbrbbX=J700VXPOl&u9q@UA+IQostG2{2HeADMe#`e&;_%B)M$HX$xZm7 z1GBO2pg_c#^h0lv*cO`t++2I;s%nTsE572eM>@7S$*O7?_=-KuMJt)BhO~J2%>{!Z zY&!WDsxTXSH1%r}%x=G;v8W{T?EyoN6G|iat|Uj*b;P_mp4MnuwW%TE`od`y=uI1E zWgf?HJsnEDFOb${y(=)u?me?(wYswKL(qUWCZpM;Xv)v@B#mdl#(9ys6qzGXRAvef z*l70dvIB6Q>Tq{c9SqVoVjWxaHTV5rrtkm%_?c&Z`^QIYr|?oQPZ-z~wM+0;(#pWZ zS=Aw6*K`3~@VG_S3=Q@uG<=Ui;UWl@Hw|54MY;fGMW9`@DtbKP92mKTAYA|#45y-} zbA>K|3tG!6E@lK8emHFuY>w_)%WKD>=>qtQ2aJ7^_y#zhCB+3Ol02wM!Uw9aTVgwx+{wfz#C8`%u&c>jS+uoIconzXBJt39+aAJb7wcKk zx{2N7SNXrjm|j#yPrdG(G4s6YG1D_k_v66QZO`(E4PaU=hcJ^T!%kbiH8G5kzVjQu z_UqmzOjO~WhOgds0A}@A=C}eo(&;J8 z>T$OrqD8dCN!UTMj>_s0!7suC2tt|JB)K?8Mo4~+Ncym+kb-N=x`kj?kC+Ze6%N52 zlJ~7DL$--~>b9Iu zd@Y}*$mr6wI`wQ+`*&tVxhyNneO6cT;NI0F*{T3jbC!9MiaOF?!VzuVr%r$QTR!~* zsYyuR=B~GS>CS6H4xv}zmiFk~TAi-QDMC#?qE12js0zBLMf)0c+SQU-ogTL`K?Yh9 z_gjk?*QireO%$+${C_K0d7C>-xlwgWF6CDBWp#R7ZNmkB3VyikpF+;N)N zqqAnEPB&{JAE|h?Gb>vhX%g}^FWL89be(F;#&uO~)~9$?9L&Tw)sap}#S_rQJiT<) zpL)8j_U&|MA`x%-)}27AlwwP zTCL-1WNu%XGwXsFG`tsxILeeVm@yY0seN4#z1Va;k<8w0P)VSx7AxjDF2L!;EK0%G z=2(RwwQpGwYFyMbrfC^4E(CKO_XH56P~U#PwAs*Ve$E2|BIkH0OlS9M(Uu&Nu+Dsp=pE3$c@IW;oC zoM!;<^Lb8odsVMSbyl~V{6V9dTenyD$=QEV#i~nRDfc(50na{l<@ETzv-W))w6DF5 zk4pPaTfWct{miHT`M)y(MU%8|s|srEi&&W|a1=!|Rr?Mq)vSHfOpp;2O_>P-M0l-P zo_t%@zB}N1#HHomR#|23YvYsH9g6nPN>>5L!c>CYANZ>r8bY0lR-HlZi`*>;j6{+@ zY1V(nuv*qcf4t&Y06Og8ZK}Hi7A4XB81>P?4^`TiRb=s}k0>K|kU7~pf;^rLue>5) zo~!e`v7SyEwwtApFSC~#$}sKMf}I2E++UqleQqcku5SO}0O^}M%W-;*uJ-h=>+Bgt z^Hz=$sc^p|qdywl^Ngb5YrA}E$sF+y|M2I1<5i$&)cwCUsj)+Dw~d=~*c^0n8@6Cl z9a%up$q|F6Ns=1Nje!|Muu5u_Tm?skH!J2GanQ-3ouo~_=A_2H(8*y)t#)U`p>tAW zU+CnZv(%i0V3i{-baER&FPn3$k{UrLhnair)l?<4NGt3Q7A5$>Z>KP+UW#&5xAj#_ zC+Awx|3W*?baJm^I=LCUa(CDj(|HP-gaoq44b_K*G=Cr#N zXlM-!(&WGig#(5cyyOxvpj>H*omjClyjx`8>9M0)Y0Z`)m<_L`(vT`&GiP2Ox-z^- z(I5g5!A`k18(vJSx2orwtd8}H+3@ao5xOGVtZKo^@CMEVox-(=o@`DVt6AehOEA!| z34~u?cBiQSrv|vc3R;2<`w+F$X3^f2`(*TJbv?9=FOnr)FIKtT524=*!7Ij3;s<2A zpF(t_T@Dq$RqoG&LxTp*yaS&b+%n#)?Hab4+X*&#TvRg&F?C_Mp;J8h*k5NDMt>l2 zmsmee7y{MtWjpPU^JN~B&hcV%@2zMkJ*z)dvYoM<{yc#K#lS)o@$RY~I$P4&l0N_L zXRV#j+5&0JrEjymXTqR0^Ti8uVYpZc^IN2v*~>nlU-%o9^#nK4ABRrbp(Lr@z{ zML}Uso=_{5AqX1pZ>*SeUy+-{RL1tu)PTNX>F`73X_UjUVy+BDhu_ZY*dds6lNc#% z#TlSr+mvI@O-5~IyRo`_tk~oxtv1tl*;Qqz)Mnt3jO8X#5t8iSmNXr-G9o-^z6od~zKW4jMJxs4opW!op@V(#nrZb}Nv9vegafIlNro91@ z@U(ZE|E;3$jqz16`felJ9DSoH11x$Bs(Z58RrGy8uxx^zY<7;mjpqm;Ibh)0WPgsn z4{Jd5XgBv85CslK-{>9Hqyv)KwdCzAEbUQ=e$~2-C9{)d?(3lsTNj_)`ugAaCO-Lh z{x`mfPkMrN`0dVg4xXj^qF!Ah9#^@M=IsC@>QNnXbWrl8>CS1+occJ73 zt563Mn!|5U`|8|KF!l^Xg94a|G-W4LuEv2Db{{mrc0y&s;_d427v%-Hp2G&CF$Ti# zLhr-izq4j@dyL)(lst9#ePffa_Vcp9OxkN%*M~OiK^*>LTSlVe#@+vhX^&6-o&Sw* z`aV%A1=MXaUQ*hq13{Rqybg?4&gFwAMx6}TT^iTUEZGH|(Vp~(-i(9DlK$(-?c(C%JVsQw{92N}b zaQmg_U$}Z8j)#)Ly(WBwIo!T_#{(qnBg=kI()aEj)?ZC=F%!Hv@t{g3_Xzxg|U zI%fDOA+@LIjh>pi51p&<5s-!zI-tzPPamNOYOBTii5(gwXam7(MJmmwmWDEOL5%Dq z2_MwYX=6S@2+~JzK~%QaXf_iz{-s*<5TwR%LA1Fc)P?CstC{ghg&ej&E5`oN9Y<%~{=KC`J78#rA1&}PW*Cf7Y9 z&CYWTq#z!aUZCW~G~dT29EbCB-S#Y>o9mt$TuxiQ&C{|j`SJ(8|IhuvD0x1Hec}xy zFkpl{AHFvtC}^HB@_e*miHaH{&j+|)+kp3|OKMgwsL!eNZ0iZkai(HMq7U<}G(HGH z@voFj9cp%kWF-aBvaH<*AxNd?D?&Sx(i2(B?RKY8o(oRbJD{&mJZ%>s&sSvvzVk+% zkSE`YXZ(0>`OGz+|Ls#~@o$t$fs~%(4EXqrlIQy<#VO?Ze1Kq) zM#=L%Yz1J;3f6ubjhWTYbH6@llgu8378-;nNr?!IkRRp{(W)f0$#=_OG)dBEmo@Ri z7@lk+l#L-d{UN$IdA^#&?n6YLe6PUX)k8Fs=ZCdns0Ua<#HF`uB3aMm`H!AFUsS@b zkmuXxTi(1C9G@zTd-t(LrhVB2mp(VqcH*0$)`!X{D7=|Vf9BGE?zt&t6|1Ib4n(Ug zAMRZGpY^5R^r5egR6h#J2roU1*Da*-5J|!;W8BmFB+dJotCwNC3mWM@1i{KeZ!rss zOJFR;;p7~;g5nXFU<_(qf#IN8Q9N1~MBppV^byndG?uorf`26*tsfdrGWu50sfiB|cP082#X>K^1Th;`%Si5GvJ65~Em7{mJBbjdxdX-6hhVulk8N-9> z==uKamj|R_L~<>_{z|gCLAcQp#K5uaFj#BK+kem13a7{469Wh8Uuo0}REOV)&ve7c z`6E+d@Vy&RN9vM&^bg_h$0wEUOKOBnd{SwUKih{UX1T(kR{7@YkmHru-{K69D|+w; zGEONuOi1Jp7ZL>E9y<`cR`m<4<@rjb3T`J4?Y85Qgl0L8&q_o!-bd*2Q~QBzr{m*% zbL9gbBOc{k`H&YrojH(Pjt4do%AB9xuy_32^u`_G#Af^fDCMTzqBcb| z;}0%_Kll&c{fB<}bAshmZ$|5sgs*{_w@mP7%Tt*>G_k?(z$w`tJ5w97)dPjx9)cY2 zz(J7pirMI;@eaq1Da$qwlYlw5N5&yw>3YTNl1EQQpFgqof#e#Qs?SM5D%@22aeN8q8)2k9-qWe+)&arWK5Px>z5NNSNCAq|$f7AJRez+|UD3 zFpmU250W6=BFWCnOIr;4O?ghIS&a@xe`?)g+VZVYV%_5V*U$Xa*MvgV%DF34#|(iK zNfXZqIE-K3zH0iZ(1OIe1ysv=-2oR-p_Ua_2wp^?qR3h!hMs&I6{-s+3a3>E`NezV zf?!!@A2C!kv{s8Hqe68-R6ni!3lMdCXev|}v`CZ`PM}VOPRor-RH!a!vjo^vgy}zx zpeWQLiK)nvZ9jk(s$H_`r`n^MtE^D4Oj=#BMevi?OnZohr1*RUM!UUmQK)`&pz<81 zwX_;gTC=)6TBss}6Q>{KOCMFes`mQgEdA!17AgJm)k(ECpnlsf=^4=_?ox`YxZUX6 zv?;Dos9%ZtG~K(q*E16hR8gJ`o}fFaZ~ZMkH|CnKJoW9w<@;3gPc7en`UfBRy4T@4 z3H8)Un6oumT?|#&y{f(a@@Rx~Q9%=5S2O4ETnP+ip>K~C4tb?RP<&kzJSl54YR~Xs z+nSu*JQqYhO_DMDE&AM>6~%0t;BJiyuoi$f22PDb%MJDwb?P=s%HVcZ^%#QU>zW`` zo-<41YN|t@r=NN+upXd#NU09>&AU9NjIu*-N$v3ZXjba6K<}R5bI2xQe zTnD8hgNR~azFvuj()ri99I@C*1i@O_{B!Buo=s%LtABmyev*4d@GE55Fi$+CH&^~oz&w=i_~5H{pUBm#^c9)=u= zFh_*^3Y=$|ca@!ItvC5OVgi|QuYz7eF>Ha#a?=J&H?ZHS>0_8VN!*2W zI`Z>`eUz4xJ95!y+j)1k)9&zWJ70d`i(Y#AouFd6%a)7J`h_2V z(+`C0R7a)_6`_$x%MI8yf-6nxM08b!irW+W9{OR*IaCCyfdB(iA^2>wSTTo+M=~3T z;Oc0c!XcPLMNE+<%u}LN>&*zk94hWMXf>3JS^9K~5X_+>hH`QYkc6|wQXGO32zc;` zi9*O-GWgs|BSj!gk#S2Pv?mTL4DJ-k!_qjOVo43AY)vpNA2|UY&m4l-0AG@HPL|kNdPI$NU&*elP_R zyR(-cr9#?dKc+Z5z|U9;!|y`N*SsMQ6fM=hLmiw90AhJD01#wnL#3>rd|UQo3J?kA zYLGDMxUp$R;9%@<5obRJ!;t|iqLl)jV{3b|AHz%3o(r60E0_&5<}a^5!V}N zv=E=l)VZco(BO*>NZF|21F_>EVH6m$%rPU&a`Rhe1C6$!HP?i~SuRgCzNj|P5IArk zHn3GkH2hR+jv7<-q|AFOUR>qFb@L(}KP|ka@VUWE08@Kx+8pSasl&Ut{f)5rw)i%AX(LQR z&%?G zS*%U~Ayt%p&cS?I)iTFhc6~yviU!uh{Ol@@(ECKLNnGHKr)cXsZWyC4TTbAITFJ74=+AUEP~cC_ydxt$@m zA0C59-}=`7;^#g!x-*qI*u8*4xeXR?*h8?KgR)$qD)yB%&KE%hQxXL2fK<`2Ap~h= zT=00<0DZs}x2TASg$+T5RW69>WIBWeft_%7WP){i0WNp|R>O=T2zr|p=>-%)9RbGq z5IbvLk3-W7a6w>Q!PE{f03{}&Y|n?L7vO@xNobY`l&%<1q!^6fbk z;^yVfqTY1$e1CR(j+2o!mxzBE_9n@EgTS+_G;ZLKldjlGGT$N0m0{pZ!upNi!9sG> z2ccme^FQ?a2m*Dx#t`ejodmmeiD44X-?iFOmru6P5_4jb(y zI@fWT8a7T_zAg7K5BIxY|Biq33FAnJiKDXyCt~HeB#IwB6R~osVsIi>4jXSYxf;VG z>u4~Cjr%RK1ro8Y$sbb-=CE;a7*`cG4o>5$!p6gzBcq{+*{-P;)tuj!X$1ur?Kwdd z+!-sLp^C6l!X!$31Fo&Ppb7~AAPX3EaCFp5gn}$cW=2)EDAtD!(~4@_h#7UetFv|I zHhS#QkXaKqU(2R0bSKaTud%j&=gC=b1>NT5CTCgHm^wgGHVBG4v9Ro?3~rQs-W*YK zDAUvL%|n?Uv)P){Zc%I8W&(uE5FmWozxUt%^iPaMlvc7r5Vix#T`Wt44OPstK@cE; zB77)K#fD%NvaEp=(1;R5JO%<(*t`5yS*fv!kB2#&8GkD9 zx5On0*x1A=;yI=$Mo^7Wz`7yhk3FI1Mj~?x_3l!r({9mh@E#3#?{|L1%YXCpV^E|v zoLMK}SMHOEf1`BD)AdAuv$=ca&7D2S=bF2z ziMMIXx2l?nw-0{$A6<{Cnc&gfz)z@NxF_G1)lBecV)Clys^S%{)J);gM4yj(>LFOE znZlz90+RY&SHIeJdm?x=cUzkOOlGpm{4(LAlKRn=E~4Ae|$0Mk64 z>bGQ5QDYBL1PI~ybR#v>su$m$c{E?mJerSQ&HS04`@nCy3RsxFN3A!5QX|Jaq5X4| zgNZd(3igbO&1%NVx5B~HVd2ec=72^%L6P>&l+E4@uvrx3Iii=bQH5E}43afvHFE?{ z1Fjn03>XAjRml8)(Qv1Y4$_7PCotq-K|-N5b1q7FB^76Dt`zvwl{^td z$v4$;s(-ErX6g6J94zW5Yo5?Y@<|<}a~lZrg8bYp+BxWTGO-^el2_G(#F|H5KMo_W z?cF%nubR^ccb7((c8glybk3V!CU5><{>;z);C~vU*R_b@NGvO9pnZJe2$MoF(8sAn z!|N*!&N`vtb-}2>_p~48!unwQAq{U?aUNj;;(%^nVZJ@L=_^zd{Q#wP`&7sS$i?IT zRz5ioRI$0ff2poNcnClgzxI6{9|ig*2qnJ&v&0{|)Z;#zzGhNFW0Vqd9=6iX6hH>J zZs{=}VUbGD`3Q>?JxqHez`3;EDuQHtBCSfrAR^g*mmK7q5+)Xx+^tU>U?HC-}>5o7+O`Ip7R7Ux|I&E5t3>lf}Yn~2-@bT!vP*Pu!G~~GCezR8` zBLFO&5lNtDOh@-7W26N-6l<7(8Irrrj+Hqu`e4p#=REU0Vt!IOIl#`=j5^T zf8kHZr~G|6*Z8{mL<#ue17ui4#vK!H2MB@yjZ3IJustD$3f>NqFJ&?$p!SHy4Tf(B zv^!)N-v;DMZ1(X98f1^E7|tP3@6YWhppkqj6OE8us$w{YK)pY=)BZT$Je(EWQD>1s z3C=K<(;@M3IomZEnbOa(m4aXVe%tM0%O0k3{insYYKVhCb^fxQ4!B=zGa1%)v)EQ- zSX;hp>4wR6+W)xNW-_epZn3Rkm|Kai(+P7s?T_=#_kVj>Y^wzER)X%dKeyBVe4b)c zAFomm)nBV@;@h3WICuB%4S&J+z8wOhfQ9*SJfeks6`xav_8QuM2ORt>q6s9OEzf-H%J zBeBbnSojfd&yMI1nI&fMF7W@JTF!asN05h3P)AWZ`UnH+DA|vCQlE9wZp#uI z)#28sYWkti)k!sf>%-#4s$RM%e=8QRfY{hfO}f}eDAe2Ns0pddcQCK-*914BRRlHYbW@jH~I zHgX?)v$Fgpg1JFmwaliDh38r>@)exo4@_ zZJplLqgbp|W2CeBDR2-=bk}yoV%#Ubx z*Fni~XzCmnJRpm#%ss$_wSwZL70IFTmQ`ov;C#!9kcX6L(zM?<;Iithyv>Bm{#-%x zuN$qtT-C@}b#zKLxg?yo4oNdS2NzSn9zE<$qBl9-eE>E+gnL#YddsKmsA;8DW{|Z z%68acb~omP=GjEjGGa51e^G`r^tGqA9ex0Q-55jPZjwWLCgiDojn$&K3;YNh<@U z($D>-pn}%c9*2Qgqt6q&ixct;^6XGA_@Mz2jklDzB^a5NEr^X>iTyei;9xV%s7f>Vz$#a-Pb;)7! z9EOuFiL4=qc5wJ7GBEAY>7%)1U|A0<WM451tHI*RE^qihm7Q0 zjc00Tm&2}?sx@oY>NCKb2rHf>`LEtFh+^;h51K}`2fuX>P4^qTAc*$2eU&}zP0)D7c zNC%{ukNpuBAufwJhwPvDK7c<0aLaKP(m{EDoNpBv0(o>!oF+kioR0oHp4GgMO+<02 z)S6ykgiYz&>8vtdk|{T>@?>+atr{d;O$KjU6k}opPQxL&jrh2RWYnCg?YM0)#0tmVE%};e`mbMjQ6;ZPWpr|eDLi*94?n; zbUj4&{O(|1Lr#NqA>LkDF zfp!5!GZew0dDc~;e0Tjo>+{uIpTAW+rR*B1;HZbCVg=<~VVvbVDn0uU=;-jB;!{3& zIivWx`9z7?=ejeW3%ThEe~T@6um#UXj|_3k*(9s>GF+2CbZvEGMBB9G`@F6n|98Ll z@BbU)B&*&FhM-a%6+5D#Z2es?yI3S44nuGA|5)N6mpsuYOkAn>5FvU%Ece)6gmh!r_G9#IJB zSlb$?1Qg}&tWYf!N&Nikn8r_3k*p4v7xVqOO?gqZrT4O2lL%D3+r-LQg*N(97k!Kq zfbOnZA`sd}YPp0d&*Nrq1>UL?fY9XWwMV#&Ul-sbNdysmRBtj{h}`{MDfYS9{O+2~ zO~;Mc-qtwI&E}VBHh;s{{hmMkm!rxEs4()b4w=_2WDI=-Jc@%Wwet3rIT$WzRCI*Q z?*SrY{x$^ZV7MT<*Ew39r7@=o4?!9*7u=nYTV}lrWw*|u>0r1ZG{;K2a}GLHA|INX z#s#e$$u=G*l8r;t!EiyVGE#7b!S?mVFl$`|F?~zP?RJ!D!+fx662f2;XvV>%?}&+6m%kPQgXBhdc;EA0QNu$ zzc@OawtQ;p)GUb0Y;aS*~caw%*qb5J%0=DNPzKxkn3}nhqFEjd#-(7hXo--B9jYgNMt>|(3t%zF_|BKM6DVh=- zI$ZQbQ%t250i(b;<64D-8 zS}?0ISyMU%(8#G`zQl{qU%m6~Pe1dn=n0k1k}2cLXuv!+8M#HXt$Q@1L7w~zU-9PG zjge^|wrgsASSHvqwIhM2P1r&dTesGFal+VSF%Ka~TUSuBYe&zGFq2@uBd04f*NK3* zS&_ERSKK4jiQp1~hpkzrI5cgY3!aYPFciTpvWd-#;?EoA7pzMgP2BDGSW~Vz-0C=+yGxt91mGRuN}7PMWd_in z{}DagNfypw-`$0MrlLk}(QFDIjVb*0KlI{9d_z0Yk7fCmJi#6uRJX z!&4(j3YXOaduR$>5KvVzFtB4`WmJT*B9qod&>L!wfS|T3R-jD`sL-+~85r6hvVt^W zv@>$_Jos{F>DV}U18KrhMH1A=ng~yl)!lIls$;1RCdqtzPH;Pr2uB(c@Gy;dlVo*& z)|h)CM_(;1W$u!r{(@Te3&+OAcd!ouFO`3|Iv13xd!goNAKsJF(^EW-YrP2%q9av2 zLz-^KM=u3H_!m-`YDC82_m!zTl6(7-5SxA-k^jmSmLd zSd$Z4E$h6ouM8bh1eJpjf~SMb(LH%vGX$v&To4He5UfHKVeUNUKne9T zg-1eg--b0gPznaRlXJGmiaAgU20Bp8se=%LRiG3Ubl{6upCd^!F=U&_@Hht@{&qsD z)SiSqt&z|n;#_qk>$_u}CkWHbHA+X%x2JTTAWTEBNZ-gMN5h}W!mB|KxQtj(HPF^; ztQ(o~!2ZQZ;$j+!%Ulie$LSG0l^Vyp0=JyI8U8oyd3^Hk{BL~I_lZ(nKOpyWwB!at zR*+yPf@{jKlLlA=AnbW;1OmhfoC|R_6&bDcw&erzVTxiM(UBRaLl(Mq+l5wGhZ+Fk624xW~t;!dvF1YH)=Fsg=Z4a8k?6N7$* zQIdep4a^;axn{FFo~Wor&`=p6Nd4>QI9OK_{p*qgg{4V)O_fWa0YxQH2j~~kp{Cno zbeOWch;pz}S;ujJ*C`xVP5gUPnb?o346Cr2&}9tUNXwmcR;^Kc30=;IKiD%ohcb^Z z{9)QHnnRgKQ}g))zxype@V<|F-Rm$vD?Dk>Bf4J=HfhuySSC4j=M!dUnKLqkClVRB zUF`yE9?@4UP3L1o9eV)s5TSrcV6*L1A9BrScY@`UQ0C|b=kBwFVF3DQTs`L9aH6L6sFXw>BtghsY z8eKJx9Cj!MD@-IO9Of+@BW~gi4|Ui&`Q&R>CKvMz6^hXaS9?@B#d^NSrN_jy8O2gg z0aa&;{uaP^k|rIki+vMB(*wP*d^aAyzGwW#WQ)M% z6o{g)c|1w=fN_nJXN9EDySd~6yvL2f^C;H`)ya=bHznVu&UNkmmjQ1LgfYKUiK) z^BN`7pwn8*CcecqQ%7;#$F~?(>YHzQv&g;{h7ar<<)=kM zz8JS2+S#1Wu;j0>$#!s{^80$;SRgcLCZh&C0?i1_>lU0Z|H4SanD!P164I z-S&3@BbRQXNp%r8Jy?ZB=A@bFh6Bvshwf$^AXWr3SQ+FJiz<>xs6i?Lqb7nOAi01V zD;klK1OqChg32u>pkDg1&bH4q5YrlJc`?9XjdKN$< zZI&XZGrBFP$Hi$`!N`dXH1EDiXP_wTefc}MIaDDbSfw-2mH~hc(iztyaCF}$fl%Re z^kArSW|}n~P%$`|goiAtAE=*0#qsVki+ZJPXh!30*JR~ouQ9lTn=78vJr~E#idbjV z*)b%q#X5_R>JeMFhTh=q?l9zA&3@cPakyOaxZY~dF9BI<_LHP&9DUX%ee|H# zPlhld_?idQHuTZh905~qB-8VIVRI#cj0QD({a8*q9pyH|lSmIy@j1fPg%dE%1lsr; zY4!3DVd?%u#6R9)Dt=@n_yR<_84#&$p@qXT?l8fDsYa5+Av?>%>A5r?0E6*Fd0-?s zoKFtMvrTvy;!LS(fe!&+F%emD(}m$-sN?1?#l!oYlXvGH#&7wr-uoSYFB&=(J>XfM z0$oBO8qp@aNrr}^Q0*&NZ|Z0l>{bU5yHoJw`ZNUTVYncoSA_tBe8&=N{go^a#;OSGP$kLu#t#naY$nzav7uW?J*)URVnK@}*bF5f zgfy286G)Qz?i{vThv+%(AW7!i({X03*l%!v(AHMxS>K<7Cf5L{6FQ1YGT$JuYUm(k zP+bV_uad0p(BAyiZVxO#d-QyZkSpK}I^3dFA0j9z3%^Q$2I{!nZUxSeW=%<16v^Vd zUtd_+n=k)|5;B>EHW+nOV$w6};vDcwDPNvDTP_$n!!>iyFj>^d&aV-koOCqBR z#GCoIO(LTX#GiW>d5NNCVB3Hv@IaiVuHMAHP(kMx*X#|6nD=%kBFtO9EetWB{`i0U zBR~7!34E3=)SA27AJB#I1{}c+n}beFjY#Y_z<}236rs5qP@R&MQPh<-UBiS>^PM&u zV?>A*voZw_p0bZ~*n)EVgkaXC&}Q1BfgTwfArpex+#xxQ0bVps$o{q~R^|?xUc*49 zbbCguGIy{uf=fewsZphtp|^8EHsBTpbWN(~qQDT^sb({^j0}Np+vM{Qth33gD(_Gi zH)>Qic{2IGA({9aC82q*OcN|4I?2K`o-?P@6e(CK=A=!@fO*_tBRc(^x~-Xf+~SQQ4d$6Z=s*sW?-0sC&3`iAZXht~E|HM>CytT3s}{B`}sf#doE|<}E+uFMjjy zdi%RRY8p@K1(*StXi8znSa%R8BWAA?(^O)X!G5OOtU$#K1%V-?hHZ(gcuHX7f!>g@ z167cLk%MA>G{prsaZScyI`jQX4V9Q5dXF|fK^DO4H_pm9bS}Uk7o-t2h)tTAT$387 zyxr5;HD@Yp>TgZ+g#S0^pKZui&gW;cA|7PWeP>2K@gPPloS z=TguXhAnswdp3KaYz6404HCDntaRXl97v7~00fa9rUQEGr+3ONPMzjSY8K`p-9lGc}o0O)WOuFOi3PMWV-%Jj!>D+P{9 zlKz`Zf}WZrC#;!ltsg$Fxw>|C^!@6nscv9yt;Ie`=Gz167lpj)G~|3AC*1-y&qIL- zExUYMLHK5;Ty)`~c%<NM{-II;}FJ{`Hr<+M)dbbzb2hS^zE+MU@X*(gU5h`QOUfN;D5j=SZ z@hQVJN^BjrPy^t!KRB<>N&59l@Ib0n&~Pa^rxWg%pw}51f2(zshqzh*{=bQe)ZhIx zf8+2QLZxby+oHa4wYB{Xb6|RX-#O`s{v^~GpnE}+WwmEdlvrS8DMACoQV=Zr@^*)y z_+BpP>S{lLBhN`k^t>Gr{9(o24khC{-&rLc0bLTs(jCB(*a+sNBl_*G2As=FVCOgr zIM=|+Bu5eF8P&{W>$M^0s#F5+quc$Wvg#Aph*;gw-->RJSVlNSQt~sE4v_6k-|pv7&EF*3IU|`$$9rZnwe``7*m>LU z_{6XLsRgbKZHB=!qw_(i3|(+^J_s+P3u3z2)$>893|$ao(ypElLS^WJhE+rb zW`|sk=s5cdZWfiH3m!3sQ_9H5M(q?V6oOQSE{JTD)_h?CpcScJLy*eQ1u^@L2`(HO zP1voVC_|UDZc(13V|!vrQHCxFFPV)SFuWT~%We)X0M(Er#FvtQB54sjt(otRIrTXD z1{L&n&3t>{2Q#4Hn#dcrlGXjW!B`gR-K1&f_^hgvom=UN1Av~qKMlKdM9@IFd>N;G z-k3CL#ZHP`d>L2dZ}hOsB}sLENh;HLOOh(l%{;z**M)c3zVq>AOv$k^I;Bl_V2;k^ zOY2$FcGfF85&zw{zwkjbM!9&P1eUHAol!+p4&Oo_q_M6?pp<+uWV-;nm;>VgR!#dY zV4jWgFjmYFRR@@d0K)bls%V=ab3_FYulg_hU1uEov0{#>jFAkMv{-x>oR!njP$M@$ z_IhYMh=Ci5p{N;iKG;ZGKrMhBXqF%ZX$K;tHH%wh`f z&lH+^Sxn&@VhaE9Pk-(YeRagsn!k6{MoIKzU^MWD?2+6{|6e5f>v|2rf?C*Ft)zWF z*FtCteZ}a20peLRd|o=Zssmb0TddX3@>2wniBv0X9OYizPNn{R-(mRK%aY8v+%1){SGJkpaH1tu1F&S5DAlL62fX@BG} z&*Gkvf>zoeC83IY30w<+Jw<^`wv%JRw>viV@)SP>o2+!gkH(v^o}W{-S7yS{E!dIy zz=T_$usZJ+wR!0UL3%p~(qH(+Kl%}=yFzb9&lefvl*raDe31c=Nj7%x59AZpgUtTk zowc#qvx;g#_C-cnDk@)OoTegoQrXt@RP%@3sROH(;PE(PwJ>xVL*%v{v zVuYq5`y!*D9oWV2t`1%XAEc?s_h^)+q9&ghrK!mGXcP$~LkaA8fj4-4nhLr+>cU*z z2d&=+O@(fom-PX~R3Vku+6x)?f@Jnw+5zkf=eRA(7?t)1Ar$T1N!|N;{smLkkG|`7KHCKdXxKLHXA?8|7(W6qwP_;ENxIDF1h{QtriiKs zELqmP#y^ZG@Q9*r6E9YZcY}rw1z;j>Z3MI4jd_1qvK6dsV+{N|Y^-M@u1_7O??IC+ z2M3)|dnIdPrY&mZth(a`kP)&GQ%^>g zrQ5I$-yE5K z*fhSD9<2-qqW*Nj17^Tk5fSWr(4Se5`m+e`U^WCdgaJpI>x)B+{&YzrX9aSnL;G2? zWQ7~DY%C-T95t=U*uvKYyq-8HSoQ7BN|;G9-yIB3fWaWXX}2*dk0hCIPX|gO{33b^ zqzkNny1X!cbo99?)_o6N+}AyB#KvK>ksiArQb!zPjauCi$p znN70tqi3`jQD$1J3ho3eznHvqI&}pX7778!`ChlZALnL9=X%}qmT$9q^twO)SHAo& zeQJ2!TB>xbVTDWja}K@7M|q9yE97RVms~Ig%|V5=f>cXIund}m5^td=5)~O({kZGU zq9cfUfGL|p%ai07eSk6zQGc+}m~oEONq*>GdjEQsu?BBE+MG!=D z&~nhmDEevDDOMD#;gZP8sA=1SAg;YWVl|2+>XEQ*v>JfVHfJebw@V^?Vb6hR_y!>} zsX0|k^+EbcJ6f6pZOAG-Tud5pb*CKTyVH4no!h3n)LttsDJPm|4GuM(xmITee0^muq!G2g7jh6 zfhm%vEocQqlN$ekz184y*nwlZ5fn{og2*!KK(aj;C#h2MigNjVMdd!girdwRzE~C% zP3nSLZpTxx+K7V8f}%-X(9Hq|ZMKINP3q@}nQ4;0K>1##4&)U@la>{sL8oenEpMy5ECQ>fRRv<=y-j?ZaoS~3aAUbNn{x~myxAi~)?#Ok-{ORIgcu2$Q) zdY08WHe&%B@G(w#sR@_7ZO)Y(y{Bm&!r{Ia*uY+n2~FJt8_YHY`dUsbKV%5L1kbR9nUUJszMZ;-POvac0|)qlWMZV&sgf0p?1Ib zG`rM+=EkHN&4$D|W|tb=K!CVWZLhZ$=7(T*se$%ZulBHBBfV7n-r1$zuQt|f1@qTB z>6J^3>&PYH{_bE>t0m{FI1DE8)WM@CmUk}0kyLkZmY#j`;WoZmRNf;`A^0w?_OKR& z1{Gf@p7_)%$M_~b&5^04;Rri|s)zFiicbPv4W=-9XvNXlc<6>kB#F&*$%1)1V)RjU z`Hf4#o`egK&T6)9aF|khk}vSEVO9ieW`|bgUObf^4!2--3lU=b+tcHWIhP>mHOVHLoBxo?*d=+g zFki_Y(lUI>{_YQHOknaI{2|3Rmgn+a{2|3!PsKwzGJ#2PeJQzvi_{HQqun1j{S8oQ9Ay)Ga_Z;Q`#RhBCGT#hCWuu zm+d%yJ842xUvXXZYL!hqd>B9p(ri%K>SzhflPsGYR|%ILu;&%O?Qh~d%2GRt1%0#f zS<0-&(k*tyu*M?jEoWEA&0d|(j$4igBdcdQ<{003`QlH$5D0uFC-%tLsEQGt`Cx6% zHxy-RUztPXf`u3z04G54=|hAd&4>#IF*=Gl>THA{Uvn2M6S()Mwb_OcC>8g)LjxKK31mi_zuys^I3IC#;%eJJ)BlHD zB~X6pXFsnTHQLYq5bhv~Rf(7+z1v7|rf-?=tTrpHJHpCr??foxd6R{f{=WO`@0)jv z+El@UslOde{pb9~&-ulln;N@POgyLVDi9%zO}1ZQ;xU(5D|8{4%@@2Bj09$4ciT6} z*?M6(qjJ$4PGp9z?Q!K@pfagW!)a|j@p0(vUEpiN0XfBNZ!vcWCJh8&2|OP$v0!j) zJ)rDeptqh8H^;7EuwZkJwRhoVRywk+XH$6>sM|t-Yf54}|L#evP4ipqaQUEy*wZk> zg~(en*6JJ#jRcglY5p&J3+2=snb@Sncu{g;51R_>>6}KCHitdze9VTuQ|DUV8HSyv zz&+-Pnz;M6Sz*B?gH%o zdexv7br_|UVR3fE**(oVcjd1_V1H{dBW<3c!IUAQ|A1u z#8Pe0rAGsT$UeNL5v0iK!b9`$A}otf?aJ}Z(5EJxb4SAe6&qJ|IVrh)b|~cOOb&(6 zge4CIkou}0h=Xwp7 z!4M1O#>`8k&JE}6?YKrbxBJnr`si;>W5p&^_3CI@B!3@U2)?Uoq?1~GnRP+XQBdh9AYy)FKE4)xBwt=~z zm8u>c3|gz|8%zdO)$|5K@J&D7!YKl(e+mO-dOZc7lKT@xYCM1Kc>i)}ZCm}wI{p>l(& z5JIm50Ex|tVy1mXxb}keKWtWFeeC!ci z5+LT^t!COJ3*QEgE-1`OGT)v3ezQ}&3wzL;AEd)c{OB9D{1F)g9QR#!SmeM&qnsQS z*>09IxeLFUwjn8I1}ASiqbEbBR{) zjEc}W-UasngNWUSpiQx}uaN%BKol@yk+TFVftO$WhO42TELwgt=3K`4S~KNOfN+cw)@oq;ImB(gc;n4?~US0~esw2o>6 zBjqRwJB@BF->4hY6B@Fu<>#T)pRP5864CQ;)~Gm(OU%@svvM4b(&K0mIQ+QMh46wT zP*qQa`aqFhM18WJ#tGe7)%&z9wc4RVTeKKb1n{E;(l(#h^iQ(jS}}A;zhFvl_WK9x zo_*jd&#AYH_n7LH6{g>YGWhfU5UEyNO+|RJUWrsFT=BD6_iVi|EAx_%OLTpI(e=Dr zv_#i;6J7uOzx@CG)%Q&rPgK_wbBQ_BE%=b}o7bo)3|LsS-??+R;TDj*OT4YRgNQcTyU--%}AQ~FlSJuwyf+fG*VfvBweTE=S zwF|;ZqVW-C5Lpf@Y=Wu)~77;$~x}Is|E|T@Y1jhS*qfv*}Tnim7%OgFD#E9 zt!C78rVRTRj*g@-R!hD5dE$#J(trs#(I`vvwd>XPz%FL`={3{yZqZ_<@5W5O>(4&i zeAiUH`UI;BJA``m=G3DZ%oS0z8WLj)L9%Ycxa8VtU9WcPphI7WjOifhkyGoecfk9(~_on+6sE%e?oBI%U$Op0C(zwoXL|-% zJgx~>U=1?mRx%d>jw@V4y+Y~+#Sfof1W+SsnFX3YF@*ZpxvURqm!Z^unAZ5D2e4|s zZ75-|Y+QOZ-?nZt1In`to>lOFm+ZprW*1<{(~AzvjS@?m0o81>ahpwa=Z-y|myW(R z6Y;#|Tbo3ph;RAc_q_F2r;4gYpAPZqRr+*{{VrW*wjr_`65$nQ`m`#j(x+oId6hmL zqshzq6bN9l;X^|sPHi<+)~6k!A4MeL_qX-jN}ul67OsILrxmR9=>ZrhNl+tP6H@rl zeN9od1M{6qq8GKT=t>A!H1BN?@7=HFRrLsRJXN8leM>_4N%!&_jinmVzAZFLpiZfC zQsdqPjcVd9HZz@tWolbJC9FvYyz5p^!EOb3jN_rs;f@ffSi(|C-X?KBq_-W~7gu+m z!l-%^mlj>3_ojUpXw?F(di>6p1UOFUI56L|(1s!}4q1iM>qE!6gW$z=UqO+VeFb|Q zxc0}F2_Jrsxa2SUx*z|aC%pi$-6`Yyfo-pii=VxK1Yid6xDl+p0E`nvk`>|C08eky z3uuKZs4gA)lI>>p0uBh{U^cPh0HJU80>DhC6u3vw9JHXN>;)LlJyXl;9yee10&EN! z!CG_&>VU5D0*;uHZXO6y!mT75m)y34`9jBrAr9+Bla&{+KkOL%A*RDkY9Zc21yR<7 z>CmI2cdNaPQiyX&8$iKW7IAWQo|FE9TK0a=vYP$|xzz-4H_pcDL56F?JXZcMg1h*X zzc1$&UpJp9kp?FWl!F(#s9U6q5<;lO3urr=-W1(Qrgcys)r)PMojJTeCK*cDztAKP7f0VCM%>;;TM zwkt27+tFmh3mAZGS6+Y)#ikd~hio@qzzAl$%B^D3(Fka}%B_xqw)5Q?2W>aENBxCs zfVS_`3!oX+<8?VDJ3KFLj)k8i6Rv=H0q)r^UVy~%N4U-G>$})KxZMus#S0khZ(h8B z7he@)m|MiQfr6pq#R2|;=RMX-=PqFk&sh7c4lz$Jt15v-Y-hj7Ws7`s@!GMUig>dU(t z!5Zc+KFu+cb7blj$DvG{i*-i!Fr{9>jg|W{<>1>094~Q2H`q(s^Fc~UYaw_JQ^x-2 zYDO8bQMmi*fZUG#d;HIUHjQJikZR2P<9rDKa8rtQ=d0wX1#zTH2V!F9+yr@sjkkzB9fxuo3hFXe7}X5tnt!S>w%nSRS}d(YSZ=!d`M zEf1c1HZr~~kZJbBJHV4TQ;jinf2LX$&7t0|3G&I5`IPjs!*9~X(17|8rsLVC;%b!nvN8nOfCmJL`3}gqCS4|8gXcVhr02K?`Cgq^6MhqyEbRd(BcUQ!OUmSkB8j#L zq}K2fZ_&olN`~H9U4V>G`yD{bi(%Q#nkmP0!2yekM+9=Gt_r>N1?lthql0nRGDka- z|21nSt#koOANFG+y|#lEX(iKRe_`DyP$EL3*sPfzdkm=FQ!hbsMZMEV=6kf?9F@QT z^hZYGZa)G3KSuJEdfWxCH`FZF#ORpb^-QYi;;=q3XNO<3-}NeYGLg4jCC~)oJb^hI zpijUs-8i~cLFMR1p6us2??uL7XRpYWY39wKXnFJSx4{>ggQ2ZKfgimi0Uzy6@9;$)86}O`y)bLxb#F15xI(^QHge_lZx0-OoOak; zY%AI$2(&w7H-CHL=;O3MMk`lPAqdp_b36QcOeWspaVcQ}CMTaY$`}KO@f^nf7_E$H z#R+Nzf$I3O9mkhp$FaHF$vES3I=lVFu40ke@y$+A(+=l*$j9Ga?5Z#e0__g@_jfp7 z_zH2r!$pVh@nQjqyuP^lJI$bI*23gFbkOEj*m10R@}5)@2h*bs4w%5Q}xWi288! zU{%yt#yXco+7(lyi=gvDOv#l6`zRWP-W2*q2984d*gM8myOzu-chzLz7;Pgt0n6z$ ze1TU}U5r3>c%l;B#;Pg(n0YLVq+hn2%Ut2y;n~U$n0;3m!+Bw1?o{rOD&?g~NGU-bE3^@pZYs7U=u*%KaDFPWG!FetQc z$%xDp>dsh`JVmH9kDg0Bpr|K3t4N{Rh|UlcRco2iJ&r7q7<{>v0+2$GK9sN60rDh% z89Y+7chH9l!77D%f@?&_Xpf!}b+$rKl(rw*2j=V%XlZ{;2#R%c!PN$%$w_|!25NOk zL$FPu!ebT5?Xf<|NwC*s2-)*zpz$?qGCy*E-BQ0scd0W?pxNHSK56T z+B#Q;VO%RLa2EZd*Io;$d&+Vr+w7J(&Y?QfzIo;jW}NjSRBq88PYG)IM_>4rU;3O6 zD=Ac%o^JIvPoe78iWAz%$_O_eJW85`u&<0|$^|hxfy2}wxT9gFtqVcA+Ai2(K!Nlw zoz@$fJCFrwW?c}ph%j*s8IkbR6l$zUGwXtwI1b8Vi7oe*st7@vSrC0teGC|i|(*yiXOr3j@hgFWszd4uL**1 zI;D8P)~93du}Kg21%g$5UC0^E^UTkzq)L6wZVmi_uDKSExROkdcce8jb;RbA#4nOm zsjmqyTnCh(Gr%Zhl4N?k@!JL1h{qchd;a!(baY3R$NK{BzXJEVHLgM{Ngv;jj-mq@ z+L0YO9W1ryN1yaz)qkCO5s+r{#^}Kpp_jUg7l9eNlFEBB=S9FC{vz;W^CI9-{vz<> zjbDV36kd;mL^wrKMyNMu5S8_ug5zMqDg~#sACbb5?MUGuVY|&KIHZrkh?EW}8Qqlg zlI^sAn{xz_NR>j899!O>k5Sqm=bKY#Tcq^HbCz|^2^Xwd4jIUt5ky9DJfn$}AySr+ zfEq=&yN8usV~cJDIx`6w z&>`1)LCL(+3rA?e*y02>^~PPwu?0dHvcp0U!{IcK=6-;pAZ?4|xar_CtO5C5vtrUJ zaJx#hvb6$qteD&{kjDa|Nv8^K8aVQ5myRjCa%knTqgDlzT_c#BFBF~0u_xxo`!(Xw zRcrzJKJAD+p;V*5$|RYbFZ^!wiprXxy47S~l1$DQ#^|6zEKVY-&pgfSrey~i304f} z*2o4W)=F~H`5H?gs;i$Wsp_c>L?I;xiJB=Yra2;r;|x?ty?#ok%XiX)W0uTS5rhl! z-yxa!8zuU9%$!VII1z526Tu(rmJ{K+BnY-%*!C&|6n1@m@kZpTD%ZeDO2xaV&a|Ja z;=ZN4XKAal?`S>g>Pp@lGMsJREn+_IjkracLwxf#K77a@`S8E?%7>P8qVoK?$v4(N zCI?|mWO^$QK4L5ED@!N3;OLlFntT@=9rMcI!UaztjE9-x;Mi`b$-g+XRPAiwDERcz z*pIOBh~x{cX(n5hs+~_J1-UJZDZ3hxb7=&nYUhHdHG!5CWCF5YQL1((I6631t9B-d zj32puk(C;l99yyBc4MPs1(E4j2pLR;yJ6C5Z(^>Pe3wM?DnV>S_h@r!zC@A}b4jFb z31Y)f$9cBbNOEE>*{zvi){7)gOtogdL8#A;%%jbBXnY=RzD48nX!AWnDvZA!zo<8l z7H~HS&;7O|6K3~_{2TRcSK1-J?~0lwS{Rq3lALs*)KjtgEAXXsH`G(ITI?_wtw7NV z5G`P6p3<${DLqaPM+)aDT%Gu2{?mX%rRU~Uf3k=D$?>RQ@pbP?mpM2-4lKUSAujP< z{K;G`uiLs6^MAb?Bl07f;7a)wVY5s5jZMp<3;L!ClLmn*AtT$7kRh>cl@LRc;$9PC zINvG*g#;6#p1^b=!&RNXY^U?D^J98>A_Jr8G<}L6Jj52btxC$J*~GpGW+LHIrBuX6 zDS>Tvr(A(Ap4UR^o1t9uYwd4#&ujCEzqJ1R4+_sqAV6))DRi1r@FE3Zj7S?AWf_x z3(}I9)9TQoXI&888*r!~csyC2Cw@%EOP$s$Q1tT9M<||Z#o2~c%nL0``Jnb{lT2-$ zln8@Vc5#Eoe|2{xBJ4x1;Hbg#>QAoyG>Z0GyQY2zj#Gu zkato5l8DU;PwZV%VC`UTXviuWkmj|lG59zo715H}o;#TPrewA_->h*FuA+54{b0dg zr1O{UbpCZTp!z*D9*XDa)H|Eq+n&}5mBcjV8ErL2Y6oR|0 zS>8A_Ew2k6(3>h~Quc|qzgjG>OCI5mNVtN&SL*}uC1=~yWD1-4o#`6~l#K=tYIol# zdm1*DR<~cpgv-0ML>NIEqJvv!l{w#-+M~J{)2BCEHt!ZK#`JEOW4`VizWgu!h8TgR zc_R;FJqdOKE(p;Vn+7`rXh(u6XUl@g4UKkfpIy$DA=ES`Hw<&s=rmT8I;G{dtX~n1 zG~m%1orYk}mLZa~a|FdqE10uoAeLdp3K(+GX{a5K6?3-CVsga0$n9yo8-h{;vvWKF zLJm95wA!Y3j#{U5$^D8cJxG#oP7HZ0sre+6L>S9f3Ix2&AyksH&8~)j5yt9v)WLLH z6L(_TJeK6YVe68Oep(H0h7T=K?CnRfTEr4MxAYdvd@IDbUgDaObYu89GwIfgaAz!k z!<^nP{?h9Ay%x$)EmJ?TaL#JbH@D7P?IAIpcU7$B1`_7SaYZStuN%rqHtE9FiWZpYI0;@6MxY?uifq@o-tSv*CB$+<8P2@VAvl@_)_5#&3T7|P3Hmi5^e0K_GHS7rjU`t_u;gI91qSJ#%@U4#gAm>k2 zXa@iSs`d}*kIDH(zU;BTw7#WH@nRysC|Hbp3yJ1xUwdmNBCgh*XGP^d{Hfpn2fsTy za1{yV+ShSW6=aUDZN^23D{3I37$Co2OS&ctiV-tGo5=&`7HnbEm03_+Q4>U`D#{+r z6m3@0R+k0E6*WP$pOS3?h7ps@+ZE*|`iki26Ib-mb=J;kztcK!{a0hEkk)8`vXUfB zKD4-^erQxjkSSsvZzq~E3#uz>lK8a>8$(V>v~MLT#XCRC9nkUoIT*|?-2s)P0A^eg z-I*{u_~9J_h2~OLkh$ZIm^w=CfK#m`XX@0_qNpm541z_8+Mg~rsxzOkTd{oUPOb~N zLRGTBq*4n_pdZ21s)#iI=ZpHk&DYhc2I%rt4{5*v&cQ)letP)2S&YY+=-5rqH#%WY zY>US8jkeI<1yBLV1yXW@7L)3xpkp^Z;TOj>QkL>6YzdOA-x*co`bbnO_ho{CIULa9#-O6~{+h3G1hZmf9 zC0FUV0c&9Mi?j}8M?Y+UdDORCyK3_5Ae4o6+MxfE*BcKx1|Ij;%=f7LA~3E>++3}h z@6ln$HW|J+CrtjT&U4<2gBDtAa+fCzo9&B(Hd=de@a0u6&SZx#pHe@V7Msk(5+kyw9M9k zMs9~su@wMj+8?OIipv}V_5R$B{TqCzcJ#(_+Q6HxU&}ez>(E6TYUQF$kObbiLswV? z(+LadUOHiEs!casw&VBjaK4CBii?PjU-Z@H{l(r#%^W-A>xT=LH}&x;7sn&IiyOfz zc5wvl5%uo&$0R-V42tDoB&5dz5LfF3<=CZL9T`0UGLMvZ`(v8e1hgtBDFIJJj=B#mZ6ayNMf% zuFOT$faS(Xt0vev8t#{9P@boyFQ!tR2NG-9$HP?Y{uZHMlkAg_i$wdL z!szSNlkgJ2KZL|m-a#@K)eec>vPZ)H4n3%vuiZIplYt9R?skB3 zKk^&@`A>W`fO6Up?oNrdhqLVg#VJ@bd!6|z3isj4AnHYMcOYa8f?$5r(n<(2nc;$a zJN3+dwXsRw3M9a)tRzz!! zbv1_|by5*j+BGs2f~rugXb93Nalw+P#G@=lyo&gP{jDyE3=@AgP7*bKbzfphaY|eg z1SKSE#=${ps1AfnP8#M&t3+L2j3B@0f8bwZ7f*GvlQkLEp{oAXhIObLM-x4ThwbJp zY_2c{x^kBK{&`g_@m<6C-V#dP9@q%|2Q@n*_ywiDdaw|H^ajk*=o{C+enf=lZ+-11 z^ixCJ;c4d+{@#!L(r^5~r?DiCQipTjf)FxVp`g@(K@d9AjQpVSb+AGJ>p&F0pwz*L zp~ly~f;p%0bwNbXtY#uCNAsvQl7%3RuL~An9gMVL`a+P#*98laDyGKuW+c)07QyX` zbtXhK7{JtmPBNARHrHk;ojU7^i$m8~5`g$+NpPo~+6yVh*AIT|0Qi?I!$~s&`ASw; z5`2$J5c3fda%bF!k>dKA9l25D2N_37wPtmDd@wEUqRnYYzbN0I!wNt}S#z~BcPmNe z8-$@?jPr3hS7^KwH_9a^-3bk*b3w@CeEr5^d@l%jIY__EvA+GqsVTCjAzziDO}&v# zF|cWvjLcma|98kU@$dZKA({9arTXCgj3I0$v?>rK#k*RLO!01uG(jDyc+{K~G|@?R zW26ZJ@~V>!NRz6Jqimk(g!ur4Q@{iP-p^9TAQ4Da#*l((YJ@**9h2y zE{lhsvB7i51n)NMHZ3`fVmYjV=&Q4Rse+s`!4fOgf;kh6nR8@EB#LD#?2$Rs$fu&5 zW8`g*9SuYl%z4Jh+XBeg=9VQfWa-d7Yu1tuJ@g?tITIXNTTJh6SFAF@k+sDj!S+Jd znc$MO1=O+qIaMYY&}_CZ!!iP2FrEnp;gc5Qu}7T)zk%kZdQzQvHK&5gJGRZzBudD&$}IB()*!+%}3Ng>`j7aS$6%_*)C&e}dNGTL zzux5!@pkLphB)T;_6K+V-dH~_A&yTM8GZ|Mu&&B9YDvN=Y6%eF1y)rWtpZl^*@?o7 z3Bs3fqF_`&FOa=PvBPP9Zl^c7rBm3QNvDAGt>DhUTUS*Y@zRnL*LZET!0Bv+yU+E? zLtHChu>(Ca!;zvo@aOwn&2djdjd+R$VX_d#TJ!Q?DzDYPOOfVwiZs9V`~T)2|LC)b zVp}&i#|srvY>N)a@xt|pV%rsSyimA~fElQX(87c9<~Ff|x0%;SFWJU}WKmXv<*Ry>$+w`4mU9D)C*O?jo=-+As? z1#WvBg)JoX3b?k}#HEEgJKs5)7x(nSs>L~a>GBgYW_lkWPqmu{I`xlKISs7Q<_TNKxug&OJ^-3w?=mLUd#~_U<5MECO@1kmQoHQVU|^z`3rr zuGdx+cu^IsiJtUtxo&g~+nkdY1BnY+agIz_drFVzFNGF1%n;nTiL61}1`Xv=)oQfU zF6>s@2HX^N7l{r+cP!MjC^k`t5(}cYpD>PlE6~LkVjm74eKR zt22}61;LoDIC_jK0ltr=s8~_rJC_7J zf*sX7_G(i@>kwh_L3BwBTVrPc5_~qaBVQnSMqP5hLhX(9^%MT0a0UBndaiI$LX}R` zjq_{kp_XQAvN_sLPsd^t?qGlLe69oL<)HH2nR6yoCnl96Dln-Wjc7wd}DYTx?<>IiriOMT6v2 zAEtP6ra99ir-mg!`JXKFK;x(M4CvzPkP~{2-rUT?V#LfkR$L@axNC$2Z*eAE5XW9<5tcJ%8FgT zT&IqJh{?jZSF^BUw_a%0x)$mg3^UrRM{#cJfcYU$+#z{Q&YZ_>JfUWj5-Di&B&q+q zbnrdDbnvYdWL|p9`zZ6JILyFz$$!4%QNug%`0`yBo?ouNn$zd8_I40oVlYL$UNL#l z{oa4$JHIKwqzZac995)-JEo(qKEnZo14S=Su z$X32ukgkeMvebE_qn3nIa~PUomC*2T5_*CBcqhtpRIfIjUbY=@O_(y#>Jkh-09~ z7^uhgl2vo*7{eO{)|uMQRH_*( zTFV&7m$ir$CnQqCApwWgl`Y*bk5?5`ywpgj3T-NVx%1RaE$v2#LJfJ_b#%;cq zP2JbfFvTW3*?aETdk8}K65r)j`FUvN_!}isI5(ijTjZ<|v}8Rlsf?t@g|Q{+ar94} zQ^RTrT~H!BE5FPBs$4^(T{sJS1H+Z=5V1E^4RNC1OEL^$mJ}Eq`DM_(91QFtdOW?{ z(BtXbJMY(8a?kgddp7lRrY&4@&$p9%e(_WO$6_tJp;2RPEL-*`j@=rFJPM-S zuRV0-Pn=GSTPfHfy<1z=q;fcJPkCZMW!$6x&;A6Ur5YH)i;`SvzC4vbaey7yo@um$ zkNXpAjxnG`--E=hI?G8rT}+!=NwdvO0=5Y8ga4<-I;ULiFMZpoUwBNF>}+Q?_{orU z4(l49{NVm?d^7ZkQn1P%U3qfV|6S&ZK2NXUoT{;4#gkQ*B7|FIboQke9A+3LW_cNr z+}Pt{!vo-0p^kHO*Na_7+>P+@<(K%@+s9KP7(bev_S86*~;~xU)>y$yTi+lj&L;+_7!4i zzP2t18c?!~QY-i*wGia{?t)-4gSBCLbF(qC6N0qME{Kj2)*O*AJ#AKM$wH8J*#*Jg z20|DLf;hevq+NDFgv|(?acCBiX_qtFigwur%^QK>;ecON3ugQlpjPS^ei_Ciry zwtkTznV#&6J*fEvM+iSsdZ#PkU3#=Hy2D!M<8tVW)&kO+>Dj&jyRW8)Fvf08 z^PF^L)Ct&?w7G<4^{iU-kHZfxYeGKVz@N=q8vMt}> zbUHd#BJF^w3s^sn4}o@veEiecd1OpQpI5>q3P>P(Cv*u=H=s?0+yh4~c`MsTqm)I^CZD1lS+)tiG=E^QeGQT*(n zWukLlBE=%;PWKgZvsY)mX3pwajy0X%@zsCmw|?1&7d8>aKDe)ENC;djng0mxrh&m? z^4=(9f}R_k9n$@8p~S@~*&1*PwHRBQTf9@Z8>Zoj+nY3+BAC=81=KXq56HYS^YPzinXQGAlvQNw{ z6Wd{u82HRU1aI1UYu;Zx#aab1$?*wi>46`ga3BJuwG=*R@4A_+SWzq>bV5FQA$x*h$r-fC+ME|>YUY0dP& zU!Ws~S^>VqdM#zytQFK!_>~`>nwIa;_|!Cd=zgB%Dh*Ce%lGIeQ`71f6JBP1F|p~D z*79QFZ|6--%P%EA%bNy&wR$nRonK74yHDhOHgWfUBZP}jE|U2Nut0t>vA*~D`0=kC zjWOa2x2`EXN6B^&g9WGc!L#rcE7t}C%J@bUNDY0_$`_iNV0Pfdva~-Yn2kD*;vOYm z>Qwt;bVe&*yd7C4+p#}=QR3{Kh5!@2&hkYY*SvL2b--D6Z5!7d4jg>PIR0*Zv8zhK z;{IjlnW{6LKh8J%@|<8+wOZ-WvGC<(JNEBZoHLe=f8dz0bixp*Zn$ix{c*ne{_ilR ztcqni@dV=dvK{-&fVkMy$E&!f@T_rg-+b=bF6qt(53z-ZvpB!l+`(^=PEw@Qu~di7 z?r?pvSeJ9`lt`;TtPeKZTfLSqTzux@Tn_=5xpd*T(hVe@%f9Y!U$tvVpL18CO&HCa z6Bk=frv}E3?2yY*)g24*yBZ+94>ejtj0Z{#p1I_A5hng`jw&CJ2-tsP?J4_Dv8Nl-x77%&ydnI&0E_$7_c>s3-9F~7?lTDQ5bvl0< z=(H*J)=lO^Tr5DG)hG%WOup796IJN+Elg&;Sy{lbL0-CAq-+KW2x)^d+4g-EI4c|a zfyxnSifQ5&wK(bfxS~HkU zHGx$KI@#L00u-jUZhXPr5{&}G`RcnMP$dL+4IBT;RF46}Yg1j?!uno9Hq{8k$$m^E z^#(C_{bRDJMu`zug;`aMwrT|{Q$30duiC=Kq2bIilq)m}4X^Ib)zEOmJo%dN4&WE@ z7s0itLFACk_lJXIq(;=gke%4b^ik#=EYj30Epk49+qE#t5VEU;{ponX9ZKpvDHd@uxa9gQ>mR$S;{c9co zT8w;wy3d$0t_MMq!9JW@6yQPge~s_bY*I2M8N6WN|DtfvX7U$45J?+EsiZ;fw$#*8 z-tr^^I@Zz~kKzEXZi1SkOaTyal-K803+$o4B5Dli=u$0s>B3vlO8s|$Yf9?p+SulJ zfB~tqh9=CrMN73{ewOGQ(y+hy1E2Ayes*eT0vI(>7l%d1JVEI%iJEd^aSHYejUOh*qv@e%waG0n<~^JoK7 z#+M|SiluN3tTCQ*Dr(7Fv(joub#AO!zY*)GHvFlh>2h=I|1OQ>x7$cAt>PKiHrMdl zV|}jSov}PEfxq<=%eO{@(4lw!-4{OVuLnz_!h^4F+dxW{S|>x5rIeyb<qS%Q52pDc0djZ>imF-+uA1^D~iH1L5%LE9}f&7TK3xB2~l`{ z=pzRvh~OF#O8sL*;rXGrJIs@y9^>6>1V!Qbp?7PfT4hDxmKs4-crJ-xM30S=Z7-xk zYg}@TaSf97#ON7juk!_x@~B+~G$dl;j!2$X{ULVw?(D&WteI5Om9=Z;+tXnxkY$l~ zQfsb`Ufmy<4ZoUFoUJ6Q8>A{6HwZ(|wJX!_kB#Ggba)q>P;E{N9LI z$>-K<*QnLOhB=Ca7@{zvt^r&ala7&ywzv_@VbW;emBXZf1q=jUIZQf$ zgjPbi!>NMbV!oRdSTV)6r^K*I z8(!Wjd3<%TK(*#Thl;9RPd#0`6v}hR|LL;BsU|&_p+ab*^P5eszd%l_0%~`$&fKSW z;ai@cVX~)x$uPa^l`nl=VTmJ@O>f8;gn74U$uQjwsPrS>^A&&ky~za-oTQhj91CeY z5U9_s$=pu&JFt-A0vNn9c$`2*t{!~|ij8qWR5b)T1;`|Vg?dHCF@9)tYLILfWvUY@ zi;W=T7#BQtdy;ShRJsOis}U3zzz!XtQY@{-nm9*s0sI_~TQbR_`UGYTO+|&ExBw=I z+?V!tf+VB&W7GvON#J6wpaoKo7IdpFfUgN2c`ZKewl=@X4?byx)u>J9c+@an15{e9 z{1cx=RFXOoAYJ0SY=-%{v7)j$M<({8^tj;#OH;*~1iMrLfh3idavY>p%0!d!uh7nr zX_n;a3FJ^)8_J|P@>6V-RSiwtqQxlRjZuE_W8e4QKN3dysikPL`|Cp%znVVk(i$;DA}rkq^lDM-W%d~}bSDJK`vW1?e`jzBB7m6x1cJfdS$ zB4hAf^t&ucjaPm)M#cz48sN>|OvS3~gCs6dH~{kH#$fvv^IjY`ahB{9c-J zL1QeVd>_9PJ*j}pyt(Nbzx-3b>B~Ph&=@*?%hTmHj0+m@z;O)dT>vmaZG?Sgkj^3q zmS72i87gZ+HUw#wT@Z}(hotJWf$Co{z$5bK$G_7`_U}BAZEWQp+X19q&6IZLYqF!8Imt#HXDUG9=d`fF1s67bgAjhEARNBPm9P!(Sx2&G%O)X zXV#b39k-UMv#%P|JKlSM#hBCEuDTY1O%>@Y8paEPz|ps$ZeDU1EU7wX3spPEp{XKW z5F{-|3%}l&E)7Ad$Rc<^0$UK>pr6&go)DypbU_fn5dudr`v#r&OnHVNRiq0ZHaoQQ zh#<6OBPc4;CBZMRGt7w*uO%ndnW~e1lCUVS@wj+JG(W}p5b#<*b;5r zU$imr7PV$z!G7Nk_WKjR=MTN~BN1(AbnelH#p*~z%|sY>8T;Mhs&f)>6pBbwS`NMH zSRNzETpx;s0qgC-Y%VL|p1T zx#Z2912ytj^pUxp=D%T~I4kTR{Q!}=Y&yNzK6sw=3g;lb$?1j`jo2TBzH0iMP{8FM%r`zc z34E{9Cg0vPu1&}f{AqlMEkK97ir8+lx$HXJ2e$mV4#wOVY2Na!ab%42vwz@gf8?)D z#Yjh>DWMUDLmzrz9s`WF5LYo0cvYmt(jAVgHkisW(rB-7j*&)Nh;xjDTYGg2agLEj zTZnUvG}=O3#YlTTR~nyf(NJ55T~gigD`|7P(C<4C@`)2%Bu_&74M`;X+L%uiyGtU= zb)zm`(S9l6q}xrKj;hEj$AswDJI@p4piP&DDO!gI5PYi~jZ zZ__yw2Cub9OSpDB;o4_^=YRBbzbV2s#W;E9(-2Rn3GHDo#HvGMi7|3iU(3$v12I8; z(V`h?)qO?S9|9+sfq|djtVpZwf`BNJ0|h^U1PVIPyXDgbqCWcen88Up7R^3;O6zLHI-J3&;Rd-2{ZG&ioIntA- zXXDrvkaE9Abx0)l$da~elJCRUJb|`EB~k5CtL|&wO~9mvuuiNtG1DBItM>g~W^#B$ z)c(5^hMp&k^NlYI*#kRa19}*axk1mom|WE9j6E^zYd$YXNxX1jrWZ zJ?m!M^%HiF)*YFZ8TIM?vc$=xIBtL_K=T6||%F%dRn@ zr&&?dqYHLmSzxjGh$8z~s~Hr$g5 z1M1lAk5Tn#lKaC6b$y;B?pS+$R6Uxc@e>d+y|&u9-3_mu`^`a- zq!=*&?HXf)g=~k}5!ITr6@JQW8S^Jqwrci<^;7k9rdSHm9F(Oc=T_1x6JQ%kxzN~? zw6-<9sq`TeG#oB9bthk4;;Uv6o_3!(FUM?62&NGC6tf|n1-qLd?M=+YoXpWAoM*vQ1pN<0rb~F*(}k$6??VlxQzX>#_v>xP`KnBa-jVt#rp* z0nS~P0&@aFsNcn(T^5@lK}Q5TSI@?zBSK@GtojEc{rEuAc%dIZ&w-KpWjG+np~F8* z4oHeu;BnyU0=(u92+7G$iv#jz916KOAeZlW^v=hZk5Z@R#*P-5m%PWu|yT;?}B(ze*|ZJomiyS&y+| zol>?5(IAvDe*O@wQ_A34mXz{-FQZp-0=P;kZ#a4c`GEau)$5NJ9n?!HBgfEYbwzoZ zAXu;s$2%~+s!Ay%na%tLQp!ktw@cP3WlY~xMr;6Zdui$_rCf$c?T}xouKA>4*TDP= zfUbVo$KYtletRipJY!y%kA!a`IY3t={}LIb!X)J!_>}1!>bB zImdoFZqT_Sd3*L8);}gi70+1F05R}X6-0f6IaLtthh)V9u}pL9stU44iAHCNVR|*~ zbiU*Q{qmq5oIqBw=r*UiW`OWN-yQ3nM8yIHi=Jf8_;6CTAoD<*2!ihB=($P-yctNO zrCzcD__~p-ZV;XbDbAyY-{UV71{?oHXg|g2v8sf*MDSdf+F?Yq?q)RS@0n5NqpsqY7dlvX2uhP5UoP6@(9HF8jy}f4wRQ>l{m( z;qmKBn!zK5rOt6Xb&gN}f?xRifA53e@|FkBJu6IO5;?bNhJt1QXI?MhuF?#c_<3cl zpVJJ13L6OFQ)~h@17znVFy8@r0Hc?)2RY4fMDMT1<;Hm)`G}lmIALt5u85*d9hTR~ zH4M?_yg*U_ZX58}dA5O41b&-2Uw9z)He|5P+{kLQSu$L9k zSsI@bN z9ap!D@_4feF9V&p=i7CA_|LWLGg9|7H2!W)EZ^EBBD;UrSA54;{#vS13b@wwsVA9E zm@y02oam=Da$R-eDre0ir#XP9%1N^~6-)}F!471Qz~RP=6o=`A`W^Z1E8u* zrMQre0pD+Sime_R_#stFlN=fN(d0D8Ax-)A+yv63iqxo5btCC%)sszDk!s!lQ-Q3f z?Jw2=`(!TTnlI@8M(!^@>FEs(5YdA=mO8A<<>BR~W}5iS2cS%Clp|3~j#4DDjVK3s zvZ{5T3I}1&;Y85>cJ<&{MHg2)aXQQ99a(mCy3{X}p=OFyK;I-+8=s7`~NbQ3X1AqV5B0Liux_{C$6R9S*rx z!rQWb4D1`=nUTDl;pj(sW+d_Bf|{V>tU%1_7OfXWc*&c(;26&gZ=>z@%qY)HF6-}4 zpj#6Mc6GAh=y7PN<@%w~fhi6-_&LFeS0f5?_W(T1PF&4B2M0HTnwPUB$wL8UkIe24 ziOW_}@^UVz6A&ob$*kfGXHcx6Numfv^Z_MTIE{RolUg*iU*E}%@0u){s>5^rTu+br zzoKHB|MTzs-{x!C^zZ!NA({9arTQcUS$8f)j$15p*rx;a9t};$_E%S4?T#5|Nhj!N@+zH>Ys6Skaa$lk7F2U3N!@9uA_QUrE<>F}IA|)# zTqEWlim4tLC?_~=UlTS!sl*O|p!bq4_3c3uzojUe*>jWnSXHa(;weH;>Ne4IeTB@g zT;!Teun;h|5IOeGD^JNUog3R}F|+xKekt)jdj#qJL& zW;*Ij3$_5GZwDCt!TX2Js3NGJiI|CrIC1XN)zh*0+Gp#)T6 zE;u@rKvbAZj!z|EAX6j}ov2#eZV~%X(b`w|Ir5CUBq*-fy9))nTUoj&Nz%Z$B$8UJ z%0hXg14lD&Ns<<(NTM_Wn?*?<4U;5kVu~b2(Wyb$FpzA{lQzaBkDHwaM`+)bX4~o) z(a5+YhG&B=n!jjE66a>ke2dWT!#*9J=h_ThI?sHM?t0FG+KjLvqN3%G!)4)6;Ysq` zQn0Rn7sSr`bLNgcfDH)pVXhCXseP>*iV0XKqTzDK*w~eAJ7p&KxDw zOa*N|OJNnZGHoaAej2=4_@8xId4>4qFbg8Z}ssC{K+Q!u*E!vfv_`BJp^nx9!Le#sYp0oy8f27+6+3R-1+ImYo{PeO-&bvj6 z5x*NF{!JhJMc?&bMEz2W$!^3Q{xUikKBJgMDKTioeZ|8VlAcD~1xL9vDiqTg-9&|A z8k?g|BkqSDC7YlTcfp%-O{j?r3}#9PTbGgAHk;m??bv|P8u>ace6PdO9oFOj0^Wo7 z85giL0F#%g>JCznYh+(ZyhPxccMQWf0)awGw?d#wx5{>;TXiIG+FvH<=KZC{oes$D zw0}d?NPJS2E^hmuWIOg}zf|scMtjg%9oORF#d3OiJY5XqnGkU3AHvlIoKGOanEnJ| zv|gQ`Ot$CyT;n+xdO2_TR`HWg;v4?+cm4EbL>^lD^opewLg7)1!;Bve^3oQkP=PMs zCJS8xc;~JE(AI$aPo?E69zX#rk;i@umN{|OLr@++17#l$>mwo$VkvOT8o>;@u(Bb~ zkuwMxC&Y^KKswADg9HWC*Z~<*10QNOx+CD=5_w=~9;p256{)oR$Dqp;0SB{vpo?ws z?KS8k2RMEiW6~{pEyu%%qxyoIF*XceaX z4x5z>k;#V^!)t=a6Pvo&903L-<_5E%s7_yTy+iI0W)cJ1VAE?sMhnhf1$#>pd-!;0 zYSUa={1`F3e(25mC=DENPo%LdA6gBsNrGyjlamBDz2KQDNh(x7xWRgO@QtK8D@j3K z`0t6yx7%inT*KpZ+>vIAmuQC&z53;|jk%aWHM}=tXG#kVne#BU@oA13*HgRklv|@q zSP#%9v|$VQUn>>@X+}+U5@7=fW|Lt>oN`v2aZ|(GOxdMjK5NRZ#8q+rWQsb6*{KcN zPH)?-l&_wS>YKE zB!T89U9eMT3xX5KuaO}KZ+(H<)CCVnbgF3p=%N*@kP&EcgA%1a>vobG&BqpP>TB-N zw=OXnCX?BGb^8jJT(qf6VjdQ`^Wga&bu3s0aELZ_$&S~EN5?=^)8)SAENz-18w-(C zTUsB%Wz$cPqne0y(jr3*1dU*l9%>x8TKdKmwq5{g|3!^r36!3OK*=86b5-h+0lmKr z=)7Chnx!QJdOI1=pZkROd_tNGqEV}xc2CCzlReB#n_V2vfF5=-$0O(Mq^4nMyNG{) zJXAw$2ZQCx8Bl~~SV%IUoUv8sE9(rXgH*Oi0jh=u)=s;%HKpoIxbiSEb8Scl{0LjJw2!yx9Men z@DN+&TL1>@%^603IW|{EcV%Pj6`WXp$i{sCZ#aBX>iJa&i5E8qDYxRYn;;ITb^iToB~#!t3Q&A@6C53fD?Yo!1wkDG zos43@maOW0km4NWG4w+pPgL_FXev1b#b-A`s9ED^LKUQ4QGNDuY*I5p%d?$W?SU^j zsS`s-HE8JgBJyFXmd@yDo%GkA{wv@3PtF+S zaav1;CHwg~ASk5A$OoZnU%TWvT*IUaj8a8u5X{3lNI1h5V93(9f8^KxOaE^mxU@>- zi8QMj!6n}ur8Z|v_)Ih5h2Li=z`W|g^UhnxT&rWLEgb4xB>B?92#5=svSd+n%M<8F4sb;L2wH{X)|$(bBwbZs6R>ebC!inA296}jij83f8Jzr0+9ZLzi|yksSn?XHsbG*^<{ z`%vH^{6nbsp-|HxIN}Q2LW7y(v`NZ;Vgu`OW zKl+ZZeD|N2YUYoTB=F8_XYH*oRQ{h&8X0oBEB6nz1c8$c`O?%e?xh}q8NzO~` zmfTqB&6*F-~Kz_5?EPH@AQ-N z0Bz9Kn@rC8EMausn}+e^bKT3|Z4jzb9}MN(sk3aiXV9cam@d-U`~60}%vg~+%LP$L zrUS+1_r2vPLQnz|OOWF}YZ-KCxEpnruZXTcy39zcd;I$2 zS1upP-*tzVW8arw%KN_a@#VX)vh9qmN2yPe-}$=rSFc`v;TL>K?Dy(pY`^&SFNvM; z-8qDs|M=V2zvo-0OyL_yYctALlTG1%jIAb{!c_UzRKitt>J!E&YQ{r2FB!MFDb%(R zx0y8il0*S~sj-%WO5RULXo8%Y+k>}$YL>w_z{)Fgp}{ z(~lUwm?bAIwi?=gB0O1Z%Za3(5H;&{4Llro`Q$#n|J!_>mOmH!<16~VLo3JMD3wTX zEDH|Tmj46QDp^}4c|JG2$|W2ST%YPOrUq zD9NJBhCnl!(<24ZN-iu4NuZ7L<6z1iS5y zO&Fxrf$3~6kD6&e$^DMFJeGrYh_CAlsb<p)HzYUfo&bzz3I z6*HN!UL-6eHfe{2d=5;HnArKY%}(5y>ygjtV|=dNotvREeKWEAP=@Xke%n7hbkmh( zp}#^U3cOKm)i&2Iiy9-@roQ=6yUe9aIQqr_DM8l0^N&XHw!BMyXS>1IcAkHbdX06n z2haazXPBQjH1(aYct9>sS~Q@XtU)aVQ-KMJ6I};XPN32-GOHx(&(O%2IM!N?tUm+7b3`VnS+Uli1;YdO#P&jpzgT`w z!SG<CN6(&FalSui{& zq}6)onQu^Fcy_4miwB&Jp6^g#c+fV@>lsJCk*}=kdS0GNZN;F^+*$?|eVSoB4Q-=V zNRkgN1>jUof`+ZSz0huPR_=$e8KQklw-|C!6(7DJCG!FK%15$D(T{0=bk9`;(gK#u z`}6Th`wQW7+8?l>D)$DgO;vu9?bu(cQ?aR+r})s=yia7#lDoRU+?6S$GwtZozi~VL z8=t@Z&~KYD>zxQtCXg_uTaB%8p_wn@OoBlO2|TJ=rVymDD}sgR@o=zV10hJoLT3L;}b!C6QQE zP)L%bFXEDjipg<}V$=rtz2*l=PA4P<(F;lR^0)U>TeYTSM4jFA7BmquWCouh@e2dW9LM#r?6O0h;n)x0D$L0QDO{l)+o%lB?I?hcg z>JIICsXqPtP)=~n)6u;w7B12DZD9TMsB`Hr)wxNwUwoPD>;3gtE+4-gMLz->@PC-(D!7V*ndk#9SRfQ>trZGx!!s;XU=8jo z2o2p=a2sXccfR=U4Ayw@-GR4wAm0_RM)lPku*Nc=_6oPGe|woIe%kRguRZy_-~Z^J zd&^rMJohYZtzuL?@nVQoGzT!Dz#`ba!{JY8!Gt*@x6s=Gxl1IM^RwM-0TtVl~*1h=G-gCJ81YVkvmhO`KF=mJndG~qTYinHUANBDqZ@X^+4 zoD;F6BwmUn64r8XQu3%7Pm&4jjI+a(DjpqGQfos?l5}=_&F+Y*sGKK!2=!T$WYw*p zWkUApA~DwfB08hKCOigh{Y8|Go&eg6v}V3R7?D9vCtS|ewxN@nbT`zhKYCJe#XYJU z2Od4Kl**Gw1^n~K!MnadaXcP9@ubQVeDvm}N0;%}%aFYcfqs$l(G&DZ+4`6L^b5{r zppPm_kMXHZ=)^ZepC~<6vILSVJ@prbtQWn_UEQ()$@JJ?V1OG)5%I>MyU`{+r#qUS z`-=k_nWeG=cEqL)8mbnIE56(G4H%UBzx~Xu&^~ZwKCFEOeJ|@)uZ54R3>@{rq2WO>Hk= zd?T3Hb{BZ=Q!ugRL!1(k+r!0npLf_?Y^%&A1lk>P=5l+w*ydnhyT900!2ks6{ka{f z%H8^6hbOCKUbn}KUBz4jfogx*PW$70Gtby=b+M}&ICo9-B-^n+E?9LsyNey(>2f-| z{l%_|t#*91)Aifod^7XUZhNt-GPe+DcgP3qaK5?GVt2Uc@I9=6N4mb$a!4R?l*5JpBv*%4fav^AjXau2&!&Z<@_1my)XK_uZwAd2i3ko)zoo@Y?pF{D!@&Qi(zz!i+oXJNoK-{TIQZB>kp zLx_v3Ts5AA|2HHPf1_05K{Km>_gk$3z*9>TS7nQCp^59>5a5-T8P;Fa8~(0^q7m6v zg;Dg2UVE)bQO*1d-~0Ri-CvFd8TA9(fWDAQA-e2wMJ3l6Nn|6H0$r1gLd@YE)r|R> zfV5eh4oBYYP635^&8?4{+-CUd&H!~dHSVa+Rq=BS z0;uj}3GX;`3T(*AX#7v`-P?1l0{X+2Gc*~50H#s9!U(HUVDk)zzNpLIL1kA?GbCxg z{WMSetr5DzA#VelihT;Rw#d*d+*bmvi@P(aH$y81nzyGqHLM+IfK_!^9B(+-vaiYy zu}Fn}i%%*IEovWvs$G`{&4_(?En$3Ji~7H@-q0u0rlv)g>62(oy@%B7dy79iM<4aC|_AP47*L~e09{0`MBm3F^&5!-% z)FZ1!U5_-Z#0z`0*&t~RWHev`ZS9#ZfD0PA1CS2emGrA*xB*>&BDn1U1Sce7wY4z- z_7!3mx&TEG%wZDbfOpHt9Yc`%!UchE;0(wOItQ3#W}+zs=>oVQl5sj%x`Rnoq&N3v~?Ty<%4lOQ#OCn|=%7O*=4S2-rlN1-ANUB>QlKtVA zbOBrvtQJ~P04Cm`oPP94!>-2EjQR~nP==GxGxctM{okvMuYb>fuPdWoKwWzGc*rfg zZ!bNv&p#bKvi86(W;*dCuq^LE9P03?D!-K82k9u@%w~H3(;xfK{`b-Ipy6LXQb)@x zO<hf zlYhKzVmc%raux7^e2}o;qQB2@t&t>(nG#MHphqO$L+U@hJdzMj#hN>IW@Alwa&4`&s-~BTSMZ&i=|_|PS$XVGPjoM> ze(X?)%#R&52Qn~?FE#tI!)ong$3I2kv;WxPM6dhUjTALW&XsG7OFPJU?H~kP<{IM` zHPfobDmD95<3pWJ-vV;}Y#%%ioB*EnRFv#hkr~~kDjf4w*QP2C zha-n9;r@Yv0^NTZGgA98$Ms%O{s7A~IqiBpp*2>p@{I9&&5FqYU?|02>8{Z1C}U3} zOI||=JHX=9rht#UP5bAH19~5Tkm1XOh`tr%Lu(c#sHtPuRclTfDNiDZl__q_@CP?N8l~q%f&IndaV_A6 zaGS=A$)+B>wNhcD1}xNd?UQE>2C@hXEg(RdR0Oc*QX>dyB6bz`(}QlmSq1^ z2jHJ~i*c*N#>aq=IDwb zVCQOGqC1}Mps!dlu)L0VrIL~9$TfZ)(zp3yo(2-0D6!2@^!q#%KS%}ihj()t#` z4J!V!;$hw6IiU3|f;!EXC62Z5MMML?5@HrX2QoXDDhrDBb;&)dB@!Y6bZ@nJ`wBG< zvA!;e^ry|lg5}q;K$2v5?2^Diplbkj8os&!Z>yuT6yuTr{gPvhk{f9ett9j9=?*Ir z1F`i}J31@Le1FghpuP-RA%%{!lB{kJnh13qb}O7pO6T#&mYf zt#NdMgCE=v@_$tf05bcKK@57-bDqp3x|zZ0S}+Y>HSj0J;(^ z=HQv(V0bsuvye9VJ{Nkk=bn{d(qDH*gW$0!(PFcSrUq!oh!v}7a2O&cFbG@z-1^Fl z8 z?egwdA5;lV>NnLarAuNknsWu`|AwN8PyU_%8{fny|IYu7Z-zcmYE+5A{4Nt#DkY{_ zr_^I!D>3m`LWwC$cSLN$+pcSz3$*X{1 zkC06Qf;|jdBbeg>qm4o2utM4H|7Y*rgKbH#`Y=^M=H(>V5WtD4T$jNqJRG$5?)_@Y z5e||FWewu4gneVj||~GLo@`4R#C!3ll;pT!yj=8$%I0R3+uu;gJe19+pEP z1dbC0;#dYd0i1%Q?EDeC42JxE>+!Aiecfkw?{m*Nb7$02NoV)ntH18v-Mt>awbpMn zf>k)6MQIFhPVf}*&#L=#@0)__D#@RIQ7Pa8eUB!4j?kl-)^gI5YkN)!aPYoL|(h~*{PSxmuq!wJ* z))Tuzmc2$PUFCCMMV37|#=Z<2Kx#wGbsV}5AdHVgkABSD*owm)sk}RIA$-0-!Bu7Ou zg3v=ySZtib>(H!7t?q(7Na#cZquya~=(=mqxwgPzVvYN8Y|-kzCI%Z=iW&rabra&G z4pe30RoobE1skSyBTmlWbKP_mZYE+!GZ5wxtliIkdm}#^$%HT-yMG# zbBc}4Dt<`;5&Wk_qb7@b`3qfZeuF4CReqU%wUDn~&77y2XpkRhT}Txh56ShoV+D|n z&Xvt};1hM;W9&P_Yclh zkIOKsyBkJj>Sp2=wGRG*_20}es#pJxzxbhFoyy^-=1M>+VqH8(S2tIlFRu8A* zCldYZN5|AoZLq*;Hth3f2T5v)huC~Eyz)V%a*#xutTuPx2&nK_p@8l%?h?!~@^fUu zIiU2Z8435{^CM#&F$V@AtSg@MB}n4Sp3FX9>9olgH+ccq43g}zo-i>3On&hK?#>IC zcZ(J;;C7lkH=ptU{{4UNW6P8#&0!5ohA|sZlH}l5RC^fd=bTeUUEUgj<9IfmUV!cP zM2wyu$)-~=xL}N)2IaeY0dZ)mj3QVjPvachBsG_9_EG+2l3lI$uy-xqtZY~n`4gWNbC9}ihBnYcg})yC+o!EcV+SCw|8 zC;Vb31LCnJ5|C0dQAwsp`~u@hNKA#t3{{>?M8u6o5lDK*FAC2$Xh8)%uO#W}+G+b( zB0S{VeMcRTC8ZsxNP@!(M~CEQCn<(XGCkxM=m+2&G!}uZpkSIc(?gD5i3tN<5ghXN zb&!!veso+B=8AF6?RHSsBEYUoqEJ_7Lm>0rl%Qb9R8m41aRr?sYhvzgeeLsJ9PnVZ z?E~cvQpdHtIH1HD%hkq<0|9?=__5Gy4PG1^^b`%sV?)<97=|wO7b%~x;S-zk321Xg zOKtepAp4%+r{Y5wzU5%(5jdBs88$NHCm)bnH0>`#lH&N}$ExxH;Ge2bxOR2HURCpJ zfj;XTx+@tDpH8P?zaoXyN%ks?Gyf=@NG0OGjo1p7T`V#zH zM{23kag9y<)@2h*VLDTQmG`k2#xtdVIC-!N+kE-ab^Z8%{l1T$fhYlt5VQtt3+kr@ z6p=9t%aus`h$VJIutt=6vPX#EV5$Y*JlhQxVW&nJ#HU~ala~-qXKh_PVw`4v|!0V`U6+{WmJ%cry+oRai z5zXG35WpLdHEMCo=ArEhw}pZw(C8hIrE83;j3@ttge zOjfHp5TyY-v05zs3MPqOfbIrCj1H7;bx>%c0aMBwiXhF53!-9yAPgczgq>D=R|M$= zxM0FyoO{K-GB3adj~y6Axgw@!8SP65(hG3G9tDIZ*x4l95TqAS1f_SBD{jDn-CT^6 zf7s8n!_`Qk97mZ-R3y<( z=XWIEllE^os+ljUg0_j-gRH4zevIwaPg$Ag~x*xY2= z;VLjW!5n1|>0%roU*kCM7A?l{c8udc|Mg$^P5&@BHT7n+#xe2S3-EEzXYiC-=#?m7LsCZ{ga;L3x zL14D*-XWjq#>)Fckbz(kMA5p`AnZG@8w^2l>SeeF5+FJT8Y^PJvNnK)pkyFS5M_ld z#%I=kZ(|-pkhabbjWYvk3iuS+eFK<7khabRqqG17MD0~G5G;aa&^^1SY1C_C8~}KITEs4PVV(IZlS>k?`8KA7CK}x>Z6#fg>|Ojfa3E_Z={(nE9yCt&xZ9!x#VintWoWY5m2+zw6_&IQa-r3 z3d1q@sw~;anBjUD$;gLyZ^inHJV_5}Wx%WnWmFd=c%T(`4OkLY?^R`u`M2ntF-Cv$e|Y9wFH=5JShPG0EgjF!euODJHVYzp zqXlx6-Jly_38mQ#q(+`*)URL&B_HX6h5cyN^=)6aK&~bj>_>Y}0Fn%6Sy3QY6AbpF zb!V|)oTEUlE?C%)Fm1Lyv_P&dSlEwNpx$i`E%`_j4E7_;T(abGseXUzj9Xf|SnnfP$%kq~O1P@GY!8@!tdZ z7TX_43qkzoX#11KHujile@B@F0NGTC&V`3`Y_mlSM+3aqCiQ=MnNMWE+r$C1?7 z2t;2==6eK|ARB?XYL7nY$j~ED7p0yZ82{entJU)vE!NU?M%|k1fW*>X+AMyG?u~{a zJ;J#&l65bT+xnsG9|kpKjgLg}A&j0XyOsfhH#tD?K4$`6r?Si5rwLO!fEi{a(`kNP z@lGt?T557V{_d~(1Hbt*KDsn8YwfRF8LI>a$`Ui;Mdjs&4u*FwST&t!zRkX}@XiHK z4mY6|b3sg7BF&`ql1S%bJ~XY23!-aMt<1iRvyDSjhq)l4MCwJXI5IAUD$E5>&Mct@ zD}twHmWY*c$-}Bsa`Um7E>+VBCXgr*`2hx55o23~AtSSlWzCa=P^!Cgau5og0AKU; zAe8Fq>C{~JGGh8edHsIn`xx=cX?lkQn(@+!MD%*juqVpHT2sk9{#V_OQ?aZv7%@l6GVHeHNBwoL?Pi2l&HrK4T@4}0L6H9>$(j=jZ94v zjtzbmcD-!D;)s~5_l!S1fn1qn^;4`ja%vV|b25@r1K5-RivcT!O+`iuf7L{eMozlV zfRn`Iq|5ObYK~oev-DoYWYE^Q`=r{C)-=Q0t!-u?G?`GXxyJ` zd+m9hQ0$BCoew$Qcsh38Em~~v{9ANRI`;Q}@3+781OMt|yuRsB%EO>pcz;QkQkWX5 zi12{AU=c*aq?Yo8U=9e4kO?cotha(W9^Aq72nE74?I?zj%Au!dM>hz8Y5!1mI~dBD zRn@a3pl%0_sf6H;E!Qb70K1c7*9|u(q55Jkhx?J3STK% zJYqJL@>Ysns}c0qZsQXp`>| ze{Xq}Kxa3gSl;A&bXfOBXD4G2>+|e5HpY)mL>cFav92QwGNAZMlFHkIE829{f8kd& z??o2+HF;&gnC5WZ1Ame6Z{93;#fyyn{YB2WQEi}F-GKyV0fUhcts>-tK;??dcDUkT$?9^MDG1U|GnffSSM0w9 z0T~{b(hLuRFA$ui6NW%_eA!O>3xQkg4+gbu6-t0Ht=_*5C4?_7E!Xm+?Ju?!stCfe zdO4i$A)P-7(Wi#WB-O9P9Lulis`RaHu3F_^jM@7*@2L4cd_%)(7SuD zf88$W!h83zRfPAt>B8KU=eVrAliT8(Rm6AWWNgC)-}&NGm+yG~ozK7h@`1D|di&+2 zcVaSVFspvtl8+b`e#&bMDa{}$;jl}js^bS3`0(r#3_fZ2D2@mq0D2EW)d&wklc@3#Kd zF}ZTWV?QmIwkgp3VYz__XmE_Hc9niwZLQTl+n4=aVIKCKU;h_>Dh(OcAiKp>jhq!w z>7K3}y#ojMl=jEAnA$W<75}2)S_o!L)yg!`Msh$oOS7W9&yH7uI96P({bq0RO{|zP z)#%Oa5$QB5W=wTgP+D;NwNPosR6%nKf<9@ZM0DA#Nax9~I#Aj&X)w;Q!c+sM?YA}+ zD@j(Es^hds-8NmWlg<+(1a(k6`muhO_#*O}D)^XJ+799wR}rl@v;e9vZ$P!O6XT3U z)ZG5+g{hcD5kQ6aMW69cUU+{D8Pz5aFFdi959m1(<^#w=5@f(iPp8NQcN#NN5VX{y zBkU_gZB%$J2xd;i(_C>2>a<3X3eN?j6R+pIJL=k4kqXZROWz!hyw!j)1gY?f;D!}@ zJTy4;+ulAZJQqaxtyLm@zga1o6Dv~Tx!?iCpNPKEi8pf9qVQaDhe}A5?A;*5k^*G8 zq!G@*BCOV;@N&PP6rQ-`QMp#xFbJMC{6dvvb$9x$%?#*qrp<3DK$fow!ZE;$z&$Vv zb3WH~mwq|IDX-_PxLQk(22OFDFFI+roA^ zJyEIzfxb(Lc?PSg)eXl2ow8R6_B+eZJH+*-H&Sc3AfP&}O2AA4)9N9ZLfQ2pVOEuG3~H6)Ga%{kC+Lo!!LpV>;`}&-~sm{N(8p z=-DaN9qM(NdwmH~s-QWPLrf zKd&fSlJH403uYFYStdCq&76FZd-OI@Kz&D=`AWc9aC61WfmbI?JfYWtUDxQsIOrwEm;ZciK?J$TA=8Evn-Uy~)=Z@mhP|(6R+qfmNM{^F=_BV%bi<5Bk zPQsnRN{b_Kcb!kBVa^P57f0Y`9D&Wfk9pOLla9b@g`!Du1Y~|nl{w0$rZ@s)tdNx> z09q~BB(XFWUAv`Gc+<9kgIaYjI(;o(55epRkdi~v`M^yjV$PN`I|7(Ay_V{0B*6yL zXtN_=t=}Z1+@b=!wy@a|0Pc!xktA;TJJo`fBalq-76sdlB%uPoZa5#PeHE8MOEKee zu5XGV)3##|o&4{Tseeo|_4X`JX!b!)XEDBu@qPY< zXJynxsjDN*EtpAp%O`*m?qG#=`Voq_?2B5)t1BC?E?;~RwAOD6^k;_&bZ1Dud@CF)&-cc54@8k5yN#FUl)w=1*KTbzOssu zBDhD71I;<+w%b&K5M&hYf?#4s1WY4@-WtW0Ly*ST1;Ir{xSHL>oq^CHNaI@sl~_as zH(JIQf;7G^*mp+g6aq*9DPj2JI#fjjX1k@3Ep7-y*rij3T`nAoei6nA2=O zXi?^?0z)+&Dl(*!Al+a-?F6YvBrZub5cT^ZurR%aC`=jlrV&FhA$XRpn z&I2|QL3$R=GNzRXB?LiF*}MyDY)6UZ2}{>tBFjUQ~wI}S;)(AjkH z5X>s<3>10BUV!R2%^=2#S%n=)gurt|PZye{vc7rK8?y>Ksq1PLc2e0fekj)s zg{&I61DjHFaCt^u66L^bV}kfJa;s#9MPLq9n03wjqhA+2)=K~bkg627H?EFhv8^kLLQG`kImUbSPx>h^riYx|C> zIihw#w{;ZrK>akTM`YOwZXcp{R#&-QofjuVt$3ONZs8`sc@~;fEJ0A!pTzsnonX5= zw^+hz^+E+-nwNXHwPuG(!sC3)rB=aq1bf=cdT7Axs(_C&4KL2 zkle8Tr1m|fn8dFL0Vv6f@MvtjjUXL8J--)d&|;v>2o!_Zsx{|7L_*}j-&`FaQh0Dn z?u`A@+=mD}9{v#VWAhM^hQoWG@bOL_q7&R4OS99XQS5@&2AsMPpn{SH@l*~_CAJ8> zl3XSk5k-Y+WX2iMLI6HlwL=bJL05s7Hyv<&f!8S=P}wfh{xk{^0+S16m-p|;wUYO5 zAO!4C?T_=#h(HH2mvgvT@Pp;$6pk$sxp4oaS?b`bN3&SB1=|<+HSjHXT*@YBS+L1| zq!qs%ZsK<=D+|R-*{pgr3e!SiX$VA=@qU4`i=ZcGu8^C(I$f?gt7kdFroZ|HpYRF4 zoZ@`^0>e=#V5&l(%TXws9-LIH7KkYpqLgJffPN@MgaZIUP!MQYK?sUVVS-_0P-oZ* zib^&?usf0U6+Is)melIPSW%uY6Fg!`@n$e(1Bsn#;+Sq7WYZIzrO~mYEN}2#Cb`o1xUC9r7aBO>^=Jedg0%WY5KJRdz6n9; zX2>1%V)b1TUFl>TMW~95t%jfvhZWjj5n9Co3a!wGf7dG5Ywip zPg}|(%wru2JE@9|9Zam~upv6O zn7GaHO7ii*y1Zf#f`Cr)t+iks&c)wfo3~flkHv* zOe~%dOgT#Pf4)Zv@g6+fH<%|59Swi1z?7 zA@CuSL@y@&2Uai;pst^9EjcvFBXDat5O@SyCv={fZ77c5BiZ1B%~XkrpA^(ZMRA^Z$-$ZJ|?A zt(icJ3+Q81-EvJ#Qf;vnp)B{K+ZbLrm++lh07y-bAAL{n3Tq;lFnGOloO!XwbO2lt z9kPuS#Kn2edvAco=l2Gi?Rx`oKD{^C8hLN@^tGD;ya904!75K`7UgMZA2IqLXe-NnmuN^%6VEJ}PTA;x5_iMEN^{-RHa#b1N=oXeZFI3?s(*lx=WR?Kz z=isI+Ref9x^yTRvP9Cgs_6p)ZaQKvPc@B7Ar4VMXo+1As7jz^ZvK&3hxBb$$KQ)8A z$w2~S=-b$q?^y{$9V7sGlNG~xAgG?C3t~1b%MxJhCgF@pq_zTjJf)HV!Vj{P%8V689=(>X?_7OX)6_;6~IfD+Kk<|QkT zV2^P)8hr11uw68g86-fxH@jir4d5o71PPF~F^dQj-d>P+LVkO!yo9#1Gj3`Bjpo_T zL=LJBp9S(h>f(xtH7!Gf8ogpaE9)6PXYx38hmtYBn|yhjKqzlHvF&vZi{Zwh-6Ux} z#OamR8}ySP4u;-KaXh)elA0DLF4XJ*2Y_9^Qyf70XTnUdpt~}&>Y|02mhCuy@txDA z{5%oICwe9hTM(n_59UJNG??F@{Qfp)K-v?_qj_=?!&C1{BNd){R~p1Hrzi2oC+685 zJ`*Qd>Je|I9`RjY`MKZsHK`s^ys8#!vH%WP6FMo|pfIBuQ9OVK(>5l|#RH&0Ad3+L zX9U|YfDyiF&y~fFfVmu$0(oJ(V#b4f}z%bDWrZ^n_5nKp~gxOZx2-aBBR{9PIh~WZTNtvwRXIW4w^z06hg%pQB0SCfR zDX=>Z*35SY;|`hRVd=uK8X{vQr~)UoIwirz)n0nWN;nyV!@F=r=;#_?V$}^o4WA}P zP?K>c(pAu_5xU6uZet~&T>xPGG6V*$hJWpUPdOOs>3D#i!}AE^G{>LAA{trJBMGbm0T5sef%zTBDf$H+MP%j(1K=04@26Q1W*PlvtxzFH#!*<7~+xG&NTE_EA8N_)_5QMz64naI#wTv^o z3fiHPwmj`sv?h!2@H6lEto3h;;7=oQSGN|I!wFir{h=E(mRrNa3%CE}GtWNr;F+i1 z`IH4TJn&Asd^_WVWFhIQL=xR7<`> z@D(xC2Pm%OniZSQhB|2sPJy&~B?KnKAG07sGGDRK%^-3cOzX)hp^!l;c1*E zT)Dx~s|5eLBgYRU`;86$wM9^~U!{;S9*DG$0EpLX8=;&e^Zh}oJ^^B^Ik@Aq!#wo_ zqIz7+vHAfP<)Knbw@0ww#&}o$1C6--!*k|vxc?9bx%3k9hd_VbIncfKz86Zf;yCi% zCBAcE{~ID>pD2|)3is#z?=p117FA`;Y9bS?ZN2Lvv_K#IOo59$~N>{IY60nQlK(l6D5IsR? z32w_?vaZHVbEKWPB1X2fDl6;i9wRa({d7EnSGG~XSyx*#E)*7~o41NJ>*}DdfU+EP z(2UP&Lt{g`WL@q9rLGN0;NNY_oGM+7>2Ii3r(QjD1G6XaS<&h%6pT}^;_eu|WzxXS z?a{)ZBif<}w8ag-wgy%W_ezZCT-&yPp?#Nl?gryIPF60{*p_midsQlMnbihhGt&bv z(cH~Mb6@%DfB7}Lh~{*vf=6>cF9fZweiFTiMA>P=^?nF4VFeoh4v3M{2@pW!39yP%=i!# zR~XW&WhWs>51|Nx$X?h-P|_mpxcOq}A-G`7a)G2Ku3|-r=3Fv{xu7jqlVM3Rk7$Wu zE~pIFBAz74avxta4RZmdOZA)2w&oGqoEp2THomj?s4d=Y0vL~Q{BQGhI^tLbjRj0z z6Z7cJEv@zTZCG(3osSw;wd$z`SIe8ChE=P2(+*%Ey;Bl-nJ1l&e{Rt)&Uc@BOW6Ed z_10*wR$Q=br=W?xWZLBGT6!~p%JOS}R>>NQlIT)5gWvL6oXWP|Lj%^OkQB zMMhZf|C(?71K&S6Wdq2J)Y*ZRaWHR!5tfsn12+QEq39-OWwfu_DH|OlFgW|5DKPyR zg1jNVBAN`@A4{6PUJ4XqE`_o#6hY87LdTOQkKG?sw6P-frwf8$3Ec!rs3-F#vmo`S z3qmbI8&VKe5*j$giqxM)5QGr8Y6zklvK18l8InK&^=gjBO5sbMr|YPdJYeVCOO5v-$ae&a>_c?FHjB!pujqfrWb|mq$YTK`a(y;Z7q|@BIFFTH;_sy2 zq+&KOqF36GjoVrNbX|W7032-7x+@ra{TFdG!$9WEoJE z&Gser0KsirJ9gOvJUO*Cdw?g0)>aF&+U3j09;0{O2SC{x|wzi3*@%X3V=+yt zn0d>$rjba@SAYJ0^r1BGRL21f25D@;@W`kG#0jppfI0*P8nY2opn*gJ7uXH}l#QYsAt;Wj2_m_UJTpO{9tgEWMj=SGUJkul zu_TJP3A9%u$UE%Jh zq!MHtF{%LLi>TgF!5WRxe>jc?+Uf9HSWo3T%nO7YPG#oPz9{20zV zwFmXKRM@=b$5hw{Uj6kS{NNN6Q*vy559V%sF8=OO42DDC)r3+H}JZ7QT@p;xBOdhj@SUS0i7i zBaC6Vs)`B^+ifPQ`ip8-CmtZ62SF91yaEYqXolkf?5MIl+Iv^YS7=1rNCq&cqIMai z#rUKoG((1uCU8V%b>{OGQg}1Ha?-|K<-yvQ&Nj7I{gi#;`1(_RT^B{9U7Z9lktX+(B7B z?VAhkQI;WDRm=t&RGH?;9yBCOze)+^fQ2n1FDRVvOmN7mPCe#_KCIU8js+`N4a(wE za3(>4R>cc@ORmY(S#D*;^^si5QoKJX!WA3flBj?+?$rKxE>2MlVf8_c-L-u4*2?Nq zURrNkmX(mL(OgDY?fBHL9N&z6q5va7+`e@3(MJ%8C0+VBq)Y7~zAYbp-tuEU`ak`u z-}!NWDTZt5Lah$N+)7d#fdpxU#xm#jl_6P&A>C!@ zBzUx195d^%9+M-WNKjc0ltC-&V#Tb(LMx8w*{s7tD;}`81RSwqMvnn(fT5l`4E$IF z{5GS<++RSGBYvQPWQ88PrUk9{0OPJo>WROoszq?3l`ejo^J5O`5!G5v&ATcuOX})I zTPdgeodI9y4&%ylj{2J;6UuU0MJ^R{H&`*p@naaiaelaA5AC@sa!K^wU7~m1Eo#lo zlIXpeMDI_$=SSZEiIM14T&~Rsn7srn-y#1fM$3WhX0-OUN2~4?se_ymKvIwcA(>;~ zFmAf?u_8^iZGm-hubh|r?G{B-wP4N&oXjx@jN+)g4!V*Y!(gg+&IputU6^8-z>v=| zX9U34P3CT91Zautb5zL5j(xzO770v{I~e3Qlsw8L_xOFp{O?g0tEFG@1Bt2jUkKqC z7%X{oka%n2+9fAVwc6ex^N1Ka{n8?t)GMr(w$3($Wt2~IjHxdFQa1-LX8Lh3)Aqog zYo=%9V`(>BnM^F-ni!%n-~5*!{+=(KCVMKg0MOJc@4q|CEs?`YJ9^k0+}4=nNTv)7 zWS?s5(&tDf>R|R*vN?1W$sCU?hKJTGJwkDgRU`wFKJ6mjZG<~G7tG{H2J;F?jEexp z$ab?}j%1+9Y+xYr9BmL)p)m*KHym3UTz1tQe8gxTQKX2Xn(=yrIvC(fa+=%YkQ^e% znY;eLaS6O2eZKB>C%GN;zE(Xs%t)NfcCcv&Fk{r7Vqi^GYpX+?BE2HpkzOHFf397{ zV1cumfH<)aPg6NQClOTXp$X=H@HC)SHtm0tL=I>|O0PW%U-0WA^Hai#~ z-e=1j5zu}>Q<5DF#6RX>fH&!+gAo&nkDI}uquRkJ6N!&2Yex)!se(#lA~8lDv`$@J zXj>){v)HV0)+z_1lnx&;$!H*1JAgKk7-NahiCyQ!q?e<(B3?SoIZL(VwOtXl{tddz z;7_~`^))iGYyaZ>PZ{3TrIv}rxfkcda3ZlfAX1l`UU9f5GELFllg0gb#Z;Tj^AOwE ze1U&&2LAo5PkjGZ{RdG^sW+p|)Y^a|7LjmTUTtHt`>}UUGh|DTb=I(+br@7-U^Zzj zb~bOl!xm9O9cDP=8=$c?*aCcwEn<@0k3xRdgW$0>t=au3OXiw+8`d^Fvnd2f$x*Y2bYfXws@#hwI*m%GN!S+V#z;+z;y}hCg-K4eD$v z_X9JE>3IR)=yyY>qP{;spG6Y1c){c?6M$3C;=(8uXp!AvZA0*4McSAUWUi8eE3Da^BQ=-{?odrB=rmf6Y_^@R zIH{NTW+qM3=%k`n$_Ljm&8zPJ?0=iDWwTN_6tsWv@XYxTLiiTwd6$a)N=u1-OIk{{ zL#@<0M{~=;hJc{pIuq9H*_?Q_2O#ZS%`tEJG0pL-pY_e%`=gFfX^gx$!TFAkV9CRK zj#7edHDGU|<|u+*3%y@;){f`INa~#yL8Qo}ppLXE>QaRuHHQm=Mo$|cLH%d`TnJKg zxF7~rlaq-mhDwQ+B?PHCTo5)uGhqAe-u%80q``7QC^srCWc@oY-!aAN-}&F`%IGzXlUO;B z?SIjs({>|CzASlQ;*V$DA_=r`c@P7f#mxezrp~Xy1-sMynzT#B`O`-|$K{kvZRl40 zc|x0tLwbJ7%bwbEwdqoFcy}d-^KMaVkrvG9W-zD!@Y|n$&5RCSj|b4ePnafq@8j_R zDqs|*abLTp(~WpwyB8arR~(NAc1KC*WWg)qft8Kfjzf>e1E}d2%HLpyn`PU=0l%9L zUbkCn4{vi^$FTo@i3e^f9(euhmJZ&_XZzI^mUvh%@QTT)Trc8U?Ay)Qx8tY&@SA=n z@UHQk%E?p-?VEvv0LFHNvCt+52H-Xgk!wazrb;qqe==WDKIvBims4}oBKl}xVQLUSJ!sVx^9t`cd+?x5COa7CT%hPm5ULQQ zhO;fC2KMZpLAr0-JWf{_9_uSL%$=e62I7+mD4?@AIs z^$xjqXV7RSd8T|oJ|lU5Zl~a&&8tZtSKgmbMBbmm#Oj0=N3bNaN{(m_NpN6skZfsTq%kAdROBqK_OcNdzR}jS-N{f}$AhVvy`&Nj3%7 zdxq;-kjB$j+;v-|_z+?q$OF&_imLRVXA1;CS44$fBdEntE{XYTdTg95X}0pQML(8< zqmEFDeGd4|HPIN?twbBPCWgbYK8Dw`KepE+F|)4;&JODjXSaQO^n82Z40KY#j7BdZ z7>o0qjIL?4H7H(17<^fSmm2+!j8C#?I#n#&zdTpMYIhLy3kNa7FSta+?K1vXWycE# z<-3Pgt6Wb0;bA;(4{wE{iH>lXGDP79?0a$$WM)yamom{l+1s|b8UDao2A0um#k+g% zbjhYxnuRpy6s|Df%{<4fm989|iNyLf&yAnwmJ!ZdzK#6| zSN_kx_L+b1*CKAwg<92%A-e$AsCv6~&)$r96OH4@)d1U3MG(Y%>|M!%`P~lH3tqlf za9s6nR#tFe7YnU_h3f5ucOoBpT=fFJYY%;e>fH>Kc~-q>N8zAKxTR3$1BEL7sQ*6u zHB}mk6SN08pJb(aLrro*XY)%|syEamXg}JUQ>k8Xk?d9R@0d5M?~XLN*iQ?V4@?af zb-u+vRg#mcS5=t-o4R3z+C{G=!lXgCrCKs)kL+L01vg#o`rlBR@kvi_OzrAH50@!2 zw13Jfxlz9(;O3%!U&iWpiNNkI0yEV!VIf-+wnShz6M_B44}bgT{qm$606uV7FSr4l zURocs^^&Boo4Mi!?2f`fl?7`zK-eh|fuWnAwMf|w*l#xolNf=am$Ef-l^X!gD@ooR zK-1fX7ugNiZ5aGWU3;(yK)08WeSG@tcU|i0k7;gH*6UZ7-nD(B&)jvenpKG0Y}%d+6@`^F!WQ2OEJZ2 zg~xce!cxa4mA+HlbtJ56VbrCcK;G-rLdxwFNAYb=oASEsU`(=|m_@Kh0IVech=sMZ$JPMuK&$U7?!iJOWm3n(W-vuYtbUOM z>ZX}hEUhJ4v7}gimpq_nQb6A$e3Svp1Fe3ML|><_d4R*y{FD;>yCh4g?1I*U&}M3} zn&AR%lY-@<-*Px1rn)~{RGZOvDyfe+lbK-}CNI`?w#92u-Vf z9H_P0AB0gGR)L}d02L%ULB+nZ9GMH2A&`h31}$XN)h-A!K9rMk#qMBiM6n`ufeY@t z4wMse=wYuJb+rrb);sihA@~8$k1@i>iqzFE2)Y!2%@EvyJ6>Vc5aiu{TS%gyZ$?;MD+kBmlcrF2tujqfr zR*rw8)ag{#!bg7|$Ff-Uq#^mb=DPg!o}<%b58|q!ngQ zq67@KIgPX;%oFVPh+3ESVlvW-&J`4d;9@X(PNJTxkyaGkO3L%N>x2cbk`x{?!%s2w zjleP1B+rc4amS-+)8_hKiLb?ItAkq`Fll7uC`k~ z;g~H}|8}hYmwm^NebM_r;#IG@2jU4>WzZ_ZFch{n*;=DL1cs010)${Oa~S~yb0rgS`{!>Nmr_NPNwg?Vf80~24oAlWP$uB!sbsSc0*MINj};B=1Shs?P)=%3&yOkXA)QcNgFN2c;>mf-x0;c~lOOn7KlG|!3N{O+&MI%UAiH?0 zw;X27w;JQ-HQV9jeOf$eTPy~E*Gm_D3s!A?S#=G({_dbgCdylFf^cay zb%V-4n;8~|=56yslcQ1GWY9mlo+yXr9d$u?$tXjBoqr9S<8=U zyub7p{>U>wFsbpB7J+^YG*I>WH5zY=l(A|&&VH?xM5XaO;K!?vjAJdBHQui4boL?q zm;vE!)_B1GS<((=f_9Wvhh~ju`CSTjHj>HI4776Acs(Q~6^r&88w($TmBvGwTs7WS zk%mf-v0S|9F*NE3zXUzQ+9Euf9vkt}ZlO{uyK?1-jUJmLGp52^WwokIx-{W$#T!ir z2Fa%A5FPkWZH+b_{Bt}Wq#B{}(gCFdB_FVL5V;*XW6*H}c71#wCoCjb{T^l%U=widmJi}?* z?x=9oX>0wQN`>uFMJ4U5Ni2@`#8;4d{68=M=-dBM4Bgasu2qjOhq(e!w=81=q_rCkAgI*i zsR0C)dW22T;CEyIK~|3^2M|>1@$>|OtRDN-3YwO>8HF~i@2|YZtR7L_uk*2hBiID) zq)2JqLy-zJAu4xZYNUFgNcL>16y?k={O0J{eC@Vtng*^3NV1j8_UpLWZq(XrdYgTo z&NJU3;-U&BV8BfqC&2wmSJZ7-w#lPoP(yq4e2;E>rh;l^o0N664Kb^dn+g-Sa4u+| zt69d5_Qn3er#6f?pU*KwdO5X`aih}y72=d?`hiXh&n_(=*zA&u8Vw(sVR!{vPIPT! zBsX}?(*6j%D;NR-^#QpZPOpy8O#6c;?VJf$os2(E`yV;eDqS!Hs^iOcI)3evE9g*s zaBQOM>duVJQjBwV#W<$%CXk*MidotoZ>H_>r~kyKeEAbG;!|(N(Ag=tJcpsxwlAAg zBacQb*sW|dWEiw676gng0U6S611pt{DFAP>zhK2R%zks|%9eKI6QHvLwtzOo%ceBN zf~f9qR?LAw>kp{00R#u;=y9Q#(7`t+os7G0&*Q1uFm_trQ@L z<(i0X2ku68b}%%Gt^o{wx0L~P`7{OTv0DJDUHC<^=GGfE;_lSW4txV#5!OV}Q2S#_ z_RQDBuuul|JWn_t?X~9{bm-9QVn;vi?Ce*2OoNguS`9$a+Sz#oc(6DJi7!uWe8j-I zJ?X-5sRq?kw_~i%NZ0g~hi$!}`|Dn}QE#NjneDwGm6%hYN@jD z?V)KkiXf0fu^Pv1XKK#A!o|>PxFD#XV#If)v$M zKB$f{on{jZR6ERUm(T^E+U&!;7Be0{|F6-PvYEdx=Q}1?q|+FKB@ZHOowt?;L5n)w z4u3}PW9uaxW3WVMcNd}AEngzEuXy{Hy;G|rUwHoVD=xhC9UBkgs~0cd`t;k-DgV@q zHxr?K!T3gWv(36OCZD zwb3VzIkp<9xQ3ZEbXPj~L}_Yx~mBy;@o0 zVH~=$wFvbzLOX!qN8=fnoV2xS53d|(Q7l?Eb82}9*|V!{?3g)JX@m{-p|!{WJ@q*I zcceHapGG;ivE{S1bt^lPR)alQstz*ZIS7(!i1T);4(w^2P}YM|(Z!xF_VmMp^5qAA z=;G=3hCL;zbTlI97HWsUHHNqlu;c1zHM#Z`qBz=97X(Jg0yPMtc3ESy5Trc~!5)<- z6a<5;8kP{GJ$1oC&;lG&*i3u>3WsLw>Vg0p$+kwsb8m=etVnz6f=2YlYD6GwV#-61 z_S6L}7mH>Iv;b<58-lc_E{J?G%VdBL?pH#!ozGG1sY~{#_y&Fpd=O!CyQKC3$PHj} zN5;le5+~f8rRd7C<`J~zvgQ`;kCo(X8?E;6isEMdGGIU5uImFELpjHo$}$h{#7uia z(#^GRFV!zkl1{s-hD2%>Pj(b^%XTCt1sG48@~#$W@4D45_AD=EIs&H`o_be`sh)b* znK4zrx~HL+hh;B5k4WsPx4bRZ5jwJabK%i>w`fUB-crBdYoGqmFGQWaHg7t_Y^|Jm zA_fv_umrieU0&+}AL~Jrepyh=w3CR&=nOD`H!I4kZ-UWTgpn7FX7h?-rcE%2TQ}Wc z*o2sA69lr%&LZ~8bNphxqL^tvG;*h~e-zvd23?4mHo+Yl`X%KCLR8~8vR@9agqmqT zN#$@O4o=#i`q*lwO%jd#oU#FyfPO8NNO9eh#+OF2HN3iA&!q^t+UBZk4wmg-Zi5+p zjzteAfYL)S8h~l3I$ipT9*4dnd;ZV0yR&VEz9kdOw>E>Flt23MpZea5k1PGr8pgZ6 zv!EWm$p;RaB@^XJ=Jn(RScdt~s)KZv2<~NkK^Dv~A1V~JC?8WOgqkD^W|*&pB?nB8 zZPB}&Qafxou~sr=&cNmf+MC{(VZPnE)83xr9)%OoIr-2T=7YLpg#(lmDnMJo4D*4N zMCb4hf1dfe>EVM?5b)rk&211h^YDQ)jQQrx^mF@agQCR;5BILv9$XF!7jdHa{#t6P z4GW5zGPB?yQlxN?=!L&XOdVp5lvx5_$t#M$K!BK})@gA02_MQrCbEXOJ0|#%`BHvXuj>u;yxG zadIhs`{@5t}#o*kQHyvEx5^wd~kqhZDW-WB2rx z&)t0#jMPJmq^mVooHXU5T2LGHrl<=#E388~E!q}hf0nr1X}vAZH^b!{)Z12^3LA0x zQdr9E6o*pr#U{&dp+5`fK_>;X>G$ghKBp+R+g?zkmK{+4i)TIWAZ~FSA3IbB++P6y ziT%6oqN{oaJ8^>3{@hL{jQZf*GuZ7ey6XD7=K5tj?T_;%{T2KxA$jNy7hP4a+=(Zg zjz9Rp^66-YVF~N?*YeHRzi!K?&tL!h*g|nP)Dv_Kreb1~BO!bfOKo$l@_j6}B|x}i z!flNmP9CgsHJ*9?x#xstyW|stfRz}2QQSq)qu(jHvfz-o8`tVw-~6Z>2(JgnxN6rz z7sOpj0e(+n`*KKZMNocEa;foMl}tT>37km5@xAT^W}vCp`Akau*|G=MSrN?DOslrHzYScjYa5+20lV&A)i~ zwr~5X1m}wb?tZi51l9PqHoP6j3BXm-M)jf)EewwE|Hj6*q4*7jV-nR1%X|dZ>Noqv zpyHM+ARLIfYdH0qxh-(t#DBt6w!v%}(T;X8M>y&dEgX+5d2XVAsy;vV9%R3Ak^n1= z71+Kaq97*5)-0qiM}QP8G{~2uaK1|(_c}c8uxhPkj=KNaJW83A`x~~SO&M8H&0XI zhj2WU{mET}``Ek|O|ZQ-VJoU>8ezTH7hn30cZAfH#!76i+FIDUs6XNkZu!FQ)jq|z zu@UJo|8Wl0nU3#UJODF0f*K&PS;J>y*>G3Z+`u2A_1VB&2HF@!5Ksd8|Ba0q2QZ=e`cwt>2Se) z2bwJcVm-{Pq7L>I3>OW%3+@h>vQ6s><7%_BLy&-&3!*-5r7cW*(2+HVW~@^Ld&2oJ z>|nhyuHIOYID!j;99Z+(yA|52s}(2B8%;~HNEL3Cc=1Ifo1riqgRjbxjf@(l7B&db zV2D&*8!+%^vc(PV-6sKI1b^^6KscC!S50n2@CP?youfq%)>JuQbu%jY932j9rf_EE z<4I~L?a%FGGg^)?P*7COxl0~1xcrq*3IYeUw5~balRe@!hjYw{ z&N^8s`={CI+?9-AcO1+%u0w(i8a|+6;3N;mD$DcX4ljylfM)~6e|#r8hpRmj2vw=W zBiag~SsAxLZ&glt8xnwU%p#oQaQd?J!`m+e>N1XM;+hzM)vlTE&gm)9`SzTc58h!z+FGrNlR6WBiO78k7g~iCgx`q_1-|yyY^2*pkKcjSGMxq!?CH(jV^CCc@8Of zFtwYrm@QM7Fn?u5BpK~cgn+uLPC{tX^QgT5%T}5X)L!SX6Jn?bVBxS7tp2HBP6$-E zsBDMk1Wa7x(jWsn2PT$iA(m4;@2VFqH3E|AQx9AazwRM`LYoh)T-QBXrYJOXYk9do!LGEzgjEW z7`0||(#9>J#Z844p!#Rz;nA)nc~jmD>Il9-Fi6A1h+4%o{`d<`gf;2^mNA2fi%StKWY+uRrlq~3eRDx86+-jK_9!@Dz8GR7MNp=M z0N&eAFc|I*D`ut0qX!b4=I4$zGTGhm@R{OR`>9utoF$NuDjArR2n1 z5>q_MOTnY-K+j4t-yP)Pj3FCYw3@1CGOSe#YfUhydTT6wE;~Zeks95ZBoT9LvKjbW zXj|1&9cQ~432K*&*m*(m?PSF4*`Kf(^Z+v8cULxp$NjL+h|Rl2i&?*&mYARW&}aYb zH$>Pvj%!D$3yNmjx{HjQ1^~Y8Y-Y3pN0t$Dq&xud?bb44A((OPezRdl43PTvC=IC@ zQcpk^8dkUDmOusurL}F9jR1&-1NR_}ldRpb2hm)E153)o1kt3c1n&nJ&Q>s&x~ddzQ|CNYT#xQW#-HfmRjJsn1{U^=Q>9-mX~DfyyaWN&SdL*Uh{_k?@J=q z)TUXFHN9j1u-$REA}^f8d<>E7E7%%Qdqx6qKpzQGgb*xbrXeVq8W%i}i541a*CV^q ztSCy)1fj;kDad*b)N5!687qp;GePh-Xm%2vE>ilL&rwvKuh?&3FBw9znWQyubX8f3Q@EDF^q>~IvWPQ7 zB)d~ZoEaejJq%js5vhPVMbbkV^T`-ik4j1vL~5?Rmb*NgMv7-D4l;V?0kJX3j>3EZw z>|y_u2XyfQQk|%q1OJOAv!$J%4_qpYJ+Ga==@7>zAd@>ojh3+L4#O(7P!pEAHKj{f zbu*PRpZ5!Y?wcM2dZ~U*8&;hpQ=-B1umQwKOkB{AILE7fWhpfm?2l;updcomAjrw_ zMIBaQjwZWQVCs+B2$4CGa##f@(gHQ`*0d2_6;`cC+a@b=1Xq3N99Hc&$mdD?g&CTs z!YUxK@B1ImiCR<-R7n*oT=z9QPWO$#lSHc{^0 z-58U<@B9QK}MD? zh`z;r=OtR$4cL-)Y`#NRH0w6rd0DN5ExAi`_}X=#g~j&Q_^ z+uq3Y;?T6TF1TN#DV+wiKW;4j7lI7@iy#V21eOHixofXlEUio83Oi{v=?^gD%}Gj4 zs!O8HUC~qcB+}lRe;}zzbxD+MfOLhY*^@J}{)ME0+9eN%6*Hvlcn48DBy(536tB1> z7;g{aI{^GJ4oLe7H(bgLU9w*T50*6nKhVpl*335u05th=aCDT=(8CGINiRdp{=>Q= z)*TJ~&RF3wI8C=-=?$OK;F38DWi=p2?f#mY;WvmZ<-B3Te9^ z{Ni{2xA^P*&1V%3FJd?Heo$?$^TO?!{G;6)&9vjpyz`7c~yQ6sA23AB~HT+OLxo-cE`X2U^>em z!TaQw*oOx|Ho5&ZfPPzVG5IOBeat8y=G~&j5K^tjW%=zLvRW0mBOjU0NlZ0r&JZD>mn;=zfaJPNcQ~*a!FkM?As_w|Z#Ny-O#pG1=5#&dLA|v;0%|LPJTmc+4#aqk}Z4O4rY9FF|urbcEImbfBew0S6=ytRHi?XjJRlTIDpMB~@DbAs3 zUYN(f?41(O=opmSt*>5+!fvK0?BS=q{=I)KQq|fU>#?GB%BIny6a2wTjrvlf?(^~$obUR&@V#1upcY>N$1 zSMzodB`AWYcsrzj%Lpuxwk3);@vP1X%mIGTr@B!Lnjr~JV`|JE9H(NBeQ4+mo+EJV&rN7Q4cYEc21_O!} zg{xQ|B>2{sC4l^vD=D-AcECcdw z`LWkZModJ^9^vm`*i-CZ%|FPQFe}We7@gte5uAvoAR!Dxf*B1VOqj9%(Ons z6EzAExsyfB-ad@!L=W$Na02S?sv&Ez9_wn>j`CK9EkYN5WxSX^sA{dX zO~2ZqGG0vtPiud&I9;l-!`jhU=2~yqb_^TJ##>ytIDW&Eh0=9+7vVgxP5Yc$cPUhi z)6t(NjIWF?I^X!(i!`Cx7vno$v){P!op*~C<2(Nronw4I_Pf64cmB;OkqUp6dY;u%Es3U%Eybfv++gPsd?`)E1iw+0V52}N)H``Yrm(J>op`x->P>IV#&_4R*)Asq*h0D$O;*6TbNTmi1r4Xi z-eB!|$;$W^eqywZNh5i+W>x;(Z>_yeNSdWh#+vnJ$H+sAoa}B)J8(12zf-2#Z1(At zdok7aA*6MA6ujAooYv2$!OwE8SNDB8ZVDB1;M&e3*l+{z)y_qzyoJJ9?S!|o6o zG%M1O7s2C^>@6_6NAPSmf;8kVSSBa!*Fb$6K^k%wER&PaDm6GX4Y>;<@uoh+9wV08 ztEM3@f@L7mVPg&Q_7#)WG~_P1?=inuXA*6#z%NP04OjyW-=Z5$rWbWG=AfEhL__Xt z#`K~CxM8XbpKZw1T1L#R+iUC3ZiW~o8~+%RTI;FLo?EmS@f$Sa*9N=oS-wKEKJpy& zdCRx4B0-yT|WfkhCdH?kt;tSK64rw^?sxj+-d^c7GAAH3UO4C3pM5 z;2nu`{0G`?SC~Cy=J8mbRb(hsxsuz#^e-OG&S zsz%>>53k|5W$lN%Osg63oUS<56%{h^Nu?jTRLb4EVYt`=rAqRjfl|p}C!kakhcz-< zlLQN)+>s!bwP~m72FqG<=CSZ6o1GB;VltK#)?pl z?Zv1+*L7EAsg@A|n9{=&yaEGaK!t2^P(F|dJN znyf2zB|9@aSly{{U4>y5qWyEUis0TAAFM#B|P zfCk?#xkgbh-w@2C7(9Jin`)A1qUQX0!H$uLuAh8uBJwpcr`Fc=Om#YXdZcllsBG3X zkH$!vB-1l}aa?y8P|pVtxJUbzXz=YvM>Ut6=Rs!M*5@h3u6BqE5SMUl2_Dt;(J0E} z=u%lzB=xQ5AiRaGMYH(^r$5Xt17QS+Ptxco&VEV&OLUMvd~F2H$Ho2c;9nxYnvHO9!sT3Cng0 z-f_OUEDOOr-pzEuU4vH0cI+=D)3MoYFNkavETG$8;33Y-i6Kg-gY(Tb@VAK!rRTocAfX{FSgb7L!jQD+i8ECZw6ns z>x*qwQ@)irC>@{Mu|IyWyeYZyT`6npj_;!nELbS;a({pzhTTh+SsoEyneeXWD ziZJ+6x#_~(l;^mtysZvYd0@{x|J-vzdtP#w0n^hDD?W1(e9oHMr{v0l!;Gq?QZJvS zrI-II5&ONtF|OLRu(0zKnVY@$0y)mgA+hx!@t={JB)+R@ORnZdlhm$XZJpWWi3(a2 ztELwJ6%K|=6SCAISr)1Ul=eF4fJHlWV^qwTWic-UISGSAEomovj{TDM4jM*&}Ga{z?H(- zG&Sh<6$JU#x*%Kw>(N5Vw)!0*$oJU=qe^^_oRGK(E;!FcfY&RYVR0?_K`piWxrPB` zCo`PMvh#_rZ&XIltMN)HYjdGx;$iMs1zy=#^^4Q~d=S#{_ZQh(66L4}ztvezyVIn^?sSl2OMaSS zLZmtD{K74J5<_8CBR0Z>ECk$uovmr6sOY;P;GTDjsNY`-j`U=b^dk&wv3}LVG&E@3 z;c+kA+Wes}xtuKAvT|T4v$AQ%Rtw?QMQ9wMs*rF;V9B21y#?waDAvUUkKIPQns)p3 zPQj886zgJwJ4b4EhkmE{O9)bZ*cRq@9hiDXOuHG@L{YO8!2>e&DmW~OqSA4}9h2B1 z*a0_J!=`6tl?g7`?=}qk86*=vXOL`PK~T^nm)v2-hoa^H;@is>W$u!P-J0E8SaaWD zKv}aUqXd_Hl)|lIauou)qCGk6aow7%b};AIN6oB?c^qzAw>Hj8*RPGUzp!qkeR~1o zpJY8jU!hsAG}BegG9E=z2m7lsu{=eyiJ|lT#7}?nfAxdWprWvtJKqM9 zr9>qd5Lz@@0(3>_SY^a&OzKfg#*vpga5o8=U2wmK&rNr}2cp>sN-*t$TJ8gP9(tl( zF$L^Tkn2EZ1%v!xfMX|Q5_%LmN)!)tbc=S1Pv(aP7%R0?dz4w#&|nR=A6I}_Wkt-C zYA;6AqyLaBXHy-HxytR5>igQkcSxhUq$$$)B{Oyma{vHU)jbAJRX^oqcoK^5m7S<` zec1k8^y97QM~B#K0)aoccblkOijD5D*hsb3nf7NPk-Qla$)}$D^uPCyf<#h%4Y%R$ zMPp(vii#z1$Ps|GzbycoM=nJWwMJ?mZ4C#|T(IA8mLP2Sf!WJ?#T??4Ds3bp%|6D8 zImCfMR*Q=O1_jRbiaEqV2@x7)_0X0Fj}>!>bLi2Js*wxImYWrGZwh)*84EGQ0To6g zScN#Kz1CsHd*m(K2AV3wIfAi59SF>79!Ta8XTJpwq(|=@v@DUBU|N0~cRZ?Ed(Xhe zl}r9NBxN=sbD)@_*j`b-KcJmGFH3St)-8FK#Rq9f<1HP3qg0v}# z&I0GMvKrlKXE);7vp!)-nE}d?++A5x9=Bu_KU{}9&$~r!uzgpzh{t^;S62VgkNn&> z{6qj2dNT&jPPeA@0Smylg!-Yr^;`utmT4o%hv-~GK`_iso0xK32^_Hmo9#MW&X7TD?o zdbHKD#^@!Q4HxW>2V@k14jfi%izq{oM%@MXKrW<-;DC~UwQeCuqwa#%Z2*SB^~R#v z5TsFeLD*Gx4I$x#5@iMWLy$(@1$X@swh#Wq5htrrZwS(;yCDC09HnN@5VzZE1(5RH$Q zQPv-3&X=+nx@;zHXBO;R!LxmRxwBO39P>FxCeDY_6IJ|lf$Vp^ICSv9c&p&rf%}yB zMBFOL*%ZkQTGHhS#q-k6B@?{IC5;=7t^O->tJ0e3VMY%@zZS&hcvuUiS#|XEG+!Kd zThy`PJddb*7(6NIalSx}oYDsz@qV-qpWr>^JQcyhnjj~rpD8$s>4ClgFvPY#R80$| zT=OfXCmO%!hK?_uXplD4YhL#`9jU^X#|S0!&chbZDTGfvr%{-1D?G%5$S1}Zte#WW z%_Z2enW?FGmBE>g2U@Kka}z0__gDI1qLF zbz%SRjtl#7zBEoip@o&8Lt%easfkMc>ilIp;IOvOp+?8hrhuItEJNu%zjnQLA6wwI z^?pWfLRXbdAZut52xRR(7DJ_e`Ugm))%ktetV$|MJ$xw%j8Y7`;~r5BOSG?$o4qn6b; zv0>FVJi@A!S;lE5g{IXgsg$|t=msD5X~J##CZP|=mz^7>Sjk=K!->*qxDfU53?Lg- zp}F`NNde1tIzG-9j73GC!Ff50zgryWw47)^S77(?W0eV1(w{9~>yz%O6<1)DiWsly zqU!O-IFN74&;P>Sw?1CxhEU)%GA*gU2Yq>H)ub?Yn8e zhG}~wk9Xwk#l68Sn%2ck{w?dAbl&iB-3#?n_HUDWi>Pl$bdzx+CkxaLX7X;INO9uE zP-3@1%5CB2c-*dlr*cI!OKa{t1e0%zAC>KLyJ)z8rd7cDp*6W zs|9PT?I8Y>m(6~NZKk~5)@h%Q~;*dB(h6R>S@)eR;*Tf$ge2tRpB#rcJbg}y2R}u z06n3_+pz{RrXZ_vv5=w0+cYmB&C0Knv}V$yZJM*AZx(u#a|q5UuT`#!w7)darW2lG z>yo((X@9VFRd!_4_|>wV6gORqv?)JN=y6PCIFt@il&__i8+tr_iyqK=bB5j(wQi64 zZK?Hn%a5t`-+04!fBZhwx-vq$S~q@ZxK>D%Q4{u1gzAh1yE{Eg7epm25f1hOudTp0 z1gX|t&@>F5A*6-WVGKd4br;<4i26adqGH&5)ez)OcR@h8Naa!R*c)$92=ey3AgLY& zuR)K70E(Feo_F2_cWB{|6?eO>Xsj$qwO#}dtE~yLRE5NFacEKNE{UIyZHg$Kf+e65 ztR(3^xFjG-UNOpHv8CF!mv&OW5+gBh~Oi2`*=R3@lS z25pR0pZb!M%C7i$tp^!jL=~XwpYv2+S;sNqP;~Lh^{4-hZ{m}G=YQjyu}_pr`HGRC zU!wf*p4h-#d2izUC+}|%w&TIC+^t&<+u>d!Oiw3#?#xS^Z-y~ZPkNTSD`8V|7b__7 zK7K7Y!+|``&@qn^+AkdqOGm?p2Yu>ye$mhV>Gw>d+=bciIG{qyrnJB}sO^WnsxfKC zBE_a`Rv3vvI81#9G#uaicM=k!NAIF{B6?4h2&;Fi6D@idghUSs5<+x~wb9$^M3jx* zR`lNc>Q?uTe1Gpb|8wRHJ7(?OnR}n-Q=Yju@WJYR~{tIynq>{({ zcx0(+0r~l3Kj(8DQ9Fq$k+lF#2cw5VSXq)4UBF0;NWbBP7cS<|jjAnfTetOmV?Sn2U!>5G5Gl`(n-|uuim_KUYbXV(4u}VTd zz50UVWf!oxb)Nbr?&EK5+1LUVB!)pritC{I6NYY$EP8kM-z(Sxe7`qYnSm+$T!|Lm zHT&S@#!H}pk^#syPAg#Y^GEZ$AF+hX%%e#SIEn9ya@@BI7m0W1E!zqC&%>r62zpGFZpPsh&@8w9}#geyTsx8 zQCfsc-)ks}*XVG`|1&b$Vv#0wOJh^w*sm>^MZ9`x*WLatqxqA0>xc}IPhzr}_n`21 zx^jF`9@@4_lgtnP1lixt47Rd&K7?=^CCRm3EB|7Y!sh`&p3biOD+-Xo|RsFRGsehZ~cRu?JLAgQ#bdG9+#cz(}Oir?(eLksnb>CASL4 zV5ZL5Olxc9=5gW?if#3Y&h~E+i-OBro^*feNaVz1>YYzp4%7luEtNfg`BmicTZ1G- z$?G!7ETAjFm(I5eK3P5lg-F-ZH+`henAuV9`Uf5LiK4QwbI*!kXg8hfG zpPkk{lI*Tcu>P13C}|X$kw0z8f->+-b%}hD56T2yDSx^9(d~=XQU1b$vg-5j?#lEL zhmc54)}z3si?XE3SC<(s0rCl=3*S#Au^j1h(xi2>0+G^#tL5%rwt~|XZASi^i~6`% zB32;q5#By=;L=*++l-n#6O|4UC&-8eiPJD!fDimYvmrp?7m| zS*-uPQ_i=;K$bsd-&tdokDirgzB^c2HO>m6!3I11>7)~g@8wRQj(uhPI7w{HCv`e_ zQ`mf8OP0v}Gn+3DW=25iGCVao?a-G%Ab9B&r~5Wk>AQuIB9H{3HP{M!xX^&dYsW8K;I7CxBlDk0oxoFlTA6kN@^L$%+oyFxgR!cT35N|iII)kt+Mjmih!yACI@evABG z{zdnj_E$?R*`B8gYr$GIGqxK=Grs(~41KE%zR%-wfCU)n@@yyK*&S63OjwO(>G5Jo zg@Zd@{5|dbt1NNDe4xHv9r_L0e7g0Bak@-)y~Z(`gl$xGG`+}0Z`^TO=If{rFO6h? zpVy}k%3k*5k1Nt%qc)6USIbW%2r~I)R|3ypR0MopsrY)Uc%m~RQgj06Tyg0ANYwUM zfFLJC@{43E1a;Ao2Y{UDh_ccng}H_0b(W@q0uIf%F)~NxSJV4 z2JA4E#!iz8V}7d2a=PBDNzKMctDGYnbEBH6hjHqSQEy3mrz7sBRCshioa8cXUNB)w zgfX7@;E~D%+h9lZUmC@Ix4&z_9$JpX5}3drpG75~rVARFJu9+t7-{T>n|%Cquq z#mJWgy`m$XmS3V{(&c+R3nErd+IGq-XTOct+S+nz-O3ZbcvC!SYg~3nO z`*}JVVMVWQ8-?D_s*EH{AN<^V8L6C_Hs#c&Tt^Pc;gwzNCMXO}nmM0c9ax{u5t%Ub zSQkx@yp6g&4EFC2XfJhK@fBW!Kfs0jAc#~cZA*W(Cad>jq~Kg*`NxRAU0AgHhI^mG zo0|kor-;k+^xH%M*gcrV#B@>8hkh*{I?+M(m}*R3Du<$Huy!Bl-x&EdMr-Aon09T- z*Nn3?QO=HDINww$>fX$s6eIr(*V;eG zhpl#c9NHoxm$%UJ+N%}cm*@NP8}VJ+vk>|7#cJ$dgoyRVu>+3PzIxF z1>Z{+K=v*WzQIVEe78~hm&{KEPD!1^9*AIgP}PI#w)|&LYu_xCV!_#Vc{fxNPZ3R4 zfAj?Q^e!z3XcnN)&*$fY6~=Yy=+)1Qj((r zT+|P{to6B%c^{&iS@)dr@8wYq?6lVLs^BXuW_K30cH#_#HH?xyaj%Ydj1UYc=jO`u zo0)X`A?6h!SOWbzVI4Oxaxp|T0GA@WkLY)JE2lY2vxbvcX<8W~IJ_TakJXB&j$E5Y z7>&8H8Cpa7-=$_$G_!RF`VtgLQ?a>c{@|LpQ6Lj$1q1R zp6{hNgi)pVHAU;g&^6+jOt2bph}Vu)C@h)7Al6#?-aWO1BkuX zR4$^HHxl^d41MHHrY${}o@j1`IX*Q<+!lBWyvj5(d2ktzEAPeMVSmcV@kIB`<+Tt6 z`{G(g*inT+02aB@!U4hz7`G1tn(1~<92u@!<5Y!9sBIdUEdEbn+BEs%#Z`)e|%oJv}>~xOLN=HS1L>5z2EbEB$62cIl#O_{}i3eSe?H zJ{3fas6KMqJIm|MK0WWlbarRnP$BiT>ag42JK>PA{?*r?ApMtdf6v9{CNP~!gJaa< z3;dAR8gGEx^ZQ(L*tW`Ahr6jJA9UO-9<#58IIJG~+WR%aIVe)pDG=Ji zUQ4=&)Wly)9ix}N7xe-1EP(ZSU$W`P-ybrR*bz-LUZ*y=9Nvu4l}2ZfBR<&n}IHIa&|KN zJA9|WyvrTHlEFJgQT@Y8AEpZHfHf#^5?wv+p2*!CInI%_6)veQ(+ECrjGM1|+~H)B zMIFdoU)efTQmhfP)LfAVw%_<_Vl)Pe%=ue0M@?NQwLLQAKv}A}&A@71GyBZ)kKU9D zm3Gx((Q$#JP{$Kw5Lrl-P-r3<{O7{qJ|OS&%x%}(oCv}ix}bNKpllVc z4^DU0WF_rU2Gn!!`cL9`loaEhq5_cjojsboGhLx+Dk%PE!E2qr!u>Je|yR1`vd7Ax24*qF&2z~Cu~h{)>$EjwhaSx zBJ)yx5hqh-0J(N;(OI(GRT^hU@OopG-~2rGm|OUj6y<@`Lk?r3?B6`do`|(6gD3%e zshI4lh`lk#WhlYR3l2W)+KkYy@}(rW!g03zGg#US(T_&;9vju-tBi)ww4q_U6Tct( z6UDC;HzG^fTB<|T8Xk{-@Vl2V|KwIG`9!AH1wy zLvTl>^_j^p$tQiWi=OAFhF^NB_r*s8{xp=*#;kU-P%6t14--yP*kZRh7_hTH@q0-cek$Ucm1=aE1-_%T6-$>_%f8`wYHd&-Ps1O*{ zqoR=`qmh*+Gf4E1e3ht*8SXm!hm4(FZ=Hs8)yF!aut?I&L)+UsrZiLc3(!rvrW&XW zK1@REbGS;MihlV5~IxwOyR zC_&x2fgQsUNs?bz_uMlazpnAh+J3$1Gryq;KGR{+9SVF>iwu|AjU;9)VNA5juum`p!ewQjbw;#SReBrr2# zA_UdIsnQsy!dLdmjpcT?oe^@FhAJ~kaH z$a_P02~*0;a2wqSrkMKF8RpZLdDW?*D1%-ZzdCjdc?=%(%x0=pJmM5JJ6(J}lb#(Q z7UfqV7?z>8Qfkh<*+-xnQF77Eb%GLD;0d3BMhD?`2xA$!=5Ym-@^FMioNjjw4cfXYjIHu?f3t=jJaUmIcA0JqyZT|(~ z?_(pqrq|eC%kNDR@L0t2cJic2_9ra|7FptSB^mq_7>dU^`3}sWpV~h`JpIE@V)1st zSu729R540>OT9%65j)EY2;MFWzHnT$JCK#Vb<8^2EPIHfDU+)jdHaOwxb;Xjc&qld zKqGj!b7NihklXxhv+R1}_PA4_K4d_1nqf4l50ScXM9W zSoZd|xcTvw{>Z6pP~OKdh2g-|^&DkoaYix~<(wgb1kP{7tC~5lyJ#giQkd{dA!5Wm zJf8X1JwHg5p%;7AsLSSog3O@#!S$mSv$BA_!(ZD+Qrku&W&wNEXmzd=yauleemeA| z^aj(4`k}erO3Tfq1|`pFBge+gHAG~zA+cvsGE~_O`-#cv#f|CHu;48t8w_lSSeRxL zvCR61^G2V^%NF=;@2^{*Ird0{fyH=EnVq)E)?W+f@MRtTU9>s)pisPtdc*d*=h5gb z=yDtFe+^8H)?`Z%som7cF922Gm!Sr~7~8_j7ZCeNd_( z_HBP}K6{6jxrqk2*YOC6x4i@607M2Oa?Ikk-&ELtkaQ|bQ_H}cA*4~{^$|)IdAEhe z4GMrRzxbOggSZLqHY{kYASjXs6&RAFPn)Elo)naK@q!C6Uv=`E*l0@9O-C9madRH+ z|6ikiBUPC5zheoM2=SCiX?pnY=7`|I>h@OfKRX~GZx9Zf=JZ=_3}oY}Q>;~91JWb) zG=(}F7Qc(PNWl)+B~nBZKMy>;JqOp#3SCf~LSg#!*mPeQE~sWlE^UTvap-6d`_W#5 z$A=J_jm%9t;eP4Rug)H*6cUNz zDu1d<|F*eau+mP#0*d!dGw}(XCqAfv$OFgYRdi^9EeZF!d1~0> zoKj1Qfmsv0k1B5d5rr&^+-+CL6HCk&v++lv@O8mLn|&iGQBtiCAp`{OHn2(aQ^<8@ zHdoalM8_dyB;gmOwE&HbyMA&GH!lUf!=|>8JEKqxE`(}Cj#(;^VG$zKChrgyiPZEs zMvXp~#@akA@8 z+9!mg|A?I`&&{{wTPe)>CoPgqc)TS-5wuWC2dL%daR536n=m->l8>CCcgC&_>HZLH z3ZMCYE70pu!|PG;V%$UJ^&_4d+QnJVU#r*%2qt0^)y{q_=qoEzR4&)Li3-sfmJQM! zNOV&(iAA9vtFvMgq_l00Pt?);HT7edHFf8B>0Z|uyozCCXvyk}x}*mV)io~ZPVICO z*eV$GNYDMaK#L!?X8%-32?HWdjjg89xOw8c;m}$@Qims{N-tZV@tj6Kxb}Rjb6OJSTzkB+B_g=_f&Zhpa;6ASiuBmd`sD-82x43Yy-@5u{96U&vqqDy$gj<4*K3&|CF7 z_Y}~&>63QOz1oNH4Qb zF|_`OkqxR3&@b-7VsZ?x;;Uk0nYgaLV1o~R=3KN?RVz#G*AUh1U4zL9=7(X)bvl>% z#|f+w&LXV1e%3D0{LyWCqaxy1f3+h+Dk0W5?4B~@^^iT_cT2z5p z7`7d)b~tJ?E0OGPbh|WJWIzNu=vOM4{>7Yek&z{*I+`^7xmr>4SNSOP)315-xr-s?aAaR* z+u#o8e*xuLUP3>(28>CRu9AeffA!O=wa*0(_ic}y#Q{qgdBz$|6-~%g9Ty6|2Pr@$ zF1%`w50*(9jGyOk%l1XImJ0rQ?wi)&hfkta+4;`V-eGT?DtHJP-F~;-n4nWQ$U_RR zNw~>v8wbOnxtk*`R=gP^-d8`N&F|P*;9hPu%XUV78436*pQht#6jn^ZZI#ao{?^fv zXkB@goPKtIIyeB=H`!N+XIFaf3>XuS>M-4`FMkjrC8$u?Ks4>J;371VyZMJdAPamVTVP z>s&>SSj>zbk?u@)v@zKk{QM&-uoXDK`~(`n;M&W6lcSVBe0K}E2++qGR4yt9>oX(G z3ZFQneXOh-V8JuYY8#nAQ0Qq_5OQ;~221?1eMbUB3O1(2kKfv-q;dEX6)7mpIB-*G z{dsulnh$ISq&<^P*XA5Pamc`7tV}I~&Ph>7e&DK+qAwR&*>a8xGLxdd)%FCoDXic( z-SV^LEOa$iDI9kgh^%l7D6ojVQK4uYRA5pexIo>VE{9Gj_wp#Xnc$Tw6KRtejVe#-Y$NflU(g04p;KBoV=!@Z|!*R+z$f6>)}(W#8*tm?BYQz za?mIAJ)!&iwRkm;LqV1zS^Bgp`n0lKfz0Bmi;Ed<%fm*e14a^cm(_n%!&=u@(|$l< zV(DZl3q(vV?`z0#7oB~Rm#k`*RKr3%+lER!t=Z&U=SuJ$9L(J!A{XL0!GRi_D9SUm zuSC=*O?N}EyWy1NR*!c2;Kh5shR;Bv{&W{b{Oh14%vku1rkv$%|!FmVJ;;5aq8X!M8&@P*Bi3r~K+kH1!JYdJ*AJ4EZSog0^ib@?(% z9XI|IYK6eP*3-tHNj2Hb^FffLk#`$XQoV8&vVTpDn6QO>7~l_G{sV`>-kF}dYG~-t zX6B1Y@lpr7(h<}j%uW)Q-Cb!~k6v2cG?k;R(n2+;V#vq{CQLO0R+^7of=Zrb!`=by z06x3bblE`1;bvr;4~is(!5*tatHsM@+xb7;h?gpeBlv66R!IkgCp@B&U7S6k>BO#l zEiL%<__VSfDm+3S(EvnxSpbIVbSrPN`&x=|6R>i}GOV6VyAlQKO6Bxr^T39@GoZPa z47yP0_djwF097GLt(MwgRPK9?8?#3q4 z`Xu*Yx6yVvXfz?y_A}RjySZD#QCm>b#Fc9i1#`tJ51mYn26OD?4ViUirJ+5LKkPR* zV;Po%h{fqUej?%U{TJQtWde~p-J97?D2P~Mu^7o z32IQKijMu_$05iNkA7GdB6{dw(hPoi8%H_P8bxj_w(=0ef6t||mis5b^?rm?D{X&HK$BD?V}ZRiZdoHm46y*?erwkbNt zCgf19VV+BjOo5fO*=}pz_b+S{XX`KO3En!bI zb&I}@h#7^Jy@|41jnN-MYMIxPVSuoXlA7c}mwL1Mc-;AuF8JvxlRn1zEHqwb^Lx&rd|cPb z&O@97OY&}pd~@gO({Tf3L0kmAtH#+)wLOWIv|5Gl9gq4 zFR9d?!`u zYQunpf=an3l(WT1((rtVB&3gDfSiDNdJ`u?W{ZR^t&X-Eeks?bExCyTKJd4nkNCVTTJE(!U@j={#JQ-#qxx&1==)i1Y z08js8O8qqaDC0;vht`rIYOOEZ|kY5T`oFz+5MWe|1 zTUw*PFJy}bRaq&z0eOI}OhuUMIZda=bu|s|?&CWWmy#2N60FyLKKY)FO9bKI&}!*W z*0kJ7w8kLX9ZiN14|_}i4U73ziQnSa-6tO-vpLAkTWnqRtPIgV$>Y@W-sv5u%wgt* zF8H~NTk9$-f-1Lu73#P9J44l>ssT(D~p*1G`bq16v7;RVsZe z4@pprtFdO%P5q?l_Y{68zpRDAB;UxLpEUsnqMibMsAE{k6*k zStmVVw%R>?>#1N5{Vd>RIi&Q{pubOf&a?bzcQwq&n_u>}J z{SuB|Y9a%6$e6UGeBzLo87f+jqvan*>pUK`3vl0rpc=Eo_CGuE^OT{ z&bDa{k6P~joN`pcgU?T?j|W|yk*@b^{&M-U_oWDm8{kv)b&W_KPU#Dw~C)w{`5H zM#b2KVd}r;F7<5p&Mt6*E7djA6Wo-9Lil$AC>-5u4 zSb5bYav5silp$VtHM=Teh#T7qcIRMi7B;pcpnq#piNh{+R0%GIwugUlwgI~3f5SuU z?PRx?sOxUAldF;&mzvu^s+oU2ah09NU&1keS^(<+gAyTaHXBZ+?vF$fYX1XcKJZ&<%KkowId z)EPtV4xzs2o-@_MVPitzvgFwN(Q%Wvu@mq}6=;~#TP66n{J~O7iAwx;jhn@3oV52C z#&X3;zTRHpX^O+(uh{|)Bu>suLaF$*#HCX z-=P^76xNGsq#qtgQ4KdTMyA`>awau@kAQy1N;<_Fdj^8BtJkiH1G2;F$8XD`r<@y^ zcjRc7`1_kiXF}D8xPAe&s(<=))E%bKr*Bvvshx^Q&QgE-1`w2HbpTT3b(H1m=B8n0 zZu_uqi3sf8U{~;{Ss4xlMRHePCp0F41EBY@QjXo&)?vv5?m}nwl6sW{{MaDR~mX%-i!7_W@G-v zVv)41kVFf)FU7;FpD|*FH@T2%ociZD@H&Cp3;sx+HvR^2<* z4}3A*mT|D@94@(>tL5^sG2txF82ol#xEA!fq~Vr%cC;3;vwt%=S@UTDAb^oXi&W#r zAe}j6G)1|W-yEmp2y&Qg+7@!Mxj6t>;Y9w)f$u5am zBG#!4AlkDftSa}zo41J93gXc4n8BZe``EXWvu*P39R1TfZXEqI+SlcBix9v2(02x= zT9GQasgI062@3$E2PjE$xRP%Dwu5f!9mLlJH$Wpec_lnxmnqF;c7E6dP{ritKo)7v zx4%j$>4L?f4<*f;7{0I33Hrzqyo&~8EP01+!fhP&d!+o?@Z;ro^HYyz=l6>9U_d_) z2RH)XReieNn_9E0N8ibD2%u2l{MMOG$tot?mMg>*%N^W+!TJNIrFgjvxQ~RW7*}MC zXJntlD8NB2SC>AyodzStP|nG+P`x)Qp2tM=D~T&hoMT8*)W|5;bLdx{#K^^&gCRv) z>71LJy>#k`5c%%tWhJQZmDADWab=|E(PTQb-FL8RRB> z6Z%fN{L|6+{XtmdYDoc-orD)_i@1!<}dH>tUf&w!1R$nC9Ip)tTBqCqL?rY--uX+L&8d zXn5aUDzNpy&k5OWi_*kF0fVE15i_8h>Tqd<_zDgIBT`YTmC@kf!KY_VvJft%I4>l| zsF4XL067Ns#k>pg>svvNu5XxBG5<-+39bXYY9LquE3ShB!V=gvEnv1a&q-zlH_C~f zk679VYMnFp=d3FkYaP>^(qN;!A}i$RhL3P(s-oA|k780a{}%#>%_qGvP;HSRc>yJDmMrhymK{n5rN(pysBtrwA`Lxytt038*Q z-koW?94}bd7jnjL_r=6_DFb*a_4bB}yo1Wfaq!#|@2@rZ+iaiSgL#gW}vYUvhFQhhGnxcnVP` z(|ifb`lLtD$yC85E2Tu(Dd#Xv7lqiFJjbwwp@QonZ4Hjo4a{}*S*AzJ8KQNM>`GJw zD{u%&iiTbn_k?y73Xv4;4~=jU>}YaSM+styRD~MQU?g?yi zs}MbGs^9w`NG=hwRym{6kpl!Mc~DkWOvdd%*8PKrk6fs7NK8pQ2z$5IU5W^Nhe3!Wq%0L$!_^SDtRa?{hN{rT8%e`oQ`IS>$OFO9O7O)M zQXh2LV&*^+&%`j_Sx1riuC}B!-&H!E_bv86*^&y+*WiKMtzQ+0i4uK9IP?9U+hBPP zh{xfjeIi467l1KNSHDeZNJVuL_+hk6O0+37{E&-@BIm@!& zeNMK0MbPF{T^TxZuM7QISa6MI}{kLMyNXfp{AR>t%w* zTNjl9Iyr-Mm4Vr>3xh!;Ny%4^L6X3Lg0OP=%3gsYL;e$1Noy@*f2glD;`{u8FWfZ(?o=+AZ|-%)vFs&JpL7b`$IuJs zxFucWbh+IgF~0cCg_{18a6xp zL|9dlm)i9oAWrwq0nOA(F2;Wj-jX5tXL8Xdole<0$G3WP4u+WCaViehAZf|V4K@D7 zNu{zag_uo_D>xUf&m9O^6yv@6UmMf!r1^92E5>ju@WBHCy6^sNT=`wo1^a8E2Itag zsqbn}D@VlVxLqgz@|?rtG6uTMMTs)~Ra>_oU9k~PZKiLa6ocLSxi74WO+~ZCs%jU? zy{PL4->A+fnu-$Dx@UFoNK1?_c{MF7slw!ez_$dBWk^yN6$=7i^ly$Wk?N&rR+7W) zThG?gpJ7HykA6$iPn|UOv)DYQQffy!5Oxy&cc-lzhC@PXO%NdzQJZHS6uerjB$;Ad zy>o{jixo1tzW1|-|9LE-Vc!57^3s5$M1|Qh5vShSai_Apf%kQuXYc>zG5sauIha&} z(`dIp98eQWH;*cv(>kjQr zo%B4{{uT4-wS?6u{ohD`k?2Vo-}f2(cDW0g#-`@A zyK$8a7$rR|?6NZ!ox9g6S@f?#uz87eT$>R2sD0=o-XHi!z8IVYjHF8LU+MP^aS6oT zTTr}!TM8s8MjgNA?K~*7tjPCX?`zKL47TU z?h8BTF3_p@K7XVXw1TBbC^26sG0DVZfQ^f{uJ(jN7cAkPfd6jpd+?PyD`s`2qg#ST z%!slEw>ayW-R|3x{?-Oqzh_9M%hY35Og-~KDcDaPB@PKxJ)EbV*B(7SfG2uq>@n)x zc>LW6YfH|31f3Nk%Uqsa;?qIYF%Z@vQVBvXXKf0P(=%Lag7$awD;3p?#i5Eiy%U?+ z`^kkq5?%A_ar%JH0RNOhpyC_s#wg9c1s|^QA+w7mrd2s=lx?Ed~8=Pr42WVNvJaoG?u#`76+iOiz)jpthElNfq zL&cI|k=~I*KP3~fyd#l!uX2YQ2uj3=R;DcJWMCW&?bAD=m~Ut}Q{|=^(d{VdtnGB83@1I_+38HTZ3AH{U&-gox8W z=>h#^7QhOPjTuya6|xQsn@A@sPOmjNILDULt&rh4vI|@lwjTEH^45EPBVrn zRGykh!b|V6gzlj)cczUPB2L2?p^y%AwmTL3_5$Lqvau-AeKYM+#lkM@T2-^M>|6Pr z@?ZMiI4er4G@35qGx8W?`{#%6W?VB&SM2A@CYTDd{Ugn;zHW%H0oEM1#R^_AS&c?j z*KS50HE?xyfPYKV+&2`J%)|oOTfU2Bb{cf3XknlVBQj27Afnt8<Iw+g}ghY$! zj{0=vWqndeR6sR8Lv7o&NhgG(8W%Eq;&w`|eq?dW&gh&&68AF+ORS$?1f2-5awUbN z-F8t2jXPIHm?NHyR4kO6xR()tG;R?|dCelBq5}DMKt2+A)sCu;n9tirtqbOp!H}4d z$fkMM%82=uKf*pofbpBUaGXcXue>hB>$g5Vb__p5hk{2&NdKJ~9e|ruYuRL~5zk}v z);r|RM3K+;L&{H*u?1v$@ZEKuq&o&NS_U^R|CZAE`3;k9x(W%c$}|d2q$GeVuXJTj zQf`5KJFSV=Q?f69Ux!*;@!b#XfR?v3(cQ*rfor7D6zp&;6+RRqVN)`Asu+0*bzdy- zX_%I;Azq1-n$!=;c`}JeSQM6bV(p@lYH_n7k;0LTK}dk%oFLV|4UNkJlqWk+rOI0htM z0($OG{juopff?Dr_i0HUZS;+s<$VG?%Sy;*g-D5d7^%8xnGDV#I=PrY+34Mga4Ko@ zty7xIvsiZMWL!W!0EiXI+O%oU%f_-sGU+EV4~RhSmohrZxA{)Xl(jb$k}@P@jV96q7tDb9eeSf#i6vzfZ4rtbvFiMOL_mv#^q^^>l8ZOSF;__|A?z% zXI!85VM*L^S0zRDmJpc}j~#CKFwAc9dJ*VW(Ic}cBh7uLFx~w?oN#FFdgHYF&3=5# z+yDG<`TX+n0R78Hks7q}|GyGwFVJ~=WB6O8=YOfuVbhu4mP46}A~+!0bcU$^t^KFh z4q&jKg~O^+523AO&}W@H#~6cE3BO$hkKHbJXXeL(X64eEmRY}9(&2TDn&-0a0P-e* zLfBdrtIAHuT2(0yut2*5BjvI87Cgb)QCBw=OGI++h7y4lDW>u1sux{+ul3UfcmeK9 zJ>$AdxmaY52l-#+Qf}4d8x4IS<6AM_5;1EqV1Cx0ST-&Szd!x;k~Mns=e#U$oyU{8 z1o%RHu25C&{2x#*J;2*09<^x0dH0ylrDVMnUSB^|V_N$*iXP-Mt6R-!F)_syL zSW@50t`5NtqQ$+ev~TWZs66OY?9(ketwxl5&gY}| zD4^s4zQFE@-`we+tJPe`A>@CTk>Xqxo40wFW%l8fkE3pD46GIw;E(&O(pTg^!R~v0 z0FLaejy+IEQNE0CT+NF!K>O4HEWZIP1>}?d^w;g_%oCRL7kN|1XHR?xUmK#i zjq(4DlrZ(OEf;h!g599X3HG-^wxCW@~`Q?{N zlf{;!AZBqC2LUTfhye*nL$EE+?J^M$X*hI{t{+F<{j(%sY)=b2BKYH9Rp8yWCSi`8t~v+(6e5Y)*X%YYMg3CNn0blrCrHLz#W=uC*lKo?@65WX zsRpR=U2Pj|glj4yw#BO!;|KnKT)x>Lh`SDwD<&;3;&1V3p+VG1o?T+CX{Ij0bFglS zuEu-4`d1I%Sj_FO$r@DP9=Or4tS<6P+o$pAH0OhY<{c|(#AYUtFMsZ^Y)i!j%sMKW zhZ4$EB|*w>9{L=QN^(j%C882$<|GJdg|L#D0MoPqORT=UB7(IsFGsQ_jvo9Do(K5U+eoPNQ;> z^4ckyIiE~PHS$Yk#GqGO$r$hkJN%IL1eIUJ@j76M(**gS@cn%u3#QNN9%96nL-UJn z=U1(09OD$9f1tA~8wY@MrYbfN?}>=dS1b!W1{|A@ep8 z`E>#Bt`fq{aO_b^pX?GgP#FimBl!lt3A=6KguBo0N>LUW#S)2UMLNddIfPp$Ji~`- z$dM}L=2{Sqf!@`KwKNgHa{{&d+3y{2f`e{srVn;i+l8k>Tm;)0}_l z0j3%=YsZwo9P7DL^BACTVD~@pt`E)6BjS?%W_EW2QP zG6yN!SiQV|!50*1Cl;jcUFLI@zJ+rapLu7231-0(4C<&&C>SViJ;-e<@GMT@0sA_a zrl`uq+Z-RtqL&ZVnkp*#2k#;?Q0-=~?j4?`V+z^KY?Ft~^8`vN0-aCmrhJ?%4ve7e z=Q6LOdnzGYWBq>kMt1Uh^5XvQ*b`RM0Gl{Va_K^PiI&H_IYjW$J-TclySSCSaz#mtMpaxjeeSGxiOFJyFaVeB zLelB{#K?dl$GT&;yXZBjthaL`P-a`zmUJ5HXpc~Q z?xT^u32Sj&Ihphh(D_~*$pASd#ah>;AZk2sw?rxq=yOopsjO$FR6*1)}_%F}l7IseOn z26gQs1qr5)Cg$SrTKJ-SxG4)q{R^30&7j(s5ohx~&rQ2V@0C0y-S2YS2S&8_weM=^ zenf>u1aJ|~==>{B8(QqZ#c82r5}OrFjtY6{YRDALKO?%HDXymENLVF5e2~>#Y^?BK zCZd0zO&6^`=VLJT!u~GDX>R14zG{1aD2;a^7U7VJQGkIg+}%0-v)??HBpn*`efRW_ zSHw0Bq&~(!oW-h#VXYK0W&CUM{W!k0qrjcA2?(IzwNmKHLQqm;={FtuJ5?_B2XdQh zQq?=T{i`$(>Hr&q)1gITEPGR0x-kqtm5|mEKeaV7(nwIxtLFx|o=pPDZye1O-v_72 z4=iNtlqbvjr0XgCiwc@xA*RM)kTFVZ#Uu&%WzIhW*@WwXb}jL7mG|rtA3P9zBAqbX zQa$^)?i&wJzLJ>}uZ;VubV;z$oyga|f973YeHIq_*7&v(iAT8j(T=>npD;7tRcd&B zutTxdx#5)Sm$f9h$`Mb_LSLpF;Gn)_(xwK=yYEsm1zNaeA$!&-9nTiEkU6mb(+tb4 zp1p9vnA>TleUXy=T7@8zXmf{ZO#Z7ZBwwu3@+K@59rS%CY|73C{2ZWp0e=9etUV8o z@LGsN^}1`jcff&i(EYT85(^C#R$t_uO^8DP^2dz?E3TN!W@~)Px4i)-3|)*TLHjid z_>TQ&3+ksc6HvDZ6aODsZynZT`~MGrycGcv5Gj=skuE7|kP@Uzx}>{H@)ki9 z1VkDJNDNRK#zrG89g}8sN{5W*IXCX_@1N&5<_V{6sJBHrn{)Cdh}_3-|ijuJ*hltqXH0hC>k^!9>E@H`nH`99`6W zg4^KE$m)E3EX>=QObd#bmX|sGWyw0JJe*=>oEzDT0Bw%~WrK~)YP|Ik8SR}5Ye9bwhEty!aiwhjFbY~cS z2ekJ)302>|GMW6qD!5%|N@dSAGhG$RyyR}%l+H=>XQkqs zz5Yef#jz})P7IfpTsxl6=)Q@@?oNw8?`XC!#o@{+wz_Ay{jxWsekfF4COCx&CkHFcldt#=kxqP^E>V5{gaG?u zX1dP^5@Dm?sF#-5(G&Ui5+2_5o>)+SVi6zI>f!!R9X`-D>-53;9)`&;9k+Fln$TRH z#)^~WVNL-1+8;_3o-s9&Q!;Nd2Ej(Wux)rj6LomvK`UCTCqBbm%-e*Up#b9#_+jZ{#S=lik^^2-r^|`&tPq!V>1@f7UX!Mw^l?uB0rzXmj$tSbbh^Jg&^POJ3X(<779Se!~Z=;ZBa!98l@b$nGc`KqU>YqXYR zY5CC~{_08EE2UeVLQo;|=MT<8RQ_pwO&H08-^)EN}uRevgEqmJdf3tx$bui9H(ti+~f3ywFvq2IYzqzuq9~E>{%qahU*Yv<#i#R z#UE@5b-ZaE1G9`F!YvHqRU_!g9ESjfaD3v-9M}lswMSPxkCqbheCeSV{(34UWt|BW zlXvQvF=%f*Mb&I;JVjhoJUW8n&5mAvK01 ziyyBx%>1ai%ej6Z?s|DEYS3MA+^=vdKVU4 z)biTk)09r2~r(1370e=n4!4dQEN zhW}JW(%dhT2;Dfmzhc4b#7FnFc#n{146Y@_DipuqGUeW~+3wXz)7TcTWpHev>!6LN zHr-SEIF1gDc!{&~Vs_Msf8+7;s%Rd(=j8+D)_zHYZZ!%-*ESsm#tOx|ZezauLNd;( z$n&KXhV0)HT;yD4=H~7`!o|S?yZfSjhA3}&=qJWIdFPPf^QeZR&rwaHLncXBow>LZ z0!c7Koqi{pEMo^jsO#M~gD+GVMox)2h4b@i%KN*=Em8N_Ef|{Dv2v5zES-**DyqJz zm{SmQ7V7v7bdN7Ikb-8D-(S|SL?digpsV&-VFoJEstB#XT&MZ%7wXY2|5fkX(XbR} zcd($e;R`Hl!#_Y1GWTdCrG)QR2GGtfNMo+4-}A}O-tLG}0|!TCH88DA!Tm$$S!s2C zJH0y|n;bnSBR(66)?tg1EfS;NAKfvC%aO0h^;C(b1#KEBt*>?LENM&3ozc4$gx}08 z(^^oB^NUtnZeepj-&0Kraq@TC0qu2jjpW1~|MLk}!fVsg1Jx!Q=AR*-#2pPElT**< z3IW;TQ3ZY&SYdGb$t~k%{ioHvoE)tjZiw%Rc#GEWIY>HY2g+pEcJ@-zM-^-`oX0$6 zeQ3{8@XMhvUxzsUOCGGC#M4!;`@q;DE@IG5e+tlkOn_v;i6 zs{(*hwDj1~$jed6oRq&5GtNT&+|8H`(od+$*HLVfo zm5a)*8(9x-)-PLijzQnPiW_NUP+W~jgA6vmX5b`|ZSv$%!9XS9JdEepmM&m|%F1(y z=c<0WLSXU&x%YOv%$HfCl--C{tj3|4mp7SzLL~AcJ!62M6EiK{3EagW)!#gsP0mZm z8^n|!%Yu4$|FAMSnzHxHx_%LD50x!Lq0*i-bOfj(=c`Po8gNXuIN{&10yMm0e*R*y z!D}O#XN6UUc;jm0Ohpn#d4`v+%4rq%d#*m_&W-^iIh&=S(`)X^R|VXd-*yL;4Rfk` zizhseAb{B6S>&=eKi;3UCnIYDfW34WKBF(gJ(wZ&{JHSm{~@D%a~c54QIH# zSA>|JTa>l((XlbC!%6hplU-0=yr$;8xO#ieRh_rH=p(hdxmV|^Z=06q*1jMXl%$pF z)5qs+G`X`xOY)-p(P)ZO3_+W53_>Zo#Z#p@90qircDPUCDFV)6oLL_ROdYH87mCCI z!LZjx>=eaBv(OlcQ#Z$wL)IxoF1Aq1z@y5jYi0Z;qSB0#dYkTwJ6iWlNwuQG|0-># zVst1v&8b{svenD@C#u!_`3&=WldASp*M1I%dZ0A`EKE-mM0>j9Z1-B@rOs9D3 zKa19P(ujU{7w_+QC?s$JH-k|Y7CYy&L9*IC9FJooq zTfgMm%S(n8x%r+2#T&m39vTAO#Xk?~xRfss`EQGU&wa*P; zX?^A^XOs;8kbaWWfap!LWXv@Fiq%UO0blyx&O0JLMu8KT%oc59|K^jg)R z_=@c>KeR2-n^+O1N8>Fi%I@6gY+YL9dwl_l%;dxvcQ2U^B2Dx)Fk8!3(^0Aud$Lo1 zMMb6bPxC`$$f7*?*3rAMXa{?(N)0Q=n;e1p`T&&^E~s+)m%QdC0Z;mKLx-rVy#fO` zXBMV8vGCh9b`TO!!X+{>2N+AZ7?7T;xwd%*WVC{h11y9L>%1wABXYWK@%LA83zGAr z)IOUy@gEZb&#ABC`)qQo2rKUFd}Q7-NI1_GA)!vxf_y3)m^9`PlL(&TdKis^-Q|pk znolAtl|eY@6opVQZGfBI+;IwClGtAi*LMx@{Vn#sKW@kadoWh^al_pHL9v086>M0n zmjV1ghUc2+P;%|B2z}|xLJY)jw)bX|I3L~8s*roX#4Y%yGiGu-EG)$85NF$#M~7=T zd^*-bJG6PajH|U((yM^Rl6yZgtPFN#WmD-n7-S{PB#jXdq~JCA3@H2@UTnXn4OVKXoHHEyVVG=HCm(R4r`2@=q3w#> zfwVhaPBW|XKFS5w%wghL!svUCFrXyC5+8syxkm9hCE-*mhjnWYry8%cmX@iQc=AK% zwy6p=@#lM&;TAxc2BakZJT3;RxRNKNKFSL&+^4akOc6k6)=xc@_jxP14LKHkc;6#* zj#?T=KKi3-UF&H1V*gHHFLjm>Y2jQiiBFK(#yHLtPaAz3iRG#+P*c{4yyB97>LgLx zVf^d(_*b_%G?pPASGeVBbJ5=D)2pjTNeS)J7|%w$(Ndkq8h)YRWK2Y<1dA@kYUq(j zRb?^qa8MdO%$)QGfJS@+6J@n`9ym-Pi;7PdBH$2@oplXOt#zEd370x82I z0%`EAZvCq{59?N^8IthMRa7eIb7vK)q!&sAobX;T3`Dd04{#%Rxtor+1U(6QIVjvC zXPfc)w&KlP1CEmG7pSFgg)5(?{Q3Y?F1OwVFh$~=a6Jw2^n@SNWL&0k1~HqiQZ)6X z+sY`EZM{#I>(8i3z7sxB=|to*JnQ{Y2ZM(`&}tb7lb&Cd&cfJt-$9YyH@Dhb&O_zg zSoGbj5gI6`kbBxi$!P-1q0wrlZ_sW232b`E%K!Y!*KShm#OI?(>MtTN>j12~{iWmn zrHBd>rJbGr8j~JSs-`b)ZH40zr~ow~ky?hyZc)kt zPUw-6RcY9IWQcR}xHIJAWpyXh$WRcnS3KVO$vxUT4FMr4KQ116fXCRmGVWtw5j z9YzzqC$Bp2mw5I5JHfR_buSo=eG1Z15x3LLDGSN_F;tT)px^YLg>;uxem##1edai z7udewn#%f*(5f-drg`6;Z`4kZYvj^8l<1CVoa3j|x(w%xGT%Cys4_9*P_k~UFn%=bgb)5qh$@d|z z;d2W7Q^o7?mac?4lE7j{-Y^Se)oR2bq=VvTGZXQwlBfATTDAGZo@(=1U(cr1cg1qK z0Sg(fx|j1+$)ZlHXBOd8GREz)9vD6m(Cx6VJWBZ#GhBxbrd?_LyJBG}&;8HSH^+>t zT5rN4i*Bl5)ZzxG(^N_xnh(qQT;0o6)UZGk5;rJALj)y9y9e$}pr32nUCz@8y59eB-Pd_>HO2N&UIr`9 z_V21=>4FuNx4*f@Vu+5rGtM-y_94$jm&EkIsmOMwAIH*X;U>58=bgp}^;$ zpyt6}iB5{noG`S-x*WP(1Z}i(y0#PD!Ne@@YuorUCW2DJY0=hbXWJ+;D&X<`7yOHU zhQ>-gDd^A&?^v`8>}{P#sTgLHFsn7u8A0`_2NQDP5t9o3md;Niu5x&k!+lg)EP8cL zQS-{SU%Wn;3vOYy$Fu0@UVS0Kv6cCmr#GfxjX-b8tWJe8u;+{f2Zk*#t z21VVQ!V36t>RnTzj}uC6mfY=ypDOEu8|z!Vv%X52)@K5N0(f#g$YP)(ec4rN$DF#q zOMh~YSs#0o+3A}3KdvJiD0 z>I*NE!aP1bHaKe(;CovNhuySkE{PE|+oJ3hz9d7Z6zng8>M?N;JG^~*OuKSiK1&h*-Ep9UJz5qhdF~gl zIW4LW=R0@7b8?ymC&fL3pi`WA*E^NS0b+q3e4Cb8nGA#=7=KIb4(GB^f_ADSC)4^( zy7L!|@}|L!8LxwUMSvj7jz@r zPBDILs!9aFWs2(ZRte$k63YuP#ZY8=lMdCvaEIZ-cLEh438oX&Yj&-aPVc2~_rLb8 zX8($cW64=Sl@4&FkYC4A&@9_N%`V7Zlv2jZw&SU_B@houH5!k!AoKAqwHKFnsXDXg zU=sRIy4zu4lz`q`n(!!6u5=^7r{;-x?bZ1)YI1?HjBt3*lIxb-Wi#N07pJ- z_h51~DNg&(*T0hqC(rAayra%`XJ!H=gv)F`$H=X_z(N5*Y3JSY3St5eGZJ1*5 zt~F=iASvsLQY(GDE-R-JKFJZqK!&p$@~jo>+WtelXgVWsNUDc6LVW^vc;%0zWnqBrh$?<*4IAF^~t4AvEQsVZMr?@SlUI-!zvN=pP! zTl9eGh%VmvWu_7T?ENgPv1roUg(qIY&eWL~8Yb9erFgJ?A*y9?Labuvw?d%UgD7_L zp}4E4l!ud6{pdk-aanBPyX&LZEG)188 zqu7K*rO=_8tMS{~u-Pj34Jdyk`@EFur=XLb=ijnLOA%oLm;-|=%-A%NytAz^!=_bM z#}I6~MU9X^`P9TQ7hAVthG=7jKaY0bFFM2YGcb~2#s_x|Emp11my?R# zDC6GjpH1S~8YndL7ru^Oc}b4pw~->-bwWLH1D0+csb^f(`Q86RABqSEZO7b^oJOXP zmdRUWPtA0f2#q6L@Lhft@bvYUFfQj$iaIGGhk^erJUAL&>9B`XvPWVyN3Haw1wJ}_ zD-rvuhPC~bIZ;xTj7OKB{;MoGxF$t|C@3+c;Jd+Bh3p%Z)xUNwScuTQf{IT|Ijc^* z%l@3TY&6dJ`JC|E=o73MJo$*RZ??+rw$Q>~l0(w)>U%8JQJ7vG|hpGZ;k-rnQic0X-@pn7SDE*9NQ)2vx7iMJ989xVR<<84q zwrrwIa*3D}c<>PaR*Ydru@N42N~D1ZkMYy=oy_QPVbRvCNI$TWXW&Wz@^F-xz6;k} z{ZmG!+y77uwN~8!Nuf|O$64#U&pU}#*sni=KgCI&>L79o5Ka*?YrCN?oXfWvC{{I{ zRo4#Nxi|kwDDaV-`d1@06<|fEODK4Pjtfrs;952)!n!ilVe1}QL{K)|5XPONV(QyI z%|QJy)t?3UQ^U92<~TfJmMRbWK*8(}tg%EkloB!PO*+S7)`vYZE3|IK-$n7-l4cRc zIkvCOd-fG&)-X-V@Lkz?o5z@Vt8i%qtN%Y*CYqXveh|ve-zJUo!?FRma;I<2+i@dLmT$ZVr+vcIeMZ6+2ZI zS7EypeQWh22MC|?Qe%IR6D1S9+B-oCVW^lJF*2$RK9{N+?-dr?A7%yAPc(_X8_ROA z`;acbdqo1z{6dgU2Mcg&y9iM$vTs+NT^^?x>RFvFN*ok`_yEk92ZOjMDs5Mf(B9R? zz`lwHOkB^PP=eZNDJW|{?@Iuj26t9CrzYlhA7uuNRM?cki>%Zzc`B{RLDPF6&k7gh zSy>qPep_1GUdEYyu3rD*=j_6g(P4wTR3%0bU83IWARt4MY z(*40eb~*=83d0;Y%3p@G29k$c9mKWKc(eM^2u|?1%n)a8cY^nVLK8H0Bp%)Glds)g zM;*!zJws-N>}@#tgEgtvKJ3RZ-!lK=~OctOBljb zsiN0^x@luS&QDdX?lXNbekrpMsa)`tPfrl^1MyrS8tlfpvi8|0ZfSm|GV@{bYgzx1Nu9H30uvLl2a;Sx|JUY@%MbT-?$XLVNpSo z(EThm;8(Rt3K^&ebN&Y3&auXncp;a}PjB30qBL^??seU|sfwE6dm<#X z0CUAe$6ep2Ps8Fk>z5DSD%L^%#+%Xm#8dKmR5#LmX3Dcv4>(TZ)>|FmBrsc z87rNvUyOKv*$4KH+hgJjj;`d7q{CZe(C7VxFtXETW=B`TP>cjA zlxw?1DfYJR)qAN?*Hs_GuYV{0Lq5PbLWk`|h*58?z%u1F<#U6u9<>Qk&9O{NC8J4A z^9go3EjkmsDu^y~g+ag4K$QWP$RDD@<%Q8OLvp0Z6pW2GubY2QNR`n>fTi7yjf9#9 zD%APC$>@y1=e4)nYtEOZJ2*6`YW?ZB zJz&)d#1L9AOf}u{OX%uPAc&5qOP>SjSxFT+pS!tl?yOaKH=X+|uV?HHb{SR&Lotqr z+SR;=qr6|Yg`b&s$AI`Y5Sn!taGE`n$gl=x-evawl&ZNh%i1jiktmz;4LoIp*!ks_ z-)g<232bY5siw$B)$KfX0cPa3Lo?fSYTrMw@Jg^GYgnuqjE;7{3_Hf%WnKG=k>B~% zT%+TFH!>-)V)-MBlRf&o-*qsO)Z$`aT!`-U#dAiD)ZlriJXrO?BL^X6;zo|CIJ!nP z_y?`uhJ3MjIaO!l<=IQ$VzzY102&DhQ%`h)p+=;KDAZksSzN^xl&%V)m%2pqPks-) z!?-T8|Hx0c%TL=&bveu7(_OZ|jQN%MX8yZStCp*;LB(ueSR_vKLHj=m-nx=h;@Nbf zdcH5OH);J?-`k@U?GJOA@|c4^A) zJY2iY$nq2*haVGgKk3;$HD8_&mAa((r1$pQ(p=@m=5OPm`z1p05SOu|B!LHQCyqvi zJ>Nodq%;s&awu<+%OJKO(=R~vG0Pl9l5JKNpc@6= z$S+;RqZ6;Wnzy#=)Jab1s)7IO>uk{R2SufDp`h(9L9T$BOjFUiX_vZiugD_)^T!WU zFB(4RKhb_<0UK5bRpfhlMhH{=b3CS26eq%p2w+U0*gUCoO1p(BfiVu{UKMyzyOXc3 z(F+lt^v2}D4v`w(`8olfR#f8WN9>ymWmjEaZ8sZI7~z>|R-aUCSMcVgqCYh1aq7Q@brI zvL~M7Dw$dcOS?v&0cG5j@ohazA4;5mg|{V<&QEkw3A}*7UK*&q-qS1F-F*W_2t}E$ zlb%1VDcjk;OJvt6qYO>&A_BDp*$Kl~hS<_p&g(_NDL zhY7xI0ZQHVHE|~gJ~E6dj8`Stnlrz4CiZ}{{4G{244x!6aS6BJSBE45lKPX$noVWP z(sE~{TM{D<8PvF9BIDuihNM~kR!*z#IN#07*WBQKCbyMz&38_4wXW;=+c1jz_DsU_nnVmvTCD7#qm`YYgNcyro{Ad|7H z*~MFQQ>n3tHOdh8`vGD+-`=BD{I3SWKDa!5vs~S`;22M?gh?zokbkSPMXfq%rZS(F z*pfqB5hfkHvs!E{_5V{ui98tYDv_Q2!9h+fz1lPEdl2lHrEc2g+O*cBg{se^6#MFA zixQ`w8oZB@V1-dUlJ*DeCx!!+QE56u7)xZDq7HFvo_u|+W-F3$0>`IGD17bf#rLRu z(0;vO6vsP1;lEblns>$AVoVQ& zJdTrY>%e)`lnslPZJ}Pb8;Bm@9+QO+f;wEzKw>`}U4cMe+?+Jb2|SlI^cNL39_dR> zIxJU*OI|h6l2{$FUA%fONi*S0+EbzDYFVlP{(W0c98o^8i>dMsEMLGV8Axq>NRy%4 z^jg-XsIjTP?Gb$bK#SuKYbp+nujXNZq@l>~ml`?mgtPS}->2>P?LRj8$NKz;7GC=u zgH@TWd!C9IqI#QtgRHjn;O`?>OP57+bDWk{x*uJ_>Hr3$L?7!dGAJ`m19+qToIqG_% z`Rn9SbW{AIQd+-_1*&a^_f+d>*`@=_8|HJaU3eF_^gP^&OUGkxW1=2G#)^#`FKW-i z^)-b2)10f?RSxOq3rO(g@g<{S!PIh2Kgam{pyE1L%0WtqR`DVYQ4fw!Uj_SbPmiPn zt>zTHZEqj<7j~E9)+%}(I6cHwXiC$a}3h}Z5 z0Sy?1qVkon`G)sRzxt?&!jH>RIfh$sV<FL{%a-K%gTFQ4eD{HS*tfX$dhh?t8Q|z0Gio`wbJt|EyL<02u~Eal@-L zO#WF@BuzyWm_orXuD5Zi+QlpR?*6b9EBOD=Sy8n2G}%1}pGs|V)`~LgZR@ImFH7H$ zjIWR%UexYKkVX(1K1>Zj1q|PWd zJv8gpm4jV7n?Sa7Aj6dP-Yq~vtENP!+w*LpOM-F2#Q@I>TL1?0ZqbTl=d)EIKoNjB zPB|3hskCePO|-Uuw7avmz$E_DsnYE5qrFpkPRxHW4JR%7lp zCSc&X@32o3_66u)4O<{*85k$PsgOwni7^axZDdu!gf_8oLPR=gBO^zR{XK`+H8uXH zD}#IbT!2Urf%skyQYp)KALoY-B$TH`UW}bh{?@}v7KAAOKIIdi-w^h$G3WI*b8d;R zgauDf6U2P;Ne+`ANGq;v5w$Ia+O7w&gUHVJOyjjaY#2Y8)#Lz* zxpl#QuTDwd=xtN=nWgQz;j~ZlaK^61p@ObR5Z7kc1s_NO%3loE{CekI!&*ioU%CGf zr^=1==2m}6hXKe}>Z{Bnh`+3lDD^x^<{EI5qN)O%K7`Nggx7>G^s>6sJ@!yUnL2Hg zsJe|k+w=TZ19Bdjx$9$N|BW+w9p`tymf7=qkPVX!^ zc{E(FbYR@>YD`IE^6b=}N<6*;rj3cpR(4FF(^sJPR7;Cw3tu}l4cekwkcpooU#tu=3{k=Z z^rv*Id-qM~TT-Ki7j0!KRdToppx15(>hj z`R(=p>~eltfu>9?-@M=fc^D&i;%;Xx4mBB{84Jp@3W`Fs?N8mN0o!Dl9LJkr)9>BuH;h)xkhn zcr?@%g*D-4L507_!og)fI5W5DR=u{!8>VYs&t6jX^XU2Oii&4OL9wW5^2V9R>;#dg zUpXSfF6GA$B=$5Gk1WmdLm0})SF3%h{lh5U(TFXW6fbjqo5OPigc81y`JXvg3W6;c z7%nH7{2eu%d`7ynw);|_m><7FZr*9FKAC_AEC&m68aAFTiWoNT(}_CaS7tT3eQ+!g z;$(J#NZGwQPUbX~YZ9ygBMZiLr6NhHh#Xj|PV%?G1OW*9Ry0(u7$;7OIz)I(V`N39 z(on(%Ig^6ifBQApT?&gi{<;JVcMq>cCFmsP6;J;qa790vh5iGTi-m`Y$wL zrc#b@#|>Q_-u8`1SXc=c;ZvRibBkQ})_7}B+0alxdDpFUL+axig!GOsN0O6P`Oo3T z*{nOOtLqS5$DoqynUD=Hadb!8*Ot5u@?;iANq+X0^}6ejFg|Cxr_XCrk8YKiAgjcF z@vo#s)$j-ME(eK0Ee){|ZX&kYqaD~B>vzzLl;}KYnkYyYxw6<&`RVhc7QKhe&DF$< zrGCYDp#I^u{7sc%Sw{C^F%~xM+?=@zmnSuFTQMMkqNq2$K)tbo%j7tTKFT z9!V;4X)bQ)K|oim1L3%bJ*DmD!w0)T;Npr9CfBFY+RP43Rv$WenQG{#lRmutP%JUc zzvH4K+22||z;-)@%k9kJ-V?)4m7TfdVZnxjuvupXw6f}&4W$K{%@GBJei3yzI@3{P zHCDe)h`yWYCDLUO11cEh6Q`B=*zCU2>X>B!GSnc!EIw z!*WxjwU_kK&j*F1m@!lSsNdye_)qBWh*nSXvtytV)tBL7dn(H9@ax2lO~_hBUo^ZG z`PGhZ>n)??OL8=dMlsT561mbjk8d-Yiy4FgwK!p_HX&{GB}m+v2%}`h$fb>44?jTY zn6GLAZi{2C4{%YOl&Qw@&1`wTXs0BvhkPBFp88PlIEjxj=J(jnzj?@s$xVKo&(g<> zfmdA>45qao2oCuTun{qyi9UB|K)sR4;6ChZnye{1r1v3#RB~hiL$A6mrW2R3#h825 z*Pvqtq#WoAoipH*wQXN-B#od7*$8+bVsAQ2^y@a2v2%kq`D*G|9-yL|;Vu(5^sgE# zFgEYn)h3!EeXF1jT+tN&JN<43uGKc08!zj;(i+^G8W7krx7sGC{RMbt=5Xa%EeMZS z1L>-V$rIii&+AT`yHT}-RQ-;fZX%n3uA(3i2Eb=RssxhZV)LtCn6YZvuW@gq;PXOT zJCkgxj6GSm4gB_A!M`NYj#Qdz#J=55>1`OD3}Gj(*m7W5jQbE zQhklQgtlXyt!d}YuF862c#RLM7_Dy!0&mW0z%@XNDnDobYBH4GezN*OzUla{j`jK( z`R7Z=E}VI1WG=Ti>ONAtdl#X((H$*(`BNmN%C6RG&GcI5$^N1wGO7XS@aIdGuLaqd z?h=B@T-@R<;=!V)D5pL|q8`W=m8E~bE&fbs2fh_qKv0V-#q@#A4`Z;mmwq%zchF!#cx_CuM)E?rVy&x4Rtqcz8-KqE7u|Eu-UdR z^}F5bBA~{O07q<(nX0_{RepyYa5RE5&+3OMf8pKB+R^Bor>5k6Y^@Sz< zl@i1v%X|;^akl=l0YP}e9?Uh3h*NJmw~%*(nS$7EJfvL(X5ySdadbY~f(+g>aq~@G zxI0kUU#bSh@pw71xE6bDFIb;#K_2qW+eNg3*pK{?w}=8fm-8$I=UMsy3c9VgsRDP5oIqih^A3hL|K&`^e}k7i zz`OIdwgCr*60}rA)FD4imrX9e*CS!WW^yhcs3GVD8@G1}9%NkCt5-bVA_P`zc2&{zCe=aV(bZUiII8=5ad8#LbqL9*&Y}(crIsL_% z+QPYj)UC>b#-V9cm54osI0JX|3NLgkVRMWeG)6u-PAs=Q1{_~1u>+nSV9FhGN^yrj zt&9IJM=$q8&U)Q(H~&3&0@TN?!)_zBqj&kw4|aHuro^FP#vNcd%8tB3{);WKAYSq* zI|@(7rZvKZ84b7#{tFUKvF5DE`=4E8l1ujRv{uh38PK(J{_tt>gFFjsRn1Vr@Ymp0 z{C9dRRT%nY=bshk9W!CZiEnCdWS4U)TPy+amcNIg!!6JrrHo-r&|W?)<)ed7)yNu) zf4-0dc8A920XH1SY&*SaG>Q*HisQ7RHew&_x2{D90*?rm9yIRbypGIDF{oaX~Qp2E;d}5{U znfOo~s+ifN3<^xni7IrfZkh`>Z{$zRGpsp96^g1*(O?$n^4AWq?^kzi;!2Sii{5&< zm$_m$F;7f>Moa22-UzP7PS`i;s8iE`Qi@hS|CL*Yqa!}4?L8`+gF$lQ7u3IxG zeAq6`KY^{OSaGBJkdKrL3qRld?%@4KwWnkgMgT@&a2_AROrMw0n~L8_lY2MED8@Ov zeVVFmaHzJpAQU}!R}Hi+Pp7MQVJMrs-||^i)j-t}d3D?-#(BO$c>u6B;LEp@8i|Fw zCvH~iK4iDa{-3Q-&E<`{4?p-vwc=MoU;92=VvnXbwYz%)LWTh^HClONlZnA+Z_G>L zh9sNe!TG;$|78ZNOluoHQV>0YLvLV5Ia_EA-qUlVTlX;&Y-oS9o@SC)L=*ovA*EZv zc5|yeP72X8Vv`#KTkW1=es<+$XXk3(Kg1e3=8LpeL_i>_$|D#reeQnf3R(U=6S-85 ziIRkx)e#zlsW0q8C@t4t@#*U$tUhgtc)$Xd2jJ5jh5@(;zLV~X`TxHuV zLtQSfom-Mk&eByLyeG|@xLt*|bt;>lH9l*k{`L83rc-F-N}H4@TF4F;TG_@gCwi=}FHyS~Yj_#eU(qsmg1S9%V_DZl&b^z z6{wH4Oi|9S@=g2UJ{%Zi?PeBxz^nJtJ|)%-pL6_;xfj1~*PtKw%nU)V$SQleh-@CR z3ke`hY}Leyj&}c@8-&a*J|Xg%{WKwJ_`4;#7%&}R36~Up4&bAi5_C+&X5UuZ1ax#~ zSLEoNd{!sRMAQoD9cfp9>yk=>-RWt__Oy%fPKm7e;8=^)#qi|q$vgq__!W0 zuv$#4dZhYy!B`Q)et@oh^^Kg|XhENzwF{WIUV!)b?zclB&u|Kz8z#&RT*2Ga4a&~V z(w@CO-FDsYm>O*soLPRoF;{pEjn0Qmf@juXZd4txVIb>%_w_*61-2{|+^UDs%bpkH zPPnu4A-fhEIv(%8QOx@jNhc^pDkW zuHvUhXUt}ZDzB^NBW(Ul7z$ddIWpSr?qJ^fZg-t+^6f}lG%an@QwCYd3=uQ}#2kGI8^s?#6_P`R?!wLqgT}BJCy%^pp*4&6CDlr=P4W9}8J1y6VVE zirHA@`ah^8?D?gRVSzj#@lDGC1`=_LxpSXcn>St=c&$?TJ+CYoUw63bw^F`l61y&0 zxtN*62Ux6yue?ZFDm^bXS3dwx{W)aI7MN!q{>k;_u~AL|mNO)SpL zBkNn@OzU_S`H-73w-T(X74aj2kY@v`$?~E1+%PZoi*t8=3^;dQmMb( z5ftfa>f3H}wv@F;g>LEEu5IxPo|%D}6_L#Pt@F?U$Wl7fIQ?JP9;f%pQ;F^S zqPZo-tE_D!k}3Cs7F%9mmL2L&p398 zF&0#L<#s1(N!{vM4;6AAlrA+7Ihdx;)l~nv)k<7-qCLI)AWcm!XKE7uLgGw1O|6~0 zA@C+tZpz6qiUNsWg7Xho_;odMVxzk7uqjE*1NaL) zp5ZhN+Z7axZIV*>M|6`gB0?S#VW$zKAehBO4EHqbb z_P$?GxD`?PME^=>!#cLU-qycR;g-!2S$#(G&-DIvo(}Kq_lU-aW)oVjTt#@QN+rU4 zC9ZP++*nqsyqH$xCW^>K3shKhV*SVWcW#1r0llJ9tT>2_0XyygDX^nVE!s?F7kF7&fWnFfw7V$d-a^_j}n~EVV>4 zGl&2g_d5?G&N8IXf4Mx0gQB~To{ecqAK`zrpFY7PpoV{1i@M24g0n$q2SUy;t6mN6 z{Vv>ci?#eBir6%l7u!MLrj)hU+=Lx1pRHxaPjRk|ec))ZKMz zaQe$fqIGKg@jGl0>HUo_!1Kpnc+`LwZ`tR%Y>U0qWA4@>IOopo)O^@d`qt)}>*;BG zyCFc{NaPNviF%+6rR$pAC^9sP@Ds~fPGP!U&nkC00}r+=%3JEy+Jq}gER4}B%U%~s zCmP`fhUw?uhtzmTuveH`#A>g^2N{FQoe&E)la6^@VR?e=B)W=>Q^iW2kU8ztK~Hod z)KtIwQGAdU>qGa7sy~{kfif6oD1W$KH*sHbfa|1Nv#jQfi{(u^^WakF8*R40A0s^^ zZpgpIoiAJi zms+lsdPal4nn=&5{FUVxtH)}tfv?baz-oe$H`>|Awoe^}p3=ECX3}Jsw)*WnHC&qE z`kbUUOei_^fn4=?uI8^E1zYq3kTJA`kTBf;kO*Llq|1BZC$u?RBiYAW6zxH2b49Me z^7HoMlB%rbll-g?J89&C0!PFcDd{aK-&0Rf&e*`yK2l|l2!?VNB50A9MG>#nn`Z@a zgm2~p1Rd$ibCX7@rnsAR!l&-(%!kk_Mn3W?6UCQ0Lkq%QRbiG3%%^Y2IO;le-v3ER zUYg$kN@T7EGsY+4Q+pPg*kE|D{u%xq)0*Fbn?b6}6AJ53#d&p%7Q_(sDb4apyMb-*)-a zO!*>>;K|0r=d!=658q47fAJr+NlBCN?DOj-!7PR%lV_{2_f1W+9V{C&C%G2)+jSPl z2Tga_b(@U%G_(?R^92YMa{{l}AG;0b{CY8w^Le!a^wC2&aD#`&Wid47{j<|OI_${R z0mR~dbK!<<40>E-a#4K#(bG{fzS=*#AE`LymoTlU7E`IX32zH#eHw*2QD0SG)Ab|Y zF7fEhPa`fdXxAZHZV2xQfL9-!EKHC{ko7XNv*}^YKQ`VKt+-Q>zPB9@S=7Z!QS} z;UW7Ey_|5%udTr3!zxSTWBS!F%>-E?>-tp3sc@jp&z@$3G?gas+u!GRuoIhQT_sC#i#hEtC+8f^%m>!Jv~!;iJ`88|PgjO$xk7VGKZg;RFGW!W|)5@w|Hi!vrxEu|X)a1HQ7Lq2SJ{)nO-%2EUT1rBDpSFixtOnbMcs~ln- zOrS+tV6d$~Ho|3op9oMTxpn>|h&dd+aKvRf{zFVae7z1Kc7X@*v$3V`p8fH)5>6h@a#DHLXrT>qqw}6Ur{ocR7=O_XqAg!c;fV4{Y z5fqe0y1Tm@jz|dTpmZZ5j7m4d5Yk3%b6mg&SNQQ%hUA! zxz*_$&n&Y<^o`W$3!bQi#KP{*_V>8>OK*wFs5IleS*y(6=Mns&;{DNZV9#kax{{8H z2?0&9PK6~}Y&E?Nu5gYa)!4Kl=t6!Lwu}XVaSZhH4FvLdH|5@g`?eOY=&wWb|~>k%Lln-I-%M%n56H5r=CiY zx&3o^cdM8eAp(lcb!XV~)uO8EM8_wi6X9R9GO~w(axa?+m!ncTH?gnUq(l_O~ya9YU5@inT_5sWHr5ri+yt`re`oGO8#UJb+{rH{j`>TGbTQFa zg-S8se7LuCMI~3i(ABik--5|r8-Tdz(j`rB6LY(yM#t_`LDJ1Pv7Be5bU_+HLr#LB zEb#T&56Fhx{9fMv5Ti{|ndYpPEnX)@g^p;3s<(oRk{jvRi>_%Jg3a2hPntSVGesuv zw^oQG&v}J=!?RM-uMuV`^}%juozs4g5}(xDmXgLx-W^k0aG1QX6kl3p=bpB4QcH9i z?gl;SW@fVVM9%6<^erj$U*l)6H&p>wok60MvL@`fhLGXKuJ0Y;1Ky3S!wLraAh-mAdcewF(!Zj@dq0L)z|F?&h?Zd zHT!zHh23erXzC_o_?VUaK)NEe`F_ z{_Sm~nSlH08-s>jq!~Vuf^`gHQyVX)Bx0080Sf(8?bhRzpxspzfgL%^7}XuK2};Ev z87#=|LM-ZtNEbAppcL_~EXzH2XakUSz+-rPSC|5FH~vKMZ0Cfy#vz{|m#5%9Y*KZ4 zlJ={x=jP`IwrBECN^MJWW@TqlhHZ+Je`MRiN@vPM=*wfxA)`M;$G3OZxQhmuCsl+j;<<{adJ}&XTn`K9gr!);ea`vts!|SlY z)fou~4r)!`YVEF;x36X#6<13BI1K-X38^_x3d@_h{5n{1EffozdGzm~eLcRa{P3|D zx8h127S4M8(h8IdKo^h}pK(Kq_Y7sp?yAJ|YJUoN-Z_=}W{RCc-Er^4Xld)j7B|QW z5nQGiy${BX8o-ur>E%Sw@w>2FSG{T?O#iVz2V_1ZeJ1K#kPwD>!WUx#UKRPzj-T1z z)%SN6j;n5v{*u@XP`G)Of~LTlK=1baulL{Sh|24WW?{waZIrq9)j@knZVg+)DUarh z-T+%5#d@x>m*ZoE`RlgIj)i7p;?a@VM5Bdq!>4&f$SLLLc6^#cy{Z0&J3~%+p=OMQ zy9raDfp!#nwm$v7@KE9|t@IIYTr<@YMaT2BOq~uc0P3W}>n}ZhJ5lgKLEP0uN>B7| zI_QZ3smp2DTKSYg(AtwEz_8|91Pz5yc*T0lZ4RSS|19|raXT;E3!0;T)Z0j$T%$VL zA~Jt{ioSi(4IXEJZ8Fo{-sRH2`vKTgz|2-encmMkyP|NfK|_xTTFJ&Ip8LbtxzVKu z4**8%YML7CA6Zy*Yi7#;@%y*K8Dn4Xuu-OIe*QIWC;{!nv*H=Y{X{NHa2gd4-T=7F zs<7cBZcM3C`AI-PboCzh8ag)(h72Xcyx{1-+#BS=41WX_$9LA za$qIv8Q!kwdyq95Agvnx=e%sr0RU$#m))+hE5UB_GLtqC`Gz7UjOj|oB>^5^zFPttJmoJY_Cy> zdLDdg#_TnnimmQjH0RxPcH?coIU%QxoF49~)r@+VaYn`CC}w}K^!>Ut)pluReQj;Nr(@L7P#xzPnh!h@0luA9;`K-}b3OI^s?7*|CIv&cz?S5r2>fe<3SC{`hC!n1&X?eg0N=4)KYb^B z*`-T4!knZ{jL*a1qGzz;fwEgQZw7Vt)KV?eXFXJk zx+m+9TmQu)Ox6<- ztS8rBS7zulyc(n5xa_jRrT^_Vy~~wMLCdM5|MP*-;SW{RzUo?YK6I^3o%civO7%@g zD}P1(eLWZmKb!70n|$EkzcW01CFps)`z-B}wX&E;#&%AiVC1ykRzJHdO~Ub5)|SY$ z8Kh6+_1#uD(ly10*23Gp`wHH?v~efj{D%~@TtmwN^*%^9d0)hqj$7Ps!y;|E%Xz-Q z+&Xih!gF%}gXoL4U#?R25k(O%YHmCbc8~J@$Z}8Zx2V5dMD@%kkrxnuAv^+XOai&| z=Wn^9T2Q82GX*+bTNC5s*`NRh_qql9DzeWz>2#by($2EXVh3rS)W)>-0T+MyPjjc9 z)=O+HVO0+OJE5jd|MLKwdP;-6?i`uIW`b+!YztI-Bnc>8<=}ypR_R8ljefPljUHb3{h@MY|4IRrYWbFSXUl;hLyuyBUU*YT%eRibF23e3Iq*X-P#>r~7 zsu{uU9JA1udN#+#pfQmq@FZvw{=5|VxPN`hER4_>T$>#mv_y<^DJltETI2v`AZ_T< z?#DPaJT+Z@<0~Y4hARfLQrRn?ZUh$)`i2Zk;K2O_g@;Gdn>ldb^JB@OWe3;&YQK&m zRyZ<9zx}tx42tZsiimILu&;0{5{kcm?2k>i=Oj^+96QN;)VIzpuIh%M6C17F)j&yT zPug&k_K^KewbHRN! zN%`Y?YL{>=%!5{BVblA4C%-Bhg~;&w*B3YXzLt-V&3XKC9hJ=WZX(LElV+e+)lWp96Hs#T(t+Q2>zQZHQ?*BrsH^iZMCt=m4;1G$mzS-b!R0ZoA8=svmi z20KW)m3#ai zJApmFf;1!+Z3o((eG72%yEht&$|*Xee&G8g;o+tUOVKi%O+tU2ivY6!;T4_%FCZ}F zT%9}}mvz>s85f#*ZJ7HPn&URrMP6Qy8wNIZ^t%rx`Ry6_>SOASsKUmFbG` ztuU}@Dd(nT|A7U7t_htGahr%G|28Yz0f`otQiQemtgXAs)$n~~cIZQNa_aG0x&Q#K zhDNk4+Q`Iod3K^~&+!}C<b*Y`*q8^Hj%}`HVgg>m{oaEAW1m{kAY4ZRS{I91LpVeOo}ila?9oz5%S*P?&E= z@tM7&!5J7KSrHc>M$M~4k@%m`I-BB%7^F)y79`McreI&$|nEIKdx1*}E@R zyuhO>zSwG9QxbbF;m+>;X7`o*==?*jvt0@?o~Z?q3LITLNZVUJC$8{+AV2KZEYpKe*uzfD1?T5x6Ia!9Su15J zHUUn8Rf;>e4(pxKnI4aSSIXU0C`9DPodwlf=4@y6anW4wYc_2OeT_c&ez^lV{?)mh zBu4h0A-L=C>r`Jo3jOGE8MjCFS@i^$Y`m2TU@s}>2h+VCM#rc-s9f!G@{?s;1xc!S z0wSf;0i4BX-tv38fhyzqxn=OAvGD*G^*uArKLat2j*<+OS&lC>k3y(MhYm$%s=lD$ zQl*98R9#x%fdK<=gejd;V|2vJ++liqc7%nN%2~UTrrxDpbj%Q}>Sy5{Ay-YoUhB(+>6NZSFNTe#a5U@PuL=b0pGyrRL}qQrvO z;(+L;w;f{}$0kcvRlT%=lKDPG-#n@Gt%#hyJHjtsZ|UN+*@`rg(66o*$%y$CFMN)9#XQgZ&Y#-=*hz!xG@5$Pu&#=Tp4}OwCgc)_IW`oA zT|Mbceek53nMKe$C0t~w7)EitnEfBHK;6XL`e<|2DD^IY%fmT`qd&$Ch+4+P$|zTy zE-A5lR1LgUx(0P7*L!PC zAmPnxVdgF4{GV-4YE^H#;vai`xu(#mD9fd&X_GhpvJx|eO4vKN14Vs>%x$_Dy#8dT zKYE{66%qkgmeIv8+zeF|I>A=?!d%sQ!^<}$OO}XSBQPWO0+i{Is-ReSIkYcw zZf>WoF9~)SP%IH-*0hG#?e9>+)&8@B+EegST;olQtkL~;XD=t(?_BjFTej~4W6bUY z@v8=Hg2CQ%{f_+>X41OnJ_X3*uhy&UA8xgE%{;+%ZV6**L#RF!4t-Sp%)xD2D($g2 zio5o*FM1vnLs9B^Q@ChpwTig`f1A~RuHZGIg~(!Vau&`%52_q=j$jeBeTryd75}PopmR#f+ph)F!@nOYR4oW*74-GS3I^B z8SOMfE-zkr@vu#A!>4RkSBxTtQ8Z#;HJ#yHX^nH9tX211G2-%9sn$>Q#4^>g+g0+d z;*ek)PT5&!3C?xy0au0xxZ!7N3};ekKw(Dlv65Ju(wYe$v-`zHC-P#>_V&!NL_R~c zJBy9n(~0suR_AsEDvN@N1oSp0%Wfez+MT+ugGM^zf7dXY7~`Dtj9eI*BQ#RSp4m3t zf&s8<*-z@8uq~vlhsHSTR-h74?dj=+k!4qZyGuA(v698bKd_0fq(YV|vUq}Uc2{E* zz4-HQ;hQ=8{^RiSs?UqK!R1VMvV7a9d)WIVVb@)1SCDjOi3cae@_T?d z$zM)|`xYCetaEMdB>CWRh8N?JJr9NmkYwSJ2x3je-?N>VxJ@Bcu3`G&#P|Mc#P@w= z&+$oaqi@GkDQWt|r39{~@E=v*NTw$`GAD_ub!@7a_xx2JIW0~F)MUiI*K)K`sMD?f z>+np|Z?4VD)c{&pSj~4#TATe%&U@79GyoF(P+csWNR!nggN_0G!6(XsivN}kq~D=! z&V!r)!9yg>l2)0PTLryXngh6FL8oB2&@uo8gG0zF~H&)Kzc@L-0a82)*^{T|x z_>%1%-0dowu^&4%dZ+Q8X^+CIiM={HJYIizpEdeP$x)nXRqYQbD3$z6@ATJI7|mGU zWX10eO+l1ypb@0}D}~+Pan{@(h)+8tI$t!jr>N#|Enc z)!?V_5@T9B&*#=?KfqQ5b?i`TD%m~@`hGyWH_*aCofpa7Usxi!d~1qAI-p(bt+#d3}3zM6>00D*9I$)?DJK(Mj{CV~v`r zZ6ClIqgZIVnb}~nBZ?+Br9KQd^Zt}}?TdebK--q?CxgHjpSs6WPOn$D-@%Ga6P0<8 zqacJmRLDZzh>-Q=W2Xa^rTm+yiJ} z2Ex<+JWk7kYv;xcgSMFX&4|~iA-F!-w{I96%YvfU|0La;R~nzV`Ou-VS~K*zd%AeY zs#HX8AJY`E;lxX1jSmT*Q_3U<#=pp3G_e6N!S$K`)zmDb@U1mv@|6VvjQeGpcX-7p zDY8G1!e;RwoLyAP#(drhtumNWf*ltLhqL`B(Ho2#FRSS?a1bjM~sr?2#Ip zCTTp+zt+yZ_-(lLs!glC*BxHGaP*TppJu+cCv}=hS||66PKMPFHB`Kudm4340e<!)0jnW?CHD z`NSqdEq|v}rKn=`BPXqDYpXVzW!W;!kR>3d5sFvVZnMlX`GNea%k%6Qd|C5GtcO5h zt?wled>X^D){dH06uiC8JT4J?TqL(9f{hUk5$GGl<8A-0V>8yR%B~A4-2{mZ%Z2r~ zV*hW;g@64;bX-(<(Z>!8(8;%3qv)fhQ9ST6UA%$m(JkKcwq)uKLY7N({GSGKrob@e zU5Lvw|HM*gk@;qDl`6+Egv>MOTrSfT=odHv+ElF*8(mA!!1$xbbKjIl@d@)I^pmN&b<% zqrQNe{|eMDR^B-u_f}O7s~OqyF`0?&v(zs(pVM(`5Ag`Se11$a%Dw2OUbW!iKcVhQ zBm(lxzDBO4w-B}w8p_e};0G^?E}L{l($vC4RrOBRH}WdB8`h%EH+6x5E4s+-Z2#+J z+eb1ZwJEzT9~7b2w%oPyXiiSBbX-itv5xLcjT(~;8UtZjSxYg0yz7;e=EpC7{fb_7 z7?q1&%;eCg8vOwUC(~Q0WFsck`*vIqayC{fKsbbP=XEfDvSFD2Ivx5fuU%Au`)W9F zTC8O3D|V7Ez~Rx-Vzs;SaZ687-cXH z^FTFtg|j$r|IRG{tRRR)@}6hinTia>7jEPTY70Os(Rr#N;Z!_ z+OkRaF#%z2;Tk*ot5O27E@nl&>GlGvob^g}2e%+dGp)gtFyyxX$L8+Q!`C_M#%%LDO}jSmEgSKg^X9F|L))NJvh*Sb*jD|seapg zK=PYzh0V1pExMT^kvTS>O>g>C0t^q@uBkj*^t;_*B zxn<{|z|*M=yYS^hB&4zZrwfxkIu47x46UE*Z6_rKP1@x}&xWt$;w~Qv8P$qY5^Rywkp{#u%CcHe=xFFBg;`Xuk_T=zLlB9%Zoy0%^ zXx+JmgQ`MwU2Cm+?PA<7@)1!Vd;j-g=Clc6ihv7UGMM@&DE0#cTm}toavoopt|=4? zg9w9z=RVlRi6lMllpsOdo8?ImQ3C+o8$CCUg2!D=W1?czjl$xHgGNR^#>BX`Ion4{wgCTDrQ;J_bUN5?0nBiE z-M2eDMXTFZEBh@q^O_^I_$!eSO^3_m9XD|zNXg-+iRkYlr`S~%K~H;$1tE@Su8$Z= z(x>Z|jRiN`6htN4U3u@)f^=|kHh+`M5B@t*WLAUj^R3Y*llA z%z5}F2@qm0rw)A8v}Eud#j zmp{VP|F-5%P?Hv4F%V0j$y~5`1bztU=a`<=p)o@-L@~13ly!zDJJ1NVst%w_ZoMtGET!f zJ5Ak=U$S8ozsDV)Rk``UjsZPwoA;E!Pj2~XLQJ}UXCRofpRs>U>tn8SvgKYj)`#k! z`^L(LB~BYKj~RyI;O^L%w zAh>=k<4Ljbq!1PD69$3$Nh^V_u2DkEUQSrDHtlAn3UVNxRC!$;k!hh8O;F&_TdPuD zre>>U0!F|c&dv^;#H&AuqhHlsUuDlWzO(emwwc;;vw>&9l+Vv{5B|W9o>@KBKb%MZ z-us|jCIpY`@O~70eB%lAmQ<%1|3+|^RRIBXX~T0>JTu5Xew+K_^a>~LNXNy>8;Hrk z$j|-a1kqAj4N5h-+sXA&LAX~tB|d#s&!E>0m5t+w<)q!sqG0|_XH3cTLJWcq2;RaT1wS$s_*4`FFFK{r); zj5i$QQs(u7^>KbcLoJKjNtALaErG?AIlb2-tW_bx0@%B%)1sQ@htMocqHxWq?d&-2 zZPamjZ^ojO$QC#AmqBPPDq4J)Am~-X&Yc!0J@nuu1nvUie;!V*Ed%}yx~{NW(}=Qw zk=R?b(!+tog&o@(=@@oU8ZT!#tt}0C_Q;`zp=K8xl}Ckbm@D55{D?^b0q9_T8V2NO zQ`p!zD$Sx(qeD}&3C1p%WQW&FFv-1gF@iq;q6Gl5IYF`GC%qLLfhET#fTXdJv|s&W zm(DnC0|)A(`@W%9SfU_ik4!$S^5}2ivlp#|@-9btVIvf>!!8 z8(XmO!o}%Vy71vR=@JhvpxRnnFY!1Vy0i8QejP2XzxO{!%kW8ylidxSPptV>V=#Ao zXia2_aGUsO<&T|7j(j}6?&B}}8qDp$k@7pdlNOezBK0&XQuSIo;N<2p6u#dTmXiWZ zaVTkk8WEuKiZ%d;z76zw*;_fotwm7(JaK3*uJbd^Av21;rgADUY}&_gEk-LB^@Gz1H1?jd z099IOq_DWlJ4a2h_5wnm#Lba*m>X`Iq*xY6P$DY@zv|gJDd%Pg*$j6S((O;Y$C1z4 z^Sg9aj&go_PvOpNOBDrrjX=S(J@yxiw;K4(D-hHPULF5>?N@APM~tVSu7%?kxRfGF zQgJy+SN=}mPIl7^>VG5nLG{W%kblO1)Fna8AY8%d?uBqbFvQ(DW=;LXux zmRQT7;)=>z!obxGrkl+zemcrMndok}tM1E3cG`uD#2Zb!9n0>W+Q49m1CpWs908X! zEbfD~<@GppM3ntCCY?3=*3!}9$jJGVV>46{?QTN*$71n&yTeqpQc+@y&s^dB;Q@=L zL;-(p>#MlV*tu5%EiU9SJ47n?RhUQ1sZc3l-(F+{J-B6XnQtLdsRqLb7$U|`!8G`+zx z6F3I3%4w$-9m*U_l6kapCIYIlTw%M<`qdN&tkRo@~QYP!KAmV?OfTjyo%3pK&Y>D44~5t7!m?0 zveIqPzw+&vm}JX%4R@34n!glR+i#I{_8lVDw+WBfek?ATX?0!_mmyS+h=DRhO?~Q4 zH)x$)7h-5lk_d(kqnSOQWpycR$oZa^9MEUzjz_P4J(qE;Axswf^Sa>E?W^f;wd>it zRS(>bw;+WQVvQM{y|3&u+%SosrFBfCfS2V2-_pIGH7YeOb}@&aAz1TsoC_x@9dU!~ z6QoFa1O{Qy8uxk-hDI$_-B`{-tEHo-?aM2)k{%CNP^n{Rv7`|^Pj5a$U3x@+ulucI zUmkDZmfxm<-V!j>sT+;Q`b`&E19ej#Ib4wHneX6I3SnS?J1#Ad-`8=t&{v=@%2b|69%DIl)%B6UF zD_k+6R4Fz811UJO*-$fy>ge+eSY)QM~J&F85VT@jVBBi zlcJk+70>#A_|t0*PJM~f>Y_-KNKwx&?G*OURxjyLIj*1nY38773-P+LLQwmr7yQNb zeEMkfK603Jbi>hG?|Swe_jD_+1A8&(SUkn@bMkU}qa?T#Z;oK9E-v?Mf0B7z^=blX zdg$=S#zyo$xRPwIO-mgE1=_n@1#>K~gSHojs(3+TKzr4()L~dC`uCXD))=W*$r+RW z2PLEFAnV&|o83xdCZG{UIxUz@Vl5KIO!BDz`E22&h2~@w0S9UDqO9w~zuVM4Jr|?m z6oUR%8LMBu6BI_^b^8&|V>8$kUavJ(jC7j}UH>?iC1QAL)3UBBTU7?xbHBFN z^IljtF5lAKNV|R2&T3v3Eboim-}`V&XM zN1^1XHoII!#TrgC(^agA#nR=)hA^snhf@mN!lv6oCg3&(?z6F2R{f1ME;{SV;_D{w z_~)^I32Uc+zyGfpsBl=0L z+0}g;ZYcaS5qVbjm}GK*L}T1tX+0ZJ5iEnW_=pG5G5p4dGJ1#Sj^oyqm9Dr8@rfA{ z&EnkC6_<{n(M$^mH#WnusqhM$9`5J_nn+P{-^M1piHyOlE;1hQq?{DUltkffc{N_=^A35z)QL$S_)X!B2p!;(KYHmyAN$v|F zSbZF*e?=e;7J*l3|DkW(47TtWwP_W)+VOtosv+ORQ}LXLY5Sh-_kIoNMET*KzsCM| zICDp54fVfxO5TaVTOki8M#d!`^>32{J`apL5xFbcR)o0Te;zue_L-jCUVYI11%QWc zf47&!Y3W+BagsaK4}b29RY&zw>GiKTS-(-wezL$x9G#y>HQsv-FI?M>KFu=hMN~@1R0VaBnwD*g*oVF7}YWv6DYO$j`iR9*D+YH3WS3>yh7>%4?a4ucNbwQEZ5(W~vr6(J)s zeo#zFUuoQ5j|A*xgB)@2uve*~PBR$pbCa*?D{r$~$3N$y(e_zO_iV19uHvTjt{>1V z$h#UjohfD_Mgav&-{;Hy7v`BUJP za&#~pMqLm(G$)UE3%f!gMF3|8opvZ?iRSpcQF~CD%^q)TBzjMt(}^*VNX=iXH|y+N zcG^R^-p&1jj988KbVT2b#J$w(ze{-KK+xQ9>uLsv@`uz1XM`GhS2ccu;N@{+?=Zcn zTN#jmf(lP#JW{}6m9b$ytB3;2&r1@KWUTKYJ-HdQW}b!*B}2Y!m3K!d`P59Z@{^YC zs&-kAPDNy{dQ0EIiHJQ{em9gmFxH)LSX=h|y-!lGb$M2``mVe=3zQvYi$~JeII^i7 zilpE+1#E_pJuy2Ys|b}F*{K8O89Hl;mLaXKH&K|2VwSxDPPK)dVF$TKnIZ-6^H(!b&9JQr zL(JE6E-_eKpYN~D+Z`RtUbJk1^;%tQI^^)hIyRj-hQu_~Zjz|bS0pE-0q-P-d8)v- zKeqB?4WS+)L>K4_4te3aiS<`00cJd$sRoJUO!D;UYU?&Kn)y)?J-1-ncCsIXbcc{^vM_C7Pa zY2Q;Z=bAz~=Ps;Y53Kr8KP{thXeVzil0D*Ha0`$kA>VIp!&dft$HkTyLKT1zb9FOh zc9$#V$t zX9Nv3;fUv;{&&j?ekrt$j4B`e>}=8&GpASpu0V9>cdtEGH2gf}|9rY-GY|BS2H@i$u*9R%yHnz{Gs zLF9Z*c~`Xf{>QW0u}x3e;?awD-dTIZC+O^mD91K$;Xbc~y@{D>|AZYai73NGmc|L3 zbr1AQ`_X$u<6mq3tw#%GslVI*UCMcn9$g&0@zdL9mbK?T{q=x<=q@(p9$_p3gkVr} zxn#XkC_X!Jry*2!J4;s0O*3-n__qZOmX*r(B50GuqAL3~v#zWnuK(E`u4$-HAvnS}A{hrY1LSMVHa6?X;hI!(T?{S4Am{bS}xT zJab+`_+88|6kQaBb?HO8s2#eUclH}Ut5J1#I3UXpS@sz>7v1!1Lv$h~SYgsimF?oz z)Zgo?9Vtn=HLu;c@e{C&b(DQg5TB#xJML1}V~(DLvP1f!_(YF&2Qia;$0ewsgfURu z@&7e)r=`m~so_snKy86=Ysqk18{@6)6rE5I1d(Z}FoKa)8DqS4jiPWXK3TTTuvQr9 zBI;4#)s>TABVSurNw;49>vcBhL;tg~|Gy3ur2#kbUspzhmCV2&o(sYo#=XGT2atbx z^KbOgOV5I|_fP}$mdvSog1xemHfUAX)|W2O91=}zSl3Wm*s#P*U(P3(J=DrbK3q76zPo0D4XOPNvfUoayN8|)!!dV!!m1?HnO4V=|FH0BRMpeQz$r? zd{Z;8SN>Vae!R@KvYrGRMpk^gY1A}dw zpdB4Yzg<%Q0xj1X2#glknz*)9EgYl>z%>3#0Hu9=qO|@Axjw|!lf3W$BxBT@&cY>x z(f91DneXbyj_AJd9v+_dp{ES_gqta*hOP4=MGO+)t$|+Qy{#^0Rz5O-%KSh6irZ4S z0$1La@MtQQqdys7u}`xMN|a;ltS0nK^EVvzInQ%phKn)%PWBRVyM0?+XqA6l+gCO@ zgRD|gGLOcsCP$(=ri{X_?TwjUA*cF@DQqgfF!^XuUP^;(=J})v&5Li-h65>ZX!xwG zlE1GR#7ol7rd4UBEN^ZJp%Wp9qAZx+Myg(a^MyI8N;gO)&SCxwJaR_RzRKfiqH*3!ZmK1@ zE#E8JiQtR19jE1jG2@i}rk`d3AN`(0EG3oWKr)dXb}|!i=0W{q(S4Y%x*k?Jeew{p zpm2jTG#aMkKot?owuWwFyq?OtVAKr}7U_L~b?@sRtJrpBc#kbT_ZqxMBZ0!SY#b>F z{<%*hQPxsBQD3`~K3-KuD#UP%({b*yA_2axxU3~fNYu11zX341(ElhOju?2(q$pOq zHMjDqW?*5Z^zA7RhmytYM`XnOvQ}m1|8jA}M1wdSk5Db&DoilFPhpKdA}soc?=?e@ z&2e>Je1k0FB{hC~KKXb#!A_M?s=~w*>;`JF zg=$XODI2(#Q#<m(~)2%!f z!wBxP)xxH$kN2HaySbG?lTk+$kOL%gGXuufK1XeR^TCi@RJ3|&>|&S3YU}1Y*`xSK z7|6(J-c4Wl0l($)R`K%8hUwbwkOG;b9EjEg+M)RBsjiX5w|43qA?0&-h{03R$1kvl zT{p%*%K}(}p;j9tzSOlcTHAkWm15-6y1ZY3kkh!d)W?6F>KU@_(kbDRTTh)SUjKp4 zNF!CIX#1ZoTmR~iZb74qKTh)kTHe4NZ}%c%DZq}*IcbDUv|q9mPPYGl;YJ0O7y%;-`5m2DH7FDGPC(7UDMNX6-YD{_)*;A?GIDG*BCc;)*a`m>LZg ztn>zM6gY5ziV=t!InVqai8D&NX3Z@&_!|4jyknr3QPPs;th#W64o3S)$xAr& zU4%j4O)|~#i75*UAH06XXD&w_#h#G1S@VziLM|n(a}BVjhsIjr1#6OV@~86| zqsi((BU^D~g*DVj-Ok}s^7blY6psg_TW9Yxjq!BrC^3PYh=d_;xw=~lD9m4J6KcDk z&nrg=3?R{j%Oq70QFikHqlOLav{`0A+`@L1CL7#q&k37LyokkmQb!6F4e#Y?S>k*`CVhpdj*kkcIfOhMPsQFSjLqdc@lSB7ywT!vLtEuA*MDitL7p;Txl z1}rQwhb< z`o+GnYLOEPK($>hqy|$1Ww=A;6B1U)pWK34^|sbiwC-uFCX$PC9|0@A*lF~%;G@0e z0rtdVi?O835p)Bp+~ZKs6S+tiQ|t)byH}=&;M3CjuOIuQ8j}CT>r1Aje}tG6Vw#6# zfunSJP~$ndTQvO{$6WNDx3L1H&?=jziQMA?NjG^ zr$I!9+Z-NROkwiX!`6yS;gH<&<;ew{#1S(2ceV+HX#Hc;)oqFnVufYH=}NjZkIfj% z^)a7Bm}s$c1kvt%-WUlN^izS|B4u1zkMJ1fb#zv~68o**7Q!~g$hVJjr>;)^NnMSP zT3w0Nk0NN{-8AucKh|8<7m7bhJ$H2GDc0V{Vi`sh>n|=YT2h-d{U-$a*HNopS;o)^ zNJmeKEU`bB`{eYL*!~vXm z*7s?q&q8&tu&&AVG$KJf9Ft2&h;I-0%d5fM2TiQ)VT!ZrED0>f<1+#8mU{&imM?jP z^SKv^0?rvwdBLUp>C(3HZI$o< zrWZPgR&UKvQn_XZT9O0hu9+xh`V)!lVhY|JFP#=07G$?GElKhGN_s$9`|`593HBleY6ziao;2 zJ4Q41y1ilEP8&goaUo7Udq~g&JnriFGZKy>Oz1rgqyi1y>a?9@@fOEspSI$^U)-nk z3q59DY!YfeV)Qkws&}JoZ0r&&Sfl_0@nxG5%N>}*bY~z{Qt~1nMx^WCIXd(|7A9fd zs$l!0Gg`v_!|6{OQqI8>iay^*-%uDc+~uA9w2b5_8+w3t;Orp*9_X9REkpm@PoPFX@V5yvw4=Nq;HEkQ?-;Bml>^G`{R|iEU}52%R_$u{RjQDMBW3- zBDB)a^(77X_dZck+SoSP+Jg1F$9m^RGV_;wSlQbB>-C!JsQ$)^XUX>Dxh@7e0x((< zx`0Z$`u;T~$utpZ=sa0?2l4vCQC;&rHT5_DVtGI3JHO$hu%BW7Fl4*a$Xht!u)HO^ zA|Uz=%ho5*aX+SdGwq)({M}VOaP3bfJ~aM)x>!F@aqz~Yd*to^2^Wugl-8M4F9xQ) z)d8Xf0&1Wo^d~YBSGssC>w-JJF2^St3b&KyqaZjHKva#(S3eI+pL15d^?QnxB4No{ zRZ^>EI*%yS%8cSFja@;GoF=|&BR6SaE{ms(u%l)|OHk+?HJvJ=mAX&gME+gJhl;)b zMY(%`(5}ITOVyCzbq~X>zd{K`Q%QHt`^&?ZAubKyWJ94no8%t1p3;})))4oXlmk{CiYY zyz$6a*g9N*9h#Sc_gL|zblT~@@AZy}>S}=2)3{}Uq4bipq6Af*95bkFJhn3gLzm;$ zz?(k%;9E8D>8u=;I3HrGLfPXwMWYwfW3s-2xKr&j)HpK78gP6PM(I>6*%%rrp(jw! zO6KpiBctz*2Zm|t>6xs6@n+l@EmIS~8lN|VlZ%5D4!VO;ixFFTZMzx>>Fen4TMq=i zFdFFdnMGJS_(=crYW-E36L;V6Cenk7_3&(&TR#;Z#^>nEjdVW&)PIk@i#-0ePdVh) zQ+#H*5~H6C5tm?wc;(8rKs7E-t^|NC3xEUzekx$dR;UpzPrkIs0kcH-i~7_t(%?y? z_kDs!QoC>dD5ZAtiGMHY4IOUW{!lCDtBQT}p_XlG_TI!T4QkIA0M}$#M@4zY1i#<` z#Wh%}+ZED1EiKrb@R7D2awK$+)?Pe3nM}gFX7eXlm9mO+ja<97-omuU`tAfv!-eH| zi&srgP&X&CgLFAygTS&vO>a;B{<6lB z8tkaJd?Y0cv|1%q`}9UwuOT+3ISPlCW?eDdRU22WR=9-0{@_ddb0_Eb7FYdW+pZOr z0_Dz2y2qc|5}?PLx-Bkj`lFcY&L_@7<(JT;7LI}iPW=gW+4i&ig&(B+JvdWBAw!&R zOc@wA)0qI1O6|a$+I1wA!8`VgVBTshue z{4F`XLXxQd`NH=weeaU%C~}M2RHGHc2Cs4`<^f(g1;BQ4->S`TBzlgW5OU^FTnUc- z+4RfUi_m(O&CFxSGwu!M9%!lctR3^g%ZF}g_GXTY<;OZV&+&4eH7c% zV+p-LzQEI&9e@FPr84gNdDh+Ava%U65o`(nZkDmVgMd`kfUxy}QTxAd!mU|74dnC` zxA(aj?;xU>aX~Msa&xYoAg07rkJf8_yjFPq5ooVd0Y&EduJq+ew20qL0kQL+L(F7Q z$Li@~^b&gI6R+_F9!R`lm4@1}Sw(FtuID8GPgOp5L{{$cG*Eewi*9`c1)IfDKjau! zx^mKhDvPV|4B%?6GP4`_5>J;ga5ZhMumE{hEjGZQ`j zR-U1OPZh%<%JzP`dY_CRG&mO!_jo4xGm(Vmq6WL>hLqY@fzTxthsO(CDw1U26e;m| z0MtNRn)?gyao3$`Dxmlt+C(C~fs#e!LQr**?)PM;lX6{AsbyxG-FS%WXs&0|UIC0y zVbC{R8Ry47rLr6Xl4c-L0zK1}g$y*JO!TQn%faUwf zjgNFs3Hn_1e3EYz6&l60eWY=7-VlT3mKf5H86WW`?>G?x;~fa2YSglX|}TA55RGFSFt;Oyq|x~xA5hqjLX<`57`x4 z_l2b6&*8;%QHoy@U!n0)wb?@uy6Ag?san>ic?fxz;~f@P13eFfvhN-4#gT|Q2c(H~ ziaa*GZV<%5^=lgxUY>j3XdxTi>BBY>ICItX4#T7rmIbR5gM=Qyc@rF|icdL0$ar6K zE;51Sq{s@zCg6DR=0C2*x~~HP$A+_vVBzGgG{H(^8b}b5x>0Yo8iS}d25W4Cs~g|h zw1=wpq@|fBmnu{(drWK%9dKrSJw^XF=ZGUQaQ1W87Wr@&wR$Zm=_JhA+o?53U*^l6YQ(h*m#D%fRH&e!*j>i_B#HwkMKwm~GTBh!FrI*S* z+TT{EH$kdZgsDS6P=RIOR|-&DI?UwkK>>r%y8t*u#XeVeCN2*X(dqZZhFdFyEe;8N zZ+-#ZV?OulEwo|UQ)rCBk;?vUG$>@uU`GiWqXi&?zupeqlD-K^Hhwe0W}XzF-L>;RE)oG%8<0}Cl1kqwZH@#N^5|>+ znaHJQCnNfBRR?qmpuMGZS?w5&yu0)bi5qrd<;(k(Qs1~Oe8DdJ|91nSP^bEvWd};q z6`C!Jl`8}GmsFMplvTq+b-piDU&Ll@za5Z>jiI5ZejcEji!T}EhW7!-`7^aDgScd* ziR>G(T=D>UMwKK2_K>)w7yBqn?;^{zf(@TdM^UN^ca2eG`l-; zik`cC->dXzeZg{>B!!i*0XprGjqC85RhyBPX7<>|!_;vdJJZc`O18(D_Z+M-oQF~1 z`tY!kY*2wba^LHywppu`9ujZ0?lqK3^L@*;&X+o6ubNtsumiNi@P0iP7H=nZzF?uv z6%ipLOXRQU15_)UJwbDHk4ZdHb+%hV5@PQvik` z0m)g4?(Ivs_Su*EG63=hbW4bVo`yj-rQ63JdHV+eJq40_m5*BUC^6U~6^Q=PRJ8Dg zwHev_`>!jDIT-scX%BxMD)xl1$%7UG5t~!#8gysD@{|wjum! z1DC?rIY3VZZ>ctKAyJn>K>>JPdqf<$U!Lc>?(1H+n^0_PikZzNgd=4NIFQo>O?iCQ zy=D|ALXQ@5UsoBNp|ovlm*jRkbs+-d%`{xVIJk^-AriR!<}C=g(^r&(DX%fzo=<+w zbgT$4#pnxFSus_%O*xG`&)c&ubn2qzIgH3~L((P$VbX%vy5gvMzZ(it6W6~BS|cVv zw*07QimfW^!GSO^J!>ylY;_*DI6g=EE>&*&+=`R2N<>lU_ao%~ps zzdR=Du-?s|!rAO)L*>5H)l4}KZZ%$FvTFby>6;pEQ)DSUWq6rq9iPJoGF};2S4DeT z+s~H0BNzFu#^`ZyO8ZPkR%BIV!IjV?c;F&FImJ9-+eI^a>ij!}I&?@YDxH2YY@Zs2 z=CQU?a2t=RDM`f?wjYvLi3h1@_x*LAXJM4JzW3ruaITXIL@%36O&Uc6#|#7KQgy+^ zD$sV_JRQ!n^3F}0-!*)03ERbpriX2_RFJUbDhw}#Z>qijk?=qsxoD8kRS>K!zW&6d zeuyLJCmn-?mb;Nu@%6fUy#%?1*}gt!JrYP~%EHOErRi~s^Ysz;0gFU6Kh@AIfA^U`;o3j(fEOrK>?o8Pp)F28`R5}hMQ0*4%Ht`0htdQoyWFMwzLg!S^XPT9Q;PD{ zVX-FcPmYJ;mIVXqz4>RK(dJNGe-){OpAzWDRB=Bg9vljdEKwZ8lysgjLogmNT7M`x zFy&3kf=HlwUo+b{_fV4N%+7VO?Pqg?L?+PEzL@)b^LyOhlv(G>AV3~^vQnzN0C+=1OM#e=ZvR|LrWIZdcIL9d=pb229jB!1C+Yj>9e&^ zZiP;Q^7&$orz$GGy`&+1cNO!^>^sI$GcRjW{;O-pYTE=JX8@si(fA>vNgTdhk3LgV&wYGu_NgLY?eRvQkloBm%drb9&zfsQ zMZ`PkC#n)YrEr0@Wu|!;fmxN9h=YfSmxv-cM`Yom+XE>)mqDg_2|G zM8K!#n~(EZsxoeOA_Rh)UU_&k5T20A$;Pahi51+3zGz8F=pVUANl5QIZlSQ&4R75v zB?!H?-I-Ap+Rhst9fTZwslFB@aqRvxut)C>8#s?^J_$}_oLW{PnZ*rB(q zB~5eoU-$ZN9c8!Y=BBu3f7WGl~ETK#- zsJG_Z`W8g)i3}(2N=wSJQx7k$|IBB?X5w*HoUl zH77-JDtqCEHb-G5Yr&lL)b78JTz5|4V?E+K&U%9F?1$SZyFI!CCl8UQ6>Weznged< zYGV3J^Hr}2tA5OCeLg%Y5P{1bZVr@v8fUhE_os9aL=CoTcfYAu=*}`-2ya~H(+t+B z(Ua3;6I#fdqpd%_+wkFz208pLR$IW+jhedsBn5;*u_8{WV=L%R9HgJ>1hw@5Il?Fr zIXe1Ioq&YKCI;)e;}6z!*kbovdKYoq1YqGLGz;m>g8O3kH1UMBz_F^ z5`e38?K3=EI`SZ=KR3o}`EIhQXilFq(j|>1qsCP@NZQVQc}_qw39oL4$821%aGRcVyh5&p-vdW6 z>1;hYDlAC9k+;P~!O0l2o_y9gfO@&@-{R7(O%V-rQ|1#kOR)A+=_CZL-jig6zX z>0PKTVdfSoGE6xV{#gHQYjbkeU)3K3hJ+E}5~+HEBY*lpFarrCol)k0A?9>O00WoZ ze(3ro<~&=wCF#?7_JmS8qxL}g$u}ptI!l_HUfg=$0jsVbANLrz{+CdXH2DaG33 zUGyjJ!!T#7ST|S!-w>cj&?R}i&k8n78{~h95^)Xiv6_C>QfTX?KAhU-c@`nUWcqb` zOwU@XcEmcjVD* zWx8mE!%OCISLU@L-rBi=H2!D!4fcRkHfwkI)zK088spcc9sx8*#KDNb)6oj1KPw$M zlCO(ryBI-Tw@sqURe zA?oi@9IpD!C!-Ag2f8M&J3(lSB$lyLx<=y|;@kUh`kFgZUeYeI%$_>T$oBq8Z(^uG zMIX<{=ka?i4#MlYx4O)xjc7j{mu#XaryF)Ajd%)jtqm9>rZjS*HRGj>)RIHgF5n?* z=Fim^KY~T=172rgMGi}lwxbHI$T2YrwYOA#Rp7SKP8Eq3fICUY?N?CUph=X+)_&z*x z@+-fz|8tKYjMDyZ?W$AeoT~6_D%#)uvC-v}de&I;7a=)ka=nY6n(1?bp@z?#KlqGP zfqmC~c}SLIJ?w7|r-0sCvn-B{E6_4r9gMO5-z`}--9-%S*52CDiP^fov~;wxpW{R* zV|Y|Bbciu+8n4R$k8&rwZgeI5Q?8zBZ|OF1juK~foot5DZRUxIsll!M#!7Y|2IBy& z9p5#jdn}5a^U-G7{4ygWU_Vhn|NT)@>3Gf|y`O)WO7GsnT?NMNBbN{4bYQNoKk~ zlDplo5=dSK*cjlut+(y|7G7P=!Dl78zV?I+^~STV_oA$ZtvpHi8@|`4`R38b$p(zq zpRax6tKLl{xfkWNTkE2ly6Nk9_YV3;rmW47Y4?;V1M9l=5S>a@m6~yCZ?Rf7>h zKBDoYV-j|*e)&Qz6>Xo}Y*@4BJgN~!&oO;Z3A8pE`8|YI^}zu5E2{u151k3k7(O#v zSHw@ncAhF!q2#W*9WeWo+~Edn+up}wSH_;6EjP;_c;A&1%9veiI93n-*}tVwSlWTDQ@^&>jLBQ4wirCMCiwVyws}eQZLwkDNTx~Z96y28<}k!76g;OZM|<2*4qIEOKqn3|cL4U-$WU{X|yO@3dfk=`&>`-{E} zNn@T*`}cM2yYl9+Y7BR<_bbR4Mugl`ouI~Fe&@T3b|g!$0WlPS=7^C@IQn`R{lO_@ znZV(hc;(rNB04M3}kisP3BI3z1e|5!uj@U*28;HnEylfhm1#UAbBPJr1h0Y_3F^oi;}8U3i&qakYKs5gKeC-ZHZWfLGxr!s zw0@?%%HkVMAR!j=IF-Udo6>r)n2+?ql_WzQeEpB3&N{VrsF(O@J~lM>?~>X3+V(gU zQ659-h))x~wYK(FE4XPzB14K5*+mY5!_d@` z@^eU!UUuNUfP=fCms;ivclGvzYlocJxJ})F7X}pIb4~<}7|Ltr8ycIv*GyirEvhtF zru0dVepBKd7kAtE<1-G|k40tjtA0<{`zrP-y7C)`U8bH*?D6NlSG{`Yk(Q$+tCYvA z!`9w~S7H)9G%wE=%vv(G^@|6Jd_VTG|AJkvTF-MnsY|jJS{l4P(P~_Lo?f}Fd4ShE zVW36Oz89?BQccfS8a+pTh|g;CC>KG@dk+|AB8ZB1eMkCIg5RL~k6ZA>TcVqYL0mO` zMp;DL-YMsY@{d9P>{Rr}9Okdk9HVWSYu~1Fu{0Xic+KBnB^$dCLC%3NVSf44c%9LG zlT(shpy-YC1XBWybi@6%@m>wQ<$KK66EC@*KSsf1jY$akxoQMon=lx8*|l1#ACT#v zSTDwr=o5}#yb=)n-i!4a`WRKUlPKZ`O+~e)bazhdD@DIRBae8Orp4Uyua~=o3edz5 zoTHe4!}Y)Id@fcG-~9)=>i?9aKJ;KxyCUZF=B_V8ZG62?<;y!VOZ-5nHRAxTdqN^V zu!Os*fQPb8*iBoY#zjt%WT1P-yqydVjjz6!>l9U;e_Y$^s1YSqXS?fcj%D-eUkP9^bHGo-#kL^$)cYI*# zHGT`Tbv7;O^O<4!72XWoQtW^~oWmWYyV&?S54!g;#{Y5AXim&|7C}qW&AKcC-{j+7 zcRw@CZ2C-C_7S$9(O#`t2}KL`=*!}M=8O}a_G~xML-i%fa9)97waWx^@ff->^rV7} z zF@e8RHa{svOJWa?Td@H9Q_vA+26A4t@7CCfjj4EbQq-U#j6a>gT5t;X%y+p)gt!_x4JBnYD-~huR*E3N40P0>^ll#u zZS$V>tNxc6zhk~{p6VwM%JZjCGhQ>nZc$BEO#+gr}dK`brL zh)?aONLcV^f)v7ygvTlipgcqtRN!j=#ml{*?VYwZb8Ihm&38_j`^4C?`U%(XX_9a9 zhh7u?vX5W}34~sNL_0__?)Qd(g^X^;uumK#M%OExEpS<}?^M5IuA}sSKdh^PP~X7j zD9hxaXS@(GB&PIH4GewO%-X8GuO{$5$NBJ$1s`-Yh%I!Z;FBWClcauwzXwkynAknh zcx6sk`szB{yltL{E_SVnh;gp_sUWyYQ$Ww|qr$VnU=e{J=uiSaeVLKTp6}r~^KEb~ zdBiF~6>u5?WJa;m3)hmVpP!@*G=7y|rz_HV!*qUYtAwx8e4M>U|NUj|DUZPL$rJ!Jj$W)v=-rX_Y>MD)i)GO(pYbJyTvjJo+17K4KNq1deC<`U+ zY<#Eh2Q*tx04H5@drh1-b#B~Wvjn1Tg^e2x+kFv1AL?GW@VU>;x9~A?vw%)0I0M>b z&}ish0WfPHxGUxT+G>*lrzM@+Ve^c(&G^g5yw!UyDo!6qE2{@7UAi7}*Yp?WJ@=&2 zBrluP^~h$cafyf!z~|S|D3KttZ76g8`x8X4TmI}AgF0*GX2YQC@21Su9%IV|Kbr!6 z?OLr*xSnpc^KAioKvA^91QbQ|(xA=!B>0$%-KEH_orEjrNphBffKHlDx{#%_js0n> z`Z7t++1Q`SERT&dZ2H?iM#_^)EF_c$l}nSnQ|-iL=wDB#n$ggX2t;6AUy-k>uzPlU68TRit)m{LB3BJ6{>Ku&2=(oM-r2#9hewz{6{1^J;irn=JT{SGzI~ZUDJT0 z!K@pKFSmfbVIfy$*V`>edLiLmJ3%Ali_y&sRyr-Olyo~uUkX=BICpb*!5;T%-mL|-U3bR6ysq@l-g5(zK_&d8( ztts6hb%`B6DlA&}O~w}bO`VjWX1v|+2ah@9E9?Mb5{AzYevdMxsS2*=Y@)eD>yL- z`h+-je1thGYQ`KEHoKKjC&%tLI8mf!Fu;+jJ;Tik^=4kJxcysvLL`t{pCuA_wSNhS z6m{T@;gk5?{R}7V(2+Oh8^(S9f+M5o4dTS@>^`&UUD8Ter*QJ@sfT(O{K8vH$AWFM zx@f%>b`$#!Fu!a)5&W9Hs>!LNzFVdaaJx`c0pZ8dKxWvwNUT3{G*Hg|`QPVk&;O2( z@JDU|Z)jvLl%eD>KC|H&8Y1^6DpBtD1qzgyx1Rsb=Jp06Wm2{A~TXa~e z7Lgcpl?e zkn{u6m1EN5eb{;(d!1%a;KK8Nc3C}{x+R{2U#4%SAI#S6QezqdbKs zhL~@wl6qv0?t|G#xKr;@`MT8xsalG$qbSs|e7j<+C#`{#M&Q-RR?GwlyTKb;{f7gv zke4$DC5`cgv;R0X-CRoV=Ya^sW%O(R3MUuCGMa>$ClvqLaPJP3^gN9&u!hCq0O}ML zLVoAp7gH+rVP#&MuT9r=ZXI@M%vcbcj|XAGbRSE$5fQvVv|X5DWm!^e+0EH>*xzDD zyeE9W?mbGOuE}V3wwNf;1>iaZ5I{t%d0^wH0ly4!%|mMpmm^pV|22bFC*Qzq+65D4 zl!(tPc)G4winfM*Kl4Xf!!z(P<{-in3c)DgE?eek>s@2Kx<@fpt3iKfJ>dxh7|J6& zDLLET`KZn>-;}#6%)`P17dcfbDS3V^eAc5JYkk*e_wywM6nLscYs}#9n2Om)r%*{miMgPgz8@ps3yXSsqwF(79FVhE`wdI+5 zB%Xp0j@sF}{c7o$o|z?wjUdKIds+MEerp95l~3T(o}wM#;72F}L&x@twz@9ykgYP2 ztX)mR#5sM)s|(f!gNp*xewXS)lTQ6_?Qjbp7(pKM9BJ- zTUvu{ELck?I(UY^m47`vBFp8zuC->at$G?pwS1~|G-?)moiPGZh1J&el6>u$`@Jh__ za_#t_7f+1n)7MyB$hPkGZf+DTdbT)<8p>6cnF>pBNWCH~l`SuGh2rj_2z4|&NTFx1 z^bqUGz8eUBek?m@14qH7$Cl?Y1ESk8PFed_idrmU=U%gxidVYYGerG$!*MONp!`9#?Zh;^;T?zz4$QaEWU2er%SvamZwnQYJFjjYT(~sQtW*| z4)iOQ6I=zyactR-Y#>eJv&k$h4HWVLhOiUyVv@+L8n?$z$MH$Si#)hjic6(MJA09K zT-Dv~{#R~%0l3}2n1tl>#h@4+27c|L#=n-heTSj6ts?EY{-`k%nmbAdCsFO#bEHz| zh)vkM&G72M!hQHPMjMP#1|!J91{nH;14VpXlxvxmL&xyKZvnr=a>O=4!lB{ZU?<|XCCh}|_Ge=;fU^cAnN2Lv$ytq~M&Tv2m=-6#19Rk$Wcjnw z>Q@YWKu)B|MqVGkK61P%$%x+x{^%`wo2A%JesK2n-~F$ko)ERl3;QhAS98Zy8EJ43H}{$sLK1#H_kb5nl#-gE+FgFO z@i7uwhz)zlE90S3rpN)VAU`Gm}Z6Db_vVl zxsI~$uT`vMb>;UP#8IT?M!1LYjrnFNbjIR^!Dfs<(+bq zuuPW^64#ccBVkOHzO<4D=x?kc=4f7@IFHVOI_Bzg9m>ojcKLN!>oXpo)M{EcQ_fS{ zewTMlF&qjmdktEt63@@%CB%Ss5Ia37!!EBI=X~oeAaR@Yvhymv5!G#*PwFqz8GDB6 z&)Y8uy7DA-rI(S52KtO{tC(MhBDbyoIQYPK>}cCUT`qd4SnI7rq)%SoLQPAk?55L9 z0vDF9Hw*q%0>xS-exkG^BLrCms#O*bfPw{J5H+e(ZcNf|?r7O7Sc;tvfOXi^5#`lu zFw@U7a}{U-ax4Ht6ND8fZ%_*nc^s|N5^6lH&ZvIsP12Wl>y!lI?-V|h?E5qSBnxzA$KFVib_6``yDtCgRXmIWOow{#*ZETZ>#Mzx8> z=y$U4xzi|+(%?|cW0oa7H)UtBZK60m^XEK>fzRoFSildyzdgoO>G)+dxL8CrRm{!y zi?o_ZiH~wWsrbk|leqm?-Ii#FY@diVDWwUUvOIo8=-R(6Fvq*muo{fA_ZsjVvL;6m zK>_2kZXxMh8lQ$#?KPO06K!Vyd%tCUE*?CNFEEnss? z%&}L-9BKtCTvq-H(6Yzhpt%{hRV4pUS=H@{)xX>0-fQ&G#+w>F0PM!UrMaF=FCIO} zj_wOr2F$)vPBmGcad062QTS)n!h&|XWGP>md?fdGkbyAlC2kG$C_|q7{$Hhrduw5m z;di>N7(m*H+y0*fvg~baU!6vSqiJ)&(0j|mhp}2lX)ke|u#aYm=0U%PsAKY^qbra zEOiE~$afE}!lGEx*qqMZur%I?#14_XcGB#Pj^}K;-~z|91ce1^He>X1IIqQDowolM zuikr|)MKtFO|$jcN^h$$E{d9=P^-?mn-sh=zb3qM{IRu>>@C1lL9J5b`encVWhGzp zb_<1h3uh#gqMSyOWyE3@mj}FGv!`k=l5`B;OVu0g0+hYEIZ!I#AHHPqPg{;S4tgSqHh_`8WfRAbq;*OnNn zArWV{>Y(Z-Q_FX5@ayXCKDHE$c}c+&{~uGQb4l1Vkb1k-kuvwciEh?~Eor*bXl{$k zC-=B#7t18`dmN=|eYANrLDEdzP0#LA(ly~mE-|g#ZfjQi+$SHn$MD76-qcy} zS0sHZOja(#A>I+}vHNjUw0GPwcioSV21s`XhG7aD|6*r|nuC@lSinCsZnG_5IUGl| zkqkuK1uPw3)nq5g{#Lp@CU%s`9Umc^=TaR(iJ61NTMAKCXqt?EFJnt$)w{yyAQQ&j zL@?^8bcJ>ik9{S@LO|5P#n1VWVPh*dx;BwX%7I`2DlU+CAmqHCSCtu6#WFIh{mJ z=b^*iJ7~-DqNROg$tKrC9eBVwWGhU~XE-{-j2Umvv0&4@{$4ZCV@*7JoTms~r zX665j)UzblVl{U;>n6PR`+P4SJgD5cu1hkmYks~WD?5w24aF>pp{O8Wuk6BTD;|7lAp9HQFS8${ zP{V>##E&}BUlPAG4t%{ht#&VcRhQ^?cf){%E+DepLdfg4Wc!d#O(FBX0RZzN0sL9% zOdd&;8*a}pCcd~*^+wwdbYbmWcddgcxV@X{!a^OnAA(7;4f%V5jU(Y1BD2UX(=p6H zOX_P0_=Psv(Q{o7fGr?TShuVAQujkeMaZm^E7SYy@Ue|I50BOlNS79 z%uj$8<^94Qe6QSmT4M<|evEGx>4pLzFP{&Xn-buW!mlJEKh;K>w~;@X=4#dEW4g9v zXo%V@Z#M><7l^&Hr&9+rn!v&k2D!f62sadz>IkVHb#`CH%Ep?D|2p5qGOxf_pMU6@ zr#2~nKl$!k^lL@jfY!fNk4yeigZwAo7IaJ#_PTUIK-h71ea)Z{wKYe3p1t8&EmeZA zVDq1)(gejaCTKNop_cHtaB(47xtOe6BVIP2?Y8spi6|&@?K69Kv&ZgAz$9v&Lao;O z9qdHCH4A%UxOZnNb_iR(gG|=|hYYJv1en^?*rK&kks15fz^L#PfDwXqVRt)bM>^LI zVxUi}*>PaJyW4RNyC+eyR~e%6#*qjK4aJeTZmI_jNZfeC;LhFQ@qA%8vTTR&Dlddz z47Px?_&V|`gZ0b8R=0s`WkuX*q@KN7@=T=g_c}zH2mO@Nn!3mIw&bv$HTRJvQjdT0 zlZYd5g4}k_*MBN}CSd6tAIb6~i#YgxDA(6Wpd`re%oWkf*q{Vq0e3;rZiP)%h0J7m zq3}^Q6bxAG)ze@0)IFs|Rm@`z z02$`A#XG*m?@tQ5O$)>Rk#5|fuw}F{#_gt7cH?_4a{du71e4jh#seQ9e;9}@E9XmQ zgVmln`tbS0S0}m8dl&y&{8PGpN~K(lq<0!I|E`w%m7dZ-X!!YmZ7?I%;|JN-B(uh^ z*{nim=lCsT+4J=Iq>U82p?jR|8V40LVMo(4mA?01I^&(9ZC)3UT3tR(s&L{7{Zz@DxQt+3MyVp6tu>5|c| z5akGwYLmc00PVTFKjq})xtSP^}x`8WhD6!RJNVij0O;5;?8?oq>6kxVX(=v#TSZl0F4D!3YF;#PC>!$&52ES zHggD@Y$ji&Yh;Evo@KXI;vj@v$eIE(79Z2nObM%4=GTT)08rH*sRF}9Aze$!rpbVW zBr6_#DLq7utur>{mCl7LySv1P*dgfwA9CzK(v#?Fl%D$HWtwW&7LSLA4ioEr=ykyc zp|97}ZG1uTfT`rVTj(Z@q!HpNGN@rT!ze{qnK24kBc)Wei<`h=>yis_C*8VoCGXPa zZXu9zA(X+BtJ71T_-Va%h%6VVa3Jk@P62PP3w%eXDHF6LG0?ajz4yQ+f_vO$SfPvY zS{`HRY+bmu^7@9Jo78!F6|(9$i|OFx_1jZ>K_p)8%hIW3XT4XE`g(2UZku|c?i~bA z#!p9+KvM-wnt%MpGC5}hobi2TRB5j$Y8OjIn}RnYXrM{y@fdgQj$WE@4wm%+uTogs z9)pmA-BS5BelQ~bG)uOA_fTCTR?&CZ1CJTHIwX~<#R3N7jjFNvr`NREQe>F=n0xWM z9VBhzZbZZ4ebe=c{;Imydsbn7=JxAvB=>_m-R_}VcIhnZd`rN2i<`v`Y3nKMszVe`{!{mibY z>TT6mi<85>@)@;pg+2Vecif`>_xE0jfq`alZ=pKt)(g>5VcrmT*$WHhzsYPc=_vs@ zqZU!h=+rqafti}%3il2T1KVJJXrA5--rMz99eS7l4)hNo)*=KOLc#Wa!k7HHg~!)* zA{bUtmz+})8keHN9d)NE`?hdctwQEiVZ5NE@di7y**mJD_S!$R;x)+FYxgnX_sPcy zCM-msAJ>w=r55*)g_Af&?#Z|zFX=f_+RIQ3A?7Frsz&W&=w$}4*Db?loys!m0i;q9 zU+^1Ssl>uE-zo&gD$2(tf=kF*yT4K$%C!W^#ui}sT7JPANCJ94>lM-Kor2;hc=a66 z)|d-ymPJotWZJxaRikl)GX3}e@GMUXl6l5{V81Hmfxqe6N z&ckcR@1a=Fwi8(KZZ;-4U`cll$rFwMV@CO#WIx?9`tx==pg#)QdN6<5c%iDvit1s8UhS}*xZuO?kTkW4- zZ&Xw!y4?wFaG9^9AWf2L*1MwofAWp_fUW?lslpC~rg<)Rmk%4#fTWV^A=poqJ2x9w zr$@5uUM7Z)9s$8$*U4DZGtt?Ht8pOmK^w%sV$zf}-6TBas}wO;=~c4$qpLOrompj; zJ?=M@rxxrN-)8@e{>-|mw6fPSx{m)ghM+>_>PUHOjy3}c&>%k( zOfUPm3Pq;a*p5-cC9_-ie3A5*tDZ>DWPL3Z(zCUL9Azd#ot;D$~C+#nUAnjABvW8a8BrAcP0*y!6m^?+;r+#xSkmoIcrz!=* zka&(u8S*WrxPXz0uEo@%orfY~!i92U)3C)a;SpY`PcQF#qp);T12tjF)he32`qomA zx?O~R-^55IH3Ty9SlQJ^+-^LtW6!)QcFl}*b_;C+Z zcH3MfZ3f2@XGV|3VTUNd6x9QQ(d9qYycN~GdVhn{cUqUn4VJH$8mhm!s_<`JVvnt( z*;^sC)anik%UDkDRnFW&gCCk21rBmEu`<${#I6qJMKffBSN1=7AB?Ayr1D9+6N~K3 zd|p_Uxu5aRfkVONc&{)zw_>+2x-(;8OI0%Ra!)d3O1)?0&&gWbC2SuHyo7krya@WRA2Pb~h70rLYvNzYZr}GOH8YJ_rFMAntk1E|O%3Cvdmix8WnI||e-q7k+4c)q6*cF}q+L@@g^p!){<@WIsue2$X>=5o{y3+^ zi<`KHf79ic*om}U3wQXm)e?x>d8GiOqd^Y^i3*_X z_vF9dX^IMs^cn7n9N@A@5D`%5$uQK3F%%u`xCO_DBMW4Ea%@qpbbI{|M&T@_Q9X=$ zCRBPNLzT~C6$*6~S$x4s@obUnLgp4^Rw>zB1< zJ;zUzew(*3b1YZz$H5^wm1{~}Arvx%O?6m_r>0$o=?O-tN9xN!o?K?&hHj%Q&K|Vd zS&jn9N0A@^fRm!qtvOQBpHQ?c=v^pRE*9e5nI*!ps%Ub7#^dA#b1=^3&*_r_Mo4lN z{4+_!5Pz#3<$vDtiq*m6O0wJF`Am6s0f=VVLpul#`G_h>*Gd zTZY!taH!(2^ckg}#FwG(W@Rf}cow=OSj2kLIjM4;a%Wz8Di7zIayMy}^meN{m9I7{ z&OBwSHgoziFO4UCG+#p2hFPHj!lf>e>Qlm6v)X!3qHkr1y@93~u^o>9E>oX#l;=+j zzU_o(d(G@8jGJq4vqL{GcDxr#%3f3P35!u`WHP>0W4pqbbu3Bh?M`U!o-|*yE zZT%65B?g=IT$F+NDAim-Mk()aacHs(%(%6bk~x8dIvN{zJA9jFX(Ta8C~d4S|7YKR zy@}6^>GWEAzPa-)P}|8<@I4j^AG^YJ zcUsRgWnEg*)OjF(b`m6H|r{P;55?tL*$!5~0$&Jm?(Of>Y>k5zx2OjZ35H;fD z{9v#>+8YUy_^xPC{oc#k^1+9CmY%B655yT^^Vn-WQuTucADoo7Wm1-YVj8ROtyMn4P1p6*F&>M*@t0cRRH8 zmdd!(J(TU_bvjekE^1i+n7dMP-of{Tun0Zr%YHa_Pu*Rs?zf9goRp#rpr!$kW1Jgy zTU2TmgbCzG71TF$6*^nbePt(VKQB$|FA~oGoNn2RjC8p>J!gKZ(pnZQ@(Js|)bx|R zeiAy$j%DXe9XWdETgi?1(n;Ijp~jmt#2oz-)je=b)w}WPzq9NVo{{tCW{} zkp&V)M7JhS@LJGKBoU!`iXphH1J8Xg7rk= zk)kVK;E|2@6X7#zmis>?Xu<_*&IM9k+oWp<<)4c|O&&uGi*|3M&91e!a~bfO1Bbe% z!1vt>ErDuRTe*PHXTZVyF6(ix4voA^^1B*|#EgllAEDW`MW_YYYh}N{S;-W?#}O#F zo0~l|>Fbu`=6HI~PDX@*@}CuIpdRYXr2o0o%3242n~+aN7PT{g=SIzw^m2TfR}S=F zi4gRx%pRVYp0^+9G}_OC^VyjBcbevog)@Nz&426nGJo=*IU3Ea4W$sgYB#LI%lDZy z_yC}(%so`Y6=B5F0aS-u$rz6ij?8Sa&-@DPq_7`>%IMnhQMU~x13v99DgkoYH_0<94mWb$LdYL%ayF&!hS_Kt zF0Vr>2k~65CJ*?txucD7__JG3D%G+?Fe%C`*vhslgr+e`6U&URVEnQOAj;X3jVa>i z(SD4pLWA(L4o_5mN2!!v#04 z0pf9RQMZH$DR+lp@QABD`>96q*z#R`s}6VRcZVBUd~YFF6Z+>oyqJ;D(&M)gv$cBi zEycm?oOvDCR+L~SD z>34tzu0M9AU7aLiN2kMLFURm2SIk|fKY;{Zh={+y``6v&5mFRMN- zN6{=3O((yud!~rHt2#6t1S=fjP`fV?Tt4_*>$L>POXglgo?b7^$7*dvk~qN|Z);p` zZ|AqB!7wHRsR!j(3TBR6DwnfVG_I(bn;1}k2c!26%SXBnE`-Z;?OMwxxtgP7wa;v( zG)7^V_ZJL;OR_U5u$SCduluR`(uX!9R~-z49gi2{yfw=BIO-N3lwIY#6gxQ#6SqiV z`qFv(pbG}z-8j{HKS42cn|7rtUaf7w&s^iFn`AHWWV=+sX3n~(s;sfNjZj^gj7@gk zio@D-=`DasWFt77_xrwCt`m=eofhLxz&=9cuU%4t3F)v4$ zmlBu*ua*w&~ zyTXBp$X!qlJ+w43&Y?d(3hxP30q_{y#->j2*vqd8YM~(7?Ez>mzxdbDZ%THPg%Q6u z9J&%L_+h@ z1*b_g7Q^i%h1#YRAG55BDv@c&4#CY+yC?Vvq-)fnnagNTyWQcHRUl{xOByxRQ@+T4 z5N`jJk1!8hG4li)dmfj~OJ%%vy|y(dO7oUFhU`sG92EYT`~3i1^k2{8yS#d$KAv+= z&QmrFb!o#-Lsg7eXJNb=m&KzjfMo{tH^Y(T&DH&@MyZLcNCEOe)mU@Ov-Imy5X6r- zqDZp)I|vH0RO}gw#5@-Ict8?S>>B@;ajJd1cyz}&bFTCafSDIq9WHJ zN)$oUGb^8fVKTax4dupyIS(j1Zqfz^>l@=CW4L_FT*3Iz4A`<(46J_A4OnT4sriPp z@5%UT_O^fBwnOHAgS3-(Sh?xtntIz~`mRLqLhen9_vn3L`Fw!~g-$udjFI6Y<71W0 zFo7YhH5U+&wN2uj0Bq(4o%dGxn$ww_<(JvVr8#lD)bX z4g}S1Hhm@~@S0Unxx)XwozSjmByVB4N3Q%Lw@%_ww@aGj|HsvPKsA|t;ojp|5K&NR z(iH}20wOI^l_E-&4xvjk(t8PIq)HcQ(gh5lK*Nbf;9q4yFxq4S-D`QPuZyH*wp z-U&-4d2`M_dq2AM^rCNZd0Mi&P5C>Xmc81R`StVWmX?(EF=mSQ=;x#N)MS{l zOqgiJ?SoNmY~bxM*VJ>X@ZXI@+LK9^%-%L{ILe?nGZB6{(|8f(D>; zCN8b=YFgC3bD`7&?`J*t({OU`uQ!MLWv!AC8-dm)``6pk?Iyd4j$RzvyS8ySw=%mm z)=CFb#iRb<(jpDJ2EZk{9RuM(b^K8|+{>l8Wu15yZKs@+aDb`!XDS)nD`i@^NSJ)v zql$TWX|&>l^S<^apV-1#Uam@JV&1X(IQLR~8%m>hd?lZ9AYceh@?GH_TnKRkjy2t-qk-aCH8J+lA2R zj~BBGW8=&g8Y*+m@HY_ce9+Dy^ow0+;6Rl zdEUw&z&Z%@l#B~&xEZd}iH@|n{l&5K5QUdxBEK(T&y?#uRWH6HWmPDGg>w7GCR9Oj zXQ@deDr!AaTS4)lNhGKJ$JWAg_c2czvTk#h!EqGmKI5x6xy9-b7k~@cmj@P_9y=8< zI_BQ8V=*hL9%;QQ`^NeKi(~`O_iMfk?8K~z;aqe3q}=yjC0iHO<;*<(NG`$=mXr=? zc(S}%H^m)-`@mi0n{@wTvP!u1%|(rY-8>lx=}qrU0YZtY#G(BSwVL2F>|erfL$9}n zvXawEn24UUYd`p2!F}yXa;^9wZ_lS9>W@|oZ20k6mTylX*Tm7i9R1nQ*LF~R0qYzK zBy83LovYipF=duY%^GX_RsJD;YNYc;JDl;|;fGjXp(TCFm zs;-(A}L z!ecnIj1W)wivGe-kwYiu2e;Oz75l``6MWu#UDCO2?ha%=(?u<>xA-|eFb&q8$(svH zHsAscRsaj2uomd4dgsqAQ0(b}8#VtZNye0+2lv{ENvY_AKzJWmQBV%O<#71Q4MA~3 zFfGBy0am2soMP6==FbPR8aa69Z!D>m8zc8PUFQ8wwVe+mG?wCOTyyEJn+Ni9jmAQj z%Uk%B**7|CH@x5;hp#zo2tlwD*s(q9|BT&VQUFGrfgNmc+3)^yuwC#?q}WuCK;4iQ4(7Fvx7IMN+CH z%&q)Ro-@R16j6Am66bPMg)LPs(%-QB(BiD5l_nKO!GrEsAek(0>*on zmGk{92+*5eGq6mcS8(6il4avGK%*xQLtiC-u<>9I-|A|GBxMZy)VfUHU9~YV1%e7U z8`D-N3Ml+{?!XnA{|PF#HP(RTOz8W-%x$I1*HhT5Xy0S+1KK#d1TRNn-}t(=vCfm& zS8dNtePor?G(}ugC$#;MX4EO!v793}U$N5jLq-*Rc;*?Sm`TV6fZTydtAT; zB0pe%m*EDAqnZQ5HF|1v(cBprNm9`R$$vjuHSh3Qs^@rrOb6R`QJ4Ok&OlwW@=+j_ zxtAo%QLJR;J}InDMZYr9G6+&pfIg>ZYsB>@kf%@&?`HxW8n{Q(O5VrK@{hW{+~2(< z0It00oGeWG(o>xknl$S#BeFwR9g>7uq}OT*)q?eW@+X*y#KtR6NY^dSFW!!jx;z9f z(8qp{G7$N9_v`nwQPJS0`?mw{)6c{yQR-ZkFiuGa&^(~&dv&_QUVZ~-THL$ z_)DHz&zR+fDMK*jJ=Uexl=Ar|^xPoSH^nLc1;f~HeYAJ{2vR7z zD)!z!e&;!GD@Y4a+HR0&EXBG)wyAVhS*A4ixoq5dsP7!*Z@9JSteZ4PUXG}6p@Ug{ zIE%HBEA3eknh_hZa35#AE|99~A(l;n-|*%yPtLY2=ca!8YHo_Q=K*<=#BG~e8h${i z`#OEM(cs_f`5x~^A=xJ%)LXJ~rOqSOowek$zdDshhUt(0QY+_o)Z#Pu)K?1#Ry#jMvG#NEUn z*Z2Ev$D?$Y&ZR~wqD<%ZR+Tnh@Y3i_349m`^DemBZEq8Jok=4Bv0GQj{|#@Pj8)up zAoLqOL^0lD>#+o3s2eQl%au)Xj~Rhn8H5yPl-0=WiO6NS7#Mn*`yNUQdnn_Y^pDep zX~mx;rz{@=#34@H8ykKgoH=jY1nza~f6fLcu;W$Dw=Fx|C-*6pmbVCpGw*4R`LIkC zblk$v`F+2T+!mO3U*6$S}uEW^O6}A5owyOex zH288(&{U-}Xu|kb@D~K(N{GG5&0VFjWWKIi6~LVAQAUSuQTRF1pN9gxq>p_aBwzqI z7k$c!a~vfr92+O9NO0&&ESD1ZcZ=58v7i4e)jLxZn$(_fC8M2-o+V44Ag-SpDqkn( z-_Yo8VQY9Eb{|ONc!P=S+s`#=f2;e>cIo>t!KJ(NOH;zz=X*~as1cABw&oeH7y~u9 zten_bUF`|U~owRT|z@mkVHN%IEPrB-hU64xzsz(J9A;<|Z< zfqf!p>6ah9C21%Xphf|syu%2w%#!J37XrY&#xL#>A~3iAcU%Hx9%Lrg^PsYPq<#A)ZKv|?-l_hU&p5y2ulv7#GU#4vRA05+c;?*vZm4A+r z$h>EbOT?w>ulg>O;f|bNkH0$2so{oYaZt&WA{k5*;*O;pgdDdSPqKJ-ie_B}lHQoK zsq1nCU_TBvcHtH4n$a?4#SJzK9P5tmnXP=A@io=m$@*6@q#eX|Ex_3+hOU}dw+4%&eGH9k$lM$0ytTEa4LVY=%BkT3zZ|@Y5T+3zyaXkW6Wf7g zQ(*iLpIbu71H~kG()>}l22+%Ez3IY1{{Vv-=2z1FeWWt2o(o<1RZ_cxL8P;z-ptN@ zR!(;_L^9S~*ytKEfXLQG3N*V_fAp0o<(vcKjPm9k3BC4N&b zclrF)P$U20ujCaY5;+)vk`M&(!*(q^d$zvJL5G)2-hhKG0NtSU{5#h5!pg+DqNZ+P@8Z2bDJp90hw9Cs9}l&w zlG((8)*?huIq1EXTH9r+>Zdf!luJW!cH!v)y{}3{!wmY% z`mMEHe#MVCPbC>&S69Zxzh42z!|Fmr{_;D zQ{yRJq3)H&lHiYH^P8s4UGvuR72b;Md*FiWkS!W|ey}5pKSvLOr{VJ#7tFegq+Q+2 zSyHOa;q)J9434&^Mp`sSK#(cU)m2%WHplF3Bf)Gk-1C%~Rndi#_L;xvW+7*f$&kxg zVWG}QhzvN4vVc(Q9g7y9-_n!QAz<57=Kx z-kiq*Z96a^cS@HvFWWo@nDw*n`NO2ds-5@TAKW~LXWxV9Pk4qMku^`tRb3Jj6G`0W z@^&B*S+d(Uy>^2W()1Sa6Tq5Y-LJeX2C9h0U2rLl=87Lyp&1Yx}|TDzmmNp8}FeZres-T+^JQw7{c zLtUPaTWSr3v3g1Vo#u@9ehXJ@N-)0l3Waw>*eRL50N+*^*ta&E41Cd!x?#GfyBF~J zbf;2d?i*jgU3{!=Y-h^GhmEtd2g(!Wv~^51!i9(s)B}m=09175BWBDuzTsLdKf6MG zNYr&8%Pi1P;!u?bs?BJ&G1rw(7p0Ev5mPObR6$7ZU&v+F?g!-bW=-eeuR_^L-l@UN zB!St(Cr+R|mdVR07%0H)CvOxD zGZc2i@UP>t+uBH5g0?#oN6p+5j=xFD&i8*YzFN2%+1T_mOIzSJy(n7a3ho_C%k*bR z(4c=0#eIO6Q);;iiDJFrXY#;;X;;;2C#kS(yZ9T!?5{Z1ZQX47Ak^}mIpu%#mgMsK ztyZx8;#(a93vlQym!CzAH=C(cC)t$8*o%GI=gT`u*x4LC%$PW`A`&l56?*@BGIh?X z^1uqm{;D!w^z(N4S=`*rZI{T3Vkty*kSe0wrKP9M$@Z@MBujX36%B>hY)k zEKEv8vuUT8-6eYsI$8nPbC!}|RR>CV>? zvFX~GWa!#{-^$Jh8;-PzBp?N{>6-eUAjIh{FmV@$5>&}(5rp*F@%S}})j=KF;ueF* z$m3ty(`73lNPf+BHdauZPVt$eaZVE++V)Jnf|x+IvrOl`j&noK_!!+a+!-mY#2aDF zlHgVB6n3kMPL~!E<_u}6T{Z*-f^tN8c&Tc>0eyClPAty;7nrrc+ly&YmpooBORjKK zNdh+s?Ddh3E7ZOR)u79N&BtNzAvo4@38zt4OSkZPYrR%d#XSkw$%0ANhs^i3bOO~q zBISYV&a9}onQDk3B%~!oNhm4Gf7&x~QF@74?+2eZ`8P;$p-AXoh-SelQzh96?#7RXAwwGrYuEPr-v3o?Y1*80%5X(nYfir8E}?&z}wM4))%^WmOM-aVhop5%(OG?QP&7`Aml6ws}K zQ8X0QAng$KZTq?-u4Rd%126P)fq3qGDwQXH-O%Jgm@=fA&>8tO_yg*kqojORYIW;s zzXjy$R-}^)o|FEJ*_@o{-$Q7*{O`{>_<3zC{oEnX{9_w~;~SSV-I$@Y9L@(m*~(Nz zHH#%(!9tn!A$GvMqy9etrbCqAUIzIP<&T|j$wb_8 z{C0-|tdG>vStEHPx$ypv0tsHJPT%%L^HAmQe4~z`obSH4JOg=?TWYj3+L*+fZsYXc zyGRqqZZeAuAlc4@+!s^GHP)DAqBhI1ZKrET;1qVgf4V*k4@tQ0M%CjLYQD0b#;)-<88YP^sLy#D z?_f%DN{$WxR$Q4_8ddD7qF1?@im3s*k%CT)KLS@$1j=js-swgmOB^49bR+=De?qr$ zmZmKvYPbbLp>cjF=y7Jcac&*gM`bC;UGD)?Z2d(iYP7&#`cbR5A{RByt^c@9V8*-M zhq`S2nKNwa?u35?{Qz&3`XRZs1LOIt&lS093P>rRD3?-H^TI*H*a4it8WO_;`d;4o zKRdzP^V&S7uzLR-2zp?=vDEb%Fy}OKiKfvey81ZYnRwtH6ah4!xAxcl6z&cc!Nv0_kFqJrnJDJDn z_ix3-KG7x{>FHj@<{4X*90jLS*srs7J`a=kUo7QK!!P5u8In1+OOLZhm!GqPs9psB zqmY9n3p$-)_t%BbcoNRb68RYvWUOJ;)v~-aL?p#_Q8&t}X;Nw=x4$F$x>^8^8rb_L zPkT36YmW@l9^6^03bxnP{dCM#x~qfRonI`Q(6sjcW;~UDf^S!Z*6o$6XI{*)s@b+= zPt^Mhn{g`@j#G^GN6Ai=y*6_yr&LLgvo3#ie!1};`Gzw{EagA<0)!3Rsh3V(q>2UG zwv!QZU=)1e+F7A8RzC zMA=J~DeE!Fs9&N@geN1fl5wBbr<0&hYGjP8&VPsUz<3+Dil7i^iFkiBO)}LVC(#vtlHyh3I`(YGMY^47yN6v&; ztbE_5_q?&Y3LK2EX|t2DLsDN0ylXTr)_he+kep=s zA8|Ozvd3GIZ=_a(MixEHa}D8Ug)!5>5Pna!zn@_Is?ZFN`s6|dY422jOR`~m`@z3G z^(um7R#pC1GV^E1GKvt{Ci{-7ou-MF@5b+G3yndau;b!`(HqiOD1>ENzt$3D&edA_ zb2Yr;bQw*K?X<2fVB(Ae!PhcoP1#d(bg)X-M0jf2-8W0(!OxyIP-Xi#2+!^%kD=@v zddACmI|+L%L7yQGvv5u^@Z^k(BH(7YWj3V9Xk>CG$&9mllS;9eY>7|Kw!-0fz|He3 zBz+2g@WWNW@84(Lw^FUG9R|M5un7pNCrQ3G22!&2xeVx2<!XLLOp2?3A}~p=HoZC z4_5`TxF}mCoE~R0+a~dNl$Vaf*6x=o^r@+m&UY5U{X)HF*Yu`%EgKgwk3SQ7nx1ui z>cS!}5kD>8NvW!|I?kedY}2}R`*KQ&$u$bu%f7JPEs?@^HaM%Y0y$B|?Mixp{)GRB zi0Es7-LkM-B976ye*F%zgif`^)| zdy9;oy&JyHBsS?usU+KWm9Yz^5Yj4~enUE|WPQ$)WBU1y@6)696qwcSCzB|8frz)% z8Ie1Nd>uI$v)xbg^XLCvc>!}9BxEM|8@!}+4-H3M7byiI`gXg%ymqReaB!&=302tJ zoBky*(de4Od7PmkJjP{uc#_I2_bpiRCg;aS^Z;D3KqqmgpJpUap*bE%YUGd0AB64k z0?IvjtYAp;N@iVa+z)jYF+Moxu*%N<;CCs4z9#RyH`mRv1rEmTqy}Rs$ka9 zK25i$-Rij3WI(IJ1*Sb|mSq-96h`ykAoLQoe3O=ca|Mz+IP{{9ensWgLXX=?oUiZ* ztv(d~oS>(LzOPeRz4r^%KD|05&VGo<6D>=_ZF$CjEU2jX?R!~lEe0*9et=6GY&@H< z6NSB!%v5kX#n_%6B?UI44Qq19=T$b&({J%k;-Q+!rm`XB=xiQRoeBO@ox}yNn-Me0 zO|{lOXUpr6mDP59|7Ba%yz-iPjOiCu9KwC~Ej`kJ5kx<|9pBzP=uw z4bD$l;!oVJQy;knJ?*70W|a2Ykmpcg#hapJ_G;$LBP9;CzvEHjw<98cmKeJp=KOjM zu)79HN;ifI)D3qw&Jmg)`#Tfk``+YqQIq2g4g?Z`@F!*VZ>#d)za!){)9_o!)=ZR~ zh8#%MfO6^?q_fA@MvP7phx8*ZtlVpn;0uE|~5UKT66?5^t)y zSQu@l82C7ir&tvczdRV~HIXL@2P}+tLf-qm?>KpkgY%ey0tm#rvc=e_O;?&1nB{Ba z=9=i}zF*3TF*~53*Mc6+r2G%~$XPp=?6i_%Q7ZsoC+qbBT8lC|I}EDggG!g zZ{Nmv3uGbAc5&mJ^COI77yKY&$a;38(!9)(iQ~hyEQP}KfXkvV;nZsLm7fzs>HjWN zPK6tFB||!5)3=?M;Ah#QX;I1yT9gkFcBcklxDGbl1YX7?9dJ6v{h&XU*JqNS9$7y* z4P?XaiE3^VG78lrexg<`Q88MVhh5y)rf6<&BHSC<+G}IjdU19?XSSz|kOw{h27iCdCtBrkfs9-k+f3a}|M0CZ{xVSK%FXV5OC8#! z<5;6NpQpt8koJo{ybHGgZt_FnKGT7q2N`f?GA*>oEnfVNPIMN-QfA^v801US?iZRG z4!6MRgC}!B%9a@E1D+N5q%zu_6z5&)N++aimfAs0wCk)F(6i;cQkXD zvJz=+TE{NY-x(Oe*jy%=r5Gd=ODCp0I#Hk^%dz85OHVOZ-jQ8tg8jGzUi$!ry@$Ge z!#6=*EL$EwD!aLFEW3T5Cx*V}hn`2TOx_knT1w{bB;_c7p)JWU*UdTn@ql%&?`nv} zyQt%lC&Ks!tREMaqiE}B{ugq{Pt=vZz^MtU%y+bKR$gbfF? z{V_=W?!$X8cmI5oHPMt{j$kw<*5q>&4l^b1*2}wbHnoS%%H1uReSHo5)_hh;0VQP= zLzNceTiT!(cC5_aM)ophtgq6JkgB9-kh(v7w%0_HkW&)ogzf zcGa>n51`b9hCy@CN)2fd*~L;--t4 zjZOcj6bjQo5RQuz0eA3u)v$587ObwQK_5bvQUC&ZWl;dzrRdhF|B4uwlNgBVkf&$o z$L7{|5MN(9xm_Mp45UScTTiq0j=ME_R{Cfx7jpR=f(tG@frB%jDSIJ##DMV#YI{T2LhI)&kq0}MqETYxgoP%}&J9;{EDjI+L2bS^B{oHG zT(}rhXJr3TH8ETt&pHW7r&-F}F7lFz znOK$8m@q28IbiA;as!c^U#R2Pez2tNi&_pE-Q4=5;E1{@98J^nVCN2+_x!=o>g@v#F5hv@BDEyRp1-j16Mtye>xeKvQr}>c@ZUVM9bTkwjnJc62!!7lpcRk4 zuXmJY2|fl1IAn3aj>?BMO}Ge~HH6#j(icmnU1pHddlk^yC;WE)Eg=33h?nzI}&_$3qYKyx`GJG@sZ}ol@UJvg)-VT*QAq@n-VT`Jzo|X1_E4S16cK^SbZDDqUU8yu7^HR9D@=0 zCEdd0qwtP^vXnbNA++9PVyhrXRLHa8iu{vvcMUt@N0aUX%g!NeoTBy~q5*-pL{G`g zb)RZ0(L@oE+!49Ev_Sa~O_4g1bqjmH$l6o?9Uw!u`vcS~Rk!d{bHBk8C)Nx1AyugT zL8btf#2em2KL!R9otoe?lJg$EW+Kf1PZzb=ueSPyW0`>YswP@GrA@KI7EDA^Z) z$fMyPZtP`{<8LkDk3hcz9+Sfo&B5$EvL=!_1 zjXh^sB<#;4fRg4KqUfbbpWt*9k5tcNhU*2LTokBMh=R{++i6{fqf;KAdEHBC2W;mc z2)S24)`WsTYBnH?f|J@=U%hf;ceyu4Ke@>uXEyLu zpCBa|R(O6cqgfqY`~}$k4+KmA%&YZb&%TGl~4!3r-W**caxI(TTCXPrFf$pfe18 zT+S`ZB!|&5n{#wl))e;m&IhWSw7y#XlKUULx=o#Jq%X789&Be2ZuAb42LfLL{GZ<1 zcY3}kgI6(_l9*Z-SDg<+=i6gLNxGNiBf&16_)=YCZ)HA?_w~?mq%s6Ot7FltXM1yF zvvP?<^nFa&7E*tb3N8+JO0dqN9w4S1I~tvjCs2E%jtlT#J_I~TJpmp*K{?^RMH3}S#(zb@9r02)$I*|R^~vDNdJfvq@$!75M3GEr!t+;J zGkYtk5Dib^9b5X179oQ%o5}gA3tt=5n73#y?v(VLVoAW)>LC}?51ExKGqhTC&s{q885a|8wbuu(24@Bn%>4K6fW;=f)MFTdcKkJ={P6=OhBBOXv`_+kdu{%5u(XLgGZBmf<4&6arT@{aAcQE_r;4po9NhcdV>AgESGyU!||l>u|iMbRUcU#Wb&V( ziqecotzrT2dBthJ!HB$ZGa$L6GS~T!q@pVAbKv0z1#78fr8dJbXYb=_N6sr;l|5sh zKtPL?Y-clvV5zn1%%RRY=ci!$d6LalbKx7XZsIVAHgKtdet`PkLvSWowvTeWs9v^b zf}jD%lR3!^854*arq6ki>Zt^4|7fvl@0oxZLR8dpgX}dxiafJquppYDY$R44C z1X#VT4f{&j!sbL`2Yxe`ciVjB{PWp!>}XD9wq{by-G)e}^nUU2A05l#;`uZ0futX- zY#K-7=P9UJit(|NXZ;3(7(+ly0(28V@>vjr8=^E5W|^ZYkXmIvvT`&vhb&;U0MY{8 z$IK1JN{dc?xveu$j1Ag^AhBHT1B2mk2WqLlJR|#3{BT}cH2o=9mv{$^ikAO`rcg{B zd-Ae#x^?2f-EW}>%LLA!My{9ddnqS@yf|yR2)Hwg_40K&fpXc`PjG*n>9I4%xKNM& zQGqy!5A1P^m{uE$<|;G3e|l`$pNmts0`t<@QYxc}aLp7#g};1#;%q}Y$m6h5!-1&R z7A)K7X57J!>?Q>9H=P(veTjWEM|?ac#xh=bKIoCI9XsB3Jzi~6 zZ{95D3Vl{R7N7<_Y-^>ldx+0gf994#A$GFzki8p=o5VRTsO**;9;o(a;SJg#6~#@- z`A-k|SM1vtStYi`+Rm>Z(UyfQl$c0@@f>(9$v2o2C#xOh*g36-IR6W!lJ8#)l2)vq z{b2$4Rq?nCh{l25(j*Ex_%F`Of>8~Mm>L1E{RKRpQ*P%6i?);Ir5EgCKlTd%B?V?3 zwHoaZ?yq~imYBYn@ktfgb{&7o5Na#*qBBH!*>{UTVkv zKao%P=c^a>aN({j68?B2jl?ZWz)V&TQii z(q(`C$v(M6#Fk5*nIU} zGFsUKixk+ov2rSm48BjLv|O;LKUDd|&1ib^2|G@@5@?b70ra>5EL0=Gf&t7JjuHYn z2@aESJb$2Y+@32wukPCx*c@v}-J+G*Rl{Q}AC}OI8p~fN+L%^)c-a@<-1+@?3dw6F zI_p+VvaC#y`?nx;g~|p>CY#|9BJl%v*j}b5vMG>&Rk_xY%i_V7e#rM}eEN5F()jWg zDP`mJlPw5(dyDO!(P&Hr-5jdX4vjKTfyrdf_R`eJb~CH+H>wDJSokU0r+YpVbdaDU zWLDFCmSK@I?(+ta(3|6L3g~}0+0X`5G1i~+Q`Nmvj5h^rr~IYvcDX#Wt*=#74ho*K zKYe|z;gK{myB>B&wNkY%tE6O#TIZ>$xq5NC^aOgQ4GcHzVft0fY2b5G-_d1u4puyp zaR+`%dE6qP3hP`Pn`eX@cb+_f-@+@>IXyPD5_Nx@uM0-nlRab2XzVfHFo!)BNcLG=TD2lWq11_mzCE`rm7EERiEa_tkhO6 zH!d_EZM$J&h)sI&*|J?J44&pot-Co@<41OQDTTxdiR&@9&@uSxf*tZcX%6{exYoUX zXVoMzBDX3B@=n$+;bgXIT)VqlM=8svX`<&_SxWd~iEcZi)WJGj!|yFE4+2;X+><^y z^qvlBFg;KWc6h}IA?@$*H zAICJ{VdiUjv(P#XP#UtX+4C{IS~@D;XUVsEzV0Arj>0B}FVrk@NV`Ov8WJf4ult!X z-lcDe2K5xcq~OX5aFhOwY37sllVp5b6WzDA@tlj{X0hK@n*yQ;S==Av2gFkxIJ&k3 z5x%EKz(m5PAF?~y0|t_7i3zr;-v6E%!o~m(J)Rl&_2Y!7sX<^5*0=xFeBAm(7iXwlk>s0mQ? ztnw%V7M|D*Oz{I?>-{M5ro@Si94H< zCmI|r`e-j@XapD1=8<&-}63+JA$)nMK44F`{ zGaLSZ8FZ)rNWD?zz*rqX6sV=N^{uvAJ?z1tCgxs-b@3^GOZE=atETX9226auMZ0}< zR#wUUhUmueOTHZeRj4Dn%=zqLRnhW?MD%@arP5M;9-#G9O>#4AjH7w_YFDo8Bu-N0 zAtdl0phexhmr)RZBG20G{rff8>7lBY&1PZfwanL+mj%WOBH0Q?rkD$ zWi9tV$g*4Q26RTT;i>G%EEdtZFACqtvhJc}Q$eV4S?%&&Zx7!=>8lMuU_XHJ4URp6 zsp2x;rrTP;3;8lG-PEhE{(XEtejl3MEcIK`_-40{oU>6$I{u!RT-Sc=T$+vGIMVLO2hlKJ1;&=`o@HK{2~@* zcRFU)8!d)}9Q9}kCsBBBg3}8aA%^t8`cmigHB6z~tOe8ZmY`wLUnJT!(6|+#a9PEH z8guL2xMNt(l;B6;n>DG=mR*ayah z4Q9^!f)`DC1hYO1wf){aB6E2FPf8fWP0(MOe1DcmX1s*&!c8tQ)+}+a6b7rSO0yz= zB^eNtM8iScyG{9UhSG<4eJtaA zBy+Qeq4LZoHm^7ill`L1OvP4~Frv0=Y~tF!Kv^q=k9kC~fZ!2JwPqEIn-`33?M;fh zc;hi8NR}d}eYT>&7#qhv5+$;xJJG)d|H7e&2!nUa{(7_ah@ABba1u<~k%X`O{m;!W zR+&1^E@wA_*TuGI9Hwl`IA*rUY1)5JY=gNM2SkKrVkdhw^ys@k2j4H;J3|Ket_Z*xmTOaHd+-J_ z$^4TKp_TA!B8Z#l0KL*sOS@+>Nd=z9P@zLu5_;v+b@TAMNwFy)&`NeK)4WDrjwqZq z4Z>NwH9TvyNlc(PbNeY-67LIOr8@kTo}H#N9W#z1>to|_Tc1g1Zd;EXLQB~7`~{BG z>E>A0Q8q<8)^2gISz-gxC$c`@sGB71fL-r1n>KH|;`s06-ZiQJG>Z#U&ZPqaGr1Q@ z;7U(>1UO2?_c$$RB(VO~bwrR%?cl%&KQp&DPv>irbcGzPpt5k6ktn@ z$*6f-N^<5JLX}}X4*<1vAdXembgj?O9iOtKg2IQCBeZpt8|y*n^IQ91pGXT<4c*^F6~7B{~Q)%1BpfDK3?9m z;pk}(%guS@=-k9NZmDGx60uwkJnUbbBb(KZGn$?^0g<~}Nv~PWA)RnSqKJD89g|wp z=v$B#QP=d!n}_Ccgoix`7^~x(eLf8ixxHp3n-tD*%AmOp#^w!uKcwZY1k>$#$iv*< z%Rq%LawV^V_9GBV{jV&+$L~caX|4^%`paK5X3w67-UbVonbeQ3!CW=%Jz}p^Nno!5 z(y*^lGR@yio>1Ia7B>&s3%w=w@-wphd3M}RlriP#*?jIR-ozPJFvOsh_#T2OyZ-OR z!=TweNhJaEDw{!p>x4ejexnCfuB;#`#jY*dx+bREcGjO;R~q~RJ}-sV)0n5}dBK$tU%^n>di6819>}}9recxfnn$vP5Kh;k?|hhKndP^Al@#SJINY-IyazHwA+QN zu_Wa-U3A;+_{WWt?a0O1(aX<5Do-n}AgYf7iS+rgw4Rq~PrpSv ziK$Y@s?xW#c@eFfet!?;So6r_GnR6FUpa<|i4E72fgLKFQj+;d9Q*QJ!M`x=Gk}dQbDVQ}aEh-~msK6qhGgg=>yYxC?+21#T5I_;z+oSm!D_ zd+l$F9BM_8U&NZtq|Th4-4@m>7W$*#sSjqQ>lWIF9;w-J@dM?KyVGsCJ7-)^`1e*H z#8L0)jfYHCcq@5Y4A<;*lDNmrDOM@ib#qWv%(YUep4<_TLk>=9ixGt^pmZYYQ0KKx z9ru*&<2Qz1kp_%;Srwq1sQeZhxe&(x)Y~LKc=;}f-s_i;-{JtKIJoYTQT5Y1iaBIdmG%Fupv^8D`v5uPy$FDBhwpe-!~(| zEDMlDeUn7D{BiCRd8t-m>X|f=nCe|Bb3q%|I@r8MZU?PYR%Ygj37Hb_K1VvAti9$`m?5_A?;Q zEP2+UKgB94F&Jif;+`YZb5ktdXrQQzTjb6AXhD%LIh#d|ss~5cI@!RfXgiKL3l&Re zlplG*GRxTD*=c9VF!861vj}@KWO&4gD=`7uY024Q@m~0Ca3A7iVqjot3|xXWj7XmpK;vX`uxVM+F2YGf{}KZZ@RxtMD_?6Q z$wo;(i;le`Ug_$6u#{&>@B-HnZ6jlLp(HTp5^omz#@ciJUr=-AFplUeiCB)U{BNR- zGNKfQWaN`X3un2?$^nFeKyy@7=K33isj*_2rgE`T3OY)b1KTu~G$T5UQe1eYpjc8z zR){NKKeKJB*90dJS8q!9Y~c!zK$Oo)b58af_xjpkJhUVFKpZwmtC(mcSRK{fTVM`t zyb&?djX7;C=I|^QUe(!j>4YgjwR!Y#1m3ig-_@hZC0yXYXxHZ5IhrAA?#9#!QeWp4@3elgbY|osqqSBTmd%H#^u~kSqA3hq=RXWh zG{$18wOXzD8{BXHE*3a%i!Q*ddBn6U&oqv6PNY}m>r^yvvQStzq)Ss+lh>Y7)b03) zGLzGeHR`_wrV()X%w8s9KhkL?VxQ^#SopBganTr@lzpd{L1X)hCVhjsEXZ%AH z-#61n_Ufa*JZD_4cHvn3RM8rq$0}8|bpu|&GG>Dm9RXgow@H$&saNi-U>Z8d1x&)* zuHi}=MATKZxjpB8FrX4v{~ENkxk1CH874XBy3C206f9XfRJ=`Q^g zYD%HRT&hVaqMT(Or|t>t^zrgsj~PE5dDBd~BjKR^5LoH9dhXb0DMmiN5Fs7#_`@RtE0V>DPn{vtCI;E7+Mqga9fTg zF1JwK!Ep>S{tdtdHIjlhzB3kr3IjD}`Ei%{h)YXI_-CS3pFh^dgvRm6AFJ&UeOklG zc@HU=$=rS+9(Ns3zk7tWm1SVRaouR#BR8ULsz{9SQjTv?tA|20&?7kY7JYu6J$SEv zE}VUT6tO$qtUW}+q2t*{T;eD)4m2x7*fnGSu9W5hc|bh}ocgJ)MzheBiY0Al!iPAZ z1&CVXHZbDO{yz9&qRGwx$K8OskJ4$x^>VqP_20H%!)ed4B*&sAM88fe2iR>#dW#(^ z`tKc#N!V))x_3=pZlT_j?fN>ep?`8^B zJO&-8_U}40&)`{<3|1t!*#IgtDHdr+)Zx*3meYr7|2Kh#7mh<}_I%ZMRVw@-da_Xb zenks3_sM8u6??h#xkJbHXgYw}57*9D$vnE%3R4Ydf*8%2C>YZrIXt$v&+>jF`OaU! zP9sroq0+r&nMz_N-P))HRgE3XoXb%S_eEiGUW0nvlZDTeL^$^lvq|}22?Bn6<`N@p z{ADmz^|p}?XDO3GC61Nzz(#$(p2zC%dUrkr5tsI$iT`x{`@HpAMd!ryQm{T+#@jY39^!}o_(aKL&GJXISXQY}Pbc~}WV5!yv(rG&LD8jwB)=eT1O*z6jk=-ogOr!}T zegv8*>x=JiCYL+oa$u{nw%#r$Wbu7B&sBFI1I*DCu86OFN7h((34kiy5O0Zf-~KFY z^&@UdpfT3HKM^~w!hKxqSpKq^%`aDCI%Q>RX=6V?#Jg`YTNQ6TQ9Y+1`s+*{>2e+K ze!6Y0Z!YcgJ~dv06M)n})<{a~9Zq%*;YnRJ4fdixa&Zi>fyTok^!iPFQTh~)v^LXA z^$8&^gr%XnQgCS3{op#*v7Bo}e>JC>04U9lYHA4sd7YQsis#4ahA7J)l=Zy%TC0RT zc1mEX#P`)wq3-O=su>2$htkm6WuQmodQMtzMFcw(Jp_gn?316RN5TDi@t^Q}Fv2&- zy8MHceUs<^N7Yw=HPyaxzt)S2f=ElLymX0lD~KpDK)R(F-8Di9krpYbA>crikQyK* zARrAxsnIPK^li6cO3kR^NtgWs0oBXV4 zF(!Di`L(Nr{`$T>N-yFGVnn;R>6ze1E8Z8`Rw^s_<=MES{YIisn2iw4C$t+=p+xhg zcV8ctIATtOnm5IUFgllEpu7?22 zoM1j~u@6WJfzRWwk>@mJeWZxJe`)a-LA*RsF+tI)tU z1h$c6Is8KGI|dlefRA2{HD*$-1<%E`QW9NeM305ZhbM4hLFOUwOa|QjaGo@{!tzUt zz;-Z=1C9#0rT#=^B^CRv0xMZLDCm>Oz+(oUJ;b?L^C}k$L@~;9m&smu_NrHCSNFL% zT~B-06ShdN_Zu&fanTI+OzU}7Rl+~u)jc0r*ucu$O$ARo-EuOekT-Iud@CETPA@bU zz38{d6fh|DQHhZfAabzpe~<=X>K^Sn>;-_YSp|AMx0$> zhiz^Lf(XvwUm5S^jtw{B=KKpHZzbcnOl!Y{rl z^DfOfC(x}_W>}rH=Too zLI?qafucRk+T|9Dkj@O))Fhg~4*f;2^LEU5;!~&ZSf#O)6;ez%(5cW~i+l<>P^u<0TIh)>~ex?|@(6R{CbI8$YowVL;R=E~E z@wGe*`A*s;;&w6^!ZezycD@&=gWM~`zPUUV_FJpd{}wFma%y-M=GR&Gm?~{Wl2m@v z;TG^?4KkF=nkhA4*BVtqezBRS2vp`N1Bf%w;=isUU^umvU}-RTs?&W9HM!Du2?eL| z?z(zfH{91(mO9xmsTS^3KACI)ubf$rK|fA9xid^seUy`_k?e1y1zev2F$GcFNaVVU z4>h{u_yz@RM}*BVbxo!G>9e(jfbFtU0MS=$Wi1(m{p@AiBTYbQ_s!M2NN=lDE&XNy zH4mMEp9dw!%Qx|9Q+e%j4dtnpLVOQ1L{_d7hkS!uen18CGGIGzphSYM5jRc``!OM; zEdpd(UvCBdj1IfA%gOyizFcYFcd37U)4ItnXQqLi86>nfH#FLE@-OW*M7IWPK)Se~ zE-4?s!WVoGo9>l%{jv%zmt20PFw=6{Q}n5)cdI*DwQq9yPYUSL&X}vP6Tb@TU|69@ zDfQp1@&ZS~o>(m9^pr^WcS>mlFFC{T01?d`fm>0g^j^nYlX2q;W4KdZb$%&{T5CCJ z-X&%I@>664B-RR(VYX}RL?H)W%Q^jwbDwyu$i%^LynYvXuu>NuUq~jW$Q01{{xmj9 zVxPB4cPC=kC5a>!7{);xUkl{`T5TM6C$Zu$?4$Ae^Y5v*NB+tD=3xJCS~-;S%>UEz`($S?Hc@m#eNco}YEGVfpk=v`0l6oU|$Du zjOi>upanNDr>&Q{RVsLs6J)tKK^7!OI=G!T``4CAg+3h?9CCl^b4Y5Hb!>47&i;zm z|D76?ogEBZ4yBkWbJrdq&XIV_Q4iLk7`gS^vEfH)ndCa(@|XTU z_gp$Vz_;Es}a z`l-$iC0J5fNsu4aP(xKZm41ny&~Oaj`-vaHVh^*y4a(N_!CLLNPk`mrFB5sku4kZ) zYI>}?*{bZF&0q@NB5q1u9<^&Bu3*XY=NmqWo<0e|-ic=e&8Ie2qDxkx9r5YR*bMk& z&(=PQV2IB{7!NeF@gV+PjUEdp-LdVLMHG!>KqUP>3^`tuu5;XuR9AF#CRqvOwL#lP zA2;zZxBgh&qAE~UpKTjyoC|vDyI=Hu=)uMfqTl;4xD?He4xUu?N`s0$vHD3mr;7!I$P;eXxtQ^&1--4@pE+`@&{UfE?p--QVUam z83h2BjQ3pMRF!4u3#l!!bOy`8L#Tc{NJ3|jD`MyV^*(crS0C;QvHPI`t1xF2Bc_M? zHkh)Qr4+*V{?{Rt$36@G#uduK$#=iUPOleue;vF+c4m%BSSx8`$=-QfN#}W%OoOCY zKJ1dxlpCATMz|ON&H#8CA~&0^f{V9)s3p7026vJ5}7;KktYk7Je>>2Bkx8bP9pIi-Wvl zgkhNJ){A693Q$GKT16PP$uRlX?$3{;gyjgHwCrSeiOyOkIF=M5iwu5w&barB-1|KK zQ}5ee0{vhKRSi+0d6pJ8Zpv=-5 zE^6H`{c9MFnZ68CTf4S}K+y-hK8X~xBuTrzRG5K3}$U%=%Na=|3>2Y76aK zG8ygIv|wJ3-9rPexmsO6vm*ZOAehZq1?BunFL7jei(U}G5Qqf~I(vaZk4zsRDgc>J zAGbrIt7Vg~hhh4ma1N_lYJK_EL&A3?&|kDN;5;Nj8d7Dqt=(7ea%2F@{aeb8!oeS~Y!voY&t(BDIvahcBa+}`=UErg&<)+Ik;})@bL5mb8f{bdZ)1QEE z$KH=ZP3cHx_54TI{&;j>E7xm_wm%uXqtB)UNE(2GRa&~%hk-QbA@V0yR&XZ56%J}C z_QhR8RmF7eGS@a@GQ3dqvZ>amWxNHHqpSon1(JKAr>7|!ytGH zfII0cY~rrUP}tI=1t2`={BY?=`r{PY!GGm7(z|$xa>s5tH0v^Q=-r^ENbiP$ZG6_5 zb@OK{u>)6K1+m=pu6C`>i4e#LCF!kUzD?(g&F<)Ogl6bOD&jiPZpXoitwH^iXeV=z z1o=FOCShf=+O7HX<2^Nx*2Jsq#G1fO_j0j&lahaS=P)Yg{M7}7+fCgr*~dj~V8o?H z%bRAVx6*O{$(Z@u8s|KSHyrOi^DnyXxj!Ls8JshiF*CKa70~AN?L#j_8Y`VuMKH%?p^NW&MOYBn&KrN87GP`#D%ucx!{E zy9&rlIAa~#B7biyIjieCzJRA|9 zverzR($@!8zhXUFpfVvS<@T1{CmshY9gay@)BfrBI4GH_?8o8=-zWn4^+a6m;%L)8 zj@Uya6iIQF2;|EGng>J3&^`{|VaohJFVsmU!sr=(f$G)HwocOb{+c?Y5^3%UqZo~3 zKIzHF_q()2l0)~dwRAt>ggkM8{InYD{!;jm$rgMYHMiY28o5K((C?b>9I47J&FarR{ zz*{uIZ_z^UPIe&-XAE7gnt(==c-j)h#Vp~iIWc(Opx3N+5r zDuVLHzCWJ74bi=L<7c|Z1S4UBB2C%7XDd_E#QK*n zY(`eaLvKZlIq9{tDO}{R1-|8A))3qM6USVK3iV@_=(K^q_w)E%#^2gqXu_q0**DEc zJ>T=`#r$_iOMf%Zm9fWhq{_YH;dsM#&?K4rq+&`l3!zjI+^15RgO_ALqJ}v>!O$;c z`*rKzO_XG1oq(0g@K4E%%+2~8(mLU*$ZTSt zVjS#C>9VbM>+A+J;h@V7Ar#C=dJA%Aw4t7xU^3NzsPTHn$shZs zW5?!bdF5Q=6t?xfIxJ=AAaF3tiIgx;^AYMtH=RpT{$H#)@CW%5&+CIUndCh8T%CXQ z37U4gyV|v+`L&ZhZt(I|>T~L(!TxOJg!o~}kPH(vPB$~A=SK6lwS-TstL}ak=Fb=Qtrbs>%0U_@ce%T9 z1$y3o{MTRedQIYV#+g6r>z<*c#^vFUb!}>?(ul9su4|X|W@o3-JT-0M?gt+)uu$&j zIt;4yhnc1VOnD|`i|1H35G>&7h!Ck%YfIRMNS#g#O;ggw6}cUGig5>*)vX@|66c2B zdplEj`&9q^`zeTdp&IkV65ty6A~oU2NZg!Gz}woWV183ibOp?~&n#!s-bAH`zKoc* zynhRV{&hu@tzF#euEt!0DYQ3{9({gDd@J0dKRXq z2`#sg$jO*epA5i{0pm5Gn3*-IoC`}u5V?;xt!Ymrb38;yP1h32hoh(&=aE`seq9p5 z4D#)Ujz?RcNR~TeGZVjPZ7i&5g$#+HZI7_-QA+S2xx3<5qt{ZI-J`f1PGi$d)gTa8 zF=)2tLI^{AhpmlWFedGb2S>IONEGTV+sQ)3in)&`Ccy07g&P>Zw}Z*fvAy1s#U$~) zutVTRQPXOvx$=DRq$WfMKrFhBZCmEOrgxKlpI&++_qRUxQz5&sfg9H|{THOGK_|lj z-xnAkGx7RQJgCNOoeU)N`f@%zJ@Y%_;yOx=xkhYhCJjZIG0lI~OfWRR+SS{<lwjw!jM=@XColZHk#!R?_Z;tKoY6p?F-A7I=+?#0Ph_f za!K$}!q1YGnfrT-eAtBNZwiNF`R=2*vT1>s!Oz+|ZaODB7;*&oa8Q$*c5{2cC24|* zKTTc7>GCMc;`SNoQ#!>I#694) z{W-e||7x_@^-oOyBenvk5CN6zXdIl9dg^GPQoQk9P0l%~v=F|bRZ4H( zy-9@eHf`u##L6Xw{es5_`XKNw>FQ;<`@fn+sVi&-r^_n=AB1m~kZAX-&%Ap#28YtH zlSq=-`!GszW-P3Gu60ZYZuK{i3B&t&Ood={anch6K}Ui-+Q;+ zFL8-ke>NacN#(l_>S#gEVtOB&eBvuPw~8f$P<^K9tQJp<|40clzBqe=+V@dH_uF?7 z!d?MvAnO#m?C%`C77*=tlV6%xM}7udmc(!N8x|%Y^nRAOj%*VH!HISdw4{I|@`EN= zOTdvVFWD?1`07q%ty&O1$-`)VnepiJ%&V&Wr?EbW+{6tD1(x0{ioSK69anbNDt+;X zC?m0+5iV@5Yf8e_Yq#Oj9!y9y`UBC)or)38v$>(^!H@YoHr=tgr((e=C$qYBKQQ}u zcMZO|KA6+NTHiEsDmK28s01oNSMh;$88-9V29;dPY+T|N!OM3nq8-et-hd`>%94(# zeYWMh9+v5gP1xZOm3(`hufJ)R@20zueFJq2gs{D1JK2GKU6YFxT4(}n0bp0pZuexp zLDBoChUO&k`IgsE$D*Aw{29kZ?rGc%DwI|C9Z4NQ)czQ4PW*YA$Z_L3qc{d0#W0z{ z-yq}lac1UY3|M5pGJKd&FWy>dh`wG>-5J*E)N(SWSVS8nC9T=zZsQ!Ta zwmn^(2EA*~h{PM&@%wHqzd?i>IQy2Kc*7vMwR}o?40Y%v@As?GihCk6`OZiBvsa}! z=|=T}Cp0}uBQkn-wZm_MR(2H*~z}bw|obkHp&=2`x@2m zdnYtuWh=k)S3uvPXUh!iBo3M$l)AjWPnv+|7_CHHomDnLD z@29If&Y@pT%C}P@Ji>wz(8NIilxyA8-jkip-9x@?Ab@89t|s1v!jdHRBCfa2?a|`i zAFFi3F3*t)=8d!-%q*cP=vy@;IK=~eX|z2*8Ks#|#z=izR3b9&Jv`-{-#3Q_Tp&OE z_Y(F2Npp#f4vWC~BUZVGiewjxV@EtPu6{i82c$@!+@q!sJS_tDbSk>QH#m1(Qd8u) zt)b3N7eHej+neyZLz)^B(Pu)tR@E}Y8iZFjAEh^n|J|Chhz*bM& zC9E!^m^i`--c2>FJZ5C&veT;e`Y@&^{)&v--pqlXnKX>xU4v%Xa%ZFK=l}*A++fRHmwXOa3?KIp{)y9@9DXriJN$#o$)-(T`0BG7c zVqI*4f2szGm9~$DGtkzYyMIi)hto>{?Yftq=XW;dENbPR+QXMY5@fm2tSazMsnU@9 z+ay;Y=V$)-NO@A=%S<(0AOVJnG!pnPE^A$-*J-cCss!rI_1Tn}ll(_tp`q8M7T3Ih z5~r#OkfW8#S#RhD5DisL94N{LjLgJ1PpGMzM3iIr9s&}U;TKX$gYv7lh4$JG;zPKG z$=y6MDtB%H1muqsf3W>L#txG zePJ%k_dqLkG67_fb^Os_i~%(-IxD^AtX#sg6LkNhnjalig`xH@RF3`w*tZ3MCMoIQHwiO!jicD%+&QaWb}>at0cT;CpSMF~t}dT+pwM|cfa^jly|8#|j6?`q z|K=P2QN42z#6*$Fwt8gE*YOFy$OiF=4VqNmjC-cYC3W=pmBHUV@3rqHcpTJ^2I z%0b8jx+atw`t;Flt-X9rXs^ae~t)_zl3f0{lO!B(G(a~qX2u^N5yPVRIt9<>){ zu_KuPaUeg#C+E!`?}_N#IyXE^vL7;!d&}v0{x^9j?1m1H?&MxKl)Ccw>AZ7VaUnio{CAUmEeFH_Nx~GZj8VkRd8nMt z3FX^&xL3TG8pD85J5a6z$A@&e!2|KPcQoza#JfGm>+7yHzWX=tGFunWo>d@x>ff4* ztf0nH5FovbY(8k_^O%4lT!DvYjF=M4AyeNRWqG>r*kC5Pi9}wXiz{C2KE@vXa##`% zImfmo?3x<|D}SvB*SvRF(Qt`mdrPM zX_cj`)fGy*p^_B~;yH}G>2(w|kmK_8!V!Hbn&@CNRK0hI$Q7W{Ozu0w65+Vbn#_je zT3OY{pf?AyDvrL4vXOZ5{l_n1u*k6dg{8y~v(6dDBD1e&1Fnn#;hI>9J}W78j_z327Y)IN$S5vrD^iP&X{(Q&z9Ob) z!(>C_f*l*kGpAn-ZK zf{DHx@P_kJ(Yka;yAn4g0qSzk3D*1q}NsQ^@)bJ;?L zPhF~{%I#A09j{ORc{k-3n}6>`Pf4yFmNg38J!WmY!@s&dUB)W&BMi*aY0A zF6yv;w*T0aVE84MFo8{dZrsypF@`}R|HHv6q{dO z^O7&m3$qEw-A3gvKe9n@)5KSWQ>FiDp&#u!tTjI_Czpe%(k3VhxR$jMBE@cE=uIZyrC)ygwuAd4C-*IUQ$L20~aRmdMOC7)krm@dzxlQ+y-y z3plXxhF)_r@o=+rD`FpwD}ZwKaPYKj@=(X|$MIi-E|;|ojY#F~7P#qZC2wAyd<;|d zn<1M8f7}s~1%1at7CRFIxfal0+SC`XFpk|OS}Mqy?k&lawV)b%DZ)g9bDWDapd-4s z!NHt>*ZEkHKKMZSm;0(ir)fh*y7nd&gvS}c81-r>D&^-$16Pf2C?NOJ+PTW zDk2oIxV=p@exFD%QWJ7Pc+;ZLbmG=i?xe@gMREp!DM%i7_0!i6GAv&_qdx+>hP}sn zh@Xe)`jusJJLWD`wuyNW_||KnOIULNr5%oE#q)|h#;=7AGzzEv4%KSJDKXh4{_IbA zAipB;tXw&Kzr%}J%2xqSmWXn6M*`z$R#|Gu_J!}UKj zWQPNZ_AjDkYQa~*E-aA`$F(S#Kz19yh?Pag7WXD!p)@LRh35<{`?{W|g-2E2HQ%2H z)3zg!@GPydo#T0+lLYyoeSPc_-k}#&1|tweXli(^)tBv;>dCQU03%ywm18848yE5W z&*Y3j94(G0|4HvTD5+WRFh!8?;ake|9gVY6T#M zOFSFDR+6-uRmMG6m3pG$R!g_MKwD3X5=?v+K0hri9}7RuE3{%gPcEpPw7Py=@pm$r zk=;EwSdf_Kmh#-uYB>EVOXErr+|_Z#Zj67p&vj#vQV_<`spMP_^tk^YIW)@@5s#lp zw$pWWj6|=CR-BY&z(s{k#xco6tNsmP+r3SH@=<4&kE~nTEz0Q>eg|j%ig#T%I?-si zB^%(4m(0D{TuoT_@M|7^kT8$h+EIgx@Cgb>51_SlM`CU11)t3{&RdWk=Tb%qPaT`r zrlHeyE};#Qx04#-cC>_VG?rg*-mIyY7(VG^);DMBlPbTI(~Ba-`?-iC^uDJ4r&Yk} zHKgQpb<)UP;j5&Ec%-_i|JPL3sJN7?L`j)fRyOW%u&{hzHHlONmW}l>&f8TgBG-7F zg?CcO<$%HB5H6B2uYitcN$a)HNX-ZNoFlkg#1x_KV5|Rm6WzDSh<~fPb2P*3s{FUD zK0YDyQ%~AO%2!%${b9vYg!+lKqh-%0)zgAn!{}!lJ4|SEhkvk<(xV8L8OGX(<^~xBFQ`U#5-r&qlc(J zEW=K3E0%wk2!ny5&9HXfYz(QHY&CdL-p%o)}Nn@QUz%S;+E0me+tT3+%;9dJQd$%N0DB!@p9b zwNCX%F%0q5eo1s=ZvVHt7@^iWE^Ar*Fr|NXEOggIl;}(UFBjk=P<;#G3Ag&&rUl>b6HGICm&GMtuf)nU-?*u&kgr3S{V+>kPc zq;F^_D&0jn<19CJo@LyIi|cqhXG(p;&VHx+N!ffgsdj^soN_ zG;*ludJ(n#Y`IY!_;B5Z5P$t0^seu6GYQNCR+=6sdFBkct2{k}8S^_32D7lAQv%|* z-IT3l?AHbc++P^NyGVmVHZNzys9`2jo_4UwyCCn1Z*@re3t3L>Xz3J9{9?1ox2)-t z8shM+VN^x-z(d0GM>6=Cg`h4m!_xZFun&NH|32K6I2Hi*iEruZL@ z`qi}v!@%kS?dIWz#c(rW>y;9)v!?1_g`otlcE(}^mpO!B%+Y_!tKNFO8w?w^cW; zUSQ}ar06U?Hg3W}<<`EKo*u90VcA@fd(A5s2QM1~X3CB6jlOeGF?IsM$nCb+9b3*J&Z zKeLc!=6EsB*fX0kQ$T+foF8z=swEC;g7~oQ)1Gtn6sAF_d05kC!z@093VGhDo6G;4 zMaFm`6Vg3jFDqNh3D|X@ilAHQMt4^oWsdi3*FAAApiO22ETr^(Rf9K{+NU$$K~yL> z8*1=A2R_sl4gW}n&&=R0f(#F2!gDK@(K!(=r}x{MBA7`KtcIpX%ols1ev#qNOdM4Tog~6KsCstQ!7O z@740dT|2H>{5hyl%_i29Gy+4uvy)0}N^2=)zF&3HV21F=E5fR$4o*J_qirWdcC;;P zr;YNdL7u0$ySs2>R_3a!xN~CuM&|BLZp#|*3Wwx{PKQ%zQJ$wF0#x+SK)MmLt}#Fn z>UlCL0eEF_IA|Z`ciDI15+ar~T-QYpglTHPr93rF3v1U{ON;tkPnq&iyx7CWRtfsD zz*HquM;6w~bCAmeeBsW(c;Av=Y+mz?JXz2^0Q7JDcK$|5skaT6YKD*6k?7T12D9Ka z0uzg`R)sS@PErUGX6(W2Q*c9o=0QalgZdC77b4Y@@)zwtTv1Pn$;nZovi#rIRX&zM z-0t;!p550LX=c3e_Ps0>bQ%pL%I;}ZJ|DHXS=pum74{$0f*5RgX6uI);B#bvmahE4 z`IXYsSraa2c}`(DvDF5<2dBn1z6k>RgEqc%*XUMws|KxCR>vrR1n{1bi?k}U42$#U zW)3$wN!U9z3IPAbxm+NCsjZacA)%+VT=Xs)E4}`X62F#qCKd(~E*AaCJsstFs~Y&Vt7m3QIgu|ogv=QUutd?& zI{|dPP+DeTX2hM@_27M#tWENqN%MtP8v(ocT>gMZ@c%BN?mh7trr2?HI#FMDb@EBy zbzNsz!I}8T-L5v4d!JcjSbp}-xNP3izr|Lg3T^zdi z>L}^}Z5`oYqw!iJYu+A*Jp66>a$e7^yhSP=GEPb$^`p=TN|zr!@=Lm(-F6Qtf=@8;ctAmEjL|4=~GfQnYY^Qb)ASHjziT zQ4OBBAi063P`gzl7Ff3cGu0!PUVF)rAz#YTWtYOyBhOs}Y8eD}Q9@*K_R%jG$Z zf4dz0T#nS```FN?naAJTCA1Lll_C$qk@H9cALw?dFsENGHGct5fXEGVrm%z)hBaoefP{+T|(cU8ZI6C4GjJH zb2zqpj5z6@pWfs&TB{&iw%Fvlot*6zP9&X?Q!Ye+a&?qU{5Xg*N@KA zhg|#DpSX167YFixK8KCq8&O_w{$8MiVt~q4u z+V2DPGh5|{t%4loPtqMp`9{=OUKT`O25mrBalIE2Pj5CeaJ&eW8fw~!nHI%r zfFDjJELt=w!h6Zn2W7(+dgYYhvl5?Ra*AcL=6;q8dg|<5yj-5SyuMC2d!kA(mxyv> z<*I0fI(w=+GR~!IH=!BDqlw;5 z!lXI}5i~aX8}muxu3|rL7!BU=sKdhU4SZdHXH`BXzTc^?cP+;<&4R-iB{F-JIEX07 z;_3aPj%N^O4>W+tNW7VWLi&SBjwq`_K+80R6cKV!eWr{q@yee8IraZQzPHk`=&Lhay)=%=5Bl~3ul(@|1K z#!OGwmkNdmf_kjgKgpij#Is*i-TUoXRYjSK{^V((>)7avX5uBaCg@cY!I5*|$zV>3B4K@) zbV{X)Mk%dfHhpHOwTQdjvE+3%%M!Eu+u3##7~%*G6-TkzoklLI!Y6sh|ICTg?O2(Q zQcrms$R`N>7dXJ?Fd^=1a=4sZivMM)1c$zV@V`|4idTGz>|bqIdq%4D@B#|wp$fl5 zE0vw#hsdepUSd~Nl6&<$scM3s z-c_f+ERCYB2@YvAH5s=bY1!h~xmd4oxnY}qM*d2JXGv_{MegPP9`ru>eAKooQOGT? z-GW1vx1U{{Tt5qkgaDOCsbhs3b=O$3Mf86h5FJM$WK}{ZH9+EMYv1K1ys7f#Eg<`# zxmomL=A%*Nsf-MZ_({K#bI`TiwUedntUk-gMFr z1(bw9!QnT8u*%#NoTSlxq;ghTf`Bm z3v?3Ie=PVjZ9nmvJgZ{8zUu>WFY>%mfjQ?hMS@PPb*B^MmOBSgCe$&YrCfJDuI zS~Fjbb!uuKBUrKLL2z`jDLdXN#xiSa(02OvWLH0OY$gNRh3Z*z&Bj}{?o)OVY>-e1 z5Oc@phw<+QMA0T%h^#jS!E`3_TZeGj6(0Urn#sqC*Q`a7)sSnBZKQX2p_y~VTsdky z_f{$r_vgWk#(420srUl>E4!U{#4~XogU36!bxKXX2{5ZbF9Jy+KkfR}ByC;Gz$52! zwDPJ_GX(`uTcN*yIy^aU{1^RBA|o)dph)5O&|HYl{}L?iddIm{=Lhu7T7%C@R9C@b zS4z_+wo+H#>>f(Io!X1Rx;l@VS0_tk^b9`HS292KJ=gr0E8MK^`MEK-axUKX`g)5x zyJskG{D?s-@=3>>P#F?Kcq12R*HjSZ~f z*zy~ehZ<`n0olP#d+?na5^BhB@L8YFJj3p&*JuV6vSzhN{#f6U2oecfbx+Z?`!MVpj9lJFdA2-EG0dBv zzS6{3xSlk5B4( zkjzFl<-H6*ddiNUi(`Q@0Bn|TdRQ9ieG3YZ0fdl_QQZiUnvmI(>C>5+HIMz>t=?-I zNr;d`;{3KppM)>POx(IZzWIxxa+RK5^$!62rCFm^pNR&2t&~gf$2tpSl~3Jx5&(>l z2k6aSvx=>TG6-jrS#D9#NkC{7a2bPa@y1Oyjih2z zPB)UirA~d2elN?SQ|a@~tYJG`tz+;0!cp1Xb^EUY&F(VuyLe=4)w-o=jux;JB^*N^CFGsss9%?U6cm^adJ- z32qI5_Ox%V`j&5XL~Cj`sn4}NrLNz9P*7F6N;E%+_uQ^?VE0UjLXWkx6Hd)e=RHz~ zM?qA`zDpN5Xl{H_D!Hh7w!yt`KN95dQ`fCaH-EJMZP8Gon8j6eR|8=v?zN0_M^~{y zF)6HDq*2Xe?`u{)9|W_WIR)>g_QW7383=(|6$tWr>W%01j1_B#T2bLc0a&bFOXA*w zVgI_U)8+d>L?=1?YA@bkk@NM?E-{2i&aHDwbL=`@UcLQ>>Jj@Wz#?;i zh`YM$SL8C8^I?aheyI9wy$Y0=XQ zf@0sZ(mc+AZF7yPKd!P~_Xq16>0ew<; zhqt7CTGz3qI+^9f7TVz`OK(}k;X)fj-+UEiQA~TbbcvsiRTMl!D8=1ny&0RRKlEpx#3(iyOzeY8f;ooMb{2%Ih>8^H_syG59w>(~;6J~96 zl&S;-VF28Ckx5cw$H;w>Yg`m;CpJnXGA$#ekuEy-D`2J zSY$-xiqg4tVJ>|v#applYIgPRI|^3UeNpF`E9(CZ{9veSh|5-}eAwNG#1zXEl$R83 zWh*S(a*Fp%u9YqbhPXu7>s6V#bOQ-{rxZ;)#cpXy(b4UJ!&lvj073@Gs6lFE@SoF# zr^?~LIs(iV^?Js-nlt$fs{`f3uYgC0lnHrs)NP-@bX1~Bc$MMnb@r_-*12oUqTh3)^=M&42_Zs7! zpU82W@qO9>w$?{|Rv(+FmC7sa*!;F!beLx8q}GR7*d)lmKVGxShLrnNL-wj&2Ng)p z0c_AUKc{~30W0@Ara=4%)c6$$*JWocSEN0y@CTsJHw~I_+&z^qo{=Jq9o@^elViK- zp+yfYQai+&#r)>zq#H-$L4+!?Zx+$OZQSyhU%h0rb`1X% zg*dp?`l2Ges_wPfb9j{Mg%+wD6uIi0o^{g%Mi0b&4O}DV(c7OHJ7IU_1xdX7Zpxy z2)?Snz0&gGMXGe%<^|;1;_+$m59g6z0yj9rz`ESUtKk-8?ujEH%qKDC6mE{25@>Q5 zG5FbQ$JN-bY`1&0C2k5Bv#YV4sD7=c*9N{Km6kqBc_w_mQ!mA&fCuD<+kl8fvmiJ= ziCl+)0GcAmFY!^UdDqqbtp3g)PxzK1b1%eV9Tm+BHSJ_})sunuvT!zq(a0NVf{-$Y zMlkDWiCcSQM6hkcc3q8pt2oOQYTxY-m6jOm zkK~x3c)^~^e0dyZZfn@rKMT>E=&SnM{yHS{c!)|QM|I-jWX6o&OeYHF)ajp)^U*HT zhumPX#+jMEVH}-aKvt2VI@j;5v=$SY)ZTsTj??AcjX)oEw9&lpd*SjIiH(1Qun44+ z%FKa2(hp3pq`{8muib%`Fh=i#!kxTr=7Sn+9MO}ZSv5Q>f7_zoY~57q2yh&o^?k)$ zb;4CeMz>{SE0=LTQ0_HbWi7=xXgNA-r4s&APx3ZEwQzU_x#Bf#b)NSeF|;jsv7Pp9 z^(4vmN%YO=&u9eoB{+x=(8YZAE}M+8uT5uDa(e0j^sD`*dN$FNBf8yP z(d6?NLCwcSGmIUcM#(Y9o;$37aw|PQY?3!WV0pd41zCo&I5Bt8vt~AEp-|{tiY`Z4 z>^(Z&N6#~t{Ws!5O1naTwM*aoXQ9%Erxu42BXMvu5N8ka%GvzGNlA~n^OCptaPSR- zE&fS4#pm>Z-W|4aR^_j!HRWT4Z*sWH@TAPzcim@HfZ>g)lQ3`D&I%_IwKxJ^SvV-N z0w+^>R_u=J2HJjI=81{9LYF{})#97CeR*g-tgs`gHd3756z3U|doyVyC~>Rk!~21w z&t@fe`~G`;Aj}+VEFxlSPTZ5mI;G7-!4K zLc^JVQ_UFnIdD1zwdo;QtQ36oosGQ8C{0SLnKNw%Z(R}seXEW;p2O?F4YTn|;1L&C zpI)O%_~a6OjMdj~m&l3k*(;cCwhGh?$w-AeTy|oqj#cGTbINRg~}dGJ*u+J7S5ps7Y2W}=goVx`Ue6*Gts%} zE{eG~sMPiyTcUa6<+O{2#8~1E{I({rSS}6hJCLObJN9$~9Zax-P zb8L#K186*O#B8?CEAF57IrN%I9J?P0wz=oje1_nlf7+pLkB39qRYersHz;`ZHsj*y zLrxVp_4|t<_d|u z1#Kv7)bsr#7ebNDFlT|~;UXu#>}?wqEd|J;inVRCRYsGor|BiIWGao4po6laM7O=Z z-K#FF0t>d#0rS*DzykF0WnYd+%#?WHc2Ht}C2DGU?JJ<4ftoS6_gIH{`{-}^y57gK zxi?kpkDZi%LT-_!MQ(u{x~YXYW`QgeIBG6;r{rqbP&MZ1KL_Jskr0w@2Rq5R#tG>) zO+Q<|S#ylPDo7@4Wo!~zj=~-mYL+itaE?!u;eS_}ReQGf*&8`)j3d);}!1+=-NP5P+gZ6pG zo8Bg%4&XE@^#;dT+_$YHO>;hl@%sURY+*?#^y|-l0iTy2vDMenLns53D|Z4vvmX&k z2xZAK`iBVS%(1qf4hKMPp!dDd4{YQ2GQYvSVz1nNCPz$Xs}_`L4Sqv9yDos4J~xn+ zi3dBm2DhX|Mn1CL?_T5<36<{=cYLf~$7)@dBN+6v{c#D4xUT54>fYM-7m+WScZ=LU zGBKJx-3}CeH+{{aUocc-eAY^^$QPlfRHpd;Is^bskF+8PXCbmC-1x~3AHQu<3Eq$J%o34a}maisJYAvzs zCq!|P>^M+S7RQFY%@UG_rlk#dn0q-yhM(+e*Q5Ia=QDb*{oey{M-EreZFIgvZM00R ztm7hm_vj_BJsx3HGuGnKUy{9J);3?=f+5fJ<+T@>Qh!Q2Pz=@kP9dgL2fQ+2auL<~ z_EtbFz6iB9Uk*7)l5mb+3x+hVYZjr*cvYQUUN4J_IUp>K+&lg@Q`()YI~U*~Z(^X@ zDxFuRh3E$n`(8;H#nWZqD8Y($jbsp5*K|9vZ)2mjXvna143fP|`p0*Eo@J5Zdgwm}d}Qp9=A$cCw`tH(+q89B*+R(`On5Kk z2$K{?H2Z8P=iIIZk$bSMK3cl`I88Dvz?&Mi*>fp;|H;3Jj9YqZ!^mw@G$#Kkvj5r> zfb7XZceC>#g#(B6HCw>A2_PPN+?qus%r>sRX-q1(9olDn_04)#%xR6i>rVplRMm}7**l0OwYA+D&i0)S?p6Mb%$=w?9EpHDJ zYMIUM4?egS($FnEYJZ^$L~bTOvPX>h(w?6p9vW@Q1iC3$-Wm{*jISOT&%3Y+2fOa@s&yWu3_8R`RyLhyD1sWd~ zJ$Yas?4$Or)Jug;scu#}+LI4bT@bR}Q8lYNnT6LaKh z5z@A1?}?(tj929Tv3AfdXKG_$ZyMs12)q-<4H#AEPGPF&d& zAO09-L^SU$!3S6P4(oD_k_d8!uKr)~m=KN|km~_&lAwRSh@8*RiJ3Er955)hvV~;u z>d%`D9zE+lJ$Zu~XXc+O*Jwws7XFHI;juH)~f2KH=D z=Ps+@iE}f~Dc!Mx zKlWnKxbnpu^z)IsaG{r^us0&uuJV1^V;>%Zc1*dWtj0zR|XNY#$V3+oiHWH=HdlpE-Q2{;{RCZx}xw2p1hNUe1xBCmT04jEm-Q z<%_n@kNKC*2v@S%vkR|;=2!wqo}HzsaJJ5#CG5QZ+bWzV#Ak`CY1{A>e~Cl)fiS_S zD+Ym<;=*RvQScw5ni+rho7u&UO#<3BU_l4SPc2wNCnq@`4wypu;!z1#L(C?ACbxz} zjhWi!{l5j?_qR*LxvEZ%2j+a9_|pEP&e*9kA#GY3yz%d3DNx5N3(kcCzxzC*Ea;`NvIIDQ zn5d~ko8m&4tWB*5Nk*2uPEv1Qk&Xf?N0sf^sgKiP?-l)b_U@0oA|dZ0{W2v3eyI*q zM5}V;|=!tWgV)clc+CjWv#1y0$tv2RK_kCpb7`L>ra^I*Qvjxae#4QdZQET8Eeb8%QvlN~GDYTv2;^YHW#z zRGpg)_p3VDZhG{1!bsm+4Pv=e8EgBERiEK)>{tI5pi58hO(CAUw~~Ts3sfB!$u{BC zrFgW66p?6(GH!5xdaJ}``ytXmQ_h;R|s~oHxYH^D*#9K zI-EYR_`geZ_|suFgG0MQKt*pG!l-5S|9ln))>qKcVSDNBzQLut8IXXd(9OtI+r-#; zzqTp8cJKA^m+e@maW&@hKY^Mjd(joNw#fZ_wM{0|L9(r39(Z;6R0^Z6- zigGn4LtiJAPL(?`?U&^+NOrqDeb7 z`~|pkPBsed(s}K+8_&HP2Wq$h>nO{7(cBkv3q>1%5&qua5E1znSy~ZEL`c0e=pV%Y zdjrd_yIYtxmIL|qx8#o(f-~ncwjLuDLtnGX8;AyK_DGJsbD0ctz=5b_RzL9@Mi zr%zP~_^fxx7Z|#(4yjQ)`w-=?aWY6zrD{Y1g=`Fqo;furErsxCxEC(^e!qpRa9lMf zQkOC{ArJR`7P`J_5j%$xm}n#oV0Gq772S13R$>1JiJ)NJ*?(}um*zB$h;+FvBUdggOm@{JYK%|P&$q6Xw;8E46HV{g30qkAH6 z;&1<>=pYiY9YPXGYopu{Jl-=(f;yYOjm=%QzZzf8?$-|e!iZ!-IkgSe5I^W};X=6%>1-&R$;4`yRPGK6q(N9v%sM*5z*+Kq~9Mzs8@ zSfosw&P)k;rd(L_kueP?=6QGFDkk!NLH?EQ5J@+YHzLSwc(ksI$>mGI#a&@T?FM7k zRQv7?Nni~Av3@P7xjjH#EcO)>MJo0C$;%g>hc`J+Jgf_v+q!lkjF}8n5EOX7L3YYJ zf|}S}$NX`Ti6HSMij;C!kz2beplv`!;z#?`@k*rb`b`DJfdL1E5~H(D`WB(v@gsY> z)Xz9d?|^bf;1FDR4hCZ`N=6#`bze5S{#OF0m6j)UbPNU9AAW+Fj<@D%)|RA&3HiKVrLJGydzd}I5Sgx^hcYegv=}Y*@bY5etPA7yA9nKO=#qHsV`@8> z10(T10=zK7=5Q;TEx{kJ=Bhgygzu9szxtUpbnXh^0MiU%-#MCwSgmpbImw1qScbpq zMsiz3uaXlmFtvFhiN&WeL+s1{vBa2~paU5ud~9tq2Iv>`GoI8Ol^L-^o^apWmqWm^ z2e>84uO5L-Ls=91)8<6-C#GOFt$Y~1zQYj9g~a)E!{$LaYIyWDVS!9W%GQNU2 zSg$QF{)nx@@OWsQoOg;L(7nrLT@I05`{?7!`eb*!T*1%$KE!n5m~6w>DrH*uSR=4r zkeyHh-mQr?(5$>Xt(v+* zd;uIJ?yKMr%idO*i20|R6Kkm3?pwcp+FsYsI$LpBmGv4||Fec9IdI0sH9cSv>JZ*G zRT7w;{f}BrVCEX9yGCj(dh!DTZZ~S>>*ZR0LsS1Q#B_iQow#DSNQE%dUK+`h!0811 z5-su=iNtF*FB&4V5(+C~7e5~ldF86xUWd+THe6=k0{^fHTKN$Q1JTL^HJA19)ku(DgoE%{5wtWoh z#p+reDJ3BsQ)v9^3bbM5gf@(X7eW*#UigJ)gYRzh@%J~3<>TlOBwuFPOX6J7pKPl( z6n~qC&R!7cV@9u@EKHz%qEYDr#6ny|k*+m9gkQ(4lwX09KG_Z%Dl5i*pWJDoyc`E@ zU_qTE-kRA?jNY~SBCr>OllbCho#~CbpuDGr>z!)jiJKuR;>u+oQyfRzWeWO#m94)R zz(y8*q&*SnJbMiO0gp`Vh&;us$u~r`Pwz)nq&1eZJ38F>CeY0Xm<}8gWHNX*}HMby%Q> zNF13JN$Ab?!`)|oJC7^W$hky(h#5xiTiGCT?+<0Ujg3AdCBGS4I{6R z->(mMIehS_gN2|GA+y+=$_)8vHp1p&p!vu^KgQ5_HU`UK^b+)1 zSA7OM7nfvtV%hexB3IRd4P;V#{jUW4l6BzJJ4vdc8uM=sK6 zfYb)}wzzE6x>`cquCW=t%$d+w(i2W{XDXdDU-sRZ6uG-pHHZ**c2^L+N-i3R{rDkU z(l|W)VEa`@1!#k(j-OmFbC?_8uJYK75(V3Fm{wXtjsBqU-9!J6I}wn%Dpeu(_%prx zlk`>X)a!t13i1-+)ddwf?xpOwnQbWV0o0D?312IulymEiB6q&#lnLnRCnyl_8maZD z4Dteh6@+3;#Q4uU+-q-OP-peIf<*SLm?Pq)yjDmk-$CbFuzm1rkE5j9AB(v5<0~{r z)UXXusZbxT+?oak+cP~yE%%T1Ra(ZO0y+fiRnrm=Jnf+8{eyiTga7V#Sw8n6duNf6 zG?njh)VUra#{>))qIp{7H1OrR-(JfUp)nK-QGR6y8=dQowir4Sx8LL+oSkKe>gx?H zeW3MXYWWT*y?~06QzbziacdgPz$k`*uTqKk62^D=E&rkC_gSU(Kdm&XBwiE4crDNH zukrGX(YqC|3@7+Te;8p-@+p*Lj!`+bW)c2F4oQ_snba05avGbDRhOo7&jQvy%6-Cj zmzMwBb@}vJq!mncXZ-|kzU;mwfn$)Vm_F zxpzEQXtX_=IC8_=K|4DLn;f8OqBx*vKBRJUr3txDyg8r0_nv3>(^rBJ*N+o#?&#~= z2jp6$UG^hKV&@w zH8X|2J^hO{P4Qk+Dz1^X0Bc@z+VNDhF`ak(`=c-5q6RHGI+g74!r7%X_IXINU%9VW ze%uGh7E*6AXqJ&(>HzlwuakAon%)ImEv8tE!y%elrbbi9OmB zx0sCqM~$pb%;QuyyYi7>wo7fFVudVdfUOg+nY!v*pW=PvUFL>U;8P}rvr?5`%48QZ zJ+g&W6_4+N*;oeoDTyx=X`Z$vqMI2d`p&#!FC~MrmSUkF)a~#%mIkzS$b}uQ$|04p zO_?b1TNO^{0FgBZT#{qw7rZ`E8V#QK%#WW1uJqvMiXu|mWN6nOU~C ziQ;Bfl8Y>#W!+o3-f_7pd4{Ws-I;32#{3u_KV~R&BFribeTRX8Y$~IWtZw7?eM&$|COEB$d>k}Z)Xn5 z=L1>!j|81g>T30K1;9M^0hx-Y(W8f$y^G(fCfAjkaaWe==A9lk-x8CuO35VOYiFd< zlVc@hQSt?J^cr6;ra|s@A{lv@b8Wy^t@vrO(Y76NtT4S#9JlT{-{88q-p9FF%a6Eq zQ-`sVNWuoOy+r>7H$!d(ISIxz2Qx0n47Ng)5lywhlnWtBfirL&XLYQyz%8h++5 zOy%Hz67x4wx8f$_aIZNXEVye=YB)u+&ow;ECT~qtNKr10C^zlGd9@n4vMvWV9Zw4< zkNP@SmROi{yldAmkCTGc2|AJf39yO4yk?^Z$w|n)s|6dU{LK?NBA0Y_%k!UW%v3*~ z5%Zb?q+oC=e6<*o&&_-_#l-6>JpN2EdV1(tax=?E%iW&+iwHl+EtdJKvxIl|QLpLb zsY_C?>^6RsV@(gRKSSMZmsQ|b+t`0h;8ia2PTBThReycTdAe|FR5J(gB9OTlRnsBC zUFnwTIK=_2a&Rwv=$jn+U;dO?-uFZ#m4Q{Esy^CRzi9D4Hy=>^Dr&vAZWe#z4KG)@ zR($^l_Qs~fyPy(s7aRzc)RG@Q_4#C3JNDtj&-A-P=e@fl%qu*G{ngeCG2|XzJf@j$}L&W^~1>4bPAHAVqprYe78!|C&9;`Ltr{7+gQkS_ z@2246R}3$p1A{c%1o$eymePNJ3Lhi6BlK?!^@`m?KY3q8&J}KVn8TB`nr`^+T}w^; zQBb9TM(Qd%F#G9EjqX|8Vp^WlcLo1rz>V%PlQkVYW@8;x}>t=vq)}v6*=l zH70K7@4O+9e+CcPYy3AJW^KJqt3XIKsvz?ZD{PYB^Vg$hXZN)|5Q6>#Zq85$0kZzd zIZpw@Do_+5bjW^3K)d(+*NM zwd(ehv5rDkI@N#uL#uVQX_8NO5XBvuda**gA^z0@`|v)&LyyF?KXW@yTmeQB{@qJf zz1DdvH{Jje2RMnVok|I*UiytdN#2ESj4u{{moC!>Z~yA^x(>3U;Pmtawfy5CzBe?g zTdc;C>GFi_iyAd<0baH%z=>+bL`L)K(JAGtN4A&Ffp6BpAD(wz(SM;W8|R;p<>1z% zT^V}*&bA*#Kzy{11;@n3O19z4khGdEyM~9VbD`uZzc*5`c`q#UZSGYvMm(sJhw&Dd zG=FJ+Q=ykH!sZQZCUyQ<=C->tAF`^zTU3$1K~z;z-9dXHf0ehQdq&x-oD%>+L;%nRrU);|{OA{*+fnPi5sLkgUG=*n?4~WrTVv?!2 zHjszYKzZ?w4U~FFWE;8bi!GMPYGk{rVcr9AU=^Ls{^q{B_c>j$)GbX}t9!P;Tdr5R zXFxHftQ-#^QyR83vX3pew|wAg=Sr*nLy=B@;;jbkf1thzgnU3<+0wLYF08}#5Ksxk zj)uLm%N$AVCOHk17IMRW(P?-vTI1 zm$wvUa(}0ry|j6s1oywLTm-2Z!o1Trr8ZCEj^fGUON=C?INhtGpp|T0ME|Cd9mPN#LT(ZiqY_3khV)q5eS^%2^@*(U#FvWmP16XfY6ipnvR!6`89#q8AkCB*r4fWZ` z&JpAO4B%(ipXpSTuy%}ago6AL@QXWpm&JP4lk2&H%9 zCER1KN7YPxGftHxCxBnKc%4h8qK*9Lzy7ajp$2a~_*UR_Kg5mvn@Ygu03 z%3$E%;KACme!RKRI`7;2D#-oge81{U3J=M4gHzpvvkHzJC1>*E{1|#?3^-Up!(>36 zvbdy+^N^~Y5dEKmy1SV6lwy4frIVK;BeG{H{$EGk*ca+pPGpkd71G#T9id`c#|GH> zJm2horGgM51(KF1?ppUvH(Xk*Y3D2LdyJAMNDq3Q-kp)mzVS79Xa9zmyl$;`-FKt) zVBkr8+m;q<;-}AatGn_~6t-PzkFvl*lm+6vE{=FPB^&c}=Rak{gSLa{)#Nk_l1R%$Ns;EU77?AW6GvhF=_8{oJ}$M+JA7UXTw^m}#4 z^MT!H%UlVmie76>>=)!~(G+Re;IH~9$QK(<1v-c{U8b>=l1&u&_^cAF1}M85W7X6j zbdQ-kX}g0GF81|93y0Y(atj_urkgdHfBlLT$|#$KJE2G7`2E<>$ZrH6T1 zebOOpZJbRUy=KmoJRgs~_T<#{=tc6xhDJgI2pFCw#7DEBP?9CfuYLkLNr!xP+2m0a z-gGs+cKb<2S?S5>YYPFt!xYgm{~ku%0nwq$XGhK;o7KQEOMA}P!4+F)KbC=R`|oF? za5!uF71a(lG`J+s?JN1{|LP2&g0q6B16Ij)QdJEglG|5|Q7Sb|P3Sl@kem2btiY^c z)vZ^nbSqa~FnATx;lb{bc7unv3zDjvnd0k zmgX*u;x#x*Bv1^ocHsR|sopg?3OW4%2X6xpBXuYx#Y9H57HCUloK>p=oISRL%nXnF zwI5OXyz_Baf8dr-21ynt7#{8UFCyNNK*&A#c(q?Q$hIbfNITd9bJ~I(-dNjvZK*$VH2}J!6$<9_z<1}B&+18_IE!HcE zyUM8m+o4W~CK=>r&p*~7Gr56$N_1lNki}55d92KrPOR5Ja zI-FI$8O&0s>5J^kV1~O?7#w}o-BOyW+R0NNPB#v+5W~$Is@k^;lw0VDbS=X2HYc>d ze1@K&HmLodt+M4tS>CDjYk!v_IFW((Po~w~d!aegow2}}&%$OIkho!$Q#X;b48)rM z-p;J=PZ!N12jg4hWtho0Nzb_+VY9q+Z%X@qLT&*4kCQZR*?H=;(3c|cm*3j;gsVUL zzFTlJM_S|U8rg+c)_@nw2MRuX; zY#Dmc~!nck4_~Jn>HOd8NxDMjT$yn776ge$tT7N8aYNiVDx(D zdG+TB*4#T1x4aTgqvr1C(l+}iNW6EJ10ToeuyEhGY>S6(pz6$(=Xd)mUa8JK^fSSu z2hjpUVc#4K=fWHaP{17$-Hv4hopkrMS*(HGFbBS1$o>Ht2f;K~P_rieGQINzPHn5Z zf;Hi)0+Yaz8=*|#r67-26Xr?<0zY18SzeK9M$^bx*cL!x>aww9 z_8!-EgC#);C=RnhKhlK9yp%!~)8<1^<2cH+W#eI4r7NoL>F*OW3702X{azJ)-J;^q z%g7rDbWW?GbAD@F3wgG{n2{~WR=9WrF^ z0yW)!P>(lxA$l94RP`~Kz5Qfus+=>}hG$Uk=(yETW$=B7k)+K4EFv=OmyNQ0h0)sr z_L7vI22O$!kOnnXV{l9cd&fljO?T-bQgjU*;krqZHdqDejRuxPcZ}FPMC>a2LdW{fw1hQVvPGCNAA&kxT96D`-jVs4`YrJM+25k zPktt9yqmtw95xhpV--Orar{g?C0rOY<*#xljJfDO2{KP7LM!?qg`*doKJcD_a(++Q z3tN>tP^}>|(eRXf;BNoHUpib&hk^NgVfs)YGpJpzf8e;pA^wr_60fkcVg?-S_Zcn) zM++N_SQVWHID&EDwF`iQXU|(|$9<~T{mey0bi6cmgI9;XX~(|*aM3~mG5n*}fO2Vo z)VO@kQrQP9zD%(S)(UaGzgeQ#I&6z68BejGsgraz{iFfFS%5J!~ zdF;3-et*407y0Ouh@iKjmi_P&aWwHNal-|Fyz|OOsT9{o(f<*5KVlL&_gKOAep&sTGs;cpzD&&y)T;ASMf1vmr) zV8yGLjsTA%9pv?Eq$Z0RLFKXs%h)Sk&kCWydn7JJ8YO$MK0vhcLxzw!?Cs0zUt@U7 zubA#FujBthlYy=nhI{H+Gy7IjBzvaHWJFGH_f69jqQRadXZ~%K@go=2ASE_vDCvq! zSfMdrpQUY%{{pR0qE*s-eJvjAo!h`&_{2qJHF!WWozc-ktY+u&Qc&6z6+AgezkAqP zND{vuXG@rQ1PaYd4nIw|_giy^aVioVxOnnFr*tkaK$!r3imRYg_cWlYR$-P?&()-D zPt8?u2wU^|2`%b9-ci1ApbCT`zf{a2MhtZl!njP%=JO)IH#8Q9=%oMhf=SXDJpYkw zn%Dx7_`?Lik+4hdokBZGX4EcH3yftXy2U#=X{ZeDnP6JGOmeMZQf(%R3J75$MPY8= z@l-*3o5Cq%M_^&IBqNlElx3A{nn&~qfb4M0c6Z856c)_l;fydT4ukhwR`Bcbo%K>* zZz!5t35MPYw9>{)<=h5jlyTmmDD1ff$NWO+t5X}tv%VLfgfDvGc!jV&Un zvK_;~sep06?oyAVliG@aXl%_JN0T$r@~4T?GEe53Q<%o(H3wFhr%kb%U>+L$X}7OB zxP9iOIUL?SW=m>*6h{o@Ah_tqUCX*+M@n;79OR&wCnzRcJQh-AC3V&-j`%iPE$%qS zAW`vSTtgjl%$VYq+gt|)B>o&55Jv*oGXR&sYr3!%*k}(IgIo8jdoF@mP0r!?0ru1p zw+<|KtCkht9y_j9%}UZi;?|vMBNfh((nqeBGbD*W%E`%&qb%M|{ys@@QvyMKdEoh3 z3g=?Jx^}oKR0@GBfFs(?=x~~Rb@K)(e}rbL?dM5Nh2N;o{!!VCyGw0lj_&9mY2*93 z`D}2jZA#$7lJu@n9BY{5&dZM=5LgsQ7-4y{D~zCH{B##s-N4Z%CqrvZsWWMZRzl0)Aut`y#=pZ--g>5~3sh@RDBuU$mdhSzU? zD|@Y3fQROw*;pw1LTlpHP*H%nK-Ft|oLY2M$=|9O_?8sG-T;K;P^A&fcr0;NYdIJ^ zrviE6!`E#t@8CDSe0wJq?xNY`3yI*id}T(S%l8=#)~J|`sy`{f5gv^a9WChKgxD(} zf&t3pLGK&%Mtb4q@+P<5w7_4#?~yJjI{{H`4u-KQrfk;+6E=EHH#D<-z-Q%EoM*uV zi?IC}pS~&F4wVVZ4qdffdqQx8$|RIKvjX0QD)L^&66Kfdpvs&vwNErP@HLCxTC! zr<}sv(JzOT9nmbJljmR}OjLwTO0ys*M)1e>=&i^pfVu>bm>2pFHtlH#p3hWUyDM=< z0_L#<`>FGGC$avxDVDk^eO@o>mBU)c3irV0sY7jhy?J`~``k8@LYcnNmPc>k)R&>E zjP6H1rIIv&MV!W#_Hx*TkE( zH9z9tHXsdtTPvy2HOYe7;_)HMMrH)h_-~sL?19VK{!EPCJC|N@HoU;GX(~g+A`A?l zH+RUy<9`~9&WN0a^K?fi8hA}Err!U@DY`4Db39fdu*R2EU*EQy0oo$?~_?oh#UYw1`n+iB9EU(L!VU*MR2^sgM{>QZl?M@0q(OC`fBS8Z?+fz$eJ~E^8 zQ|ePaSrt_sfHLuSNWY6eF6MK+q*w7Nn&#H@~R4b_&_k z3l9l$U%L^8em_xYAyr3Yxfi~uc8 zP`S@&xf$wV+R@mQ*x%PjY%QoL0=GE5I%5O$4M^hHt1%$8Y@rgQ_ck5r*p*Ps5tpz}jWIp7Cr3 zg~`Kbc*8T7%4F3%=v6owv?AB+ZJrM8%RcS%)b(cLtUf8cN7aCdNZ^@_j}0TbBzD=oyxh1u2U3YuQmOCP` z#A^>pPJ6|2*U>lSoh=jxT!u}&81-zySlGt)>?eQ&B`Gw1eEtdXlT~4TPsRI?G|E*w z9xNkJx+;-WdyDb=nDCzy(CP8+|1H9k%@Aj_BeFW7NPhu5@IwbkI8RY>sEsG8Uy!to z0kF9dUE{4@=g)>=u^6`DSp=Pbj#k2%shUkE&p+Z-hjT?Hgh0=M8U!~ z`>%C%OvAPk(%}1n9k$NGm7>ymLn&&H8}-Ox^I*%gAVt?ZNsDhbPcwgmpJ}hI!q?)!PZW_dIhU;2gG9@JWedr97+X zN_iF$*}6%P)9?s&Utk*T;{ahM4p1%3Qj?#94g9j6GO@2$4DKj#J)Qk$*H0)fqm!*+ z|GqV7(gHW92V@Z(*hD6=PaYP*MKNgAp~wFHX(7_+S7Od;nyPloq@Ev%^kgWH-8*X1$=~C&#b>1bNPt;zBebIW!~v$MK=lRU`;X|C7y7Nd zc_YvL5}R&ls5eZlPSrM{T_tnnlSQ1h=nw!Gcj+~vj5xF{b#3=k4rrdf3%VfQUMtJ$ zc323yhqiXhkdjr!!>-77XaBgu$bDz~k+% zz66q~z|1pHvB6RS6Z)khMOSlp)d~Nkb=Cfr?imlK-Us@Nh%bhk=RnvCOwEwDEbKSw zo$x;(b}b?5=+T!=S(^EWEiUWk_pLIAwfyBqi|vJrlmmbLNShV0LHKj%;~UDpos?Wq zmlFtt@Q*RJ;^^vbV(NMH!+-WCrBgPL~>}K`uvq?HM z0C0FWF!U_c(s#}Nac}LJRXEF&NX*?6FE_MrxC^Y>UI8Fo<{Qkd8%*UFXI%98cMILK zjI6f_o@H9`XXnHUBq+r*5M{7ClU~HWOh}tgD#?pYk%@q_axma@);>PwQWcqh7s!H7 zi`|kgdI(oN?QhXMYRG?zSTF5hWO^bnYX`gWV{Ii1>cF8k(+;RKx~n2~t=!ML84!*Z zL>SkjJl%VHo*+J@zki4X_@$3W=4oRwHgmLe8U)%B5>V23+!2#i*1z(Wy30JqXV=sI zS5Nl;cLD6r(6CH|y}u9|GsMAPQ=m}XTy4;Lo>&nk!zP|)yGkt}vi#5A6bZey_Yrw@ z#!Sv%xZ=JvDY*=c!aYpGrqG;c+~LQi7ILG8=GPC_9BX|xA5Q4voR0R@(WEKABJ-(K zA51>CXQx*3T#I!30D@QKfKE(gZf{CXLT}m=ArD1UUUV) zfkC~ch)O5EXnpOY?IjuK%Hz}=+;Rh@*T$W_s=_O0f=-%oMfnx_ovLAd=`$C{(tzKy z^;3J*)i<)zDDm-;l==~RXK2d+B9uwdH8Xp_+)wbkw3Z5U><1&Kd&>~nY6DB!WmT6? zrBi-iE4;Z`pW;CDqD|3>^mfkoFy;;&I+=UQ*>DMn>t+)t!*p3S$-Hp-hbm98To54# zkTf0t+Ch>UJL)1*>fcPgd9jeazNIb5gv~(Js!dH>ELY?_SOOK6#GWQiP&u}%&4V`e zlW9^7{q-)|K|ej$0=5KkkcqccXhXY0y&bDOe11+R+MaSf+f~U=o%J9k?Q0o~l}g8u zvfd>GYHQ~v1Exb0oU@K$OkpV72SgZu1X#IROL?QyrcZxSKXC_6i9{?;*{Z&>Gk5RKxPJc z1~Z)LuiU3{0*9p?AbOH?1L%mSIzqd_{AhtmFGYV3B3g!qu9fiaSx(Z5sT->F7P)k% zSlKgxHhua-Bnxr)Xtl>2zBRfioG8ZuE%bmWP|~g~IwG~IEXUAs`Rq$3 z;|0No%f4M3n8it<4n=3_K8!w~S z$$PJF&VJBXZfkPc!0gVN9krS5j>=T76@t$n*gkJtng(NFzX-rp%jGV?r%!VlI6nr% zWdPu|yn5;b6NSew&x3YWu)ISnya~)+l!~h@VZxwQ3t-}a{2O13+SQ{T8Yrn^KRsGH zVdJmtofgx26m&~39fu3Mn#ty0_+tvUe zLs4rvi?w+3@d$~`0=q67a4`MTLN zOe!uIn4y`A!V5aY)KHDX9?2Uv zkC-}VbjJR`gx>z!{tpO(7%W5lKlQKMPsmQidoac6+J3Nx&DteHk7=~fKAXGuhL@~l z#tduDC?~2fu4knp?94bX<8I4wWSD%Fz(!GF&Eu*)p-Po;{^YH)`>O%@ru$nDU&iZ+ zOL_?eGt8sKywLzwkM+TegGfkWf|Q~^p)s+!(`0`6ZRHDT6|`S3rc>5RD2IX$D_Yn_ zqjy-F*4b8PMf9i8ZhxSngPRs1cFMCBo`^%HIpQcC>jQAg|M{C6Z_2% zM5iGGPBPLhas6}}px!vA_AOkqWV$Y9BkPFs!PI0#&BHn6g2%1XMsH^K`14=f8y}hi z94s;!j90>aa?s?l<{w`CbgRWaS3lz&koj0eURIybVXiCZtZb2S)1PhKL+K;=6c<8O zla#?^r%lU+V=hddTkMjzX2Ed(eaQte@n0m}lD)e>$*tw?0yjfUiameGp^k%FgPG`Q zm~!A_%xLGcMtixTiZKnBd5|Nw)jGAHejYeNLX`pytiWJqm%)_!d5y&aIDkR7E>Oej z`&wnJ>RGPVnr22?xW8$TxLsFWU6Z+j*CY3}kyuoCBA+L`c5|y9q5Hrr$WsI3-Ltz= z91CuAeh~bA*LOq3^1-hFs+(TT)EeM9G%w|`&DW>Y!9*T1=Isw(Gf?rFFYAx@D{1^u+4N`2!7PM!9! zkq;?y{r}se59njcYZpf{c5lBWZ0bk-v|3(j1D|5&^Ua94E6{ir#MeNgt^e|m|2?pn zv|ddnGyfFC!tPMq4ZnBvrEFp+p6$o)o{2~J_u3sRqsHUrUyPcs?;=bl&TRzR2IyCJ zwp|TvHRE+?O^lI7&Fu<-`z$bsBL|wDykDU+yo0Ramq9cFtOdn2J8!3M9M_HQej!+D z)HDAiP_S`@N{=Czo0>{JcIB?4xnoJuzi347cYS!_lX%0p`j;QxQj4)djzocQ|-bk7RI5Kx)_sS+cEOYwnhZNvl z-NMgX+RD7O0H&_JiDCGv@!fYZT{-QNPduAfbKPb6cS%OKa|9mYACowtx&40)yvw>x8 zTao>y%;;BVO1i{XZCAT0)pSKsRgTC-YTzrgew{w!P^gf zeO`$2@omtouGeoOC2yygYz3G9r%BVqOu;}xnvrBUwzvmms;;R!5_AmL_2K@!>=bke z+>liPUMgBy)WrzUODAiWl?9Q}-W(BA83J$i>>OO}hF8nyB&?oY%pnV&5ihBg{?`2H zi-5s4F_=JHR}9@m6_o|Ds$M5dhvzmilo)nXks#A%q51(o`aEa(qwnXIFd1jS(qHzr zxAb{xt?=1psQ{+9`N-CF%Xl#3Y5HLkIS9tE_8f1>8@c3aEjEwr)Q0;G(PmApGB4ls z+%qRaKcRO_7-sq7&~j3-p*^pHDI3!hTvm}e>iI2QTEcXTE*{L)kprY$E&m@?UjY== z`u=~t%B2*f4H}UKm2Q<%I+PBPTwsA^fu*@3As`?vE#QLE-67rG&C=bS|96-Bo%#J| z7=}Fq%-KEXyzlcopIR}j27!tFG4M^%E!aBU5`A1)s-0S=?+AW|E-Ab(4_tKNNn^w7iTIxJ)KE0oy%#k`W&HV)Y zDOU@j(q5!`P24iDdd!}Jigy098!DHrY5;4*X+muo7Wcfiwm8`@n`2DtT?v2cS+K*j z<~(Wu3NR30L#MW9Y%GiK+bu8P!y1hCD2Syo~Il%f%(g{+~Up$RvlWv+gB-{_Ig;^z>#n4YQ8%KP=~zV=0;? z9cOb184k+XioQ}p;W9-2b<9~>&~_0Vm}T|ivWYC2*2UK^IPZ1XLKjN?%^ZGm8P}bq zRom;g!%YG%#Ti4f0HBbuZMWBg39?hI)!^^j(+W(f0WZ^v@`mS1GUvfX1#dBnjO&>! z%S=WWfOI{{T#H!Ts{E+9(UHn%*pq@5xY+y+%z?F?fssw}!_C!1%c&Y7O4%a{+VyI3 zjrRWC#meoj1^S7cw&^TX>p$)$)efK4dPd`nB-u>LnzVf_5>|F%p;ou{roy3RmA}z` z#(DP6nGSQQx+eG869@$lq<~r@CKNPzxrpR&wIr4nqU(_*&x*Of9#i+Nc<_mMU5AwL zf10qEoNht*MzHv+K5~=_c-j@P(YwGbCF!HU#F+Avevof~RzfnABc}bq(5ultl_g1E z`NwVYs>!|E`W+70)$8ul+s-q`+cV2>F*64((H@s!d!=>#V`^+oCnt@Nf*s~vDnf6W zi@qH7lJC0D+%$j*9i~3;{79Urd(tQg^Oku~PD0MiabZyiHNZT;NV4DOtbzUgpAne~ zO88g0kmAGuhID2E#E75$Eadc|7L0Jin>VK*o|xw1lw8q>4D%>>@oyJC)arHfcaYYg z6qJIoZpPl?V~`W8h2}VeiUqKPwYP@ovg4Tp`%|_<3Y=fN^lkAey%`a}w0isMxvVFO zK69s(x0LW*)W!crJq%IWz#_o}Rq97XH%A? ztNY3kR0iihyvB-KI_4o#n7s%oMYy59v!V-Qg}zkpq&R$JXtO9;n8SyW2@u4nUYmk{ z<=X|31P7ErZ!4FPWI4q$-y)pUxPvA-#ml;$eW-LND}r+sMF@yU-TI)Yv@-AsKh1ub z!Aedaa`&|TGu=$)p0lgsR*KQmcvMyYgFUphYV?%(g!`*=t4bvpvli^)*W64*hn7(6 z@mmF7nF}2T;R+yRQ;&arVXGGY8WoDCD7Q?_fE&EN^Z1yg-{<_QUNQ@ffS6be*LYFs z)e1vJIX1yP%LEE6kDUrp>A4`$OUwTTT`q0eV?6Gca4AHziH(%6TX`~Vt-)2!Ift{H zr@#pHgFP1lNNcelTAvNvJSu~iSofV;dfum@o%&w387>}Md=F!^DwAOmh-3#i!@OabT#rRl0%k^6P}hz**)OUmGPF0%Yu2NI3CYYM+i&4AF&RP| ziXQbCz_A2hXEyomgFOq5aqq4$uTkw(1vv(6Tt%u@O(38uIl}X2i-b_~l-*nBh;!*1H z=TlE%T&YMa;w;zjk{hT8)>JS7p~@>$;(3x0)8f4u0aMS6LqhN9IBMENJ>Z#PWeb2F z&4rZz`Pe>V@4Y#B0|BAOaenL0r==KHQE#^b5D9eaHN2yYbxgJv=HLCc@hGh{S;cx; zTI@cH_}Pwfrs3PAoP2WH|Mmvp>5;TWhhc3|iH93c@jS_-Ct~iF{I;HdKjgcK16=1= zvlTbtYP5{keVk@rm#xCD{{XDzy@*W{nb9kUmU7z z<2$+m@-83yjxA=bbUZA{zv05^&1JUs+K0A(_KLl-2rMqTJ8`8R=>HO8q3yIn{Td#B z-=oYA&>PS~U*Wr=VJ!T$GDxRS8)Q~GhdOUoX2&h~I)cTaHicF<3c$Lb*j7=S29CL1 z1ve0&oM;DU$)`{^+GhWq@6oZE?Fnv%Y8K-ZnD$x`ku>8SdvugE7!j+EKH^ieUC(#` z*)Dr1Zd?ByuiJ`AV3Og4NBB2aNh#FrN(l)6?+J}sFyFkH)FqoSQwRIQU9EdQXz+pA zo@M6!m!r$*$m^Ca@;0) zLb+Grb&(MW!vTX7#ug4d1d-x8`4&B;VvYrsV6`^(qIJ^3B)9YWJ4@=-NB<5Q9;sqJ zUG>;`?;UUjF)xl<$^+}L_z+}Vvx!%?3_ytVsHJ&bdUQ zp7-m$dDiL(4)F-A11KI$R$af#FDS81r`5oV8?b-okjLQ_=1(!v?1h$E(b^BDmO|No z-nPyU!a`R%FIR}g2VNyz8>dZ`C|Pi9$8@<1+;jXy+LHijQkwqJQXrJbIij_zcCjC* zezBmZaq@T4T(2%`=~5`p#rssmT=Q#XvwlbUI{|PDPT;KMYQnpF)wfmo4TrR4P#5;Z zE6-G&WZTA${~+|{2s7i^5w%WtbFo=O$_a}Zq;?>I?^yP#dU7k36p<%%T>QW(~GMRr*c@p-DO%88h- zwrFUiBU67Lk1o<;LT)v+1JBBRp4c$|=?87+TR^)WFKX+?PBmCI-hPCcs31vM1X<+T z38`zk(^O>V69fCdLQsbE#25yKHsmQiKt<+{bC{hbbHMJCDG#=-$5V?Rh1~4ZJNwgz zdI<$O8fvJv;!oIQVC`Q&t7JvGJMnM{ii{4_7PEk*qE z%lz|FK;rT~=WPCu&~-7{ndJ0qb?R)>6J%<^3zcnIk!Anzy-<5uu7?Vrb(5c|Xz#xG zoK!I}o5KVA6(0<|9_X)ElEd!;-us$D0|WZ5WS{;!v?iU}F$#IyVbpD$QF__ySk_M5e!y5qYeE}hfpok}9@Lp!~& ze~{y}ece7Fn@ws04UFPAG#^{;l8=l!6-j1xL{1qMd}VGiZ)^XqXNysMt&N4_bk|2{ zGAQ8eTH6mKumUPM>*m1fFhppEik2Kiplto6tgU;Vt`~zdK)qO?E`@P#O*<)3aU_1+ z#U>trt|>^s5WNz36*4U%iqbT16j;;!OdHf88jGm~yyN@BHlBY`)b+K-6(0WV#UWgL z<>ch<0YP`sWj({;vJ46d<=%7hMTfrmmS3>c-uQzFuF5yJ}&)n*#Y>nyN_+4>0GNOS& z)x{{{KwZc~-dYu9=F}SWMpJ0>{c~X&*X<>06TFa6TygU-=rC*WjxvyW71kzr zm0ht94~URb0$P$xh_mpR!=e3^!SEG3Sv*i0H5lzD44ZSgLwlylsl6CPNM)Ry%nXtu z8z-+d-=Iq|AMhz%H#YhGGE|B?Z-I|%1$rPs(C}wq{Fdss_u)YK5h__kx!}01&(SW< zrYsd5Z{+bVR6`TW96{J9&+Kj#!P|2%UDcqj_1~rpsM4SQTQu6DnAYJ%uo;UkZZhDc zBC$dr!bnOi#EC#UOySn984Gv*x44Af&R!3`-oPzs$U?8f6>yf^?{~Nh_w#K>TPM5b zw5E-lW5AX$5Q!JF{+d+=|4&t_=mC zv7ZgBT=`Jkt*kYtn4fnx8=e(-h#xHZL%^GPGxOPMW64oFpX9LKimvYb7%&GHEsvViN!|0-zHGN|6L+qDwOSadi zV4iSazkYei`Uc4-JS3qz+(futl1~8Ju=h0h@&UCXrJQ5{#T&^!I(+DIqcCs>#cE)1 z^YohfH^6VhTp_2rfuZsiS@u+u^-Coxf3GfdAW8Xl{^j?<>V(h=Ul!+@`N);YWnlR?B_?~;lhmK_?( z=d9VdKaiVD_$!fIjAy)J|1@3CaOxC2j7XM zka9rt#(_nuf-z!rVCVCbWyy1zlHBY)@3ekz-*gs25e~|#{g%l)Zz*^#!sBRBQ`cAi z>9%d#*ZFZHu!~Nbtf0Ug1dpNsDIh8mAj?ZTu739SixXU}*mhqsU6dydXy(>wyVe!7 zl6+!R|2umj;{MlaX5l447vc5pZ+C^df}Q82i?f6!cou$o%f4wYw?r~);YwGor{a`4 z`BtjqNy%7Fmu}rft-=dcfmPrg5E^2uw`Gy(MiKEN3Ad+j+iRIw9G}Zq*uyD!ZvftG zs-e?xURyZtv7KFFvhGAIcJPU6zY(7*NK_p8{1FSGGy_sFn!=-d(Wlw`rwHK z*p>Z-v-q{wf>S|r7kjMEt0FFZfxVcA>ab+Ed68D9B74G~5 zh5-y9=t%y({)fe(-Fa+Hvx1kljS+KSe*d&c$l@N$lO1CN9=+fXqYj!yjb(9V?s7Ky zzvU#7S|=|ysQ zgUtbbQ&JUf;q-On%>PXsiW%(3I;y>-#{(XdPv|i)=hby%YWvj+6T3*5bSk?@geg#? z*E9SU>Zot19qxJ^`XxddWblb_SD>Qnd(7~IzfZ57ZZxFDF-aN(aa0Z73%38A#AS!m zMM8oOnF5@HP~cmIUNMn$_HI?{uj}`hbp0ZnV!%(5PpDKs_@4}o#q_?BoM1b@dma<+V>{=@_EYH&dVwovk+-aE_0<0VoCM z6xMH1KoP400FZ&llg4kwRQ4@U&HF|WD{)GK?s^-+LHl9Vc8KSODfYi^kPTfmMZ|C1 zAD++PnCVx6X$xt*F{nbqU(1+rmfRKvIe3^;$0$QF5}HS^&qW*R6Y79@)@k<#*rggU zkrB$VK*=r|@AMV_S~X5K<0eMehR=v_#J!L7?SX|5D+`ankfBg6Yh^^&|Muo(BUl;PAIYXVzzZca=Y@)TV<`kg~y)p2ZlZ^9j&o_!ZW4d z^r8Gm`=R4X0=xdV_cJ*6I#>ufqI1{;w!FO>rb-?m&St`?EJb)3G+OCtz8F04oUFHa zG1ss*v{06M(`vjY%WT83*?t!eLb`zNL)%3QwdK59RX?>a`))bHF~^wy-P}KygxtwD z#PCZ7D)En!r$qnFMe9g?KFuKK9;XrORGf2%UhkaBUluP?tGX!3K zqQZaq?|qNA=LB{tf@iDMWxJ6j6Pi9Gvu|u0zsynoIfhl=&EF-na@#lQUaYbazepgN zwT&$#{zp#fr2JRbQdU5@?!oW*qwd;UDIoL`(T*>D8le%Plr};;z z#+x>lNn*-KBF|p=@BthBjkC(>Xzqq~h#21;xpOpFJuk!P>SnxIlOmW-9SVglc`MGG zG<{_K4i5pMO*xLw)n9M_O<3Xe7eAsj)2_{0 zEgRQPU<~yJ1@P8v#O8;Auamq~2DTQQ2$mPqTP~HX(Vb%*oZ8?<0&y=``#t$afwPxM zJ&U@Euq|g`1@yIj+Z5sZna#8KFlJ8I0+i{}5=TW{Yb%)&AuOl5oasfjr`i*pM+6U` zfWXf5Cx}Y1BXaN7x0C)zzAdcU?5<`}G;&F&b~1}y^6Q=umIj!y1IHdv0r*)$Rn~jV zoo*We^Hd;K&u-PtZ=5&QhqS~S_V7!M)C_;u`Fa&IF}AO;>&#K$S8ttIH5kR2seApr zdBrogG!rrIdDs7$E}5Ce8FsnOuhEZSA_jt*%7=F^A6OLQeMoA_N1qk7{FaqlU07`(xES*9in z3$(yd0@}N)j92Quzc9KxAiYbwCQtSApa6tR5pdddmNg&N8?`?6gwotuI{4hN8J50W zT4Z+%8Kddr7akdzx)Ekpr9lz*n60ZVU`H9Elv~h8>)AG7V_W5{eHCWrPmmu@BW_;z z{>$}32Zw+bS~3oNPh7d6b#&}P^Yi4C_imc^28m$i6SoN948RweEKDsjLcO~?Bfy40 zKA}k6J*F6KpPpF%TW5?ZoyustpRrb{IMRL6qDoYGjxhHFMW@%<)+RqrvQ2&6R9MBi zb9~lGi+o;`Yrw!15Oi3t8iz+ZnH}rd@?USp@xu#6hi?4lbRO0-JiSUc~ zEXvqwxn*(nz9`dI@z_I5sbmDFuq0U79E1?y-%CZ~PlKo&0NU7-?3r-5OM^n3#!~8@(V5OfD zL?zFDUDah;bHi2M`PfxIK?gbSQ|J7aI5OOh6=8Zr3g#fCaGt4sH(-=YioQfsh5IXB zn}zOm_&8Mjx!ix)VY^4S3(D;|iI7v<5b0xip(*3}M8ubd)kGPP7<*@Vi2BX3yra|4 zzimPQH=A^M)Mcf$L|$@wptdC0)#ZlKh!6=qTw58P&QKJsLnZpp%1e4~R+CI|mz|xJ ze*XfvyNLk;?Q`QchI53IToqXQ!;^~|1SUQ~~%Pr!K4MxI2fB@(1*AKeCPhsKPHmye{aI7Ri z*3sVar-|%#?lF~LT{*|&;=O!)rYX-BH1!%8^TpfmIR~cf$ws%%wDwmo-x5Nf9e2|( zvnVE)X5%?bYx1}{##jfQeK-wN5MWS^eT`38Xf_M@<2jfO&{PSPoOV8FC57nT?f}Dx z0iDf`ZXN5Pr*+#jNxIL77~!$nS_Ty;Wwv!SNT53+%X9v5$XkReA96SirPe+VOGFSw1Vf|7YW^*Ppm zM-Gk-k|Mr+MI9c`$s;-`wQ;YlUAbptkIyy0=T83J4l=m<1=pPLu!k~yOi$mOuKkRf*jM41=8hj41g(T`o55Q>gvnHhMw4su;ka`U+aCFN@q)^ zzJ3_Pks^fRycGgI&xCYxVmDizU1uwxTkZ@P`^NB}Z|e^(_;n$#V1+QOD+mDthQjX-Do@H1B|b^iNm3iE*Vl zGO#70rqL=JP_5~uXWjZKq=$gXRG9T2tp~>wIa^YJoo8G)J~lJnfv~mb%g4Ip@{6y` zI63+1LVd!7nru{P6(hHCqFp-PsF;6^7@W)0M#=UmJ$OJ?^B{Z-c;e>$;lO2lQVmwI z+zA=x?$DXcv}r3uOpC5>mlnz5(HlKY5v39*4&9?AVnKJUMfUT@c#bAPSm|MK(dv{`i*b(bgAD6( zop{0HubvYh;4=romrx@G40&t(ylEGZ#tngUJ9-u?k(>+V|5xJOm5?*L0Q_HFZa+pZ zHjn(H_pgGu0-Uq640Ik(rVjOt2`|fX1F34^@>_JZsDZY8@7iDyxj&veFk-E@q*eno zgIQuLV%v8`eFAsNw)4}C;WJff>+WL#++hpfLWe~a&@=E~t2rGyDk~?!>shX`X!gp> zm42~6W#eiy?y&gYI-PrjKYLe`w)y6GWN)ZuXCvK5zZ8`ZOQi=fw#&5kdl0hBu+HNE zKp3QRr&^^xFD{sOvQa}$!bD2xCv$QB2`PN<+dm4R&oMa&4vJ9Nkg-SF>$yV7j@6SD z;X|3NN?-1AEdyMetG=UO!N&?3nZH!y$K-5Hb9=ZZ>S66uES~4KTa|n@9=BUq!28H< z>lfdXO*2@%m+7<5X`9fU_v`(mC^c$L&ayR@b>F2BgodmD2be;tQCH}^jf3^txo_nW zf6sOmTxzDuJ(O|0<{mB7vy3yuA?R>+1#7)zYR3dw(8XN|SVhPn^&ep`qmZKgfrH%| z$IowJAd8@tl(&4s^nqbC_XNy0S)iE;jJJ2nVEf7Hd<{ES#h1?UhRne*85nbuIDLs) z_Db=DYc#}{Xr_?9lKS!wCw6~-XsK*M3{YNQ9Fjg#pzYI)RnM2H+! zUGjokVU5$CvSlgRVEHeNI;#gqj=AFn;%i0?R(bL_)$+}#9{s)H`<4^j5--7qZW+Iu zH1RQeI8i9<@Ca9iMDqNS0!JEX;cyAPkN)qU&Od*gW&9LanPPbp5ad{J;MRLU z10eV8OV(LiRom1E^8+%J&2gvzI1r2tjLBTD9nm5nuq2S48DR6Lr0tJQw?Az*+8gB}CY3rUqq$0a=)^+Bj~|L-)no4s`>YgqloJhg9r0O!qtg??;#NMBfmiJCT#QMo8p znA`OaJrgu$ODW3+jk~nhz2_?Qkr$4YbS^Gc6hGUk23~h%uIK`M+jI6j#l|`Sloc`s<|c3+65^-KIu0t{I$+K%dM?iz1UJ* z+8+x7HZxu1iKq>(ECIH$`G$=&Smb8b8N(Rj)6g@gLJF%{-J80dg9VXrXudxzpBIfl z|6icXh?(3U>vpGD0_q24ZSFiSOOORfuilEFk3IZ;B%)v;74eq^QdP9`(B zfT=lR2^hQ!xZrj@jM;$$XH6L{lp(?7CXX-{{Aq!^fq^ZnmhIIqhH>p=&lB}4W8qSZ zfT)V6FNEfIMUeRxs$c`<@fj_Rn`+1J&b1g~MdiVg;6n=`H8$MK(`}Q}kcltku^ee!gmyiXp*FLj0;y=yVDRL(sT;|f-qfI2T1p^tGa<>1FtP1Z z!H2rX55gX=g}Dup#GFpfr2dm@!rl4waO2_~2+ji;HaKZkpgQfOnPVmBV(GSWJNL14 zCVfCX(CNrWd{bW%igs=VoQ7moO#@dqDn+X%=bzXQDiH3H0g~J?paMa@cp_?OG zgH3IaZDqT?Sozkg~oDi!$S zkjb%+p88v*dA_@2Uw!QkM_g1(t}zA29CA!5aACP?39@UlDTjj0@wUdQ%&oaC{0+i#rNc#>Hb70Mf-$cOT>F>#I_ts)iPkA9E8H?PTpx58_a#q81Z(dps}i z#-Wh&1O-U=$*3_~2yhqj30>ILb*#*{LCz6c=nXnjbCTfrlx@E0*_@$ftwI;$L?de;KPD6-tkHm8Rh^uYai3 zpAA*B#!cTAqLk1d9YEc$w|PI|TUE70ntne;@JY&#ao&4^9158Vc@yUW+^+ZpF>^LH zc}Q#9C44_vs~S4wWeUEcth44qv-Jojy@cD1^<`FB*1qemPO)>t)V{7sY-pFA9&g?< zguy5z(UI^C3}`c3NyRqB!tuY61Elmzy=P^QH?o0o3uv`glv_fOrsF%68JxS zo#mREBf-GJ;<$!bM<~5$;fqH0@AtM1t-ipyulxhRQpoB@Rfzp=#5562!Y`LuOkpm{b`AXlK@B~i2Wb*_~f~(DJEq$lbB4H%C-0#39mfaPvDTs^RiO%mX&6OEmG8x) zqW-JH3}6(}aIezr7MVK%*QxTS@W)RlC_JjjkK)dzhlh z+}t}nvv$^Xnr1%vt$%U&Y^t~E8`YmhdLNj(^7shUBmu+H?dihziUk81G**+D2dVGz zytm^`4_i^0!M>Oir`&o-bDElMSGBc0!6BOq-wdL(yKu$ULH=9oEL;hDU!l)hPWL1T zTgM&tEW2iiBK_WEYNN7O?d2zRgAMUrZ|FtS=bT~={U)N+0Es@sN`_6wk=fw4kL7W_ z;FDy4ISV{`pu5!E6MR>sGGC>=7^FWtjEvr&(>wmDr>`2%&0dGBek^S$5Ha!Ipu=6 z6O~sDBv4)UqdnD=Wr$X!Ky?VCAfvM%bjY6ieFFvt=Wt$pQMPqL$#}~S z>TyDgGjS72k}UsAcCwkC_9ieBvBpq+K+u!^iB_$>#dCj?iSH!*Dy5->Ke;ZQB9S{~ z7hS_*au8Dq;MVIl)x|k>HZ`AhCT(8f2P%EzVIv#6O2+TKU6T^9GQT-_6yq4~&Xp!) zYB8*#SsNjk+g^1he+RN$nT41BU?dr#Z?jUdHGX$(^)!PHlAFT6fZPZaef1uN$Uhi<8`= zkhdEn!e2L4{V_3l=V+zc_q_G0{&M8mB9Ljb5yaH{8jPu!J6*Hcz_rsb`hTiH&(ST^ zPhJ{lzd#FgOPw6SbM3LBJJKI!D7*OP;wl&a6N;!u>-gWDov96&*TH>|H)KfY$1Qz% zge7M{QYWY=yUJG2VEz8;K7Pn?C1pKW8vq_1k+xW*nbe%xQ;dw7yGsa|i?)XnX*{`e zG$o7~0xjr_BYI@n1gk=XAMU{Lym9xyMaxm~L-it$ps7#CwWDLEn$zdC+M)3N%1i~$ z5~252x+m|RXH<7SD))0BEM2-WRZYuQB+D${u1^sQE>LUwRdLSA-1S&}XzNdljgZIm zr)ae|OA#bg;t}c#SdGMN3RVAfw(XT#*`bu)ZkiVLw}M3KzWMhpJy`$lz;Ds=$e?ZJ zT!d>&xHPm*w;Thw(-$fxkY))VBNys^crLTH!J`N=$^zeh7em_gO z8Y)Y&me6De%H?; zQY9=QB*@GN+BX@PN~24o&US?@@offu_&Ez-$1WW6XBEOl`cU%TI+TiZ!H-6Rx1z*- zW4&R~nHnC;&j*#ac!pw!wq5uHIlY{v?; zEnpO&Xb_}bL%PKJjaZLaU$_YHbHw9F-TJb+6Vy|z^CZW3?b zuzesY%;AT4@DKJJKIO2xOE3O$0%BDm6PG2c0fbk;3j&NF0Wa_d5nFxe>B#bZvl=L0 zT{TnQn7Gj;hYvi~!hf|r5i}M){+c_@+wb*^W|uaOuUf}gzdYK8;r`im5>qjuJr={8 zJAL>z-_g*T5*W$ZP2oPZ?_a;|imi)Ta6|aH*iJ?bo(L3Xi@YLKXfdkPb`1PyTchlu z^xzy(<>y2X=SeLT_KVlLv zdtz3RzTn*XtR8A-C_TsPKB8C>em;HXhd0lo85feN`dju3AeIMwWXaFscbz9`f-VY3FQfNlT@QuW zcHa`1TQ(WDwS-2VG)6lXe0hHaHT1^CA!bf)QWf97 zH*WsvKUh(wZCufSA}VEs$JN#EIM&Z@UKmeUi{TI;jEc zcCQqo1V+q#7P+sVXE=#Tm$#33G>gf5@5bS0OG#iHNR0!ex1pKwJIR~U&xlyNC7FRF z*?m9va1uzCs6-M{{pNOfnoy9ss*Kw!kgLned(7qilDB$ocSdhT;iPicmPr2MAxYk$ zO>dmoHVuz+n#$!XS9v5T1uheZ@Smf1Z`+CHu$A&8SFjH8nd)bwMK`tegsK9og)-3K zqoVcj)rY_x`{XzcTM#V9#1E>gR1$oIrAB-rT`pA}@oMpHd2>&v#roGRku;?eb48Px zL(qCo^o6tlS@nh#)S%|(1<&62w&2)s`024Zn((8x0_C5^Qyk6B_#oql%G|e#Oa>lb zfIrHF?Peo%^~0FLkyiXv_(5kMs#g5^b<3uBXTa~LRO4Z>1l}M)!4D)T#Hv%9 zYu`M0d(MOd_B92%AdC}+qqoqu>-9RfTa@bw&oDf{7x=N<)cyd725$>TZ_Y34*Xm}$ zvd^5<>9UU<3qL!el1k27RJ_Q@-?pXAk)0^_ay*!^znqEO<4wj#l;6)VHVf0}gv5$x zmEUOv)N+9D#`U*%FB;t`T3DRp@mToJphrycdFw{%-}725DaD^|oPxipF7*59C3czZ zCVC2K2sM!{*AK!2|9i__r(}xuzX3Dgd^cbi))I0C`D4pvQ3kO-z<&?^SZ;MhL)+Q4 zVx+fzadVo*Z;ZfI8}U_?nkJ#Pax<@mM%*f-r6u#Jc63~}OA-#q65~4j84^61@Y!-O zy;#%+c*z079;%~m{k6B-cA)1+@9FvyUR`?EVy(La{Yml#(JrJdvybozj=B01avHgb z^Z))nkD?h|>V9>yI;;H^f_yjt!W76sk>LTB>1H%ry|3sV)?*}FcZB%i(dK9!!wj?N zsnW9Ub2ZMi>R5_Vlhhw)rNvf6oC0Yo0TMXw@K9{!JrjX{S+_Wv&1;jOq7S*MoP;^+ z$;{+I_Y@Y}Gftl32}7*E0ntzBvvpWC()seX*fqyk9(}sql88Se>L{cvOFj}VyKk_= zE|TJu;v+L@8C1M`m#H!;@oQfgAMes6+`3wiU8GqdUnePl7Ss=PYWBgF{z15|2PRCJ zgEy(d`0694p(r8|xUu?g4vc;1lIZDA#@E#?YUD^pof1ulz*^^Ij(hXPwOS5x_AUGB zQz?X>{tOgFQ75V|e7euf;cou1kIz(aop}vPbBa&IX|2vFtbTVjG4X3ner6}NnOv?@P5D1sLI!U8oQh` zo_iY&e04ErjXG`_yZl%?-stxmBiTP1u z)QFGk`F>EGIR9j(b+YGqq-U&XDO7_CkEM|>O|-+f(%kJKh~og^ZZf{j%Gv&mb3B40#IP4>NL-ci z7Y#pk4unhN<|s0>W0KKG&o>qW)0HWYbSVE~$6ZiFUB+%>`SG0(P>KeW*^BO;^$?eo|b;jz#O*6B4sA_l3L*eaFF!n>(n-mfy@t zvu~$xsgosuLBtIds=wOr$TjZH<@$1qV7lD?ewKtR525l3DVDW#c;eDDb!*ODXTOQa zWG6UH<%53Xpp}czosfXx+Dz$SsYJZU@qj};(wzwG=}|GQpW zC|mAWB#`Tl7LZofoxCcT-Pf2j6;y!4GlJgDz-bopw)WKVBsqa) z781}fK-z+klrtM$P=)B*01h8u*;<}fMJi%PP9k6j#PNw>)cj5UY+8M>?usiqJ6Cq2 zEC2S;Pt>a8kF_Ko5n*Mhn^MWHmTV8MAAe~L>)X@!K`Vj*61V|sxPm>fVXhUyX}Xx@ zU+K^D@x?`$NR>VV<{KEh7KC)U&aDmwbDiE#+^4kJ>q_H6I^%H&h{(X69XHW1>+BfE@5IBw8~!Ih`|O0}`#xfI%89V3zdRvj`S>sK1tUWN)10Mzwj3if0VBw* z#|6XS*Li=)q+j9&aJ${frK{V%`f|5ZP>zbAM-Y-}Zh0^;=E2;f@fr!wbI+@XKVY|XH zviFmYuNvP(=Ihn?fTN6W{okLn5t??&8iljFbnY63%R@T9NBa3!NAO>zSaWQ?r`z`a zRDM2gFU92Q(!G2{o3(tLukfM?%#4Bmy9I4ML+uatE#5k2N)xh3!z6vaCv0d2=L<$c zearXbSNa3#q9HrLi2}3<9%uY)0tDMGm-_#+7A=~RD0xfnyeRwp$#TTfl$%d+&e4oh zV>>W5><=rY0?*0$2&R?<`4rX=f>&!5m8e^`!f>RaiQgxHb7t(LE0`QEp;*`)btR>+`A!Rx;|1o^ zY~mlao$n8TrULMs`$TKWCkItUwjbtsU$vG?(S_0BboM z)V9FHk#N1lB=sF%5fE!N*Ezj8-MxnGy#$7_lK>gr`h6XRFLcV;STtv^RJY480CnYy zFqu@k8lUu<2%J7opHRSSiW$9=&M13Yr24Ed2+Gxb&RNh1pd4BOqk}kIUaeAWrNRe5 zm5YNV^Yx$ekOG)^e5w6iqj#{UeCW2?tk6UycD-sWRu(^Jd@ukXy#W9wy#xfM^m#L_ z_OX1HkUT8-+-m?{^Vn)&;~-7cDwYZ#b4TeJbn_K_epOA#|38l#B{$1+rnZ2k`Cm8t z2#-xS*Kq$6>*H4};}87*{(+i3z2_KCPfawgfQO73^~MGvakc#c0x1(YMRBCE3^L4s zQy6uW5OjN{6mO_(Os^cl0DtX=UDFrKkp&sMAIkIw?=+ELB-F>nZSdxYh&{|yQ( zbWJ^^-jcQ#aYqEeklXzwO!gbI5v-o3*HInKwpeuoaQ*zatE*k5@v=;`64t_cDyYl( z-*_9zP~d5`7sMQve|sZBjLOx+QI-q+3$3K_s=#g!@9IrOI{MRJP3)nv*m^kQG?Wdv zk{HKFYoTWHm#bwNCOUs%34bjtchjw@>RPOBZm{A^(yhtuTAY_P!tY)LHYH2T9XVjRH@+|XESOYyNr96%kd^BIfKe-FKFmU6D9CV7Fk=5h? zucP?wX_W<+FBdpuvD#!mwu4KiLy}gYOcnw2(X5Je;FeLVx?0*UJ5MOF*(V9)ATx8q zQH;r{>a1^I8)h~P9b4{g>pfySl1wxXeOx{w^^+O5iVa_YClTRm+GWxKhT=Bu;CDvsDp zVl}qg=?ldlUBnaMX1qD$Dej8D6y>nJxQRf(WKj|+KW|A^$+XhAQUEHSdHwR|#V9V` zy3kk|$&<_U?>I;e(uVmeqe{Cs3I;C4t}%{YUi-`ATkwJ%c43u3)6NnSUQhKc!#^}M ztfM?2`+L5Ihvd%+%Jta#qvCD|r4{(#G4)m-i70!zWJ^U)<4UqXgM_WO%!_Gv3VwYW z_Y(!MrThBL-VIU9DVW~q!InjCnhF^tdl#=fy9xb%H$|C_&M;Rit2MkKmgacsoITy>q3 zRA%umUgG=gN$|=Tb&18h*yX!kfam0kJmikSS*$Fxi0Ju=#A*qVKRRh`zBCVZwa;S5 zr`_I@E-w3PFEQJ6i#jJ;KTwc9_WcZjj;uS(a;|Uqhfq~vY;pRVJATBZe#8g#-=8a^$#_5|xx6`}L{Y@V`f z_mADF{a zpACw53nVqljWHAEI6f_<8DT=Orc1 zQR3J&RbCm96$+~^Dz=hsFL?)BR6)9IKJ)8Lgh$=%QUCXQ+ivYfL-wca95I}3+xElI z!&=uC>FAH=)iR9M zEF6{b<+GGWh0t4J@(HFk{5RR~QnlUrI;b`W?5{Vd5&&oPJY%wZs!JO>RueG+A)q1g zI(Vl0am4yF+xmF%#H=P_EcW@O$Ky&nis*xSp5;Bi8H-$RpQHqn=Tx}e-+|KYu})pi z)Kf%d$JhfVU~tc96&x<^dHPTTx(d*}HXn_qgmsu5U3AIAf%jA8)L_c}H@~0Q@=H&f z@bYV}vfrWmMNVT~hXO%|zUu8yDQ-U%O->Gsv4CqY(ZQvDOdspOBwgamkBD4*B#DLTy#~%&*2-KUYLl>SFS-(9b9qynvqK9H zU_uYi7a~5)>hLWf*ZD`;^gxG3>ZjTtyq~>N$2J(-4au{0T43MQ&^L1RfSspW^(bMy zm{)f$@=KN}a>_=IF3`cbz&$|htZ!)zf<0mq>JW(D6bJfC4+;|*RvT(B*@SD;)fY9W z3j0`j>tm-1r+f7%3FKT4DGBK6o1S`4Lw_^Y!kb9JIqR}VqqUvY4;1%eU?{Ha_q-Td)vYI-4j&E%JDNUSw zq32P1p=Zuzwrbo98N=E(OKRnG0$w^8&yE|3Cfcls5u1rKM~-pX)q#b8ahXtjPAl80 z?i;6=c$am)B_T;0Vx7S`au*T21&F=H#Ph!XS4~n!S-Cge zv*?S;h0vU`mH=v?xdF~SG)pJt@WN@-gFSix2`JlYRlqBQJ->0-jvx*Xpc# zx_vw+C#P20HkTr9qp-B<;Yy4HPZj`-36RdB8Sm2j=d^gOL_sGCuH3=TbNQ_F0Ty6q zoCt1av?4PHA;3=;>lVrQ8WgIUw#;3%8{8Ab$2nu0EA((rfA4EvB^>pxlCW~~*&kMQ z2dJTQRY={w#<*9Tj(TUI)P8_-$x0utBi?4VrB0|YKQN$2`|OJOG%Dbnax!zA4T?(K zK{oB_DQlk{9`cpTKCLzq6`DUCE0ntWwCx6wJ!jgAOpA2Uc1n{_69y?%+^Th<3fS9Z!Cm-S_a+;&|An_BL zv4Mh^h?^QQ3n+a}bRM>T##E|n-V}4Q4NQMB)2x;d%V$kg%EIZ{`NMQIi;~m6(s1Q_ zi>{bjNku&TramZIC{+5Qw+VDoYF2fh^|N#}nJJ8B6MU*W@N){*kHa(2#lK@l&}pFCL8i1;SrBGq-`b@$ z9`}B%z9H^XN-hVO)BV4uz5=Sr_kG_+1;HRhN<=_fLKyu4RFD`V-O?bA?h#5#OG`^m zrD1Hq2mt|U0jbdq0;9Wr&&K!s&;K0G@rZ7W_kEw|x$o<~LhOaz^{Cxttxy?D?<0Bp zn~X-l1FNMF4NvW9s2_L=#TP3;##&O~a8jn>A_Oue@IYhBplfHtOno~KUbE{4G!$?i zrILoc$?}*s4YxQouYszC$BxRV296wI-=dsx?+s+yWaPGIQW7O|Ns z{I%X2pjRr5QeLLC`Il~R5KQsXy_}TY{3XODTK_3ou39Hf9!R%<5-E09y=bu z?0}A&ffPWD!MH=MXrw@1lx{n5l@_q~!Gbns*L&6#k&}6?j|etbKCAaaQihnk{Yd-HkXeUk5F7AS~$V5y`*^S^r zs>0FY()jY6I&0>pq&hw5`{wpeX6TEsbm?CYH7N;mRemv22~kySm@6)~_fkE+??$?< z#pK6*Kx>|`o;QNukx?d`7XHgPZryS%q}>Zt`uY??LuNMBGhvnW`H?N^!6TlU%(n{o zR-mT!s&pYX@twa}nPg^?h~_C2>4k7v9s?dkXeMP!-+!Z4*=JVS-VjB<@N9 ztFKURg2$YPU{ zX$#YZbW=xLTl*L7Y-y7nY`**@ahvMAYXOlcJKQSI(WEk^DtlLt49G!odhcjkclKT8 zK7ATq3|owxaLnwisp0W1)7T2($D8kkrnJSo;gl}wo0GQK+L-7r=G9s^TD|uSZUmPk zQB|;rZ9mC^6P!s1^!3PZ6Ae`)k?X%-xy-2HSNDAEXy3d+z*QFxgLE-GrLb3U^lhJ( ztz39nqN?ZlMcp3U)hMS|Iw(_a-Mfb$@*H*+2!<;u*R=|2#NoAt4Kh~#vI0XJCco9s z<=H|tK4f4g5?2CoD@_|&4Z5er;=e45QXTt-QgUWq$P|3(T^ng>(Ro)C*LcEL9@sLWT&dl43U<%Bc z>f{KCeUn{eAKb`fu~dvpP5pBH1de6svO@MM`ZvfZ4B88Drj9S~SC+8j7r5FcBTT2K z_ds@qsUCbbtEKd!f9+Z8i~jeHDD{f7xok^T=rkexy2`{#dw3-Z1EjUo)njBBm3D3d zVl#n$%h5FZ6Q;u^y?CsG-W#T?%)+I>J;?NIUfdd=64gT706G~wD5ZEMl-jXoA_Okc zu#Ck*-KIS@DBH*J{db!1TastR+5o>YH`JHz|C@@O5^2+@I6NN+(l@vCmeIj*f+D zBViDGO9}7CA+zVXlX7xjwbe{Wd%}?5(2=2VbXUg1iYD2d^5~n?Xmw(f8Wu-yU6@Uf ziqO%{jK7q9wB9~u+Zl&fLdj%E7M?vC?CZf6t)6UuHS6E2bd0Knw|P`4SHt?tXi`H~ zR1Qp_-x}-1y~VrUnG{*61^K}p+Eg`YXa<(d>C!g4IbSf{+}niebfB?A+meIYO__KR z$Q{)Ki}-EK)4iR!;m5h20A~8D(apI!Joeygi^W2kwob~mw&_;1TH2a7E zcg6eq7+O{k?8i>3aHwgf{6z%KHNx`WaR)zYj6CjWG(Hf2%J(_kL!qlGj$KqALrg@_ zW3lfO-;F4pqIs|`G5=#E_hL|vcMVP!od6I(07~4Aa$!PE3Z&(km(GEbZ&)Mnp3Zb? zA*(DZ7mP*5i(_uN%a?IgOwxjY8%5&qFB;yzka#DNjOabjiNde|S5hP+j|3lvFFt>w zK2XedR0eh9wt5Y>Pd`mb5;R3>2_lL+{S_FVK6ZU_S#$Vq( z>P>?x9J&Ws8ywG1(3{RU^)(M{JgM03_k+y(E7Qa1BCE?-MqrPMjurd#D&CGEQaJbX zx(qEWUeTo&biZ&DnYyU!kMPAC9msUiNtDV&`F9aKl+9&Sl|8dxAw-;gBT=>tkd zc1E>pRSSI)>GH#FDQwI-=3X9|+~X_N|)LKgaVASsJQLICE>(3^3umt2*%`Hs3%v!oHO5$gS{h>bPeb6oe8} zL9jMa$;PGdbx5lcw^*jN0g66Do+&qO9>OJd9Yhophz($Cy~UhRq0Hy47Mxr1s!_xx z=y9dHCdZZ<0mnXd_)!y`>hqhA0$NO&0N zM2J)YsmH?w9m**+s22&X2Pkt2eB#s;&eE{hivHX|}0gH%+`=9oH^nU{8KgQnd zQW{t)^jWq)b5Ri9-@mDw^seAqUTC()<%P}{?;w^-J~is0@uUfANYqg6`SB|#(EJ&Z z9?YnW=e25K&rbine*pKu!MH&;@*g;_N0RQ|S1!meXYTrXb$Q4kewQ`8L_L0DKZz2| zwF$sL<1!$+rV0YT3PJ?R&t3yywL8D`tE3m!1S%rno7_(}(#tE>RG#d7wqc9zys=ti z$e5>;Dj}4obe?ahfmiGOFRw0wrp^_T5O{Fv5+Y*>To9_4cxYrB6^`cF?>I;k9l=Uy z+)nMoVHjCF$ta9%D(Fue$Uh_~OrRd!=!g#Y3<{oyPTL00I8t}VMY7k4t9yv%xN?ux z{qp4u>`j%ycmNeEt2h_%q_E%fu;GR%Z?5m|!f`W~8ra9dGRW*%7zz7bWY;Ebu6+KT z>hzJ3uqTiy8;)=41h^tm@9={*pUxXJIsc>UPjE?k=%<+eBQ+CI25@(`(p({N8f^yH zao;fz8&2o^6G!U+3K5`|onol$`e3n!O~+61?twv`V`+bi+nhC4-BKC9*npCmlIvbn zQz6r-=A#gn72`b49N}<+_YA%!!D}XgdkoM~N)#9q)51c3CSL`nGn=b-k4HSH$^w$h zkcO4tVJq%lyQqJU@RoFp&#h=a5S1ZxomD(G*fb;v!R%%pOq%*mqZi=%&A`+F3i!2I ztg>HnPIS|1KE@D(6vNxJ@m&m<#aoScb(1cMC|}>L{v~oXyECoxfm@s_#8I9IOf@zx zYHk|~Lh-89wIp^tcUgVlg^EI8kmSa6Gf5<2@Aysbq-E-=l;Uk z!0^-|4H);Hg0?DF zQIqGMjg{|CV8jQNbVtd(UsRvlN2|`_LXN#vS*|*1Azg_@FNE>Xy?b%^zb^6{^^uCz zftM=)N{?&dn}-gV{uQsovVu*5`3d4=PZG6;V-Q-A*aB54hzdZAxmK-Wjur-y23l#8 zB+8(ApUp|&vbZW)z&+BOdj>Uw>$4;}W;km{nv2=?D=lHjbQ7F?v=N%_9og!NoV`@E z^6i>JR|l2L?$O)+y_si&2mDh4J2Zv3oYI4>fVXcf<>V;@=^t7f1$mh_OI2m>S}b2(3L6o@H%3 z(m86~AH1jQxSAml=s8#ax#<7-`71#!*D#x#@M+8p;eleRQv#U+5 zdj&*2z2_~1)BfL6!C6*yC_3m3q2hkiTL$G-Ao4razp0@5Q`={Bd{5H#*o&e@dIp}f zRRnnv4nSlzaT{!6FJ-14CxLlsZDsU?3-IKyE)_RF8{#B^I8eq%2n1Mf_| za26g4$ZCa}EvjAI2pR9D7c%qx!pmzM=5rrId%M8vk51#8$Xw0_ClV;g-tYD>5p#1i z@m(^QlxQZ_tuwXe$-x&@X}JR8F#D_xT5uTe2Vwl3h4bj0lj9iBM;%;2AB20_~Fny$?RX-y=(ww%2_Cl&Gffv~ju zXQtSf5kMB)xrSD#r%wS8p7ZaPNcK$>T1$SO{s2?9G(D3~%lv-(V_X*AktRr5@~)O} z$leTEBO;T)_9~#s)G|vj%@Po=io0chY82;CFyW9C;WNa8`E~Ylf8}l+9xbT2kXI4p z{B&0$)x7&PN9WZq_@s!~xhFjZqC+^FP27%nY3f53O2l@>T2DBHD_H3iHdM9{nfsq2Bkppwtf=vdL z%7Yx`)K|dL%dr&n$&|IxrnYZW1eU%;D{DB&6Kj^2QVP6^siAQkjhp~YTrOm+CRV>E ztIcOPV8U|L2>w6P35(B!p5}H-AXzq^VQ>k*P0ZfPMLIQEqrLGEvdCp+|P0Q zxqqh+-urK}!Dp~QW-n}DySS>lc))Fhi+e+cTF$?o0=5d3cj&sl98!ps^MW7 zC*||O0`PzY(XgxNMNG89%>Dq2u3H0nLHZ$6LUa8O7nct8Ot_7KpYX6k_%jRLT~dz| z^WfhM<;|t8RVy#^f?I{AG-+|U5JcK74cd+sI_O~+J>L%A!XJ?{vM|n_u;vRUao{8b zf!gY;tDB}n^JX36wUctsLzv97`~+ifRcvtH-; ztp+S_F>?3b|BJ%N#gsWc^+;IRxocYqV+a`254{9snkcjlVrZ=;&S%u9buYl1@m33d zn`q;1Vdfcqod9ZVfTNg2vy>M*y#eE1&VqAN=5kgBV9=+};4v8R^(K?vbtFw^bNyGT zegv_uV7Zm40HTgAl`y>m#Xr*>cCxz}y&dEDjBoxU9bx&}Dh2z%n3bL$FUJ1FFF*BHdBqAj>8CSKn4lpPiB?UMFSLHX)>xUgbH(D( zUE1I$_fKjHEM}I;5*wapLx7K0akgQ3kTzdospjxN*{Q9hZh`fvOE&YP9AND}^_hQ8 zH$C_Xnc_l?6?U%(+>L z|89D1g`E&t{S@^9ms*iuLV*Q`I-9vT#yo!P)eS)gurhpHec&ianopzDUp;K0W4)?e z6ZMco^e1YS>Y}4#_Dt@C?=&Z_J`pkJ>7w&c#vOiP=)4E#6Vg3JGA)aQ-+muO>s|sM zBOpBF)LwB3CE*Z3R-B!sKNHx46{DnI^)tG-sshOBF1?nH759DrbmD9O8;V+xS^uIf z?q`>^dm>!d7EGFKGh@2lKQy{?^PM_!!bLJK*rdY-eHRX*A-+~ON^5K^>wx`BNYc&Gnkj1 z$pxj&p3>xB;!ByTnl!fh_CF(0LZk)<-bl20`!XxtbA<&CM$dZUUrw`h@5Y21X`1H+ z2Y`>JhH}YeE+1zXR{@c|==}d&2N7lOwR+8qKUw+w+)N6yTFV`=#%B_P<)es|i+406 z5TAfZ0HX<6Gwwf~Y+T=*JTxLoa^smB+%%kZpDwm17N=Rcr&9&RzLJga6b^G!(FW88 z$nBfS7d8SS7{Dhc0DeXH(_xp6t7mR7OMYk%kgcjsdY1c1le7aEjT1a|WdEI6fmev_ znci-c0g82?LEbqb@8H-zA*Z~4=Hsr(>;_X#Zhk2VMdtNym{GicKqCR^hwjEiYq0Ud z5kc5l7OYcAKoBdW3=Os$&xOLeAs1yK%>xoZA!k$uzPt2G`&LU*>Z=cOr$OjZF%k`1 z@R}D)m_J>!;?(ciU{)8wb_JjHnT|-4Vk-ivJJ?uZrChUj(Wld5857eh&${jr{hj^1 z0LC9VVD4?Y1Q3dUz-8;amhdR}VqEpLS=HrRTG||G zZ%Km+9(a+CMa{sFM{|N6`z`s!%gmqy3F$l9^&AyhdLhHE|Es6*9>H-XqN_yRKn>2i z?3R2TKef;j@tnFRD%C@jE&tx?=3)aA1c%uboJIW@h?fr~b5XXFvN~l>K+k>F{df5% z8x?wLG0N%RK*-b-e!@bUxc!x^&+>%ZU)G-Jg9?*twtq^rfolvM#4;~8N z;p$tA;xu?m6FPOkfDL<%f6~G4`O?jeO2nJc`p>w zbwJKc^AukMeN_yw76V%{gmbmrT*;g5LO7 zWYfGZmn&w}uVf$IX(3yX|y!1j5K{WgA}Rgcqldmd_2 z3|fET7XZNqSifp-d5-(0fTV|*S9)skei=fG*}oU)(Sxib4W06 ze~DiDOtPy`up{K3oKWUPd#d!sw%e~QFJF|tViESl{8HbCN4J07u;&X_eoNCs_c%X{ zGY|7$G4uV8|2_Q_#Zw~XE2YVJ>5du_DADdqJicjJimf8KqZbxYA@{Qa5wcfcGnLda z>SHmEm>;jQ?f}=ZgULyMigHj*vRCunO=?kj2sBqe zoz3Xs^{W;RjF3cYW2r^AUzz$TNr&mSB?$`%3X5%W+K&(EYD=C?2$0ZElmK4K^d}Iz zaXXvp?BIUOD<3a2@hrsj^(!G+-Lr_?4JGE`jICTwMtEdu92e)QXa3Ij*Vq-+M~xE9 zFdZFJODn4~kddD|#I)#k~JDfRd#6r(UunL2^o40T25Bk5O7Y6j^h#|<*o~3!E>tSGH;>(*|xDDe3 z8_HW|{3%-|^{;erj}(w@AGkPK#`0Ddk<$;A>=()D0O`B8`bSWg#olY$Y&KF5MZ#a| zyyxXgR)S-M$Pr&5vN~0eA>uWEeDB9Kia5RJXDb@77p;0?hItk0rIO$!O%dAHvbj?#$naB&CUBrYiRR^~DLhYHkHHdG`YrLI3D7 z^8@|dPw^6O_84U&)v#KwgMuKNx!6f;*-Y18u0`;@5e|$W!I$eB>TKiOq>W?0T>-64 zQ@1-of3^{%L^neGu5b-^)>MxADW$8oiMkyH%%{Bbph`8qT0#!~mEXo$$9!vRf14`b zE{7E&_nH=$77r~saQB?sTls^TwsUQg(y5yC;Y`>~XXvZj;FZ39p>Zi=O{a8LdBRdv z3D!8KehpU$tAO+MwKk5G-*VNYj;=1PhjPpfZkT}R=>zBE*}wUAW*+URh8DpZCbHz5 znzK`$GNfkNA5Jy?lny>pB+2+b>EsG#_G>hxEw}%X*+URXct%ngJXZWYb#~oq^K@>K(W-ZHy6m8s z_12casXdtsSsi?VKJ1QCExT8v1ICM7-Lo<9&wqBGb0HX68#+k??foCf>Bma5iLUuB zn~#<3KF{BP_F{3UXEkmux=N4^*{k|I8%O2He^17nEU?iP)3nl8gD|YF*Qj*Hqu}rK z?A>%ue>feVy6;}sbC~E}sgxoZDI?rk?~m}x`(Qjof?ngl9QtQb)O&9EN|Q zaVapEu?}e5sXa8C+Re=rBT;yoW_jjLx{ihPF;0+2uSR2$%Ckfy4E%}mhwpR*67KV zK3^Hc`oZOR)(Y!(jougbIv|K1dvsvEmY8$osx7U+5g`G{V+yjjf;vvhDV=y*)dlvt zQJrz4b{{)+W;8Icfd{e)*!=Pynpj$ggtH>`+q)6l)?Jmru}@BwHk)~iNIQ_acKf%B z3t7{vq{)X|B&lLmvd8;8=oo<}sif)0tH1i*Hhqro=<4c*w?|;@zkF4eaiKMTLnA6V zvDkL<7&d*NeGouQh80K4*)@{`#-MW{l-XGRc6Tv4K^km7V0S69_CuOt72)t#_TW*J zbDSGp<+Lp}$4xd{WFO!vXB9^RcDwe2Z&lhiv8HkSmQrs?%ZQo&uh5&U*pW>GAv7VZ$XgiUk59I$ZF}JbHy}N@<(2c(^ta9Upi~(yL+JM zhQCDi(Spujn~;kEos@lIgsWDtUvQLyV2%`r35nG7Ny9&ki0;}^V-S0JH_T|oYnpbh z+WLT{wSA3BE))^QImJ0*xGjs}LqJ~LqZJA8aX_Pn#BE(Bn#5k&xhU65=~VDzPT~fH zQ{1ER1T`rgtW~~x+V%*HyHEp-ewW!lu{Wq#5~2{21!wQ7Mg-fwyMn>c8#^Ta8i`1V zwNH#7oD%ch?t>cBnVRWt+tm152_B)VV?M6c*H;hr=$tC_AhWJ=(VfY zRAd|8i*8RrG!>+ki*?$%py$AqLn131rF0HQC^T+&dKIbLs;69*D%_OWKc&~*+KH5~ zyr=QMZKa=0TajaJI5L~9>kGJ>3Ou7Gyq)QLJp~}~j_`1nw!m<66uJkDS_?Px9(nC` zi*(C{&UcjI5_f+*?XA9w6C^VEbo7C{5)vbD zpy;A}!0ndg&4NKpf?JVITTdATE(77NonAI9^$0LPY_>HcXs4gue|Ah}KJ-Y7@5BV& z4ITN?{EZ}+7dtP}%gNg9_1MbfK^EV3$5Iw?!Z;P};{{ieta2f2PK;e+L4YgC%pgPY zi()q*OZCkmo*#LnRmBYczRi$eo0+r2@&uf2u|P7l+m&W6OLQErIdrrQc6&goLtGv$ zP}MoSp_xPZKi`GjwiL0Lb`_`)ekdveTzW{`B8RhXNFm&t#DCIxKdlsJ&F?uTU9A+z zfI+ohT#Mg*cUE4bq}A?$LgX-6HTJS!RuJ0JUR;cXalJmA@tAd)vbuUBqmPB2N3K~A zG3U#4`v*zvr}6Z6gLWr6nm;bZ@9I`Yldtr>!TL_vpLhux?PPbV?(_!Yv8=3Dl@8Q( z_7hD#Gke;VVT+b0$;cGL9i^ivgQbq?mzRrHv@y=Oz3PEcac+Cat8aD?t{%IETYACm z4AY;k;!ke>inZR0B=3#f5kDl6}3x{hk~Ru8_~p6~7U@~hxk}9%Re`hmw&+w*gfSXYY*c)#v%jbIS*QzzhDqv?_deFJzk{o zq=N-zj`2$ejs>wPcy=t($%dKR%i6O(t|HrPDz0Mt@I7-^Ijl&i@`-LR@dC27DoE^> zVTWFG9-K#1(%}dyDwzq1VXmiUO4@Hwt=bY1m5g@rsY>IOwvT3km^_DcbVdH^XBa4h zKljaoKk35txc9cu-a1}At4+v~dW?VRusHqbJ6W#n{kyMXMi*?k4SRn;xq&4}eCr#v ztx8nNh4Y8B-r7d}cI%t*F^X=C=;h^~18jYpmZ}LSk2~+-3&zxGM@p^KX}jgogja;k z<}>B-MD~0boBi^UOB7)#J>*KQoRA|h!l)>BCPaF`G{YB(XNz{s9s`##Ru=NWF)0gLGx^&?y*vB|^0r zJEh2tvxCH5H>fI@}%bNrxBQ?ECl0N=t z|5CYrq_xO?>$s6=H9Kr#dOU~n`W1Y~lAYp|m)fH$v10qOJ0&M(vq;w=%3v&$DTWwE zSaL_sW(&RIeLV}#rrZTEEgdtt&8h{oeZ$?bq{N&OYnBz02g^I7&i;~ZYlo;8XwycD zN<9&TlSgr>5bK50kPM9=*%3lh^K4~%TutPMldNln2{UY$E$U^(d_QQp=deQcL(nvJAt^h~Kz?AnNq$;e>fXIAXf%5O2G=k6`I(FG1_aH5h z8Tkm}bdxfoTz|3HJ(QtWoMHjO4ARa$(2=XsM3+TJYF;!Y9^E8;1O0L1O&HuGi*@MZ za`AgF7l<0R7}@r-7dxMAU<&!3fUkodAH3L;micJt-SC^FaRZ z)F1KZVpbqKMkyDyQH*wBp`cmza-weB#jqu06a z=muaQa&Z9?;yAX`=G(Om!<7suo<;DgEhO;$!Tg*HtE3Pk56f>SO-R%Pk54+JqJ^$X zDsk-5B@_Tx2aOZI9J16Hj9xcE2}8RR>SqOhEzu?dY<)Fr&m{4TR|6}8v93d zIEF8J_NQn9-|ZJUl4TrrSo3Xi>L&%8uXW7sf135lK(_t2^ma9OM!j{+b-}d&2v-k0 zHpBB023-?V`4y`%EB&SGqPTHx5HV_&!As?0U||(i`#3P}dee9RYV&J*ibDO!Z1_vp zunou!&6FEuz=}|3ukMR~kdHQ|%$MU1aE7=V1aF=rUHCU0yW`@bZ4c}Ui%p#-FN$Jl zUA6Q^hv(jQEx;s_>9wrUUEpX7X>=G55nBBtb9%pU`XN`k-mm%>w^Gcm?1j5UmXY8O z%ZFT*)q@)zo73?$F2jz*g!)AXvMQ{59O-LVXb#Mu3w&|z>P0@rD9fmFht=Ry{I zf2Qu8=46AYMCm5g`At7jbc%di1c%)plaag^54ETSlQQ+Rv8=qlaNWVo%itkcn>AW; zk_j(RZ8;fd$LSf1d8gDAlRry07NsPpjN(IW_0{Z>W$m@PhMRAC!o4)p8oxyU)weDG zfxlpW$cokPsLp$wXDx>hRP%BrUk z<=q<)lqHKY+PgnJ^7rY#9S>F)GFTb>6NwyY7sOKGw~o=ZkGl4s@gYfn%tQJ~f&(R@ zMcv}o`t-dmIf&{v88yhIMppVB$>h{|mW_>Uq13fiuE12Szyc1Zhke_ArodUXeAy`A z(5ck=gPG}RgpG^b!vl|^mCrvN1_ST*=rg>Z%73vo>L=V(pEBL?`*bxie|vO(*kzsV zc1n*fSi;5pn29wsuwazn!V8lsEbP#Y!-%!RKlJS?DKcr351GA9(xRqU^I#316UvaT z-Cek_@mF3ls0JziFM ztjnrlM_jQs$=wE+zvFP_y@=8nqSn6*6dKrc*I!>E1_y`CWMO!y<|r1)3;jXT{EG0m z*a);BxhGU=!xPEehfpqW4D9((Te)>4oExKgsJrpibk79!00Dbaz~@936@)(o%0a>( z0HJIhUNk>_)|YVsm*K{kqs)25_~S!_(~xyMMa6DV7pkoA{KzN=pYodg`Dqd1;nR6{ z_gLu$fUZt+pR4Jnt>%c0v7r+lSp|2*%o10sKN&i^eBr{`ncGFv=omiv zSANd@TygELbQ~6GjpAdRowD}~lit))=WO-g{q_}cd$yI!V4Ho9L0C<7MC;mX#U4pT zv=`d2cx7caH~5p;;5|X!GPNjy_1)xC+Ki#!x722aZg>)9|4m!FWLP@Xwe>ds+8Xnh zKGzDK56(sdjhu?p}H+jJcr6I(CWN;!OcJ z=UJY>#A==UrO%9-rP8=R#qjm*-Qbi&_S7fs*k_u-bXTPu6=iNMizGz^_Vj<{4Vl&n klpCBnG)ne!`JVaU`C>o+ETu4R5H!?6F zF)=SOF)uPOGB6-hN+3*WbY&n#WNCD1Z*D4FJ^%m!0041g3jhEB002`00000000000 z007ct3jhEB004La?7a=JE^Ag6HW~yb5)CB=p%9Kx=I^kb?yvtRm4al(D9#|{+~F^h zdpI-qoZ&EY_dVQu8D@gvpF%Veko*84M6eW-N~l7@fRsQ~3JjS*5)06fC;^2eGA2Yx zVsM5$>+fCbeYsf0(YpoA`)vI3h5%}-J@gM!~ z7XJHS{P%x<$g5uU#xHL_`PcFH*6UwapH;WVrmCu^>sxPn>X5do+tYaHx~H4^_Efih z{q)&kQo#y>O%UvdiUbe0$G#a3gI*%9D?A0b2lV7PHj_O;}`^|u^k7xMtuEdC{~<;V2=~BU{_UB1;H`=JarW$ zyK%rN=^}@^AB!bxzX7-rhheDY`%S!g@@;Fef#~p_s^fX|IBOR#@%P`y?F1nZ#{qJweNV#Th3qm?3=&n!QDr-Y!v_6 z$lmjY#c3z~$48vEdgyuk$o}PlF4jFt^0IpOK$hnd`v1w!zLf5Do%8-Y942SQKD!M2 z>+3CjBB@9Bo;ky7fENMxF8_P$PTif=cy>nGeb(H1V?CVJJ?`gp=&)VgdRJ%=k0KO zb9TTBnT|hHX9qki;dpvR!ub!h9gfHK4)OTI*?}J5^o0-fV#niY+mFW&mTmISGxb&X z^r3~;IfStzuk9TgXg^NZ#{Va9nYUVc<%Z8@3{Z`trs6Y zd;jeG{=Mhv8NU0>{kPwH*BS2LS$$@2%cmCX&NzulO&z(Pf z3zmFvA8+-8`%`s`Mbb&5%sI)NlT3bLFFt?o{Dp@vK78){F7J>_WNG_2RelXDW7n{J zEMJWrOV{MbK6~$-@9*l+jKY#0+-{!|X55@52dvAH0 zA1q%>#B7NLDgJ>r+@gB>Eh5pE;ug_)>0a{VL?3Z)mmjA2Euv%jzR;scALFslK0J@N z=;68l%C~r*{l3@;ySCh-y1KRD7S+`!0tx)u`(FImANnmvP(a^Q6U^KJ?{+scG||pD zTxbt4I5}L1C}14$KZXlK)whLU&isfYp`skBp5B9({&#%8Q1I&}x@N?+ze6fjOh*NY_KszNfNfT`^cx@JAn zFPW_wQGg?hY3gas2+=YWkdQ@J4He;^Y|ZTUBxKRHGf2g1UEm_Le?k^bT@wQGvmZqk zV%4i0U5NFr6(AfGG3eh%jx*$7gA|VpWISqT2*S+2{arHtvf7OT3>Z#A61eI77xrEN zh1+Yv55eBn^ms;lTLJ`F$r}X-9RUKr-~#|cmjMKNmE#5Hh~Ur@APUE~WaYybhCqHi zZ3los?{(PZpT_{k9sF8{K@mkqcq6>r02pEKwd0BFwS}a|zw5zIfB1jndb}Tp&b>L= z!Y|oYHEZ3j8!FOh<89rg-kb>nGEQ)*YN+1^dO8;*MPY(N-40MwhuZ*VlPEM_k#4pL zl5T?kLo3#VpR-fbT{S^yap+KTYNmP;C(T!+`)z{Vc&MQ8aq4z#$TGw)L$^8!Hf;mf zw52aom)A&oJP8g%%RXAySFB0nim=@-IUQPZIid0UzAMko-khyTdQv2Z0Y7PemF&%# zWZ&TWcum*{^5(L$la)2e4wjJpSR62kZO~n~jdgZ=#-?SXh+nmuU1z*My*Sa$?fx(o z*ST4bi|Vd|>vyplXC-VJnf}VbrpC!voQb$tr~aNC)IGZmsS z@+I@&|3=pUFR@hKyApRV^D31%w03ysp|#<2kHJgKH%a5;tvT6C)VJpXU>_aI%mvx1 z)weBQdN`!j|EF*Gg5USOADYnlz)0bsF*@0*Z{zO>wRN~X9H0rII41fhf)=XPO|YN( z8tC(IyGIa8(76ke+AzVP84-Dcdh2`9>TyNV8cA@R8U*vO;#dKu=cgvEZi4+V4iIc_ z_swA}1?di(AdogxFRj?u8hX=R_%fu`O%VI(Ter7|ww)yS<$|Quli;BnSX&MVBZ{lx zf~?g|5-tgy8%rLb){Ae$THPdJK3iyYNH#S*qx^fbRyWD%FiGTXsJpSeOh)G>N%nz% zBHTt8wqnhAd#0wASmJP~**eGD!|2@B>=+F3b+*Io?AZ;fS_;e3cW=A$1_7A*@7Yy& zjGk^f{J_&N`#s|=8sRRA^&xbSeWRl`#dUq9u7KK-^CLDzbb0g(Xen3V{7Hl~`luVC z{dwbj*}jONItjWFk6N}gbo%&TaVdU1=cAjkRTG0aUJjc9yVqeqR5Bdnq@v+ioD|3d z3{P3<#}+9{yAU&@ z742v=jve3&1j*!e9E^*CnQd$vfEE!vlu#_1##N{h(aSp0Aibu)7LuBmx3*%;)Y6{2 z#bx7^>?eH?`*!GZundWaI+3no?l3x(=2N-gBL$$QYsp%YsBy z9Zex%;gKXDJxFeQ;G$$XHA*UGrVs*|DdTNFzO?;-X2=mnQ%KK5xL_O*&ktjlCoy4K z*RcZ`OFut@+px(Q#AHhGYr#c+)h5`0gOIP72LgJE zkI<6v*(IsvUpo&3^hApygI|xF(2K|*3j}oSfgN*G z1U*aQG+hx0IOLJYx<&Ke_X# zr0tmJ=V2Da_sUYmO@g}(rXCYg0Vn)V7%>u^m3bEH?|ZHL|7M*2}xVIAn9Hc zOwn2}B@X3^q%12Sb$QIT2vT^Xxo+zhd9+XHy zZ_4pcAW;$uieCi%3l+uN#ADcrlBX$Tj@bu=$}g=eRF1x$?o{c6d#Xa+u|-py zT?_6Fxfd?ThFt}dy++a$N92NR*i{h5)iRfG2?{~h5h{q{3{6M2LLG^xX2WhP)(vHV z$ycgSI*^~54ZB?<(2OKayB;Vc3LdqCVzHFgmy9BP5TkYo1d-ef9tro!;mFPDhZjOx zPfm*5oPKyAIUL2Jyt&2E@Z(5&y)vLWi!?R~Dh50+uq6}*aFo#jIO+s4UOjVo0{-Xi z%s%8X$mV8aki<)j={Pt5C)G7D-V0wRPsicMf;V*ub0?4PZtk93s=w;PBe!Tbch?g` z{F5K^$v<<~{n+J#KY)7pJ?vDKm123pA3{%X4aukK2BrQeCmeQ>%$+gB;X@MDpPb2F z19>h;=FSBBVd$7tGgTlmvCVNoGIu5j;tBb&RL2KeX(34F&ICJ<9yv5P)re0Pf@JPY zut(}qPF;8Gy*rEjO;3>@n*?Dt*?@xjGOJr)y=IaV>izKhP%>#ai1F54m+&OT;MKu! ziV>X|GZBmdxS5C>;+M>luDFhSKJE(habQn|N)fOp@$2F}WJ8G>JIdBk@_R3;iv@YF zh-{szn%&X{Fhm7+iPUqgMRgzY(f`Ef{a&Z-%FQTC>w6TVb4YYVtg$ps6q7Vdl9^=5 z9GNA_Ep4imLtcoh(W4cMmUh)7M@!qIC;_$`Mjq^(@*1P1MZyeK|1il*wmVu{Ef`t0 z-OMCq^E7>~#)|sV6)g97#bZ(T6l(>OiFZ(4^q26>LCNz1qVa=c_p%keIo4-%5 zbl4yn?+)Zp_(FCb6zJT}YcWPTdxs#nAcCp5&eMEqadC_IvRHnvlbhN7Q=tJbAP2pK z1r`g@PEMH* zjv40FR5S;oEq!W*LrbY>4n(WqYAQNrm{(KLF~hu?ie|iEKjeBYnuC${byjoHgcoeh z^;~qkJAer*x#)O%9w!$q5TPioPmsW{f`xI#9^JWfA(bco~)+sPxN5CvN6K1z2RGb=hp;`z(Kru zvKpnm=(0=m8{dxC_Q)b!vszPt-gw zW{ltT6l)ASwS@U`YBY=yma?zN7(oSx25lC|UtY?S$7D6?d!--3fG|aw)n<%fr#>8r z-G%h`0H?gTM!^UsiK1dD@EzvgM$)+|IW-e92DE0=Y1yuS9buuFB>r7WY@22*e@dw{ zQAzaYp|A_M!iQmgo$>Z)qYj+CwMy-9_IQ6ri9V2IqY;fD8E+7NMX&?u8}+r8i3iDe zhx!^&R3xddQM~7yBN~D%yyQr>3;uBgDlG8map}i$8mse-u@1vHOU-~-uJ}K?w)kJM zyVxYjz$LIuNI)w^(?BBkt{3=l&5e@@P%v~_m%qnt;=?fkKR>aPhm6h4kBqY)xTAxo z|EV}QmS9n_aaIup0RZiAJZ<~&U?ap$u6L5)A-W9S!6u@7r{_5IiQn-^2%tY{KOCWk3#!kq1PK8QL;S>E4LQ+T3HY^5-A&1kioMRwNKG^@-s<_S(qfU5!CGpY2F6>!E#NCStLmS0a>#~)2C9B(4tF@RFQ2t9t zZ*Gy1bL=%q)*P982fG!g_P?=L^2@p=X^%?WTvS3e&k>-uqk!u{0Y7}}KmS|Lz1k`C z95}yx=0Zw&k>WtopX^Lbam3I#dPBkvaV`Xn#R|cgxmc~X3vtZbs39iuA=3Cw#l}6J znjIL;33Szg(l8J_SR+e~T`CH<2gC0asqYDYq1KRZfBs~O%W1zn$~8G)22u*sdg5(|Gw(U0p+y@$Iy;_rZnEr> zCr3{Rfe6A_h@3D+5%hCv*hG$6!c0pzNTinnCvt7pAk8o6+(tN9E6(&q9t~DbKFo(Z zV4t6#4q5M)RzygEHICFvmmv)lY@Za;KtXo~W)RXirC(XY9mzsSWjuqf3k4+*$U-8# z?O>|mKFof6Q=QSH>jmZz$d9LOKYl(30@7BG`1;p1K`Y$4gDw1;i6T;L0_MSQxJ3L# zUZ*2wea{DNH|uDdcD2pbwx#TL}96@+eL zq?*I*n+`=l92V0CN-9V+?ITF0TuMKnIFW)NamCECSIBY*L~FR;NfeBRy{j8aHvlKK z?O3)ih<3fJs4prBqM>&wn3?rMN69S`v^MAZJps`&L#{rsRVZQ{Y<=a}+8Z-PmXS3*zlTmsw?_ zR?@j9yUy(XBt(OpSa~D4!$*2H(BeiBZ%gBMvRf|AKlD0vAQi5&ZOPBiC9_+EsUN&1 zSWWX^KD$RA3vQs4KqACBB-V202ml?0F9G1qp!%8#+DpV z4#LI@Weq$?F&olDmc}HZ>ESj4T~E_ED}!W9W0Ke}g94$a=VJ;9&70&{^=NWHrnBud z&!D^T%{kI2)oty}3bBgiU(tK=NHNytY`8eeZ8i1o`3$6( zP4e10F^k61UShJLJsG1`DpZijEwy15?Ph6D-MV0wPO&I&#*<#83T4&JX-{-A$vTU0 zC$SK?nsr9u8LSTFVD`X9jt8&@>Et2yS3J^1txRI1j?{4$sgo#hIG!FJKfc7yM83)* zbrSLQ<9RzAkL!)?q=~Myj=l3p9lMFXocufqiemFp1>X7~A5lqr@NIi!=gNa`+ip<_ z=l9NGSJOG{Yk%<*f6t$Fmq-RYnMrD9F*N2R)^?oy zM$sJ;OleOHsGALbBbUeoeVSW4B6^aqNWnJ~Oqn`_W4OvXo|;r=5=0@mkg?lZ!!Wu_ zU7{oi2ZlRnV$#!Wh#o0V6VwJNXcv$85;+j@)a()^Nz6(W=c(_Rew0a)?dM{Yisr`%F5Zv8@Q!L{*B`O z(X=Pc4;*;Pm4Bn7&J$T$v8qm*W^>H{_$YK54gb0r&?;=Y9KV$7lhYYc@MX_2u3e5g zHRrblI{4+0^lFBWI*|2oj02nX2m?6yn3-X)ssnC7hVCT0U8WQ{q!dobDYO_fqesdO zwWJ{Z{0JJIOF7&fe%VF z6>+&tUt2_DbtZKTlB@=tNMGBEt23#y5Y}28iS)ItsCgR1d}?qT44O<%Y(NLJ*-JX`k~X{_p{9sCB>K7U)rRIR(7+DEt`VLcOUiMfmZjPTR{2I-E-{q)5O*3v+r5@ zL=tOYNNN%E*FMuH0x^X{rA>$Yi3o7~%$ayqt3{M97~%LCkTX>$63AX3etm{{EcEaI z;#auW#jWP|*DkXIsS?l`YYx`SKb$?l@w2g>h+ju%r;!5jfjsf4IM1I7Y+lDxfo1Kf zppV55bDc9 zja=6lmO~bq;F)9e?zA*BNP5uZ;rQt^7GDGE9jGno{KP8EzCQ%=GIPTY!u8s zlq84W4+8n|vmY$}e!?k923meC+XSgFge5>KgntwIPGJ+jb>bLesU|j=pt0Z$>`m%q zNj*OTWs&xW&CK6;=HY_}FH#GEbL-UP^S&vkIXgvgv$)yEfeE#0~3lCp>7^lL~ z(%b+Ez?r)ja3iGIIW9UhHL0X^9^6NGkvmGIQx@H(sP&=m%X%NKbfS9p;*N=QpMTz~;L`=xEDbS(Zx|y!6x3A=+q)eptChw>I4s zlBVyWx05zN=X<%|6>^?`^pAi0!w>f~qm!ITt`4Z5AV3*M5Rm;&g7723 z|Dg5{62pvwtdO(`0s@yJh`IwE!lr8g%d+M%!2?mqpxV%{K!>inAnSqDR-uzF&qyQA z1X)9v;Nc*n9MImNDH}>~B*{*V5wg-I0<(yu^`xEpL@<&A)Du&DTL7ehP>s{+#?=fj zcaW;k)LzaKivWY{gAz{3E;8(P1R@naW-{+!6EV!dbzmB*JekJoOD0nimA0Ab+Ka3o zk;Y^-SGO!{n%oUwF_Uetf4sT+$8EQWtW!2N?}lzsFsNouFL;>d<~UeX`H0uSRLd>K zCdrm#>uzXNaWQVW>bb06@HOA`h7eemn=!YI$kwvS!-#{@oMg7K!VFUu?8ZrAv1U%B z)3a`o@2j(h5D=CyunT6kv8_6x>(^6Xt{81&Dnf*#uAQEJ#b_I~zW}T)B1h5-zy+gi zROg=ryTUdq3tx?>vfCjzwK_Z2S7a5ao6vO!;*LUcEN3xoGPi8@md2aQ4yS_4_OakH z8$L0m$eQT~cktaV`ll_HdW%fHVqh5Vfo0;eKY=68^%-^zw#}4ou+*d+H(F{Ejb?!0 z{qOpXkNmlha4v&fXQ{%_6HFV+p;Ji?bhVI3UuJJCx1j4Hy!Xa(3%V|yHRe;B)w%CX4sT|Qr1|m zYlZ^{ItN$}io8chiG!!MCKN1Y(U4jsQg$YJR4t0uQYJyE8fE9jy;q{9a*f2F;x77J z?B-2b{zj++h8xPia7l_;Y{US}qFg+jGlca(@dL%s!)i!8fkGIXxR2Ek0oxDwnR-+f9z6}z+7XEkz$a;bsk=1q zW`aWn7mN|!p_}!PBK2s3{V-9s9V<@lYy>_1< zavDdSJl(X4fOQuxlGS69tT{%*ZM&-cCx1Mpm$VgqU=?+K3op$ z46rL`@KgqCqF;i%s z#C?nWTW_{~38kkGVn!Z+I# z7q5}jj0q0tiXshHFu7M$p`SWZgU6O8`%=|7ekQ^9F38W5E!m?mLe7o8ANjX=49&&L zfV4*XU@AnBxlyc82Eiiy&ySXBB3-ZeCy{=sQ>QyW_+`uwzM*s*LGQ#WQYuS=-XAEi zU3JY4+h3B5=)3wCKI1cePL14*OWV__##j~Z7E`11=88Q{gm(1OoDFP^_7vSYi6T!` z=F~nVx6sj^rsADpBG(}ojP_LL?a`3jxy_IEbk&qcd%9}MGkfYiU}~z*%rBDTWoCI( z4;b*PF)p`|q|!)}T*U_*3^B=7fWUELlUzp#@%~%|LJ+HeL3yO?^jz-@Gq~aBPZwha zS;@*GbxZpv$Wbo^X27ka|2+uyoo{IOwq=BcR; zI0A1<+etjNzxbnn{Xh6r&j(3)sOfzz7QL*#qwuO9&{U%M=2^fB+8kemek0`M^KjWF-7DCv2tkn+l^rYLClNRi=eaiaPnlj$ zr+#D;cc}3|AxzVFOw-$67g_p5QX%=S`Z|8IYX1Jm&*}c{*KBu0&^MZ52NC4wj);yj?7E40 z%u$d4gLwnmFB8PHL#u=345LXsya{#_;RG1DzC}y7;?$WVf(Vp^i$GBmTkI=lj>uua z;1?Lf4s7(t>bbL6=1xY0jf>fw^bc-w;;x0=ESMQQ-MHowcYChw@2xw#BXU)a2)P=l zlz9cY8o&N|w_fvut~o@FTL?pyI$rO-;S!Ol!dS`zqed_b|G_4y%t3c_H9GX`Vv;Py znM>5W>}pI1H5aFjt_GsVRH%%|T8nnSgI*zxL&xp zDHcQpb*J3>Xp*|pdeYB8ji0z(Xe2K>5+T&9>X zbQ~38a@YI%6_9KC!$11APd!dzG@yowZQ6vzAxqS8tHI-_;~dc~1dpSRONb8RUA6Mo zPo3$wwJ3AcajQ}02-FgyTMG3?9p{K{DbhA*%P@o5$l?h~ZL(AW}pW-;OQ z=yAL|>(S$Qd)A}J+5LHp=&`8S6FV}nj$BcnNpG8s{)5d}HxeVSqZ-_J1vk>5!SJa5 zjS=KBSGLb~pf@}KaF7%-JWcO)z@zl`hUx9hFfeVglNk3Z3585qWY${yl@7ojL%y2g z=HK)ypZo89(Xpg+iUb`67%398fE%I(Tg460fURbyBfwb24H00tTUNCUSuTnM!4aok zDpbcf^27o6c%*Nf!G@`>q*n-WdCF9K;Y6)u#&dwNmKx6h#%gXnCo$R_gC)e2C7stH zQ@lSO1zUnl*$uiFG97gw4T?A_DC7466^d-O92PxE{TD+UCnmS$B+C%R@QnSv$XT@g z^mj?d|BWOtFFfz?W@+R81gg-`f zlP^O8nR!d>xP_EehCdF7l@P4x4wxXb62u)kYRpK2H5w%pfeaejk!NFf}+rnhbv+T!Y?hbn?n(>zJ#CGKLU?LmwL&)5Di34Q(Qx%J7G%TFIEr zSbQ1&K++GKJd$kDi8%X48?nOOM_JA+pRn_YChQ0lxO<*HJ<<`@y5mv84R;>_Cy+I^ zLku=xeiDQND7l-ra=>Ot`$O8GgDr&Jd~^f_7XgDUGTXsJUW;L?Gt{?8)6!vp15rwbP#z>JYF2_QE+&`G&K@3hTxWx# zYC1b+dzJ4k6Y}&aJsXh`W=_dc3Ix>`De8AN)F748n#ii~;KBF+$8hr*hAe1P6`jBu zUmqT{na*ybASQH!D!&nzdYEK)s1QJ;N4=*p6FCPKRT_SsT?-;AUuTWpw~)-PGu|V- z-Ij2+j;;Rk#<0toQgrx5E6%>{MZ+km_@ZGmrl+xKIiB>Q;ostmhE03X=wo=%Fp|n% zH2N4{G)TstDYO}0G)QMJ8f{AGh&tv0&7-+*dBs@>ygqYuyb&@?YFR8&0qd3Ht<~Ld4pBrse*Z96XK>LK53tFs0#T1tzzhqE?Ii?`ev%9m@~vo_XRHPcy(C)|H<2xQmK z+u?-$SsMpzwarQRo!2H*PIzw-OO&dCPLO#oM0 ziSs*a&B+H;3P`uWc`I>#I8|`9LpT|S)Os5|KN#78iZyHe&5x^{8up#-&9Kn-o8M~{ zTy6W!53mY8PS@`Qb_5Yc+hIcxWsjPS2!b5!Y{pQs){W$m>>-1mASOU$1njeiGHu3H zUO}7kwyQ68V8UjmRi5D4LzznAoW@Mqk&>}D*tOE8{0Hfzf9tn?&=+qQn1H^0qG~E_ z%;Wt=Go1v!qX-@yn6NS&ChDZrI{buz3G*p3Q75gc!%QbNBRK3pO-oZ`*m|0RtsAhJ z0GG&}5f-QaJpAY-4U14WTqKfgXH8MrcQ#2)Za{LFkDz1U*(8U)Me}u96I5Gqk?ic- z;5WcG>$I0@V1g=CpEV;_lSaut{&?XPJ-QD}*as%ufBU_6X_&O+hko&I{L3$dkyz41 z`{IEKC~J`tRPDB{4OMjuQzAKZMh%`v)S!@`?t%o_Ot7CYc$^jP;eZBjxge=W6NEY; z&mTGp3b$O5Ae#xIWi$%@X$}Iis-mO()TAC=u%-9}2@Yc^Nb1o9!4pG7k@QZ7BxrGs zq#jKWc2@@`w3Rkg^;5HYOp+*1

    f=001!K*M2-zmLMX15cP6w3(E>Pb zMvoDErmHFzlMCED0%0eIULiU3(iC@nhL1q7OHK-&U#oV{mHT;iPQTax!q_(kttEf~N&5@_#NHC%?2rY9Io}Xm zao!HTH@(tflU=hENu>EWb_8cEk=WDe7B){yV<>J;xMvYTl2Z zaJ6|qigr+=NKIZAG{n^WGDWTVLP%mfNtrXt6m@?92Xd?eTe>UOcdN`w(#)eq%SR~Q#4zm}dIQdTdLggi zY90N9hma9MHZp-LsP(WHDWH6FgWe@jZBXrq-n}&bzPwuhqL2LA?|jD9dPlMRr;VK? zTlg)|>j_>rCBmf7>UdjsX$Zjtwa+S2qfknut)n364HFz&BK$yGgPNeA(N`q3kpyu< z2;$U(RNluGNv)e8cB3*3v4*kkYtdQ0BAapkYWOnkNcbCqz^kN$UWSyZdSppL`C;$D$DWqL6zb{CQCGFB0FSMheaJ+ zDC?OrUyV*Y8caVArG6evt7Fea=UAsCm;DG?7l+jQtOTEns64q38W>t_!~^(Q@?3Y3 z9hx40ZjFYkd~e!$FN}Speo+a4-U~uLukR}$%gP!*RPCgaHOv*qX54g`FTwD zqS`gQ?a;3Hbq!%t2ShZ*?=Mm9s;|m*soQPKFQVwXU-Pk_6x1!}Xe?rDITH+zJ#ZVs z;n)Ol^yOeOD41f3sVupYPuf!r1$7Goqck>jLB0W+ECO^!w3PHRM)L`pbU{|PD!6?D zI2s1Zir|7uu-I2*b*n3eX@HG3Uvxo!MO1J!<{7NfLBa?wDC*WOvg!mIgKIgLWOZwj z2j!C;O=uyBMgzDgu#A2IP@mmG|$+ z@=KcZKmC%Q{;{_NP0AQ&4qPYd(oev(S4Y-SRD?zjTx&F7&7hHLQo~T-)dOuoK^`im z5l6HYOc_WIZL4I115fmef*EjyYGS%Efa?rqX27+_XdgkilG2JR=D?M@LkP;8A;KKE zRyyzy-Bw83#}zZ+>f?thz|2xI0@taYh*pm{3|xTOn#8r!I{?x@Q{Vx_(R^D%%zkq? z9$}LGFcRArk_T{}v$J0jaCH!yE7~i;tx5IjhZ2)sVa#Af_3pTJ$E~8oE}?Djf9s$B zu{RwpqTV{Xbssf1>kXJke<$jqM{(kP)Z9G{DkxgsN&{oeyMl>D6~_{byuczaudw2Z zq{)-Lc+|(T4D0jFa_87Jy9_#T37-4EkwoaXWg6Z7!YSUPzaJRU-@Qh9uaW-1sFD8S zZ+!M6{jJnxE9y)p9grl*#9-d%SPhK&b^I18aspB|;R8ttlP(XQZ2 z-|mGuPGsv=i>ZCZ7#4FvI2u_>-qr=#nX`ZsElDQ6@7ftFI}3|p!iW;6dmXRmE5@+c z0Otr_h5}Q>LI!H!N-qT$Y_IY;< zs`xfZBt@m>u0tD|oD}^SacIFZ4uoV|NffeS{UfM*g#OEFgEvDl_Lq-IEh8Am=s#Vy z^Q>G2cox|y9t{spi*A%0HyHC`46PXVK$qYyBmoG`=Eh>~Y}U(wd$#5WMC5c}ewQOB z%9CZi3?P7{h4V9b+o1~D3m^v^jz@0^tna-TX3Z~(<^?<_Hyl4vZ;5z3BXU1Kx@%6DhtJv!x$}%_7u$SwO^x=@bND=0nFMDp=&;GHG{;H?Fqk#-uG6R-I zI0@0}fV7lNL-EN>RcclnauEG{J5ds1gJ#VxE9#2^S=<(gZ2W z%W9A2-Iju+A5Bn=EZk4+$`c1yk$Oym?Lk)T25u0K2iZ?ekFg0(Eyl`FMh%sBT@o z1|C*x(@4>n;A+WPgkr0sp-9oB71wIhA`n}xO^Y~ewKk0ujh%Y6HjTnpCb(Xj7E#!G zX_~t#Slg!6E|#W|-L$i>m!`$LRC?-2^q(RJzI^D?#S5HRJXPKE^kwkk%oLXJ}NHFFlC}DX1HDCR!zw9tiYLP8@ zkc#)w=^SBnj0eNWPKl1|uCfaPx&R2l>u47QfH7Ke0MUuiTWps=+Sg7EYOc1Oslb{o z1WEgvAX57rmygQ+>u9g-lG&r1^oMY^4V zKKb`0bSP_?-s5xYKRrlMy)~U?`|Pi4yQNPgwO&}YE4?dJdMK<4?>I0aeqGpXjssF* z6;h_eJ-DGUf_u{R=8~qWl8;!e(yZ)B)2m6Ee%Yt|;=l1nJZUNw3}%{BJp%>~02!k! z1+Cl58VP!BO)$lr(UEahBEcMpQ_E7cB^%XKH?!(zGR=1C4r3qL*dx=%y((gD2$|+2 zSheVzM%5-w%kUuzl4(wY2eh9TL5sBOF1TRKWYRA)pf3_!3@YVD9XW7DTv3=z_O%*Ofs#c2#)L!i6qiN?MF-G5 z#TH$Mp>g6Ek>~)v+`Hy&(sjwLGeyULL#a3UMzZf%^`S_?%abLR+`5aLFLTrA?`38D zxWb)V$e8YpKTqq^!A)IUg-HQyQeoHxpZ0VWrZ4h!sjzL!FR8G1|H?PN@B6PVYTq0P zHX+tLNI#(%j3*UlL1~26r5||@JXq!dV$>ef-VxQO6_4~TUJae+QTvt1d5qel>W|8@ zBR^j+Ux9M^GIa$s$$5ZDXGOVS7PY^MSo}a@hj1)~N-8)C|hLNOtSDs6dPFlsRPuv%d>)UzaE zmUomsprq=g8C%rbnFdRv6)|d0dmZ_?v%F(pwMb!dhSG*r2T5}EbrY{VI@xnK`2&5i zJcY||w@Hp@?Z!b4q%r}jqvTNtC{WGomq}BxPLdr(9#eGGQiMMp=L&7J(tC6rjenNZ zX{knoI^8)RSIGI`)@a=&0HHq7Po6q`_4_~luLqx2YJW_fY9$Z~Fg-{Ao~(`Qjt$-hN1ThNE_X?g!6^xd_C zT;usC+h>1$kAJd#_Sg3;eIlv#6y=Txp9Dmx59)QPux-mPA;Ncm@h|*D?TAnonyWBw zy0t-t$;4t3tY<~%QH7xeIKeXXb*(UDD|?sU^i)(~Ab~-1iLTSIoW1mKdMc_g3<4)F zS-5Rwg?Ln9+T{#h19zc~YD9XZ>-tdKJk}V3)xZtbT;FK&$5dF0M(%Wt=z_`Z(4t_b z!nB5guwy?{$dddq{dqtib5?dOs_ly(NSf)IBw7pz!o|sR$xEq4(RA0I+PFxYnckzn zmzDA3j_b$0z2i&O-f?TO);8sK`ILsdM@LlilJ5N6&-$TX{Da>}L>_$u&k-tabq zf7Y;LK&y+qOKaX4cXi%k)SbX;+J^%)-5fCGy3_k`psclQQxbKjx8VRApuENm{-rJ) z_*HUkyj>|hx{KCX^acyKx_d54iQjJhCrh`kXbqE0APsZL9j4jR)`kP;%!Vh#h3T`a z7XP$GCYPQ!;y?`ukEE@PSfEd(B6FBER1xRe9Tmw`V`8rdy0U0I8C8F!NsYGUOdlWw zPtX^*d@iDGIYTH!rhg#IIWyA|OVsP^4mAx=h7CNla~l{;{~3Z2R5^`#c#xDBkA4Mw zb?&@v->9p(KRzVUR64)T?GF*=%!h{vTjfK9IrST<*fQXrpBVaBJVY|!UiaS6L-b~Q zYRVYK-g51YRE3>|vX2y1bGMohSuSdEDX;A%a$TJk@#+mb(+~(B@F4((yD_zEed(Sgv?-?DCN^83uu_S)@o6r8Q`WQ_< zjjTdrd<}j(`5|LTq)Ak$125?m^z`Uqx6>plq!96&lTYL;5~MIeFZ4tawip=~q~|FK zR@~5;9^e)gLivjH;FutyJ~T&_vQ*?n<$?q$Owi{x9XgB<$OY*cFhK;Ss8F0*ky17x z3LZ5Z0tc!X?ADS;*;7Qm*{VnL?`D3s>mu|Z3%}IkneZUu7ffU(*!DV8UIGjJ<(KYY z;Q&0#8GB1Cd3He57PIDIJhCHbyX$TqhU<;m77FR4#3KVLSAO#Im=d~!O{5{cg$0wl z^!bFg3wyUW|I))?AT}Tz4IMdSv4oN?!M!>x4(Grt@qEWTfEPdYQF3F^S8eFZGPPI53zmk zEpPLKv2UtwiI^?1AVn8*D^vS?(dmLrm6IYj4Rpa5kD@QdEdaDYOTVH^mRVb_*hRByUPbw#%GR{!gN`JGPB z5iepE%0%fD%nd~-kGbQVwxI~+6}jV>_SI{qL*|0TFku$Tl(Y@h6J}nO(_%7rysF?q z!O{(AFi`sK(H$Q<5OhSaFS0R5O(NMX@oJ(UReDideJGloWd>6$ABz5Ed85>@<yJ2}&;}(BdU!Fwc@}z=(`lEmBzdxmYQ>%0GyyFqUck6hB#3{`% zq&`nJ;l%|Bz9&I=N=OJ(`=*{d1$b*D$)=bfX1Gf6YJX^SDv7U1D#!$f7ReD#2qUXP zI6tmPD#!%;7R{(g5aqS3f}$X)AQOawlFAeGc|zTOT=A$15=Eh?JmF~h*OxaUw?}Lt zezPl~t5!m%Q<3%IJp$-1t!TC_zock><}ZKj=YFl%?8!n_O<}KG=Y8VV6%CXEg^sCD zT*m?7_!37&GhhZNAPf{ugW;yxOPnj3Rn-+04f@C-jzI4J)M%VQFCWq1sA!-zF4l2T z(SY$s6Z9y$jT*P&8p(3m&!fZ~2)5{@R0wit-6Xq)q9VXqU5`(tBpI|MIbcwXNY+~6 z=3j@MM3ba)HNMOaBeW>)5r1}dl zW8fJB$iS@ql@%?Wl;;8Dtfz*0da4E_>U7wu-v0jW^> z1Z7)jJl-@#Y=18+BbUCp@@D4|T|JNJbil4p{dK9wZObnK_78sZboe_C*q4KoK1Cbj zf=UWUWN$-ZH~aqL~f&SQE*awO;F5n zn9Dj0Q)=5SDpmDOrAz6&ujE|ZTYl~Sr+=nXVI<(VYvE+{xg zk{G$i-awEfZy-so5qLO0Ws<859v&KJlB*ORj!&87Rd9F&0k|*1MpoPn4ftS$pKNa= zfKu{O4w#WYuQK3co{Rl;bg=YE5rbtA77)WeeD|@Kpd7kPd_#1p46i+cX!v!(WVA3( zu}QQd1a|kPl{?u-0sIYNz*joJ_?bWRo$vYm9&Qx7Q38xGzvO^k2$2aY<9E&6^g~6h z7SVSM>6??`$ zOgN?wp(SN233RGZYocm6J@~{5J6(h=GT}33@~bCh8-B$ngH{2 zGZoT)ps^x^M(750i{%f*2{4lk)9&aujb}gV_LI#E=KS%dl7xkp?E8|<6%cT0BFF5x z{asqw|4r?>dHxG_eGfTaVaO47J-q0!>-cpMG*5Ot-vn4iv&tK@>sy&i`feSGOO#G8 zF_-?!|N7hh{zn~k*d$pGH3`N*$^MUp2h#y9$jo6Y1;fuw4>ekvLGjY0x>E5*ed?Vd zI~1j5+Cpj>lUnIV>IX~LXyi%V1vMG_I#y9+`gA~F4$cUT6Wqb#+hqE5LU#S)oC>VBaHPqsA`gepAQ)|618 z&^M-oWC>-I>c-6tNSUc>dYZu#fxj(S&;Ei@TDDmmkBmy6^+kieLF(Cy$&U3!D@Kx1 zU$m^ALvq*7>EvyXlgbFwKn+0l8h|34pR)|%c-jufb04^HJg5a(c?c#vWyNT`?Z-0( zC2Z1*8(pw~prESp>kh7}K}ta*;2YD;Hw5U3WVRPrU@TSj^hK2=M*J=9+aA=tnn3}d z@ZrDuuydbFs3_)h84GMX=}@X1KsrPoQOv57z(||eidRoMg!49X5eWK7q3>1By(3j) zr(Wd}5=KaZ(&THIOBe}l>l8g=(%X{j6g>*+n&dh~kAk`;xkAy~Y|q*39W~}GaDE*< z0p-+vS$*H@nDKM$$t|Lb-DE6oVi?=^|5rlBTmpuK-&K4ANlOh`U>~4}(Keo6l3=v?szGdGG;NBudrpm#H|9Ail+DHcy1^(Wf&lY0j;Gzj71<><+9 zYWA_xxltu9@v=@jlEfw1$1+JJg43F4V_lrQAZ=p+0{d7!joi#HGbe3VNX7AWqOt7k zI^*r>(*X2-LYA{wGrK=N0UeWWO38SG@MDT^i?61>ZTOXP3#VQqB)Pwu|4K(K0;x;U z^A~$}vfuM;W*4GTmVb-PYW7yC><0WA1KUq$BjwXegI{RPSLP%oZy-H@lfC=d0I$VJ>D1}MU&Ss7?}-@?t-ypJ}O1Lo<@4i94ZjpxzUV|*3#Z} zDyYpjQ5S)fxY&7LkwZc%h?@I~3s4cw1!IMDiVKpdvuhj=1Q-zZMExnIzvY4)HqsT- zJXTP86pQ$ZtfN#A9f@lWxgyA2%n2)ozFA;+ggKT7vaiTk#4Zx)MFfQDA}J+VTqI)= zlhh8O6cCpV`2M{&+2drT_=8wAXS=w?Zj$Bme|u~TET_}H?P=Xd{jyahf%soxYKu*h zT<>(e4`V$o#>;rsEiVWDQ;e0ztsAMX zh`=DTyb6ji-($B9aJJZ03Mr*t8c>c`Yyqnq$Hd^~r@B58^=|A&EYr-8d3#LpG_%G;K%@m-f zMhrO8`*QAOvS4t1W~>>qV76k{f#D)tA!}Rhv=!1zCP-s(IG7AaZbthg3uc0-GN4)9 z#FV5VH2F1>1v9|`v$ohKc9_{MHqlQ_D#`@MwwJCRM1RZ)GkI|bT5l6W3a18DiriM` z3T?89VuU>I$|gpP)>4)AitUTLE;3+h4JBNv8`oqSjWkpK1&M}@q1!sKebG2l?kXC) z(z?MQ+^BUcV!>qHPU&exBp`$gyeaEd_9#X2EffYJ`G#LNw5vec15+KRF^)NzfvKjzps!fv$r0p#W6TZfsexnP9dpafF$9_g4Qksmc)2%$yTJ*DK^ zLbJCFc3-MvQu$>_X_>Rp51>L4kf%mSWnL*J-v-QsRKaT2{)y3-ElF`e_6_>Bo;6|0 zl5bsekb$t!?r>1@UouO+L4aC!QWqwa0hW^S?&x$OP7Z zzA-^P*tc2g4mvyYz95hpMBaAq30?OQ1qdfZ>MpZS(zM^bKi>A^X+i;@PqI(Q^g$zr z3+9GM;evTP9M7prKOQ3%hzaiGk|Prn3a*L#Jm&tP9aB&V=)Z(KE1FgqpTF3|u~00h zM?3WmIW^k3mCwIz`7%;ReE!#d=^y*{Pj!qg3mHbY_gd#eE>rNruZL%KV<*y8dRsJi ztL(O@O;^cnQJFptw=LmbdAV;S$|R?{2_BoN9Q%9g&K_mDswmUzUl;oo!bt(ZRRKr@{c&ZxYY&m7!aC;)ppX$srO9vwZ4SE>t4GdJdBHK+BMB$6{Je}HBTTuEn z#=J558dD`FJhqx@KgqVbAVIYBWn@JXtQ4NQpae1X+&aMxk_VZ96_?EN#%L`^lxC7N zzFAn49b;S5Vi}m=hK{QFWlFr*exe#smVY8NjVOO2Nf)Z5)(PS42=$luQDVp{nIJC$ zD%ycMTqh}h`<0M>z!)=pBXCXCg$R=I4ryQrl5n>2AO0vjbvT+g2=#wI3QJuyB2os8 zv@8B6xbiA{MI&==ym;ODmGm*D3QfA<^yz#lwTD&k2_@QX@^KElsrCOQOmOTp;Q zuXdA;@Y9LO2-ub@M)-;PM=DT-lYE%BX^!v{@mvb%QV^xlDp7^muz4}slG^3;2Sl4P zXIetUhR(Zbpl+bFB8ut@!7SQ>a$Fh~%$__~{AaO=tW-p1%+s2Pc$90BnXt3>D2wGa z5q42>lYbvJ6DElXUot!%lx&{2fnjQ9)3WaM}-2MVNmeFd?tp;zHgG|AjE;TeP@@e5^ z*68kj6Z1H8-#G>pPRQHtzR%(nz`9xTnf>#4JiXK5cup4k`H8uFN{uPw3SUls9&`Vx z78hezXh^9OMQ5V-3RqASGM5a_0U)K!i;TS zAuXEQ+RTSY#f>R8<10pk=f#aZrBCw}qrrnuqP2~EjRK5ZFlGZoY2$z!pq3>?*U2twRE|O)G!%%!hDH0Ou+H(T`eiGIxTyoem@0wI=IAlNs6Z z3aozEy@xCG9uTex&=%nu{JMRJ>ch9_FA=V}q{+YjY~fda!$1DY=e-(CuCw$lJX^R> zaGv`X+~HU_>7~+%s&=)GJlp_`Ghj|S>EwAGc~rGdWq}?kosg(%l_EkRlR1GWs#=7m zl=FfD>2k%WYB5~}Gg~MKQ(3&)wS1;(y;B!B8o9Cql_Z91Gz0`93m6)?VMmJJ|3UpW+ysy$G2j1aY$g8D{T?fC>UK8J~iidxS4sH2YwS9NZrM zc|4%fQg~-RW5?yH`9@9RCdBZJk-agl&BG_D+TGLbYTM2is;CIY(>5zDLoTReHai7VKos?O-e5b3gHOIsF#t*QII?i6U?SSk|PlX z$>T_Z(h!QLKr+`U3X;cRg1AQFI?>Fw4h6RPitKSD$%;}kB-u=}C0Xg2B)H(x6Mkqb zlmQoOQi9kdwVwv9*=YZE-G$$rp`=UJ(mlQhF)Uy6s3H|@m(#~tf69)c)=5;_%cAR` zT?5*WJ_<{Vs?v_HQ*a zP;AS%FLxj5h$`LT)5-5X~+Y!kup#Ef@|zKQmb6Mx70ZX~KpXkuKa z6_@MJ@YIF_P-fO==VycAWoZpkTaa;4ji1Wen&GU) ze*gXu$i6>shvRX*u_I?QowXUSu4NPL=jUxdo~zz`Qy#ArhLv9{ZDMarDifsBr+cn) zYhjITv&CMJ^3e{qqP_D?e2=tL%MideGZ*lghYudSNUVeN`}dybsF(HH$4>zof&tcB zN$|nC+~(B@>}***$`K%X!;637^ZuR()ucazWwfkYgJzu(BdU?ZO*%cG(#<|L? z&p!W!7hEb+ddupR)R?`0e&FKrOK#wgKKeu7S$iH|u5+gKwodVa)u7r3sK0 z#G;CdS}(=vpqUll+lr@YDA5>2-rd!Mfo0QF^w(7Ze~P;nc89fYB-(EnS^vT%qyIf! zK`-H9gxyGx2~HTA$ppy6w6K8jX2lLb5gA4nm&-8{Z#yW0_ms`0pxTEFBVTu_9@h(C zPZBQzN&^9U+63ukhPB*?ey0PBj6ZQU{ zhQFFL{A+*ov%mECbSrNVg=it*tJk_o2vw;Rx1B6^lx}>G@^YdZlOqsUB-?2#rapN{ zT+L2Rw$lVtGxqKX-Pp-;-Mm#0*-l&0$#U&9o0mnl(*&mxEMhXU7%pxVc?N%H)auDRg@t8%D zMOvhY+ED;+hvV52hT~72a*6BRr(0PlhkXxyKTotl6mj|bZ~4a`{C>~qOYOM%9$HDx z=^tcH_Rb*sgFr2E%wD@=Xs;2xgnMU@tbK~)RrFWdJA;^GChi-$v$cA9s@=HOeKlhoR z@TR|bEcC8?R%p74lDT;hIt#t8HFM5_P+%c(Rv7q~$M(bkPZos2ql%Cb2lHoB>|+pW zs5=w@N-&?>Sj81%=pAB0nwkz6-&lN^7=%KPQHztK0Y@PigHWrTsk6}gN^9z)pf|;o zvJaw5jCr7BDvWY9r9*#3W=2$vA}(g*`sAQa38$i;mI7CWLgLMGz1_a>S%0MZB`4q*$ozfcVk_`656SpehJmHwu+;y)h|?68DpueXyhD z$XkA%q{FcJ%IX&VW#9Ybzwvdh!-R}+F`ueK2OfT#owbqisgT6uKsq=sTv8m#C6P}x zc0}qRdMZdwC9{Qen68g5Z>$%sC1^Q zugGqka8>XOqX>^y1ieIC+?(Kbg(ENjD=0Q@UUc~vUiIE=K6(m|eQgPF$tUMQtxGIfo zq}XSf1&p`~6n%sS38_^LW;f>FI^rsXSgA`J30B-~K0>-2SAoeOv{8)m5XP!sWJYP2 zEbKUqAh;JNXSr13QigwEG^^WW!vqZDtJ?DlF8NKO0Z6i)x3KLrRb0LXYLOh0S5fie# zbchE>E)QRV_;;h>ORmUuOi7M7o){;p%^JqjgX18Mx*B9HK7r!0c<&LSB12wZPExLp z33;&e>Gi_;Mx3gD_eABMsQkd_i}a0O{Yn4fn?5wvddT}?f%ar-wvv2k{uC@C2&$kq zmewm88k$@T--HCmVq%p{vawUIB8!Lv&{>193izrMP0h-!cEkaz5G3M&Ra2bdfK^LO ziOTeCRxL5XPm^4+#7KTp0fR|)Q%eJPNLRz*E510p)+Pz+u1xJj3)~qGiVGN$WYd9g zN}%e1Vw-Z!h||zaMe4bMEwr>z7rAv5F^Dnuay;2C{5Ub<9zdD}a6C}sM&HVt6BKy_ zBBsItZLR9U=eFRRFW#N?k$Um=tdG zAE`&y!6es5>Jb1m$@P(XWF$;7%@ zq*OqxQJa;KdV-{uIwJ^#TaV$Ck(R5+WpWjdJyp-5s`eKsp$w96U-*E~WDORsL2Gw{TfebuO*>J29kP83&1mRnwiVHXW@I7)NCO%N*InR` z(fu*OtZR)_*SR36-XvIICGr-JcCG0vaTW&*t;&11$XF9w5vBN(qy>9~tl9tcQ!xBAVX1JBilxoq!C_llTj>*?@zClFUcWzlSIir4e~}L0bSB0Q4l0a?69GF1q22H0zss*MOG6n!tDrk^nWq&7_PTr5>!Nhe=Z>o zPBIzSLh7nX1CFQyCvD}|$S_0&sX>}3E0m>(vNFM=F}(;U{j;?A2---KfDsD1nhA1C zY zHqEThAE_W+|F%O)d>7HDeri%dCfFyW*rHQKfvhqBn2_R9<3P&2*pjJ920A{~lGE^W zX8>?Ow1@q-cXXCDlrLL~V$FDaP^_*{5gd#e{EPtI$#eCn z*|zYF;tfjVV*qRJKAVBxc!yA>u7tcpuYJqHn(-Ea!6SJm>X%tQ9X#~NetCzqUCR>} z{p=^%6M>({!xO=N#A-S@9I=T)!^^*)ifZMk>&O`K%IB*%7hxi+mM?QalG6zuzO?)a zM3XXU^MTz_N`4*-Nl-mJveKtV8T45{73c0mlba)&Y`aCJudru=t|k-oU;T}b{oNrG zBo2jv9rKPb?S#6dQxCFg#%<61tpQvH7DVGGF_Pw@6#f?Q1IV0&ifZjo<$@fIRHtXa z_$e{^DdgmW(ceNBQ)vM@plPUZTwE~vTR;&sNSFLAI^tq~3mumMuSgK&P_d-8;wJkT z>|H^g2z!ouTwDC2>$7@pl$SlOVDfen{7I8wI1K7Bc!s*(s1KWo=FaV5CKmKoeEK(>d#*$D1%k2(Eg8h4N^V_n9fNY})u2#d6r)h#n?Qbh` zMV13CP!KTy|APBy$F`AV8*Rljwyc9kN->BiNVd@gr>T)sqr8J{V-zGW(*)5W6CEw- z)HPaf7b`{*=4#n2t3X?FrEYe+xlMsXv51~sp&jDEE#=44VQ%hZfhRT48O`oq=6V{v za<$BLp%EMUMX<;Lc7%sf9DLoK**2JRQvUW@o@ggX$Ni4C|M)llFFtWzoH4`y z7Af*z3Zh^(R(C|U4cFd6%W|wXuCDzo+Eoiy>V`KuvSl2KT1BxP3Xy3jT>%vH7@FO_Z zg%YQgSQjdfs0N9GV#Jt;L9tEXTg*k}b8U2d2-_PmhQ}jaA_vH=A6`h-PL>C^KtH?? zl0{pr*%q1Hh6ZkdC3WoY-tGYfQ9HNb=`gfndw++&^nD-lK~63e-?t1Y^j6o2cUF_V z>Le@NHka8OK_HA?_D+Z)g;a%!p;kI~$yX$}Z7X74Eb2bt*P{$VbL=h{Lkg)1vxA1A z6nl&m#E?RtsxYBgDLxVfV@M$tOrnK2&)$!6fVN7e~~ zBC6?or+Uc)RbY_*v+sRW|B1E=BP&En#ra8xpcwNeTdsA2s$5EX7lJ|hI+ERfHd38X zhE^VFO3?m78SW$4uYL-w1ROZ}Sz58E$ z-!FNDRe+F19}E^zCXl<>fc~gO>AFkRp$V?eKBS*939ijPjM>N4*@qF4fs~_7z1mv! zhhJlseOw!oNa`?M<2V7@5h8<<6RMT3Nb1l8QL(RtAJpNA>T*F=hbB4J4fW0@kcTPX ztmJ}ZNHAfVR7;b{Npj$c8;(Rbt3%O(8UnH5hYQt9q+nRxM3CnCCn&ln1{v|BAjIiLW|N?W|#J*4HCVb;GZ(QS)&2czb-TTUBuxQv8j0DvllqrQe`c z7=5D}gqQL<;|&6B#(IWvvf?s`_LvG!YC9VbGEooz$FmjBP-|D-N>~_Fj9IZI6K8Tm)Pd z*%8ZJn$Df=c{Oa$&%Ey|1f(BpH~T0VxK5~F3p_`)(o7U4%?v|wWDY{j6PFie;0 zkg2g(J?m_m!H?9o9lxkf{PwTSd_Ubpw2hjh5%x)yIzf_3TTHTtF$Xpz0MG*aofN1_ zhLSBSTr#$P#lLz1aCCMCcNkzpMpDR@hNJ|5jO#4(MjU;%(g!KM~ucS zezn{6-L8LNvafD@KFvIodynfTqzW2^gx(_BZxXcIBiCjKIk@O(N z`9>5P$RKVB0h=HgK!|M8utn4tP{1|{k}@#CZfw!ckZSlqUKYl_ugI8AC-0$A3aY`0 z0#MhiHR6h-t8GQ3%%#5+TB2}8d=%uIm#&ybJb;G4B@$7P@3sme<_wk+xj_}Qb@635 ze58V)JHRu=mzltSl8%%9WhCci-=?AgHuP<%w{@|k)LhwB!k^+IM^Gua+%H}wbH?q9 zPh&-cc`z;GP$Ny2|K_Z#O%e<-3fIzaNtQB79@VR)?1BzmDj{@zn3D=bznvIvbsx$< z@j^G-f7ukN{YBsvBMh>!R;*xy12Y@TRSYkr!C$BFmCD+EQb9=x-J(6XdOh^wANY+Q_y^y9%n6IWm5yL@PbdageG~(HtI~Cd zD1S4zZ`EarP8f89PFg})VR`Dz2}_ffK+!4Z5kx0!ut7@`I!%_2Vsye*X@!{+w#qBa zoiLlkutY4(W;U!d3*+5cXBNiW^H5Y|hfRrw*Pkn-ZUzzP*9T zO@U?@-fM($d9IU(akI@0*67J*9e&H-_`Cn=Z+~?ft|Og@%>%QB;Aj&9{S1&#&9TJA z&|S(pG(oMxuHhFU9>f^m1xXW_-~e}u9gGfT9^&1&AbDUW*i~qSCa1O`doD+O`Nz4f4nRhnUfqSCoE5c6PKQ?VWgSjGlO#oL zlHCD58lSzF_IugcxpJ}PI-lf=>W8vA2-!p4bKb}5AQ48-dHvu=xtF{2iLPcf zk61d1dxJML#n0?1ZwGr?ILVaNPW^7sb3SMSG?|C7M5v0JKvB>6d=sV_y6kipR$sQ= zg?(~e*lo9HcVVx`h5d;i`Rbqg3hxFXo~a=t^;6eb$C;DlbBgErr_4v{5o_jv>NqD8 zljXHjE1{R>6r+n9aEzB}z3Hjw!oqLGByn5pFUq!#*d>_Rt?yA7GsQnY*~nuqwVfY0e#hx%ZdZ6 zdy%N5@Xry_4ZawUISjrSZ@cZ7Ie(}*%c!ig5HLLq;doqcq_R>-Iis?c&SR02BK+m6 z@o$sjsT^!R-4^L1z1yNE*A{KNMY}D!9$WM+A9en{zwEVv(mKOx17(Xf(#APF=!VT6 zFw&dUy9t&<*MM(Hw#ZiOr=Et{QDgT5yOvRqY>^3~T7?QMAh#$idJ#?w`MQhBvD92 z>0xm9Fovf*H-}SAk|xSBPv$Wu;fSMF1T`1!>&!a3h2u|h&`VVrDBojqm!!Q?`m_od z&ZWEE6_Ah&FE%gLi%lQoQ!Vd?uqT-Ud-skkUs??+4*dCV`OU-oof#sokfCo26s?9E zW8`Z@bPDf2;*ux@D`QVF@U$p`|EP>IG%-@2ybf=p;UqB&25F9p!|S7)AyeEegutU4mlvdm=Z> z`Ea^J0w?V{Pl6$2q{Zat4YC9mD2+unN+2SKZBHUPra6DS3IVws9bBy&QjOr?W9idz zKvFPOC8-$VqCBHK#6?SLDN$2TDdxkpW>853AON-W6hI)vkZ?SFuq=>zq$j|EskGsE zkWo(=yv;op9FWM*lRQFdJ~Leckm!SaDj?bF5pG+)G^XSczV8dZ{J*%Kx~>`bkTi{@ zSys7+q-adA>pS8%AQb>@yq1qyB`K02uoYKHiWCDg!BwUp83GerCkm2BXp*RAr9NSG|9fIw7JTmTW=S$+SM?t>slut#@n+_KFsdV6|^-Jt$RVNPIj)4 z5DT>^%5$l|p{%sr?M05d(LRR@1jN0rYp?6N|Mq+DIwQ}tKKuL^UPuOmboD>_XMW*s z@1R{gC6pIY^M&fXuHlV-@1$;0nku z#BEA^&7%-kR8(jJ%ZiTxAb*hE%X9(Jd^1=phdhD3HC9Sr%QQc8wga5y%A^2ig~aV} z5C*Z%;2;FxtOQ;GZD;r|=Xi6=s&K*?e!gOkH}equ`U$_E0>?|513PgBXSKJ6J*-#N zOhea8y6e_r^XggjZ7bN_w)~O;{ig4F`p#=S;VTQ33KTs~DLsp<1`1wFCUaDvDe3{` zGf9lXeo0CA>elV4AE~`8l+%HF>*dNRDo_koCeTOf4o_qu7!_y_$`PwOv?tVdetznx zKp{pc8d6O%|M>)kQ>d2JxIW3+e+3}3f40BH?x4JG1b$h)N2z0O-I>nN=O7~xK@oT{ zheULOq9}5$Xx^8-m<+w6Vxecp6$=rBqMuCsr_4{DX)=0J!to_os zcwBGfTM+^36vaqJHLS+WT+=Ie@LNG^-dNoJ`ZdR&yZ?s!|GalqmG-Pg713s`h<;+Y zo$xj0Lo+xcrz2<4SW_o}bih=2&%OC^KE$?1PY=B3V1O))#bs5VuL#Y7$^wco#uYgn zXM)3o{j}o3{9JxwR1ho{DbnxpS6o&7o=O$5Z{4B$2@n;vkp@~TPEAiq5=5L2iWP$6 z01ca8qr6>uZnRw$AUQOx@>%@c5-&B$@gV(I(Yaf>G(nOH;3}z!V4S^0NTED?ygNRr z1mk&U*U9lxTeEJ+RA5b-UtF#k@6Q0wpMf6`B3Ll-yKe@5g5umwmTfPVU`9 zeDt_6PFby+mpm+`T9=f&DSrFAB;)@^Qmd>|#Eikr42qf~oKf)=h_0-ofTp|IV*AI9 zh(a^RGDGUxno&~^{DQlt=k1`IXNn*1q^s&nc0bYA>{O7qcTBsvj%nL&Q3;gy6zkQb zSU>JNzwKSW z(M*nepvC$+^1@8e)3p6?pjcQGjJZl5D2G{W;#%n%a8$|Qr4>`t8BD>Hng$mngO>zT z)0xUVS{EdPXM(Be4B~&YHl1YfOwjYbb=9le)6NfCz-}%M$-o|eV&t*?adwH%--5xIsDYbtlMb+WGmWV-?Q|I zq~K~=BvAiGHg6H)lbBs}H8~pKp4ZGbMNlszuL$8OJ0J_A6>J>}%AI2QX0Gn3edV6W zSxfp{@0Rz;wY=MI(QbLK$MSyj|M$QCuJ8A}mXse^blR)xDzwp|$Zu8YoC@6qgF%+p z1XKIx9uZxMYPujPJQGaAullydv}iI`E=ZQw1jlKjY0`*J;}D6cx*%Cz6NDM1=rjVV z2Wo4buSk~H1mO;2-USyEBI;fUlI1l)EsdnGFT&G>AX#1$98r|WZG31jq2LYOMfQ}^ z8zzYkb2JW-z70}@*^)=?DVw_Jy^8J2iK=9mmP~44!_3Ip-(*Jh!}UgN6NxevQ>F|Kp|z)kJ-0)AbN>ddY(o@A;<|{~WGC37C z8=}X8Vm@^j+>gvflFCSUAK(s^r;aXCnz%ExFr&q(ql?s|aVQt14Cs(he(UHW!Iz?< zO!!h5OIW&bg^P5c`4uEt^GuBB88U&#zBhuv)RmMxK1_lyu988pN$QLbk~Bh+|3XZ* zb4lwTL!EWAHBSZu$+Q~~2&llx*0Qr?+7yr=qJ^|{^UkJ zx80)MtY1&$^9v9E+vmU2BcH-}GPADyC!*a?!lH#0E@_&q7L?D~*CW+V!FjJhe`Uj= zYrqI|s>g_*NV&<1^)w@sM&2jLvn`iI4HzO=a!FZ*>WZmGV5}OhI*0^bGVAtb)F*}+ z#0)_(Zhnnq)=dzTjwwV6LDYerNI50*BnBgIP@2q^*eR{0kA`j0o-LIa$?}%;)2$Qc z<;9bx%PP+9nOpM~p$bsQ3R9KiGgVE%5j-RNV6H^G=edsV-D^7&6O z*IOtyVe|ttaO6pc2h0Q<^ME(R7O7Im9_v$0;fCc&H*Z|NRO1x7tls-uKRVDJ#2%Om zGDwP7mFCVNW{ake!uZ!+W%8xM55rlS1IjWp>?$hAR!m(a={;ZbEHJ(f?I`Kg@NP=f zo_QANTgj(J7q*%A6FmzA`rvsmW*>RlUPSlNvrryC^eO6|Lya%>6y!JB`c!>jHZcP|f^0N`Dm9u%7eA!HWBq}Kp(D=&8Wh<> za#7+h$uT{lP z?);J~gV_GJQv%XsmE^;+KiJ zB^Xw14g+RpxgrBg{W4?fkOJato`h1&kbcP2Aq6qzGOs1>rLL(hVL7p-O=kV;h#^EmMe{;9_i^}>nQ8l5?B-2g=FxSqK>TdS9j+q7MYU+_4XVnV z)ZS_@mYuUZ8FFIbRffYA_LBdVZEnb6J=rO;AODzN`mT?Bg1xUa8vxWhEsb(NfZ{;9 zg~p~UV?;@ln&60TRNToAW5!wUp|xC7!2ndq_mL(w!C{1oBo_oRH63)|g3{B4cIIF`_$pZ4 za286V;t|hp5jQSt9f;u3Dd{q5V1)o_YPCqAJo4)iV162Lr(3UsK%Q=+?Qnjs9bQ4LNLJ|=2`lS!}X9XnXgEi!~`+ZwM7gW^pv&+MKJ#|gbU{$6BbZGXC$LQvM%3>OLMKNy3@ zGF<*e&WCt0bV%qnV%&TCi@Lkr;W{ltx7yqM4(daJDo!t@(+B!gTfG4aknynh<;e1- zouM4o?|$)@pZm6BB}{=9*s7c0Ogh5px&`_$fv>wflS3Zmg!u@ezmZB3*ASBjlTM<&TM*!uLsq@QSHNBl>H1Wwztw zD<7@8?G}|LW=|VkP1@*Nf7_qC01SH0@i>tE+(4`td2lhb=?#)KY1V%a>0k)@3q zSY1gQ)##d$r(`%xVre5xEn%b%qsmi0W9}xznt|jGu%%?rQ6XGbLow;iayK&G9oZnz z{mX0+nQ2Siz@Agu2<>iA4OM=bm^SJfG#wT}ln@tRCZ>(R@sMUBLrYAX{9Ch`wsTKo zg{F@L5OeH?Mp>z_mz^{aFV(nxIqEu$Pa$v?#W-H<(h)I2J!={*AUjr03}& zJx>oQ{w+MD*s?}7`9q2WEa*)i!$XQg>>;I(Pr^g`W-CmYLqOqt=7Hb!z%%=R;Q$nN zWI0SE+VV&Jm_&bHa&hhO@9XOD;!XtHUB?*?;5O2dXV zUw5zTvz}G4utA_cKDXoeYn*THs$Xv}))fmI1nT{{9s6&9L(OfO>;1*1x_$^$`^$FP zALpA{*w)93P1V-95w#Z=EZea^ez1Hx+6Gh_d)D%6l}&uRfy$J3etYi{-)_+PW}Ek) z-Xv>%?-E-@7zJN$x-d6++@LJqR0pau4R3$=+;f5oDv+kP+XP7kKlw{^uLwS8(5GwU zMz2o)am?yjj%kcH{?|YKr~grOZK$*A{*gz@uZDg}OZ74_(q)XyX^i8k#!5c$an-ln zvZxVvlS<95M7Ruh?ycy#)!6_y1wA0rxnH|7B(KOwgmHo9oC}z90TNoj{NgiLFFkxY zA$4gRuAT)vm(K`4BDO0wr2@P5@B3WrD}dj!@AD6@-i75H<@@mJL1K{McWwnCn7(@P z>K$)=XYBXlLu^0uws(evoZ>wd^CfzucrvtgfBU=M^VL_8ve)3mZJmWGa1qeLFCqS7 z)d}&dTmX1H5JJJn7#tgjmUj^x92+QU2nJ~KDARN>0JrG+-LZD+z8#}A}Ol1qrhMS+8MLP$*PA2xF#A&S2JP~0U*6DVr z0qn89MpuSsU(b-gs|+udxu|m0>!RR`W**S$-1jxnyD{z-(dvEvOE1NZyd%ihYu)gE z>-WC<$3FcPFuYE|-Dgiz<`U`B6ZSMG;ZNGrl($5x_PA1*(BQn@HOwe&-(lBbL(7I2 zbppVLDYyqX?o<*U^B>Ic?prrvvN@#*(onG9lVPYQZ*VkV^_eSC1cr>1C`>JW) zIUW(bvQ~IJfFY(?k*3sFEPz{73}swVZU>SW)+Lej--&hRSU)W)#<3-ab;&ZOiOe&K zG)|H%Ah;x^eW0s}rwO8OZG%jb%rLlQ;S5JZX*1?z(2$4W_FDQ7c}+H^YS!I~mrA7C z^gjO3KAWr3=$kC(@@V&bY+QlfKz7rE;Vaq7r@_yCE63j`kxJ=3ndzB2ds;h>404H@ zn-VXDT}R0j0MJrKli~LM_+GN0>ff?&vf8XlS=SZG0Liu0A_%Ku)H#~2NfO57NY4&w z8+VIl7vOeWfNy%wxBQ3qqdVuilC2NV=pm$~k?|^LL7oHEZKO!{70hct@_e&3Tv-Ux z1@IMZ$R8|t`044`jxkB!{ zIZX*PUGi8k>c9u8mtfZ;rjvsqYXzMQF%WdBMQ{V(CoNl zzOL@kqdIlLXm&&vu5P?jby@_`$D{o@Xo_rCq&juM-5zY^0@Yj*vsoXS>a+-AOp*i^ z+x;4Q)Phu}E(kFULqr6!-9cqlf`dw>Cx|7PvS*J2H z7XS|%X=7_xKu}g(?J!0HX5_E|V<*f=P99P(xnOAwfDx5L=X_hv2?qVn@_^)^ptTE6 zPtFMzs+=wQ`{@?v6{(W^(7}{*Tw|DEeU3RNh?WI3zrxKwqRgg|LtJ&06AYr971FEK zlH;vsv3Y9KYJ7^?vR8#GK4eLe_?#<_h!J2?$qB#EH7TVy;1|q_j&2R^7rU37Fx{?Asg%ou}9T!@l+PKRbx;$3#!GMiaI_gzqnN zN{8_Mg*^a_JPM*Pj0^%M$=7J(@l$1>X&)Yu8pC!2{vRQg;`@ENLaZpN##TIuN38Ju zW%4NiA#Haw;~+nDnS2UJBv6D5nBvfy?XqLX=+n)PUS=&Rot%E%W%enE+vCm?N1l+e*g2@Obh8D|i)*px5S1;KWl;)EB z-t~%Nc>U131Lq%6Q1Ju#&|-K^aJP`=KNNwME3ti9Pz5)lb_=W5kmQ&#bcz zUGGlqax=Y;cDbr+uH+Gk6PE2T#7`z9!5-nEKRL1Z$L07Gv0Xi!`78m^TXn zZsisE=oUc?I|s~)f=j8DWI^gv7u>E_J7{R|6{CAe;nVgNG&J>T5yT+{xP{OM7XIBLrvMA!w%Q+3^r=fCEaOmGAlo);oNj$^L3kBOl%+(Q zZxPFNj?S0GCAX`!62NWotJXjIpgyIh?AN8~uN6O9PF$)gM2D6t+nl|$zt~rgUJb3# zvr0Wb&ige)*ZhI+`lN>+ns^|^?{Sq{d>a5wp$V2x?NKeReVf`vEhsNEV@lU%cES2x zRM5(6q1q1SxgmGe6bp+(Z_#T~xmC4`ieaCM@;V~h)Cy)76~I=d&O5FalAp=vm|axR zLn{~Ham7(J*`xd1H)R)f58_F|jUlho5v*L)!y1D*#hqLXOs76BfF`CS#-|AtU_MJF zH?&^}v>Y%>bWza|)cWR%t1`(w7B%Obtp4={DY>CbE-}bd);#J&*y`vxgg_$@U;%Wc zmz!1R=sAWcHwX^0Kn|)k^BuA@AFl}4)ShR)MLV1w$PF1qEMy#8wPwCYmX*VK;$EtW z@&|5|IZ@5ONNqZq(y-yGG7I9aw_A`$r)^43kcF@BNw=_ES6jC~Q!#*gUVXy>`a**E z8_(usLaxnk!Ob1WPtBBv84)O$g;Xb7OPW|;MsW0jQX4V4WR2NA1b#%gT3ZItQ!otU z{O&njH@-XN>VlwC~lO zQvTYmJA_%{3%Ot+&t9)P!&$33S(LxlV5O=nOJZtm#URh#u4QsgK1Z>%CTOfx&?ZN^ z8}*7}Xiadxq19x-3i_&6Q0%O)xL7L7HintXWTAZMs!j%aFp_n#)#$iwuSU~#emRIL z;kQt7W0N>i?M>2ke)P?bM9+}iY?_I$gH~RRV;A=lhWA8wP`2G+H|10Qil3XW)ADzc zeLF!`IZyVPmc6^3(G}xLHnW+2CC#*|n}G!BY^KNGqA64#|MJ&9@FTwxuzpP#ddkAn zhi2KvOyS$nV6lU(+eTJpfk#i_JFJ*s7%_#fi5+8n$H(5y%?*fC%J#A*TC}_r};Q$NXt;lfR0|2&Ta?N zV$bq`X73o^v8AtZ%eRJurLW)dXMXj|mr?rak4<)kdKbh2+IbX?auu6s^&Lrtt!5Xq zmtMstP8GOcVBAU*AmrF25O=#St8I==4jW9#qjm(|PT&st&^b0ix2`e(GOtOcmj!cd zQYu=9h1T)1V2(`+0pMYQ8hx{36`NQmFyjbBC#_`0@L^OCl9>{5^q~E6Y{D7q$o+!c zV0{$b9H%)-J*s}O_{8V`RD)G+B?}q4G(L^dm}6w>6;p=MAp!pHJXh~l=ISNBqVz5! z!zkHVPdJWHZd8blhrKP`IW8{h)1Cj%_x;WPXxL#yBdGd;gJYYM!`M1wkL@s`hE+Rb z88)zE8b-1(a2V^F_~*W!w6iHA{JJTnKB!NI$U-bV`4OBX|+Iv z+F{(1kp-zDW}!Ng*1Zvbr`7!&1uY7YM;YwUZY{DI|}hc zwq|x1XNS>-DD^45U-^*BfBIQNgqS-_UJ&5UVZXP`YIJwgVYDqUK@;~0HwP$88`vCz zRC+E5A}XpV3ND~e>9vI*jf@MHR`uf^1N0g}D!n4O-54Pz$R5?HjTNc%ir^7IE;%%= zrCpIq&jnFY-%7&I==Ynu3QBh}k8RIS6LyOXL$t?qFIW`LX>M+_@H|3+E z-Vk+AeQ>Wz)rJ?AyF`?#aZ+PtXqNb-LU)pNc1OU26MXfEEi^JzB4*%${fB(yG2%?2+5->X6m<<)(ImlH423c3+N+Gye0ts9v zH*x~zfKjZ1ufpV7X)B1VLES`&oQ5SL(A3bDQ*#0aur}mfV4*RS*r;D3NmM0Zd|>h( z7_W93ZBD>|QWDr?=0GsqxK0vH_2W4+Rt%KdrUxjwR+|Rebu(COV;HskRnOPeYKEWk zV`Ua*3`2GvHT(MNEKJO9`21fBvpl%ngN;W*qTWfx!W(9_r$R?WX7Tp!DEgiq}gXPmv3dGV>tiM*-#J8BK zyvHkkcr!7KM~+M`n`?ZFTM7HI;J4jPoJUy-jvheG z=vJJKwAyj#n4Hn!qNZEnhX$gYXnAOFRFpLWj&tOLQv}zjnW!N9HS3F^iYkIiJ;xPM zg=z%(47gy_VAc!tb=E6Nm|i4zJEON=v7@1pWNy+W4+oedF#tM`hP z7w^YPWJ!`n)7NCHtAgmJlU5g3M!k{BOX_FI%Z`xjbd}Qu_LnX%tbQ>Sbsqj#e99+K zPCvd*_W2}bHSS8G%A1>+o`hy5d!9qzjhjSK>C*l|7u1gax(qDe#<^6c|Jm2yzxODV zsiLVJ8D!XMq%t`LN1BevP;h~K zY9lBH)dY73%skQ+F*TqO)FQc`qyl((Y)2*8Z%h>_MRGqlf>WvaA69#rQ;^THYG%Tt zrTw8G8j!fSlFWC1k4}>s z1I$c7s}SNtCFXtEpP^;kGZNgoR#y>F~&BS+(Y)hZPNFh(OVr-<;;4 znpCx8BUN-`X?n{3^$biXu#r5@t@dji$WVV(8m3OdxUMwKIf1w=j>hvy2qo8)Nkh)< z6K8Cn09R;w&yg^|uZu`flAp*BAaRI^YSVil?NuA_EnfkA=coN|&wciHMR`G8>^2Wz z-Vq#4^q|q_xh@ZYi6;O!Leb(?7TS<3$lujmcw}IZQ4p2GgmbeZ^=A>>gIZWtgq~IG zqN@%~Lzn%-di*=Ke3Ty55(nDWKsJOBWg3P1-; z%If-Yj#VB2>;!b15wnB$sy#{3pMKq2caTp1UNfL?pk0E+?7eObGytej z-)K(x=E@Ttwq>S4_sV(wa~Tvp&e((p_@wF-H&W!7Ub{aMF1KFXdm4@RRf?85a0FzL z(+9VHl~We2nsU0bos!@(nlkohBmb0~dYeQilC&C>1A+R4xt)%W^IfI$M^6285?<2G zX@8c;(x&`8C3B2l3mNa}R>L0isa9hKN8BAaV%#lilSVT*;ymDp|KcrQ^1Z`Em*`1@ zIYIUd^Dh+`9QmT0+Ocwn5M4_9dQJl6%+Ae-F58@6m3YfUml~nQIaZ0cE&Jvy@m435 zl$gzSL)~hn89LhX_NsH@4bdcde8^C9+-po1hprQE=oS=fdjzj`d+aLlhSnKmE@jQ_ zS|iG|rj-6YMF(F%sI>tBFuJuQnePt$KY=Kq%~8tqad!r7z1r0#PLvocya45@Ry#S3 z=(4Qw2;9`%i0Cao-JWTWWANDBSTSeQ=0e6azeIf9u0)Pns2NN9ua0B-hkr>4Xm-7eTCSK`m_kD z5`f@F>k}Cmox$6Hi$g`>(1>KL*c&TSpZbdE1wo9#a|Cg)#+M;Ted>ZS3vGF@{*n-+ zk5~i`GQb(_B?wAXLx&*ssSB2h$9BoUjDBbciavEoIE1KlAj*P@Ht1ZEqzhRj@l#6V zhN6Rku9776sY`-@gpD=C!S8H*bds#@4uV0%!MGr_SZcu~N#@%FvQRYhP!b`Y7JHIp zzCXxuqQ#I$$FK&C29spILA%9*HEBu`Jx_1jB}Z#>)z#NWZ$-h&2UWm1Y_q?-ng};N z{nt|{!Kly-BhnBqg=`piR!2Y*(?!U|F*p^BNXfwhh9ldd3)_&7Tx__VDMl2RDeceg zwEyFVd^le`_a)?H$XCIL5X03M%MiV8a${t#Nf_|&qGeNs&nV6>?Ju4 zS2sSX7Pp*PIocyHN*6eFoaWWzj-~eEi~ozdz+9$2W0~3pw)wktNyP0L2DaIHQ+4y4 zPP@Bw+PGWP+P68KcAj+FSAN}xf8f)HdUqoR+a9FPK0J2?1)Gn8dcIA#2BsBFN7==% z!@tr}0?dW=8hI?By+&olGU0lSN@KHP6 zTQa>oK>ja`a(v3)^K*RD_lXjxGgz2Nh6HW#`cbyToaGdCXuD8E9UaRIe#eV>1L^)4*;%!^_Y zvGw3xFMPqv$_OdndFM_2)r(i}c zw|mO{;}?U@A7yGjE>Xbqdg`iogD zmR9%5SxOL=lQA-8IrRNhmlvP^(%qXMo}$_wGMU1EmOI_YfSK9}NjRuy?`;Wf(m@1Q(1xgU!~4klI&PYb}BW>@NdO>lNuC zxL{!YQN~rYN32K>!36{AzXwpZIY(*|7feWhrDTYU8MJ=IXsBsw`cTD)6cK*#{~Gh+ zfA)WyuVqt@a2p`ZI2wRgiRzaf{8zN|gKT=I5M=|hpRLo~5 z6~9_sh|K*?L8{;&a*)d>CGFpGKp-lwYH^5qt6H3Fr~PrhRa6iAJ|)Oz0w%2{5w(}{ z@OtrUe|9gih2Js|{h(XGx04Hfw%%;tQh4>uyWe%;vC;F-ygPy?i<^o?=n0&}CX5KL zU^zy(APf=xmJi$_ioOyq2#@<(wr}75@%P{P3o$O?8se(6Gl!of1gF+A+MlOR5`s&? z*@pXoH(LW+bbG8%mgEnk4&!e zPbH(=T18mZNmj9)G7hU)F$1-b9@!2%NJLIX$RIO4(8WPVH0>{5KzJd@Oiw}xNs=U% z)1Sx9@+CIWGBVxp+QT}a)fuE!T79h17`J?DG??=H*FNE^e`MI9-(ji%GkIG$^a^uv z&qS+d#aUjg6haM-JIq9_{dDG(T+oyWe#*tsrmMz^*`WvJ9|r+I5KtV2@r7XJ&~MfR zPa;9L_t~l;m>qf`R&=l{T6d-A?g@A3&9iUQT4>W%UA3E<*1FcA_te$w(8I#4@4bOl zxY?oClEjU&A#=iNcIaQ>lGX=4@RL9AX@L&W&bPKz9Nq$ZgwS0LZ@KQsZ7Aa$#)ChKJ5E5wQn;kZB&i z6g-oME+FFY&~M1;ZjbO(5B-ji2eT!;G1uLUvpf&Z^5ed2@s_WQ(vN~(z4T)cSg_kk z4=vl4F@IYEK>G^jOkPxLU&zFQuxpGILy(r#S1dK6eGjpqq{eK;J)nyKG~m$3wa|a$ z6(u!hg5VY8yeGg-P#b9bMI_~Kf(Oj;Q(Vdh0d{kak{a_vBawweliY6yhOPQyBsJzM zY9P%t*3lTa;;J<@W|E+J(YP0w7;#DR)l_ZEHfTIif55r`#iomkx{L66e{R zrsO?b5;Yw374jznHBM*E>h}1L3AREjxTPzq?+*fR{gE)tuswRdLE8gaWbt$Mo@c&8 z_HYPt$oR+7(eo_=-;C19B7xX6V#9~Y_XvdQY}Z_q*WH>cea*pCqx&%G0n{vr`kisB z)5Q?iLH{}x>cZW1&$Bmg>#|0QXd9WMec~)G&n5W3KxQ-vKC-6Z21Z7*)56zMpChBU zt#**dEK-wMC*+6=@tgr!Nnfohn8Nib{s4Mpm+!R)~mE)F=oNjTj_Wq{j0@qnm(D)rc&m z6S~gDQsEWBHBzP~xN0#IVw7BxGsDErp_^WcO8F#fCPkf?3@9uEhp=2Ngc(tkMn3SrzO8Q*t8c16I#lrE@^3baj=8GIQ;09i^qC# zuu%Kr@K4T*(D&kSp3DtjoD-vD6lt2wySNL0+d?ua`(#6n1Y`Q_%yRw z#q<wj#JN63f39v9nz?w2hbKEBxNrHlrMu8F#l z6#Qi*6C;n1(pO|bzIml%!&_62%e63>WkEi2E?8Pqk!9#Kf$&*!K_kR~GC3}-rVu?K zd~RH@%%9!D`BY06g7hVeV3|LQ%WfNQ#Fs3RIJUn$<7mgL;W>7ve80WV32a#O%St45uig8?zU^;`poAjPJ;=Ss0@_m zGRPncQnCA0Tk;s80n$@ievWgLtf;SuXhdtkn4qaKSqN63PLPFb1LPj+Se0;+6xY@- zXT!_I<)CBI2C64XapL^w8-|RO+-w>&>+Psk)o_z)%n@wICsUz!p-UaSW&dVfI?q}- zZvHJtH&&Y?R2^Moe>(?M9Yrg&=v<4wL(U)7Oar&5 zwMKI-`aHGhM_=)u{-KW@vgkXc+SIHfW@~Mmq)5!V#Kh1g2TIqbKU?(DeE}j@8KaiX zYPRTydcICac_ktZcp+t0+u{OobSciEHi4$TluXlF zdn99!Cr6iJ?0~?eN*-y$Kqa5EH63Oj^k-Dbqg!V7K~lWxxkTJBsXaqny%ctcKj(4A zC4MY4ZzS88+&!=SM%a;rcVqhFX4_a8VyZqxbS*wnEsUnzX4{y$OiA$L3C`Ok@%j4y z^-GUG5w=l_K#tS0lF(BJjCF;9(vF_C$VJ=ef>FR8=;$$mb#l}!jbYE$K>SSG=quVR zQr7I8YCHrP?Ybb-X z=jfqV%MN0oDv29L3<30NvF;SQss8+O%pHLwc#+zJ*Cp{aJQ6K*FAN(Ozfe^Y--5YZ zj6DDv@}tL3^q9t81K=Cv8r|@#qpRCD@DVDz4=aqPn z#9QEza`FLMCIQJlW+$LYzR`+iND!TZs%E8#vg8Hu=?b&iVxX9EtGo`WGbieT76T-h zsc=HrT#ouI;RW1q!h}8K19oE$dzBY%4EY;) z2WEBx^Yp89aX)j7=0-zM;V`!L?e+u?z-bE1k364E7T-45~HJ$y7Jt zx5m@iR7)NvS>9&E2>ho>O}#L!)l^9Y%+siF+leKB2UTd54&0f~OW0OeawG_nsYld2 z#nekaB9>E5BsbN_UZ)ow5juX|G&s1~#J)G09A&S`Y}Zpf_=JV*<8INM&K~c2El0Ut z%DelA-t?9a`@TT2YyPAyQ16yU9eIdyazlY*tyPxJ2H5j}VkrvLJ>}#&oxNhJSO#AM zd|oG?b2=L-I}I~2&9IZD&IM`!OgVH5S!#F!?TS@8TS@Vm&h8e`t8_Nnx&-{gG1WvK zMaq4u!6a%mnZ1JaR>SvT1fa&FRsT0a)cEA9wcu0&z3(2?ol5u@;83*j%W8C44}&W$HB_jfLU8q9mftXSOC-k+lKq07tfc zh%~)kj!wsnj~lXCohwRD@C6_V%kmi2<&%kz5kSWIF~X*`bjgj!<{4`v1T;S??dX00 ze~buczDfxb`~EgC&a4t-Cq(lf+3S*bHoUG*f&(dmJ56x3J!9~~DvYyM?b8YKX$d!p z!+UaB2%gZS(cTRl3$R(VoP`s|mar;cB$K&QzJ{3WjBy5j3wk>J;1H-V%Ca5jPfT{M zQW0}rm8l?5@6YYDKQQST<4nwXRi+xicmtDNVcY>J2gp00zgUC#{joznK7O#giTqfC zvt8=1RA>Ny10yR0Wh6!1g^mlCTc zDxrm5n$Tz#NxvlS<}A&br+M^Up}Sfl$IdV2h(Z1^eRAc5`+i#PVmz3-KX1{vyTV;9 z(WJZb7TuE?UOD8e@2(I)|EAyai{CYvp0Zg6Fa{uWYco0-qP;_|L^>i5pqp$3Q`E{j z(gOZ2(^HrX*yM<));&#w*|9eAV9{N%PEP^4NWTKZBTf$DJs#J3XcWCVqkXBf%Aqwg zhQJ;FQ*^0)g``}ao?^Sd=<21+naH`eIz6?-;7dt59Wj!lx$Fu+e*j@8oF5z>ogAC!Cb^UJnoLi*Qkk)qmvefm!;g2~i2}3{#r~Vo@#jy+5xBkV zw^PLdFsoDcJ!x^SxAm_`*~#=%So5lwie$4xx zbjR)vQSwPof5O*(=<5o}f|5aa*~zFOK%FP%TIhE=gg%0{WEthn@aj3`NqBkyvQQj^ ztpQUgQHcqTp2BP#dN?%3KPUzxR+RLVtyo43!)(hOrA(?L0f`GPkZsa}4qOG;)+{Ko zhzqXJ5vPJ{s}aSaCHZ247)`x`WrdTnTy+FB`C^iXQxl*y`C^io6UhNmWU4t>M|~Vz z?7D3}1?p17v=Jo7CA+b?E?;fjuc^0Z7-iqj~+yd<;8JAnINtvSm3(F9D* z`a}Om|K4C}Agq61;1|1B9k|< zFKS)W=>+UyAFy*wk5n;#RUMGvyJXd~ZS)s%V0p&md3mO}-~Zh|^Wnc`kO+5m09*l@ zeSZLdiM;0#o8^GZnh)`?Ef3PupF^JhaCo)oM7dSCrIhkGG-&eLL+5A{3SyzNh z(Z4JQ#VQ=$9#;J5fUzxv@V~fVi7zfR6b*dgj=u+p9qIR$pC%M zHCJm%+*fPn`=ew#{Mqqqw#fyB(%aDic_ozsgWRPtGo~xbcc@@HK(YsqBA@4=u~0>u z3Epz@UNv->3DLT`iwC9*Ua5jrv|}w)7&J6R=FyEgfWbk6{ z^iW_!vK+!4m=$T$ngLBZ39qS)0ZDUDMJ_xp+pV;sq~pU9RYPz@_vZ_y0%%M{Z0gVB zmg5qeH!=qH*bivy8ykah%l8?B_x$2pUjH$X8&#v##sfh>3%W|xBJRp5bkDZ%o)a6z zcmQgz?Gd9fa6zzgGEK)Ox}}h;WXCxBo9GL>ej-;L55O50{e=vrBDvxmt9U?(yQF{5 zRALAwzW^CwE(Glb4p`$^55XJ{7^4maF~qC&iAj#^m%Rn@5sDi;nsDCR=%$JXwkY*V zB@=8kGKnPrKvg`DB8N4moYlcv6%T-#7i6eBI&zAgqvzXma=cmQPX(dPiTP%AJaB5j zndCmJL|21e~eogY;NZsz6cam&_fXco?GLB)g z3)*xS3W8h*mMYI6qv>@)<1__F-ex0>fLV~9kqaI-M}oZ2{XiD+W<`2NE{GmQ612iS z!P?s0XJ1*S)CH{vkr4Nl&4v!o>W^xLZu&!|XZn2mTwI7y@YOKTEV?2_;u8Bn7kY(*R;s3^Z@yWmQf8(3@q^DYs zfolUy4?GcQ&Kv~OQl#QWN1&;RlM-lR^G3aj_C!xL>tnNy&&alIjzGANn;hnB!=eDRHuH`3hdyD7ujP{Q|;9RWUlT)AZPn@7YDF-UwM&zbPX9yUX-6hgT^O4y*^Zm z9&{uHjH}i@-|Mg7`}hs}wm zUs1m%xIM7fmmVd`KU8e_(4v0*9G7eAN_w-P>u3Z;{kq@=j-(21G32IRQPrejZM!|V7JXb&do{4;Y7gF%eDpz8S#(&U=2yj2k0(|&WgVcp zk&7humq`e}(1G!3jK&-zQ?Gcsfx&XbY)%rEluWDkprqE{7NOUIxd1|tlEE(!J0#;^M_E$MMy6;Tm%WQ z^xN?+f<5yC_NN1*n2T0-SF{>;i)I($c9^*S=x6*dzx=)rFD`7P_;T+*+`5k#?gi;U8T=8 zu05=pd}?E5U8*0?uD08{>TKhBjC#9rb70xjqm8Z;$$*NKca*?9_wl9hH{XDjwiO`a)5C+ z8p=V=$!I9~LQkEHhH{W|GS0|B&gn3Nd3+BZtonl>r!UONLC%RV1E9Pr$SG4y5rB5W zp&aCtDW)jR8Q{Q93@TX?P=1~<#S|1UoxrKOKV^!k;n*W=8yya*Mw_RYq9`)c=2f;v zrj{mKF=dJ=dEU^(lp><^a!VId`IanjNq7#BUPC$5IY7F4`-8g4`2_#o7`mP*RK?%< zRloPe|2P69O=x?7Wa(}8yrB@tI_Mg}u&UHnQx&60nfXb?J-c0JL|?9h?$?0nYaMjm z41lfcpw@bg;A0CId~@ik4yqk!6a;H=BS`lmT@2cu8P1?_>vSCyv-w0247PRAa6c^Z&+#GO-J#6Xv}qTR6|%s~BM04rJL*VM~o3(HeL}F)~VT zdK5FR9@WVQj*Vi*E#Ky{h<5wo|M{6>}6*!kyz190ARWIf^MWM7E&EQfykR zm>eC@EkR>RsRk3v6>t`UIf}vPZ(cQOI!m+`{a5d3+&H%`|_Q~029z8(EXVHsU4~4!7eTE4dr3#LZ(S7Obd#@*!#ka9UUbq}BzLrm8WMUUe zC%Bv|KmV86H_=)8(_S35Z1W+C6S&7V*3g8sNg6nwk<;2dm3RUa`R$Tm1=32;eg#xz z{q#w1gzb2NgsXB?!gzOH5l#O4n#V00oY}^+2AZ^4GX+H#0QvLThon4-jbwVJFZK&0 zZ1@0z;i@~MBR$j?rM(*Dk8m>THMK}!=ZRJ)^f*al5`eU=WO}GCz?{U%Y#S|JMjB=pY8hyg(>Zzipc5a4jhikyG7f9B}{^&EV zYDW-&`i&Eo?X|NK$drw|oEV~XIym2IZV1M3RJAuu zv#8IX+j0IIoG)UXa{ZXHvBCG@T0x-NU$)c!tBXyh!NPcqO?Ca7#x;}ew7-lEiQ{jU z7aMfSq~r4kO27Z6^MmEfQPQju^j@T(Qg?m(P5d=lxul;ilEbVI~!FaH+psYR%2Gr zaty71@O^*bqyJPC2(?MtLu+f9jz+RRq7B}oB3Kv<(fvwQoOw3*E4rYK{3LD20*oZJ zAb(#MEd0>h%}%-m@`}8NE*K1k;6GM-VPC=i(pb45T2jd($U|>B=g1ev1%ttG35UBm zN17@ZL}1M#rtpw-*#`Me6-iipap{l}#E1H_CA4-)^JKXun66q$zGp5;Ml5kBkhwIn zC;N(yN4`6Fvy24=t!MO(^KU8cgs-_n+c2&OLHO}2sq!@@ME{oIm-d%#6s=#3 zi?~(R`3}W}v_CmRb6;0mxSD;RhTPAYwTk?Sm7zpAurf5V9fqpOwyL*T^glM?c1(}K z?HLX=T@3>W15k4e-WA-RY26R{$r9skQJcrOt6Rk5+L$T*h}gc^{OVzPbxxL8{ou>* zc@SNdnpARM#51%fp+&TIE*MF9guZRAoxX?*o`e?B*16!xZik%aKiTY%)BGm^L)1~_ z(4bqkOncjw&`ykkqeNc>dF(D+h`xv~c>*pp%F2fED)Da@ZYe@Jn_^b<%8WGnAv+>r z!b`MU#k#TtM`7BBh?1|fj`;-oWa4jTOZ}~CgJ0ycXTaqd0hizorYmcYBw#xDb-`XB zK^p=uc(2IiPq(zT-Zjc`wzTy?!7-o^44G6hk5^xOc=e9AKJ&JBJ}6Vnb)IAuu$~}X z9(RjoOM5$w4bObsJ3eMPWNCLO*%~%?xoGRa+0rJH45$yH#p?>{TU2GFjsrGq1Niu> zuecrCl8m#|aSo^!w};M_7O);IeV`0()Bv$!wzQb|itiE8BB9W!yk|>$SZ_Iy3W6(C zYMP751$EHJurkEr_fpaWan*zNQ!Ur2PC+qADrN)%CyZGBil4)t#iwF5UD*EM732Px z)P?=uSg-FBrA`$>E|KC`Lfw}PBozFz${=c?BvPJiFR0-D2vaIv60L1iJLDW;2Xl-5 zh$`i*OeJZ5J~UM%Sn;ADqX9Pil(KBg*WJ(&1}$AMaHv(vRb$IIzHF!CGb5cgCBa%E zQT$qHe()S;k1;LZ-g>2YZVH^60v|sAtPH4rZAYo!^KF0iPycGzP9+iYDAg#1kTE|J zmPP)vmEpJSD@QCC4VZzgP*%a@1I>ztpwu0F_JF3=Q47YTWW{fVpj0G$On@@=KoB+l zc15Xrm|z;ufU2w{d1FPYLEC!ju*1w?nnawVaeRlMWYYX%7R04c5P$?tT8E$%cU=$_ za49Xr59koiDPqA-(&rjOusZ`#>UAaw7B`@aS&+jR2bRFAkqe?YO%j+o@Tl=LSAaRR zlJso+%6DKplH59o@qib}Yd(gJrwXddPla zYq$0OZ{N!CH?#R3F}MFBh-*!_w83N(Di39tK$y_l=E7!Avbh3(t!-{q=Ec;8I{RB2 z*-l+s=&92QOC2|rDVw@3Dv$6n;`l_gF&_hm^35nf-+NjMmvOG zZTNMZqW8LwrvgwI6oFmf7KL5OP4Tq)z9Q&#V8e+jTMdNG48YN3a6CaYuCPb-)si*1>#zTQj6dUoz}WRWJT!)LENcA#Nc|8n0xCaYtd znnIA`_t6*8BMYCbjYQhFi_rQcm?6-P zcYpcn9$^ZTIo6n5W9KYSa;$UbaIuD*;*BA6+Qlc5nj9J<(he%^e zyJZiBCiR>jx^z+A4rxqV^r4dTLjzjMaVb#n2N0r&<8EKUDbCfQXimiRZ_ONqpx7Z_ zGMW>Y=tXLl6g%XSm?mw_iA(AKs_JY~IdMtMbWwILv^iMIeL8xs-5t>9C|dgnx>n%Mw91= zVJ3~4HtSlvmoQ8kp?J)I>>1rpe;3G`iGZfyO`1*YONjJcHCxUlw7G=#!BIl{yWjh7 z{KnZBPhuzBYv?SA95aW`xmv_CEPK7Ke$utu@9u|m+#7*36 z7@B24Iv9R2WP8(d#6@c}qaS*-?MxAgH9dG=qPN<%qg9{aWZDVSI49GdfMl9J;8TN` z+1A~ituy^GaEsbNaBgZi&zOlf{`lW~rL(-x!C#34u|Tm+m%ZRReg4NE&D zl7^iDeEmt?0k{Y#e2~}Up~3Cira^Pgu#BNQf*QI_Jm;jY!9|$bTu6_9&ei6O;Yk2W zP8gm9pj1g+ORECfvE)$I4A?;WH^W8nR&bOdCDHBJO6H_41WAQKR7(#8rMf#OAt?Fw zoQ9y}r0xj_3a%*Mpxuf$khuv=Z||gP^Bvk^WW8oFkcF-#2b~PM3L5{`qub-}R`@}G=@bGz!`A_P`)(0tbJuTmm+xB0@TX%!Fpi@ z;}E2>azQH+AR&R`YKOZa^FaVuqXRv`vtUDjV@YvWd`%F0DQg($xP-YYUykJdT@ol* zlBMA^S7be`Z%)MrQCth~J4u62wPwCO;NvChE%+cX)U$I%`TjsoU5hQ#GS$&1xfW`s zZ33}wOkhrIimtkUUoBU@A*)jCVSjQyhB^O#$6kqa*wkK0g;^4k_*}Z?TOVG{$^5^O z#!vSf?ByDWp*w!VSj^D4sieJbV)}F8m@#nBIM@66Jj8F5mY*tFKq=X*r?zV5a z!C|uvxPr%Z+K^l1G?3R*kkiO-04W__5WJd6eZ%ZI%DEZzR83>i7NmF_pUJE#xhX-g zCZ|l^RYzg4B@CIX>0X#U%eUo)ja$A?J$~Td`H1&_PwEMkx3g7`y9I}BQAce-Zd<*9 z^&FI)wo%euTb!JOQb1-q&>*yWBCE%ktO6DUEkE1ELYZatc-SmJ^~*XnLi|=RtH&*7 zu;5~#PC(J1b?R6#dtqR^re=oV9JIcL7_F!QbY zZQvHQ_Gd2CpQlj&so(sm|IKfP4Ofh)XTBZUoJa-t;QXcOaWJXca2GsDb;L}H3zA&_ zTB;+y_bvz)eB~lQ)0B7xdE5NZ>PU;^?3?2p*XEPHQsHiG0IH z`2}J`X+PD38y*ihycFC#mPepo!_?gNt@#LTFH*^5Tx!e|AqC3ar>U4aF%Y5#WO`G5 zye+76JA#hKj)5L`l8|)E#s!mCq6!)Zda4B65tpNFc@aZN^?rH`We@g%k?CZzXFuTX z{D5({X!Zkcrvm=T-}!I-?2F+CXlcg%057s4tR&|F3ftSGj9kj4)2afF7#$XorSf%$ z^*)zaw`(7ES7h{1KPQ@u)RbHT+Cqz(hn$tWgrpo zdTKXAkbZy*S~!i;@&XBmX2o0qM;4Y&4FqA4+bX^I0lp+khtmYaDuk+zeF$m!!`0o8FbUCO z*dj7HoMw_}`_913TQ&5mISO!DTX${HaJ2qY(CTdmGr@6_NStuK+mt|sGchRvDnN;3 ze5Lpq##dZ}>BiTd`q}tCxim}TZqaOfZ^!t)`S1PI4-eKEN5dHkgYn=vNS*SCjQh|y zNDzAf&hSLn8PRHXJwT#Lq@O@YI9lH8j60MK>74+b(WzZjiF6}chU9jEYH&`@51MJU zkY=J&GCjr0T{bASqUWtMnyFUsMKRNH8dp1mY`CZ3|BA@9|Bq6qK^Su${rq|K>1KLd zA+Qr8k4VMSZj4ODwY= z2r@P)f+&XK&=f3Pz|wKSh>Wp`3!)#2bXU+jD^JwfR}f@u;(~=614!b1dyb+WUGi`Q zc37gAtp-r>Lq47=qaF|?!4G}r-8NGBw4Wr}cVD zf%AZrX_1Op&lG709y1~hX%d>Q!t5EIBaJ6F(inG(S~D=0`_5DD`Qq+t6o*P5B<1uXcQ2QK|T&$mHYO~y$UYLgHf-T%Y7gw zWJ(T+q!s#Yn~SN+eKBkdSv)nme(XV0O8Zo-WwL`23KVmAwYDrXIJy6lb?%sLK?pg;*A3OIIX-85XV6GbY2g^oK2A{;o`M1GGh(>(X3eMIPl&{%>y&3R*HypJ)_}*pChP8i8cgC0;7;y>_e^N5C({j zL!aOcG?%K7rtF~lrw;^>^+%7gIPtYe>%wsSU|XEp1S}o|K~O%BYqN=5+Nl6YvGLR)I+>b-OESeJIhaua5a-L^sX3npZOM-KYZcpfqX&#?X^9Q@jaVg{K=1d-G_Y0z57oGy_?6l&aa2; z6m15_F><;uGg|hQnQ0efnOg;6JQTPVf`S3Exfq48W=|%*W<{E5UlDD$9HYcTqdmG2 zq?vX>fc4qROWHj4^w#G{Gwp)N@~JC<$lVBD;v8wFU9j-o0xe%n8cEN*!6p@rf zLqL0uVy0aZj)4OEju-_=xpYbe!YF?7ZMznuW3O$ z*F>{myJmHJ{Kq^1*`X;+TLd>;n|19*?lId^5&;BOwlFw8J^)IQ*VT;`S6BU1RFX$qXe8Vn4HvZVh`-Ju}z- zlInOUX#O5<^d*_3aZn$`k zQTEtc?YLVso4fJ1XgTT@Ef=hJ|JHx@=%@a8m^;m#xw-SKFrsJne#6{hz_BWR`>ILV z@Ae4EMR2u3&|7EXY3|C3D0d^&g}FnB-{#G$l>G+t*woU(mNqNql>H8j_hRkct?okA(f1fI4 zzu&Hzv7qFtQ~an?_J@N~5+D;Ggt)o-A-Mqm(G#`LqVuCm3xAQ^?a1_xqodT{InR85 zFi#KzgebXjQloV3`3CKmGL)59v@jS~lFWB#hhez7CYW(M<%)cZkX|6QG0zic+WwyT z9vzpKH2@((Q>Yot1<=&1PZ5{|-Hu#>Mwk>D26!^@P^fa-1;f=v2M@{vK`)yz!TDDgX{N@x+jly+&_Rhq)lM4N}}NTfl<~D{w}bQiZu7U||Mb&8nI{GpQI`N?W96R+Fk7bEaQ*Y-zT3u|~fI)noR7 zro$%2r*`G|rtcG_yS5bGNU^1JhsSHS!(+Czv!(qY0ZaddfA5RFa%jpatR^aL0)<)$ z5II9_Xlbojj6ABux*)Iz)oBaYl0u__cMjVf$;FY&{h*q1YVA-&OaXunOPhbl3Wc=; z@=IDd$;{CHl9GA#-~2YFoSvRYno)8mCXzN&PLT!BQDlb&@}=#y(--n9Ishmq)N)Qy zAHUL|KcX&y&HvF2n?)AR#N*64)g_T4wGR>M_c;+NG}b{;>Anf4^34^XQuZOwMUCSQ zyDSa2n?k2j!VqL@xD5#^HBUONh-u~LDdk{N(;aV+Asq*;najcBYmMhG2dkYL$k5E; z|M*)pr5ybI@Bivw`OGx5N^XW{>fK5t#5ZUU8hetRl0bYP)-uU1%!#%?`-)(8V7-w} z$+0t|JsUk(Zvu)54c~SAA%_3HVghpSmMuQJGI;^y29~578A`oAgo{HQ*rk^8a zUwaT4c8mqd=nld4Qu?IxRaemPf?q$N9NvL4Cp0JkXAZeuVqki6@X9GEkZYjvJEP<) zXm~)bmzZHwtvP7y!=#TKY0e$|KQ*P}^ABQYbtiB)$(AqW|LV6>anNDF`#y2)llW(4 zgiTG`LI9hLy3mm)qmGeM7-^MuKv7@{Mydr|FQ+oRHckc2=#&vvE1d5>oj>W~S&)jR zZHY9J7sKtSb4nLM+LRZz7WWoNWZDO#RS^ z1htS#svgBz*jFeiR=HH2QV5NPd}f=S&AHU5xS~$ju9$PFHt(5*)UBD2ICL(17G=6! zZfx9h2-aoK17|n0L*C$_^4rvgBOlDRTmjmN+YZf&t8F<^VcgaR^LQrgEfz*qy2tf>wZW{^2@pVCH zOkELEq*W8eieg7iFggyvW!egg<7%kz-UX7%R%lV1j9I^%|X?RF@$rj<2t{ z+JIF7dKJ|{X~)ch>iGIeVq~JgNzg&qm6R9DCAHfRYa%nHG)8f7b$tEk1&N5!OKSF9 z@^-YPYR^{_i}DHeIKxw>xx!-7L)&YAY`C(bytP?xi#BT=Tci(aJB{#CyCS`_Z-iS) zSJq#_+tQ@=>I^L3r%6BQ-+uHD{=YyF=x_k{dVPk;EewNMtk|!%&sB;r5kzy+zRD_#KEWsUY);)TAzWa%v1UX%Rd%HHMnh1N%4AJ^5i5M(WEYUdK3-4UY9&QiiU>HC2wUEjrya; z30M48{cGEZ9loM`Dz2=3h-!lT;c6=ELHD|w!89^SJ3K>puBYeB!1b(LK`5(vDqQI5 z8%~9@Cwo9ccG3FaI!ulza z6p&vLkP=EWgJHvq_IX1hKYk_748hTHp8KQG%)~Db+Z&RQ<77iht~w99^aT0Qjj)JL zDm}saJdt*fHFvn%a&+`Pf|NK*4u-vSnbdI^-`J+|eWc;?%_H=-sYtHx*k7J2B_JL- zj_%yy;h9~X?B3VqyxNoY+Ii2vvEGzJJlc0!?iz=XqFvN!${;dAO z#Tiw4=S??;ess;r*{Y!#P0@Dsc8K(S>er{tWC+%H=SeULhP|1nah$Po583~%Bq~tgO_wNB>PoR*asfZ6(s7Y3F zf?9MBJt8~=wOK2eW2uM^w+Cd@bL63eLwrRTN(&BAnQK?9A}L%ed<4XC+okZ7=c}%x zU4(JUAA|Hk9rc(+_k$bK1bzpY+B=dtk~&7bxi&POErL`;9qpEQvvV5-}Y!HUHC^_7I z$J59Ol0k#5=JXW~*7YQIZI$|N>dkYoe*R#6dMdUVMHE(a%x-v_DO`XooIGV#&ro{L)Pp$yT#Ps%mw zE>kNx5iP{09AWTtd=sDaXf;2fgTC$G9B9mhA=AL?lIf00j<$_?>E;rfH=3VlkMJC5 z6sF{R&p-3-cNHdOYy^q2u`FLb`^<~id$DH^G{)Vc)(Xsl#`U*oO84zA|CP`Aju*m` zYBz)D5WOo1AOrGba;go$0b(lbD?`k<;10+I=~e(suKDI6NK5L1=qpnQ!C}p;npBugW5Y8X3+0E^!s zJX4$N2OqS##e!8I)P6C-K;Yfj!xDLzU0B@BV!=yQu~ z+ouK7z0aTnBnd0ff@~*K)wbA58&p1^M5O^*5GYUV|JW_IINxo$V77f#pbG)-qzjhq zIKG(Lw8{6hj0oa{NnlW>#}4+i&X)JdwY=kQ(QJ9gXJMyU-oO0Q_kaJ7Mf;!LjMnlR z4wN(Mx)jWeVeKBGuNVV{r2`wqxz@5|%NsSd zjupYR*^e3Y5X8=Z(6wrxh}K&dU~bixB!2iTfSzV#W$OY-g5INbG#{qd9?ggNbq@iw zY&OX#f};DDFhLY!#|-gd#?dw3R0m^ha?;+hCI(alH{E%!!p!E9%a}`Rtp#yx zt=*U;ru9IdqYBnbQ$$#X|3X^;LM45RpGpv>`8U_hl&y(|4-P3HNPFL%$(wJ_b_oQ& z|3=y@n$EMjKj;#b9|=p#9G*D(pkgl(pKACAk1G0&2ai1bdvH}g`Q(@!hS}a__`#zG zS7>gOAS{dVvx|E7B1E^DvCvPX@FG5Vlxj*5*TpB_qi`cWc(iY-P&kP5Bl2TDUaZ5j zI~6I`l$95lT}W&%$^{7g+hKVz)e?R3U0%-5D4yMyDM8h;iAnChSV9l2%D4U4YvHm&`TSE6E2{zfw|4 z7e_6vKoXP2>hqlVVqVoRCN}MLyecmy{$`M@{Km<<0ilwj8F(=v>Mtfg<`>15dgL!A z4mjq;gqXjW{MfvhIEcTP{Fsl|c`@JW{+M$__`foU7{iSshl4f`JRT{f(&-~Xx5g&# zuweH_-a#I?@MqTCj-w5R<$xf7mmuxW?X*7{T{6wudUvs|Xx7$E4oJ3R z{|(L;&sAx>SsyMoSU;$LAW$7&wqt*p;~blt)x`$9%w@UF=3-Oz(QM?Mj?3BXE;c!b zv{_zkDqI`{>f_h`;0EWL8;>^Ii%r#d1c7>gZpR647Z;n{c(mDHY^&>sK()VYr~Prh z`TlQ?7u$+DX)B5`E?Bl>fBaziboB9ZfA&&;E#JKV^foyQ_b#!8hqLq%VH3Y~*~C)Y zoIm4Y+fDL!FHatsQFYw#o|CZsa7D1nwIV4vWeEG#^ zu3mch^1~Od9>|e=>8ocMPTO&=IBc_XjO%uNF7GOHI;8|v4q4Zro_~1tuDCvIrTWx~ z(v|GyS6lDJ6P2cbIN}ReFTDK1)x)>R^~j}_OS-mwV1XH<_zk*)M#~RT){kGC@j+2* zMbOW4NUki{_tSD|p0(W*ZXo^CImUIn@;b_{yfQ5emP5Y!?h0eCkNv9S<@-M>VlRPh zIWWZVCV*uFl11Nu6E9l?nJ7j>d+pHwEyH49e?WB^1$`YK? zNr1HM=qL77_})7EMQpqK?LBTw*#1h=0OP(Rm{#+F9N1xBUtLGU+AJ=c*=OSCKAHF% zB~C{QV!ic;yf7CSj}LkWG}Rh6Tau2pd0`1psQ<&F( zc^W&0lhUaSesB{)B5bR=+qGLg{eG*~$!^=x;pLwWBN{glQ9$LDqa7V^fbhztp*%+g ze5=B%WE7CSYKAeDR}F#6tCj7vzqH@S1B0MtQdA;wZY-ydm%Fi-`fHUC%ult-|{B6af)wUcj6I+uGK|-t=g3@TSGyZ@3%Bl%2Xb5I_Bx}s9my}=#QhB)` zW;+oj1;Le0N6dYZ^k|D95MPo3hoGf6V@0Yr7X;*s)Zgs1M88FIXnM3oa0}*Z74*4M zu_85#3mQ?k^lpmR9p^a884)+4c!%m{4m10y-06PEG4AKNWWp_K+*nJm!K4W@_2S+o zoYS0SK{0z-B}vpJ4gUk!pJldxsEStRuY;tj%^!LxD_|15(}8BoI;jMURB`{q6Ga^{ zncvo5lI>*gaK0514E|S@l+z4+x?cJZX@3OBc~eqIZpJS0V}a6edfn2V-bf2bo~17( z@cA#j6jImbB_b%f~fc3k&xkvw23RzB`d*znZ1AAIEwYeZ@3u70bZrzZ&{_}rfNayaJ zC!xE?9@H>0m4V9H_1TmzUYYKmakpqTrMH7I{vSX7_wPR|Fvbdw@Ziy)62NC{NMnxy zcSMFsU=~1)g#AbZe^)C(#%81hO=(#%plA+vjTNOFqPP=~1a81Z%Sy}+46)6jB{yb* z35qr_TW?dKXv7fLm={S)*@_19MO7SW^7hb@M|45w`fkzZ@$^?v!k{l9+U?UVFrt1xPP3T`$k-UIY$jVF8c%_`jj5Gc?* zq#|u}iK`#&mb5L@sTZpA!F^ynb0Jvi)6;WB6VlQ1pM>_db47vj%=#2$wd~b|mRxjk zmW@7LdebYEaHaRpq)%1l^_0t1(dE#nR;Wc0d$luETznd%8ubi}x!N{T5uRASIP%rr zCZX2HND8$l<2WnSGtMjZsZQ!|$W$jJR14IM^8$A_$Vl4jH#Ll^3VC&2(L*o#_3jl8 zEZ>FztbM%a|NQL#B(Y#AQ-Gs+oSkHM1pmMIkSk#9@t$U86slVn47#iZUj9aq?yCzL z4?jS9=oF`SmRF?v>Vg>mhW0cTNzl$gA2JKl6uRIROG1zU3$)NTg3JUJ!Oa@VQw0rQ zVPBz;L-*AMk1GsU5*Wy8FWM;|n(nI$0zX7`3%tmhzf*mVqHbLhLtc3`L=vg%oR<{$ z)g`q%n362|v};n`y5s>)vzC!SoK=&|3@TA7u+TnrC&NwBOR`q06;;*NC=thqs6vEG z8v1sp+A%a>d}>#YZ{m|4t)D~2vrGOjvP@0+Me8Zq8>f;myX#S8(dAs?C45DgWAIZ;k}U{QJ)wk&g8@>N%fa^puP9SD5g zW=TSTJP1)x#Xa7R^2#}qEHlJ){~(b<&!Q7K%aexjDaf7(#q0p zWGQVdx0*R{-Q4~QT|Hy_Ud6+n=i>U^%?vOVGhj|zdp8%?&r@9gx?lh2zxS{H_Tn=r z(!qTO2UeAe08%>E&uw2daIynTJgg^2>0vG_Y)v4rG=t?U?lm>e33-doC_UOF7d(k% zqtD=i=$f~Ac9`v>$@VzM3Ql$kcSfJVS41ZMdfXX(1{XYmJ43dKpdpt$BE2u!rtJ>t zrsnq%pTQ*oB4%eRuxz_k2OTFFESIFqAbEZiLb`JZ=pehKxqig(ZLD5nUyUp6s}+6# zu)cjl4Ca3;pU}Bl%({QsQyxI8i08BT(M>!)b|?M#G9HyB-vEGqpSOl~RgKIdI>VoC z4iN}ngbSvuR>#=mCet6b-}2(PT7lVGUIHb}&M$#;)ZOzNf;H3A zeSsK?T^@X2meLPWt(hM03v?#&sl}R$jm6?=&GdYu(RoASY_7TL%)}5VoS)|oxJ$_y zpgt$mGWqBd^A*W5J_Bl9Ylm_4JYMhuK_q-k=1LZxPEC?@ihWH`{-BYGuL83r%}XN@ zpG$(5NO>m^xfoAFS~K6H?FJq0`g-kzKDWA}q<}6krBR#ak>mjDZB}W6PH}k>(Rkvn zF+08GftEfO`_y@9@MX_SgTMMq!;kjT@Z&Wv4ZiBWH2kAaNbJ5eXqh?ZOLL6UC;Fn8L+8ciT6nPPo%lzMj}rj`Pj;pH1aeQ$D)H>*I4f zjxTK}u_@g!nz~$V(4->2mS>01;F5GDI$DI4sHE1hnHyZN6x!7zmBhu+ub%#J@?cfw zymagqaI9y~*`GVEeYjt)EZ7It}0JPp&N3cUQRd+yc|Iz*(@q&^?-NN@U}u5dⅇm45SP;z#J_w zNwg89Li5@M90euC!I)!l$@7ZT^e$LjFzjL7-}V*4rCbKu?G{Sivj-JbTY;kP@)glp zjG_*V4{%Y&lo%^gPZhx}df7z~&XRTmhaeSP2r|XT6}N0vuYaDp40K@qp2=7wW!vgk zRR%%@%36`v?#{-+C1&xXA9p)->GlY2+S8Ogr%N&mt5=S})t#f~+XI}Jaw+duShc;P z>i#UP<_{>eO<48w9Ocrb_@koJiXxOoELO?a4foa_xd+EF)e&Q)H6M3un);MCAEK)J z*F`B;Z&uL<)}r(f&56!4COV&9n%6-#yfs>9v5g+*a3m^Mp})vZ1) zB#m6YP1>=B{~gc&=YQ}cLwRfV&l66T3q;c6z}`UOch(KtxlXcj!BQzYpkC5eil`l2 z5PA`u2hd`N4eB7G9qcRUWGV+2Jgg4{Sb}R7EtCrL3Bg>XI)JlX>M9r%X$hwgr1JI^ zK>>nMF0$eh8j3+q2=aCpK^Otiv|v)Rv6mr8CAR(_<7pZVLTF!!&Z-1Y< zNVP`Ii3S02c~eRouM`>ZCQTL!F(To$rHt-QA2v!>HhDhzzdo7x8zqqda;4V;YnnOc zr&adF#z!b7`Lhy=0oFtZ&7b{CYypK^VlN1n5n5eSdYE3TD$xbUD;xEz8V{_z z-Q|P7=J7grzM@F;wQTAU`T#$*k7Zj1hx7ybzkMsm-zaq|xqNxN$V7tB*~n-yIF#)14`MHg8LFj9b1>b9~L`zUK$8qBT}| zraTfh)+s1X(C5>c+AlCpTU;Oe%BpuRxI@P$-bx5=0gG+~sX0QBrSdq^| z5%gXe@SvG{7J}3qMQ{%mGFcHo9S!qBkeb5Niz7UM{eI zOVPlJ2ZbM2`)Ng;xW3`PpauKBouWwU7Kpgjj}b}?n=j#T?E!ktEYsXEH)N1nq< zeN+%ZcH&ObH}kL_9qyLXnf?+@Rd_RO=I&rKrfLRmQEN12*vxrgGr#m_e)6xsE3z1B z!99y%_8cumVlU{4D?0T$mpx!JJ-&VAvY+zpv&+6?SCzQzTW=+^v$7PlpW|+^(Yy$1 z{GF0{cG+=eHo|tj>Vy@Rv_i5Q1{my-TG-z( z-3!fww=kAGn9^x^Ub+) z;A2k%Y6X-S`wQkfHq~Kv7ZOFeqydBv9R77F%0U>|-02RcJ>~;x4A$?m9v?FllBti! z;2PL|`Oo~WH$^k8I&W?!JT%;HFzJ==E%D^7-H%Cwvtpu zxfO8?)RsWR@f=YB!Tec->ab9igQ;ac_5+ZGs}9_&2!D;Nws@idnYC_pj_`4<7ywL{ zReDtF6~#>W51DcS?C5PIN$uj2DHnjg?mB-= zKrjSfm;HY+6Mmk-tSw_V@)ZrLbXAE_0-&l>RohMftL%8v7_OE7({=kZ0a-52p+ElD z^SH4ZZ`HS#2IfxMHz09+C1v&Sj7NmFK zf~cIspOM-*ID#5MdKWGTc$0P|VtfSJ{^}LQyKu<^W@u^FZaUyw?V3?xh7qjetN44} zwbv7}WSe@*_Udu_ut&|JvYA)q=RO%dT0gGa&8`0nvpZ8-KM9o9_6Se4q$4nq5+99Q zzO@2Oqy78OeDSy3j|!+RbaM>`^?^5(7^Sl{;Vc;TKzR-{;ha=;S%aZS$;1yd*nWu& zU9E4j2HUMMC{hG>NCq^5S%d8nhbc@5IVS}a*$qKxR%tNQRE>)b)Nu;UN|KC^Y!j%? z6AD?19dtRUrwusN-&+G7>Rj2in%1U<@N^YCVQfvJazi1KXm^a{(d-w3MTh-lR?Y* zQ~@XVhbwl4hO_CoS8UQd_#<4zwnE=oQsveU4P8 zMQ|aoRHT~_8*6GP4lU}`CE+Cl@ecPEuBN4iTyiiuQ`{@nIF^yInWd@}t^sB0m*Z3H zDnF9jtkI3KIYy1eew03mM4w$kFjQ286T)bc3j(ynNog@1nKt=~<}Q~+{fA5p9BzV; z(M|SdHvXX)f$(d~M;a`cV=~@Pc9+W=-|-dy>ieSZs`&@kaGo_aFt$MEYzl*Y1&=5v z)bZj{doc695iE92#O92xH6`Px1Q@SwHPOaDKlFaHRKjwkc0sL}6Sms5?Sepb>?H?` zL5HhTT`m}nOg2Y>b_l_6oa1yYBk!rIoE8g~U-NjKM{59G6p^NVXjvA|IBCJd%l6-U zbC=7jw##Ks+RjPahtEH2Xd5FdVa7n%Wc*FPSM{HFsZO}VbI%@?;)WmLua$kDe|VK= zMLxXB6O;L!bFDxyef8qiJKp-vm@)a{Lu^0uws(evoTAOa@+Eqtcrr|ReElE!w!iTx zQXc9tx&e8`&E#aE`5$wRd71#+KqJ4vx_5qa zNqP91!0wPrj?u}^+Q)p&QMOxs_Xf&ML#QTgdRwDi5D#Ap#j479`HEn zl}7j=8Ick`)SDyH!B2MZ@IycM_rLoKUN_JppU^nUKNS9U9Xvq$A?jy~{1(icjjNx7 z2Pms01PO^zjha`i;NCHCy&I13K9%4B{7h0fjbewy~+ zl5O@iw8jdUcC-$F%@Kw}AAv&s%0%h0|~(BP>Hk))4A@ zB!E0W`eDDYHmlXb5Xy0nGSeyo-#vaDc|evzeG}(7Xv);;+yIHBJLUww{l%F_vw>5a zbS;UggOkFt6#~;P=P$@hbvZY2X)piok-?N`!SuBD9WJi0bMk4>*Z~__fkzb#dP?`V z^rtWulhB?*mzvO~!Y-N*$d^ASr??%)24txJ4d`9 z91-u2zV{>k@Fx$sT^67AdsEr4N1Jnp^``|vC%3E3ux7Jfx^K1{dP}vryrJ7AkY##& zApUMv%r+gJC#0~1AbiADFuPqGbf(}eP_cC!irnUGj*ob9k~#=O4LCpsL4%)#lrD6p zx&s+%x9foT)!eQwU^(BNpsCxfJ6B${IfEe2nD6#dov0nj>i&40jZ{?3A5>SAZ_pMH zH}Q+%oOWHg%I%WbdUTOMuF`Qi^DWw97@c1G4n6Db(ephzz!{fJJv!Dqi1y0u!f%7p zqB>JTrW02*=u8!N!^z-Ju)3&QG6aY)$H_+_!`&**3J>Q0shGP_wq^Wv$x4HHUBymjr6DyUu_HLYakr?&`OR!G=V6Qa z!cY0mfB3d29B9v*7Y@8zv;)^64}&Q-QkR zZm}Sr8#3}JHMED80M;(1aNc5qERaa`p(T6eOWJHHF1fUFfPF<&CEX~O1fe?%^oUx) z;Hy4dl9ce(j*byEWCaGG3O+^W=wgyg67AhOj_H75HR^Qunu894T2;fE>xNM^gzKQ# zBKfj+L`=@BMC#)a3J1C%;6&~gG%1cGeJ5Z5SaCwq5I4xb__^iZ#auWzZ{gsBZA$o` z_|5No(~pL>)v}#y+fv{2F}P4CHmcj}do{y&jKS@x=Th7HiZKRvv+7h|scl{GB)K`Y ztqbPDud!#wIp#90Sp;Y&;SspLOk2j_ZWruhr4o%5scrqxF$Nc9Y-+I#Ag#-^TBl&4 z^k8EbV#%sZ8)I+*-J+_m)}*#AM=xV=L5b6mq_%a*G6okq#I$WXdcHj|1{bAYhT+xG ztNT;N-~z{AU;AhcuKKwt|EdD+g5EnZTcemwarbC&Jq!Xn`|wgK+3H?*qRCxzxBo(u zw{*b5$&jKW?M_VwKk%0t%9bQ~y*VOMc(O~tAN@_A{1ZPP2zhm?T&=rDw*!R@ z98{3!AfHrwUyT#3Seaobx}+HQ2Q)5<%5;BD@uPm|k`V0kwzCsmdE2emnVo1%_U3>_ zvh1M7+Z;MO(a7;JB2YRWO)DUsXml8W;+Re} z0JQbx464g;YeQA4DjU~dsj}JSwZHgK&_1rRM!R;jFHh>GGO-_5Noe{WCwHbgKI6=Y zOHPHjN%G2`|EV>=5$aSDFXNVP6E8#?{@kzqt$+S|f`CS~yz4vne=HqM)*^I6H(pJ4 zl;9s(>kya@`Ict)C;LfTjL(vo7=4k#^O^;-n^and;HFAQoCUKRy90qLln$Gb_Q1Z> zhpydN?=f0*5s}H#q1VxRj1IsCwpU%bv8Bfd)0EpoS8i-H87);a=BugPSflcRQ-RrK z?Jp^{7r!|`FyicpAw*WCZCt9*hM2@_96bdUTI`=0El6-#9c2hTVlg zHww>!@d(6J6iH)K0y$Yrw?lBd?hK8gLGn>2#RJ)|m98|8Pmv;nuL$-zNuh&1L54$R zL5Yt{a0^=uK?1tgGMhaMN_=F3)+|VV986>t$09C9;v*9z8NL?nVZ2*GiI056#gZJP zK$^j4Vbqfkt?`jBNf@h0ZV85HBqcsFNo1RB$m{>l-n#(pmS$yP12NVxaw#I12wFKt z6NAl%-~9r@#OZ-hVGMd^G!TkDbWe8=$D#i|_B72<6cS=86-Fvhs2~%dCRIpGg%ltb zOfW!*Rt*wWkB_uk+6FMay3#h(A$=Ue;T``edw zeb%#pPgZ{+&QkmZzmzCOpudAh-);r`8AqRN+tr#zG$l4st!LM~tMFNrHDP1plP-TN znI^9p^kkYaXbJ&vP8_W&@=YaijZ70VPnZ;wc0fE<75>}Qlx&9y1T3V=^uQ$EF5x`R zcc0Fmz=v}(UE)^8&(X`{zyb1A0C0Ie7Qmk65gR~@K0_+K$X_Oa_w=5zpYEEm0Ml;K zY^HC9`R&8M?mu|lyT+?if-*x+4b`c-1kqHdhPn-N+&I{6n8W&@4mmI;t)ulE)`NVU ztqM3t%;;?kD#`yRM>t#xWG9iDg>|iqPE}a%!xb=k*V-f^3eI8uVEK)E)Yvg&yJi-|!gXlYi%bgSqV{#cU@PgFFGKC?f_uQK zq(K?v-clpaho<%|f@QMi7846ZsoGZ!t2ao!f&GH^-LFvsZv?4*eZ}LBlmthFVUOF~u0Qn7zj){GjG@UOAz>ZzkKiKmETgt_lr+uU>cN^4D{C*mcya&jV15#+ zCrMSw)ht)4pXcdu=7{>lPyVHU{sUuG7z6fBslu>rlI5DQD$MExY6l9UcT*EaQDhJY z#OoHzCCN1)I9i2)FHGN^RhV|gstU7(BhD3Bg=q!rD$E80E*W5qRAHc{(6=E~7#&0u zbr7H-M9tZ+*#jt|lE}%l$JSO4ufl-m6?Pr9m7XhERbdVXGMP|vyOfE3ar9Apt&Y0| z0;$V0S=gJe!niHgleq~4-n#uoPZEVSS7ENZ3ZqtAD4)`+3|xiOXo^j*Hz(v$1XnSw z8qi7A%(#l%!&OYXMQyrk##LMouHtw7&Y$|U-;9Ed-V7gfVYdd3HEI%Sf0cSHjE1HR zU2wnLkOm9(7aUw#HLB#|5KL;oks4_0MxswyA48B4YdN%1xYI{C z8CEm|vqi`EmV`WQ8XST$v-^smvjUkGOeLxt9rK%U6@}6ZDaf^s>WM?w79DiXVttRx zwM{Ebk{MTFR9Ups7yu;2l_V+6n`ZkhxkyQj=4d4|>Sc$~yts`!UZSJoMbn!3_81D3 z{sgjz?V9=i9CcPMCE>2LlKBSVAtFr}BuU`eO6EJXU*V|`NdRGMoXSJ{D4J31DHrJ% zeKR@K!vJrWisZ79{mb*E*|hRX$^hALjD{(_B8L#4d`15o-}HT=R5$mKn@;C(u`JD| z-Yhf_)tO6fr-VbDe-N6KV5`I?&{OAU80>wE*n1i$*93P*N=MU{Z*3_{N8kPWPyhC( zqW?(KdydEVWM5EFqU52SIQCV;Utj9+7}tY)nn zJtIz=_uLhM|82fb3tO5~7vATYL7wJR{e`b&FJICB_N^TMMyb^LHs5P-E^C>eudv|i|;MI)cO9|5YNqOq+fPH5joE`@?yFj&;UA1WFb zEdA@pBN$p6K|VPySo+t|j44Ik=vC3l(=HhO>-&wNlI<&KO+H&L2naD6;gLeZ$)b_5 zK1V(@E?C$?di%neLUPI75wvV{rO=b!G}IVdh)Y7Zu{1F&&YOgN2 zErQ=uHU5B31n6q{L7-`EevrJze)QvJgQ+Bx1ecjQoN3MK{*?apPOH~sZLI3=l8Ux| zX^E@{<9b=uY+oy;uH@*UP|(B9pzh_GI_-sAmuqPM8(O*VlWA(#%$C>6PT}0Yejc5g zGzKMIEtVm4wUDiy?-bgTKB7$LB-M1t>b0Yar`@8~-pxs>>q%05!l(b2UpgL~U~Uy6 z1cVZ3ab>k6hY}f9F$h2Hh z;4ZaXY4~#(n+G=;oak})(e7GIo_)x;FE@4mx6jbUzcnV$O`rdrgOfQpapLn{isrR2h~tPnG<4hqM(Cl59TMz%PG@*zM+VTcoG;-) z@5qh}pdB9Bk?+p%$c}t_N`Ak0WJhHPz&nO;ivuotMs{RNFg&s&n}R`bpX4I^dJd25 zU;tSphv0n`BlPgdj(m@XM|R|Ubd`}ERPbsgB7D>B$nEQPL~y$O>ZbVh9Qva=`R?jd zJ6hZVO6bBG6R9a3o0L*p9rSKX7Y(@YIZ5*?(?zdI51mdJbkS(RiV;&IQ#Uv{BX^B* z3P^=lkhvQv7bn{h(BXpR)SzA-kMA(GBWZ;@cd-R5bO9(do7fkXw)2C*X_>V-7< zkkKmzN(srL>fnr=!_5g~6v>pe0n_Q>SmhrxF^PKbLB+Im55pc(^mwn1AMZ^_qk4Sj ze;QHSI<$_6ku2P6f_<-Qrp&+801* zGEc!l1sEAqU3*B$Nar6yY3bE!M|JO>U$9S=a0FI6{KT5M5 z$@CmA4qFsJ#Ft&J z*vxqgY>ubz{dx1h@wCM!b>6zq9S-2n-9_JX$A5gt=kA$HA3SMxsCu%bM3|f;#VSO> zvt^A%&~O%)hC(lsS0=O3@&WnWB(F;RrsP$%v*6%3oiz&%=UaJ&V9hD*L9TsAZdd4F!F{V}^P zbGdBbT2{Y*BXx*$!rV^#ix-`ak0CBqg?dBVkdM#pv_C6~vDrXjbda7q$#?PX)?0CQ zaFSPxE%<5$t456PHYvC}cd=E3(YM1*7v`pb;mgX~sxn?xs-M08{PT5hhG%)O1lU-L z)-HlRdgKzh$*a@Lo3eVAW2WhEf8G0k>FcADLRG2bZA`Hui-2AMDTDXaqLcmyA29l# zMgRBIqLcauNrf~D;AuvFqBi`>_v?p7-IyaGkjX%Iv7%$_D-?ON7jrxTK0`swv0k++ zCf(1W)Fi&5;107rv(NF`D1(n$D4Gy48s&pJEYTFfFVvU}W+TG#$R}Op+zPWBH2>Qy zT29oz=ife=_%}*)L56rM%Vdk0^!FM`e=*r2z2nH{;OY`ROA0$SIl_uQ42PAy#-X*Q zK@jJbh}&z4n0AZi1jF6Wjd1m#TQYE%fd6B=7AwSr-u%@3*&Y9OR$GbS7&^kORZIsKx1e|E?@ z;nBd+F=JKRHj`w&K{g(Yk~?d&aLG|;L!E+dGp4#1Q4A?|UOwnvnHS6cWw6UZWgZy^ z1U@Ojwtb_u8Z0k@kzp(@=1W$gC220Jf`bg8OrcRbkg~0?AQrDeL#Zg_{rSqLSgM`x zDFuuai=t#xg=Vsy_7_^t2<;fEpA%Y3{Vg#o>>nZ}(GXH8K+O zxA|Q6qYB9SLp|EViOaX9lWPCJ`@R3!xBhRx<8`k?kQ!R&Qnk;C1g&OC-da-9yMxT! z&B`jP{lP&4m0DRtSy2Ho%iF4ztW^+*0hY@^ivWa1`(?Fnc{|2<(EE+@%W5BeFD$?F z!QO%8xDMUYGl9l3m?Tk>ctKl3QmOqCUqY#O$7RJ0AdSa~%*r_Ua^C>5Co0L3Gh_gx zy6oOX8o`3}aGE=atwGLUTYxhM(|Qkf+Gi2bQhf3~s=f@Q4pV0aGt?JV2L0k@x-*&- zbEK`eAo6MMY_^n(-FgX&&&Ln&@V5SuE#(4Fr#=LfYzrVl#A`+lsqi%kAh@P?Si1eg zd8TLDkB)}`bkm(R(?h+$14`S^G{dnok3-a*9~}#=)$>5pYUj77$9jP-NVc_N%>yv{ z%~g_gSXpz8u2Hr9aQ9lt^jI&Dr$=>29_u4UlH_-4+GCC6bAs;4GlqO~daSXP9&2ok zKh{_?Ki1qFd90ZNPcH&D(__s9cz&$8eU+x8fvk9&1R$0zMCS@_r=Y3;MH5|Ug<%QZ=E0D=}k<~sYD~;?fve4=L56lIp18Vv=vf8p8C#=chwEt#Pl}&uR_1q1!;=9Gxp(BzNPr43y z?|ld}4USD0=B7NyW#z5h7T>Jgq>}6|j767ZyNlpRR=miS1-leTns67&Bz~mNC)7!0 zUq8lWyB3-%?)p3l!e!ieIb_36b^qcO9N_7f1YGdK{flX1$|0C0m{)u8L?zi3t0pd} z%m!5?{U>G8s3p!F9*_Kh$#*4J3GQ^RSlqpSxpKmNmzKL2H5D%`H;@$R9OJTG`8(`( z@~&Xl*ZN(d&;OHu^+$g1*N>)pHdE=!PvEsxEJ=65SN8b_$;GqJU+Ow=sXCyOq%)q_ z>%d3kgwVd%`W?wVn(4~nLf!^ldCInAgaYsh>Zt`fHA&{YOo=TnGVw$b&W@V9X}Y#| z9NN|y4i^ua|BD!=?-M15>p^X6wxnm0iI;x5WMVa66E>N1Ix3$)ob7stY?C|b9Hb~J zpWvp`Ik!NUo6<4q#YQ?t{8=v#RVJrDU-D?DV?Oi=AOEp$8-@A#ob%B>@eRfmYWw#{ zpLoFozPf$lEi|i2$CN3Fz%I7RKEhYRoq(V7M{T9C?vHhi5~v;G;?kNi7L>0XnegncFr?f&X{u!o7|DirW0sQB@sdv zzJVJ|i?0z~s{)NigQR^g(c=TkQx;=*Z6Dh9xBhvODvS`@KD2uwyq0e+&`uXRI-dqV z$2WbS#`XBzCw~2X;z^IEmm7LqdN5p%H=8%C*5@MsT;#w1!gCU}mvGU8#Y;*y-H4Gp zSiGnoVQLCUNFwhNsWt)orHoe}8w&JsJP$p4+6{v-eTE+Ri|>F{cgx5@1` zOC|qhOF1prWi90ZawHM75Up`ClNfE3O1ESWj`mhb;P zpZ5MYlxn#W54OCqB>#gZAzQn_1!E)u9vUXl7U1n~^Xp|@-F zZRJCcDkf?V)eiSSu%5THu$L6pl;##&%8Pnwy$8E!nDTs4UYs0NQ&ia$nTR!A0Jqx`a&YqL^`@ihHV3}t`?_l5mJ)TzWADjXbg-lHUKh7(!RLl z{>0&w@&p|^^Oq%jbxC8(MHzbqe&6bbG?%Rmvi85mq7bH z+(U$NYkG*V)jmWh*N%5csrf6F;qnl%I_$8qdwra@_{ST3hz4rGq+rZ#;J`5r4?pH~ z;arOa_5iT3l&FLNo{XqP%68a-PVpJ^u;-YTD|c8S(VA%SDWXvk!67i1tKj@cJJ8WOUv!Dy>f(KyIg}fCJthpc|NFTrj_s2bx3TWLy3R~0SAxIa% z1%WwJ#2p~=)PrzgLy-Er2=3Qt<)){yIsnaH3sOXq8=u;h}dl-n?Od=i9#s(Sr=yq0 z&Es8cq8fZYC67JAp`3f8WE#~RCEpg`3y)jiR;K3tQMyx|X}@JCcq>cVmVE~SK2N_p z;isN{cS2oF$<$suKkKwxL^Jqm+@fTD^&>Q6xy&QCh&w#$$@D+I{`3FzAC3)=uqau^ z-bzH9GrP2;o&t_!I=D~k#I6nzazPAcz;JNbJ+SS`M47x|PNpOCtvzO#QM0Ed&4N`j zeTm$TV1D49HU0#`vW6KI6)7EI*E*SbE9)b@ab5zH>ACdEhCrw#oQP z;wy}=bjqD?eC=7jL|^9UsnYCKEG#Ov1W3LQHLJgVBg<1XFVFDd5B%l#f7Y|(6~sbH z?OmhxgDiX~ud1pj8H6*a^n!v=4wenP5XT`;) zMMtBBtW51+Ja=yx(4u&mkPS5&pDKkK(uKUr3y!jf9^+w&t6ZVlUu4up!7iat5lmFh zy<}-qaL@@(nwez`f2s}}ZC6d<51*~jK%K0v@JOWt-gH(M=bNJ`qEoA^rj!g*G$z|| z{!(E~n|x_Y?hggW^tL07h^xC#>>$Ws?;j%8u_t~+A9iA$n^(7g<}ZBIbMJ|4jn-Z~ zTVs`&Cyq;`jHP0XY*k8hw zJLi>2KrEqkuwu`QYDqJjAau>;Gpea>%b`)!5(N&@M{CWDbL1=Gf<`exlEPDG^rfg< zmqhC%0UJmuAxo_|%UCiO)KIZq@{&Vx2ZE>Sl22BRs&T^4)a_M4V(7M}c#8IPk2ADf zXfH2#%8supINsHvt3m%e`6>xzhFeMh+gQuA)~lUvSuQeyK}#RWS68Pa`T9}|iBiElH8f%an;M#oVS+%x7z*0O zjbXDn+eVuH$D$}Q+s2D$@4adr2A}v1zy3|H8?}vq0ScF2UmRN5MvX)J!^_GxB1ZL+K6~ol`QJX7_%})oVPfyXXPhl# z_5>uQkvxGq>nC}Plrv-&7$(MwRBSF7{p_IM(|FPaM{TB>x@ut0t;Ljj>{n^nD_$&I!GM!VNk5wsY4}K{ zq%BcF0ahevQj$p(o3=#LHXcX?C?8P#rF1}UCu7xCyad;u_aC->#NVL}C4Mj}URXbH z^dtB?39{4a$mI#!DP*}Rs^r)H5Z+bp6^|;X+D_YjB!5Rcl1ZGN@*X)cMG+#6gUAd}ae$5vk-c`98J zEU=755D2m9tCF0o2Q_AhdQ-}R<@r%ld!x=K_3*&J|3)wnpZq)j8{fny{T(%$crG}h zP4o2B7Jvh2Bxvu@hBr}gIv>zv5AujgdT5O|ZTUWp_wGOZIbZYP0cg^Q+%=xX=RRqO zicBXQM_OC^AzUzefAOBSsrQ_)FbZO*B1f0a+J6s0fPt=Yjpf8(3@)aUFtnx@qOckUh*xdHsNsFcQV?x6BAYHq!EW*epAczp5sK zNkA&NKge9>>}Mq9_{4sB;Oh^EWOp~&^bIpAK>jPH=QfUd;K;u5-n#>-92sH4- zh@qB9qIPkxq-Y)_xis*MLuVHU@N~qR4C)Xiwg`yq;vn$k#B4$()82VmfFkc^hg7rP4?TJU2ttGHslT$$lhbI(q?bO5sggzE9!(t^ekWzWxWJ z2(9RD&%AqgL(trzGn?K?bT?>B=Yr9h2QQ}O!{dYIf{EsCB{R(o{_u#`aTQ3_HGK*YZrEUmH;Ns_qC8de;xB`cL zb9ppyamf`5{j%hVU8nVh)WF5ha=%Aj2p0$uj9}y90*zW{COgD3mk(;9E&WmrZ>uS7 zG_>jM3-t_&|5efcl&l`kaNpd){-M8z)K~Psk(ufHM5*pw+hwKT$sASYY=KLXei% z1u?<&pn|In>?O@)2-5PpAZG8-=;P3wO$@;daudtzl9-7~WH^qE{^NE@vAiyML?s0& zLqs+J&S`Qn4lWV2OYTALphvf;JdQryMyqKw)Etdlim9iPH>6$qhIjRu(aJ>IMxL+g z#0~P*)n=;>BpK%r`uam?e^^_l_-i7Lx8={Z>r)G>)0S^-5^;53^HE>*C*Ks2j4ssb zQ&3}S35IjMv>4&nOEZ$Tl@u=`87*&wV5LuivXFww5woUS6;$cdGD&g25#K+wMS}${ z!>a*=X%$efK0O^*1`>>O?A51GlU61Q!CIgCWUbY%gIBKfX~7|*#m5kMzT~LxQ*|>L zHLNPA4pbVIrYrX8KHb^F6x+Jx!G$?EL6t$cUlBYnHh11K6K{@C<%q$J9H>^jOBorP z4@JCbkLtNfYz|ItFF2WYi&{f4rv{(>{Qu zqy-)2=sl)jx^6gs8pvkpF-4NDMIU55L-d#eLD`}YBrWKNE3kf->VJE<1^af858hP#o*b8N2JHJr%E>Wn4ZHdCq7m(caLn3=~RFI;SmO( z@aB*F`@itp3s6!QY9Up}<%Z<=P(jNzT1RS(cUD1osSU2Q+X{JOytN9Hv=bFxGN6#q z1_I7E?Lo1xEI;CcHt+{wjQ*P2&Qr5%gOMB{J%b#_%}+Wf`Dfm z{4e;>W)*bZD(E4~0ct&GkNcGuzvN|2C<_gK=>dQBsqRg&johNyrw#h59=vSQPII@=Uck_LUXlU2uP5S)FzfLkjC=M(Ya@@?16$_;i^AJZZ5x zu_Dt~z9I_sG@!J!u%(S4&7BLz7#h^s)!fC3G9(C&ruh3!gxSo%ETo z;nyI)bEu)$pm(lXTajU_nm!-^-rvA|%XUO8?SwxLAgn-+GSM#Y&o@2oFQCLYe+>LX zQ7`{sLEfa}b35(N>78k_4xn(gFplqh@5LVT5!2WKGfWMVrY+xEUKTz6{NMkBANMt* zd733z=&8DUxQqmr`#%bb;!?cbM zLG@y6oYKX^vgo_2Y5&e)68cvvMKdx3;QBH(x66|`RVO`MG(es7NuTnCZ~W5Nm&A=G z^1FptBV}SCR$pVUA2x;9|vCS{^-L(oPaKez~~E0UP|C<^hk^cm4flAf$fVmtr_yFkZ+*u@;~BuR_m zk|32~yC8lLAi^4FB*|PSw_*XoKV9M~@nn5Xn`KQr5t4SzMUqh-E(zPBNj=yW3y1vZ zqbgoi>`)O}J+-E1S$E4mr~QlT>R#}y%JdCY(Svw>Iw|;@oN(PLf8T}?XnIuC|4i;z zs}3Q=*`>Dc)(+r{T?F zBC|L{LJFDQaWEUCZ}(G}r|4k%t9Pz1I@hk}+V%Yxp2P5L#u1P6?0|Rw=Fh(Lp2(yr z%Gv!7!#smVXG?S|5?~-99%^aftQ-}D$!-NDCi0Gr)9MJ@&J{Pp#1i4o0z= zB=Zg0Ax@SKq0?#&nt|r%`3@m!J;L|GFIut=*+}MF1adybKJ&_Veo=LgFo#aAJkC<6 z?c%pj_I}j;sKE&8tQcQi$*AeSX2*wL>HgX02^Xf9y-vPv{zsQSH`%6p9Xv&MXj60t zPtoC<=76%v(;uYArYizZw@@IUy>-O3f$xy#(2*9dBKefTYB~4C$1a=)=DMl|Tlhxj z_#*00q!UIiuL@})Q2R36j^l4|zLhU_WVS3he-Nnlm+jbJa*=71Aw?Q1w~<_&zGQdq zVhdN(Sv}iLxRrQS&rj6Zi+ZkeG&v~NvmaT$FH!f6n=iim*%1_LbnWFGi?yGa0aXtT zsCsbkB55&fbwE}3Cc~=kh33M?Mz3ILL~E*i@G#@o$tE(otIe z@>C6&eU=J_*=&sC%f4b!OWs|p!Xs4z^gGNEhU|49DQdJ$^0?Wmc?ZPLvMOm!dBaT- z%tA+)O(;Ng!?sWy#qFBpZoP){LCH0ihcV1p95vc5d02r5R@hs~lh{b6MDxPTI}*)n z_-U2os4?VKRzp@jL>JwM=wk9i1WB(s@niE4VQGJe`0-XAqGznS1T8ETL_81r7g2x9 z-6L$#N%6PL6s>7QR%Tr>n~5pNmX5kVnFcYX$x?~sNe3LEl3} z>`?8$U*M%m`)?MT>i2KN%}x7rI~^bAn_Z*LZn3F6l}(d1k?q)Dih!}Hk5|c>sGCwY z@$Cjllnn-kKDC#u$p+ljzPZ7-=%hg%f5~sVo5=^cyAcP-SFWu7v-h8Wz8^ zL=%b_@jxm#9>BuZ24^{rLb@4ujEBZ(>oz*7!YK5OYO;H~MU+$rM^zNH+ya8ZC<=GK z6|91&^-k^EY2(w;BZSK_RDr+XR|9)lAG(TjgI{9B3AT-7a&7UN7{LUYC^`|Gyx10L z8ViQR&Q>>w(^Qjbl18Ej9kIa-^!DgE4Po85j5LqJp&n5ooPg^76pD#8YAo%V`37-_ zx4_kHjSR*yyFZDA8Yrk9E)cj!{fdEK#)34opf6hXBhm7EI(mjK$16&B|ON)6py zFsKBe4eLW+LC2}$ICJuZD;fVAdL%wo`pY(MpFIE$QFcOMFhNccyjJPP;RJda zH1%Mpn)C2b)h4 zf(#LSMWpJHa%2($blJSQ(I=(?1blh8gq1gTFZ4SiMR8Lm-sTl^Lh+<+ZA>Wc1OT1|tE4X`Tf&)Kc`l5f5ehrhps}7lRmGmtQk{ALdFzjm0e0xxvMW$KS#6@VX(IrXW6@FYR znQst0A7a(v`mI*xET!LG-JvZ@t~fdY_4S$$<*ulQpap#W{BRzNJ1YKH*XqYw%Xbg@ z{FU%&;*&~WIU_sZxis^7wcwg^8}L-B0-=JF!t%q_Ig6bC$`#eCVwYrGOPoLZ5YOow z6kK|EE_!*~b9wWVT2fSvqQGl(;|j}06a(=d|5xxwJ8iWkcG6>uHa9(&WPG8BB}Xkjq;%E7{5@Rh^4!PqN@bHkyR z_{knh4u)P8h6hzx?JMboUXwza>89C(6aG~b+nasOVjqI5ze z&vu!W(JINzu8*n=4S_mT<938967r`_c~3oZxl79nnD$Qkn^)7jL?78;=c8ZT5M!;&VQku_dYjfh4X!HkNFywtv%O34}zX)Eug zQ(~xmj#=X!5j#k+4P=!AaMi~zT1^D*px7ofjuJS7Z642Jm_ z?e(b=Sg0ACP({O&i&nPw0#)64sA4g5cgdRh?pW3kYogTG!A$1cgO&hoGr*W~o5GoX zQNBMYLwe~FBsJAVny#5uL*9E(5xd-b;Ay6Nr9{??_qV+-Tv4MX1ff+?`B|a5s4MES zT@hp6^Td;%*IvOieG1q`EcsM66Z{6}jPU11ft>kj-CR0psFwkBrl;CsZS#9kTG|8j4xE?W*p}k7V#$}TGjc}@PP36hU zS044aI6BhWESV#>yWPtej7l=;q=g+FYiBOnDx8Uo4fy1DXazL;p3$uA~0 zJ*!b(O#IuW)oZ+s-ugN6Vrm&li&Uz-8+30}9!IBp1nn6a_=f%zw11>r3HmoG7e%(iCqefH+C$O-(SCAH zy9e4X(7}=RNBc?DAESL4k*ksIIQ|yri{~nS@Nuz)3l;4$5U9>ywqt+kJdMrmda=#j zH{0!Ei*ig_PK;Qb&UU}pW*otGwb)keG7zYb&+T+TobMr>|8}?7R>|$HymIM)+>ZTs z%f%L;mh$_zhsCb?{Sc^*FWYHz6Ah+;?fYi;`p;U11^Uo4)_! zKKeJt3PCX<3w3n*MHx=VSKZ4OrwzS~OJIrgbzBhc3Aj0EqIzJ7RUznz{vvTpb_X;Z z)rY1^Eh`?@07BA#Inp(&1?lLxATa}i4cwv6nKh`4_@k0_K@2w5N%%7BJ9#3iB! zL1<+kZl#UdYxX^vuNiH4{bz zqdaL`U7L;EB8pZ>iA$Z2a6K;fWWtZBPps=asJ9^YUq8aPD_%v|7>&c;lHhzzX2U^-MZU;u^rAZPTZ;nPrl z*1}oiv%U7@suxon1R;{~P%aOq1z_8-A_RA8vqMngPZQjui5SKm@ zfr=)r`~dlhv{~R7eqc{uP$vHudB6CSzvt)prtcFaQsKuRYX56ql3o7=aDapa;_+Fp zrKLaLLxouCC132d!$jsSNgsG6DRHSu1{Doza~;|k2|9hv<8CEh(g6g&?W3j;OOq_! z@<&k8bR^T`yVxBMWQE&d2FGfnK3Y6%3bFL_T%T;d!g^KYAwp^>@fl&ORdSl!mXn(DfJ~8zld^|sAh$JB(acmdGZoD>Q_+;vN^v5( zo(t0*b0UjZA-u^sk@X0s7wN)&<&S;xOTQRhFr4z9 ztT2(~f~C0yt)5l@2toJ0P7gu)04`{47V9T=8@M%+O$tG}ur7Goqx?wEbiV|rL?cKS z)&-fx5sWluM_C~*R-_AC1OcHIUl*LS;?f-bEBqL`ur3HOMH}ou@1x~HUvbp?P%qNh za%ii?a_hg|G>Zfer$@qQ6LnOsu}9S1)Sj%(JRPzs#kBX;&10iXHm60)$1fKxlF5#QLn<*eN6D1r`gH+}c!|_%*8X%Fo$>j!$z{-8@Ii zNnj-9mgz6#ppaP8YLKrv*)FSDYPp=Gjt_(_PJ zw1DeHFR}PG2x_;BRR(|nnl{4R)XcGAw@`(+P#{_$9%MW08Zc#;1{R15j~bbP+waeOK8rA>KP!%Pc(u7WUREKPcq(H)t- zWp`w?S%pM(COw;Jf0fR)=o6NwiJ7>3YmFE>e9wRMYv1?%(Q%=p7d`uJgBW?^nLuQ& zT8Bk|a8}5Y8DsEDyI|oD-S>>or6zU3!Kt{s{4Qv$sZeLo&8jEj&daRZ{8t&CrphnD(=>w}@4jloq-^lqSMW4N@>V-k%A!@4!XlFoDE2n(&+X7I zS5}rd-waPf$Z-~%xF96rboBCsvJo<8SlYVR1H>iQnNs=gAM>?R8TciVOBSIWd zwnKx#xkC$C><hdjmvUy>l*Lh!QRg!12OQ^5Rh`#PqsLQYYj{o9A0gKd3hbvUedxS#W?SM;YQo4L)iy)XZ z1Qv+|tkDqJSMVKkHrb|}F`G=N9YpvawM$}t2ov~NbB{i^R+0+UB~Lq!oMFCw1u$bPneR^Nk=diojqY`v=V%xn zzGj5r?vtwSN0ogjdS1?p#CZ9BmG|(6aFjzo7?b#ZO z6#-z=NjLVuz=^0$J`2nfOg{LV$!H7{xd9ThGJJIb8SMb{UnsbfHApRC8h2bgGt> zU_1MS&Qr0y#q9k3*hHsnXGtJBy>sBwViPb9j?v@@LUVzG8_C&L_%L9hWja-HSr0 zNe{U7_a)o!=Lz4F9O-mZ(H!6WB8lu=(%u-Pm2vPE79uU@E*TCw+Lqe)UvmF0d0d^y ziN~X_WI$uQTcZxGJbD0<=Jx=b_Vf$r)J59&0AG5EDc%F@d&TzvEBJfBkIj34gZg{G zk5_&V25_ia^++MK@LT2(y2%-IhVKH$S>+7IhVM2_-D}LXt9o>$i8+l_Y?_+22|P}i zJ>FHt2m)1)uxv+=j|qVoHk|fH-)@yNkP(9U_;t=e{MfWyJ7Ew%XRu|QJ>I$Eh8Fa* zdc51FZnThZtEPBqVovAZG&O4zc>I3$cvrt40@d}C?fAjy>8^U*(a4OR@$|qzpx&R` zvA;}Qj7=qWC^;9Mr|6q+eo`9FO$FS!i!Fo+C2GJXu65bOQs_R9AmT0-L;HF9hrxqY z`Ijz|H1ald>r0$i1ikBcOm2pz&OO$Hc&OJv=R51mpZ#Y)<^TQHBAKXVp0>VxLU~zx zp$J-m%W!FZ8Prm6#@R=+v(8{E99c5awg`R!{se;PuCjq8AxKT*g64n07vJq<>SI1M zZJG;O12Gz2mO!yK=UCO3_n_62&Y0!ShRMXC>3sQ$z`ANf^kL1(p!Kh&@8yE%wNVlu z#4IvmG@qkH7A|>2Cy@AadjxBwrwPev-Vb~qb#Wr4S3LLfK_$j=dC8kM{#@D5TeiXr zk54%^;OF=zK24F)6YMWAyGr)INa4)|=GSn6c}~*Z9yzY5nQ&`*OICA|?q)!*@A&A` zkAHBi%Oe%Xy3HF^=$P!)M)N6=ff#ClZ61Pm zUDo|A9>mWU?`ACCSFYdtrQ@Ttotonaf-Y@%2&yVtyh0Y0dAF1>Aq1JtDuR13hBA0O zl21#bs1T&Zb3r3(fZ)hDP%x^}G*V>(IMS?0i{~pIz{V`d4Wf6{G{&K6@m#PBiNX9( z>z@cg29GX?96XN5LofG|5Pt|dt7QK&6RB5&)H3!EkcL5$Pg87|u4P~j+sO0`-UKOM zU4Vad^2%{CJddHW5Bv7!QQFAHCWM?|K*Jws`a8s3NakA5ot~bZM`;W5Vo5kuK~o>| zz+=)PX&Pvb7Sr(3%ZW#)bg`b(BKc(>dhc@|h{UTl*LmW#boOB`w)QD55wnS1@-DoF z)(R7%1y_NTWrvnXDnZ^$iKM4MI?!|}+qlD5+iZN!mCX zV9068@PoV}ZKtmYI&$SthY6Lbk6Dnm(*+M3kopUx*`mKH7XA29 z-}^If3X87pm4h@(xTixe5R#%Tqm49kG;C~IT~hq7-4NFLDyAd;APsF{f*nLNS;X5XSfz%}9UD0nPMrUD5_s=)YpO1aD$8G@6&w@B^MH!*RY{78Czv;mT zLDX64g-!zjdhjbexB%u8f}jD=V_qLH-RXn{pPwrfk_t=}3T{V90{sIZQAzs)BVI9J zK>&%rv_H3Fe*p-@CfW%YFqP|vv0NkV378R=DZ9}Az-K)wEF=8{z{7)VJsl83?kZ9n z0nDfKZvgZgX*fvx3qC&|zxn;xA^(2#4@f~2`L(AOn>%me47>_KAv5ao@o6cl3zj#f zegxA6*zbCK=dLz$_$KiDNh<=+kBbd7tmK2iz{S=G4FC2`EQRrP3BHfT(CU!>Venu# zi|3!bI{mzoy&UKk$a2J2|D!+g_V53eND3%I&(r)43*MhtBUUuDQT^Fha3*L1ToAcy z=Gt-fK(?i(KLlw3Trl{%HfY_H>_G_90JvcAca7nzQ9_6QpMtLzDjy2!sL;STYv*P; zbYTR;h}`za@ac5HU<6x%gQ58`6}~zs!O-H_vXO~__BAmMOHCxo8=yqYNNkuhrumCx z;RHJ$vrS79_MBEKK@W)fzb;`u+dgZ&u>b(g$HEqAD0mOaQT_sQtd`mx(H6XIQD z@o$_7F;r)zz6R(G?O6^DR@Jy2CN-xUrpL0^o^F_Si{^C0^jmaJy5XJQ^-bUVw}Yfk zgPu0sU}QXK(_HRGMosPr$wppcvl8-xh=3HkZ9x_MOBo3T!7Uo5#0o`7m*O-atqt;q zx#k^!TF%|W?1Ac^t}Lkh+aNJTY49Mt8X&{9>-qwHOFzew8`;BM%|r>w^N!6VBL3aCzWOf&70JCZ6%e0oBcRdXqYCcq`}{SKZCW8S5} z2lEdSV6;mfmnU?%(O&S=c5Hrk_IPqs7#2{pTc z1rXCbV5@b}a|;r>SD(9}$1m;dS8rx_XWD!Q+{ywC5Q?Swbbx;w9`$qGiwVoq-uWv&vV3bA8GU`h=l#f!{ZK?- z+H>M+-8xW&+(zo6^zWHP&V4bAzKS5i6zOh7gA8y-wIHJ}7cAVPJIu0e1*z6u5HT0= zUF?hLvh?w$7r`S^-73ggarL38)?M%jtuBLCK(Eo6BEviHf`EZBcaFx|_321`nSV9a zx(k9mm4P4GaF)xKiAU7BOYTkw&MoAU`z~7v)w)aK&@3E71!Ke^L%)1*iN0KNw?kq2zoEBtK=vA~THLqsW zz-~4I0|8!o(V;IvU5ZSH=epn%K=Yp;pV`wsqT%NFY`XJ*?eW>PThtn%nE>y42=M;h zpZ=TQ{R`1st3WqTqZ;fDoVN_3kR3&61Go`G8vDx9s4hqjHo*<=cN?*NS&;9y3m&#h z<7+@AK?9Xok%rs_F{}kCOc?UR7AWWD(Bi#`ia><}E`$P+F}zK~lvq(pBrdpI5iKnP zSio0N{}}P`Oc01~@>xI-AyB>I6)dFMq;;6CVf<5$M2fZJq*h&L_pZbhp?0$`$mlbo z?aF;J@o$t)twTM*#oSn1m4#lF##(#gXLFZus*l+Gv}w0!Hh0rKl5^6LpZC7cfA9Na z>W|)x*4$aDkMq;emD*Sc#;tY_EpVe<1oLAnn9W_`Qblyui~@h#TeG=Cvf6l_wkx2U zYU7m69qN^8#lSTw46@nMW^>0;_ZqjJ)`k$a(}(TO=5BX{g{8RzvZZ6>8Mnr-7Pt%q z5!JT_RN~em32?GR;m75svB{GysM@(a1KnsT0g~#orD_D7iGnbX_XL1br)hZV zEyn%`8!XTwXu8upkbUJIyH3gQY6bk~r4M!pK~Z5Q2(+DPrqxy|Do5nj2#Q%^MNwfU zXut;?8nqf?`Ldv>Fc*Y_jSLj3J{xoqHiDwU{LuTOvSnkerGQNHilV|y5GoPe0my!V z5J9j9Sx{71y&{V_ThYf^P*s>o0;t0@86;20LpLWWzz4tNn#H3en2j~>7Z*sLQI|Zd zj#f$n1#)Lid3^2Y0Qw=<$V;@uOpo^H`Svi`C@De=^bpu=^^5ZT!9~}>(6~`u$$W#* zQKGY^S*~Fbty;6XLzrGI#jyhjArxZkzkRZz7Zs_xdTcyVSI1LN%fD7+L}|&sf=wvp z_@qlujiFTf$|H+B$=Ln{Mc)ip>pr=lCW*6*s_z?H`=@;b8Sh*+b9ckdMaQhLfu@=Q@B8fF5A5U$TmVRLDRK zl(aJPf%P-M&=Yb)o~L9+xg9|ikrugY0DcH25$65n*-86zJM9mo1wCO$&9lv-Lj8|S z9z+vF-KK#k?wqcPmPS$D+=vOKr($*kUc9&`cvakcz(JmWj**XjJT1eL4$`qdYU$P4 z4S4q63->SXr40D(aW8$}ANh~p^Xq~3)Y7iI0R?%YEqs{LvpZNnrhR3(2^TE0i+A8v zXu&MBGcK4WXzqo3DX=W+2Do651cS<6-GDeW-2fK^){_)O04O2^*NSHdQkl3Q;6(;q z0&9Yr%@Cv;Pz1}U%{|$IYh+G_1;waMW)vF13Ko=RZGv5~mCUf9G;0$LEUhF}cR9_N zwFx$hR+4UjOYXNIVB{r&kAW0P{euMU>5?|U36e+-Xcoi1!VS+up^!4-I5ez@>o2M0 zd?Q7t`SF=Ew@MYelXZ0{C340O3N>A%N znVO%TwtO2-Ge7;QKY#e6-~17UU_cjYZNLGbbAT`~*KmhPagFlGTIRT0vxAQ#6*rwx zX|)YFtPDfiohQfqr)!R|0RV~+QId5`Z39@VqYVHcMC)9(2Gmw<19o5>LY5ZrRU~%n z71N{eM4HRog|B8CkcKq&z&Pou`>#0+kjg zKx@0sox~mQf?e|s+O0Q=HtKseBqH+Pjx0I>Ot2O(`yH#6ZxIsRYy_oULEPTlOTl#b znn2=eb{y^dLZ+CXBgs3uB;+*Xj=_M&iDRRVEJt5%+GjHl2E-3J;Nnnn zgDib@o}>1U_CW1S^hD@(x3nt%4kiHo-u}kGOSgY#4g=8D`Yoa!(ZIdsR3EtgE8yO1 zwT*5QySOIy3{;V0jneLK&pZ0xadi{Sx7ozL z=!-r-PPgUHwT*MS{`S)K({54gU(D(H>q*yt##evQ`+hvq_1YrqFeq!7#RR!Ro^a^Q zbE6J$LFAy7`gng_S}&n}1xJZKnhWkxau!4_T22HSkQof9MqTg-{w0m>Fg1W4RTiWR z;DV@SGYA#(1SybbLHcM#aJ!~u74if${M0Mb0Vslia=}%A+CjQrFjQ&Pbb`G<>-=8~A@ zz{Ea01%&DKc~0^a!p>fuWwh24A&3w+KKY9NH@=BadbFBq!5e(K9sn{F znE4Y16`m!S2$DgR#6$`f+oC-j;o)#v{K{l9+qO%16t~)TiSx}PfEn$dlf0LdPW&9b zJnjM9#pXk`^4Rk{8}*dgeZ-RQ({9mh)Ncmc{4wA6Rg1)kqzFSd>JG^zW>?ZAY~@iJ z1N#c*O&ojcenFj_*$-fwLAl4ob_N(rk+%r0*g-33X=59T6(u%uL9be~Sg&R<1ZmX$ z90S{oE+Qs}@}VU$XDc4j@*_2C47)XYr&y6j-B%0{bWgjHB=3C1CBl9&t4MFFv5XZJ z7-*6hn#&9p3^1G?2H^Q56&UD}4%J4Iex-S&w59}OesoA^#}No9>mNR9sx@prlk7kZ zVcnq{W>P-69reG>*RrX{zLkF50W%G&l>>Fy8FY|lGu;I0T0~EF9SlQNpe|Y7Y}ffn zgr+%IScT1WNnMcG~}{HTFl65y>9y*-SsWW_sE!n$7ghnCb8S`0c;69;=Z9 zz|Npe${wBBvsHZrMPQ)IAP6XXTYs+WBWnfGGYV|kCa4)b2YtdQ0WHm-{#<94>uI(H ze22kE!h)O=;8lHOOR7YeO62KLqq)33g2)>cZxO_-`9?6;N06CNG7e! z`p5}A1Nb$pJ)b&R`l>#1LYS;^G6;FwDo#}&IbhtPW+yhw2A42urfEji>Rz6EFLt-j zJnO%C-ko*lBJL(Sj`6DZ3431M{{qoIo9Xiigr$rYUV{YJg+TVvqRqJZ;_@&5*Prp+ zza4Z18gP1y;~6g$(UeVGncwRyT25S+4Ci6Jv$jlIqe)z1tT&6x$e|sO!W3se1asoD zq(adTV^Ki}=EP;BLbqG=XV&MK6PG8@hf5r`KRW4mte6v*j4~jIfMgF<)~uKlmq1Io z;KnEwV#O+PSqR68*VcF>NfKaWo9L8<4UmI#ba`{|Dsg!NN1UkH0|o|Y0Gig!cPA38 z>!ZMisuX9=E1$NWU|nymBQ>p=@6T!J3Dzx6q&?5-29*SBiQ3Yd`3@OY3>O|0b)EEX zzC}mSGwCf_JK11bGvA{~+9DlYU!`j?F=|DugVu@OPJ9Hb(p5_7>pDpKk&7aXJ_r3!Pw<7TU<7nl$!dS&KL zYA_eX)D3lgF|b2O0O(fSxuX=I8dAg5AC!=xKnFuqsm==t^xFs6>GWYw2T)m^$Vg@#lm9a>5* zgK+IT5r7K!^|{J7mv9D6(XLZuLMu~xcv9Nj%yORjkOEq0bM5sh>KB{9>nOZbXh_qG z4e)9Dc7JDr?qny3zXCUTut0?JAn)bcx>U;Y?# z)fsho8J+YBgQFWQkySH>Pr_Y8G32E34+xkjP9=`(rBA44cm82B>DE3dk3-Qq4#yk@S?3OO!AgHA;WM zPMSP!AiIVn0RCW$ZX~O_gN%*#V8CxyzdC-oM8&@59(5IoDZr#H5lyyA5-__YY!O34 z8XJ%>v`5c3Xusr$Y?0h-6pG<^@!@h~x zm&ArWBeSb{7BNkuZ)SGBJrNsr9LZaA7HF=!enRpr=Fo7Bc!jE@t~0g6NE zjmK|C;Ozq|Fp`3Sd>?2Hv4_rH^`m|d#`v}u-(K#fuZ~KX#eCC&~FMqt{ zv`1vvM`vCsfMI;*RU|1uN81MLNn-#rF)$pf_7Ui85M_b<2v@w!M>v8!Naz%IppGQJ3BPS#vGNft$<2_S)wKa1q10TFX|_I$>nZy$r)^soPwulTj!h^9Jy zW!v1eq0FG9djh$vSfX|Z@|#u#<=6wN3RrRI-Xnm0Z9+Axl~9yMdV5)D79&R81n6yJ^ochlVHDlKA-sDd!uDHlHZbEd&BJROS)Rjdj=9SchmYz3tGhxVl~! zHHISW9E25TqC2g2QA%6}*3tEGSdp4U+}Y z3-F^4lLgTWaLEC(ph;eU8t)19VHK?V^vv@6Brrz_ol8J>xhK$=bJ?6d076Bh=MU** zAS-jvAD@rh^T+L!Z@$Uadh-DMow_cQZ|jZNlR4iz-JV=~zIWO!noa)nTXaso_uu>r zANQkQI+pK^r0X7(vP~3Pg_e#CLq5}}XCqe5f&YHLL3WT>vlG&;&59`$ND#7>v#Q35 zIh|M_WSsn>EpQ>21OEacLmJl@4?{2q{(&IF(9JfYt^)r8AVXrfO(j(M-U1*yEzv>J z{Ol_5KRJMGw`8uM&PrE-e*nl+SX02wBgcWT$w?I{4V^-jp|BSA6AIVkp4 z4Si7a$roz2jh9w8+ykg)p6Sl7CFGbTW#N&PJi!bYzJ9>)`TZL9Mx5&hOu!t|FnMBc zWck*Hv0vkF{n@ww@82Iyfocrfkn30)rBO7YCd%E)*{RyTTE1B0urYnkk@=@>R%V!Z$XVN#Uzl5x96BcE({oBGq-d# zqqRC8db(~=tzqd$-B?F6aZx|+^27sqZv{WsD=Gs2wF~+{4|Bdzf zK2fThWlY-Rv_PS)Fg?RStSUB5u`S7Ahqhm343k(%NCAeT|I_|_F4F!u-)e9NbmuvwCbY?EHOAVd+4wH56+ouvYYuz9 zM_%nYf|%}}&Jn~V57WypJ$>=6`!A=wE-l9M&taYkpD&woQhW<&B!CVlt zAjqG!ePX`_4?E0A?y#l>bHURR0%F1Thl9HDu_7&)3+}cU^(!=+E01zQkeaFpZeTuD zki#(RLoz|245?{0aLO>^JNTLPRDTKuLKxq(vzWu`SMih zm_R~+{i05YjH)wv?;luOG#z0ri zV==fXIH6Td!HKYVoFAOnn=+zkI>E_QJvMFmzO=@-e$MNE^o>zTR)yF)g-4ydy+%{b z+Sn7q@5pXPP#A$YTpNx=X{uq%*p; zi@-kYho(vI=rL7NtK^AY?v*wA$@QH7)uqlQ)M*x#O<&Rf_Q~kcYEP_1$+|}dPi)p; zkAeoXNBCR~HaG3wUeoThThv;CxoP)$ns&eKO~3Ma|KnIhPjlq>@}SUP~~{)hmBR@xSy z-iUxDg_egTM+9h8<`{Fv7$-{sMT}8J1P3r{Xcc3%Ue!H5qY(jZlh`FSsaEv7=vvZ_ zMN`Ywi3{A=`QO@{+SUvCn0++neWub^^uK*F@o$t$WeT^U{&%*eZ(*;St-+OdNOPDshxpyz#UI>0`#m2yGI|^1oQ|N?Bfg2ms~J0_ zUVx*Kj%fc!`GGSi08DBG=>@nT2*o*Dk@K&Yh-2y%=>@o88oiC_ij5$>02fTXCvegl zL3#l$XsxR7%(C0$pN5RVI72^aKdM>mc%)}B#SQ6SGorX zR^g@gl@r&xq!pWZ@U1{Wt2J})38qfzniyAMkkzy%y#QYmQ7Q>`2?0BM0JNImK(u z@6QJ+0XCa)=>kol?e{RS5 zZ}*GsE?xiaX0fe)KLqOi>)*e{`R4n--7U6<>iE1s`3H9{SUw$nyb3wCUM=5z^OM`8 zFYnyNR-q?Bi##@Qt(C2W9rMS@Oi@|j>(k;`=ScQ6(uIo4IoE5$GB|Q5|U_qf?Wq=ks&PQ<&$a! zg6Y4uMqu(prAk$PB)49E@#6m5CCZYY#z0Gc(xvsRrN&l_s%i%Gav{q4akW{CnQ~Y9 z<;sG6mzKLoc&PVYZ_%{7!d(r_%6p(9TJ zCMumjG*I3YSF6}FTrA8<3VT;sw9Bj+I=Sq*4fR=Nzp{$W(9K#k_b*S4H*tB2=H;nl z_CNh$zx@8tF**m;b&O3#h5d(eVH6cOa{CIerTCIIt$B|!0YVN40u---SqRE=*r#a}YP!{!7^4miY2Curyz zg5rt$ijb7}3FTre%@{WX#jo*mM8mIuQUMWNYZpQYN`AyP(Nw@;Y;>-dYh;}AlG6e$ zKb?4z#39cS8CvjamEFv$in99IC3T%c{U1J&eE(^Wz7K+P0 zRfX9zd{ZiH+VXuW?BDvaPdtwIx<=-9bE?}z>lANF$Z`x;oj#=sv-Ao~;)dxJ=#kY@ zi0X5Cg(}PikyPPuNv1lXnwk}AKuc=76{;apYF4`K@6t+%y_|`j2m#=)s#tC=d zde7#8Mld_!$L*41?U_1Q?O9654sPXy@4+iWxAlOyT$^IeT*Lp*PPi3&2rF9}@oora zCmi9ZI>M+obiDQKgdb5l(I(RE#%8kkijz#8+Lqc|E>=Ztk}<~cU;V$v$f(>S$JOmI zzyAeda*p+{JJwH3-I5B>m=B3=$pm<2{Dz(AOY^vrj+QL$Y*>^BUet91JrHgkw^UlEeymTMiPrv=00rruc@f{WOe0h&lH_csN z3D3VsF2&T#Yzh;kie(JHzI8of$}KvFIPT?7{em|=75OOjOT1IL#6KvO!zchLfOg<% z!5nJ!Z2>+9bC@X4N<9%kEbiB#R}SVP>RWH6FCjuNHHGCIOVqbT<8ZTL4(1~2J1z(D zIw|TqZEH-?s3}wn*0vSvzgk&-sxfpM>3l*(%8^cE; z@jWfDU>ub*(~}e5)0S`J7AC%b_&<5?cEZ%c%|>BP2PHuaT)Fz(4pl#`0Fsl5L}OD6 zF<=;qMuuH|2EmbpM1J(iI#!k11Pbe< zDsy_CidEMrKq!2jge?#enFqU^QS+W?-5p=aUaqPAul!^4KmMKnZN6?cDV1{eu5dV;qc_@|lB?S0xzvj_>{W_x+w=QQd(eX!(=} zBf5p63~=;f`VW9YD@JLCMSc zic4}*bH&5P#tX-al9zMA1H1(K2q*kQyQ1Xf{Lq-0C(guai&AxSXvxc&Am&`5Qv$~K z2ukku$4GJ9SJVU^1(%%_wK(oeBFU&rVrh+-;@2oj#UzoAq!WcTH$WsbXDKa&wj-|K zRtagrQQbYdKo|Tx(Ts>H9WD_DUa8ZQj-GFi4W5R>g^Z*&fh5U%e?Sa~gYrDrOVkSM zJ1)2vKhHh;M8ucDFtGNzE5E6qCtOWjC7dVjkYcs{=%XGA&9-|d@wx6KSFhqy7VX%r zX>_x-Vd?&p)4kRRHXZ)}hrpmf_6b5^(nUdX7*H)xnyQw>t^ z$P7~weCv~6^2)RCy7%IjzWj&%!@Xype);K7y?=rK{|ujT{`XAkYiQmC*~~9#{#<<- zJ3{2nT|m&&<8oM3cy=*0@vPJ(_buRu=}nR+Bg^K;8d@Gy)bUsfb935Rtw8K8+wmA8 z=&P}Rco?d;Qli52R>^kSf4j(y282qU1AVMt(K!Tyjyy-FH9$~#s9dFRGJ9$yu$~%K zDTh0Ep}q3hcA4XzNBKb@?_Doe)ozCH?^G=%#4UoJ7xyB9LIJvRgZOtYT`DiSF?S`r zw0Qo-m!5m&xtF35pj^bb+SJJ1tKGdT{c>f&{vdMhO5o#Ms*FsW9zOY-zUUXj+Gz&X zgQ8OXC>0v?U#dTAUs+J(f+Z;0ZHM7@QK13su3eFux2!mX%cCA}!9iSJe}$$8m&c%} ztat@np8B?rbA`s-?u5;o;R=sHYl1zzp+l=ef7Y{Ag=U5%+#Xfpv|H4MCNnzkdeC|Q z>p%S${^lwsQE1fGhIfI^>*;){TV&WPi~P-DceF}a#DN)|SLVKtwa5&1zKG*%eJTa- zcUXZt0O_cV_Y?ox1b0K7FBzRzrqcFxzDT5JD-JZifG>iTLc0h^znxgl1lDkSumK!# zeKh{FHDkI3FdJ=akY)k>_aKdmH8C&)*nj5s;)kD=GgBMw)COne&2(4rfXIi7oIJCC zna0?W<8^+HFg-p^k*TG-$+2vun}$FQY;#mDInNZ8w=H}K%d6xPvSqm8)eboefCLfj zVq^gjt|5Pv4%o@Y@d1i3p2@VF+(NPn$-2CM)Bi729BF@PS&ZW|8(5_gMv{ANN2FYy zh-4-8mtckRwLYmxj-_w+Q(zDb7X9Tp*M?V-@3lkX7%Y6t7k}UjKR<#6EoXVK;N|(r zgC{KW4)DZwZF6G0I5i(Id<2FQh zy5!)z4bh!0xziCsSQD*_HO>M0xI$TgBudkfm;tC-Hcs;rlts_Tjee8`GP<3a8^Rvs z=Q%udBj2Ebp&R%``3}MU0+5I6xm)U-rF@4(HTls|l+j^O&{Z;CDkMkkhAMoE=X9g# zwNkxrO`8v{wc?^n@A3#iJq1);?8CcCtwx3W2M?++DlYaR_6h^i_lYKG(5SRJUUhcX z(So)P8^H<(3Te^D4y9ftXNCJH85P-1N*y`EOv=IvPL;$Kh(+F?PgmL>6_cDCrlPKl z+DOHOMUYI&!wOE77}uIf`oYuz>G+L}lgm?#3QQF01YuN!A;{m;yGkfj3NZEN1S23e z##Xy28#8VBHpZci`NliH|DXI&bkAt8SMaB$xEm|d#>AmdWY>beK@Ll+)gj1-tO$bgTon^)QA3bnl#|h257U7dp(B_R zQpX*7Q$=vOH{S6$G@ma&^x)uDu`w<=IJs49j7tuVZWSBjl2@7Cs*0)2n-$kszn)6p zE2>O)d4%UCdA8gC0&X|E=-23?qkf%Ubok`Bx`-XcMMwP_4hk&E`Soji+Rs&>bE0id zv=Qw{BV5W+`Voq`=zuC~+bw2s2V=?7k9l9=8!MHty1_@j^J-fC)phs&y!Si*+%J51 z1d9sDa6@kJ3_z(cmP%1{doaV)n5qouG8!u+0#-+()U-8V@iU(5w&o|ZpEh|S3= zL{Q>tJqWi$F;SZ)fW-mHPyX#!2m$Gyh?{Y7mGG}PDrGtE2IvdPRJspxce!*Q)K1U) zP{I0u!fO_30zg+&{ufqshTNSOKn_Cg2&zLkdA?I(Z^R{bhaOesr}J+f9sdMF|==g&6@!+Q~_xrHyv7-s^+bXG^B;xnf8sE zuDIe>sNHX@-}V4D$GTAawzrC_=Yl7;bMVmH)m}A5tSFwI3GPs$hT!&zJ+xITm}}l; zP637nqq&3{FjmYp@56Sv)tuUCE$ts!klNRF1IOcvT`H(#!^3WWjA&n%G=&aH4##Sa zUDdoXC%~C_aD`e)DQnsfKZ00CkB&<~Jsqd{(3&!($INd{{;R6hO(`ps6kK?Q{HOe1 zd=sCFn`$4T;-ClUuT$hzdk!4S%O^^pIx(Og=X}~V=F=o&#)ljF;;G#on~ddeS5xwC zHm7P*TW!SAq|(if=9B`v`my>}jV#~i$Nu~~j{nPBUtb0gsRwkaAB&oBXWD7@l%fLO zGq136l%Q!vHzQkO1Y6;JWj_{Oi|qIVW!DJKAlp7&dOAk?j*R9iaG^B9P?t=g*Fvk z9-7(y$q-TxEcL*1k#8OxIy01FU-{H%bx$?;U!)wsh?1M;GzF1r&MhuU+W=F|88zf; z5DNq;f!tjbO$;6cF)zx=pPw60FFD7v;{7?TW>V%?7NaR_F)gUC9b(&dNR)z!4(pGov*n^bf|&n zdWBz>fBC29f1^|vbC#1{ z^MK>?FmvLFCi^dm6}SHu#`(j_xDDP_pd{cc%-wKY7)WA7CN?qm>BqH22 z6Ay|+!}(|cdkJRaI~!l-P<*WDbOw1EeVgN{AE8W30`4(@DE@(;{i?71Kp0=GI<&pO zM^Ii!bPQ%d!OKPIt)hAg_zD1aopPrrJS*ny5tnPz@J5OL@``m`l%+=2MOQ2o=Bi&k zsbjYXgCET~;B_GTtp%&P=yt7K>zF2DBRRctqo@?yLM3){R4WbVLtDy1u&#?De&wOT zj@MKs5%K0;;N2RvOOZq^wJqM(by5F0sGqJhOCASTh@#g;AtC*2m^&!RkhqkiW?HSI zMbIgdPFDBk>!LLNubP>j>!Rmn@TKUJB4~M6!%U}U-$$!1I%m*tFN1E1>JmHY5X?E8 zzL{|P+yCV0*Z$$yz!9U)M>vh?AZ;$W3a8PLCpc3u=r=PuwCTV+Y|I%!Z?S~aK(Mwk zZO)*hjBnk8V7+aV_fmgnHkI+h=`)5S!#Hkx0Ev93OFBX-F- z?qt{>!G6FwoW>Bj(^K0es?Cp6I6Wa%PHW)BrZWu(bBOQ!iZ6TpozYIK)rq9x z>MI(ksPw#>rZAwR)5xT4FW?t*c!1W!Y{Cx)R$9pbbdWi-IQO1;Mqt){1=TXd=Jc$zBZs! z==E#qw9*5CSi^0G9I^Qyi_V-hV?RZ@0P{c$zmH-=*115Zm3_!`9&t#XNh*7Kw#EO> zomOuFv&UIf9c`*tQW74t{Z=D4fBmn1!XNvXk0|(6_3IqJTD;k*bg#y@)DK0WF7g#C zgo)~na4EBYoDe2m0@>^zTdx>&7F3a@h+@U6+*D=(_At$6{}>QkjOT!E+ar}!12VJ^ z%YTd^OenbRdcAzSToR*wPeuXKe?Gvc2e%$|!3Nk?e}8aLGZIU1`d7$ryy#L$fQU!X?ur#7zg#Bq&9f1fF^= zzi7W+cB+>0p1Y*Ap70)N>&Y4AJ5~6R7Yw7bvQ%9+EtpKz#Nl6Va{RAa1;^XkmNnw) z8TB>$s$73jPxtC;`VDi__i5AwwLn@OpOMOY!oZiRZ5`w*%46qn$zORs2H2X=RT;=x zn2@SGIKtMn5u|V0|44qO^n)Q#A%|r<5``_0SX{p_K|=3jPwpMkJE3-1kT=aHE-jqD zxfb~1#XTuN;ld+$dMrj2+Y@kR061fBuLpa(`qLl!%ugEa?7>_o7<4ed!JcayUbNRR zOtUS-rDCOlAsCw=s#!S-D!wMSxX?556$=R_27`7+jnXr6!9s$0K+0{XLp?|^kp^Y< zE+3knk*|pEOtiYgkvPFIYFA_s?Sh5m7(rl{36eU}C3i)$?)8U%XhpHs2`@=b_ z`isCJP)SY>c-5K*rZ;&Nm$)46J@9X1%hmiFZiwI8KR9WzyBcv2w)Ut{AEZ}L&RvbP z7vR46G&r7TPVQkpHN;OfDTlGkf;C+oCZ zG*=s^-=cHCyWjqXyWjGjG5nC(W4QFd52=|AFpH#i4a;KbVhE1mhgK(L@B&kVnArfm zG`tFH@IgyT0~FgW;zshicId+r-* zEFZV#T*T$6@I!`z2GR&f|5#1TMLSrG(sQj*RqGElVLkjP)6a4UdW?TyWX*(jsXtG%SCOBky}96B52 zGK}`nGdia-$|raXa%j{#G)DCmN9`hQhuXkpg4gKO9I-HJ(`@iTwo74ul3ZUui!SeR zscl&bJTJ)4&7!h7MJD#6bc#Y9ev>M#OU$~Bq%U#}tEwkNU5?a~(!gm!iHZCM>fb2+ zZ`GOl2~C(^RU5vv0~0?pH3UJvr6)N)nSGeomY+xl!Hp1PMsyIoHh4rK6|<=xri;ww=K;FNQM0P1Z)g-?Wm`r3qNZPp zAT)`dTdAEA?M{)2ld*`{BVqfSjj4pdDZ~`xnnP4com3%e#ftzh2&_VRhntOQ+qr~@ zY0sNIi}T&)m>NK`DhDt^87aP8iizd&L`*D%lCv?Ljp>sA@2TaC<+>g$*YEuApM2r3 zM?;hX>pbpth;ZrxMf)bg*?2G3*Vx>?LTp~6+zFTGid*G}&xfWl^%Y~zyCNa{QQNN!>CnwyLXj^nEl=}(U z3+pUBb^2c`lsgjrq`3r@65ZiR9`?vOx`d$j3xOThNU-HaKd>qFCbJnHb!El@8ekZHOd=>*-5rY!e* z!--NdC8H6`%S)%5a#>6(#%w*zmRP^f2+?22?``8J+r z+V;o)tMB->e-yEx_D#C^@}^De?m<8AVJ)U1w|C0b^e$B3j-VtkIT4Mms(8E;^Rmf_ zh__VlgrHO~ZN=Sj%b6)Gp6)ySqT2Z-JZe8Lg2v_6#x#c@b)#*8 zR@a(uF(AxzcnAut$bU6PB}+0E0~1W$hM>ZV{3N~i7J}A#l_aH1Ws=c*y9B|p7`h}W zO&un=)4^8wJs41?20lsFcgJXekL&eDjgm`_DrVJYeUWIW>~$*E)QH5s9C90~GQT$nh=Q6pJQ;e&hN56W8|?9#ml zQ_)NJ9w1w*<`*BE*aHXhn1vs6)WXB(|1OI)#$;kYN+w9J8%h6*G=X?UdTSP7NkqRB zJvSi3+k2>9kx63ADd#QV`2m~r5ZHQwIYvIn;AA<9xQi0hJ5J{SCs1M8hrN}(lVo~& z7bR2&=G%JMl4N>(7d!NN!57_uB6B6lhj=v5NS2=8#R={mQY3hYR_L{>9?R(u(M9zT zVXJ+JE+#)jko1R$ADf2=OZ!8_kGJv=J>!{we|r4yJZ$MLR~)vqmib9hInnSth0ARR zIznECY|*lg_`G72mIyh=*Ni_Rpx$g56vzGuzlk?V@wvQ3QL4cX)&BbhUaGV|g6t|k zu@RM@_UCpwzT^|q{=3DdV)WjKB}n^oJNCx~%bSW_ER_?zT4fX8ZjP28*dZB%T*Rqt zzWK>5Ghwbj?qaJ5W0Vy)T^O4ii8jm18_}M=S%v=3-hcjiX+bZ;0G!+rheHCOygWtF zo4&^6%7QPy^z_BM?!SCL7f86YFgWulx`BSN&M_|AwRFmJS7|kSf%7QJA+Z%evO5CX zj_>YYZSfPa!$)ny43q$YRiAOSFT)$vVL4`dahIMO21rL zuqu|Dh9|HJ?D=SInfwo3x+SqnKdbCBvX zj7@o9iQ_m(#+gbSYny3qsXll^In6)F+DUa5)d=Wex(>S@)=ugz7d#HJcIF)aAZuqX z!=UBy<$jR1Gi6?%C>V{0pzU<`*z!|!RK;yAA6#JLo^*qfu^f#un^T@7)1$or@|J*Eyeue1w#CiN)3m_Y zR#p!2Y#)Ji#nE%+Fjue^;Fd>$O(eB@q>@ZeH;yj!jKKARCqvVp={(cpy$CL?CFaI7 zN6!_k1qz!xJGv7z#+m}duuWV~d1VmMVk}I1bg4VIB(O@Lk&<78&YAY;3`ktkvhCCW z2#6}l(cnQIF1Yx^FMFx6%uoR6RBmRc4AxRPq0m-!skDx?Y=>V-KNxWF@T=iEo>RBlzz@z{GH~SiJ|@3f z;@ITZayuO#=bO89=;xo~m*av}%e8Jge$Jqqt?q98{>^T&#e#AE>MY0k%XXaqcD>l< zxC9RJwu(z2P*GvYcG`ct*ygxo`~S1|F2L5MX?YMNKyfXVPz9;-@*6b9wA3^@Y-}SAv*X6xF@AGa}UG@9B zCN7cfv_ImAOy$+>R$WCU)=A8t&cAoT^7Yfl%l-Q+4N80ykwfwV5Gu$Jyi$h5Ca!ha z#8TZcF>`UT4k~i*b;+yIgWYdzF?;o4-?tyRWI6hJ|JM4?zW--~K1s9vZOzgeLr}9k zgO*RUsFxYk*R!qX3Jv5DQ!-UChoC$lR%kmF4&sTLCHg@y7aXrTdMQEkNHZ5JN+?@O zcSdmwYlu-PwV=eTF1YTL;T8te=oMo{i6UKa3*f3+?cG}KQ3y(WX@bWss1PZL99&PZ zs#%`EWvP;2I%t;^$c-HVnul3kta(H$37w1lyEQv!M@Q=nn?rf@&d^J7n&Pndnnx@u zG>oSWeDQY8e0!|R25X`StMHh#ro5?k^fO4Qah^~mn@*C()p-uyAkx=kZ%_B6XL0M9?jD2pE4d4^dpj00B2Tqsw!}HG3u3iMzdUdD%+`1~k5aPQ-8VPr$}56>Q;o#bCI@m$V{H%O zTSxva-G_L;5o)5qSkg_x4TZ+35?i8>4Ycs(hTPt@FwvEHywQ-)@Dr%vOLnYS(81+f zBgAm=w}0?+e);cyQc|UP$ijsl^53qkLNSycu9~0PX0!a z*VP3_n-h6MT(E!|5MIflk#pE-kB&~>EP|M2i9{WgH!=z^ntkrFPtwrZFiP+C}VYJgmMj;fR=E&626RCdY!|d{|KzpoBHAmF2 z+9z6K>>`Q0yhixQ;4=e~pM6m!MRJQMT;ldUfjEt1dZ<@qu)_Okb6*_~Si3*kh@C>0XUYvZnG=CRr?6La6knIH0Se z%2h+4N*&8~q<)dfNA@Zm@X{#`Pn-J3`Bsn^r2eba_XH$I{Db&8J%o;=^3(N0YQDN0 zJIU?G?`J8ZBKU$pyF<=(_fr4JPd{ktUm!$r{!INt!=%zfQ#wIzKc9btP}0ZC9jGh4 zTE2?hZU zlCMF|_H;0Qh|6)8~pxj@7tTBtcKt-Z|!cRS!4ps|mb zIH4XutSGA11+{DdL3lyxdWE1=B1{nD>^X|7dyaLjR#0r237#Bd57_m-1yhUCHo;@Z zq5}Lbcz>9|OTQ})Eu|t~alOGpI5hgCZ5l`jW*|2SPU#*}`C&+Jv!s@a{O5E#ok2#Y zO5Lusrj&L4=pD{a*2E>Kulb}iI>JcYg6o1W{{@w{iFJR}dh-s38eAU{vg9B73NkTN*;_4BHjT|3VbP~$D_Kd|Z1 zUL8=Wl*k7}y1nxh@86FOSAtOrUjpLu>Z2<&iywV`VDAd@Ro%Y9{=fKwK!VDe+YZPo zuDRRE2-@wF@MFIf!CwNL#R3Pae9{FD^brFGB7O%^fd&z1Ga$al@js+A?vf=(h;a&GMjY65 zVcYbj2EG+-?6J&MC%%Ml-fy%qd-Y*&xF5@8IRS-#&X@fopYSOq^Q(1C&-_|d6DA6z zGlJFuK(pm6kSNh%Bj?Qtik&P7@NZxOGQ>mdq~kWa^9kq{ICUHKC$pei-Inx>uMWUs z;XTA#V1W zR8P>3jsg+UV|dUops2;esV8WX0IYFHAo5PA=JX`fW4=P(omUAYH>l(Hj-Hgz3cxwE zSo75((5!hOG;`$VsY4=go`Bf3uZ}=_?NS7QpZT)hc~DQGll9#Te~Dd{RBKhdO#gfq2B0n?)=^rDP(gUxRf)QUAv*AE%v>T)2BTYvh^a&=fx(@{Zoo<+H$MsXEE`C3-=Rkbt6Bfmn!06mg`wMtJ?GKN#YG&xf z>Zkp=o%To5VA|Bj%l*VFy;{Ecw&(Yhc2#?YEi^)uj5s#o$d^qlwa>^wF1F8er>{m2 zHrtCF&UE*^$YHtB+^Z(R=?yK7vfAl8`>tf4JyPfWyD}^{dRMs1(kPqSml+d1|1F>Q zzrPxUZ;IOSn8@*R@V4pWZ14)7h0!Iq-_b4%4aP(+7<8N%2c@1v z2+~p%!NSG~^`Q=92+|jE!JwJk(AA(DAA)p6ieO1_qVG?;EJBb<(glNx6F39)!a|VR z&;^5u6P+MTo##VKOyrWm#EJ2c?e(enN`r~>a7M33Uh@Kn$@ANm*rPL4JfrfVc9DvM z1oucpG*Bq*u8A!~zHa`b(w@@mC-h1>@9C3yUJ!Gjpl^dRWSJ#-_p>CUMqMd$!QBgfcB}dG#>$E8yJ0xGnT! z-glH-xwdA^}3* z1XVMfgjV9>&|-XD@{D;_lBtOzbCP6y=aMC-gX%iaz4_pi##arX*VM)gux13NK>8|$ z1cff#L!TlQ(U@S_bffP7-d08re+su@EZMghUZe)M6);aLyb(src7)NAvrd~*H}JUm z3VCXfPhW0$?K!?dS7sYsY4sU)ovPGdlZoXinwMv^@vcw(Yd`UKrm*+3M-74DgV=i{ zKS9M;18X(fFa$1(8aIRVsqkEI6r@ju=Ype1c`7^?97W1g;kh8@>>5THSQ!P&*jG@> zRCq2(ERDjR(XXrsm=F|&S0ph8NuURq1KWcrOSIvVAUIO|4QgJdzkSV_3a{cAQB_st zXHl6*IAv_rdaqGWwg6cQU4fN94eLAq8j(l4hbLcg(0+23f9xl7o6~7Fd4MrB2=>Q} zygzKt+TDIc4X(YjHS&Ia=JZO|5_bI?M%`d{Wwjs0=%+^-sk1SRetM=SQ1a=aUX7yU z1$*P?3C97%oUqP7{Yw!rqvR{(J=zkqR0)oR-t%7}?+=HLWm2x$e*tRbz2+^D*Y3`S zX}j#PMshy&+Q~D9Y<^pI;Pc?$O+VIHvwf`jr;*1RU$>7n|5R!U1CO=V9Q;l2f1BsH z*|f)ctje(9?ibvB<=#wD?mQsw{)$j~Vod?xeFrfzsE9bwUNACA*8voR`ilz_1taB{ zQiBi#|GxNPO6=pCm77$c^aCl7;mU!Bmf?mC%asNDu`SCA3g})c4DfgsD$Xx`Tqi1o zPQo9w0bbSeRh8c0vwXJ%sr323_dk7Y`va5Y@&zHkBQbl8ryLSKPS7h)acE&!&| zltjRjC3-T!0(_q$mq!<^;n@K>ZVyeJVe2P2JBDbtho*bvf*|)aG&^`0YK(eAE?>ax zU1yzv{$nQHZdz+G;O_Zm@GkJ=+xpvGMDRCw1O8|KxA6|DW0m}VAF``nww=@+Kz7^g ze#!qe^zsE_-gVXt>X#DkxRjqAJY68>J1{CXk6P|ZxPV?Bb##M+AJ;vQx8l<5=nynG zI3~8Uu9HFDic53J0tZKeOOsIP7tzJH%@yJbg@bbhef_*$_!z;h%#RT^^J9d~JA91j z!ai}oxnC%fV9mYir!N+bc(nkNVKQt$ArO{qDkz0^6Qmpt29O~XE7%k8Ph2#b6K$!+8^Y&l-+&}Y| zf_F>7Yz~w$Xd9XbeBj}h^LR6s+*(H!jf*ICOOp-Q8>Z_jWW?cdn!5HC3!^+n$Mon( z#R;$#fpfR!0hG7eLyH^hhdvzEI5a`K7;xL1qqwoYVn^gW1=r^uvYDxCKgR=zEi`Sp zIT$=BevIgTKlE;6pnMR2x96x%noEKRRN(taNE=8emaLiwjPM)w1Jv6suDN0v-5+;o zmBsHtbDv}wE1b9l8FqBTUqcc!YAvq0Y982c_D98u;~MpjUfmz1MQ4Y_`cz9^=%iIH zT4~br7J~r~O}(fdu*V&J|5wF;ceW3id|Uoh{I%?@-5e$i&AQd4kA93}K1Qs>Ga5^< zUo9@%)46Qw(Yj;sw&#`pM4x{67;uPvrVX452oup($fPS1H3I*!7dEU^h1kdzDwhds z3pl(y4U}u2iRCGpmuE)epZUCh^RxclNy6yd2i9untSmz34~%A&jD%^G#4O!!7^_sp zSi@LW<)QNj8pg6Jhe>dqj}EFv=Vw)Z3Z1{}X}+w=lg?LEN~KIC-gZ@9ls>98t-YyK z`O%d=#{KnL?El`n?NxQ2`Suj055Ns{dTqP4o!!?ArN8SD zddq|hw~RImg9D^d-m2D|rSw%@28cwrbN%TfOYNUln>@h)finWx6lrP?R|_@d|2ro6#P?Wb2CY9Ch@p{1ms7-`mmbng{ig5x!vA)%K7u#|Ar{IWdkiRrA<1dusy?EEK#h@du@S85 zBcMW*u32D356TA_>1FXoG!G557uiS%u!IxQ=F7z!aY0N)5KbZhIC^wQxjtg88dy;V zE7daFQ*MBDX-^jElz$3C@GRUI*~5+u(D1K!3%Sye}X%LS8`0Eu^f z`k(at64pfDmq_AsQ%9Nq5CKn<9-@K)lKY`f+3bf1bq{}t__5D;QzCFYPY?1CeaVea z(GqAcwUK9k_^ua2mfDCoOo|RFqeci7(g7&TwB5&as&nRPsD`rCMlP9w%!JwpKkyrW z=eI=@v9=%d`B73;8E%xcP#yLskcHNQbYWc(EQ+Klqzk)4 z_faj#aJ&dA-wg%VP0x|JXfBC!By$TaZG*S!+|p@Jy$Ip(?-+S-i&a03Fw=EtPuw+-JE ztOJr)jbN^Op;OLAuWm4kv=OWz49Kg2ZJ5BeZqo-Q2%VHy$S{;dN1kjpV*2yXsp?+E z?LbEvxa8`aQ`NmdOH49haw?)jx|Phg2k+!bAq*RkE?1JXO}|)9wdRRxM6GCQ`)iv1 z`i9>Aa%r=(9=Yw2iVmrM+uu_+{aL~deSXq8zebrHsLIUfh1v^>RjF1au*n32)aq3f zmuUA;yosR{()CvFkc&HLC9aEGsL)g}%8B0Nr!H>o2@{C(C#Ov2y&)_?XFe(vK66@+FzJ%q9xD6^u#j5Ce9ChVwA zoaZV4LImw;IP7;q%$T#dxe9=&ggJX8aZ%$Os{-I_4qIY)(uqFFE9NS|31gw5_9&<* zt1OtS04OwiU4d%9{xP`i$gU71&p=FSB{y-XJtg2$uG49tQwcP>~Ucz_D`NCKIKa6$6^ z2v^Vn<#u(S?JLU|7QyXSz2^hQDk>5!1ZnPE5Oxt!04*&thZo}2-+!{xzLMRD9iP(l%xJfZR_L?F;hQUcA_M+|~X8lN=J64cY}nq;+b z$^99{T=_j6TH0F4e0P*Qis#8|&`Rdpvq!9g^X&MGnu|GU>BA@oTu#iUT4PH;QY!tm zO+AL4O+v=jpzG{kPB}_9|LRNNtF)IzBjyX_y*wJxy$-e)&n*A1IhAIUl4IrnB^tTY zXoPie0QW9;1nh0OG$%PDoS|j%mvLhG)`+oW^VdK36aVdPlx&n1#TBrTq&#=tZOB*; z|8c{vRl4ZTpQ0rj7epe1$_>%y=42z&LXdBG5j<|${z{JxEcnfeRKPCC`A^F2bT}GE zU93nKvIwrBFsU=pqhckS5TpWj!J^v01=SnvN(JnK7V4n;V~Y`3%{fv5yWswOVi5?3 zMlZSsPk!h-Qdg=yrqM}Raqx4aEo!x7DyapY+#xfoqmc%ZBzP8a0SllOIV-sDDd(Z- zt`sgH9>_fNuxrS&4c?sHXmQIgX#F(j{TYB z$p~VEFBc_w#5%`vdU-rPL6U^=ia&H$*uvE;2wn&yA#}OYvh^mk3ULKXA!VLxeCN^2 zyj#>N{-uy20FbASUe;gr6(9KVh+gz&w8rz`lqP3n#9C8F|Jd#!tjCeOq_DEPjm=TC zuMlfw<9R+K8WBOH))aIQg4uZPL4_*D6NX939@%bZ<7p9=w0KHyP8Q6@lVe5&7;!>X zqdjysp6By!E!Bkmx)VWUh>Rulu-(`$naWJKcR!a@k3-h)dWjJZ+KyyaWtC_uJ9 z@lSo`R|h}sa23Xiu&8kC*q%@qE^-y-yj^3+177nZOo4)`EHP|~-L{~FL}@~Bdu$-; zO33YkhdsDNWkulF+C$52x6X+Zkn}jTG#>U2%{%NX-bEFrVq$u3zddXf?T?O1W#x@u ztrc=RNz6^ekKpy`)(wV#QVo_UXX1lLMyla3=__U`m*kJk|1yCdHz&G7#<)2-x%t_k zoR!LjCA9(K!x(Ks1h-wUP^dA4ODa9ANJ=Md6xU!f)6XH&10`sB3sjX zgK=MxL{&4Ko&bs0OtOU9Fzm)Ik8C4+ zmPS&vogW>Ji9UaLS$a>Z#C3j^kbf>uDlrmqmG0+BMTdz$sr>lF%9Zh?Y8OfMbn{Pd z3KrRhK1=DaQb^syEeIemVsOON7Xb~}MVkq}2z;n=025ub=qsStSCSSmsCWSK2{$=_ zX1E;YmDOFuAZ>yP4xon)Gz*I@+hL+5$dApIK1=Da@^bRprsXgjFC$Yp9I&dX9sRL7U?I6elz;l+>Z&slp_O}jUokGe4S7do-n z)W^#`o+}-6ST^x(hl~^&``7O{f>8@|`QXv}Cg%d8I09XCc*hB}v9hTN7YHjGZdnR5 z{qVDVv+`aFVN)roMNycEmEzwP!9KIouv}TN-wmt4#_M;}2+RL#V{L!T+D*GIJtYSA z8o4WUEBkkE^saE1g>S3j+xo%}oxlA%BFCcEy$6Uo!E+Ws)NVaa8(aFP(b-WOaO2xj zOaI(q&FQ#=SnW@i5ebhZxh~HgywMtCcVrNDxCGi$V!MG8jFXPJ;_lq*pRQbSK()ki zL4Qoc23ym{?vEIGOkW5ye|ub~$!!se90@>B_BHe|WTc!w>68Cf5=fFz@rmzHOkCT}%Ap9pf6D=jmiEKk4ZC{$Ogf zxvQtHiGU_uHjM@PITral`p5PAR8rP2cJ_t4YZo@O=pv>2x9a`XBRXH|6#tgHh5qkQ zIVk={seUavBU_gg%Vo4JZ4IePu?U~mt+1;Wj1=oK4^&q99bnkLmo7GyfySB!RnJ?EL#f}#o>Uk`!; zjR-f}9%f%$Uq3X4se9z?D36{xPDe{T#8R zBy6CnZP=*&PUMPIRl6j*2?Uyind*J+D^b;cmIqKX(DFdP+O$z$b5aFTDTs>88(;EKtJdL+> z^gUch-@IGYT8*U;eK&>ZU;4nm_lut&mRAcOZh1?!(F@VwHH1sd+?9O=(?ZMZf>B?F z<<)#>2GglZ^2R6s&i{>XhCWd$g%1P9R9a9XeFx(JNL0#KYMCMO zmA#%4sE;aNdCxs1y~aFYVN54H)tHC#&G|}FCsz4NN$BRrzB*qi1)5|`t282glLgiF z(tBQd<@&L>1De$=sYxUJ^T+U!F#DJETitjv!utP)^rV=gnecZOV|qV5DR28*-~Z_! znlh%A{vsq=qpxiGvN1JUXds;rJ1fE3?X}{+TNSI9qh1Nq*P7?bnCfr=poY-P-kRrZ zOb^I>Nq!LY^*!^PjVYQ$(eceh;@O)z;~W{r`ZyI02Uv=23*gr#jj9Z=wK(+9}kY~Y;*7m)pY{Jj^~K}8_0T=!L755aJtlFUx9)g%O*#PP zZn4>tCroy-XQx{*H9Uc;klOM$H_e)`n-v{0!PJ)+R;@ILC&EH<<%vL`Dn!b5SYi~8 zYyN2ftoZ<=QQ#dY={|ZM0`>mfj{OA$m^LN%;0GUb#Z>s4B@@+IF?J0js91S5sa`=za4p z_Lt)Me=7D+g+4u6&1E;zpe4b1m`IJqlZg`>mSR`f;L0Y#&AN!d;i-e|r)9wr!ixC8 z^f4~+2_)5>Y&zf7g85mv>|TB6^=og5XJ@f4x1qLrBfyB;;)$A)X(?zflNSxLU@qogET+l`oZJ$F2k994@ihT85u;kKF zo0jgcOu0Za?SiL{NJA89K{U~0aiE!Y!97~J1bBlVV~t30XqxFFcm(wlE#)5b8knZe zho+f!!SlA$h9wMF=p9A?oHe}lSp2*4UYnNy zyH+m&&Li`maPy8|f{}UN$dn)}lRP?s|0fq#nFXWX)Wi3qKcGrW37$Xsye+;T_;ln- zqdx$6`6?|1zlpntz?Eqc% z`yo)DaQ*u`>1Rm$1M^>TOhBOCpWAVKX`hHqeY`xacZF^T?ChIwd%jE7A1wot$19W# z*hH&E*~C&^h6-}A4hpJpW0My%t==50g8miEdMSpvkE#_k&-3=%0dA0+y*hp1Ijd(m zc4L0uPki7v|6mkkRA;t6o{epUMFMestG|<~MI8nZJTZrdoedv0Eo=oD+d|TUs#xsN z2DDkVMM%aFufUe{`aE>ka|Y90ey%Ve?>87i)U23HAsS0?Y%vErV7nW^WC>v|tj>a# zb1BGVt5x}UNWj3ogn+iwhYkFJ)OrwX%PPm?yKEJ!mzpD*JOIgK$n=%*h)$(m;D~l2&fkU zpokzttE1<;W8)*>zGKwm=-dI&@Uf7Ezz1VP)y=XKW2PwIAI@Tuk{1>iSVwjAe1kx& zgNaDEO7O;ems42+{0@220e7hBx0v)yn1@j(AkTyxnaZucgrhxf+ZGmXNgZrgp|%Iw z#YstfIn}71&QWPAY~$weJe(uTKe?MS00?RD9g5dTkz)@yDOkpcyfy%IRFUr#AfpZS zQ4FdgHwkT1sM>_OPt6P<0F*d?L}O4#`3K9(9+4}CYUjwY#kUY3RYJup!c&%!+iyvv zr)j#yA$k3kuX>Mq9WTFh{rbvT4zTe!zM2EZy`*^bSAXy?{73(1^p-0o$`cC?5j?<@ zNUEFseY8(EAw2^UiqXj}l93LHuZSWOGR&}eq~&XS7ijQYFw^qc5O4bmrjho}1p{OX zhTe8XIwUT5LOwwZBM=_KlACdv)Ja7U9*{=t8}@lqoyDQ)khoy5k8d^tFUf-9kho-l zwva_w(IBy;I3z`~09Q9V_;~dtuaKSvxeBmH4_#X!JqvVo4|I$AmFejD_LQ8;e$&A- zseigSBz~TITu#NVZcoP7mDa3o(C*BElE_8^#9CulC*2IS%uNC$%sEP1YUCT5Kiw@m z8}=9LsVtSQpcNX0pXx!p<`u`k2H8-qUGa1H3h`-_xa>c{#m2o{ql4CSGyPf$Se4Lz3QcU{KSyhevZ0BK9s!7`GnAbjI?G z&|L#fEZ+w6Oep{94}9}KG>Pypysan1WUUfnsN-5-lpQ@Q7K9u(v!iFde*zyKYLN(u z_F9X~CMT;EVEb;SQLpqqNLD2n+^-Q=H##lreKh40Er<#~MoYEIFFg~WGdZH1OO1xH zOs%!6^!{-TDmF?2vM2#w{xy}}H?Raef5d_`I+Y|}N5AHKR6bOn9uWPvlKJjn*aVaF zI8O}K>sg(Adk&cJp}z>N_w|~yb+jtUm^`7|19|GupHH%irjpcs9EVU)2=Zt4`Pqk- zw|y8#I7jQwk?CpXRwF0PybQp*Ecz6@L@0XAPlRTcvUQaDW~fI=N8eKWE=}_f*EB!x z7PS^^X_~*AruqNr@Bf*v{l@9&Z>P*+ct1y*=EJymhePWwWHVE2Hbb@6aAq&y2ugA( z#Gclt9v^)60%AtW*~h!vlZ7yG*bCThjIJgGhrNIe&!G;1hP?n3i`!p4>;;^Se5bPQ z1jg8@ptax9(QrWwgc)a9MStN1oIwIK&kI1`VjCa}blZ;}UH~|lYae8`7m$p5)7-DN zeKJye^SJ>7N#Ml|xO+Ds1!(ET7JhaFlU~BUXBT0{@=qC{{r;bR^l7W86l&Sl6LVI? zMX9W#XAe|2Ae&m?@{+#tnH0f->PAJZMYK>gyI_n3><%*VDWhqqnqAO3tAUZ(kpPVP zF%C`D?1E^eLU4p|>aYc)Vk5{qSOhWVN&++pN>g`Uk*c`}g7Hv_bq8edsByC(RkI7) zoGY}PfkmkYKpdqR|$p@zA{y#wCTGutw>x zIfm2t47s$3nUbUp*CFx(%^r7GRyMOWLKc;kpDJ5`A7L6`v_Joj#86Cnf4wQV)4~#h&YmFs z1{Xx*IYwc@bRW-q>p+ilq~G9z=Yvq95Mi`~e^p-|@f%#yXjZT!ezqn|V@X9A`IS`q zQ%Hh&uAap)X^+(aPLnXJ5mi1?v9~#`IcAFW0M7zvnj7GhOI-*^FRRjtYngJX^dAFB z19uWNyvuW`_E=wPc;^O6^OkRo1}jTO85n4#HD@Q9iP&#ahM}THT3}!Tqc2<_d@^eJ2$F5d3Tl5F5=Rj$+p*9Cf$e9t2 z!JuXb8mt^FAOIm0s~j71fB}l2WWSlW3X}{(0LeYeWX+naWcixl4D#UXAXtZdg{nX) z1z+G2Yu6kOl#U#V+#EdzvMEqH_WDP1A18D?XLCs;3-D*VW)5W$WMi~~N;bb}QrVZV z=sJQgRqRnib49Ou`(lEvY!>2h!@<|E>@ca8o{pg@%fe5Ny*RM3MHEflyaU14f~MpX zoS+?op3G=YzV}J#^X-mJ1)G+7+HKL4_QKwm4Vbt5kPW#0;BWrE|16wdO=Pk-OO@w(xxuj^I910ufIN?im~{+hjdDUi*D-Q=!gz%upP%a(dvvB@MJP>7 zt<#KE2&Q!Bb0_FjF&2A3^HdXtAfE;Q)u-K_Jp!;Q;C)cPGX(jRxF8y|kxav(&s((t z@ndeKvr@vx5=O4Ard-oj>}0?+|)O z9E7wfjTNqzo~;q?a~)TEeI|6`kka~%zy9lg>yvO?b)lZp+HtfHmDa#S>>HHUIP`WD zQClf3C0PXoOBzIK?Ut3+0dt~MbASx3RWVs<9XF&mp^8C6Osg-m(%Nr`Hxo4orU~)1 zZcti)DJlFE*QY&nReTL@bCAaM%CuGS)kkNep4}&I%@C{;5VxvZG3J^|I8-Lw=6rX8 zWOc`puvzl<%C&e{W8j@8THwgS{n+LrHZN(2ZP zH&s*9D~)Pu`W6kr_2vxS_%!&d8Ou|jy618W)%zK*ecdfYo)(7OzaxQx?Us_#kP(EulX_prkv z?q7-h@~{1lcdb6DRC;dkA4||X&~a3GC>fdG0?C+J^S8MuvD4|)^Y$wL@c=*vqvI3$ zlC+8vPF$tL&WMjilOU*Vk!e|p9lc$Vv=ke=IUV ziJ<|!KF3MbRNOD{-g&Byq+>}TJ$BMPRXUF`&PKk?5pJWN@>l%ad|fS`iN&52Vlg|` zwdd%{wsG^#IYJzj(kVCR>dOBm?1V9%-G|j4U3IucOo;%+(^`e(X0ME`2!%k5GU(Zl zpC*k=EvpxT@Yq;*>$pFV(&bVOn4j;Q4`RwE| z!#P!t9k-w6u^ZvGL%SB(l_UAGbl6{(69%;&68xB0^u|K)~s_s7_k4wp;hD>fJf`ybmZ$&_M{cf59`G1|_-sjJ|Y1cBditBJL!uYT%_Qx0Q zzPdqvgl}o2t)4$pFk-RlE7z~Q=auW1-X*_Bei{eU%TKxyO_m0?*Da#`ix^CTjAe^C zErQ}Z_~}QW|E4B2+vz#YvOwE8^*Oxl;S3xv-dpVW7MkTRF6pn*ihl6bxQ z#A_J$%6yNIE82q_wqhYy95E|%h;r_HUe&x?L~HsLue}yG@{;Ep7hCpjnK zn$*uu-ycZcfPRF#=5PI@0P#Q$zv^Kc-uGFbSM}i^clT9g?fWSsb z`4AET+FYlGbP$Gx{XoJQml5VNH94dgUr3n*_bHeV(-|BQ_19cRqjNA*9^tu?)j#80 z+l)g_i0w;OA8D>OEt3;s!0K66AM>huxfU9B|Jjk%hpVt;^{*qdmq>g3_40p#8CkOW zZ{n=}18M3inzj(GJVFziS|ezS#4Uy^`;NwM?|g4!ovT8-H^i8FTD6>f^}{_bUX1De z^b!4yZ~NGP<#QuS))8OcM-=k~HyG-IFc6(L(vEueA%zwtyC4P}qee_XG-^SL{IRbf z$SBzb0RV^DL0%EuQ$mxUSEMm@K@^|BjKCH5dn-T3imWcVAP6D00;xQ1fK!sJd_lX-r)Z5P5vh6~W`&t|(;_mjooKlm25^ z)n@qPWSpuoqLs*5uF;6JCgF|0*O6+3n)0cw4e3&j47aUn##2>;ddkr@x_&*M(ck`o zuynDc&w?ejNBD+F!qqgb(i;);ES3~Nsd=|(v84B7N&n8T?qB%1k1IG0?R0ZXYJG30 z1&Q%N|Ll5e9DVkc=bNpMG`d~U0H6U{2ui-$1W^LF0XnC(8J`fOCG|t2Ack%xS}9O| zv@6n*7C|N6ryxhQv3wXS(vrF$ehl-o5Ilepw-J;got+~(cR>>@g5=uGD_(B)iUCtI zqHVDH`6+CktZIhOXeyj#zSz_!hv5#V)|xiPw%Mfgc<8uZ<$|q7lBxcw{l-y$ZAa#y zU|!X0g;^xkOJzIioxnC=CQQ^z+1*gpYX$s}_vgcs_HXEEfvUJ@95M_1xn2uGiA`0n zRsPoWd+U0wI9Ta)Wb&LBXxY1gitH`2u=?mO<}NtNpSdjTtXs60yZbSBfA*jJ`#$PA z0!Ot8w>EbNkR=EYFqr5pa15K1&D~+mK3{~QptR^&v25-NxA_6Rb}eN?Hg`tvixtt0 zqJd)^I-9#ulU$iQjG2+PmLtO4c10<#nq9O3eZawV+acI$Nwh4xc5#y}}!PmUm zde64CY6l(cX#L37{k~+HvSNDRcTma=$;96%k<6~e znJ^aozjv&Wv0Vr#-oykHOUCx$n4e53P54HO;k_Tj`;I^SnLqotqp3-QemA@&(Rf;O zd>JyfXd74DvwhWOY}bG%sy#gkfksZmRvFvl8D^A*7b#w~r?Fzr*dDtxB3lZsVKJLT zY?ZO?w(KXxIi3zOGA}s@DdZIgIYQoBQa{<#I-%Bk8QvMVCYl0&PbQXctp<^tpY!3r z^89yBbn}Dp~iE;{TM$8HJ%HO@|;uS zx!@>~2sNGyo}u539|W~GDBC=fiW<)a4;^UBsTwg7O4!}AplCdogqov8V0+81*Z3u& zhD#pS%s7f9IB-SN+gC6$g5-3`QN9y-nq6{~@I*3KMRJVsB)9e;UwM1V6V;=APQFSr ztGa8r&OLM)2u*IMoOQ1U0g1NJQHqile%xn)AJ<-j31xa~T|IC4Azl6Nf9-dD{QsNM zLIUM(QTK(<9FvudH_hSNHR>Lo6jTs;XgC<61*(hHGpIQF;_0R_iG$`*(Sxy~q=j4% zUMvLBl>>vJlp`T1X(1Cl?odym!UXCM1(q6oE@>fOaf|G-2x3lgduT}unc#V|Ht+O& zHgfhjw4{Yh5c&$32prnyFjlztjJijkEGjfKdYFzyU%Qf&Ot`P9fIs{kbWFBavO?X% z<0XcJh7^7L?V1^NkHLvL9QuTLkv++Ldn_h^V@{N1wdQQ!IB8sKzNKcJRJ|&YiBaq? zUga4|O-0%$K4pDApwrXo4Kd^CL5~I>4B_jFHIM6#b>Uw1iZxPv$;BagG^1Do*Amr~ za5Z5VQ4dKwXv0IEJ3Lz#rboV;3e~prFfNGWw|`zD&KPA zl)bl?s^29^^?*q#ReTe-s5N2B#HqWPIQ3I+`<0i!BisO`d~`R!31MLj4glD3tjSr} zvN9no4UG$)_FK?9P!NCv)=9D;?TicVcUwi`?O{XJXxmqaNazL>LE!Ktt%Jczy=f(M z16&Y8l63GbF#lV%?y(}3i3=XVC9dfo{3wmYLXd8N3)*;Iq`i>-Y6a;A6hWsaC!uir zt0iP}Nlu2;V{hSOG}lK$wjv1tr?k|8!a&d>`AUi#;F6HTg<-%5e?X19ef4P4WQSpn z8j_g2VmGS~+=yN7z*LK|9fWVF8Fuc1WQGCl@YC>r<=Y$oL#ci}3GIuep46>2aJNby zx|;4}c_l{8xQZ4?fr3;;2D=e&GEB8>hi&VbdKsge4tU?DUVtOq%h#qy?T)PXafO6?M>C4pWqiIyBW@F0sL4>;OP_cO%GG-vw<% zG&=wgbv+4S6l+u18g-E!jXBe*o`edXu89$r?e7*-?Wc*~jhqLoS)jpcf4F2< zT@qYg)}H}2TA$}EqaFsc?UPr-dNUYPzaO;iZg2fx74>F>IOMg@4;!@XLx$Q{^nZtB z^k{XdY9#%+^vFL8J@WQEFGl^@MQ6^tMT=3tAEW*wAN+&=-4_Q)L=ih~)C;I^Lmpcu z&3A4M>?;F_xFBX=!m7c*FdyII6eZ7V;KO6Lw*I#B*80z5Me*kyrMTgI9-1s*MA{W4 z4ex@Ofur_ve-tjro0<^-c-d>Wp5(-HkHL#CNZ{n>$dF$S&03=vbw9^R8z{!&N$SB9 zi$e3FX5?o3*O(r+Nd9lJdG_J8gl5!(qV8uOf|a{jw498u%72Gs;%}5l31>5WIf`AH z5#F@T2y>Ac_V`a&(^gdznQ{20|M-XA6Vr>eZ0Kn|FVq);?MW(*jzis%=EGntbl&n6 zwl$!SsAhb{GrU;1#>1Q)R5LD!BXY1j+Dj}Sm{+8laluh?55^8ISSV-rYk1u_$Bd(( znkj;)jv)|20t9e4)o}I|eoRJYob^{zaNi%;UQuxJDHrEe@%_dIFs#^$c(kt z+bYRKx(fXZ+zchrXKk(Baheq}!}69m&lAYNn!8gWGYa$`DR?7QOKax)1Iky;t|Aj` zkxP=yHwcMe!Bs@a-eem!dzi9?ZQE6^5{UHTgH{4%R6$BFq7qp3sbP3QonGX2q!+i6 z4o&+bp?It+p;8-6=}B(K{!O-3FHfW=Q5QWK)#v^ZX56G#9CH=YxARk%_9{&1j6eG&KlIQ44^yd2n82CjCF?bf5fSdriDf~oxgVZII|4P1 zavPGN$0J60)@i|aq~oqcJkG!QMF@$ z)vcv?nDekz>T-`UFD^Dtag{N7~i}MC!UnoxE&Je#Y4^$;%~q zImI4HccL(Sf24AfnO>goycV-Q-}3^gxU$PR;rXjCU4QwPyz^aO8O-8BJF*Kb@S(7*+Oc;Q$on)PkZWaf%wke3~G)@nAH*{m1)Kh@zD zEN2}cPK_PRW<4B*fmXQ6totCFBMfG1S+iLW`+q>l(;T`o>%b0K?GnaVd136*!R@Ik zl6yd>HO0CIy<58`vkHFAgN$vrwmFDt&3t!)jcv!-_8CZ9i()T0)B2sz^}s)Q^MfV zgu{smhO|Et4i%njVvq}y2ALR#35+TiEjfmar=kK#=g-_>+LX&v5*D~xJ75hj##7mQ zJYgDpNr}0+=y}VxmX}uJyZ_85{8xYelY@Ut@V}mmHo!KTIXaFOL;J^6=Lr&{BFN4Y zYQ@}HAHU|?2rXt5eDiM}27(XgKcoiweaJZkoH&S%hP(*EM$Vt@0M ztU6D?{Gu?{(;ASMc1-~f`X$K8#Fta?*cAf6$%7-Dfr<2=(dz5<`QIwvUg^dH^ykgxD$6U&B27fzX$aN_8Sk+olR*lbxw zf#i1GJGz=1m+YWed7f~Q#Er@42}>2f$f{v{yD=TR`9+G5wKa7fH`nYykJL)$djv9F zbXD;@cl~)TTe?Q}16P>U$Z`)PyKQro=Dj$W3zw&~VzbwbRbHIoiFER0Vqjb;pENHH z_R1~_H}BxZ!7};9;bwYqa5~kC!|j`1oRL{|w9toa@g?n_Jq~$d!{fjJFc{(FB4Bpf z_;5CA*0AZEt(IK`412@9O$USkZhkr-x6}TZt%lNH!KiaMT-9B*lZPkm&+W87YBDIq z#r~KbSJh-DX1(EpRm(M_-#9<5@_5?OZQYg=)za~g9H^FlKLo1ZFWYhcUAOA+E|l}{ zFp#Zs5g}0T&+WATVbx{s#BR6hs^8y<+KcNa+i8ECZ@&NCe$`bqsZNw(+P`7VJ+lf%BU)S-N3^-71Fpn|57h)mbOY$X%fy-oJaJcZItwv+B|W zLB=j$^!I+|Pk&F~XtW{1V;5_Wh}Z?re6M$ldc6n&%>ws|?Q(G1#Bs2%tdQk`N5l`} zvF$;osD4-oQq#Mj`S4f~+`;PFg&YdKcN#BaKGz%=SV%} zg6R7PITWnie#1U{>a19iZk-DPI|R=Q<_wodl5JT~VwWPhKa+Thl5pFaCF^!Mpl1+g zP!eUbR+6^G*E|D}BrSOR1M`ygX-Xl>C1H&Lb>W)mvv04ZxZW;#=z!QX>^B zw_Vp1&gv@`Ptj{}*ImC`Penb26>%852HNmSWj%3~WYGnvr!&;T-^5YPCXX=|R$U?e zvyD{oA8x1G7E1;vS0S9D8dH$XTkWwn_=!OZ^DtpyLxf5%a#utS9uQMoh(BS z-o5eyPqF?36&@L_JEIN+Kdtg0>!PJ!ce)a;fG2KIn=o7uICq1<*}dnTU-;T2HQNqD zerUqUXQQ>KKzY(}u`S?!kElQ~0^V)l9Y|~ms150P8vyY6zyuNmyKSdsuvn3X(O0zQ zLFQ!$#i>`MU35V(*)W%ibKLC29|?Tvi!abDx*(FW+Cqo?FwTL7J`PRC#RUr?z<$H- z{92HXiwlCnjX7z=of{^8>vI&x#U*XXE+uz;ur5;rE_p_h3l|6u!MYPN%6yiRbalxC z<|U~lvUloV*;mX2=DULdzsxe>=xEq%uVn=QKA+BjJJAd54mP~P*PL`6)c#ueYs7sJ z^Gl-}=DSz_QahjBha{YnOrB!W9o&hqtqbrtt)tQS2+gLs3?4wW|ALab9nG+?{qW^Z z`7B9phL3PRY(MtwPMDZmm%QdJ-&!z+ji2*1pZRS+KCOLi5~{O_WzCk~Z)-%Dp?ypH zMaKrRT481FTe>Q!R2k~zLuc)K1QUuxCE&p~vVK<>wf41n?a=2?mhFmJ`<8Bn{Thsy zW7=0kJZMUUIU0_|p)2hh%?e;4?lnMU?HkPsz(4jR88+G>Kuu|oiZen|YTtZ?D(!p1 zQW^|`bhEWPmG(XDcCLN9J}qZ;e`upbqoZh18|GW<>7-$;epc#eJJr=$Nj4f$mH(yP z6S~)f5M#DF+P9&r7h=rI`Z1)=msGbTr&D;BoG!$3xVkA>-E5)|$h6SK;oUsV`vxfX z|M72r?>D_3ie1Cdem+n|*} zNX6lT2UJES%?CGJSUw^*q$942pljDdcM@<}7R=cuR9G$Bv^}lcoLrR;+yfOM39K!8 z+nRIC`9O?C)8Z|%XU2GGXGO=B*cd-a;0`u$fsv6rt_3TXPqNAf8gD$ZXDAG-RY_~+ zeBiO$DC7c$O@^pdYv#Ly6fGG+V1Q0&DQtdFl@FvhEoELLIAJdHeVkO+RJ!WV2C}SD z)v`gU^jFWfJ%I~dz6zCHw6%SR#$-Jb{Al|yubo+ik(RR$d9Q3V22LeTs{D>b&gEL# z|6M%mw?7*^n)dSCmuEe1`618xga5>z`_W$)3RpX&9fEBA(jX;1o}o8{KLKn8bl#jv zz-Ww(a-eY2AAwv|uQddv!dwJ5oE(J}(GIJrfDn|>rxpYNYE9bv`q1>O{TvHJFccDy zAGIJAunPjxtNaN>t*TQWhZfuHhlYPCX#flav9ZV@C^#DvH2!?l*VjjZbLGn;3fPYg z*orkP0g==G8d1P53DziHad zGm}cNHebXdTD$dsm+4k-NBQ8Qc4Y~^l6xwzsk^6Fu*={!)N+Hg`=+-T-fH@Ge!H(d z$oHiT=Pf^^48Qj~zxe&15!Gq+n%x`qNEUOUQuwh5BVoo!}SPg(z+e~YUH&ALns(-tOir0E-teDdb zN2E{Hd0VSy&w17=$qT?SJZ2$P9R<*CZm-?H*WZBRV><6b*RAzbt9Cp zZd>L+H(IY${u*$?8ifnhtb6U}d8b*|7X#2J{W&tR3#C(G*iG^ytF zVoM?c5KXTW&nPl7`uB9*rK))$k$pH4S<_Mzx2QF5OC9)b>cF4)kN=DR+UErmgZdh6 zyo8vBsT)K$14Djkgv>>G?yx?R(3F8yf5>n0v zz{r{~D91}>?8^aBi>Ab5#T+kzt%l6=7L_sWZhgKFo6^S$r~CG5V|4R>T@X{yFJnqdb*c$Urg!4nbLW;XfdVt!+!A1 zf8r;8`HQDbsQ{B{N_V|PVP#4^EQj^!& z`j^fP_&5;9_&A7>m}8y&WD3Rm<0pqETMcFh$3^htQzIj8wC#rZwrjis8Wa3YcmXhA z78n`2X7&|9H0i-!6>sm{;fZV|)04e2vP-;F;C}3ZXwsv-f+xyGJsf?vHLCt}^z>}w z=or^4FX|4I64kSS^Gpvn7$r6=h2Tj9+NOQDrRB(fJ5V;Dv7jV)vD$Z9x{->cFj65O z!?#~Cq;Z}SWxJ#$gWwZm#BYmU5}pW`jCMwFJG8$@cp_Z#v^kS$oDGFYT2;S&-g^Kt zgdV4ZJd>b7ur~rC?R$VPJwX)j0py7xMDAu;A`rUL7*eQ%GW@k0>8_4Bxs(R3ticACS4)ytSJI-IYGGbF7uaa-u$<^{rkU1np3HAmMaYR{*o4aGF za$R95&`LzE?h1=_u$CoxM+uyoug>q&W>qCCYyhRt&)e~oaiP>Ti{J#A!|c`R3C~$Q z%dt!1z#xXtIZ> z3+y=yM+A)x$<~04O?UaZFbM-4?#UX$TP1RU7Ga>lJsCqbf#1~MK507W*%Z$xr8bhC zNPo=<5A}D@!>vXp_h;E(`YqeCRP&!XzSSI=SeDYMR_{-n|BG7vG7hIQY2q;D5(vYC z&|wk-q)jnkMauxpf+p?ILX{>MZuymJygNSSwk%!QFTeA>udiIuVk1~}jdr(a>!mB3 z&rso@(T{jehaaXsIS_aFvUi`=*zsfD_vM$aUkAI*J71NmI=0e%knhSZs=m^W__E*N zExIJP@!{|KxS#r^R6-C!Ik$L51s8NO3l%1iSkhuH)p%v!0xRqQlU}r@SCP$2ajw9fr)`SF`uk+qm|IG7C|Ihow>< zM?W7sowN&PI^!qzA2dI5SGzg~d7rIx*k$>oi_A6mDm^p{saZCy{nUovEk^q<;`zn! z-=X0rVa;}b3)60oZdimRqF*BVwa%du&bT@oG#r&1tL$4cqW_k!`TWQKT=XOB`{EJ3 z+k}&lF_8BaJP)l!%BI~ao2bBcNKQAVD;0Gy;Lsrhpl@i4klC~!*Bdw!G=?25SZy}5 zX~){V$i z(_X0CfL4GvQ2&~m5aQIKgpDi#SRDG* zX)kfLTHhPH>GbRI#C&D$xv>4gv-wV*7WR9Hfpy5(XCI@S@sObWjS}N{|HZVwiB0>A zS^yQjqfsV2hc@D5S@3(We)50)v{0!!c*t9pYzz^g%LKFF{l-jKH0Q9OZ(kVz%mvYs zgW4Sh*C!kI9)eVO2sbP4iqa=rMe*cq=}f~3{^Bh zH|I!|S_HcjHKhn5bg6#~RjLaDuT8Cn{vwd^w7;6sk_&<`2xfsRZUM<|Ruq-$k_P3$ zk{#v%wUUgMT=I0<8^uEhp2=2{D%B;=Ys|LOD+G&IN#0UXsxFJ}0EfBs_%K2{fM)g!JZcmwJFAi>hU)x4bksvg$>u#0*enATaV zM-o7a!Ud+ZdZ)Fc(^rHRAx(m)NAWnb9<9`4XuY%1(AXMK;gx#S?h>j;^j9{A&g#+J zX9@y8(FkVs$mSgJd{&~o=!VSWMPENr^s!AvX+8n<{*a=Yqs->W3@JQM@eI^>@c!pnNt*ge@4vj@>HY69 zI-={P8qTAW%0l6^jD`*2KQ-(n;0Y=yFohO885HnE{hQE#n+(9a4+fmKkiz?`f8|R* zV;lb94I%b=jV>{U*arZO@#^PPZVuui%|6)>P-d_kjDI`A*BoM34FNUGw}y$u#FUAK z0E}MI7Iyp?ac=XEsX}ZW*1}kPE#323FlWyb=OTsxHkYRgu}Rk;ptm7T$~wg6gcwou zTd*#+YvvGp4-!dBLhQuHjMJP{jYVnAp&Xy59g8x}x=eTVAlbHzVO+L|el92T$#G=c;t$@TKZp`|%A*M*7<92W@v-dika_aC-l8d<31@W3Tl|-Q_}!anCh{%0 z3gO$G*C#1G24j?VQx#$>)ZX9?0sOu_8NWr4Q1QCDU~q|bprjQ~ECi`Mi{Js{Hs#P8 z{K!U--l7W@E-}vi)rOZ?k=~*U2A9|w10I?csXSfqi~+f#mG;n`suN>HDo+n53UUJEF=>{_+R~^N!6`t^9HY0zl?}p zw=Dyj6?3Rc`J{3~RZaPZL4C+w-~X)iIK632zA%w5OyrLp4<%Vrd+TnY*uU^w|Mjo_ zU|>o#)Vw(jfI)R_&_0|7z~G2BARbHufIGrSWRLCRFWsI@4EtGu)U{ZPx z>YkBj4SX{17PW!NLhg7sf1EuZp#{bKk43i5UE$urPSvOftHl4MwW8H;xU#KU_! zs51NcN{KJ*^(0=(5Yy*;Q zL)>WmB);Zs%N~KzP&-LUZq2Kys?i?`#3Ce$Pbxi>LQ~W1GkmW>z8|{V88qv>HLzElqgZ_xMAlg;osp?+SCq)VTpx^uFiD+O z7Ce+7Fk(vAC6OW^B@?m<16NY5z8@W+G|fAJIYMy?ews6FIf;SPq&_ZnF|uSO3r}Jo z7b%~dIvQM6HHILpsC>!^SblE4t`1{Hy|@pc>pB?dRN1j&XFnf&Kj#RsBc;cxWX6tV zS8-*4YhX}7xS{D9zre0LNiw0SkM@q>N2b)$noK$R(LsTN zF@bzt&?u$JHOSUfo|K!MPp~i}5 zSzTQG@s=;aLX8z*KQLV_)L03M)NNK>MGe+5JDjjnvK`kC%vTRajU{YX-fq5sBwSa{ zg~d@_UD9_T&N{6+7e45w3)|+%x1x;;1hlKtkyXvTaAB2vo0n&vRnn}#_nn{jD}O9< zK3YKXoR5{0(D1t@1`~C9;2_)bdoCHDFa}#totQV>D+K3?aj|JfG`Ol&v4g>%tO~}U z*Ajn;iI?`!yf1!^#@B_X72{&nro@W8V=h=~FBp9*S)^Pl=QHDiF$a8u@grh;Vnv!r z7mP9B8<~<&m+5imi)4%eKLVf7EGgcfuUW=`bNFI?@JVx4JS_E(oZ7J_D=$LXb5dI} zi>OOQy*wTs9J_$@xUCJN1q-tkpYjQmvx={qPn1agK$ew(<6OpUE%?vN0P~U;3>~iU zSl4#Q%A^-uSjsVMBmhn@m}qe+1cQ|}teZJQ6E1_cj5n&{?|Rm1F{X)@tjz1LeARn2 z_40t!Ua$X`zx9tl{-n~Dp*N$o%Vpm1B+%~2wk;ZP;OAQ7nC&t~%yURNN(~#OEzia= z+vQRGTxFLLw5m%mfS=2D`Gl!ig75&$P9}8Z5u4dATQdrAX}g_PckEe(?~v{C8HDN* zX6`p=35K=JD^@@|C@@6p0!u^MWy~()swk5tqG1chGs$O^RQnQsr8shK_EPj{aBbo6|G_IM;v0)smQ3Kk8AlVo*+)*OH)l4ujJe*2`S zp@zPul@@w+G1~rZNf&v{43VlgIe4YgN_ot-6g!0i zrcUsC)NB#hEizdC3d6f=eaYf>yux=-n`U!lhcOyaorM|4is_X%sJ=Y9pp zmH9xf2@O%*QKVOrKe|GXI&wNp#GtReeMTi^V<&_2BsV(OJmCM^H50%TWbP-b5Yhx; z#BD2?oQc&530;-MS+w4;;Hv%TRsqGDXLR?sYo_OZ1x5rC6yUiB9I7Xop8FNjs+|B~ z0cAV)eo?+hR#jya;n8LV#y#3r_Xs~okA66z<)Q}c3N5(*BFmHGO~zazr9Fr>cljQj zP|dbCd2Oh&B$+_KNK;}&uUvVA4E6P#_a-CDlt}`yIV<}WFBSIix04^Q_5I^VdlfnoO(peu)oP}M z+yRV|?eNWa=Q_Va?)9qG3<6btMz&*r=5r8@mR2)xwRaWuJOrx!WjpPU^UbYhU}o>C z-du3A*ZXrj_QwUwo5&t@&^_gJKxaO|q>l5=)b$;x*H0N(rb(Z;ezG0M-{XAY(Zm6d zt36IAdW#`Yoxg0S{kN-q?oIB_t9|wR_s#E@?X*A6H{bvL25P-JJ}*$pukCvmEMGr; zygXF9Qr`KpiEsBFsv$pyZ};As!u;4iDZ@us*eb$Eh;h?}xhZMCvhto9w*d00nD5P( z0sE-m^dsN${^$o(-FkaZJGHdP;E(J;XIBokZPeyC(#WjBU%g-_Yk@;Pt&uYcRG z3)Qam;1=2f=qF)N*)^xK2Vgmn-lUw8bz5tiYdoh@J}CLKYDTy%0`eNLQ}v&kIyarT%6` zC^`!Xhf-0<&VN%=s1%-UXhXKH;tJ2UE=odw;`c|J>B*I&l4>Pe?e4fOUjQ3ECTV zRE^f-xlw*#zWgo-ZHDe+c(kZ3NWwU;NJrHLV@AN z7aXM~rfzn@#B#I~TxUK<>Sh-li&$94(A)RznOH{DpP)*#qmen0|0*gxJe-Cl7}NIJq!_0>P6pOBU#-e z@CFD`$R1@HI{x`)N@dE|gdA{TSQD(Ly@y>YQ!a^PlYEay?}VGMT9f%$mqb+ry$h7= zynoQXdNgV4XfD*`Ootq5v>X*||CYi?DT_o=B%3`w2+AR?fvOE&SjTa|mG0&3aA_}x z6F;%33*`qsIS#|LddAShlGZ4?=bX|UlJ>}H3W?ce0}u|suy$>YTFIpVj<>r3Gy zAHUA)w`n4|JS8uKEDv0{%kwh!aNn1?o45Rsx%-Y^TmQ_T|KtL0(f%(_xqC0`Y15H@ zXoD&?jE?9E?@+b8jJ2{F(=zTKsGL~H%}uY8m+@}jj@~^JI8f{DigL+a5EWkt;?S^X z%**5zB`@P$w6hzHyOR#EVWY7szW6cnZ2ONRwEk`c7fnJt1@q2nlmm-!)+QfDS(w$Jj z#Cc9CT~(xYQ99ryp|MIcMgU8)_OxY6-Gu0q3y}*Pm!pO3$~q>d26SUX!}gJnKza0VqH_3`yXG+BZSiH|z;n z9q`DAiwNk0AVlK!nZMwY#|_l8tO<8PgXr{_rDq%^SD?@sMH407KI58`w(|rp98<1% zbTKH3@peAC_zQk?4qw(Z<#x+lQ7tJ|ZCewswo=4FS(rl^=c)OBlSE^prf0$Q-s`3p zSgarY2$VK-6=-Jx0Ph_=-y@`NbwCv`I>I_s{i39VR!{(p%<97YC~zV^u#L ze{pd7_%`;APh1}_&Ioh^9s(*aVX7y(hHy$6?6hNzbd`Rc5*Rx zbSdJ1@IWqd5q9DPr2Peokxm!__3^o#jt?I!yGTF>0E&|L7sD1VRy(Gi(OX*XMC3}G z4v-+@NE9GL05ypnfNZ%4I?}_6T-ur>*pfItp(EAr2l%AAezF}u7+pUVbOvw=KvB~5 z)3%_vezG0=18S46pFUp2#Z%mlZ+i2R>LKY?!o%sAX!bJUT9-{M1yD=4hgVn(sFw7H z(SudVlRJlR^cNhWFJj82kRR#BdHtyD>(i8P+O=>WaaRs)el$vYG&a2X=K0zq;BTI& z&_tECFK)f(mFt(@C3H^m(`3F?k@TNb3(60XA29o_(7*ObtbfIF)5VZnIpLv8%cX7D z7B8&cqW&>%+SR6K>#qD|Z~D8!GRimn;a@!*qKu+JkjE|-5;31ftS%C3dqQn!TI?&M zODKX$#itXx)Da88oT|fk8jW4xKJ?OH)bwRVz`X^|4YKcEilxc~A)km03(3B%0L%(I-lMYJo@JG)Lk|Q5@OzMhw6*~MJ@XtZcdLpQQ$C1?Qu@JnRSrkJm*PtG zDjd4+gcGGg=&Z93t#gD#j~?fc9V4D!{$DssL!T&_s?e@n{9g>yTqwjB3h@&(*E`l> zdMdIaH#)}Q ztti7JC1(v|#eo(mDo__Zp>tW{*wYl=lAN@%B6_82xV;XXDJ!CAEd3a)L%01sRG=;h zU=b&LvAqZIP|=I_RnysIq#SeV&})!wXvKQ64t-#x&yEByFU7&DIy9=~K<%))3`O2v z^QyB6x|lgUNP-rJ<}Kewsde~IN3BCSY|siK>8y~PsgxP*s#YxY9g-{ZzZq5%e;YL1v#I0Hq0)b->R4CxWV8% zebPM-oFtjq_up<^_;`MInmUcA5}i~@53P`UhCbwzzB@>^x{^$a2v>=mHnwrscAB4+lUaZ^QNaAB%vOug{F<>sZ&F3R}xY~Rcd3ZD+%XY zrFM`St8!_Q`^dSpCKtlkATlMIN{Z{JnWJ<$dQD1A8xis!m$BA7ZEC3PN4&(a-^TRV!u-Qor<_LZN_$o5YD{x!J4VCPl(f|BwEjpZs554Q?C_ z65CK8HD{X?22L|g(|a`8qH+%8G2}=w#Hvlun?wB=*R{vs!A7tO^~<;}G;X(oIn+l) zOqUiBJkWkElp}}wX6uQifIrq8I*0n_GOP=&^;-XnU!6n!nANpCi&d@ycs`1@AHgF! zF&s_F#)lkBR-rzQ&4Q*3_%@~hmz=a=RPbsk+*`C3*P{8W58Q}dig~JxlQ*oYqlXbO zWreUY@<|1Z7RNR+%-m5LdVUxQCVTlQ_`l7f%_gPBN`_bP&zJFt6}Drd=SKpXuue5S z4s#&@iHAb0l+tih3ze8Z^`Z3!<7bLiF!5y=!Mm@&^zo}NU4QwPyz^aO`M6NCNS^x9 ztN8O*t~|9qAk|N;58onMjG4EnO>r#42<~PW!S}p(_0Ioh3KAPgS0uYzn@XqIjY|Mn z!JyyTt89Faz$9pD8erSj0%qe2ER7B@K7ez#70kx>9N0{cHr-ljn#j*FpqafNGs~MspnUdezAKswOPj?C1e;-|)SK#s~a6fnln<~Om{8I!>tL~yYKKvIP3A5o7}0trM5xFUkeaO#O!>vFU&EM#V8qqg$P zW3AMzh;#-5wy#hT5k=;bL9NOG+Rd>ge00e|t-4#evJM{o!IG zd`&QKuuGb0)eTD9xzKS-+g+@)n&9Xr$mUxk#T=H}U(8^8Xj+-_#^xutVTiF+7xd6H zgm29^?#8^WRG_ZhnprWM+062(%x3aTJDB_c*wrd=reM-!mupK%`UX5};YXkip~Cb@ z*&rQIw!^NnO(7@l*qvPY6$%oKxSLt>G-34g)V|k z;<#=$vG1*h>e=!WCZ-q1&Ipqw2Aj8hYr&Yj`_F&mU--J8|Cmx{*1qYM`{4lk0jc#4 zQ94M{-B=I~Yce~d2*zFn)oekRK<#T=1kM?q!wyLJ%(A2)ZqP0wcf1x|tY~>IX2hmnE_zeXQ_*GO^A;>qxSA>Ej`UXT$ zJB)N_1l5i7>r-Z=V!U*_q-bAXGmTt388M|Fe3qtEeKe4~(=WCbvfQ)2%u2Xu>PE57 zk%?U>J&w9r5_gx8^|V2pyr!-lZ=JZahxNV`-n`|96y87lBR~1y{~y5^tP8aYkFP8I z6zDMw32yaRrSP=9hzf6K&Y{1iFTRi!-ocSNpmaGZ7$gLRI|jvewB8 z4`3S3h}Sl)R+nUjw?~Esf^3a*zcdb=6&@<`T5?DKr?iOXLuZADio5c6Lhl*YAy%vu z9$G^1YjJGQ{2JOINlGh#sm9Y0NsBW$^iXHZMKUXpI8D zv`C_;*1k*Xi}{-1Skg67INg*w1e?fqx{im9taK4#Gmd#LAO-}l&)A=|3)=eD6UMA!_N*qU8+-lxsp1g^ECWQkd4I9x z>G<4^xtzyCg0x2CTH=sa@pqKroBU$>CULMc(Q3}AyaK1ln@8j)P^ zxaDj~`qJIra=al(ZQ+7t#5i~%&G8LEx<^G2ZV4O|xH53h)kSc@c`m|Wn~k~x5#>t& zog?jtsC!>MO`x6+anm|_Zl{3lBp{AuJK?=Lm*a7j`;S@BO!~X6=iv>=iAvP}sywA; zSVG;)cBp%}!ZqdxYOXRD>MN)HYje>{%wAgmJgfkRF6J5jHZqav*Q&Fe4o{O3r(gRn za%qYQ5eDGPioS@Xx9=eX=wY3or3+LrD$F9JP7ZDFEBH;D?M%BI85of}El*Nf2oN9kZ`!(4Eb4VKE#1xLwXsSI6klna*1&;`MpLs&Jy((8@k)9tIaf_?-% zO&m53&{i;Al4SPB*F5b`%>KZI0M(DWc1bc<(7`Xnd={Ytm`)@L)+Hy^W^uDbPx>gL z=C5<>JmL)MLPKn_jn|l@-)Oite5IV)fUC5rQX4(!Su+!X`nPf|HH(<^Eg!3JwxF({ zE<=5hYZc$8TvU_VkPKqlza6oFZm3JtA5uGT4&(T&(O16eL`_|ixp6vrdE8wzed&+j z6;d0xnk7BaY+_$zsV;XeZTb6hF6S*jep={LcyjO#OUNi&==M1uFc3gf z<(4j1K|+`4T-p|VCSa08aE+?ByxSotA)~K&Lab=9r73|Bl#tN`fiuDw1PK|D)oxa# zKJ`Q6$YjxCq8j6o8$s&R5EK$Y3Lb&$W$rr;%?IBFQG-`D47k+N(2@nIPhAkk0sI?0 z^wu?6tSHWVIsyL!c__CEDcL*x}h>bG5aNJfuVN8F7o3cDpw*LvZtc>A5|Qo^-v zHT&5f*!xnC^Ohe{kDvM}AN@ryN57wv`#E6KsQyrx1B-)jgPu1sI3*MKN$jnxT}*oc z-@?Y&30l(9n*fSMnVXVVl-S!=EF3^X{H2nGH$kv6P)9ME-%A}YuPCv%30hec4#s99 zj$9U$*xL`ig&CyYK`UX8T2f+f6D%`#LGWx{j=`>|v3EJit@Z1#_eLv}B&FDBl5k5o zdlX&-!g(5+ILlc|Pqjop?xu#(@3dO3pQqHGO8LCX*$B%y>THfos6k4PW*C>y`yN8? z%iTeHsxJTsLHNGacT20^!?pU&yG5#a^ zPX_f0!79xI8ennbVd0FVF9dU%2ZVb>t|0*KIIWuTO9)nJo@hWgG>kfvHc~8_SKD-B zsDV(w*+qHF%~hBr`Q(50f19smQ`Z^_1>~FSd*HFN)O3yq@IvFciMo`O$x^CADbG`? zq-{_@u4FtJ!^bEkBihuq;Q#Xh#W_m{;-Y@v(Z~uZwrKkaMon_|4 zJFE}_Y)+#i431z-x1a)rxXQydv~6*k-LuD37R}Mx!AxiQp^w`=r&`jpKUp7h2&tIM>>q>?@uzFw#PReUCFf#|jpGKxb_f?U+~0v4R!!pwi3up*Y7XRyb~m zUZ5IWYrZEhsdYNLK*)BJ35&4;DY0^_fQB)7VEs}S4zgX>XQ8hmIRzx+T7nty7V$^Y zctd)XdQ!FCJ%t`c*}6uyKX_O7{WW*tdY9x&t2>wGuBPxQD7>WX8`~i-Z75qAsqE)&!RDd3WUf#FLO?RNoqZ$D2!RC;8o>Ud8 zZGj-dbJM$>Apk;0M#AWH3rv>~%Ho{%r9F2+K+(w@ z1@OTR@bzZJoSQCfwZ|={Nj8ExH_cuR1wL#$D_q7o*174^NwxkFu5Y_!otp;U0l6fc zrA))9Gw7mF{r5oilA@ZDWOr;N^W8as|51PW)|pe&dFIjqrsh~f#shR4Cmmqw#haD1>gEP=rYh8#46B)5jlZjsR&*@7{s|_g>%u-s>vI4gYjo*Y$iFR;&H)J>e&y_P|=BS!I*lA5v{bP zc||%AE*Mi!2c}9}5YF4BZQ3T7((=C2iBPdRUOU8uIwU5oVuW%v7iEzo7 z5V~d0T>W#zi71jWA=C%ur8Vh9xMWNSMaZX7nM+O@e6`7WI#wYt-dF>9A_-U9X-K2O zUOZ;=-D>;4jY|-w{>g(*)MdDI!VYozA)@5 zm>}O6vp??^EoT3In701Nul=hZ^3$5bD`5$3y6`Sr(Oz$Fh07m~dimh@73NAqijkvfC%d6bMrXvc4f2=sOl8} z0J5AF?S>M=RPt3hUV1TNcjE1;q~SzgE7E}IY)kv2M0QE523TI|wq9u7OFG@es;8u- zU@kprd4-FGlx`~L%h&p3qMV<;MMksUoS`(Nx^GYYgwj~@ne)A7jK00weCE7c)avym zpLsX=%pW@cu6O--vW)^=@O-8NGfo@naRKy(K0Zud21kf(G{i%Jt`m{oVk5*hn&2qV zTx_EYqQ@I0KO7pqc)Oz5MiWG+fZlF_qpYROn$J;eqpt`{uW*$0P6+CAP+tx{^`6-5R5g~Zjn}OtH6Nftw(NU-^c^!YlcO?hd<2Y6VN$pU#_ zAnz}|{B9ZZRv4e?TL>kW`}>b7u9iMu6nC)M^ZF4=zU=$*OV_Vsxp%%Q1{zx_b1dJL zq;mC@I#p%A!CEo~+|Tg6HfsjGfAs4%KlaDMuq&q94Z9({(`*1lzuI6M;BT4^7d#>2 zl;{{F^u2z3zV9x0M4PKL*dEUyiI(U%R-`6yL7O&!Xb9G|Jv4Ph5iGL-F!Ei~aj_x| zy9*W&(+OEYO>KrC4Z8~>Cgz+xQU-W>F$9^hbU{#CX&4QhsnJ-6pcrr$6+w9~E|4vmd==G^X50Id6y?T|zp`-;PqA zSIKBlgiF@~aLpJa&O%vagmW?)2wT=Bp$d*`2~o3PPDWc79C+Hm+@c0NR?Nw0R3@#G z*r7_<96BeXQSBs^Bo4jrKoyzKah7RRleSLQP%Wca8#P_isc4lOV(`)B-7wnL1tV15 z4En!AMlk+n=5VA6wxpupm{jzVduG1;Eit}s$vtD%?Hd-Ne(W#&gTMOz0M%$N(nC~F znFh(pb}QjuPJ~w3&FFC4fsd}vt=^D(J{w=1r$MzV+YgOV9hQ4Wa=A@=SGi}M{lHd8 z;82=FSGngxaeb)z1-lpN+)Zr_G`3Wt4?T%@gGN{T`)W zo+SCD>g%I*OP9nkWliwU7?3wEP}SjBT9z;eP(to}ngXiv^V}cV(#ihHO+SyXRZ!1- zm;Opb(!G3kt_^_6YA+2_=(4xT@98|N8>G!z@KunNsMnn3StJi)4*bR~JpWZc;ejJ> zbz&?FUe4ml(K~`X3m(7g8sA;wd^Ck+<@C$#JMxf5VOyKCBbFuUABr1^^iOIU)ebpr zjMhbv^u_)x2UnGJ>21vIKXn!w-8I!b2(|-NmGp`B8;rZC+KwOXBD0auA90%GRb-)ZD zH=xnbVKDZUwMn=jM%CCP?183C-e(s)g1$*x^hlfv9TzY=RqaJ^tb3E1!v%|m8*0j= zYIniWrcB;^7aVQMtniXP>vX6oQ?ie~B-AzM1c5OSjiH)-w6DBPqDbyAnolM74Gz(o z*NdboY_L;;X{5b56jAdC@NT*QkY@q99+l2VDlL|7AU z5t!&RyqMBwx1rE<$;2&MOzHiU0YCLGe#Vb|FlMW1P1bXtjukV_$DwIT%ZgjFK{Bn_AqiLsPFg8ybB&aSEtKee zHA%x$IKnWz@ixf;V13}qX;t-Lux^(OQj;iyK1NGP=yP;jRCzMZzb5;|yjjxUO~VNi zyARuOg7d}05#|V??Ip97>NJ^Ln_`TFBIt5kWKZgZqU(iqbCYEAmT&balVpFW`}z;P zKip~U@OB+w{oc==6t2F(6tkT$zGj{d8fzEaufg3#=XZ$tgF3(k0i-9m0I7HwX;l{X z6|@T7X&1DdJyryRW6gi@;tSLPE_l)*XK>Il*M~lBK1aIKMX-$1ULP=Ntrq01cR^&S z$O(oO*JsosYC%2~E{F+`3ev}+g)}W6THI-u#4HUCcf*p~4On^WC8hpRB;)6x1Gtss z`{t5|!X<+F8|@DlPqRqkm`J=~NK)4s|E7KQXqK8xs_@bZM582Cp90`uA6_dU{YEey za)?IvW@*$NbnRoTEq}O1-N8P*c4KO-j{!tq#KQ52IXbJ|qq}7jxguZ4ZRQWzqkE}J zT{2}4mnoZfi(0d^WXkR)Q}zqL_6^_vRi99}M)hX2ru_)~Inq@y?fbLPb7tF>P5T>2 z6E^BBWYeCV1;aqNfzu(YwuLwWl_l|028hS9X&)sXt4#YS^H^otnHUsW{KF9SST^nO zd00w>!M2Wvd~+((PBs%^B_+V3=LFQAmn1T|<_3XXO5(Z;X{2BHaWb{+eT|c;RrjbkK*v6rn#}DhN8g>b?GlXL4Vl`DF9=ts zOP){{)|~5hZL@{q7Xe3*+%KIe43};PLfGnh&T^{M7rD9esNY9hqi&DVw5iCf`lE_E zo;18IlC#Qp7gM+CUPG{tA!jZAW?5Btv-%Ni4}BqiLkePh#etu{+Gw$ulAWzt|zn4Y&vd0D_ABWjpP^U2!f}2}8H%6(Xa&KcAz#KeyBV0uPD( zcbgRhrgVJClcwWyJN9pww)OIqKo*;LVK0wl?KN23u4gx^I`0-OZrA-}RiFElU-a4U z3q+}=X58)ajHS6WY^?{v6ILUqHu)O6V4Cxz_|Gg@XI1y)7Q>30gK?eP8kSM<6B3KC81j!8}D~m%@#}>geSXosNgFBjEO_T0|M~tDDOvM>s zqP8(p+%A{oM0+V^p0~;;kgt!pT`qZ8udR`C%lSq1C6|n*OP+RQn4qr3#15^@+E;`! z<+}s7Oj+=8biw;pN6)vXkgx66oj{pR{$sf&-L7)h8s zuC%vC5E8h0VkK)8^!U4}3nC3rVN z_r#Ty-fc8*in~>sH|xzAiEJjn{Ux~6yO^;&&E3r9Tg%8i`Ct44$G`R0K5inIPI>Z! zLF*%Gpx&@8Oinux`+=ytJFc1j&Y@L~*1(Ucz=V1DL08U->&|%vIOOtwtZ-2bwKGa@yPNJL^k*q1?5AmP;Rar8=mQT9q zP;$7a9Q%;dy>nKM15tVu@o`>3ofk)+^{y&VP)JQU>aZadr5S+im18e9CItqv9gaQB zMGd!*9_s^GQkjw`%dy0c|+n9M}-PQUz85G|$JUqKM-%w%=aUXouR_>MLi#Zy&*JPAI{O4b7Vpl zQ#yqLo@?-bnamOOra$TmoxVDu5z`MX+2%hgBqjgvlDmyIC!^#jgIe<))qubxEiZ?P z(}_i@+QMlYv#*Jipd_*o^bHKe3Gcm=W@Q6h?GNRp%zM)j|PutK2Tzm76l%&U60kCzqm zq&gg@vukR5yKbsBw_o9@jq_(QuWIqwiNT2Lm+f>woNq?(?ohs~V(y)ohqQkkb9d|2 zE_-FW!>X%t6cDI>KiQ7scR1gC|97WVSFz4Rpx&R`u|IyWys3{@@p5)zsLLk4?O>-d z>+Se?2>S7D2igSR?C>paB~0a--wro%9%ZSnTDkIBKL7CxF9_{eA-r_nG5i$B78ade z1f4bW8oAl4)7hD`dX{4n@?-n2{NWFd(vs@k)**t*r-J71r-T2pA*mdq^^TYkIz$KI zAjyK2L$oFX9|im4K;>tL2>4glcW|%P2gxnw6|+Nx12RbCIikYTDEwqY04UjL(FVmY z>i`dHlO3XHeaC>_cEw~t9LtOc2$i?C?XGf&5W(2w;vOyk%}Hh}|D3^kB|=0yVYbMV zR!Kb^bDE0t6ttT9eH3o!fDHVf@K5BE?(?+6c$-BB2Q6nZCRn70P+Dk$0;O#dp_rSFsd11wov}Q%+sx(1qQmunditer z3}vV_(zeb%yL-tBEih>vh(P2O_NuF9th_v1$c2xXyraFdAxLHDf<`X9eGcqMXSQwDLMsTc$ z7%!spixs8$6Sh=?u;a3n~M%TYU2+)!*H{7ITQz1Psp>05ZT_2vvs zO6}`!Ksc7StElY-n^=BKQNQ<{f9;=LQaZn-7PGVMTU69uj6d7HMX%KbQPU^H3fl6} z3C<~3?Ri685bh$h6a|r@scY=Bl#slqss@@yEBS^mZ~5Twe7xI(uZnylQxuJTXgj5# zXiVe0qQ{%PavZ2pG?tM_F5pr+ufq7Hbp9>`-!ci~>fM<0RePqxF&I>RBCRny_qj$z zjqm#bK_NR2-O%dhrO)bi`mEmfp+EZ4?~Fj?nyie@Bw@xwn`v@tq{_-{oRMgxo|WP` z^f{C3K+-oG3q&F{lCv_wNHkK6&smwX^(=AsG!{hr)R}r#&dLOJ5$M_55kAyCFFOhB zj#5koVTMdt%vYULIwYM{!PCi-^>NizN~b^ZBB1qvr~=Zr{voT@39_$h`eoM{16^8^ zakyVNFa}WHTob3Ewdo|8@6KU!)FEB?^&8F}=<$4efWIOzf$bPOiKg6{gFp3he|tcUx%?KPc3?bVpncT((F1 znwTaEk(<>Qyz)v&Ex_gb11`_IMN9N`H|>_EkNvj8mxa%uZ1nCk_~ZvGv0#ET$^uG{ z5K|h&Tl2vWm}CK)$SKpH0Dw)*!z3j7GQlHQ>|kaQ&%tNV6kn_;E}O5o-k}uE6?^ys z&59Czxu8#yIPLaQ6VHd1=*ti7b1>l&$QZ3GC|bt^;d&7Jhf?>3O_KG+Nc80^IxW@F z=3wR(HTv=;(T{0Mc7TG{OKMHrBykM{Y~$c4#3(O9{6HFg`I>-q3HA&#GsXR?j-Kz1 z%>YMP0MVq9Vx=|n?Xk95_*L7ZF_tCCe18syJ*IKUkJJr*c16DV74dv0R8zWFe)cWe;+=8uQ9bi$ijM4#~V* zv^XSp_Fy=mAmD07>=c5P#S6~`u1jN? zv%v#LE+$}#=i;eV)Pi;Dq0sOJ0!sRp&q9Q`7P zMD6*;9Fz>0Z=4cZbN^3v3UIu8Dpvr;xQPF&qVA4_7jmACs_`UZwY6(+rF6^6YX~&AUa5?{YW3%X6Rd9pCaX z;k#&N%abW3%Z@Nus~{-A)LC}*U0g7@t6+56;s%YQ3zmrhsH>bUz~s7$HB#Cdz^mp0 zOn`6q;BbOr&NYKvfGLe{{hE}ZRB5py6`G&p;RwHhP7Vm1q*{^%sk>Yd^ef0Xuml3; z$Qps#U}`}Gs=b*fnHf}{WL1Fafo0V-4@0`J3R6q^Po!ON^nGs?_qZNuBlPn$k3`Bp zHu*3~=G(K!Y(JzkD5=~s@ncSU59%>o%t)oXxvMad)KK9uHgUD}@F(oUr8qYCOZ?w4 zPb2KSEwd7WbPbUD3YLmliEnX=UBn|YQ#lwNn`*}*@BSgn;ywe2SVmV(jnH8J;H*CealV9k7gEM-D${H^e1r)a-rmK!<| z_Ln?nJncW$pi?}EF!S~&Z|k{N_?`O_{_nzZ`L<^Rr(rL`;x|0Ie#5+5wD=8o<2U^B zdw%^l|GgMArbCpSyTC>&Kes=yrYM^3{mn;F3tW80{0f2o%$5U$F(4*iM%` zY=9V*HHCzy{)Hs9;F71!*4cz~7F!&BGGV|VI@#o=|4nW0Hn^d#c2yBUzt6A-`^#O{ z8azAT|Awm&pY$}2(YH5D6r`p&6ohJqR{>+DT)P5OqV(T_BM8dBS6+h#YjH7nQz&Q? z)&by6E7kHl<8funzdOZZu-dp6A7=Kf6YK-Yr^;`rR1yFaOZ* z`iCz~8THe8gQfu*b&Czd8Z<^-(%=w0fP$kn!Ie=5bGhK_;3gPfoIMAyS=p$A*9at0 zJoJd0kd68Y*gstnN7km2STP%QU@Pb*z_4zK>#U7nWz>%d@>LMKG=jBJ-?9;crW%!l zMzAvK#_YsngYKx6B%0pN8I&WK2d2)<#Rga`)su;awq&74s4&9pCAmwxAl#bzzs;hu z>EHRkV=^-=FMhg(k;sR80vC7*?}j*j$@20&4f-|sA;4T--u5t0t+V-iX?s{dc=_r* z?|d)X;Gci_`i^Gw(yN%0*uDH1dp-ZI_dY7def0u6?q^g=Xii<%OgKl2<-Hrr`w9PCxZL=mF@PG6(U;p?o2l0&FjB8WsoUqawq|uZ*3gd>>bK9W|n6`x~ zwx`sQF>lRL_5lhy9(x!PE>_GwK#=$DK}gvcxa;u3B;EZkf06iw7 zH7iy=K)<6ErXV?O8rw<+OuuX}=#$z282UYW3R}q>eMg$UFfhk;S1EO1{Vh$8ntgkk zl@CBPpJ4F7m^|SFU~;zlDqG}H+ViaLPf62*hNAv8C%pw)O@HGDx}Oc@t+;o`Q5g!}>0!|Qg_2v_vqa_Xf*`PBa3l@x5}-tbk1B5$ zOx<6I;Gi9{AeBQAL?sp)l%4QOsGbF-ykh-IsrySu(PTj?@3La){@S4Zt2s24w+oi; zuOlE@G+B8?DsLA|&0hc@HG&erxnyeoLaMiwr1CD34hutLo>Xn~!9{tyWHE2xr5Sdk z=etvyzfc$zO5|!y$(RkAzc#qI_B`|biRLe0!>Q)0HLDw>GiccSg|c}ic^7KlRVx2g z6@1U-ShXIv*7`LPA2tMLD0ZGNwhp+B(HVY8j+GE6^evW=YHWSKq&mvZ@jQHlR6CbY z+mMhL4GwX9(2iBC`!cL0Q~U6}Pf20E-Dy+aVXw}j^((#nX#K*kiyV+p4N~!=6YL)Q z)aNRGQvw%8K2-dF`w#z%Z~c-0(2mnZ+6*!z-iE1$_#=VR~Wae&!+)*^k9L`2j zEH1d-l8yr&@o8(*+=Gfy^oj`rCbO3c7h03e#|}YJ{4U6hoUDk}R;uaDeJS_e4~?lH z>g4w8n@o2Kw+*w!Dwp(8owzDPowBlOe8Bt_KQ~`j$Erv&9zDr48JJk+E~qLdY#YJG zoFl|hDGktwr>zDa=sVsnua*9kD~;g3 zb$;G1G60M%;dy$LP##Fi_c4{FaI> zN?086&9k zbU>NamG>7z5a227knJ%>jp1cU`|mECO@{N$_n))LD)#`x#-JP0@oV=$?0<3(#3Z0_S&lx)arM^k__PQ<6&dZpr{Sc1QWko1 zpqZ82!oD&vt_#9ga~SCsF%&4gB)|wkK58xq9uY>VAYlT>nN|-&kQ&?tEnvcmosL}2 zjVJU$T=2NXq%kRYZI8y@6)W;7D}p_EwN-GvY0r@loD0U-h%IJfHW$NZzy)J$1QLg5 zn|N$I7;q4%Gim@9Cs{S|q{}%7!Y5yM)x<+AmC%-Y zhmAras+^VtEhY)(Z_W#VmxQo^rHe|k%I9g<0@hq(6m}~qL5r;!#7kSED4I3%-9arz zl`kS9nblk!J>Q-^Caj5?#()9q9ra6}RKBXo#;6mhtW=xbs1rgL9xJbeK8;T*eJ@>( zjrPs3%mtG1EI=~s*}W_6J8$_h?R)sjPk7@e2OLfl16~TZGy%GpcW51_3Pg)no9U>M zYC6Xjf*_n%KQ&Sf@_LudmXD`J;!10+A(&DUm=N1h4-hxEG3a&(=A>FoOaZ4}o8=Jg zYlpTTa-`|3&poGDa#HPt!nSb797aaai}tk@0l>4#DnPr@P0cH6HN}q&007JwDhePq zu796Ntroh=Am;8>#uwpHs*;;(@e*BCDK*=;o_Cf9%m0PCi%ZiMx0Dys#%Tlj15k-t{e%)~8f&A>9w z?0WG3C8)AL^p>Cbl8=8=8N#Cxkq0ssA0amAnDQZS%g)%)?5id>Wbp|EVO`oRNzM%c zj7=aGQa(T~tM?r%=G;&)!R*1qE^c}V=G+iqTFO@U;@X+8nYtJ#~ zhQPo}HWmm1OV=iHa&8D?#K7x<`Uidi%H#4z7p5wGotXJLPG zf|)TS!L7Z}~QOUUk&T+FJjDXB~tN?oYjXPkmV%B3w0uU1hpAMPtR)x~>^>ZxH-5%V6 ztzf0c5V_Oo7W!d>nZ>cCDC%qC8holFN)wgFGln9UJb(>CS|3grQ$0XEU?!FyKosUB``9l9ipoBOy*dMi>t)m*i^v==(Kud%X57M{wrz zoT4lr+$Utjkg}} z(RMd{MAKsr#Myy_Bv=!4TJ;Tm$J>!dD=)|j1u#4tkVI_Sm~Tij-t+V9k2?;f=6M1) zQ9UG(WZ}vsPu-FI!EheX@7*c}89wZi2yZdTg_5VO;qv0zg;J?V!eNo4BRm~=SD1_y zM+Z0rVK^UV@SU} zX5iBm<$JW(!RmbFk&0+ud0@2Siok=WNW;^1J-A1^e2)sU18K?T_nh}4143x8F93bz zlfTILo4v?^Jmk|JFEak_FDE~`mliKFmWaQW7ny&xR~5UpFEamBz8!gyvG-lR$Y-F5 zJ0R0IeHm;mXbXDqHX_X`AiN;kYNXjN&*~=B?h!K$#n;0j+i0K~ukcfamq{)?%4ts&De$;S01ZmP-@Yu1x7}^V*W!4NGg7Omip}QU90c1t-*0eQc8Z=)Kj}kF3c*ORE z_MEBL?$=wZ?84<4NQwrsgQIPlh8Js&{F0JnE=NbpAshrqcFf}Dqt7#a#qhcRS~YCU z%gKflD4*P4^naVL!)!Xzo`UMTq9<5B6qJ1k&+QkEqjA~fky;)WnyIjiN$oe(qLzA- zTGxJtP)nPppoYq^yk7Kbz$4%rSp3@^0mDPRX%rH92$&HLASSXgdi zIsJKD@jk>R`r$&CR?2Z4?Z-T+ze$&-B9>b4QVV|k;=5OThBlyGJHdysk4SNpx4c58 zzWVa>SFb#N^>L8Ea91o$y9B^C#S@0 zDhRVpJ1HRMfHFm%qybUl5TuaI=ZJVR1)k6yJ9-Gr;T(-uB?MF4iOR>xlReDe2tj6!Jj$Mt0d=q-#{E1NLuR>YTUySc%{ZKKtW;{xhP~sU=z0hYnUa z3whzs<$`CCEYycZuuSZMd)@+6s1IE*2KIm;xdqWs9~QwfuV)0#L4D|gX=D1wb z$Q!G#zxC1beU-oZ>s|g3Z+HG`6LlQkLi`q`kGJur5~6~ zha68k#O6$gY;96UWDuxVJTwPo1bZEs4jJOyt8~bkjN=ppI-^ZBGTyhJ5tj!9kMnG_xO4A@$w7{ zfF)9gIDoD7d^$ucsZ56)rD>{y#7pYY*W7nZ?@)4WzMCI?QctQz^z8p@lnybktnHfw zFipO>+TSHIV@ZeHemX?8W&Z#^T6&e?qe-%)=+b&~$(+!u{D9zQHT5%L>{@HIpy+Q0 zMgMQS;ak7&hmu8?9#fALtk2EU7x1FioxuaWJ5(p5xM0xx9WcoRIfUGBDi+;(Sfk4q z9IgZnWI<5_CJ1U!@ABPRH9QV27TpAaLa;7h05`R49)e=gO|W$NVuqWbj`E?!qSxmL zUl)Y$?Zt>i_j4>wzBmPi+sC2RqPwIw`2xlyz@L0<$(;Bv8BM+*e{X*vEpYg0mL}h= z(pyz)ioa!&(d3JPp@hjaLBUCs@PSzbt_YNHTehKLw=^kw{V)|q ziVa)sqss_b8g>_iZ$hRaqJ)9l6X(OeLXQUxy9>gHK?fUaC&1RW&_*%rE(tuN7kJc7 z$#t8mB*Kyjeo2-@dTZi~gNtGJHG5!g1mkc5*Hc@DlB8RaME%JTy+%%$*31WFTutgE1JQx;XWBMUj8=Dify@U+yt(v%-rTvSj%)tfF@ zgZIe7%66Q;;L6jcc;t~r&G=h{{`hqdDUFJT{+n>B+dD8}fCfIojNAf^mTQTLIY--1K}SK8YI!E~NiJ6xx^iezna7n?Zr05>MFn&->!=}8teAE4-aBafO)uw|SIoLu znHHt4y|XlGtXS!0G>vesC$uS)RWGnR4(>~$BLo-2bb+Bf7 zmH~PNlwBnm_t%f8)O7u&MzfN2B91gcN(sp&K@ww~G3%W`CGsPc`&|IpU88G=PYRHV zZG%G9oY8k^X5e|E(a885)6GcJ^P#n{$3tLZl>pyQ2VO{*WmVDKc{E#_bnKK*6KW%K$6YLyrFV; ziFqUQe8z8+3BN*LOfb)b9+s_L1%J-I?+Sg{0D-%=DzeIU93LdM=y!tM2|r0 z0A)`pVoreJTPH0U7F5?Q zae|no{mHZK2WN^$oEsgI6F=o;R!z-L6K1MYv`dz!m@{{}bidbesJRrKZ@=gq`m|Dz zXE6Bo%1lIigJ5z=INx8wdEPB*1M;Pkd^?rof9v?8KlwYuRO=*3r#7{@k}Rb%76+UP zyzrW#|6Q5U=yM_6I`rY2+~x$U`+W$DqHPk2+~x$AZ$MJt#GaI?hoco(mQoQ zbcYkw4~W!;uroU1u_8^i3xXUQ?co#zhlb*_LXf7~1wj+dsFo`#w{u>RrrHJ3`;CPt zxbp_MSW!&1OM=gbG}*iwWHqXjoHVOyG!wm!n^vX^^mEE-AX3a}geHfPzlz=KSkNOu zJ+9SnZR6>vPxWMHkG@=pb)E%c9eacqOPYo;KmWyP81wUAeBm(W)2w04kecD_NNRiD zEm|z;-IQ*>_&q=QuJ@(Mds0XDpw|IH7==uN7GkoH355a3upb7!E|@S`uo;bD#$=_D ztDrV*1T!WJgidUIPB)= z`RMzjHpzPf$2N3 zoBb(c(Rcyp>%vX{yOw=Z9^dOxZqed^ z+>HbBMcY65N8T0KF})eB15&8fK?^DHr0fM`6G!G51m5sekO^)lI$h&{oS*p~=<)q# zV6rO*1aY|*o;UlA_Mh961xr{tAYd&w3Bj-9g4 z18pK@gt(29E`S=}+nfB9Y_xH`=@G2b9Hu!wslZrHLCv=lmfO|^HR(9UwAEiQ;kSqh z_eL;%K&253oIIr<3I`UY_ItDXb3^8vSuCQ#zUY7Z#J9d9!adDmySBBQHp*x+l9YYe z8}aF*jHWF(E*N~iBUB@~#)+TTkh(}pw(!zi<19cl%mf_p#Zue)p$iZVyeJa&~y3Jso_Am#u>1&H7J5bhuT>&R^T>Js)9MV4XAkn*akD( z#wt~|a!sm!9=bn)m%h$x=3uU*H8GSO)1Yd>I+#ODqhZo|5K!e{4uL6*Fl;P*szE|G z2XnChToHoEPq*h-1#{%|P{|XNYIBlRFjsiKS(t8rNpUaCrDWCEXy#DC9;}(~4(2To zW{&`Iq$p5jlQFKILjWY`L^D+VV+wrQkKTiPL?d3bIS(ZB4T^EY;G`Q!R(A*l@B*Jk z2_2<`eDv8aOL8?V_e_^WeF_KX-!3q$adXlMz-ux0C3s1$m%g>>?<4+;_$$ergTJ)G z!4BGt1Q{JW&|>4E2sS73y4w!`2*wg2(7z-ghGi}NRNx&jWvtEkVP+j0JqkB?3L zdE9@ofm!w@z(WB_8(0hjP%;-}>Og!O%tR@6P9Ieh8!L*-;*!LA>#?^RX#&reEiOxu1ZYok z5#fv&z{c2R{+yvjCR%f0l=&Am&alI)ZHcx@jC0eoNFh zE|0nG;a?1S3h16O(D}Suv={*AKp4OByD{Yd&6~SlpC+AY2f)qSx5`{Hj9SquyY&>9 zA-63|83U9_;@K01;==aj)+ics7d+b#Mnhf%K@tvYiU=74N!t}^$XyV0Fho8<5U0op zIUkxD*ab_0{m|`%vMUSHkQc!ngI%_7Vb)l?q8M_QwEk%*HZ*)GV!*y4UV)(VB8iFu z&XSV7fhv+D!N4wg8!cgKHY4uV?Tux(joVB9_~a(Jb&u8k-!Yr+-}%2cmC;il?+sgw zxQsxl7)zdsNoV#fFJ}7LHPiEM(PF0W#!SEW=l=Mg`stYLqvYvsrj1G+6)I^=mU$V5 zT(hq%HSU7@^^S>b2*OyZ!3sgC=G)i^D{DirSJLb}U_z?-E{HxNsTLm)B{Q1Lf>JDY zLFLxuIRdxV2uiKk1VIqa^fV}M&@-;CM4Y1pO1>iEYy`5fzJTMkD@rxr1djpsc0gmS zsMUOzRKPWs1jepiQmgqc*`vu@4&GymX>~cXqv$E)tKVyDwpc|sk^HwYlWL>KQr^0X zWAJ1*`_r)Vs#$b!(2)Z-yHH{{=nQVlGU$v9M3@bZX#S#(FG24|Ft4bNNOTL&=jHLS zy#SXQ-We!8S^^*WGqHSYG>DG=fp7ba)hp49qF6FdFgmDr;W1u#j2%aPYwEUWJNcjr z)wvR1pX5@mb^TvVGgx?xFT+dY2g|8cfj?_Y!M4NCDQKq4weLKH?4ZmzSNqOeeoXs* z+>id|-~N1*cXXjv`=$mF;2gyF@t3jgO)2wo52u6RCUQ>$-A&sKyu&iy9a(ksOQ>HO zE7IL`!Q-w|>;h^wGRG}*yYg1KAPA2)QnkTwn1fO+;bkg-Mr3Vj2g5}%w2T=W@W>LTkn=0@ z8hbXx8%8lW*%(?Q$x)?tfR2R0V^{l+GJ6c+JT`%83Ze$JHO4E z)TteGU`T4G$Mn?ZVye^*rkZMM2lbLVyP^|Y?9xzmht($R;eek>U6HuzI<>Rgc&%n< zwVEU;v_Jk!LQ%?DqPY{Nn}70|vBsk(UQu~;RX+Jdd&~^*`buGI$KNhV^SrJ`2++3J zFTFBoE*>fH{49FMY1hy+ z$F*@xrZv+Oy(*3?_82_T8Uy%w_DH_U(ZL7ZKGKToFiGHZiTXiq5x($1GUY2*hcY#A zyAh;wenYim!Pe}L2Q)mfSh(5j+FYr2qMGD!%Y-J6zU__S+OK`yLyZ9d_9%nvzkKqC z8h^8g8glM}Ui47EzUB=yT{PMilORBXX~|u*ZQBbVIrlm*0DSjw@uKY){QFhg@=i2h zV3uS%JVx-{1BMg(W1Il=eY#*F#7`I866DiVrR8M4Kw3`53FPJEy-lan&I{Px^Sl7C z@}mc`{C+#k3#hJNDE`y+%XVCFhx5(V*KR|W|8&6+s32gn9s6S-L;n5r@p1?LA*Lr_ z=dy`!F)_fqu=#E0h$=Q^cS+Mdc!;ecj2OfUG;?`#k3>SR$<>#+n zdHm|*m#!WOaW_I~{BN_mdN(b7*#P3W?EAv=FN?Ew-L7S#GS_+G-PrX-qNJ|gZTn#> z^}W2vmYDdi>WPv4{BR??m9MJ3c%l;V#Hue{z4Yo!SC8K%*CUs9#q%nXWuZz?E<`S1 z_FZBA$7Ac^FZTKG{=(w=1us?8+;9-QN{fHr5~i zmw)iz`>^o$h4035Yu8t{J9BSQ5_83Uz7m_x)nlYfbARl4wY&%-?rGFE^jimab{N zSW*3blSIoBGKn}g1~LiIEMJY5a$OS0X=LFbxmFVHN>bccmjoIck%3;1qR`XP^W8b_ z8Q?(j0E~4lInUj~5V4A9>k&MyQlQZM9NjDXbkkLo|4YD}SS7tFD;uCfSl_{V?$S*W zgJqH^*|VLENWtx?zA0EUJ>e^~|1(fU^Z}+?`s&pqAt9};c>p_;yj_^N(#+V#n&}x| zArZ~EyTed41QqR?;{V&4r!7Xj$YTZ)J9_o`=<5IbwQmo`P`ks$wUUX;b_E7soh}G4 zgv2S;n&}~5?J+D|Us{X|ZO>D?!~NPpVJmSz-f~OE$1krgib)>9u`e$pIP?ab4>CE@ zc3j!O09FDvf~$!0ocH2LoFdPWJZ9KzUmV0LB|^FO#etB&IQ*Eq6*N-u)o<&?!K(h^ z@MH7h;2iwL;m5Nt&bc{hZ5SeB$dVhnl_xg&-EG_eNByF>0e&wz%z*w7@B`z9%I_Tv z7Ha|p%mu?66Z>OWR@G~vu5UVFzVOL!mbq$ae*_*C-OC*@M@99)W_!sD42tO)#1-KsS8}sgzlje{U-C2F@JV0w1Id?^U!~0* z8{-VD*horPycJCjab_3W*2gowDc6QUvYaSJ3yKk&Z4OIJt*?jyu~7I-c5xh7&Y|j@ zG)q1`S2BX|!GvEm=elz47_R`iP_beMg4Je4d2Z~`An~JjN*ZADF-S8nPO9^~a5=aL#sAHbsin_*R#h5O*^;hF z!6HB_Xws(jJTzu%B$};1lGN%RONU$0|L& zD|<4aCO1CCHA$w&7jtQMe9k3ZI)rzo=NC>8fUo)xt(&sT{D%mZIz2?#+Q>bP`wT-r z^C427!|!(SJ?tUE(j)jz{P7MSqBC9w667Fo4Wj|ho%8*U3#npjC6OGC-w#d`%6`e{ zx5HtPY=u`AJHTs!j!rvFWM_5UYwVBYFJ8R7zr00Z<(qUQoG83hX@ALf=KUqOO8eJd z#t!G3v(uagTX`7}sN5>qZrqC4)UeCl3bHt5KLFoi)NIL);@jd@NOm+SHuxa$S6!It zP;4e2M7*2GyWXdVQ9O~edAKDzs%g|EJL>uDD*ODbkT`ryv1Xa|nU0Yi%MUMiTt2wpT+)B}IfWB#&ncX3$Nlr;_0Oq#<-Tgl1lpg7NNVhrX&X9b9mzgdY0<~Ak?byFzZf~7zb(-^X5|qZzG4P7vRad#U ziQFT+OL)O?{q%|}+8A{|(*gO8#rdnVn)a7mUOHh2RM#)tX@7|V(*D3aRJmUyBkTRS z9s9Qs5GgVguUr$qzKPslQjT!6fj43Gh4l~m-3V|JBpFXCvZ5)~hsBT`fCVg&m8^voC8CTXLxAAE%>nw z{7Ff|kQ>j88rCIGaJM9D4`z4a#LGXqA+{e-ozqDjt=2y;X$@KIddYhO!^q2A>wlMC-{ff#F5J+KB z$6?%;nKKHZY>d}4MkZ%>I7+p0J6l(+ZFyZ z)C&Ul5ngs0As0Mh)+US)1X0gZ{F8l!)Cg^m3l{T>iD?5Cb-D;Hc$P(-E zIoce}I5ahh3j)ssuo(>H@M0#-U&%VDS!zsQowCTrCbCkI&2S4xIkR$J6m$z0!gpE_ zbc;6RT05|6}rV7Iqwi#h8l3$yQ83KE11sT%fZzZ3@PB4aUGGvI&!d2R0K+no2 zCBoK0^%8`nRoX)Pf70>!T%`SRzPT6&b9KJ@DdnozpKfDp>5o$aS!{v|HUizb7R(;o z3H8W$_X}QmC8U;S-1}?Bop*~C3wAd=YhSbZSO5OcPSOr}k1avnEmuSiqhcuQ_GsOU z?t-cX^T^ElR}l>Z989onj^T2Ui?A9v6k;hcP_#~jE1=3(>;cBnkzkwsAl6I1FnnUC z(!c~&hI*MwBL}(204iNM=Y1(*cw9^la!(j1BFJG3Kd}+mAy@^uP+%PbQVBDKtvp|L zE~o%#wq*+eCAWj%z6x@I3nLbqf%AS4-RFV|>l27=HM|!nS-$dIP(e#6XU0+zodIlt zt|asQ>G4QtfWHO5U2`LIK?Usa((8cp+_rg*NzXwoymw!EYw<=czjt7w3|IRQx>t^y z?Jw>r3C43}XgrYa0f%wS~@i$cuK!1(!D&70nHoJK~6Pb!d&z;Fc)|GU$$fEy5Oav05tr4vhe;L7v zboH(!dy)Xc?JWj{f~FfL;Qd+(s5Qhp#0>Ev7?l4brmd6fPYIuIGx@nX>$o!;53c z5;;cvAH|16r716~W+Z7@u^L&+GZ~+?Y-1LvD(}@S$aw8^!Y~U}y;u}`K4Csd$t>Y~ zbKFfcb4k5jI@8i}^7FV^d5CH{s_&7P6@meG4<8b7>ffT?TW`)V0yG>mjljUhEJ5!5 z1-bLwfYwegY1-RK)Bf1w?|Si@QrD1lXL_2}IxyKq!BQpWb0fypxG=gp8RWX4l~a-H zx~&fM{@8UukOBCCCe^k$K?=p{vniVT!k4*D6WTjwT6iFKy#J zZ8k>io7NQEj7frt3;po~_wRNVx+ckdcTUoZ!-T;Wqg2}SWES182n7G?LmWX2R{usb zeTaFz>S3UXwBwflr#3t~Znagt{MBR8LVJ}~IzQC&(Gzng@*exL-WBGBg-77W!}oq- z{%;74eWKI^MwF=n`@JU`*3)CYI^VFKp7Xk4eQ>wagTBIW_bpx>f~&TeEZaQ4=}BL~ z^Cb@&UNSIiwUSjEy5Tt~xwSM&Je2~Y^J~X*j)#%nGN#+q&)PfQu3@)6=_`=?vjrb( z?hZEYH?5hT^c6BQq~gbuj%LHbd8Q|Qg&spr0K%GEHmudxUbmrdw`?M0x(#5FRx;ls zfKxgJkONBIsv-@;uSW)1M9(nGa{Aa_+i6 z9i30PRgR$2DwQ+Q`9zFpE;bQ!TKW7F7|{kY+d@WxyPSZ0HvQ>nfx6%R^dtMxBjy1? zRaafVwv4e8C?GKD>74tvrH@XBwq%rZ zK4(iJIpuSDa$}#Y$ zE!(x-iLK4QWtEjHeg@!{1`M$iW6w;!KQ!>L-$ZckHcDdSlJjhBWog~cs)u+@Ud#<< z7}{cHz4Ss8o5ezO9#%tb@z80dDb;hcJXl%y$>D|M;m&YgN6ITpH}_@b8Nr8yi4b^4 zGznu9#hS>2Nm9HY=@r`*6h>%TrCeE(pCoTFPm2IMnK2`#1mAS6s$` z*9O?h?+1$)D7ToXVE&=?(UaQ`){>hr;I)TNPCxP!a~ber^w>!zJmefe3d=yH(JMDG zuy4YHk_A&##!;i1BRPQvrHwbL`~&o_4lYMlK?>q|$%+Bb0C&hLY>rsGj*CRATj+&B z9a|;pgWVHO@M_I`cMe;oGN6HBDh*!X@!L!dTt_?T7;U2+qzOzHkF&9;N^H{#q6FnjJX-X7DXzD!k(0GXGr>Zb!DuY3+y__|q)$C^ker007gp^#G#=MxI)39l0h zgGVB#9xh?PJ2CiI2E4(en;!BNIvZfM@$i6PZh`@Cv(@q&;4B0Fy(;yv@8F0_NRKYr zHbqjW9(JIsl%oT3GLTFU`RaJu5J>{>u17aU^WxMDc%T&2D*~?cZ0dpRfZFcck%K0` zjPqU`gx+(~1oAjSc7%b(zM5dbD^3O$vDS*_IhM`YFAkQ;FAg`;i-Uwg_2O{*x)SGoRTNg0!_RxIdhbHRp;zY-(5=f;6=*h*UJq4ce61eJAm62-4CPL7#LnJ-^;+ zKa=z8%V1n|=4qc}9GVYYIrJ7&A!v{>3dROagrNA6MG_O*V3Z)a1rW2j8u2Av5*dE7 zRe)m+FicH&q&1l#D3ZGqIo2rYqivF8zB|26RO|7hBaPA?J>MRjocgC2t%eFA^D@5X zJmU$|sn%}-T&d37wT+4Tb;+pD$^_ihc+NMlM|CvKz4W|X`|mO(@@+(9Ry`vVR2Oqv z5+idvBhVH+i#@p$f%bxDncoqBISMadz2}|pU3p{R%a5`7{JY*8LmqSr#Ee@cxsZ9c zsLh2ec$VA2v;4&W`u{!r#;Ga+h>qB)hvffmGf36b%6M-31X3c@ky#~x6Ck$3^Ky05 zMj;#k-IkQlbHX}jq4%(CTA9Xx&lYc5RS8rOXh94DJ;zM22Y-NAY*5)Fyx=7cXVi&DMyn7R;*eaa+Lt7ES=`iZ#tW45Wi2=w1Kfy8t5bSvsZha zB&#Yx-I=wwuh#ocEJNsgrcZ%Xo>3bp(R*z$vv3V z5m-T`A2D;Jxf)T_E{PacvyzCgte9Y5J$O1*S#)Ok;L1srXx5P;QuL#J2+qgQSn;Xo zNBa=c@(1FL?87T5x9+Fl|HgV_pQiO=)2FVD=P4B?Rw<ba>S_#!@7_od7#&zC3bwvYqyqPWm`LFn|~J%}LcimeZfdb6*d! zd80Yg_Q2ki(wn#Zn9}>B-}5Iv>!Tt=rJVGhp)!Vgbb}Jl!^9Jc4(ciec|Db05d>mU zN(~tGiFv2=YC=$|K|cQgNgq^Mn4#L3^A&U@}EFFIR**_# zS2d_gz9VZkJ}znhrX!b{u|nl3CL*0LAC9y?&X+J*pBhBPd5NMEYnYa+JCX2XK@BEH zOup796OLH=mI#b$bB3oRj+j05m+GXY6Y2gsk>=f^RfXWND0+}1WrXsD8NJ+Qegu5yV6q7r`N zXuzK9#qz%Ir7wI{3nOQyd}uMGkY0{dLuB^!?bb}@-J-?v&M#|WK=zDVv{^G1_pa5S z`LQ3Is+klvXSC@djg?dAYI!Xc2s3fmY0YG$xWb^K2y!Ha6<5SqT~{;do%R_O4#@&$ z!CW)RRhY9xS3&!XhTOKUL(3cIXoSkJwQFEGFpM zsB2o29)cR^A;MzRS(qEdtDIFrX{>TP=zE=kweu{hrci0TnsZ%X4`FD)q@Kzol7h-9Cqk`qnWm*i zN3o)Uxk?Xpy+h@1wf^d*)aXxJz{dIJvK9;2IKNcDmaJ!TAGw|UMOJ#!ru;nNJ_^C# zp~T``dqyA^lEtm|>CD~Ip zUPre_YPe3ar*>PPpk4_e(<|oqBv#$vlk$B?h(-@E-sRA%b zBP`)~)VbV*RIx^=+<`zYK&=0%1_#lQax~#}%%e8M;>M z_WI;ss>+wT{XN$0XWgRK94>YH+o{|C!UuoL=YCD3nbbFNm!d=mbH`-hR)QFlh7i*) z+O~%r>Y%>Akz5ZTZD%_!&PG`|)HxevOmeE(JI(LbxRF97B&NY(6uC4NLdnp7#WAn%|H_Gs)ww1=(@B)8l44yxuN=nQjE(b5r?SEOoo zLF*Mn=L9O?sz&WASIsVYgfS5C6_C!TSBSfLM4P${(U54*B?0FGQxYPxJvu@(GD~Yx zHM?Yg0%?*+T4^;-b5e~`wW#)6TL@zr`mw1%DmaC~5)I~x&HvS1FRiIwrwn~n{yQcU zf1|{C{8a;aW^=^(+*S)xM)vFykn%wF%2b}LI?pGgo?7(^C_AZ6AeAfXTIkX$`zPBe z`^C37ZAxawlVOOj(n}6qiC-7>CILwU6-_e(HinDiD|^x>wA&z6w!~NW7hlc0MXdo^ z;;Y+za0da z*^ddaOT8pr+xRJ6Pq-27^g{R@Rdd+X^g=;tCBNmu zF;$>FC);*u4nyh}H0sIkQeN~Z0?KwcIw17JSgy4Hi4^*If9VHH``1-NiHKu4^|Hcm z+9i)3gRBr=vwlJrOU|{bH?c3{g2j!xdL*oGaF`JTzwmA9&ycP3S*NEq(sZ+^O?F3V=FUbs+vvjL1E0r;rsL4r zjS6Cl^~u`HLa=h9f|&vd?UuNsa-)Ko0#0B1OIB`FFjIi?NYm7Q^hvX?rn^Zgt2u38 zi(#vGmNjV&eq&QxL*INYn|k=M!n2ulFD37DDS6JyakO!>4%1UGi%U9W%8y}sB<#3g zB+}-R4xuf+3R}!huxrfOt1mx)^~&Q{AHTHNaeM#nDPu+dLGBChmEEnP11gVWc#F8h z3%sYguXtT$-xnWWy$>rt|FWbtu$5gce&+;=^;dKH2`_0@`-bm-*LQwJAZxW}-@6*D zgCBWy3`3+b1&@gphwUq?X}BOj^42EaZEVm?2-1$bAV&HC@5B``S%R3j%(WtlK^%IS z*2K|s=omnav=yWs_Z9c+E$~|+cmhJLUXga(1wq?~$eDsjV`zI-oFnbH3u2@Z4L$@> z1<{(T3r-qHT90I^8gN$n#)_@kHiZq6Px-5|Y$H<)q6^y}ydA(J-Z=1$XTzNV@h=oA zzY-`^=GIy5UAe}f`Pj2IK4YEri~j7#e(`@25EzA^d7aham}NH20X4lAh$?j%!&sK8 z=;64NPOA(=6`f>)B@#eQ6aWrp%pCo89jX>nYShOLLD5OJ;%hiW7cE=tpCY}+-V(2I zjuKn=4_Qz($bk+=(@3Vqf6220Z<&=TYhFL_7OBsCcZ_%(S!|>$YXmGL^X*B*8MwIW z_RLbp8XBxql5vwhM|yIgbals^k+i?O9!HHW@RwU;97PQ`z3!;t;@9=bW31t#UVXSh z^3mR+3C&5T@<#0r^Ohe|%wPU3-}%qKB^0x!3tTZ<@&F3QrkexdAzhX^Pr_Hf2r8!# zifka!Y_mf-=~Fa#zXu((y!oD~P{r&k8h0~NG(giURZs}#qz^hWbR75|BaPbZP)_=Q zdx7JJxZ(yJ*L4*oCw<^7dZEkpSRA@a`e^+KO|@uSZ6P&P(&q%SZ0VrrG3H^A%BgB+ zsPHIEr_550gV#x)UYccbJ@A6t-@K}wp+Q$iG$GwJ_=!X@mtP%;CMiUY0(Gj|na)-x z_~+1M#x7Ff>fMex)x|+pk(_I?zYL}|>fgtBqve|`uQ4Uxx%Xj^V@yc8*Zp|hOUJ`y zl`*NhrWBNfdtO<3$exCq=xcis?n+A@@4 zD9x-7B1zvL2Q<@JUl-TB2ZeL1G_$@w%SWB{H9~(^nL|G6N?&90rGn1E#Gu`KNw*@m zQ|uWg*^z(&wRvzrv?p05=_Bz!!bn}|>qz_$D5-CxF;zL8$F(@$ug7Tz zt|%eh+MEP>oI5z^>=wZ?ARRM4=y+v8I=e1d8gY(bGie2>JY6tGpTN)7gr|LF6;2ln zhOC3S&-u_)o-P;!Sx8(cF-)vT<>`VT=7(N~SB$ir{*AyU~8u;?voOTPrJiIl%u#&)GJ$8rKGX~X zpq@@UATT@+%jQ#*oS@c;{6L}{K!naf$sanBP2<-rXd(QT;Mcz#9%SoX>haxE&5D_U zU-4zddAF$bnirJy?Vzmx>i7MPul(t$vLfgaNCA!aaksWXmR?{p_Gv2uVsOhzx>(6l zt%fNCB}eRnIzS66qVrOXX9!a5*%m6AN4oz24r1C2Q%br1C^B}k?pcVWiKoiS&+ul&+)XEDO*V4ueETI1vQ0lOWNcvRw_YG z(Oiv09=@hgUqkY+-Z4grH75_R>*1)l)%HmF@mCMGXKUeidm+;9|)J;#?C-nr4jyyaV?A*8?m^s~R}#fTO( z$L`UBW!%98)U*8%s0Q-V;O)y5##|LMLfvu7Ao(S|B!?;=JaH2EALPx?D~iG!7B`2K zL8*uV?b9K{LZuAQbX$-Ug*Pm2YX3<-v?x43G!o)ac+_K^^`F=ef~%7+HYLvY$uIiuSs1-#&+4W6ZV5E*ubp$=EoyDX z5@_5`pz#;K?~Q-wPbRx79&w9bw02I|v^AO8ve(-L8bTl-#&2g+g3Tp>sj34FM?`|f zp!TB;E9BmOX@JDc<2H23fkr?l!Ol#R7M{7XGP?_p+9`SdJESdkQfA14RiFWy9H2Jo z>jPxjte69hBfJtx=YfT8fU&FtjWs$IWXZ0>{Nef}t3ZRpdSG{P@NV1H2cNXN8Wh}N zph4@W2k}boHC5g@Ms<$NVt7-+QZjN886a*zzA_@KyO?>I2x`(>ETjvmd2mLQz|@)t z=!;Q)h9}^t`klB%i&4I#=D^SX;rD!dWdAf3>%p)kX3<6`03$?Z7Q^MTR8=}V0th8F zwr)F^F2mQ^S1hG74z{VQO=^@~FiK}&yK4n$lwGg~eXiKr(+Sgc#NOFg@CS0~?6^I^ zUqFo8uMb8+6oOUhY)7Uymd?5kos6`gAvj(-+hH7SB{r@@s!uA1bYZm(u3iPTPG#G2a;5 zI&b+_?=yk-&A<8g{qq?k1~3|4Q(0ivu3pM|YS&o}lXcb+&BUlLKye_Er;t_ug=?J! z&TFGX1Ji-#?$8bMPaUGrK)se6x*rt8M0)58DjOrCy!5aeEB&t^@`^~N{i0A zj8Joq&bqgX{tUH8W#d|NLhX&|rH}lP_xm&%Ypz>o%h zN(Dm#8?Mv~z-2!GHf6mOL-jyt**aZWFO`{k@VU@-TDwSDFU3ebz>u_v>*}VNCRirw zVg6Ko=vjJ6bx0Hel9beAwBY8pMtK9AdTXt|yhzLL{DIkH0+jcCn^RaG94 zB62k#qXR&)Mjo+bRh2(%07{lD$Xcg6=Id5~tDok6&+Zh~?~w*-kDl)iCItgsz@KP` zIghPmzC9RHNlX_c(c4k~Mw9M<+T(`#s2E^1$@hQ=Ft^}|fUJ_Aa0i4BuCkr@!MEWf zEX~AAGqJv>7uq)_Tz>we-tl)o^&<)qua-C4%B?cGUsI5kx8Limg`#GpAS*Aw3!W>; zW{Bw7I;-erTd{E2?2&qDYr%q;GC|X%sEJ~Nm}Zlsx-7cc&vCOOXo;0uj2(e0%O&1i zxy7Urngt5>K*-jDRpl1dXK>h15=6XpJ=TbLy}pd81tgB8;%Ez^R`lJOT9RiDj!zEZAco#iU7m^#0sOSDp%bf{#zi z>i0YhcaRQhb!pM5&W@qK@CpgFHR|njB(@kn*WBOqSg%m0pq*r+dMC~F`H|6?bGBxX zHw&Fdvu1j*arCtg=D|ei1}u#8Oi%X80LW}B*U5#|(bJ>7GJZllGsqFPFN+Wu+at8< z4;xfc_#B=(X%5Y6YF^u}2+=(!2vSnp{wqmgFmcIlP1rYh_Q5*U;ui$KT8_TmaMqAW zT3W-O?RUIg8EO6ZJOZ{TM@Rc?4cwUj7F?CL;Hta@_*;4luw`!nB=TE;O@Ffa(WwvP zEx?}f*YXzdudi`Z^qtqf1`oHOEY3|$pdg;0K*m^|V@44GU|g{=ZAEV;xH7k+^a0Ld za2zKT!tpZB;vI;FF;KX)ZGjRQFJnp{KmgGGIKFJBu(Whn5ly78_Cook4I)t}HmDFw~_>Gnsaloz@Qq$GC3SlEl%B4t71uF@25{@%b-K zq=+>&_4Ry-JW)ZzmW$-pt1n$WewQ#{%cYUvQ7-9P-0WJjQDk#`F#E1Boj>QUjLVf1 z9{Xvzi_uc@ht+<+d3S}<#!9KX@)o`B?+PP|-|`PW@*Dp551Wc8fOUj>3zrJsZR=81 z5ruaS97X_{$@O~ftpA@Q3iw-`5)VHGlx(fHo*b{|`fa~g*9UXuThM6oyU3%XSkOZc zBBRDXOj_tU496CW+;AX*Dz8f1 z&V2mZt0Ey@_9{905ja@Y2-)G zS%Z&`n$xLsQum!Tm|g zcRi>f>7iyp`n)a(F)+qMEyJg3C@e4ZL25_V{Ey<0W-qnzQ1e$szL9zDDs*rceMZS? zm2)C+IRTGO=29yJVf|Z@1I%x&yan|yEBVU22q!{VD-?3?mx&1Ho2@x~dF5&j5AWHy1qJIt!n*7K2FW zbg)4tb}#^QXy5$M(Gf60*GK#2f+ZJ#Rw5}ULxu0~P|4hLdd@Bg#%UynXb9Jx5p9Pc zb(sr-tWRsdr}fSX)FCKp&LxrRV$Og7ehzYBCLl>BCN*%J5;a80O^-<~jU+P`z9v{@ zQMwdKvIaJi`R<%0Diq3TU-K+ep;S9v@+?&$3`Csg8LmRBcKVvAH-ZV4R|If$4JU?V zzC}H7$;g*5Wb9FR%-25YtEdAphBVS|yc%f#dcs7%^orn3r>e>-SN$s~H>V3xmzXHsm^qGdsEd*Kf>;neI;tYF4(`m zws_mK*nas<|L$kKKe$Z52m-6`h&bH>NO_HyR3SlbcS@5PEIN%}fPQoW)czqzwTCdqtvaB`Lx;cVP$%}LLIwowgq zv?S@aoqgxqbmL5eHMo{M*v?5S2; zk^=yu&_9YIC_{HG>=+9Fe0BoYPb9n~Cp6^hm zl_GYNu~_x_OdEih%m|NLAWH4u`0q|z=h|uF7EyGu>i*joZ(t-hLC0gxEz%6e>QDR+ z|NVD|Ro67OXD~de4GbyayU5V@m~#qqkh9;k8$}S)#bMPom9O16_7zNP&d{eJEa=;5 zR?Hdt7{W4=^UoRj7{amv_MllYXXs-H3(RGk%BNNLi-{pD=o6w5%vVjT?t-Tn!UCj3 zBPdqgC1VH+=4-Xb7R-f9#t@dV>Fc!WE*V2u2GiGX&sK*~pCS>JNSWgKKee5&`4AR2 z&;BpW^fD&>GR%b}x{{gZyIN}YXe3TAxe%D4#8T?+F!47s;`XFZSct`lzlKJ9-Yr^; z`21URi4p&%ul~r-`0U#&Lv)xZE{tX)bRB%ZJ=1(D)Mq18gQyr>5QPs!rU=b3GQhY# z?W?8?Q8?1Z#tYSD2qz|5ch>eBE9M+`Atpkrnt@eAFqa_;G12MdgV#f_DnkS_5g5Z; zmJ%`Iwj<)~HK(&73|iwCf@4q>EOHs5a1&wDoKcsiqvtXNx`UZGLFN1CBj=N3zCG~8 zSO{PRW-TnRxl~h?A$ssUDUsX;b0XUF%w>rE?!?3Zu4scgLnS%sY-m7lNrBQbfjKN&Kxo<*McQ{%QFB}k{0&-`K77lWrfDdU3`<2)% z;%tBrk$6u#Xrow4^z%6ji%pPY1`Q&$eo>r`x&e%M93Pm>%L)xRUucHXO2a}!1sX&% zReizSj`PP4R&C196L|t@w7NV`V6V{PVm!N~sq=2p;$qy5i}C(n_uGH;lOs={Fn-Ve z8>k;B18FI^O(yfX{qRWi(S+g9BmFS6V1ACJDP@eHTvU(tMXAJE~^*cxR&DWzDfSbz1emvs&p&$VC zRRBAQ?UQ9300dix5GaqTW8@uh!uVa1@)s(bSWbVQFs0I+ak(kAXLvEC&#oz*cZ(KNdN-!@|NfLGZ~UAo zQ_6XL2()0&dL)e{(6YN`h4f-7*1*q+AQ;PAGsSSQ2lhsxPww z`ypdYHl;_D#l_}gjFs_^$BNmM_J_TK>_DqApm(7^@8?KDF0Hs959g;3o;d!CrTHC+ z!s?5uOsOR)D5=T$xa>(&8m4nt%8u`D6XF);EKn0U{ed5)= z`p^HZ|L_Yxv|#hKLeW;XG3t_B23px}3$j&ZTc=QsH>stf7vfLUwrP)h&`m1Mq!mYC zxN~LuY&RRttnCKYsy))olJV71mM8xTE7HumprPJ*XoSr$in(B&E8EA8*nOVkNUfX^ ztFH*2eB;_E!~m1Mp<{k~I{tG@4t75IF6_L@-Onj2xcsMf6R&#q%eft`FllhiH&Kf6SRentIu z$ASsgM0&i1M@W;nOO~O@V~vRt9lGS%&cxj81zhBr)1x0wgl}Yja*jTu zX5fx`O8fI9rYeMv0zZ`f;e~XFI9Pn5cyh^H5&OSB3@qi%B@dsI1>(b}WI>DQhnrDl zCnga6N?(u;DBb?)fDot>^|Br5gaNw&I`*qV#T2`N6c*z6g!Nbbo)es9ZG(jTJSDq< zvIAb&%d;Ey++SlU9oV*D5$1=^w+<+rcZ-(n#{64!2^QhQf9o%N*9T2!H`Zj&WOidX z$&`lO69Q(gC227jP@1zFvuUpO=jM)9*^P5^M{{-q<$>$Rj^^yf(@Y&L1_*`o_^b?^ zVnx)>O!E?{+%r=d_lo#P4r0B^^NajZ=s>0s2P(HN4SNL;5uH|GrAD=K+@aU>R zpJW@j&td@a|G9w>fAPlC<V4-7!O5LNOk}QP#Ggu0l&^o(V{LhfW&hg1}Zs? z)5sJpdciJuL6dADc@ zmhUE5{-FQ(|M{{Xj9^*2RUK7ly{o{=9xy)=9s;uQ1_iLMU{q;?ToC^n25#F4?Okqc zrwJ;8M^4P(iePY71beJV55WabyB!Mq6a;onF#{pUVA%!HNY7E^h|~KHbpU!XAxKT) zf>=nQ<_GliDS$i#=^+%sEvWXS!Vi#r+s;o9!39r9Jmb*h2i^?(-Nw;-89szX&%dwm;J)xW{W)XbvjCBDXuw+E28U*_=)vY>!$iMDS@S_{xY!s(o_KO zKo7sKCGJv2nW$?suOY-eP#f|QbS`Dzv!fxdAOA3ihvn9D;HFvPBZ-NiEHK5!8M>R&7BSC(*Zk z1*=7~?t-EIJM_kzx zfg&k53DrZ0+mc`ztHNM(+HElhjNVoVW?04w)6p^e4vq}cEwW_3f#UM`!3`$~S8uzP zVQ!UVf@Q4G9SWyW*6d^;LnWD>+!cB>LGD0Fa2VC-nhT!_Ohw3ZJ+RsK3FaFUH{i0T zLPs&kedovKso>~^GQaE!-^O9`!TCA98T({U#ko-oi=*>Yj?SP-QLqpxH`F#64!{n8 z2UNMCy9PTv?I;JKYmD3wun~w!(gp7X{t(G9*^XpT!v?91FC{mmzm{*l<;^k|!ZprA zY@t%-_*HJAp|fmaDGY@OpaoM&n9Y#>aQ0vo9Jb8$D*QL@$*8|pT(u(T9KP4c&0d|J z(wx<^90`K|ov-*kZ~2@LDIi2GYkPq2Mec)#;|DXx0a4cg-vtAij~?`Pybe797sMPT zFxD^}hlOYbrqO>S3v=R&8 zIG5&9MFI)Q9UWV&xIYX?IC7=Yq9@_Y7*K)dN+Y1dOp2m@NbIdBt~kf4(gX+-%?FdG_5M?A&ghPbfwFG8@;Uip$cgqT37s14Xt{;xbIKz zyt{@TsY@&!LY7=^w zqOS!5C6BvMf9s5iiCeVfGw&v!`FlU{ec$@s5yj~H;`vN_d%R5v&cOO;B|v{o8~37& zIRSN7>xX3f)gyN$g-`e)1N2QcU*Qa#dgLo>lbGELq^{U34gRAJ;YlNy-HXU)B0kc@ zXIxBnFZP&hiwY@#OlYre5l@wSfuBWzOxvBXaaCrUWydI@Vzm5iNwQb3NG0Zi(eZ~dNbRAkqD(aWos8Gd)byIzSD5r2WpAL_UE%-87%mp0nvRYwjSry!pwkugzbgf0SKOG|y8HOG98bT~>{Hk{%}KW=qI3bH4gy27V( z>0P@OdR6pDW0GopG4cSpq!kU}Q2|2UUUn{7B2{9U5Y)xdPS&iMN|tDZ0XR(Z;W*v; z=(9ATD8V_Dr7BoERQk68(7IJ%D(3E#Z5g~;wyH7l4!~;kTv2v;^)Z?FTca9B9IJ&R zec?!d_wz45diT}mKe}0`&yvGHdjDny=z)xn^Ezh!`EPf^91Y(GG9Ao6c;|n2_5NQ~ zDzOK!wDd8)Otw()*d9+((143=G~6o4IDziJZ2@cCF_8cU0YG3BsuO}V;x2ePu7TMm zf*Q?Mv{3*?>%k0@RRj_1tBKn8LLw4^lO{^d!?|*(N=$bLXS2c2VJ70!ZON#KtFgcC zkPofUVYN@J(R9~SHBjox$y>$4jC@Mrm8p%BDVswW|RC`wEkADxKLje^TdEi zl#fQ*zeVp{I%#}g*Lq=pFX=>P2pA_LKTXM|OOMp$uDLy=6Tb6}gZg>PkGbYw_w_&Z zj!%lhr@~$xU*X96v$F=}t=92>p!_{ELQvjn6Wky7Iyo24tidK6%dbdFKXgIrjm;XQ zYwm()XASa>y5QMagS^>A@Z79H25=#`BiRodC^}1u+E-9f;+nf8CJF55*NuWfufnwuuktCTLC{BO|YM%%AgG`g^ugs(8y94G1ou+WuVt}El(vZxz2Q^{R zR^f^Ou^lvJFmx*C`ILhWMJuXuZSt(D-K_FCW6ELZ*RQ@^8PF}H=?`lV4TCB20C*H(H%CwiQgCgdfeV&w9Lk(F zUoZq|rCjjHUI~TD?*S?L=gm8w7{%RJB%)F&XG=p z3zmUQ=mQmyX1*Bl81>R{#O+%8I$+LGzO1jBPDEL;2gFG#t2PuVuc!%PUlIe2ZOIOR zt9nWG%uN#4Kq3oNYj+!&-BhhfC&G`;`Ucz$NFvYJo~C;1w&rou5xa#d^_Fd;&C&Di z0T7Mz9}oy2C~SXBX{;+p$GmAs;^>=h@Uttr*{=u?Gm(UUKKMBW2jgp^@rDgGIM3a> z(=4%l^-g->6XM2ca*oRJrmoivYp1EC|^A}CjiX(+CGX;i9%^W+>1Xq!uGC4=&Dccczakx>J4+sj03YHH6JjH2$Zm0c) zb|H?>;Z2teOClaBkHlbKL=`wgpgumg6Id$UCA+ z)`q}2sy=Lcpon3-kR?GYh$JXAV1QqfgX+k6VB$g>s8=zyx+_=_Owcr(nF}K4JTNT^ zo)p9M9p_8ef;s1bYGj9t0m;JlI5>39c_7_FPYEk_C5c)tCg(g($jZXC;Gxx}%I8?; zJT{ngD8J7hIh^VwCk?UMu9TNmJEyFT`uTOzsiG%4o~!i)fd9LUk9ZrYp{k6tuxn>K z-(;NW%!Zv+kJat$Lu`U*>T*kJNv=yR>0Cg?yyaUfKq%Jf%U=22kD@h7k= zAy%M@^XKj%wopv(5{Dq4lW47L<8g5B#63Q{^h~7FA&AeOGT8SlAxSd$xbAI(- z_|%ViV?jOW&1fxc@W39{gWf~N3U&{XZZjU(6Dn~nS8cYmaFu~fX6_7G!PbgpON+E3 zJby$VAX`VSkJ$-37>s;nX$xWO#djOz0-VQ8mhN-p+gU{xT?(3vrprqqB(5q#mtqbTYa2Oq$SSfw2|9x< zk-#;5I4M01am_m(WsKMPiorUNSkL36ZHfz zXgVD!07jBT*ts>LkUsHbL{W@L-!A;s&TdKP<#kSUc{9Dggcr9HUi_uM`A;8Rh3%Xw zMF3T;ge8_bv_zh50hEW=mr(<15=PY$f>kNvZ~{Y%6gN6dh^z|-RD!-D#!VBnA*qEy zg&~(B`tC?FD$+OukJzk8HRvn$aO`0_DTvmnMlhEmY#3*c0t`I(MlhEmI08#@h_?y9 zd0p>ip18v8dVwHR2$VxDi2J=^~os1NMAJ6LP{0MDDyQ2k8*nX#_jU~LKR$fsr~lQ!04VRHV*s25au22vSQgk*6yi0` zv#-qX7Qs!&@Qvdbj{-}}oQ?8E8@Xd5pxm2LixnmMa2CiO;~iv0Pynl$3_%)RKlGv7 z5oHG@F~U?y!|Q_9?90+VY_!d=4a*l7?flg2!m-IaWoCnjJOd)J{1$Ki#eL!x9?P7e2yhX&P0N-5_pWbN!?ZzMg zdxkGHrE~Lc^OkST0P}9Uk9^Y){=q<9YarK_CO}Y6A_5c=FdeVWY30&{(U-&Zfi7#a zWL4g6kD+g};t`YuqDLa9MHOaS&&tu1c4HtCWS_o)g!4io}?UERZKvTrQfNLYS zNs{^g^!Pai!Uc9PVS+|R!jZ~uM-)h}jB|9Nd>1NqZ zx>*8=*q_L4Cc43Fisq>GgEz~x_hd}k>F)qOS7D9}m(Tl)Q<6@Y+v)gX3)1mX1*yWk zmQY@{V}CI{X;Xfl$Xx<1b~H4EI zd>JDc7oX1Cf7E~QjlVdZyPQ3HujDSz&)(Z(UYzu3jRCWB?$YR=5E+58s7)YJJ9$d- zfZ2SyA~4@--s~$F*POdN?a)X?*Jo^+AN>zsaSzK5LB?8}K_ZsA$pwLbG(m9IwP{oF zKZ+!<5sJ*-tz~FT1-K>})+K>4@rimwo7BnOdiGwoW#&|Ju|_0ni_ojcAa`RDWis|; zV96wzQ_DwyVJu_()KmJ@MqO)*ltTk9gsSW+WH0VF8~1EhH}en zUdfIm|AO1eA*kIAt;B|ZAZ=imV=n9&zclt+(x^)s_3?}Emdfx4HYwCsKl-KL^uYm- z)MQ4RMrBG9rVH6oOvBY6+p-?lrcps$-3sP3YC#jhblU)lSWy&(rBTr!MS4XbrC~HR zY7aq)SX}VLiE%u~Ze!*(1alg-$4CkYg1D;COogE2$9zR3A#iABM-PKTOZZ}f=#n=6tvf|VwFZcVur9}7LeVrv__Jo4`7?f_H@j>;Nbm1S{7o>*%7;1thI*DsdK@t zuzs)oS7L;-sgys&|LHzRw%UhRN@-G886QWH7v$$yFFwtYsin_%EiCoR+pk|HP*Hk| z0VvjjLr6S5e* zS*I@%=H!HIpvq;QPklX2Le@~_qiNQhFF&q11B$8Jt{-6j1!3stiD3=2lenTCW{6fd z^2SPARG%tctCC$swM@Ru3N#dD+V4`Wtw$@ie{>g;O zoZuaam{L3?u1o|_GA8Kq0LmWiyVBM3mLDsg{h9Cm=r8@`NM5N;X&qNMm*6L&Q`qUCV2RoxFXUoLeTy0qY&o!c@{{JkH z0Nl{sTyjA7mjjx2i&`_VK?!T1V2mRb=(YV{rTY|I6oVCW*mJf~EQdX38^vV-oi?rT?+(9{G=XbJ{$1UI#OuB7=*K7{0p{YqCz6OdFf%d7}2sK__vSQPR2|ji0^o*`_mjawx~VI$Wg# z_?hB+xx{jvsrKWqz5h$dOM1*#X!+=oH70M|L0a;w2O~Y_D=;@>@B$?vSl=3~nI81j z{@7_&2MLiqeb#Et^rWv28$ccO=v(18sU*{*z5)dSoK?O&`)=?~09T5lpgxwX0?j!h zz05*mUQ-G7OcDum;F0dV17X z)^>v{+Jca-mCW}DxaqZ`+v$?GlKCDTA&S==YXZa7zG#X)wetj31Kw_)Cw|Xbb<8kT$77Y$3aFB<<;jydw8;he@^ zw0E{y`SPY2A~(>$yA7$16PkD@&uyT6cDohtNNFwE9#?!i1HKG_3jQqHk`k&?^e4CzPyvyJnhfzv_H-__wwxat6kMvvy+!U?a%Gl zUx=b(vja7B?zHK)tFCHV?F0-E%XQtV!?Rz0zujroRq$^JRKK5WrvvU+U50;myH!_J z7dm;_(gC@h_CKz=+*;K2tFF5KuDO2MPW$70|9|%01?aXkEene!j57mR;2?;U)B#Kh zwj1_s?MnnP)vY1LfO5J61j(FfW~QMT=07JrO=psnOiWBHF#$EkNJ*6#lmt>%OjQby zg2IZ17#s+ONeD4sAQZPkNRddOFd@(LUcUGJzP0vRYyao}|32Nbhid-4&VJXo)?SzQ z`n=DZ@Benc*dFu!+lop|$LDt1pU+cl>f=?a8+x^T^O>i+q&4r|#}*z=uTpap*Sc(C zscw~+_wHk{jz&W|C05|^9z9r9m44@oFT5a*YH>o}`L3^i{@)ai3Hq+(1uB9L(>)_K||+g|y{A3jy`j@F`q0yW=T3klhy1WEug5q%ytKAw;FG z#-qMMb_WI`7m4|Z7QxcAv)Z8hu@>aJ?1H6d=ZLy)n|9(u?1Ir=1I}fI;o4X5H28G7 zAndnw*R1*T>qA$$pAL?<701Dn)Jo=(_W?_Zx$96AQb)rNK54}0V5J;Sz;BD^1?yFW z_`&~EyH%`Kv01QKF5-VxbhsZ!y|CtuP3#y3NsDAljJz@rhCYtCT00Dx`~ z00i37^nwHNgR6^rp_ENIkqJd=)cXZ+JIeP3!TSNyP-l?_b{JH%KIY7V(>Bm1(iEOvK6{Ivl$a9ULz;DerFCn8D>U=Lvx2*%!JmXQxIL($oNiWy&0Bs*g}wJL{qq0uO;OI%+N!HC ztF(G=Hxv$7oYV`luRQ(e$QYR{Pt63DrWSxLF-H+Z;4LZ)Q!HB?iv(vTh+pPYzc$vi z6o-~5!~~%|m~5ea*&NyxC0sMX1F+JjkNcn;iA(&@g=+(WlLS;YCTDb;{4|zVHK8mU5h-gYR>~A;k}x7obSBrV8E|o` z&{kTP6Yx&9BNlyZ)(l~0Oec(9=(9S*alSdV!Ork1wK6fHOV|hE=ji3}So%ITujS#{ z1ADG|yr6C_sGARs&a0pJ6CeD>fB7Rzl@McYfhYAUp>83?pzQD~lmL{#>hP3(WoEhv zf;I%17YJguq$biskY?Hi_bXNrVb3v$QEW?Ik!IQjL5)o7ixojPrD^n7k!IQjOWhG1 zQi4m(E7DB6Akg!y>7j=JJxy9pj1_67i{KvSSp~PKuCdY(f;7`Eh)!}&$N^Uh$`~}h zSy0S$k;D{9DTs97kZzZhDq)dC(ER&h#_>B(N~aDIZL?tl;1%2<+2kM^R# z#83&h0kt71RYBWaz)55fjn~Rp&+{*ms-R2aO;)cK$pK7>%Ma{x&kEm6NTO&eYZ89Y zN>=wsUl-&;mPle$lJj2z6reniFE0W9&0YeOuZ%K)&yPH#_;TPSz`y!Sz>kmg$@WLn z|M~*{53|TZs!OsRK4V+mL&fC0>K@KFSC?4btEyWYi8SNchP;K0z+!WPG2vomcw&Q?WzKiR}>Rjc*L!jQD+iCx%x`z_fdDT5sN70a1 zs)MZVRn<|H>+0ikJC2VFmN)hBDj|TDMt$>{r=?Wr%IH3}(5F-~!q~*ME}K{ib;C#y z-^XGo9HxI5Jy@0SEk(1Ns;>1H>;ukl7HGmb>t*ih+SXncZJo9H^1{& z-}U@Q^VDB)9aI>gyvhh5zmy znx`%GcLcd(BS~A}l8;jnp<^L#hPv_13>kHMPEXs_?~DI5?mcRam6rXhc(aH!slT!M<47z#}Qh)X=pPTxttjcihn0nq{m5Jpk+7@?LU-(b{ zhaZm0h`KPnlnYoEH17diM;j!i$Oi-msH|#&q!VV|9CziE%MK*5g5^N}67u?d``vre zL07hg01m7#7Dob*TE}N8G<^!_Y0Y~e{~_USK6Hvq5#*vyEXj=ZQBW~iFa@UYbvK}{ zk*vqk@FsDNDK5omgFOlFkn~uCm9II+D&+zq^|kOOpzI-vG#|T4xfmM|*4!u?P$ijD zE<3Q#Q4k`?5xK zs7f2&(>7G&%0|I@+Lr0*I6;d=U4^z)6#zWiky|qDBSl6iuT+r*27jY^5}^poF;yrE zfp&)~_ITWJ9x^ICj*nEyS=A7P&Q&#tyuDQ@3W4hUWjjJCDW9ZGxjY^_krogvyb=A( z(0O!GU0+P-Jc?$WcUKLvRfJ@2xj$0(X1L=eM6%wVvhS!*emWXb2GkNh3{ap-yfcF+ z6;aWQTO?-5)aAr2YR%GJ-69^>!X^C(Rb4Lg#4X|uPkPVQ^37lMrk{>+AnJm&kJL34E=J5O4j2E{tZhvVT#p24u>^)!GT3(wiPrf?ia{@k;4mt7DbFgn(3(KsUM!x$w5c_m$Nx7)BX#O|uSv0{cGb3HD|o>3?= z&av2AVJHNt@mvtInMjOHK_v~%)N<5#E@%yX@Wz0-Vq})jks8khF(^fmst52uFiOaR z)OapvHEy6~z;D#U<0H3NBs)AflEFd#rpKr!!HlnIz*}}KIJK#L#iAvH8J7eRslvRF zNHU%#mz*D>Q1Pf@@sjb7PE>8_c>YJo^2C0p%DKJKrz`^}@sn zO(!DnSOY24RC|^%YGR|d9i>npdfV6tec ziy&%8inrQt1nis-o%4?do6luPeO+CV$ z0G8dcZ~xxAe_T}gGQ5P)(E&Y>z$>GVo45cbtwQ^X8(hmbIv)*OkspIcg7LTcc&*Lk zc5=$j9e)+8^he8>tD;J_l`0_bshPA1zJWMJ5W#^|PIPd%iCgtc()U za(Dp(R2YRzdTo%F==Gdl``5qlfBK1^k6=>?Nj%teE}Wn=!W7+>Muowq3tCe*)WGu4 zV?2?tU8y{tD$rsKfwW?itpUR%dddY0r4hSnQo#!BeV8;XEJqDXuP_?{Cc7Ps8F^<$w zJxPJ$x#VU~EC-n(_R_{xt;t~1PqSOG&&z&KZ_Rvn49yCnBFwC;B`0mS8q|uW$m};w zuzc&xDW`c;&Im|{jJo>+{&z@5&l7bs`w;j}Jx7oc*@p~|j+MCfcxZa5o84gD3|;8y zZATY6u5Q8{vZ}VbhS|4w<6`!oT(dv#7F`0@`DBxTI?gD3u9nO(zx-=2y#J3x;Ho#H zHT#92a1Wy1+Foej>L#cJt}rYzL_Qm<%IqryhinMTeY;{(1TeW9^f3sNAqOkfCN-OV zFcxUwdfal9NG+JneurKPiJ6a^ErvkXg4yhM=*kcqeS~|U!L|KP!xQ?i-mg2brP1)N zz~I=dSeboLwi!9%YGpxlEGao=UlScE+M@&@kU@`E8c*8O)KJk}i9Hq- zXw3n6i1wmOvyC6!h@0v0D78eskD61oHIIcy(MG&fl7lzsu)$2r_A2E&w9`RfxSngB z<6W(pZxP36D((uB3KmLNDZ6He&8EXu0%U)S4)N;flP*s^)Bf-;Dh$(9JB?tD(*Ec_ zLDnL2I?5;($CvHMYDm*o+LRZ%R5F1`g2p>P$zjj_x!%s)O6I)fTT4wV^VNUt_x?+( z2re~O?N-Jz*MJ5t3Cl*^agXvIUeR3B%azQSrnTZ2-C8hLGRrir!vPqcx#{tHQHi-=OrOFjwgpN)VlIf`RMa=j+kqIUIdraM#_TDu@3(@vk{P3?U_vvK~i9g0YNkhEFrC0wnw^D1`t5VG-Wd*TtYrElkt3bftN)mfL);-$3sp}`{Y zJ%8&Tyz9UI$cfS-n47fYiwq1J)I@!cw$tl-sR03wFziy4?dsAZ(zXC6!XyrHKG1fS z6)~|9KDcl`^cu-&JBwgPsE!D31+$Y6P21^$mPX}@=)Y>tk+#zXF;$a|9HeJB^e7)_ zJ6+Iv9{{=Btow}$tS}YHjxL2P3G7aD?53qb+!eM-Y>le4`tE11f@rBsB*yZv;O+DGH?bA?$J*g!uGkOEu@qWl&{S^)O!3*CHR&Z#1 ze=TRgf|JrS=0OW@3VlPnDGQVn$khdxk7G=6sdLMYSb3L3q^qqs2V@L-lIaOw6t44b zv$m>7dL+^#zS!Xnz|rxDbF5Hxqj8?;83)rk0&A7rfJnW5VZ|Hp^F;6gzmSja@_1}@ zK*c99xgYv$7GnNgGN@m6z%@7LDg0C}S-9g-)x`{k`WH#B zg-hc7XUh_KFAm6?G?GaPEo=-VUL1^GsEofX_gDPA~V z>lmZ0=rR)=WwaGFW`etJMFa?_B}fPk-{$Dlv|e2@!Goek1*{=XP!`ncl1pOBCZHl@ ztJq4MQArA@q)0;ds-)7Hh2%VU3C@zbDABW}+tH$++b6~lL=G<{3$1~gewXabK{>jL zcWs666~3DjAIy=7lc971ee??*nm`Q0ruo8T$+e6Yh7Gf&D(Y5(F)K@`!>6hpvgzwJ zTc4W{Y$`KM2v(k$R3efk?pG#|0F58aX6womksu)N&zxY&ATqp7n^FWWmK;~>S}j}l zl7@w?`dh=gUYe!fwQw*%@hR@6S$f_rYKujeeuSIpNBD>H<&h6w4U;5L;s zgdb1CGR#q%k}8-)v1JNEsAmoTVX-`nT8h`ftdgi_+mu*JsUW$A8E;xg(;=EUO+&+L z>%(};I7uE_`P_4MF$D1v&y`j?I09;?rfjn`gG?&?fd}4iVAota)Rm8-2-zLj02@L{rauv zOl+&-wHjhs3Flzw)3n3T+J7nVWrsnW!m$4>l!C~|vkdm*vZJ)f3{>^13g{FvLmZUk zFu=rDsgoYFDPQ;GA=H@w$%U5CA0@~1&ZFdrtD9o|W|O`S-q{k`SHb%*{S;$sS1A|o z;>iV#n(mvpMHlblc0i*ae9K4v;0J<)QcL)KI1d0V^aU`Ww#PS6!Fg7D8e}NB%?jjb zr{O%sX+W^vV+Wx1GAscM_Kv-YIP?m}zFCp##s%|`LQLqb4^2(uf`)))wMaR|Gd77D z+yzlgG8TFOI2C7TUs+i1f}ljuZVdoe&BBJDco!}in4>lNZ<>=7@4_VmbcC`Ft#&?3 z@h)7Fvv_fZKw)q^3bB19nePsm8_22&KLm(X^#sy+X0#P6S?a27F`T$PdcHq}`TS@M zb8+;uy$iA9EoF=vcXKm_+E5x>u8Ry2xams64ut5LFGhw^viCl|sX5R9xX=Jwc=idGe z-~aDL(5P@vSL+7;!~k{VBL$ET*oRiG?JFoaDvq8YTL4vYgrKB>9766an;5Ms#48!@ zO|{`Gj`j*lw$@feQys=Rz@qHYE7%^IYTZ{H?G+Tq+*j1;6M)vCS5V{C_%TwBGQmLO zqx(=ptPrev0is!O?IBi@%q@TxRpa2$)$PGWt^4n>Kpln;Y2CIzv+4!tNbWs9aQ`38Ytlv9L}(*}(|eU&B+05zg&<$mrtz8lf~ zy7Oa&mZvp;qrPQRrH6t`Jr%SfhTPTu6oscFTLx@ELwbWG?Ko;wp_&n-Aqjm}Ru?D@ z>$%#*>kPH1^0S)xYv2NsI{b*6!y)eu;22(y#FhH+f`rD?u5OuC$8z(8&))nw=nDMsKT)fK;;}b(x=Ix58Kg$#pN8`K=FK2btif6PO{< zknORnAhQE5SO#1jt>Z5ryb3aR2m|#r0THfA`es>qF^XZ*S5_4sYF1Gbn>+4ezn-O6 z;U|XuZX~w5u-~0W?Jd=gWY;Bnh-$N>w$C@!_BNess%ON5v>pDkPAuP=83t^h{o?O> z`C-6olxfuAHO|j_1mTw?!!W7cl!bj|0h?o51Q`*yp!Meh6nrGFC-qba z@{+qCh8%M&0-27NrT{{aD$)gy+aq#d6hu^{dAJay>*j*+!Wgyy;ui|L zpvJ}zKB@RrIrZT+5h3`fJe3@%or!4tzgJh?$tLe2qP=6Dp~f zqPhepcNd(@yG5T@ligABVcAozgA+8kXuS&KW5u#WD3MDn zLCt;a%<_g{uCPRKvO-f5R?IO+4o;ARfx9o|9TKh7g1G`_ZiLi{h=T8| zA|ydZ^c>g?E?5smQ(!aqncuw*eVRSk=lTJ+ANj1m?(_eZ|6;0Cg~B!6aFl@%Eof6< zR9Na#6$(Za#4vK~LERRDxfXILv{g_Ztza%y1#K0Ainap9TV?Cx2?JO`RR0F!wVOld zQdP-x0bpQF(Q%F`U;Py7a|$s8|D}W}`Nv42+iO}KG_;g}mIE|PLc8mQ9q2QWHIeRW*UYyExB{l8NLz(L ze63`@KY(%2PGC)B(t78aZxFJ3omdnQajkks&vyt02kop<)B^sbI{KvcSH(O;&{DK? z%c^Pm{*h(HkmU-|(%UiDeJvj7j7=wlsMIn+| zH_OACFq91jl0|(o@!+8ZE6VcT)6$Qe%R|%#kv9Uw5#_8fCNvJ`C}WnV9*dm{=bIU{ zSuU#>w6#E$elQh4oWHb%$ENgt7h?bnWzqsDZ^6Ad+vvvq00p{X&T^M zQ*j9y?=EDVcZ)6| z+%f;BM+0`!K4hXdT^KHgeF%E)a4h0eUX`EYo1ssXNL`%nNcdkk6c^0od3YOXQVoX! z{uCmZsH+Jo^8C7*z2oP)3^P0usjJOfzBPBOt9{-Z{=4t`4Ifdu=hSYV1VV!I*O;`- zz`Clo=*g;J2t$vE@$lm2NGFFc3Ev39sz$JmUL4;D0An3#SlhZEI%Xm4dcjMMT@Hb7 zR6KY-#~fWId?P46fRC=g{V(1#NqgC~T_B%93UE}B_v`*6mmc@UuG^`bh4-V!Q?A-g-=M_~*k#yf zI6H@R@8y*^%a2~l=jd#eHjVrkYY1j*0zad^3D{yoz zWU&r_CbfwdZTRz}E6Fd{#QW5)neS0a(Hy`V*uHKVp}DZ5V@>$Xy{nXz(xNoglHIUY zAZNaqAmYkn%dly$BN({Kr;{%xgi4AAA0PGK-|}KYw0beQonK5cf;~#9pXnD9d*m0B zo9V^G`qhic?Ncx22xVBy0JhmIm~D#`%tokXBZaYPDZ7w|sB$h4fYVX!kaHfJJt?{( zXG6-a3K9%~dVg+*PXivPO-{gr(F?p$`Gn;`O2_AR>`zWFJb{Js3Y=e{z)Jf=pgO*6 z$Ns{I7Mm>t*mk?vRw=Bl7_m5=ZA;m;T5KywH3aJ8b2}Xn=etkmPs*+;=Oip!>H5od z?B6X(tQEf>3}4{YO1~ch)$wIJ?T_=#Ikzo%xvIYBPJ*`h!Ll9u3nN-=>f=>hRJ~fh z`OMRuJbkK@?%l_hXn5*aq`F1E8)_AjlfGfatqnBF6R+n54zRO z*UctZ8Ou??wM|bs_)U*G5C2%Zlqea2lSh+Se6tg*pH5Jb~c6w{&M?^#0hpuFr_F` z1I7e{>6do$pbJ%hBrXZ(04@7ai_+Kz%z z&owzNy-_aW%7&+dSOlJ5-l1{L;QMAztLN#$`?yn27w4O+i}Z9WPYg= zj7=r8jmx2)Yq}iU#&J_uHg-va}YY|pIwg_`m9PqNT z`qRES+mF1Kms-5p;`UyMZ697eT)1=3D)`F}uU>q|0{7@bZ2PX~UlN$^lS^#-@gMb5 zfB(DQT%sZ^HMGUtsL^6PM_bzy8)2orotIvRXlO+ldRR8F_*b=Jt-B zp56uWxTr0`n?>$Rre0KQrpI@ImMl)aUG@rtYy!kQ)`atKoJanP=08O6 zF4IGVt@a^;b2?Bu8G49bQy%T5y#08bgK+41|%0wPyk<&IYi28StG-gv}FZdd!mgU@JrCflpruZ*Wixm(#z$H)01TNSx$i=1CR_0>=QH>wVhwBp;eu)wzU^=3r4Tu!&5H~B zU>0E`ysB5i^StFL0QY9!m7I9KR{{q2kR$y)EH@fhaF?rh9qC&$e9`e4{bLNpB$Vci ziL&bp@4|R(nXym~IWS})zN@b9lQf&*qyNM|`r*mh40J^lkBENi*0V@XfWtlDtPJ?N z$p^p$Hpe3(vDW$($prxOv*8hkoZ{@lmUeX1o~59jy^8F@cF0BKkH2;ccfI-UQu^ae`6-*$OXq< z*;j@xbwO)Mgy3!?K=(YQfQHuv0hni)&H)K4>xPUKX?R`G5Rq6BfOti2h9C{E3xZse z^e(71Qt-TYbdSv=P1xEa!I7==yGt1 z152pQn)&vy^#N-_5+0QHSlS|lIac=vjfR@-0mUeNvg#M*8-(6da<$?r8P9ShneWgJ zv}bm7ju!joWR1+LaCr`wl~cGHW`@?&%h)_e<~1p)X49|)uIKumQQO?E&FU$? z(Cde6`8mPwStS%EhB&kt6gE^=B}T~@q=ZD1LC}m#BqW$nL53kEL|}yRJ6EKv*shT$ z;C8q&sNvQPoJcZMU@}45<^7o?jr|2$n>HoVanIljZ|8k%p}RE0#quUDEnk6x z9;@Qv%Mc2SuKn2^kF1|CH_D!Xa4;(8#4{H3Ln6CIYou{rrInPuEarq4Ix?0h7)AJwIPpM$dJaaL(0?|3%VZxj?$P zWWM3%ttvyIshKb|2$EMhRS#-{DpRjPbS0k+OOMwEIg84$YC}ufpHE6yA|%hjgOkoz zXk(ILgg|8&WjpPU^Uc^5mWryuZ|nW``84P!1=b$9?l6x%E<85 zP^3I=nYV0-p&F0IZR3gUsdv@ zWCHl?BohFWlN)ttGAsD1vKFNM`J|-%+va;%)T-DlAoYB{d@j=dINuCkrAe(~%Lx-W zV9-)=8VdV5(;V#CJlBHF2nMNAJ)JbMe5-w#Y}~!^)Bnm31x2*BcDm-UMo$!{wp0it zN`pp__dHrcCHB=$DJRD#Q5rfZ8$qe^nP5reu_o89$mgLPI*;n9J2FqUvI7&}9GXu^ zS#gWTR;n0|>S?=H#XIsJvs@~HKUmGgLC+1ld`f)9Xk`aSN84YjZm0eK&PCK)~-(?J(3^e44Ltkt5R+-nYF4=v~!w!8fsTd@^O~O0=IVgZ8S` zo2aX-PHE4wdTQ9o7$KbFQY)KTa_NQqD;-cf!b6|RcIZPSn7~vS`y;b@9@&}b-?Tr{ zSyf1*J>lv2e9`0hqVChCT%HICB$If4NMP^YgvPss1oQpPdAF!FN*4y| zn_-~-xS##JKm3!C{!$0T4ZFD`B$XnA1B-*s3gKR~roUY90L}`y+ZsD4fkO!9GF7S~ zVp`uwNDx)Tfgqy_2}%_a^f=>HL>WATuw}bjx6w#0QIXsMb`XLHTiOy;u26v|#O5?$ z;Du65#ILTZhlvh8{1TeL%erno9#1*QH?2%=?v0v?!+K)@3GZyYECAXdQbjE$3bg9B|x{1o9`a9$F-mL?ro{-ar zh!bT0^n&?MMjcX4_0i70DJ9CZmw)HJFlZ<+OjVU> z2vV22V9-z?j8*Fpf;4w77&H`MFW0hA2#UFL$-UE1U^IjAS0+iuu`XF?D7vM47)dfU z=OdbS8VaNp+iNa4CtovYDApU}Hce~h+Y>YtYtUm>H+)h(t3ox^?5**oF@9wVa zk+;Ox4e@7$zeO|Kha9Z7T0FF9e3~OuOOKIF-0zj8F5?zjbHnXWw^F7{o05Pk+7}s2 z==SK+mT9#92;WzBaL0?xP@Rl&{e`g#y&fF4;LFAVPr&I3vPD13q@>IBmdx`R-t> zI4}=%v2pmGWWGJ6DHNj+j5jf^dJShRMW*G^NIjEezClrCg8gbABB>hqZ;vt)nkm|+ zNa_PF8Fi)|#{9IuJqL@RA=GghXiOQ0Gal9$J|sZ{V!=8LnzTyAryj9LYBM4mtJ?-^ zoNJp}3o>SrjG!Iz!?Qp!43?~CkCoNF=+^B zgMEMZYMkXTOyffy;$bTBOc&si&%K#^?$cL4|9^dWbcJYg-2+^&L{<~6+9GH!1=of^RUwN58(LF^eD2v?sO>NvLb8QuaIubuI7hlsevaL`Q+f-y zj-#C881se1Fx(S#9`ppOLM>?OI24&hVw{GU{W!Lw+N}I~T#{)8l6#~{)tDzq2Ds%k z4`}VABrNjYTYHr>-I06=gdL7e0AkHR@SZEOVXXX;WVdyIh0Bra&J`Hx;%=z z_XsIeJ-o9o%f9wc`M8aHG>}Ra6lN;uN`W}1Qst>lsnmAd6)MOTqDPa9)ebor)_^u0 z5V_n6(IfG1N@dD+M9-jrz%!WkNA9<(peut!IzAt$*q_}Z8Iz05?^)d#eB6 z`x>bQ_Z45d>?`yj;ZN866?fMq`-eXHd!POKGZOwVL@*{N6aGiA%rgIU+^!|bkOgx_ zIr8{uh-|aeIpL47ovb#}-Xasz96Bfbt&^9o#<4ftIVb$lPy$yCD`N723@6Cvm=pe} zM56DL&dc^FGn=zuPWW5a4e1)N$F?PeI^mDPt@th&D{aXl&sSP~+crVubD-x0gwRnZ z#pHuaecL7XE4ZsXP0Y=NyCXV&qg)MGlm3uPVt9n6mC!ERyF20!xg>gS2=2qtt)n%L zem(C&4Qyolb$f1ZrLphsE%@K&>#*o&w}$$P{Q_%}+W42r+n{uf;@m+{Kyxj2`6 zzr47t#D3eCeAB=CxuKXfYv6&Zr&WWM0KEupqPWT^quow{M^JvPU`{AUc76aRM8)if z4zdAgm3GCPPDbd*+Ah&5#~!MNin*)^S|JG*kye(_hWu;s=X^1VJ5Mh zJ0klO%h@WxtWtyU1hv*yw^S;%@SR}pX)E}eQ>npqLJKgaQWMt+l2w)DEcK@fBhY_E z$@M?D>eF3vQc7gypKn0@>GH$$BYq10H3#BdzWDwx zeq-rrReERVZ!ofF5*LwT3JJG?G1rn(mqgqOf+N$brQl(L2e2zjo*b;&0%6D`kdjdM z6_Ndhm&D1;2SG4oLCL3^AV7B{dSHOBq*Yl^(&>Ka4FX|V5soL-NfwlXhaVcbO=xf& z8lXc>YuE*u=5OG8soJ7qzrFzq^|bOMj37*#^@KuFs+*5Qnf%`=MKUE5`%!WX%GOY* zZ;u=ZgvV#I5%RBm#kC-$mwd4U5M6zIgdy~vD@n-!n`BU^gQ=^%i`u#8YoZq#Nfi>K zuWfLV-$qM-*ybY2JgC+wGBZi0#}@;ZFvnde)PV}sv{RBy&o71{p=2Zv5r&M`zvv9( zRXs#EK%vh2r$z`|{OKX$B%rGlFL3*|AEJ?=K;*^9=xnqkj5ishh5+h|s9_*su&#(i zq{xtKAbh5xhVj^4d~FQ}9`{)_9At!$ODjYu#N$`QBomBZ0>4T>r$OgmDAXs$k4m>f zTt6+YdJXCXg}SI23#_+(bsg7KHbJnC%5XW*T{5ay zaaAGwE|rHIk6mwc<(K@zpZ|;xM5an}$eyVx8D$+vj66P&0IGWJD`SXqL8EfSOT9sb zT`WWhQbiX*40nTvA+?5H9-kW81p&QaAzn)es-a`WQzeAnT)V1-uxCW0C4}D8%v?f1 zdpZ_kZhi@Dszf$%=v+c5z3<&>Yi(j7Sd|bk`cGTWj&QKJWTfVs5(1)4Hh(dRzn6xE zN|KqXa)mJGUK}A58zlc!NmeBU9sS22v^P@Bbe{S47^ng82Xx)FH(ZdZ<>-59XTy1J zR+4**qfc5;b;0`G1>(u*msVu(anW-}k$4nXEa@Y_64a!+1&xDT`FCD%h`qd<>qMs) z1@nL5f(?D5Eh&X(E9PAor^E&JAgaQ}0j3AK(9nJE2w;HX=|4@2LZe14cMBHGBla^gSP4U{LV ztJoEv$kVcSdy$CKhDBrRG zfzB+|L~&@Ubr(co7|pzl2hm-urY8ic){7vZUm9UD>~9WDweEsAM;;n0ZaWJjVntEw zMG_OGG!}){ZkH6KoJ*pHYXQer8Cvp{l)%U(6?n#@Z$rTZ3yhJ;*rS{cy zB;2ZC>Zs6+&IF}WQ=Gt!&dZ9iEZfNF!smkKXRmx!GaO!u59l5_zHN&+5Km_D!5-TQ zeRpkjI&b+Qb^2R={&#-vw@2|o^>XVak2cI^KMXLO(+#uP4IAx)&0ex)W(bQRmwN42 zW-ocSByl>l3ZrbTQn*D_;IVCi8_mkJXbrReoDj@jGNNG&+lKZ5%tngS;Q(r$$yWp@ zhAN*PEhwO>bDZ=P`NpgJ))WdBtod znz~3hC@Wn;scI@MK%YHgCcG@T)9Z8j)X$uIe1d>>ojc`Z>st|dUL=c80@z1vb+^Ohe{ zTEF<;ZvW`C($e7z!x4K{TF0@7y;53Z5qqVy#scxIw2;p|9pUEC-e%hm2e_5eQqcZz ze49i2?lHn!zTU5r(sB)FTFhf#uW5#cyF!HP!u~fD)X*nNjYqK;rFBtSiea75i9<^3 z!{7Lm|KrC57pGQc-P5u7hKcNQik=Pj@Fy=1s#$8MITW!j)pmos&ls;C(}~5kSPoG@00|Qv_@oo zutSwZX>AT*H|GG$fntGHjjR)4H|M}y*y^0KR?x#|wL0U1<)5RMr=TyeiAL!2(=_(F zJb7CE{crjDPx{Y7t83G|Iew}8i#bZllgpjIz}W%>Y+mMv`om4*xAYc%vgs~=XN>Jp_9hZc;doul!W5e%yB zk@?WP`Mx6Sl2X~iiao{z$t2o}1%Ywctd6SL;?Rm=^y{OgOqd=p53AKnl7eCMv(&^k z-aIrkwLBu4cs0om!xZE+2iMGZ#|WQ^W;yi9BJ%A4HyA6ayhc8}HJxX^KQ@((WD%~b zU2>ML7FDJS@7(?))u4~1qV>Rc+=VovtlI?m1=A?6crrHJPAPW2*lAN<-%!?qsyJU+ z+XH-C%6i`NLoWEA>Avn?_+S(Q6;|xH3IiFF*&9j-UMpB#fE&@oA1sEuHf3?q~X6_?t4GG2A!K zT7kI>_fg`E6oK~|-SOa8{+={;*E=-teAUQ^m}49V-Z*&b5T_Fs|1q8LMA46RylU7M zJj8QgTGaq!9)ErcRqYPA?YNmjn->bR5K$`K(& zdxgyQHGu}P5g& zB+oJep~-K{0H{epD#AWw!g94N=OW#7ubZNWMVg=_B^saxbm#=oLKIz6((vpms{&1k zz%BWS+zzeEBtTZuOmbAnl-uS{!}$v0m5IsCy|*&San2|V$wH)`L%!)~34w)z@Af+K zbHDYAKJ~f35q_?MP&_GNkQC^DByu%I?Xx!V_PgLHYZDED3y!ijQPH>{G6S5(h_n_m z1L`^3SI8h!&$ys<4N?#|T5&c*kZQ&S!6B*0T(oAaT2d<-0v7~~P2skr#a4$nR-_?t z!EqKSK0q#6ly;v5YLwbB;iOxuyACH_~{?APD^qu=%B$>w>F)xq@9My+DfEEe6ZECkYYe$*8q=S9Hpl8a)ZL^M#o;t`6i>zK@Y8f;7)H-ZH6{z@Sl!yT7%%Uv zPs8Ko=bp{6x+PX2$T`^6p=T)^eebKj=hs>Dyt_(msu1QSMe?W{U@B-)u@_vSN^hlxS+4;yF${tFZ%koeC8Jg|C9Q{Z5Y0Dc7_9HSg9R0ry0&l z4#STdgrq{cusT|WCIoXBZfs3RqGOU)8(rrxys$GI4xRD*#EMlI?x_MWof@N52<9-{ zhH{{j&ERXfoh*mp9q0zc`#6GGiskt%ScT!;cCY*hJB;0E1jR+OGr>$;nOVkMK_^o= z@{;1Bxg^*HL2|0;2kT0XpCkELmyEOm?oE4|6~S}l71(9no@c&22k@cdrz8Eg+4M$u z3gW9Ay|l0NrbJGmb2{Es3ak%mF!>bqH0fQeV^RxxNOw zDKu#1JqJ2*i`x9oWun&2Ow{`RPy2%BzAN(lnl0+%S#jk;n*sxTKc z%*rr>5mlHALMd`G0yD2prW-3#g@qtt7$UgGL>h)ZAxIVGf(W{FXz_l-(bBabRhSC` zy2r76ICM$n#)_iCTymTgiTLRvIZBGeGO+)?s;(0VW=g5@e7q8BcdaH(1LfXLayLoRCT{+M*covebcHq`a3ih=E@Imhhbp_sjPzTq#Gkv zoOH+ZwYM>w+SP zW}NkmG|wcp(I_S$&Z;gntvXin88FsECCh7{k0%dD+MnC$y>8XqnW$u_C9ngf--`sr zXxm*fF=OjiU|sraM_6t-=a@OEQDnWn~KRO zuU<~c!+{2uG|U}f&z8`L6?4S|9YLK{4lshOIW#qx?FLJHBuzDo5jv+k7b8;Y)VuD5 zrrP@WYmm~@EyF%Fn6q-_#?!)Si?DXNFf=Y5o0+jWoa3n`f`E6>rly010+IK#W*)L%J4*du>+um!Is3Jvxs2@B8jas@LLuw%ZY-ft`?WAK?kZcqQPTL?uiasi zisnbwXyI=zd6g#^&r(!(XKa>1t;ffP8Pv$i$CzfIy7EH}S?LK2`S%?>X|#Et%I7Yv@UTL?AfndQ=y8<{2vP7oWPQFrI}q36{xFs9O`2|fCUYgX ztEU48SqI&HZKfqIBbd>qeNz zPL+&D6L3ibVVvAZ{w=!~cpcV7KCH1$dm zgj!P;n(a!6B(orMfG*e}y#_()yXuj<@ZP)Nt}x4>y4)UG9vbhvLi4p$tkG$V?uGgs zC9d=(533ErNgNv{rPraA32;!`M%R~0?uGU}e$I`lN!5Oe(iMf1HB)Gy&~O|nZ+bB> zK)T9s({f&rpPNN{2R$Vd`%xm5wg(bvm(unPmbTeifzE>S6KVFuPiUlTE4+Ejx6uL> z-sgSIC%=EX=mB2}xq5&jmwjS|Dy_c8Om-`J^pZL!iyp1ZS9wxVyvDeW#*fR6)F?dY zRMBJACW(@zCBTL86pYF_h+0W zDBqx%aor;c!d1$52z3-Iq~PgjA2+~9BFlqL1MQAR0tqe1IU_UMQX~z3qODi`@y)4J z2mNLB&~uifXH!CK*pb(xoD1sLy>5!SJ>@*w{##9Hq?`xf@3kHkH#QV68*X93V<3nC z+_U246BnBHvFE`_6(f#MD)_457-f$7gt?uLFAc(Jf6ND|I`KeHSntp6v_GA)v?-UT z7!F)5@G3?HX2Y*tOWem+`Ic0N)#i*0#p8gWE)|$d1?I)~yi0f{3pXNN1!0&fNl@Wd za2`@p9{ zY_QKN=U?P4Pl<1CN5Vo9YiU!m9VLIkY|i02MM`}SA{MOT$V~ZvJwC@-%MT^KfB5}> z?595QO@**b;~Q7(9_?WDc?>QGl(e8aZjy1}#u;3?vF@mxRUK`PsuJHIK9j^ZvwCeR zy+ZqsFu2T8Zt~)*&UoP6sAUwC{X4o*sTD-j^&0>B*RI{LACPK2u`KEtT8Iv?Fg|!x zvb6XZFpbbo@hP7OKgTyipD2-_7alJ8U)a@LBv=$Pgi=M1oyqiOBLSgP=TYy~ybrBYHH$eQfM^8n9#Q`sp z(h;rEBiBl%BEbSwg{Yv&nq(hseo=ay7f4yKj)S9jj+jcnC_T@M-D;_AE?~PrnVuTY zCC_|HugX)3$y3<|KNx*VA?!~nKaM@6_}-sVe#}- zKeywAw@aDT6eql2Y^&c7fogx*PW$70GZ{6;Ic+O4>TOePlI_?Z7c8F+id8sTab7lZ zwS4oLr?;todhb5AzzkdPr`V(uF2F-}!>kwEjLy1`#kQGigc7F@Ruyl?W*)JGQ(mAV z=n405lq$K|tJ4FTvwD_e%DnryFa8hK5&i-$1x4;&l5QciT#@z}4{V28w8nS#mGRsa zL4a2U%EfVz8eoMWO^XZe5rDz5SU&{|CrBl@o^t3VR%H0=g57dW_z?uVLvKYI78fjW zGT@^^!kSm4U2(w6}Fr-?)Jp)Z0M4U8WHjMQ$mrUA+;(yF-N5w4*GiydGB zt)O^GE{V~gE3s+a9%I#;CB^c)B&hXt&>{kAn}BX#(MR9|>5}^`B2b2v=n0kf(m2go zHcgF5Kde-*Y8`%*3f3gF`ftUgjT^LL&ickI9Io3iWd33d1d$E7?1lAuV*a`~h@qA> z6%vY9AOQZL-pAHWklNXLq2F#c#GJSMP>A^vZ~iTR>|sm>)`fb?6Hq9;^HiR2xSMyL zcFT?r=qPB6L~x5Stc_q+o@}fZNG9}>%o>h2zE+;bA4S!$m4@;xn3X4D23-*vOs1P> z!K^$%+fO7E(4fHH*FR>KvXPibH47c+YBQ-C`S%=IHL+Cw>QvC>N9mCZ!CAHjBt(DS z?3~Z!3g6E;LYx$($HTg0SQZDhl1x}GB?>_|Ofi1Ruq^Cq6GF|Qzw}r`FdLQy%rbh{ z#a3aUMDy%q!?J)q!NbKn2;wd_+vi)I4a)+P_&7%b_HTdK^vqg%auHS`SkpBzF}hmw z%%?)as<;=}yp5-V@shT`^Tw$Si>Ct7R{yEsKVI{x7zv}GKEZMqUcE9=r7!^YsPd}G zCXSf3xZzO8XR(R5aT~dsDmPr>lpMFwEf0MU>i}0ejt>yoSwj#)Af_#aOvkTjLYovz>u94#mcAT)rd2#&+1gm~O z1gaY@+i8Enyrum&3=8w|rFN8#&+XX1#X`&D<>s5i^HQMm%+nH6y2iPWEey;kaT7KR z%@@KbJgEpU131ma5Mic&&}aF?!Da_KRd_Tvj4E;kYt#ogA%1mC6hG?5WuWg%ix(cg z^sZOl^-|2xEf+C@)*M!bU_ZDWmMaSm-4*U~8R(m4Mlx*w*dPArzw_V!rc&I{RxTe_ zWsO}Z+inoILO*dDOWS-Y853*1G@k&19U@fmGi(h6o1&0h5U77$5$=X^`h=ip9v3W~ zq^S35zf=f{(lNn22*z^EAt>s|1VM?Uy{TwN+G&D21SNN6f-%8wU}6ltE87hv=q-LM z)GzvH$6HRjWv66vV4dzuO5)0Y&U&pB&3kn7tH%~+sa0$LJ%`T60aopzvW;725AyKc|H9Z@!uIq0uF$T&4XOngKmaB=r4TDr~k#D2<*BJYIBQj zW7}ZJ@sf%~2bn}Wh>;fE1$TRral`cQFq%fKrF~@<-39lU(#1(Oq!2!vqB})Sw4cKPCie(Oqy4 zRtlwt*ler_9)glDDU#0gvqyPBJ)R^c*pI9BN_dA_uzCrsH`ULeCt!2

    dXP)pGVu0xZ0bv-Bjp2*gmE?20V zV=ds1PWJSv=h7!F*MC2kvdH|PCZ|;Lj6?aPnb{=$Im1Id`{j%_y`$-xlpfGhW~2wy zm`6T=`J5`Bpsql*LzPcJ4_!4x6Fq|GVA7C3AqJ!5v)Ci}DwgG&N-s8%w~rLYTxZ9g z^$AN#zo^2*U7Ve}b9Uz4qKmWh{8ylH&^qu+n&z<^^}7G?d*A-219hMnEH9iHA`ARh zbEy*dm^;hD89WMbY)OW(*@KqNs@GS zd`%2JS&FCCfikhi#~JFNNOoJo-jTb;%!1z0>FgBALUgbu^sl}<;_SF&5FNlNV;L!U zCnsG4wZJw%%AHb%vhc_%U`~U?hP$DPpTbiizrvuh=$v!TzlPG zRncwHwznF^HO;;I$3^zm0W4TmYC_CTdQAa5uZCYk4^=2v?aqqmLblU;*p|oF#1kd8 zB<0|IJU%ID|CVH4s?T|UJ_mV!Zm0cmz8R$pHG%4T-d_q2>HN7J`_o*w)Lxa>4Q1wa7l0>rsHYZW`j}rm!`U2=`KLd9n9C zXWUE+&f?~%A<&DyD4{0UQU&OpZ=)dhY{$g`&CAH!63xkSbiO?noE6E#R*~!b9RQuJ zWO{xV$HR_%b!_ooNk?>b^z;DZ=u2g`!^5;}!31iD?H6_1l@4jc^YnO+(MdF*JVy9- zpwaodkI@}t0#80imuZxU^&W@NQ!#)M3o7IH67Bxe3pE$VY0X9ox!PNZlX0%~tu13&^Pi*%6Q$FcizTVgIr!;0%w102%W+Xcxf zuDI^i)PjkY?35*(E0v9;&ab;21^2Oa-EJHL4}g!d>ewchxSf zN2?)5p5Cy(fVq`XX~@A>IdOqvXJse(g_IMAvZ#uY@aL-VM*D)Q9h!KgY8gYW;jAQl zueOPL9oC;;+r;@+J$isFozpTWsQ-wzwB!-WP8#aY&-2@J8b0^Dp6Cn2=mIhN(BSp_ z+u!^Hf8{%(j;$8o>)0g%m<=%kbVV3YUR{Wh87Vt`m@`^Z|1o9$62?FYLOUaeXi1CU z>unnA_~cYNI{wo~Ca1zTpr_-Ka9_ZL00#zh?yX>BU(t)8tK*VJlg5!>j&Vqm^&R2> zFJ#+~TjgU2fD_itwW zpO0DW-)o4MWODM3gvTuHFWYH?%Tv4~Y$D7FJ{0^j-}X2Ejn9b;pVsy~6tqeoF3nEIiK9?$R3i43g@P`)TW&DD zkC$t=H!6`3Om)7eqVx^IEe$S1Fc+$^PKVMVYvhQdL`8*QE>uU0Z;3)fP)ZY4e=arh z{YnvAxfsdE)^_6&*^i4#DA;P+T4XB2^72?Q{csc#>AYet!#)+&bdX5)imO$NZz-q4 z2k#YE`8b!;EcJA%^XdxCR=BF@X6D#b_^ePfbX$BKG~c4*?)YK!j-Ma>G3zf}_vFGX`x4I!=n>3{RT|L${IE4OI5s}oi^sRoWefYYJ( zpm`16;7KEewAvODT3|U8Emibh29ws0vh$zmnN~*!Y0JkKP7&qQ5 zDS(!;Cb|)<5%D0*XgTXBX%m+`0v;^T7x=LK#?E|qj@u0bHRc@w5N?m2bDqcTVXx=8 zS_@@Xb@Y6Hj>{F0#$0o~>LooT6!7!hZE>qr5(cF`dcH#-Yc#ACdN6zEnQzg7ow@pp zR{eI(e28Kt2qPqZXDli%J57>eZe>148Hj{fAJ4~|IbGSQNiY(_cZ7^x^Xbph8_n#7!SUE zWnp6x)aE_%G_Tj%5Ez2ArY?Bck~o?x!t0?uizb?ylN1Y)aDv@7Iwd{}(%JD9fkkG- zNBb^_zJHV9SjMl&YpvHP*K0zH)%6Boxz-|APXZ0Qp+4!L#P!IBI1e&l-rJ&h183~#r zWx#s%$qNT(Htc$NJZ1OH(}ErG2bOG6nKTl@NN=8B?zHtLY|5pr>C)CDRDe}1YR%q%pc?xC0nfXyO6!vVk!gtgFVP5)%?YwC0lHQdo;I* zO~awhNQaj7lM*4HbIbQGbO+t7mWRB&QY?(^*N$mvw}fw1jX$8 z&)zRLNGIdh95KE^-J&?QHtqP&QL-hhxkRf?yQXA|{WM|2bxq?*$d^)4BYvJ`*3)Kd zgDKL{C7ExMU~M94CdV!6tfyG>JO@E7wUzuLS8y8P^jh{2Trc?F3!%bWZ-Y?bd@sPB z@)L?XT14s;aNWc(j0&ZSckMT^JVo0K^WVS!4Ilqy5p-z*sIAqFvh0fXwK^Ea1Ds~r zt!i~bA18G%1}t?)V6N3g2@Wi}btUS#XLGG?lx0`6ubpFA5yMQ;3RE9jw66lIb|x@5a9x6&S24)3(*Bty;*#6EncU_d`s`2mZQmSbR)Ihs#E^DJxL{#}Lr~NsP@|c3!5yfCbVW2=v@6ogx}e2Zf&{eb=5c76Sr-J)KCv?t zL|}w-%ur35Sr;TJuc8o_pr37i49%cLGwo?+ z?JH&%N%Q5B7*}QOam$qjfk~3p9hcOeUKr%#3eduKO$Iqda)ls_ruzsJUjLq1rn^{J zx7li^*O`RrI!0TUIk)@F&A0yza~z-iJO3Ns41J>1*dVD3^F8aZrut?~^{0O7;*-Ap!^(gfy&0{kKCXdn5#rYM0oJRwMSP8m z*;p<+<=n+}#Gi->IvShsAd!=@k6F3}u1n`+oL(g>mqiIS-%E@Q=P zs!Ova;PqDE3&CuvOP>Z%TE^oOg4tB>x+Al9G^=Q7tj=+ku~ZuwajqDg(+1Nm6#q81 zDStKabz1mN2E#Z?$Ns{>D8)Ry&v~73+$=N)O?`L;6O0qhZlc2Xyw4j)m+&sZR;c9wVdmq9W26$)2 zb4C~~X*_$GCTw%7_z4vK=^y#_kNt!wqG-|4m6nwt6Zh$1DR{gnx@&ylf-%o^r!%m! zAeEL28Ws><&2eRrd;7|imJ4F4Hu7BrS)j3*YA~-zrR9PK6a^A+i;P|)NTub1NXa3r zUsDB8@78l1-Y*2l<)G=C^ z$fXWo=#hee1|SYyou*hZmpYCP-9jIe&_3thpj_&}AU}3(V#V!_Y`hiGW-fKGAwUJ8 zsOv+|Qadr z=}f0X$E-MN_i?e{_N8)!d|k>B>D&2K((`iNJr#7Jn7BKNiFvoERpXZ&$Iaw8{=)aY z@vl#lHEHt@;v_b!iuEvnJS-e@9Ns$wx&h*UUz`EkUeEQ|N*~P+{DItf;W3++0P) z=7Kv6oDn$qezOv=a~2ex=aPpboPYf_XAh23qj<|?O<|_5y7%OQ#86J82@hm*w*P-s zlQlIq7OKCbLoP-C3t3Z*cey$h*0LWmaU`eNF50^_e z1QC?nZ{^OG6l3Ey0!n7%wU!m^V3v6ELmxLAZ~*bp1O3$5l41xeS%YYD`}~O6l42ok zTH0f*ecSV$Eh#|3n$f~s`;`(?#5rb5iaBgbSOkm$01ymQL$I=>rCblBU4K+8BM1N8 z7!H7TYnt)3QU+CPGL`O^^E> z04ilYOpm-x=@Afnw6u){o^5<@WdxI+YHilN%Hg`${{oKO7A-C|903y|mCqDz20AE&XJH4baFWIgTofAH$(e)LTR z1fbapH|v(W0Q1t4p&~njFn>?%mVIRbatOiu6VTp2Oka%PQG6D znP$EGYRp+hhMa;Ma}?soh*@{ZAV}VF=wh9x)@-T+=+{e|2(|5c?EqQ=c1bu$a)m&- z)r_s0pVGz*CI=yKE|ePa2UiJj4+*0e!RV$6zxN>QHa=eY&W}p3@q^Ncj75r#$HzX8 zU}N!T$J}#VgIJr=slw(~+yCOB)@Clf!V5fsoU4S#zt_wF$@Exbrj#d$;QzMIzrqx3 zO}t2G#*?ZFp04&uNDnrS?$z4EvG)e(7*t!+svz`i_re~TpKRf-wC8ZK+isLt2OWId zwqBxHZd(%oU46RH>1W*E@oWosrLPHB5v_ZC3)ZMqH@`@M{Jy4A8^94o)kafPX-z?Y zxa4|85Uxn}Dn%L3zt|nvvX7(hcN`U(->ms>!Bu$+WUQ5XeAQb32`Aa9-vaF5Pc}c= zTfmRbqZw}j775u`{Vn+N(@rdzDsevxR7dCNrh-n7`4Yt2dHN{1HLD6rJJ?CK!|MYR zWi=-W%$R3URVu$^oG_R$@rtDrh5+<-IH9tgj*s)*rytC@Q&k0P(>SrR9s5gTeQa*p zu~GOrqhp`8INw}x!rob^fv)2i&d~+syoq`AypjTLpo7e{QG!w~K9tDs5Mb zZT0)N&F`1(v_Ixr<@>+gEVdO?Yb&-ruD@)@{)^PE zNi-YKBj*;h2ARSBF<<3f+U9$jaI4fV7=)e~qlg#3k?AMOm?icGu!)6`U&Ve+z;w;u zqhagPGxINe-SSdvL!T5f={De<(0|!%BmqsUaws&Mbwf&_t7CX5-7)2VWy=)duxQHwncg>Dsykb%+$yU z;|nBxiE7jEgG&d%;Nl8QQ2Z_Zk+kd(Sy=f?n1Dxq0R@MrXI9fz%LqVf*|MUCL3oW2 zX95-JI_ofWAe%HOeeoh!y^(=ju|b<#{8r#HpJm>~$K*}eT`048FQMMeB^hAOqVSzZ z#_V&Qwl3^foaB>{0IA&K6Z!d>hZa=CJ(xj<567X2t0YU(Tq5E*@!B)6=LWbleF#j|QQIgUv!t0lUvfNgV`nT8(KJ4~*;B zUa;C)>#3^yzN&iIu z`4;2L$U{L*KR!F`5MHro^4c8;QkTkW+yb`7DBCXs-s?c=hI1xw=yDFSb= zBS+MCZn8d?`xL|Bo-VhpsO}y*c62(-hmnSPCO2k{GbZtni1>OXA#Qa0x&HMHrr7Tl zq36a6xi`8Zk1a{8E+h{Sftl}I+0l?f)EjAW8Ld*?z&0ginv}r3>8~htU1can)!rB) zsoBj(=GFpSn6$+yLt~@MqRhKJ@my*Cq$qYh0TIRIsLr;>h}kYoE1J>}Wci6uGcSYZ z2{Av|yGo7Yws;hbdn>~4n>cAa>Q`(?x+PyeOrBcWKC7T8W22_ZsLDmvJZ?sLR%E(Q zaPMVSELMy_8}n^^yEzpJPS>q}@1V@iXKM7LB@<+IZ#U05*V6iN{e7yAAiBH0#GQQ6@IR_nrh%7LzM^p@OcPGPG{<7Fv%=>ED|R%bNtjUw zFkl*HdZ7bYn`BS?{)`jZYGhdQzbHboDl0KBp~-}oY>?4P!%FrYVKS)xC{D1{&7Rj-lYolr-Q})sO-x+VNmS z)a6>UXbLGZe7SYT|AMz!;m0_NKtQP6e_zd(QeEv5=gve=1d&kU5h$*6o>@~R51pMJ zQ7NLaDdO<*4_e(Ui@e?LGflOMvtKj)h~{FX{`sQi8Z~(dB6x}VegTdDtC~R_dmY^b zGb}2!@+XFoe!%qSoQS&|25WlFlOH$DQ`00ViikqJ$0X1nz%5^`UL}!u2*8`ldsrpv zH(*gAl1S|b4_GG}q=U2s!lZAZ0Xt)A+oK%E*M-2~LGMGPF4ba;M*GR-EvPUOzUeg3Srf$)m&4wA9xgRx=>q4Z0$-}i*$H78id_;|v}MsHS$cOcI)^RX=ZhK)zov zd>{!|zyJF6u9RxXfuijVuD|}(pFc%O9(uPL?E0x=7Y66Ul-n;gTDP--c;h!O=yr!? zyJIHtzW4#KA@rX1NWSEhzg1pL3!2)7g5mJ^m5qCjB~dv)%2HO-yY% zn99tm`i9#nf22Dg@I3G>=g_H}0)%`>kwCq}5R#t%!idtG+pMm0I$6=F_+N19x?=xZ ztw_tQA2bw95rt|Se=rO2zYr5U`c^i~q-S!t*7R1`#q)IleyWV0UWedzaiXGUaw^=J! zYm;um^>lysA*E7PFcgU!XCC9Z?S1=Cdp&h?euhRk+Y0equ z`tYBKJq398eIU1&tDW@w%#X$2yKldp&u*yK%4yq9ygG)|UAm zLOF0u#Oy+x8y(nwsdwA_(9>CA==54#K=Knfq8{S=;uI27N`MDVT&G&bQM*~=6Szp? zoEVJlkowG9*Fw*p!O|0(y=)VD!9q`-?XBj+o{)76tz7db2N(|CVgv^VHj?G1*)J$M zXm7er&-sv6A@7ZvbejXD#)xK3e;b12!|byq;A*v{av$^g_(7`1Dso8lZX}nDP&vIc zk~k~w(tCk7%S5i^C6BU2Sf`<7FMaRV;UBlMAs2BA)R~81#B(7im;VIiKDc*2_mV^P zKO2k}gZ^CZNWYx8(RWqf7GroRc{^jOd>%|+pX}hbGvR%lwli&m#DR9-OWYY`T_Ftx z*FiFFtGvVL)-lxIAmHp#SP6Pw(P?0_3E){<;Jm}kV@!J@iOj=^!G`XDlCD-!at)Bz z?OzlFy};8vxU&HEGt=`}dl8r#lEXG(w2lKzNl&D34ePzAB7JiP|*rnt>U8 zqf%C7koiMc$;sC?JzLQPf4m?m z0dL24uM6Mz2r}gxgXdx7+~=L3BP#56%s$TCe9jGEVoaPmOr)9=>J@58=Y4ovYlrqG zN=U`^+zl)VXQ-~)m_3XxWEH_cXR6>6d9it~-Ud0)KA72BMLNEClQy!MJvQZO}Fsw8~Q0mZpR!WH7$K1QPF${4@liYZ;N zW2nJIbJ53{6i|!yTwJ`A3hz`?F?zifXi+&`ee)|!D0}r>VXyvJUdFe%wB+;U&>fH| zZZgfr!5)1ZcE2R`5^&f9e|Jn z;uM+tT0)k2CL=^gfm!Aa$(U3OA-}i6bMUM29UV4r)y`pVxSZyX9H=(m7re#sc^xUL)n`$B<&=^HuS`yC4oN(*mqDt zPvk!(Orq$}kyZTibhbxb>NV@xQ2|fw5}4(3@}Y(-M&C%+Z^<(;p>h=+`@zI|6$i`6v0CGPsbs4 zz0>gD{5599TLVLWIu$8wX_D@|PS)-7i(?Jg^WA==UiRLOB=lTy5iMHW1aa1t4TU5O z9RWzKSzGlf=jr~ak%X>ml(+G}g}H8nPez%bj{kf~8i{T^5|?GaRF%-<2+TUoF4S}q zV&8d~tMxo5giM&Y)nAA@wu?2!O4I<+Q~;~1vhqs3UUWZJ3~5H_(pyn40gH=kRfiT$ zxIub&#IP3WdtP}+S|;lJ>>m|3cS5Tk1Kk3O1U4_SE7mg&eZoFdwRW)$j)fMRSzQMDmI%W>kQ9vDFypE7$q59{JGf@7*ASq!I%`;wo zRnHxYWm3@NK8E221F=&8E_gUIur1sLhivC-i={VsULinz&DH(Qgnw&ML{;)|vc$1T zf=I8J?)*F}Y$Z_e*Kq9B>DA99PWBP9@qqxt3*Khi%=u7|PH@V{#1Ozq3~;*AteuN7 zoQ!j$5`atk8fVvYIww=muSM7f&(lpOxa8oTx=be;0V#O}RPemQOM3EhWzb(ly;Y`D z(7S#j#hI60)^vagFNQ8}zz;4pu<|!MYIsORH8Sh`J0zg?_}AXQ_vqLa1pYlS`gv)m zCrZd%CGVCQE$1>U0)0EV)IoiryeH2|D(qi6-C4cO=UPCxOX`DwMjw(Ivx4V_!;~a! zpO=vScxHZAyHIM>+*>6tF6|f1*X?>F&pLq!A=7ICQTNpK)9~u9l`GFE7`hysK6=|X zmI?Q$wO1Xq1}1A{mQSlWo&NlQ{{bba^Z9e9DzNM=h6Z=Gy|{cq+EecEd&hoiH7p7 zegg=Nmp67s?oEj($1zAexa}_^s-T+k)nJ7c7=Y;7cC=V8^SWBnO`=;C5w>Z$;idnI zs1WuH_l!mqpl9pD8!;i#6PZ9Vfz#525ZaX1y7#TQY9hsn!$RuZX2^YAWeRWnW)8

    e~rXjC)fZy$61HDVC5E^7G*F-l-ADUm=mjSP@qtWJx2`XmQFP` zO`)$kn;b?Soc&D*?QP+-HKoa-Xp=g-M&NQ);y&@mdK$t@Ptx)9tCOoZ@4Z?Igw)%;ig+ z#AVFQ*TF2|(BTiQ?0p_?o;~E(Uikxn$D5w2vl#aTDDG*-u62}y?RZMIU z#3vQw{SoPikk2I##m1(R^wdW-lO1jxl#qD(zI_3p9Urz#fe=Ijy7YDog>}BO@MDpq`J&op*)fQ$F6Nlr>MR?(@t-JM;@K3-e ztgFByihwmf9CE(nb`Gmd_z?abIyE#jR!zp4cz7Q3=&+Na#8&ba8670!Bq-yN#Yg23 zt|Z1^Pe%xZm&0gMgqb<}It4{#O{gZXk(>TD)M8E?CObT=3Y(aai*`dtU->Bz5~&l> z9J2~rg(Rn5F-$52M9RvU%@oowL}4L#cKCK%%wF-BM*S$AYnpu7^Z8yCTO0d2W$}+N z8*Mr88T?emLobUTM!1cP9Uk9HN4O8X?|E!v+-fu&7;D=*d>eFi>%6(%+|lU8Yt`0= zTGQ~NK{zK+?5%ET#e`F3h;fO zIqVNtf<0mh0*~8%S=Gls?T1T+v#I&~zrKX9Z|q0YzeG87r_>2hVt#`s-TXw3lNz|m zWEA|4rmbKzn`aTb`EJc00M%N z-eEJ?WD~xe984Oh+^@OkrNq?%&CF8X$HS(+8$A~oDIxwy^3Ma@#91Mx-v{e}VvFv~ z31D?BguaU0!~!+L|7OR31RqIWw#mjnOA`h%p(oP9nPgn%ABvcIe?d}+qY%Z)-i zD8q8`LkLzz>6fbe$h00fcYz(WAqA`X;!o6nXR5<*Lr9NfA7gTE5c-yJ#lR%}2QCWb zJ*}|ix-40q_GQYAPOR}g#e~5ZZ@cyvacgT**Cy` zn9kF1YTmCa7+0dm#71u>@55s=8g6FrV)HH;d`I6MfYVxcTN-vB=o`AXMT&N1h53xJ zRs6^NKq4d1KD9i!)GInZKNstwjgp4DFCBqBPx%)1Ml=>qmbMj7ilvu1EPc0oN1PU0b4ZzcLPgOKTEl>T;$ zo+u1J8ZCdqPDUVXI5Emz+v+zN%m_Km!+iymDvO$SR+yLB$s<;=ny2f?KNZ`YKE8b1 zPZ`RLRI{aj%mnv$erNjLPEv*RLA1B@zZ)?2S-P&nzP#WI^99cBoodN8&K38}oS z6evlOxVNzV0h~h*5t9){PGRMMh`lvT!wNzS+-xBkv_rt01@1@G(w!--&*$qXgQbHY z37WvLVP|0D>{h1FFdcYMN6+gLk}@OWAA@n$fqJzB#mHLd6gT_NiGBnz&Eys1B_&ev z6YNESw!<-<1Ig@)jT>MwlW^0bh-&^e|He)p%mNw%(Sd(GT3L17h6BfezMWuS7Hxk9 z5G)kM6yM8A45otVuS~Xz<_UDRUohde%ri7Pmm-BgJ#=n@EB~G9-^EKHybQ!S9j0q& z1`uzbJ_OOji?gNv%kFna897=uO2NMahry~#ha%&)cX+^We2Ahq?D)-P<7rhA>K)Jp zp6PmfTEj*4a8w8zH15Z}^bI;%n%jR3#AP1c|xTruiprI)8Y&g zSXK1AX(E;;eXW32f3Jh>$XP>yeC80#WsvFMtVcHOq;ise6F-eQWH)--|AsN`3OOW2)56hvv4*AwUJFVHDGQ%WKlV@8(@nWFp%WjTL~p&?hc@Lp!8a)bx9 z^q(89{siOMOIc`^@=n~jyjD%QtNA0bKD=bTXmg0De+7QvKe1(C@c-FA!?$fq$>t}e zDs-=@5u!pZy*qJV^=ex?L84E4OViM#O7((!u^N|k|5v5%Lv}-7>MEu?PO=osg(HL&g zzp->e2{r+EaM+Odjf3D6vq^+sY*c7E1(Kz&j0MdyhzHuuv>5SCs8{#-M*)?yNY_9m zrVMPefPLw=;2;Y4_Yi$hL;i7Cm4pJ|&lB@eBwzT9&}F4|Qtn<0z7M*nez^nGOW$a%|Gyb$NA7>+G2 zs+*c86U&Fyw6S`d8lScoG6!UZiv+Rkr8Qp4Oh}cE!*^m@g6rZy0ZYHMnv{l$hQFW) z#}wm9(2K$t#|UrcM1EhLwv7f&lk_Ud?+cGbg_*h{U;%lUqe$>$61k!=!Wz;8pJRjN za)cuZoE_aEhNBW2%!QSe!m!x#QTd7wk492;yZ-rWC}meNdx`UXuK#&ywyO}q>{XP| z+1gIEOxrcgJ<#`uh|y|g6f}a6#4bY#156KBgUq-FC@f(PIXsehENmKF`X4s9nX#@# zmT+826V&w&xoGaz1t<5;oMwiNub`#8eiD*Z+|<)l#lmjFe@at)wwj!^Nx^0rVf`sm zSE*xEG+59!A%lgAvm827*}|Mwi9B%#s#j^;#RzR_wN0|pDWgns30+7dAt5;ZykTeA z$GWcU1api_kRr7t4WmB$L>t3Pf}#M(@>K_=n`YUip01AWXU8fmQa?byYpeY+qMY?T zQU6*LF)0&_(8fT}6hIzRIgJvKy|9(3nSog%3`@Yj58#BV0AW3ZC2QwGoj($oW{QeB zO%ZQaA3QCb50guZ5m$vgv;vQWwJk<>N(N<}N+#wc#-@AHU4%3*{&pnl53i~xfJ#i$ zn&#I|?j*o<2T2CYD&TvuuB>pK0P5e-c0b|`anVr!IY0J=6&)sqc*(=TSdt2R<}-OC zm%&|y_hRl)r1Ba6bSE%(HuIT2W_xdD{T@K&L#N|RuPLQa{0tSb;ohE zwCz}jC{y?JepmM!w0k+f$+@mUYNM>8I0v|_7MsfR`bsZx`f$}+o05!yP1oyuOKB=+AP}?)yh}NFi0pAn5g0*%h_h`Bz|YDLh#gi8b88 zR#HEj@02u#o9;R6Alk?R)kBN}hVgr7d-^-gj4Y*S{fczD=joB)Ba->$yx0nPns={U zmDxyUJS5m2+cPSM9G?KqwGRT#4-@cktc%zUZY4RGpZcqc<00v14fsJL=>~W|Y9F*g z3;tl2erNfYg1#S~qglY{-nI$)Bpqi=Kf_!_{=|`aDJg_uf5cxhZ!26sMle^1NQCUG;Fy4*kg(n9LzHPX@RJ6FyUUT!jQHPHZb8vYLmiw zfp#b|6c?&%$Zp7OL4oM$9@%icPJ<52o2y-3X=}(9|KN*a=e*Qh^z=QK+|)FbiNoh0 z4~&{c?kiXHx~;>08E~~l(W-O-i`Z5u&Zb?Si>c(gGx3M zAq5fkqsMO;+Wu$7s_pDm5G0H$L5d$F?$<}&Tj^*9ORwm^wq-du7GaIakX=%VlF%2! z-kpTQIGJ+$+Kf<*GF)%jE;K@q00vKlN=ywzmZ!)a==Ep1(GHXrl$1K{DJ4t$z^XFE~ z1;$&^8dxXuV9q`WO|H`$r>8fp&@C>cziq~i{D-fBe+?9fF?V*|ksrh#;b8I}lP@#p ztH@=;lrKZCoARpw-LKcTVlm|2TziP33yKr@|Gds_r{1hy$)O-9ZEm=sAa0Gg-%+76 z`(=?(vaXG&6xc;V-uci$-Gtvl=Mz=2E(UPymBBzi!Qb(sCUh0tG0-4>=^Z;(C1UEh zm{Y-7CA;T4)9D1aKf8zZG5=cd#=3!P{I58BErwhwGrwlc4Q!eX+-c@9OD!S{RZ%rAzB+^@@c~^*tzy^p9u^YqB>g_ zSn9?5Ho^{s3K~KIxR(-=1N&Y3QA&3&0ejsFBjVmzSWsv*Gmh*Di<<4N$0n#02?QU# zw}eND-;LikuBFUr%2t~B+yvW0zBzRc85B}%LYvNmV&?ml@B-S>N6KMd8Cnb`+))zr z)y}qoGleC|_TA|$VYKzh^7+D23(wU)Fj`X&Hs<%p<1y~IUlKc|gDKX8zLIG}bq>jB zIODMEp3-`!chj)bouWSvuK$DxzOVS-DZXXsz#2$GKw2g( zsp;G`fCnhUOc1#(((nOIc64EUstRcKqPIv?)*N6KyE<`=0JOS3q?74#i`@u=P{W@B zm#N4!>~O@-Saa00hcw2rI?Z^PN$_y9IOkbl4XEn(p@=0DIw*J(5`#XL=cmcAUz7>l z0v+J>Kyu1>*oe|n1A}D!IZ_L-aJB=Y8lW`-A*Wsv1BDWXd)&x|Ng|C3_dY`aRLSiA zy204%@iyltZ!dcXwjE3HfpPCa3Ll_a9gu_;W#zWA{T zHzZUo>-vL`b!Ogo!1($EKL$5i-u|0+zb3mFsGm|{mK>ScoN~JO`6jpa{dtWo=3ujDd9P0!xJ^>m_9t|>E_@^O_?p~L3??53!LfAA#6}cWctnMv9Nf8&$-FQ zA9VEhM1^Q0+cQyeYFwuu3=S@7(0PeGcpi6vsL)^68EXQ0kK;rJbtfy-ej#nUm6ea9 zbn>}&Q?T)FVsNu8PcFKEQD}CSkY`@0gPhSWXnDi3z3(Wfk)=6~+YZn8x>vXDwAd+Z zqo!_E2@=&Lbc=bHcf7c@3f2Y@yU9uqezfLMC6$k=%N_;?xy_~;rib%7pP&WP`|Z5W zF)=7*d3~c6VedEYmdjIqJVt*Q<`1xb}Z)^Wci&=Oaf)MeJ#h35?XT3BDk~M*^ zd%Vz|x^iNC>2N+}1LtD`?U!8blFH&94^wlij8-)Ll#==pn7m32HjL6z`R!%NQBVc< zr*GMBRY8A8w^C36n_m>%)MiS*rR3VILs51LpGFSnsiE3zEbY>puvg_RZ>XVw)ZIRV z+woTEgS*#6{vt1sLCxt`S{u^44^EaTUxNQ~Uk3~4U^Dt>VaHrs74^5rG1=gEa=8+J zxDc$B0@)!AKyRQ!$oA>n+2f=t=SZXFWu*6eg#K&A+y~lMcJ)`FgBR_|O$j<8>Z$FvkwW{o$qU_EzytwzM zRO5$V~Jc?qpSGe;lHHI%(t- zbDooBG+s@1A8o#m+&(sk#pgN#N-L@({GGAQ-Wk+efvM^_u3IW;)j>gO0F{MAmBI|G z!tr^F!2jNBgi*MK0}zdM4obyj@`=!pT>uRefG8`SI4YYUnkm(MqC1Grdd zTXh>r-qgx|J%B_6O+j{kwUGLerL2OIee55J$JFwPWs0feL@+YXcdy~+3LT&GmZ5GV zS;E5$fP-=k`HjEcaflIYMkDjl`K~tt0NLhdmsrV$?EZ=qWUHii-qcFNQ#&a9-w(tn z#Bf}bT)&c)q8s`REJ1j2uL8KWojsqslx9PgO%8!MCt=^m-ZPgD4m*Hj;Hx~BWeM+7 zFQz>k*V9{f7a#gbiznUxQn{pJIIun^>ZRilS@DK9@{hD;!@m2Fnj7pyX!0zj`^qGZ zLI)Q()!G3~ZUOgyGRxGm_?Ns?z32<%GLCjQBWdD#0hTfMD- zu=af3#C;}0b8-i;vsY+lltFnQj}p}wO&|RWz?@smU$58p-5XpfxBAl9joM4*;59jM zV{p`W(z?{BLfQGVliB|XnVTN40+1`ZN2ELgdoWienxWQg8V%KUC=A?G0`sxDKbbT= z0!`xksH(!kZJR*?D~xRWdvNqNe_hm-)m14HTFmIu+$`@@NSEy{t=K40MU3>hvn}K9 ztgoDo6tR|OMmmd{3%%R722sE9hOp*Q7=F_0%?I1Q@B}GFnx_nuTlKx0cLehB6C82N zlRdKR3}iXFO;O$3Dq69o)Sa1@jD1A6dSBgqZ`E~WfIKZ(mb=oIys>zxrT?%#J7qCfx(|7c~M-Ml3^^j6)g)LErLzU3kPPdef z8^>TNv#PVA7!E;O#1lb?@UD@-ZhE@)o^^roO;3D0f9N-Mt``}DY{4zXq{LgF1PlMH zWq*VAk_XcCoJccyhfhKfA{o26jks>mX-6Jh_ZoIT0&d>V#?MN?wH?;B5#9Xq3?M0A zEo6Q{Jc|hS!z=z}jdwOl z$YJ0_wrI=-t_G9l1Oq=cIbPz-&qhy?xsYJQmshX`IBTTZ&c6^92u@42EK;_eIT!p( zNeBQ$hwD9TS;pZ3)|2py$ov5>E*r|~*%W0C#L%+i@Oah9RHD}$ZaKIJ+hUQI*2(;9ZF_4rHnG40=^L4v=;75SQY=eyKsX%D=}|&F{lg7B?lK z=tG|GM(2NrU?`NH&@nHawNE~yQH;sD|bZdUB0ar`UnZs4`mo`DYH0GDMGHEv>Kqe8} za+A(b?-ae=*jWsSZ8DeAtc`h=EarA4>=%-X%L326PJ!4-H&Ug~V7RXhq~KJQ*4r(- z^Ltc<1N0Tawd3=keTuevFA6dh!pgS#Z7&G=aNdJJbKIMGlA1-p{N98`;f^k3a*vqP|jXQ|w1WyooMkr$9Yt z9|$A$_Q<4^!WRg8ji8aBc!BzaZY`(XRBj}i&s7y){D9>>yeNj5hzMzywM0}C|1*yG z2+sdE=yCPtAY#>?_9mWA4F!nog*jQs226u^IzyHCnrAW+zLI9BOM9< zr4IEkSL(4Rkz6ZDI|Bc=5<9QuPCqjd-i?XBim|M&0tC=Z1U9Kap5`R=S4_Xq0W^4o z&N_J9LX5G+%+q1EP26FiP)U!E{#t4@lxJcnJgyXkn(3(`=<$3$dWs9tbWl$`Q2W@5 zW~2k8uu>iKV4O(^ZAyD40=tVa5xa*puHwgXL{KlZ0jwUPlI$6T5EG|qp*Hq~aJ_1(af8II02oMq zq}3%(pyVRsK-~p1*gjWD-}Z#5XEO?G!6y>N04+&1cJtZ#vp61HMx?xitf*L_NwH<6 zM}z7<)k%aPG3qQF49Lgo2*Je7P>fA@(3aoll25<`-AdB@JZ%p|MJ{K7xu9MlF(>T! zp%BncdO;FnOdw&o+`kTyWIbz4284xoI4#D-O$UU{giSvUP6jx$L2z{FiC-3|`W-+* zKZ1$;Ej`}`wrmXxnyC2Y1p*Do`_8*Sx89}cR_?V&W$&{q*D%cIwgm%!lv~I|IG12^2>U6DXQ4ntWBS460hJEY>*x5?huYjZ8VDU17&mk~3%|I(dEkCoS1_FLrl8yx*!OWHQU zjL^Vz#lZX5jHJ-P+!;CsGzE7le(*SP9W#8fejPLNb0Mzk;?kRSb?eolO6HC#Vu>=9 z&bg||FU4fIa31`v0seH5-@F&LB@`R7!dXXvP~E5=^mk$vzM3@yufU{`N~IGw%z!az zJ>yBXJ?ykX__T$~pm7!TZT-f4h7Py%w8A;z#kqJa;DSDK| z9ybnjL2p{=c(E8o&X}5@{ZU_xa`Z8gyV~$EE3YN>+zqDe=p@`J9^1JaBLZK^Q_qdB zYd4?6yJ!ciO+c5x&7e9kSr}x@F;-QWyv)eQV2;j7X9`4TY`WWNvT&%=4-l$Wh5XbSj#!28q;_+OGtEK)cAqEa6%z2HuAEA*8r0ZnhHcAgxq;9 zmPIz7Na~} zO>H&WYso6fAD6hSBp&YeqfeWr_uK3FF98I2R>*+m9Nxh(frI?sH`{0e=!94H3VW$C z^g1GFDiDR!R{qW?oVyD!{7iVXUZfJ-X0us0^Hrq%U*p>_GJ{v^mDv5pHwn+t&!`fJ|%A5*95l(o_B zSAQMGUEOhuR8KHnl=K}5X=%Vzp^X7*c>Ed%vH89VxR~zx+Igs8iJhI#B^1F%+sn`t zQz_kq z*bL79+7Vn~BImfEr=ZLeCTWmwT zC~4!XW0`ZDA71h2u$u#Y&(qJ3f#28;U6!6x5(lml1H>z`Hyavf+}Y@zD@`)C8$6Vm z>YwT$0)8EQ_ZWlYQ2lNC8o@~0h{;8F1*yx@`;O^KBX_?oU?cCwE)M=4v2?M49pq)G z5>o@?$@4K_$$E17k;FLJ!6NeboxbTv2bbYrIh1c%sN=ZvYvgx?cVN8*JLUmpQ`>L; zymNc_);jsr*qdLzmAi_KNDiSGt|j8uBWGy+!(gU~RF)M?nq^89IKdUqoNP7OOb9rT zor!W#0Tt)8n}0}LsC~G4;T&d1r6;K9Fd>Z#!{&*~7Dn$_oR|Iepq5TfT(k6b!q!l& zeH!ZjS)nCLH_*g2h9h(Il^I$?+y?f9cjwtgMh*D9z^>(f{c(PruuLgOE%rN%s7Do@ zcok1@gI;tMTUKt$n3Bf`b zO}Ge07Y3D?Jv}B)NKkl`+$QGWu7fNq#@#j+CsOFzS;WCZ=K&HMMvCD4Mp!MWl{m3U zCKw`_Va#QZ-J_nMvPf5cYR~Cq_SZ}6hw4O#lT-w@Th{(J@fv+w-X#ZQ2%~3tFuG$s zN$Pte=9NAWbjlehJBQESMxp(cAi^dSJ%m1xw;SYMrd#9& z&xlZx2eU}}DF2J*?rR~Vz5uhqHB^#HJ&K9kv}|P0>GV8=x2IfnIeSviX#lX5GuX#c zF}O$28C{{=BP=T;&rA!LfwCM#gBhDTgQT@CI6D}b>*5!_$ zS=vz6R{)Heh$f0xI&-&e5zEy8CP%}TyG*1Ob5n(oii9r4!UAydcH85y3LjP*vtE@} zyW7T}&>@=_*wkhob@Ng&qwP4{J2jp5c4MH<)UZo3V+0nQZ2X!w6SbjQvP?@QkbF+@ zk7L%wCd-zyaL|&t87-==!(M>Q#RyEPlK}jG1u+ zGoFYw81~BqDz_uhiq&jN$K#7cH>tN{EJZ;b4u*(b>k1x;iJnM+>4aoZnMCI|hr} zz!21|Uoo{fUxjfH@ofdYl>&$kQ}kN7F`g3gIiezhh z!0h`@PTcA2kUw0dh7Ylj(hS-zRoe#q4>fMJ3XhIy`BC>i)d@aq2%e7(p62RWQd^xi z^ly1EkK#sqH-HXbb5KfJh=PD}?0vMF$C)eAi8X+RPfKm`n^kvafQLs(0vcUPDrYva z@?L=SdY_hD{>wr|Zz$g@hFNXxa|^VSK(UIIysW71z?Ue31NYg;Zy#Rae!>dDMOzt3 z)`J`!f`4|pO#CI)Jo!mSPM-d?vjF#=27G~MX#+;0+H3Az!9QVpPVnE@cXI{44fFJU zo+P(xG8QJhQ`M8+Rb*}97}*6jkTQORw+iS3we&0ZF!%;b!!qCO$2MsNkGH|oOFqbd z+gV|!HtbC&fqxH`erWrhMhyNTmKd;sm2@xiMujo$@p`LZ2VR}Sp|gX z-vrpV=rF)StK*iq#H5kaniK`-2q&oWkwJ`-rx}wuAy4tvF-y>=S{gMAU0TA6m~`{(6vq4<^Qs|&f>DX zLj)$-AqE7baGjC7Xlq@_l-WhqVO~|8_fG*U*Nz>5spX8V zYo&44ZI3l4tI-WiRZ0!2?G%71pSYsBwt5*3F5YQRMb;YpEBLo~w4-jpdP57qTy!9XbI*OWw zT{q3g>@mEhfcH~G6{b+21oC`I#yBap6v`8s#}PAm>vH)lU|N%RvR>%(qVxHyT3xiT z(Nu^h;4hX0=nSC$u&uSA=86fd0Tv<<+H|7@TGykEM-)x~DT$LeYB~S7d`Zkq9|?C_ z8(Z9*+gpH#~ zHbWjVArPPc8QxSq*n`Bl3b5p}arH4&sm~*~z`OVm14XSUK+r)>b}cVDD8Bg20wKDy zGuGM3s;;+qIU%oZ=+J0ihpRRneyWJKX&~~HhzwAh*Slzd!H}m!@>q%#=@2n&Abh}F7LX0_wRS{ zK|3CG&h$t-V+2;rgrUq_{K61mq)vl}O*wZFb0uLsY~Fs_FW1Jlw#@p8!uNIe{Q{w| zq`bZt2UI=~z>|=5FQH@?Gs*@%xp@O{zga&Ab^*1w$n+{z9&bleeOeMu{#lc+oM_0kgDLYP$GMh);jF7^ogN=skbO^z<4e+XhTi6N#Sj zy#)H|hcgs3P|EiAXugiqix-`KzkfdWez^poE>DTkFP;R86j@e%p-~~$4`I&{3WC0b zwylC12@WH$_a~ekOxbn>ajFuxtb_kmk$yU=+ZAeF0qh^A6K>h7c0<~~m7Pahq4IBm z!DjzbX@04GUD^+QFYn}?0LU!lHQ!=OW*mmI_DwXIaZRSGV)BRPgvl2;LY!UL$;AzTi27?#b;=)UOOeynoR0#VdYz?d5=jGGZuuNtg~!I(;p zu4)FL#|jo}y_#d6lpeg=2gOR1vY{+7(5e3!BlvK#zZ3gjbzhTgPHPw0Q5i$ztG|LS_LbD$Bj-3+o4rm zfsr9d+vtJ?L5UQI*v8!RK-=hoJR|ZdME-LK86Ejpk;<+J9-x&~P{WceNZaUwMj6a5 zd6?T~MXJ9ds8uisqNAZbHNz4YJRLX6ZogkE%q5>%RG>>9Fki=d-}i>zv|R)yQvJCk zMuo4Xg=2?N;qA#KEOE&bke-rC;}~*{o_x*8U_))HWps++Egy8ZGX~XsL27hwEr)ZL zHodXYYIL)a6=$t7lIu)~gV^sZtBTwPtZ4EgWH3xRVJ}t0+(?*FW_ohw1xEM0K{(5mXpi)Sm7r*aQe5CH zcL!&gcZ(K7emjQz2R`_#U;Yy@$@7XJca1rI61(nE^JyZ@D#+C)KGcvvtKXtja*(^% z6^VNR*r8c52f2Wp5VioiD-^QoNV8@8LLeFGx!aAqNe zXUP9W5FMZLetwQU@oA1sEj`|TDA97*9Sx3?-IFA0jwV}%87Pmt41XWlP~&=YiH3%{ zN*BQLqRbwig={CwN;FT}lsD83EvXP;_EY$rUSQbWwD*i@a2kD|Yi4Iyaq9hR#(J!! z|KJb3{tG`o$|u9>&DgvIZe@JW_QHBC-O^rG0fyiJtglvYCw5U$5RBZ_dv3LKTM>HI zitZi4ibgQ!@OC8pR6VFn8aXwW)f*^2FlCabMn$US5`|>q z%^CVjq7c(2kDq${^Z)&Ghqs4%R9F1!G!9yQ_*UmU1&xP!@J|G52BxLgDFx*?azV89 z5I0JW3yeW-R?KOf(ptNNKdIm)TLYRor*SZKc_$t!pv>0f8G<>Dvp=9jE?TcU_Gl(@ z8VBAcJT$6DqZ!KII;U|spjneM9R?9MU#3dq1i!`x*=BX$$b~u(5KvOU_oLp{xp9^x7cQiN?@1sH(v1eA>Tc_KC+) zp?cw_{VREh+BI8-C5hjYd{J&E=aa8<+7zG0eNiNR(%TN_6LqG&xu#6|pq{+HyQI$y zZ7$xZy)_fsoT53WL~r!{uipRO&kc2};5t{g4z)`|=f?>OigFy{9gw?XFu#&DM`+yR z9gtw#1vBq}sxrGOQ@4JNqr3y+jrxi)n~v#b+KyOJyipTOv*h=^-g^nQO%P2jHcEc8 z>SGoK4Q7(oNq|Bm$XnZUYsJ;q+^o>(Ah6OygKk8AU3w!-vdp^!IH~KcDUp;*`n)>~ z+mi_l@y#dIE&V!GqPdG!NBU<(=yTFYCEDHm!3+e+3pnSc0q4xn5s!EH z{J*^ZP5(~Cic~>GkWDgFK^U7TvjPIk zterY8h_s%P^qy9T%|%!FinDZ;yy$$#%Li324cb|?(O@j9^4Vdsf4Mnrl(kj4)=*K+ zr$%GB=JLM?j1XMlrw%+JD)Uv>q57;5l=V>2c(1Ca4=u)52UKBO+gFObV%7Aa%|hvT zJ~S!pYr0&Bl{iP|u%eRjkZgLv`l;zf9RE1KKq1oknOsSm;?jE*dLO?Q>MQ4i3+y?a z@Lj0Fav(Sx;Wlsip*ZsmzwT>(&*ua>3q=N$ZymX;TLgj-83oq}ubuG6qPW^^yysC6BDRoKA-hxJHnA$pw!)&J<;CZP!^DG*+ZuazRu*cxnJa zR$lc8K|W$dumk+s1dYrf1gV!?@NhuIL0lsY2T^o&2r|xeK~flK-2wD=TFVGQN$a^J zoHnD)#RwB6dvM7~4W?@8iV8*16#Sq%sV+rs8KYs$;I=3*Ok$WIffoi z(cOU4wG?%4zNmY?3bP0GTotz9qwWqLHSZR+W?-TFxf!~j*Zih${NQ&)%2sbiYf8aK zt_iv z64HQb&3t=6KFca1*Tkyrn$`UQs{pPOt`6Ld`q!Lv4vPI(gWhyXslA;a<}`M_auSMz zac+7>FXH-G20gxZ8a&P!a2nb$M8b*`2DE)i+WnIPB~+BoK~lBN_6RG^f;@AwcSdrZ z-E1#$Qe6Ly(g{8(zZR-lqypWt-X?=T?#zjpk^Z4obA2}9qqGK)^|l}QrceC6KQa{u zA>m764FaZiJ?&Jf2iNjw%oLWAtRAv%g=z1ODM+kHCD=d*BzN_XRrp9+bTsy;I5K) zTc~e~*1)6PsALA`MN+4s0Q!&K_@1K8cZc*X`lF-ms9tlHqE$`Qht{f|G<1V*SngXl z9_N&jey36GirXSV|7yWDJoR`K-0abxP@5aRH7kl$H$h}U zZJsi^P}@_BRreKjE(T+mVuQ=6#j3j?@}w5RkfW{sGGf(T@PxFb#wA2A)`DWyO%U*G zU;rfpyA{x4{5-R)Wyu_>iCGsApl)v@qo|*)5437Rkv$K)YvBNMuChc(O>i?uMz5|m zjuvuY?wc4zcp}9(!oOTfE-!h>#opn?IKKD!cfChD0v|VGhIzNB4cC@@<;~YcY+jD4P9TleiI7vJKP4VuY&>52Lzxa_TVS+@i&--wsW~NBomFeDPlnv#u>Djx@A}6mnf766Vxu zSS4nObITeHM-kL%kUHMFZCT^{?t+LGX)@WUw-)BWydpJ$3xWj|9e)(;`g5?UBV4fb zqU_KEsMOfD3r3h`-35^&c48)sXluR<&3X|;uOXZnQa$a&TIXw|S$Dw`#(1K<2fkQ% z0u11@pqO=+EORX|s?GSwZ5J-G;#;yZBvSntT*-jDT9ano*F?OH(l8@#@ZZ)qXI8|m zc5Na*P1EbPI-6nrw+wMC0eoLSZhK5ntODWk=R zCqIj`s|&AXHM)8ZujP6cujP8ha`eZ1)n|Rye;VxqdNX=Pyx3+m(QAic*q&^}!{iS1 z#%CiQHVVD*t=-E;JWLcQMB1+NY{d5+nj6KEazs>P^|BF1p`88$OfF#lwRxOn^u$=G zDw?VQ^L?UJt=&`{@Tcl z4diU3FOM)6fOz1SVJH9|-SvEuqj}1+SD2j(bD6h%8(>kd{OTY1^}p?(2S!o*23)UL z7Xg?gRw!@5LkC*jNXl#%d|EymE@-_#fJtpVLl=V7D@9Pr%plkSIRh<_TYRWjTo5ys zIV~MeE07_Y(TWwRS6mPih}IHCplQeecp*r=;)0_cPz(-=;8+I~pCK0gbl4Ctkf>CtIktQev9M#_-c~OH)y{-X?^ju;VsR#d{UpQlI^!TsEUmWVy)TrsB=L!deqCI_Y`Wd z>iLHH7;TK|k+^~cwrc%?K+O%u?a-7&5av!QA|%hr|LMqwuv0`xR@{0JsL#*sbbcYN zO~(TvS#^?4j1LmbVk{?$J}G`o7b@>G_(bsQBF|nF|20S5+siQFHD6ot&s%;-@&CzB z|GD4(li^V6LapLQDLZEVC?qi6?yOouO``&#;Oh{~nq~fQAiy70u?)=o$$I7BNQj}C zKUwkXtwyp32`&Rd#xU?rD6=CofkN zyPHpxNR3_|75iU!TT7$Yd6;u?43dW9E1ESN^|?Qx_o40K_J@XpHb#c=Y}eM-d;B!q zJ3sK&Py17m_trX5ul;7M@035E7hy5-nuEaDEVF{6S(jF+;am{y9qQ-ow;O=NYeBkB zF8C65vSJV;LDZ;HuXomAZ&w9RkQ&afk$D6Ig>^Cup(;Hya&k$VBPWILJ}$dLa7*(v zPmYv=Qjx^TtM;2m>5eVxN0nBS0#GGaL(Q`C(*2K_=G2QCMxD9R^uJJ^OWyki^WN9y z@3cqzT=lp>vKL79hX*A4=`Z+>kNxE-Gd-VhVh>7HH`A?gsm!z|SffTKbZCR|TP8qp_k-(+C`NbR@+X*&!}@ZxG6$^{Klj#e%(=xHI`b|Jo{ z7vO>gQbs0rEp&t+6+;m$^v3&*P38+hstgx|wFHt3h708=44nVKF`Q7a*7A$N^;0@Fuqpz0_yyu3hYRst6tTRr^Nc6w=?r*mj z@}S}A1?S7Xr?$HbL~ zTNSt=P#@3jaOJ!8MTdGv!9{J47hT2R1A*#z*-ppfdUxsgZgtUB_42N%m&j~}eM zobIB_<@0WT(N&R2CvSHA938GV7x=sFMOWdRAW&aFx8wXfTyGxw+8r)-*dL)B1ghg@ zI~~8d*kznmce>bB-+$M9f7wpQ<9hS`->sq9s`HC_j4!f^RACb(Z3np1N5EAvyan+#(lFUO1O1?LWMJCX-T+X{Ir0WPy z8Gnf7|v+=MHlrJJ*VD?>M3^(Vl49k@Z z9{Oo{2(Q|Z;(Ckb-4z}x=x`pa8vqEu-0uo8hJW~f_{0C<&%dU`b_!PX*v_Df9Op%! zhh0D`VF%CMFw_f0aI9U3I-m$@n85}lHW<@Cuw4iOscKy?K+Xe=I1C+JFhI_|KAgG% zDL~E`=&mtFoSI77R}7Hz{?yExpY&nWA8#kA>%TTVxFr+nzJ56Hy<0g|-@OKGjUP_vGSJE{75@t?36t|%6c+kpJ^zwIfi;|Vp zk9e(%L9VW}Z)4RmiiOpW`B-r{ih*wYl&hVQIr-*unst8PSANSMdrM#|ub3R{fFiKa zO5z|-a~)APB=RU`5ld`gf~e;qu%~~FER5C_Lr`*MCWs7~ z5!qwE);>c}f;V4rO)4nyxQ|999D)+1_%-5;dTNv(>lLqQ@My2ZBq8S(pKG%F#-6zq z^uK!eOWwQcuYmuRc9X^2W%J$y{C8sR>_NUlL%A-c&tB=$DjXRO5UIfY6`fd~qIr4x z)xYD1{@fe?GRpEKDV~)}`n+|Fy0qyi zNm6nqt~L+gJWxfvk{-v<*fX`^gNNSM|DX&hud|sb3k;Vf)Q^8~d6uedG--+qO48ES zeYjVgxSz&}zRR{D%v}ll-=Q82&?d2#S5q8xrxn=q`I%;!ZJ--R{-lqhP0taTB65H3K24?GOvE=Ta#x01<#UK|0M z#RJQ4bTF3Ybe*CfZB0+g>QcvWj*tzo=4L6sQSj~^^=>f=>14O-|ao7juOfM(-&C@dXV z=kU!pJ*j04)g$-rvkKzE=)l&ZNXg_+>=kWX&Wi#FegogE9JG=+FVSN-c69BEPhJGu zU|Q3*MQ--$^z6z}BdcdQdVhcIcfa*}zcR9_+J@s zZCPYo-J8_^bO%(0*VC@m$!M~mD)`8n-W?VH8_r35@_qg{_6&WZRB~$iD9+zQ{Q-Tp<&c$sr{9;-;>J}3yacnsJ?TzS6BV9H=|8KSFW1SmA80C(dJ zAM{W#TxllA`VbQ@YU4+#vnTeSoJxHx_9=(0?Ht=V?A2m+E=FDtk6uJZ0$Who5U^ zXDm;>KXdukL@+z|cYgX;|L@NaUPWz-@a&v5Njzb6fXMrh{x?KLRU#dgi}k5l~)w?W`gKh;k*~NimfG$ zmjy+=nc!)~V1$C`z-qsYsJHqWk=+L`yn-X6YedwWU*ihQ@%%C;6r0*M7*%gBiK%IF zZopZLbUl`=`q`qRY{Lfg`X|@Sm|rGZ<18)NN%<^)@v5KAf<}CE5CG7ogybycDk{k} zYud2%Whl-%b*Vu|R;KnZ-oG`}p&sflKIy4@y<=!N(FMI|6_<92eOVb_rI6zsrfgd! z>)VK1T2fhwV^Wq=E2}B(C&|1>b24vPRjicXuR0*-IeYV+;ptZuD=mD+@vE?ByBQNI_UK^CM!bwN}` z0N8;-1+}fIDnIp2sTFxY^NSoWY;V_9?|9K3_Tj~%8H)C>4=*OCEuZwNmE&S4G3u%< z1lUz$m?kkob;)+9E*ja`QcLO?j}JEj?63Zqzy56(A6-({T1Rk4&8iBdvu2<*T}Fj^ zGs4vMQTPO+mZ`)8zT%F9-s#)G@nb*bl?2$ijA{X^t${8U>J?vczal9)fzM0097YA%SzNlX!d0y=Ggo@xa747nhJ&y$`SEDD;Cwq0jbaM5n=$=e#c zBLFth1*%~NJ8?r-oT<13vEvKx8|8&-^0pR!ygdbmd^qtT_N$>e@rZN>J>RvmP2|T& z{MjO@ZBxi+>{o~Sr^lMi@E1vV@^Uv17&q9Hq;Ygf@LUnMi8Z$;W3aScc;qC*UnGGQ zkXisZy)6NeBxxRt${k^lN=lEie%d<5%y{Ar+wZ0~RW5~=9rvpac`uUt? zAk{3D$8HER7LOhF4m5yY_pwu;m|eib_OVl-m|xzbKXxNPF?JYLxG(7mN^Z9T1;doI zZZ8w$R3*?6=n7xmds4&t>VRx*ddM`my%o@Cf}aT--yjVSx1T_@3LY>)0uEpr-28Mr z#tv4t69N@bO16`=tc@qQ3#huI#~iq`DvIdg-q4tgNU>;dqken(BZk{H3&ha?Nb2vtX@i=;?8^Iip8{0pdx>v>s!XtyiSdEZ@3A=Q+K%-5ScL5v2KW zK|{WC{G@^|^EHY?SR@ZNk)eTanR%BKr%bU-B zhMVWHMbxg;>9@L7A?(@~d`M1Fs4tdtt%G5PiVdSe@^bvFvYuPDtqMV6ls>ZS;o<73 z3*m%L=1JhK)k#MfnaX;%Nz)#kC)}?JO{z^F$=$2bq#q75W9*Uc&5VKPcoQRJZ27Qm z6<}~dp8{9%QgesSyG3nUdx4VN43y;0{jJ@-%U}@KlJeDkuq*f?uwl8!EmfkC==VNY zP|~yr5vjF0`n2@H4s_OLbfY!QvJtOq(2bhHvm!Vf^{inQd9)UUDYaHRb%BzA9ot$a zypaCprzL*#Yt$Y<0xMTyx%0QCE8?dnyQfq;f$8jV2WW=amINC*1O#*fX7uKV)LN^b z9ATa~Ehuy}$e2mPtwzt=`lgLHEnm+hhhdaYx~5e{Z6mkm&9mFhqP>%rD;W|j(xcT3 z(#+%-TWg~!$D%OXFuFa^Cy*;uQbh7*DJjr`9-Ax>d2dGDf~N8Qk!z{A-rR17K>aK) zL%h{UD(KJSSp-f(xz=POdp8!tyI?po=UhL4W!^dl5$$x&2Z-0-oFd)vov ze(-Z2^>fjJsP9YP@Xl=eD4i0RpJ4@xFlyQGj`m(;!wchgbyEXFOt*fhv|ruRkPYu> zOG7riH__2hj79k%M(YN6Ze&4S><$P0`J>-b%q~1Pz&f2{Zp9x<=I&-_-4=6q`Su5O zFuAlWthBXMm{>pD&E2a%^kX0S`oQ#R8QN3cR+L6%Or}7=eIFQDo~rD~QF`H~oE z#R0&)Nc2NA7nv~-V01uITuzRGo0`E-YbFfD6Tqp^Awazv5Sbc5n6pL)^n91-kM&8kL#7F! zR&E40))vVF_B!RY?ZJ|E2jH(nlOK=> zFw>{!bY%~+B6m_96@CxZqq|ZSD!Fx#Di0F;3!)y611wNfk7dO@+QF#x$O6^Em$L_X z+(1ISIPj2avsYYWr{N7s1r3Thtg7)oKx{@!Z{VBZR*!e z?=`RvY485t4Am+9y7qERs7{Jz)p=Kiaz$KHhsX#P!Tfm)bZ;VG&tn%Rt!G}4l723i z#DE@|E>Mt`S!OAz@K>UT@MqrgTejaFv=};+)=MfjqL%1ul2!xTjJk!|bK8Z~5j_MK zENDptAI9nwf;2%c2wWdy4k59S$*OrQIF*PCBH#dv73?2)C5=!rR-}jEf`BM+G9g%f z(Fvs`9W>*RP(kRr-m7cAo~#gND=A8ryLWNGsbTDG)G1+ z@E8CJpc7p04Vc-57UR55afz>!nH98Am|3C4I6ntu59+z5bcUstAZOn46wQ{JD(u(% z!twBQ1UZV0^B~8Na-OT6fecrAojJ(x4YR<43>OOZ3@D}w^HU=hu-dUDi?Q zg8OSB!&~$^RhX|>#!XKm!#gkBNJpeCLm{YwB>+Y5-Og( zsR&*6DR4HA@`>y|0q1ieG6`eTQP62A8Qaz8Pe=>e}5{E2)Zj<7wS?s+R&} zxP2Hm5Mc}Wbra@nK&vmv@bel80j1AKV!6>P)f z8YL6sE2;-XVlAX88$l`|zeYo`$%?%J^HO256|o?BlOecWON)K}dBnT$B`ttNVswqG zY|gC}CcjE0iy&FoQPQ&2n)EJw&E0XYDb&F=^W6bg0O^ZKgK)HtyZ9{?Ec{><_j#H6b6%>!o2co{2jISe3&UuxGMsfkQaoM+7V zgqbk)@yUFIhe2%YZDe{Whsy+9xI~9p94en0r@=!)|GSV4KgIU8s{6h-SBI~Biv9Rv zr%ic%-D!B!lgeG7&$Ogi!Oc+m+u?AqiS=x-fW0#3x)gH*#d*uO=8%EnUwZDdU-<0k z+tG-#&2^o?&m|=(PTSJbzNcuKT-O%uGZHrJ_ktJ7DXc2j1$uQUx9qpT3qhx4L8=N{ zpJgNCc;9u#ZW5=?xvmqSOt?lQoDgAYZ&3(Vxvmvi870?+8f9~8J_~+oGzuxB4%F4E zT`}jnj>nz#*dSPHQ-O7^Yqi%(9D0U~n#eD5Qt_!GNu#D!f2>2X+yE$9*Q_EVJ?~Ia zztL1hU1fbcB%>#(Q(azBa=qq%q2HEN*ULE7wFE_XH*nfi$%K(7?UUM|e%dki zH9>@$!I^N4YytUs$O_(+Osvfn$McJeDZP19n!p(nH|wK}$RSa!&j)AN3pAm_d*F=O zfr4J$#PY3)V4(16pY)3#`o0JhGy&&1A1?;%x)oMy_QiLQ7b|9hcs(08BE4D zGqRE-s@NsRt3Opm3Argn_hGDt=8Jq;$@F$|5Fk%*T3!tPtKha`O^n`-z z>CYuhxw|lB-Ysfv&=RKHOqlYPfAg-t{PkhS6%6Wj+;P)s5;1&x$&uME1Gl*#C<7gX zz5{Je4Fu==?SeLD1z`0(28lF+O!&DVDCx9{0Jk0teoVK8`7s*}6 z21-co(L&jL?F#;0f+;qb_Mqw&{C&qPBiHQBlb#j&6sxPYv%#mUsWqBq-DnNC9@nd( zsPGVOP7eUJk9`;eUW7r8PuDW!oN{+pTuk-dnQBvG6W-Kfs-OAN zXD?Rw=1kCByO;xoUU=^EOW*p;+uj-UiPFNrkoy<@@n`+Te-Joyy&0{kE<-F}4SKeS zA-9_%)Y`S^j`F;Ov)Q_3Q;iwOY~%$pp@WTWY*uBek-z!Zu`o#oIxC3y5 z#y<;YQ*E$N+H{Yk?egJwWK)esHO`uZ4LoV5TK+PXsRm#J6-fwUgj(y#NXYHK4}xNy ze~dW_&2)tG%&OQeHHOh?OB1HnZ)RAm3p_T~)a!Et)^tfK-e6J@VR7>H5EkRt1x}`f z#YidwlzTS*z#j4mMcxaG=cX0sE#KM+>bR%A^N-(q5IRm{L)UQ@DRN#3F-QceAA5|} zIjtBeyAHf#qG)Ul=#P|cK#hNG_~y=sIffv0oSzyofzod8JD{(c6&a4W;BLDCcnyjM zlrmkL`pjuX>{r47&>H{&CU}w~L!FiBIA0M8iD^Z_pG&Vz z%l^miiE+rYV9QNRds@#+HR3 zpCuPOZQw=m8ZnDZ^lQFGdQ&clwh)fCh2WOGiuKP^Bb{_w8!Nf2Iy91e5q-^_K<~Kb zej}*fd~#`taY?Wo@G|)eIeoP4nl4~a9VLx-1#C2XX<31p=JNyw^kq?_eX(H*W7Vs3 zQKNZPehw`dpY)=JVN?Td+LH8m9FiXPz}}X6oVWatdOUpoH~;CM4@XSv(5@b>Dh<3g z`tbxBvUU7oAU>!bT@VvpNioM6*gFfmLXeJF2%d<>q#$_kL{Eeu9kC+lMOG+BQ)VGZ z_2`2538)^iVz-9tM$Hp~RF5tg1nvWX7^+7XMCz6^?tpW_m(nD6tVs3ff+Q}1pGxrm z#(Kg-P}F0QRHQzX9^Jv_A}iJ?PV$xj_HiU|r)pBgwsVKkwO=UPOYEDY&*sR4_M`MT z*kvJbe;E_F&y76og__W(LkjN`|IBB+<_mvq89J+uWgB_U2DDUzsm3DDQTR!YJPDx@ zr);SGxQaZ-x{q_@31Ut|=R+~lxs8BQvuhrC9x>=j9W4aMbZ)aC6`l*e+yN~Q9wt1! z=oYG#P+b^%>*=}&mjmPx7)a{@GC!JIs2cIX!;-wi$MGIvKcXO9FcCM7u)TLT1~;Wt zF3?K1fy+F z2sL`p4p0*G0@p!yVHEE8iy)JC* zR^Pvq*d<*sx6|=5$TuCoz38gJ%AJHX>3D9(@y(oIeZ0Ii@xK0AzKOxS$qT?>UJOy* zN2LIp_^nIf084d;R5;*b9h7OQB-|f8S>=wE$*zS*%=tEzv#n%gi=Y=fr{v0l{aGwd z2gfifal^$tT0h5ChZbTuRyjD;<(^CyD5q?u4m@}HUi9!gm)dieQJ>Z0{B#3{m0i_Q z{4oT(5UW0a`TTpHzkKd(@_mATtw@%ID#4(Wn0;61|IWE9!*b<J2J>G2 z!>{}IX5^ZIE0;tC3eW0Uc{;P{ss%^4xJzi76#{^qA*BMc!{FiUl{Xs1`r?ITL24Qo z1Ys=BD4Em=201OC&tZ^5#oig@+QfO4YX(h#gf;k5a4hS@aFuI50;+2|fc7@XZMImmF0rQ!ki$f@zsDj@+?87HuJ%OwrF1mURU>0OhGV z`e};(>jOw$B<#eb%_g8q@##3;AURz`NFmnbipcjWSUIjSr(Q|wo_~hI5WoAhj909|Ll3OabtzxQb963}M`+hfIv+NmGE$mdio};}hmz(bgDUZf_RlI)sH|M+czRcHyg=pB zCSP9#7HztFz|C98X{+VXC`22XSus}7E{xa3LCW2tr=Z9Pj9C4 z^dJ1$Z+zdMiq2EbMLEJKGE?N*W_fIiYOcV1Fo8&6KDGwJ!zwA}V-=82LKjM%9m+{D zR0F{zqbZ*rc^#cdzs7?7++zkro0+0sazQ|w_FC)PuWdG5Tw_j(?E!wW3|7~JbW)f3 ziu(sN)({U_G?Sj=WD{fF_#{&z`=Jw>15oH$Ux1<9uKT`e~K+Fhsi)KA!eUMI|A zrti*7&$~s7nZBK_(^qZ&=G*?yFw;sc>&aOongzgBpk!p&MoX6D|7@2fXI;>E9}t_M z>r}g)LQsn7&Rq?9R|Nv2;vX?MuR(6I+aPpN=x2 zFkhqsfo;v*787Pg66_o;SGkz!vgR61!Tx=EXP4xge{%(5vB8iNXPL(J>zqu^s*N_- zkZxF|i}qD*|nZslN0F8?I5`#^nyS*c-^kzJ3DS=r*g*cA43C!JvCO zt*!kp1ZnnNaJShLUJU!!u@Q*Tc?i<%yI`<5f!|$mBOyq$?}EYNwB8z7eF)O*yI^5) z+O1F_72_9zH2X!ckT?MW-JY6ezX+ay17>ti(t2%=j1|S~yCnAO&_ftv`8JsmL(INQ z9#{D0{DDAlFJn~l4pzwqE-$yj$dRj;Q z_A$RVNbu+pdHZ*X&~G+EH-blh0a}7bR(WrL@6nb&*RIdCN%NL(Z4!wse&=ufPd@Hb zqwuPIN}lcXHo%feJghc0$<=mw7$rrDHOBBEC>E^X4){5Fw?k0EC>KP>4m32nAGa$@ zVuqlEQ7)(}qFi_CdX1M7M!Dbt0hg=@);k7fSM^gy{uFss)jx4+38QSq9h!;|$pEi+ zT1opyUQxm*7ld{ceTp7>Yd4P-HH3{tHTiX|R>2t*iG-jT z(CdPjiQ*(GeB(qL%v8dvmo@;RLg4d68v+Ds1WVn9q14}T{MiT?R6jH1ht=JxjX*Fg z83Ds5CsL&-uA;!1&VQ2=l31KZZT9>EsXgfDs_G^4dUu)EdAF!FcT0ivW(uV1U;973 z<(bI5s_)T;V2N=Td?;eS+{IE@tZi|&o)6jE8yP_e)*+bl{cU$P{5=G72zCS?zJNYK z%`4$e4qB=Z4D@`GssxQ6EIreTv0@IvaEVCaGPog#xA`(T1j86domU8@XZhw>u@1pt zSZQFgF&{6! zzs1(xovk%xGvN*`w)S??o&VXd`GT+g(Xh2za`y1t3ed12bLU=S;4S*;fLa0~sO3eY zt@RZxFA6reiMf}j4k4|qh+3is{I&)%1GKfi;^=_P3`Q~&iqI28bQ&VZinO)9BD@97 zVjjRi(&B+=Yh4fsN(+#IO6qaE(bl>oh}#ZIVuY#3?UEH3X>^Qd1x7;8-_>(GMajn5 zZ*c0SSW30eVeNH0@}s&v*W#;mVn&dEpvAWjxiC4$n$MBZrDubemKx^`);RAop>Un) zHugkM*o+0dG~Z_2colQrEn3X_{9AMmW&Yd0?w9`c$9+^G_0p2EhtO7FJ_o$Ss1)T+ z^p#paq^$uXELnHM(G-H}0}7-qFi5hxCJ5$MIts*1o%R|T$BL45_Z6LX$%}b`%z5+E z6?o~e)d@VPP4-SLS$9A67#vEn?j{H*(A99L3cR!*!$VczrEx%%WZnHT*BuBW1rVh< z|NO-@cJk{~9w+d-fSFr6OXIiHth-5`z;+--k>dvWcgaU2$?E=WR#=K>U#~$qo&izl zs?9fO9JrP5&^T}_-y%>Bj{vu-dvpW9E%g9aDaWw3#6#+;P3}(X&gk*IvVi<^p?sP` zaH;gUgBTu(AYRO4IkQsmD%JhleKI3DWkzMBA7SiVHQcLO#fFb1sgyBZ z2uD*n_T6J-QrzbdItZSL!aK(~`idX^pTFv>CLsxh;u$e}i!{hZ@1S^wfz#Z5l(H*G z0@#xk*}dIb#Ucd7_*!wi&@BRzV2wy2D7M!G;bR-cGqB>CZV5p#y)M{oiB|*20gADO z1&HM}L6j+s*dE~OR!|JDU*mcUhD8?eSznQgMVz{VB%orkQT`&7@>|?Q4N2%QaY@!h z=0)=;Y0V5t02}7UB-yi7V=>e@vg-s{r*R@-no&OXs26Y}Fb7@gys0O0OsUI+ zqeQ-IUGG*IL%e(3{^Btyj3EsHh&nULmJ4-hh7UB19jx%5)2RhG!lU689@Y85_2%w( zIzQDU6|{I)&Wmh^x6%@zh^yny%YC9ZuG0{1kN6cP7aZjaqroeJH%}7zyZmLSqg-%wkQx0E7aSdACjLl~9Ghe&{)kJCl9fpf zrbv#lm5D#%lH+t``Sy&{mC+yZHOJ}7=#RMMI9*x3LnCx$NB~v02=v|JTY{e3ApCYZ z+;p)hmH!K!6rb{bevUoy zX^u=SJ*w|u+}AmfQX=1^?=;5{`VJ+LdH^ax)xHKM9{h^;OKT?80U4+?OKe-;S9{E+3wAplEMdHMDSbt+pj;CGYde)_5Z?vp<+%&fvJJ*qU& z$Z#TnJ)Yuw48==^19W?c|dTXg^!>*9(&uwA%Dnpqb-ZZT3w0@{tw zc@05{DqRxOj&Mr(eK01m`r4CbR=xBq2M-mSmyMi;0X=)FOAVpt!}`T1mA34xZDg#y zM;Usu{TW#MI0r9!!O`Eftvx?num^dur;l&dc-}2)L$0MO?Pj{tKH?J|9^MdDW4#%z zJq2u=lLO#7uVE-FGf;P>+4wsOb~ek#ZZAv_!&ck!QNy67!u)V8V1{6}r$IHc-&(;s z1S@-r@j2S?wdw=~md#|gr+ai>OGOkkUqHgM`V%Ww_7v*^xGEzK=w7MRL1U zT9VTiK)6;i-<}{F0ZvY<+y0Fv?LJMTn$`%f#c;-sCbk~;0CX)+LK1rB@@m^rj}5yV zpLFL?jaC-oXTq694*ck<%1C*15LR^l52|UAipYgwsbC8HTz1N7i)82i%IU@lm z0k}Qpi^H%u3=7QcodH+FOvUwzdG0q{eCpr$(J3aeJ?Lqqtc%vLo~6kFcz6~dyly>< zY>~pV7~m$$4nygnKdraDa5*~+favQOYz)B@Xnpnrl41c@xp)?wk-Tn5F$3dJ<%CSS7HZS` zkg%}f56!hekG={IaFd4pPR9+o73vjuYkmliL%__J7%XMF=i+~xOKCP6_rf7z2@1AQ z-<&syMEr^5UPzTH+zX+;(Tb(G7bzN$xgsd`mU`wU3D3{(+xv2^doja%VSwz)U}E_; zmZn<&l-FJS)MUpr{5rg{gzp0#p*qGI;-KKDIBe?)OP8Vx07R0iVnUE=y$E8Efv$*2 zrHZWyL8=WG1ZJ2hT6PYQ2a!=*2vV&V!PR!Fv+Ka6)}ESb-33c-6OGVd7pqs~oiBnN z(xeQ<4&BN$Yn+;KpbHY$j8j8!tqdyp8bz%a$<+otZz8#tS%sA()w)YYyLLhP#>piP zbV+Mx$JxPbriwL5=DUNADvbkC3bu&RCC^sD)KQ@sp?=fGnH|%v6#Z|b4b#HsHWc}a z{`bn2FYAtJ*R<{5Wo+M5BrRid;>34sySn$JjOk0IT-i>lmM?SK z_}qJ*d;ao)bfRdZNttP`6U{@bkB&Bf=6$K-z zn%8~ORC;&6-EPGQqdUeZK_Vc?@c`JK$-_CE2d<(u1QxJwk_T?;hIP60c-(;Y7-k(t zeWUh2PMxE*9Y*I$M0q@tg}Kh!=4cHSU#KL)F;@rS;LI^ga_Hd6kq=D-JLz!Bf;qj5 z$x^JVvPit`CAF()?Evy>%R#SBZ8Td&Yu3QSr0p8D*!t^K>D|4SsEIy4_1DaI2j^C1 z7fhw-v2s_@TH&V#8rK@9{8vBQ0njkZprx1g*Zlw*++?>iwv@XxR63*FTSsKJbw&Z# z9RUA3XNM@JhXC#se(PxuVa=gbjWs7G)h{wUg!Q&pQy%sZcB@Vj z9{J?M9>Q+D6;k+0a@a%IV8mB@os|RU6D&?0T2Ly<#Y4E#Ll|h*T?)W2RRQ>xJ%ky{ zKVnMui~hv#_(5I&rc!_7tM$KozC#7I3`v}OP_wd>+h+erHun!BF1K*0AN9KE;^T^yziDSOq zv8fF}Uco~TPI~!KvIF`Fr4;F@AtI>RME4`!)w7wX?CqY&Xf8cE^W&8p@6nlei{_y{Sr0^qH%Ru8~Cyyk!g6m(AqfQ#$$Ypzz}0HcH29=DqLwKWV= z>?^_^a_|(3&f18di>fq?E(m0;rik}IAGdtfw2MU$W46T200PJ|zj11sMHf7Ej7|Av zj(cma4M8d}7mT7a1{t@3#vmTety&UOg+y6#T z7N2~d|BXHI$@lr+*b|@h5{7HT12!GA??5qH$QreaHkmk?kef{0x^0u)-f^4kqk@KU zz5Dcq$w(#6eyI)feNJDv;SrG9MA&rwdEBPoCj|OBOabX zx}bqwD7XQNvlgssa`5jMQ=)o@WMBLHR2et?Ds0Z684y}!+%RJSIZH~e_Wg=r z&bVRr5=wDWWMn@_bDjD2>^n|0q9k}4G+K)rF0X`tcZ?1^YCUq}jEhOKxlRwL?YbMR1|8RR23CWSj~$dFkCVT{<2QBgR6O|QOSZig~vQw3hTV$4Vecn;+a zY+GJVn3EFj2NBuP0yIHfrgvQ9HQ)ORfLMO{ul|95@^DJ;gXf)nUqDV^99x^B&zc2w z7$l0Q&bx8FKdR2N-p}gXJo3;hm0AIbDwG-(Ww&cpYS#M)45?K-#Cjtg=~<&@y-y%I zzBQB|JXFm&#;MaYfs`Avl5*;k&YsIF)_NZkST&!A?y9zUQ|W!g0N|sQlVe6M1A6+02BvTAdNPy8rgiy6y5Vku?& zm7n=DuL{*WOwM&&ZAs}Y!?msD*0^5Gh%?a?h9D`R*Xp0*5iB%g|wq;j&2OdaBWsIGbIV>%soZaD_zataAFLT=LAu)FMH zd+#X(2w=L(eyBW$(O8Vmmnud_JOgU3e1JSX)d7v;Rw2Kb)Tkyq-x(ieu~eU=|B)wWnpQVz)vmA2=k8`E11-I(^y_e1SLzODSj zyyb`T5C3iVsvm#vM;BsVUC7h3w(JweD@fI~L#qm19oy9^!-c7^Rj-vYw8mAaxGl0E z)ekwT;zA2OxO89e!9!1>J^ zve%V~a7@{nGZhZO&o9#U+1 z+Ngd=ae$|c_%S`CIK&@Peza&NKcJ8D0M1#b_mIBT&2aB2y|1$v!YnHZWVUiG{e~pB z(*YmegQdVXjMPW`99ysiSCs^z7*1Zoc7K7VHXQ(l3F(9>H2X% zc8gkqW_i3y=|q36vWcF(j?oRq=6ng@5ZK!x;_%H5d*R#z3$)_i{wA)YEY*qT^vx=O zd;4?mct<^p$(y{itIgk@dqvQhBd6pp9nan$(4lLHuw%B2S0ixGT%5_H|7sY9c6>}oEFry5Sv!$$2VnzWuTbmEf ziQr=?0K&Culd(Aw49^OqSfTTQdY2xys|tYo!(QQyq9P)=z zDKaCwMMlqX8w50QF}#M+%IN6=Zc&LjQBhe}F{kDqBj$xeQWXn;VX1;@aadA}DccdF zYHcB%Pg3zIM%9#8Iv<_MbbMQS06y}p(gUtHCk0q~sA6zPm*ZbH+ z9plcL0IHa*wnJH^`de{@PRpWM`!cxrx*z&iAN|WQAVJ4wyXLSV+TaX6vf*cqx*G_( zu0pd>{7)JR^cAexgET^K7$`o;uLsB{X%4ryCKK;{*t)M-M;{=+$kmpkaDl?XHE7>foLftsE~(rg_&NaWD8wa6O5VmVQxm)dYNFSx zJ-Z}c{5pY>l;k$cBDWIwPWpx@ank zqK<*WsT5^VzOA7|%F=9yVNC1xq?n`QzK7n*fTuFl775k{oP;DF9vw$SU`lI;wg#l` z(7)b%?b+55W6kAq_u=NsUi8B~!1MD*FC%`Cm|I zF4G8aej4F5OsT$Tiz$742Oc<{qr5v+g6pba@UFa_SGp!aEJbBl=Yai1Jt)!u}Z}um`8aF zwKXtaYn&V_=2RTwZfl*`V^C1F;-on%21c#XouuM;PCXrl`IU`Jr}B_pmya~(&)>ZE z@4fp=D(>Z)io2sq#S#o&d#w6m!ES~G`nk=w{EJsd_ls8cJSA*(KHy^u#UmgU5|P<1 zO9{JR5He!itcGqOm;nv58xKL!1;fQmZwLHGb81>JKlNyvAT5{+j_K zFz73eHwiKrbjk51!3uBk2u*@&?<^@BHZOy1>v)ke{$E6C@=4bkLUuHQN%~GJV12XO zmb8}smx;lCZB0+IHcudL0D`dW6upolZ zsP+7_L%(OggoFs_LTKy%RENIee$~O@XDoq)R$YYG31%*MB15AHqGh^WQF3E0310t| z006)`Y1KK~1-l{@GM5CK2d zRU2eedKA1vp;3>nUj1*gyVjm%^@q0=v=}lD7DthB{uimYg`wyM8H&IpiUQ&##){ORzG93D8z5t&J6QxB53olcw<2z1Me0u%-0#8j zBl-P3Mm;yDrv7w6Fwa>bV81p-q*#&eWD)e)(uWW{A(Y2?sBTK8&nokmEA&RF|V>qH4RocQ3O$kttz_!7zr9{s05rVyFq1v3a~2G zSdrSd6l~dxP91~}iCzg<@LgV}E>sp6nxvVDSTR?2PflflDzxy?<-&JW*$pa-Zg1qH zv83X={N#no0xahB$!GhdswuqFyYH6j{+BhCg*&1C7YeUIr!JxV4TSRND?EFw&sBI! z7X8`Fw?BB{xyvto>oaf5^U|eP^9B6#J1@MybwEmbR_3NW=G~&!Xe?Ruo5`ZTj?IoU#jZB zV-qBD+QLc9hFdTH zyVQYit|jA5PYN}OKjinZh4z+6r+^^?3Cm?_!z*u8@bywsi+#Hp`}Wyy_@y_0YqT6{ zeZ|WnUfi5rB}U)LJbKz4GE2ybw&WEiT}>`X-F9>Zz0ZV)TxWY_`CgThdP4^{;U!8#eX0XwZM z$q@ui4zNmwp>vVtVVoRG)qNn7k%KBFOM(^J!y8J5>EgRq(Y>_XC8a{UB*UIscwJ}p zV+<+7&;Qgv@`Y~+2TosNuglsi4Z|=~8AjvPfTCf7qO2%f_{=+C9%ZYMvN8k^+|y!_Illx z&oyuPAvN4- zmL8k8{E!~|nKypdZ~ghuW2zAQ?vl62Z&%|pK}w7KWb7y%2Z%-|V@KK5<83sWV@G2kLpB3b3R?%PjvZGkL&gDd(JooVj>jFSGBtMGOXFh%*Ry>! z)nc~*q@bEKUFhW{p9vz$RZqN|S6*_R>wkxwwfHwmCAZB>PyTm_9d9Led<8jfANaD* z{)N+Pr#J=I9j6IPBXf_~FBhUH^tKDzW=>VV08P8acs>8HjZ27?u=CN6y1fFqXO`$+*NN3(y^j7kL<~ zHPiEb0nRzhD`Ynlc)EKJV|u_Z(D;KP;(Qp7fT%Q&trQ~s?1df&L%swWnO|3#=H!x? zW&lJaGej7{+rEYZb}N$G%~A3+z)(ttxmq(l;1@Qm7i+GzJw28CF)nuKI}!*xSW`kcS2JqJGNFzBF%b!R+ChaEfd-AzbFZrWDUcN*9sh*WwjwB3bTi|Jr4LePj>r7O zoI~RvlXK>h;0LRgYsQr5*pd8mh*ut$!|5HFGnI2mpnK9>k*27M1M&&GPKJS$-ydT~ zx+f`I2UMF)@;{J8Ji>~5~g+P6L?+43YM<1_} zlf#r19PFEKda_Hp5|d9*kBzaz+{B2Nf;pr5qs$W2m2#{+X-5ZJdVa!Xt!1(VD#_!H<(Z36w+sI%nZJ{Uza-fGo zMoP`-v{ze91GVAxORCEA9)-aZg@1aU-{xAKe9mHD`;+rV*q!gR>r!bJV&7b%1lm|66MPCXIYo7PRo@U&%$;2&c z>winnz|HgweDeps@24ke#=%Rf#`J*fqgpgWpO`VVEi|sJNwYzquIBWj@~7OWF1Xtt zb{N3Sj&Oj_#Pr3Al9;ik>|?h%%6JYmqxS$}l7TQmFrpF!i_{-d9?EkOD@v-t1W!kB zB=OX^#$Lr!l2|T?RtXc_Agh=mXKGCS%k0r(t{^thv$m7gs~YM_Cp`j9j66>LLrxk~ znjAH2Q56+~6gxJST{pI)!K`G-N%p1!mUSMQNey!spD2|7i#_ov@8{>(GxUiPiz%&g zT~Ky8b@_OhQhVAb^z>p%pZU^fFIM;F1U(p0JR|6V)C_Nj_R#^o6SrtFrSoqQ<`vJl zMH-jB_Y>avO;1F7xe~-U9LeZnNVar@;iH8F`KlNd+hwNI1u-0#$Y=;|c2deh23uI* z80j)DbwRLhu`&q5cW~O*5Tq$Bf(1Cf0@$ZHHBG4tf-%bITDnf6$b4#=QWreI?x}Ic z(k(?8O{oj+_iKJ@*xbVgyni&Mw#(wuBDleD4;5Ua@LvmxDRs#M%Af`^0nv`0J>nRth2*_h z0TrbO5l~h>KM`Or z?u2RVc`tJ<@4V$(%gb!$x4h~%e&*K)7o&PvZZ$l@2sW@O5Nlxrn*y=woF@UXg1wQq z$W8{0oC7duZe;_T1hJk7ixJCqJdFil5vdKd8_Ba}0a$5Z!pOsIyP`ZqE{II3;IEGB zT?04;+$Ya@GJHQYXU9<*_1CUItfw9Foni~Rotdoofy8Q*o3q{S)Mf!kQ@17=rpqOP zMBe`Y?7e%;ZRuGbW{h(KT0$DarEz^w1dW*Puy1Q$1TBIYCn0sz>ey&BB?-0xdci`q>4gGN(oq)P$`haC586) zdoJ(uyzg3j@3p@3ecv1p>yMdlud|-@uC@1C>$(1(-(%JdVB_}eQjfQ9bXade6+=se z_SBwazCYa(jKGvcnzoU=sJB%uI@l$`O4V?oyG2(sPSYKy$aHmYFTiZWK@}U$)XF}G zx!8tk?Ngy+UVTU={>=hSSIsTf1=YoN%&oMEC(XBNHJ@|_B~=a8J0<5y)m*7cFr!o$ z7YNj6qgWuxK6>Si6T6K<)3o-*H$iqEYaj7=4 zpy&dd{91^nFvQLPeYS?HY7<2JH`8?B4mdhkHU!1!`-+D>Nx}gqVx6ctwdBf7@UY(y zeoM?_XAsy}QCg@RzA&T6?q_EQiDy@~Q?$M4pSxDk4t%~U1eX>ajt1)0sL^rFJ&tnkdn4T?B;oI$E z({t<}S~7LtQVr}K)rmb}UE-738MiM3Awgp{)C?p$BZ%5sZP$7pc4CsAZ^4_;^A#3P zz>4{Ve8fVr!$Ze&6?P60!z7m;*iG2AbUXlgSU<3g5UA{&Y^U?{ZBLtmd~`eW)RT%N z)mP`NSj#E`MuAY1HyVM(HDOe)Zq|4}NRu3DFm|HA>0WtX7KO z_BXmUN>HIRA;=JI#~CG*G+M!|^biyf5RN;tLdL66J6Y$^TtX1m3+1MBMrj|m2FbK$ z#jNqb^zOE1)6+M+ zPq0Y>R$-=Q1>@O#AFYF%WHAf)t*j>`Zlm_-yQG^1L?qDqjakU|Cz*xKULo^o&FTgf zvw$DAmb_>dLZ$bkjM&#?hq%N46Qvx0dM{r2ZvU%dh%M|x*3hcgkrA>Fq1Quq$0rrK zF)QFvhyO*i(U2Z!q9`dvBz`KTsF|bCqVT?<=+Xf_vr!|$kvFQfFcjsTCYEr$Kp+)F zI-{2TBxJ0dg#fo%zT@ZvFOz1HagNZiHigYks@UYyG`4WPdyx0m- zd%Iw_KWJg;xZc=k0YCLc)2W7NG>B3xn43fX4U^W}HLzP`PFqUZ!@0cnA=}q{(o-wP z#ZUr;6Ss%$U)V>y(D((5xqv4GlAmPmG_sF61X+V}HVoN>nN>3EW8#f`nOP-g+j)1k z)6~ptJKyudyWXw1k2`Et_{D$v{=fKiFw|(@hzIA^Me;;9c2H$CR#KyQTf-nBWksFX zh-PuLs%u0Ug0!73cswAxNdvY6?m|Q95TxyNL5w&<-hhIeWv^MAw$lZ7ha)n|5G1>T z2A;7ZZKn%jU@#V<;0_ld5vmJLGl|92sMTtE3VJp#EKqM(S*ek^LvwgKX$WhUOEoZl ztE$wb$%kYOXG*`6GSpuujG<&;rw5DngkI35*G3VHTfPl+h$8sLpZcEv<}<=c*BV!g zA}G}Fs6rbQ$%T??Gwdt`(Rb^_hsR%0t(b;WZI8TzdI+~6w`%U)avy)fps$ptPkz~uV46gep{)KX+-2s zxMygLZfisWOI_w0OknMgyS2E?0D?%(?NkD*%5*81*Rx2z~2or35vmbzd*bxvTxyklaE2zE^Z%Mf;cZo5ci*_K(W&&4G>e04GQm_=h_3(}f7zqs2V4Jg^b73n;i zHFJWoLtRqWL?N%u8wza0&kh6#2VCRlM2}gUiWIO^kyOkeGlu|$v}ez^C{l;$Pj54; zVvBrDFmrJN1%CNM|2Y!kFPAJW1E?0lEtBHDJs%fcBK1+ur6Wb+GSXWY0vVRdXQ+q$ z%PF2LhYpJ4q_WPD<z}1SBP5!>>gQ zfp|_#Ukdw|JJe$4HXFS6m}UM1u}s(6&9bBpFQbxB`i#2rj!GZmNPBd43c?S<8>cm#OftmI>jx z*=tr`q=uP(^23tN0*XLYbDq}BP$RUd&^_XsV5w=>%y$RrTLn4+fr>u6=Ir_QfM6W? zTbvy(*HpAKyRpTFLa7FAm+7 z?M=8&L_)33&^`-W6F3CIr@7|9byoMt>1y#42(L!<-Sa&v^uOTN7f3=#Ui8?@YXvUZ z^pasKy=2(3m+b2FO9r9hrpHUhe?8@@54~iNKlPGfSN|pBKYEnVf5~vUV_&j!^tKJc z8NTTS=eMD!h4;-TGkLqBO_R6F?Qn0wKFfHvpc^(*>1pAeXFgui(^gLD^2{ z$Msh9vY_X!x;!`X;-~B9b{yX{Z7Q8@d>v)4O+N?dXRFI;>1n~idbjknAc4(YuB4}} zzP~WaCP=(&$M@gjdijQv*KM)6_Xg74R%v4h)L)p}>G(QrtN{2D?dY%Nn@>I2B@FVt z``E(6S<=SX1XFO?#8TZV0U_^Wu`Wa2@1H$c6)hFk+QQyypidu+sbrSN3c>tr;c)?2nx$orVixXZvpDY4wWP+2&Ju6ixC>^C=vcRO@)6 zTdy_UMq2o`0H{bVKRc|ZRRCe}VUj1*>(o;-h`F=4KWxf&BIMQ%4yA6_4DONWkxw+C z`M+j&atLpOa3IdcYdD*ALK8qenOG+CB|WU(WT^EPg-(=Rr3VFdo%CRX!fxpe2ug>Z z3p=RJUYt<2Ll3rTGQzkkl%G0w>G%fJE~5rR53`0=+2LNAt+vDMBtvnwUGb7{7&)!T zI;o$h4=T!cX)m%)^=73<&oC5@s}1$CP9v6Q8f0X3u+5kdQgHR-|Lyyq3QejE2M#GP zz5}|=9L)gbv((O+%tXRp+67~3=>Yi*{cIOJOFqN9bB5yX_2YSFIiC!ELyHJ%QYvCvtNzndFi2#g-jqRLT&I3 zMFvd=O&gkvs=M+arMQzdZ|y-US|A;dcKa&duEBGhP_~oieN^%RAzP30Q?Qex62znv-mt>N^;X1MD40%hRi%|TeI5CELg7hwW^y%UcX2h--rX2J$VY*Oja7!@ zT-PCG`1POkp}+A-0m;!^aIcaCZad^rh0df$kV5apQpf_vB&5wtajGvVQM#=O%#PN(_UM7@ zl>%}l$*8PYN!r>>wp4Si$H{31yDv zN2-}r{01G?sNuDe`3@QAnb(Q$)Jo=Cw8PaY%txwz^(|7b%^x_0`9MqHUe;%ykhafB z`<${5uR8qIicHa*Y)_t-+`YTqr}Osx(pVWo_ZUHJ1U?%U2%-K3h1~LG%{(f3h?)R$ z{4H*IRN&qt%%AR%+j>(S@)=cgcc_|ix2Uz_Gd%fb;K^V8LqGCY{z3Ezs#E28HgmDi zpC^M&k!Ks=^%QGs*>f9j3_*}lD*V>gupYs(;yGSVv9-41*+N9FSez|Hh^1{;9OCs9 zL+gU)cs<1zGC?cjpP=>36^k>po|7!Hn%0#S4<=bl7V`SO89wQ*vuk z&`MuNex3;R#7{|~UV4F1Mo4>K0ioWHf5W%^^NR)9J)rHB1u+JjkxWz(8-C+G$G}q%(_pkZ5DGJ^x3hXMtGC0Jpn9&jLT5*F>a5;yMpE~p zsd|72IjcAPJd84h4cKM@#5HQDXNnL_55{1BR4UB;wd;cHW{=2;Ya*xF_D@y?+5NV| zU_45)7oqw-7geUJ$;Y4|Ta@mt3{-X4-awXYF38RW*=OJN%-1cLk$a?q>_7a0-}T*> z=U#3=MMUMpxtFTWyi1}cVnT)@=3cgaMbxBr7>FF!Bst=WM}nOyekD4JE|}+D_By|) zNnH?L9Xgqq5xO|{5$nFfQB_C!rIdu`#JCD9??TlFM|84vS<{M;-mn`30+ zI7-7+bUkN(kr?!G7fkABT;c30UMRzkaTTX$UF<2Ivo4AD=b87uXW{U~=bw4+gV^=5 z4C@+qi{`A$?esEz&*y#Z2YxIFQJgAQ(NMG3M!|5vI6c zsX(zwK+8ZONMq`PHc1oJ9XRX?gA73$Qx~-U8&EiH*4CmFf;6TsNM=EpJ_Hdfm@JsS ze2olCToAxhwg)4J3-j9w(wG*(osbn`MbIQ_IW102W9oti)q>y}g^=ddVoY5UC^6;T zXOBnw`$$;glIWXO-vARwt;r)!F1oTvZZPnSU%bQU0owfh#iiEjlHC%eCmMYuI^{p?2f{Fq7h`R(FfYuPT12x39%S^iq?v=-%`N4zngoGg9 ze-}&xJJ%L4h9EV83xaZmtq@poiJYPYt071o;ey94EVW$Yfo;f)yhD(t-31ZqbH)NQ zbW1cT)`B$cE(m2rn+d_Kj!wuc(zF*rm~=P`5Zo+HqA72xfGl(;5%u2F;P#8Bc1wb!S<(5FFHa zWPjAmUO?-nu*RmMN(VMya(LGkEJ}z+3l}Ci4Om+BYO!=bgWpT8(4~yz{!n%2-5PFQ*Sq%^n&alND?%92|-%kB6#2| zPR1JGifKCZ_fz>vnTw8fDFaU$RCwfb~Yv4FKq0tKIy;LyJqm22?)P zP3I3DI##>Ttbi}bDt|cahMLbG^s(9aCrbd5tEiU(R01QW{_=lKsMKs)qR?xA9=7;j zc$4CO+f4&;jwI3X92Ba{cn%c*ui%vho8X!4?m(+fv@hNyffYi_gXRTn z;*p8e6KE1sRG|b@_PF67B4cRP5GZMOKAk&ooSRtpoGc| z;oHq(TTS@|M^SzK+>YzV50*Fe@has8{k6&__HK8D+2TI-f(|Jlsmgw&+Tq@PY!zV; zU2xNdZPS+;*eg$YIWKsU@Ev@!N{ti_p_?Kb>M!{27oWL$>A815_rlc!q2#~=A-Jro zXX$5@jpOoOIo1&arw)C4KJ@L+;!vqZlgK@~MDS1x$yr{y8MYP%=g zSdF|ZJe1#~9LjI@34d3Z=ljB+e8(?*cFcNK1c`$d3UI9;0DgaV##65}=1sP`BG5Pi7n6osHf8!l<>s4!swNNbKUNiy1S z$s-&_!zr$0esuoHCE9Sw9Re4XJRbXN=DQQqDp#H5Bh%UQ?TK-f8z9fC8$Ql?gX<>V zjTTw5&sID(4_~aVqLSW5`q0>0w5XB0Ow+P27mG{yZRWDM!Wt;Ev|TIGg_0bAmY|?V zeg&7W@;Gjr{7P5>!ec?4Fo}W%9#=&{!`73^;}DlDdz`h$A(2)%Ao}yTZNHCA5ZH%L z0Ydrm%An09?H%9dVy{409Wmo>(6Yt(>X%*$sW~BecL~XHw}?ji<+w%3uh5TB`K}S} zRS#3&D@l%pe$2<7_3WOzO8At@=^Fz*h4-Rj{+z$~+|@hY^2}S`8O|ddp4E~r!c%|j zQ(pVwOW+4%D`!IT0&AO&eT3j<2dh~Z?&?w?SlTsp(^-d7RL`h1Yzq@yV6G%1GC;;g z7r_P5cECZBz!j|ERy3!kCMkm316#sGP`DrhR`8}b=29Ru5!gYP@_v4fJ@H8|rbaV(dT_wZ&Mg8r-%a`oLNoklU-qtV__IMgsrIBF=Cev?$d#K!K?HDz~#u4{Sz#@17fUE7C%27@k zVSik043=eUARJ205aNg}5n+HbgI1p_o{n-3I)=Af9i)!ChAs5syAO-&N-? z&MP#?snT}CjKg$WJ!^5o?e!RnqS-;GbNRkE{NR`W{?N?I8{?YUSY${D#smu)=`oK- zl$q@^oS6&Sq(F2FqSKOZbS4I)W_H0_7yz_G&xq8}P#Du*n7Br2<~a3XXT>&-E3dCn zYJ$e}wOcM#g;U|SUq(uTE{Iu&vLXzZOhV4zS~Rnty8}M}{8f}-&??lPTQqZ#)Ojb6 zL?;aOZMCLUE$r-Y&NcspK3JLKSV>BK$0Tiv$>s_0w@n@EqdIUXt3>6h3bDy3Wj9j= zPUn$y`5W+Ubs@CO*oW|z^%BrBV;^1`^m}>H=euF&mYHc8soNn1w)f-^_+|jX@BZzt z|N8e_F40@^3aKRH-irK4PLJ5wbtJD=M^u>WT7H$hIyaR*m*^vT)e-kvuQ-^zLeam6 z4ycn?zfp(H8>0fr97wQT|CV*~%7Z?5ijt12QfK-8oF8DHZ_t?m_IH*LQ!nED40n|o z;yh4SW|(SvjHfY1rss_`nK8gP3UyIKI;qwDT>h6hKJb5>cv5j4p`<-!Ab^g9e}wXV zJ24*R`-)|=hcM;y6ZLwQ;8Bq;GYmPvszO*w#Xgt+`QFFz%_uNsKKJtfQ%|m$A91~Q zA6po2qi6u21j(p*yat`Lt#`q?^+LJX0l7N|WZW%k!{ONhxfuuKBj5ZtKlpS20F;Wt zbF_sKM-zr%7sA}Jmbr%xhzka>5y^lgvuL}J%%ub3f+&BZx`v_{%QQp^1jmk6&s^{b z2%)52L4~w#1*xB0aJLaBiG3p&%9O=eo=7BM0OV_&7@Z1fd|AmfTE>Mmu<^d%>Q2S+jC>>W}50&dL z4#2z~6!rptugyM{d}U7B%9M2iRSdprJp& zW_A{g44AL9l3_lsRaxu@fkvv!^wJ-Ow*;MyE#{yu(=XBY#qu`*R0fBNC95*M!MY_X z>5-;3W%@I$snWyew-FR`XJ}LN?Rj+C)Y3`Tv{R}|D@X5A^aq4n*Cl4l1%fk3I1z?=O}kb~m3Wk!nh=jr}hkAgPhqomuQw z(g}<*-F9@yXDvPF3&7(DwZNKS!#u9h0Ovp$zpvWF^uq7vl9(z>USzzxDATt3MS#;TX|r$n zjX?C?u9;NP;sB*3Z#m3JFX@u;(+eOFIm(Q{85EqWHOKifXo~d8L*20{k33o;?LC9s zATS31?$8*1Oz#f zl%BwJyflo41H=x@YU&1BFzfSkJC2wB)YxqMF}1%k{eEbWtd?sVC^u-ptQ<%Pv;0@P#@3jbivZs7~dcLmfPz4Ygc4Au(F+wmxj@FJen%22HtIxK$7ir zJfEl7)W@qhkos%+=2K60lr|M}?>@FRz(h;OCeka|+!BGa!lQ>xEY+=&^LZbOb$OZ> zCGgYu>fE0;D`&Fw`IY`XhYVo}fQE{tDuNDMza&={>_hK$>A6jFDL{W|Z>*<)mLI$P zi1BOFdmnRGhULnFLwALT%x#+Kt}rtC^lyIk_kK;9ZYpo#X;jAsy%l1>opM13HP}`n zg+Y%&D<}$4+q5C*q%b?6gPRq_a=0MqHQ+nIzgvQ3xe*ip#)=A)b4ip`1Q-ln%|3mM z=&>RR+sl(9=(6@yUvr$#1kM&mX%wFc|5hRr_nGi-4%i^oMREFbB+$717HH5ta;fr9 zLEzG_l`tR~hs*F~{cm#t%_gNTgdu_})Sotyyma2z>OXBm2NNVOn~mY|lVp0H6Uhts zVA|(df*?C^!R8wY1a?RAjI*+k)=W?IqI4*8Sc$sgNisdsAfs_Q7{FNDM_PiQa`q!~ z68cPoMx{M_dZ-sjE%8wWb<1`wH0b#{wO7=BPb&kGRaJ-kR+8zVMgZs&pAd{`VCCOv z+(QjVe0(6_e)@!mnvQ<+Q1hRHkfr}n)BC^0hx(k)FT>Zug=0yqazP~oOfI~(v8Dr1 z6P!{*gU`%vkCJpm$?k4RUvRzIXNDih6hS0y5rkAevuuZxD#3GXGUUMHUA~Tzxa8&9 z5Jp&C(gB-kF-IF@kjMm1bX@;!lfK}3bIb+Dc`FGCkNFUURKK5WS3g+x`StNCZny?~ zWfOZ55UE$+!K+7n0u3Q5qr3OilN#Qr1_4V8q9GS1EsF$4B_hyJs;sQkGQN4c{{HCI zhyBrhG?C@#f4%k#KWqQ~Xj9M_sh62Xeh1C~8_1yGMQeL7RS(KSB8Y%}WTw|lpw%dk zocfH+^mf-{mf&M=>!$`XG}X2%(ptG-Y9K?NzBzTu*r9_0nIjq&G?3Z+k@zwxVF%BL zO$QWQ`D~96O!>MejHm*-59AfZ;Xqf{$1|`A8TYsZ*hO(GR_W?aa z=KkMco~&fa>Ks|gkX89Hx0LGob1alog>$1)czHCC$BgMR7@N#qK~3QkVrqlW4WATd5?HFhPrB;Ot=d0=@pc zq688qh^YvqHzi-=R-)}JD6z4h`nYC^58eYtQ=DrdL$IUluQ*^&)0J1$*w`;}z2%e( zoEw#r_9CkmGV9udIb5?4+pL*e$d1}4jY|0%q?N5?zB?p)7p?~^-h83a8dnsPs>4K$ zph{4K5dB;?MCiXw(6OQa;**}$Fc`LxHL|xz=*e~yG;*B7w5@07Oxq&9E1<-=gX~fS zMjtF3>a!hW(6;GihqlG9>l8%5=3F4m(IfyO8y0f% z4O!|*bcEs%rppnp&vQce@oS;mVLE`(9@43y$ZQ<%&Nz;{MYD0doq2^{{NC5U>+dGx zD6W4oj=KZ5#HBk_gv_4kE;o)QxG$7_K$L3DHpuJfDm!-_=3Zz56!SUUo|F~E zIQpr#N8}WF>YX!a#foAaO)$9qx~-eCvZ5GA6SVXmveVmbZ^D=uM;F{|!3@KS?RH~a z#&K#fjwWc(eh6X|v03_ZY6*$`YonbJ#JgN_MM5d@2||*UHJ1c6F-p{w1pSIu*5c%1 zJ6saDKF*2en#$>tDF_8fTOz08gaG2UaMP1Sy^{9T?lF`H*U8d`UeH- zg-w-DZgl-`vs*UxEC`3~Lra!|a9Gc|n+lNC!$zC+)=JM~h^1;CZiN()k{##EoHiwk z6=waKV~Fjsoz42=JBE1NEt<{x?U?n&pL^~H-w;dy3d(S^ZV-*=kJ$sq(PBp=m#XDl zj45`4-2o_;y#FS6fRPqQ0e(Uc;UdW|7hJA1I0hfSVa**lqGbJkjaD;+bvv+Ig%&de zCDUyyBI3n}C7MBa3TlKyP%_g~>KaEB2})ruFbAWc-VNA-*$0bW&mZ?YXvCJU+c z!^g({0f^-mkRPYoz_7Udf~f%K@vQ%ayO$wF`!(@a%v=nCqy*HfKRTdK9jNXoIRt&m zkfK6I3S3q1$5n*<9BOBNk1lcWt@DzoJ_94>7Kwopcg@emAHyfjp zj;BZ?aQ{YINC@*Ynl`ke>yi^6BLI@}*aK{4Zwi|?_!wa|ccS>Qd5i#k^2dlDANesl zgLPtmV}`D<|FMF*BY#jqS2$jvN~pkfs2W0bPFZq9_*8}G!SMpQ!4mn3b%m7?Fi}d# zG~glyV?}<2{0minMw9#L{I%!9+G=iSg`YHuFG12b>FXf9Qq8-<*QwzC?Yu7bb7Z~< zV8@6*39QlutW^(OwVF0yOTk$2`>|i8>W_dxb;D&lzA*bs^8E+?Xi|TP5JJRq`gj#b zRDZ3qiM?n(*>6At#|{SU-5{#+O?0AQ6ZQyNhy4w`O?;2C6#7WgW>rEz2b;fEGsEcB z>2?*JUM}M@xapt#qaXOxFS^v<4|T|L_X>u3+3UuqTTxo#*<>ezoIMH!9xd^8fBz9F zc>xZwZC~r+wgwCmUo&43v_cq)O*4a#or*rM$mh!iIgn8?J}9+o9XVE{Tj7E@vtq^} z-*6C2RP&XRcNJe83J-qEKh!q)%V??SAE#&yX8*6lP-Bm6 zyxcbny&1QB8`Kec^M^k7b3bVsd$Yd2fvCyg4t9LN`NGc+_U0BSBLRuyUyuvLdk#d+ z>IhI@jVzIX#07!nfgn*oNDwxHVwoJ(GMc?vV7X9$|`?5_^S z3=-d3tcRa^yHt44al2Wch2C@^ju!QHT8$->;r>txT^l?(SU~i5U_Zgo9 z_U4eg!me0eKJl<~f!2L!ueu&MXBuCQOyfA{2EDZmHXMy*9Jl zaU}$g)sv%<1bW8bPz_eX*l&;IC}4#LxH+i5TtwjSZim4y+HnFqMzw=mMUm^VA{D9& zT2TZmLcdX6Rh-%GQyhj0w+T9B3i?uTJH^Ou5tx1JiB#|#r@Wl$l zp_(r)3bjb?cC=!+PNBT0eq-X&^wFQq(lnTlAi4OY0~^KcQ}0X$Q08b$bk0 z!}M;`jup*V4l+-A^9CES?J04?#Tu-U|M^og7qRuw@{$XpuATsoQeN7zs?UABfLWPHW5vzF-v z6hR~k1n+Phn2by>zy;3^My40wf@kL<(+hCH^J9^7w;6`H(YCWE7jq$-uPt7HuL%k^ zL}-lZj&PJ(NqPY;dD!j%`lMuWsB9O0O7Q|*62MgU0!mk$6|P+JqHQlx%2o7_l{iFA zW0>}`gGa@Yj>D{3l?J=UWQFE`YX0veMdfz9pQ4(hDwHkw{GxkDX`uwKk^KsK`{7qe z5-n{?DkRcWg5QWH;9ff!N+hN07dz8tm8%}^_F`1!@5$@}Jibs5(?S<+(d+`;4%Fk9 zpZeG@{cyMd8VNeo!{fsJ60f1;K?i`DbOBrtlRJqfMA(je>A6GzETC8PchdEpRBZMHV4Cm;)pgLt`Y&7{Y5yuU7ba60%d6+$_)rrnh3i}1Sn@J%l=>` zY6Po90BC;5APu#)M4NaknGu}bcFmLlCAGwqf1nD%*#YVRXQ9JyezZ}oc01e!@VjFa zjcgT}jNlAI9xi}d{*?vs8Ft{H1GU~R@}@%d-o`yNo%J@cYOf7p8P_*!+- zIdSoWrNr5)-;P!9zTn#*e%GfJf^)4Ccwlc;gEZ|HG+fGQV!L2C>Ut^Wy-K}wc@V3W zt9&QwrD|ZZV6K-Ys)DZ9vM)h`7XzbS3f5p4%)BD4digTjGVONLn5>0ISn(4*;36vXD!q;e;Maj9 zI!1fc3r)RrsZ%KqtJR@C`^CUs?K4@_!WarGpllYK4^(LCvBcH@WdZ)xjX6JN>SV0w z)XDr9u)&iC8Db7JGQY%FVqp&i6^(~kA7BGKaxjoppvUHtE2QA=MYn>0j5h`i< z{T}z;00Nue8*KJyKC1TypxA-;2LI~s4L|1h1}pk|!;kS6oP2K}=I;$Z#u4h~-W@=< z$1NyafjMy`IyvB6%1|C9Go*W%a*j+B1Zse14bUYZGy`-=23X<2AW$FA?MO`#5SkmP z33#pWU=XN}=XN?C>8V`7Bj9xkKvfv>({c^BpmGJ~pF>Pl{C>(%9={*S1gi=l1gh(o z?KojeU`{f?D${q{1m;417}rk%^Qr-TE4na!VQ$Cu14f%S1+RMwMhm*Zw50uBiU?EGBhvfmtlwV@BTTFKCueCnj9 z5D7r%h~N?fb1H|$8mrU?LU17s_W%(gifFy1DstH&woz{hhqM=2Aw3KGCWvlqQeF5} z+E`_0N5axPMbfP`msv$F1>}XwvSf?%H_x6o$K%0`=5KUln3sJu_~`lm9Ctg^rr-*o zR*jNyBbjdyYR~ArqSLTM0jrfvo;MQ30&nB*iI}jGyyz*G7%G)c)fro*;^?I6sUD8W zwVv1Xzq(@>2qpXI99F^)cRf;^6bKqVwS0w#duP6Od};_^VPCkVJS5>$2P&xzUySx= zCI3c)k1+Rb0~P|FyKTS%n`Q1eBVwxZPsD-CmC)KhfsarP++{hl!uzWek-l*4&`8)8 z?vDOE?s9+|E@dvH;|TDv@L=G4=S`Wn68_8BTB?VaB^^GP((8d)XE@c}!KudGqB-k& zJ6YGi^R1u%zx|)Txnx~61>V+D5#o#lRY%bk7-(3XTmh^=)ygExqU(02oy<`Hq;2&2 z-&vS8O`{8*g=tf-xnQy2LojVBup)Q^$YM2bQGN{L<0DHFz*u&=t>fP?PQ6_NyKhuR zFTAcXx)N>wqQ*FnuB}>;HDMWsv9W~W&xT=Cr_~MPwMW<5b9pP=}IWQY+7}`Yx=BnkwEt+lJ_*=9Zb&FO@#_1n=|HoecR1B)n z_ocOUWCNFA40(RToZ5?J6-GA?_-h>JK=ClbI%VrnBti87K^tK)Z5L0rb(oZZP9zAz zmSHMty<)a?2k?udTtRLTG%q!R+14SIKuU0+e9%Y3xHey7wsk?iwu7;61T$_3b;YI9 zg=~T0X#_>D`R{`ccUX3w8#&FMWX26)^6TE#L;&2bnHz{ObBP2fKp3r=B&oko)j$NU zbasyNCxV@?BrnFl8h4t}MhskRt?p<*Lux69+Jee)VaNGT`M=l`pY$xZ0UOp!9X9H1 zL2(8Sy@4G{RXyPbki*kMSbLu?*o>Z89}yuxf=Qw}?S9kiKKFNCQl~avn#vE@h3Y+Q z`Y}VDg5I$atU?FJYeEMYVUhhrP|#VOmLw&{R`l2`vpThb(Y(e(4>Xz8DH;}bY8wuk z{5z>mF%nWW8f-|5uFUEbi9fcji-JZ4x>0EC#BgakUe9{WH&f^Hhg-Qc?h8%9-q)f{gM0;Hod9RPytDxIc)i0Xia3#gnA&iO>#fp>;HRp})ff1rBs(6Lqd!kXJu>?56{H^j!W)0_ zTfY2~dbEi;P(7dztlz*!J$k$PkP}&{$I`BjCi7O|X7zY1UFZW&WLA$TjX-^hKJoc! zp>VT$>^4WL6Dl6|f@O7LB>dKUZ>13mYH=cKsH`5bo;8dErQWVsd7G%ASi|^`XPCWB zFcP!<3@R5`xmGt<>ajH5p_0?{o-1z?z4Xcyat&{@Xf@ZMNl99bB_r7e@iqYd-s~XTDA#xr(nEJ+p+r#>fusc5 zMO)Y|2L~&YhY}B69kgIst8`e&I65t9!m3j{8d$!O4|Yg`;3mN)FXH?5jaCl zfE8JT*H|&D$0N9w6x_4iSQa1zEA_bH{8_FD&3sNhva8b~?BzyNhQ8uOy~FoX-~R`e z2J|fj!pIwJ|K#n1S*OGsUP;<~c0VxBokeeeV`2PM*eyrrh55Nze6&m)$5qa$ord~) zvm%}e1_{5s)^`1e1fGeZrfn|DIAP9PR<4XBnasxm1bplyknT=FePyn5MQG_WiJk*e z3d$1%%voz_($l*DUln5pgy!f{sBbu~Ggnpz@kamOG2B_8|iN{W9^j9bA!65NV&O_?m|Zr@Hlr=q+xn+Jp&=*Gzmp6JJm1 zE0~F|pMSQLoCDU)6u-Y^w+jZROYv0?KkwD|0vMzytrpZAlcQ0wta(q{c1)ify*e}v zf96sc64$7-VP)3KecQn(D%knnQ6~(3SQv*jW;p1^j zx2A^SO2lP?AY%a4HZ9BwabF|I^p!!{;2-%c>eaHhqMA%w5<{{SC(Zh5`)z7abD2iH z0am#=c@1iILwH1J)_$J~)Ev{OVcKb2PlB4k!l0n$7X(G06Qm7CxCt#1vaSq~Xms8Hd`wKJO> z4p)3q>7l4HWa5)bKQi^MD~|yaJwu!MFy&f`yzo(r5vX%2Uhp-K&1ul)yTvk(Ed*Z= zhf7oOwI6%>Tiz4Vr;;PNsVH1-P#mIN4@ecMv+Z1NYARgt2)7+^#xQpu-S;AR5S>Xu zGSsl#5Gzs@xggG{ik-d1nxqOrY9bfJ7zb+uMsIPuA{CJf9yW*wsEDAfZJ0u=$S0== zqGJPr15y`g$Iwh;2=WYWg_$(Wl}nB`0HRC>I$Ko9TEV&m*!r*82H3xNTghPQMP zKgeTzQSq|x>IR8_!*C2o_(;o^#9~vEh9X0aIqAU`$=WL-qX=E~>}t1sQk+ZzCr$aN zti3|17e#dT*i^BF5c1`o7Ct}e{8BVe$D`~#r6)#e^s$`&JYK{`PfL3FrO6h*X6b2x zFm%-P61P3#;r!1{EJ7>fsN9-WND;fGw|D@u%LdjP2KJ7-MQ!SK<^;YOPT)WKmY?|M z2SEs^H=}hx*x6}*Mc-Y>CN8@R23d0>qp_-OA(_2X%3E*(8#7)AW&?*=e1L2rc?pAT zz}^td_6}*#R*G1q4^#XcH?Y9RzK|vCCX>#fgCRirE3_jvZ-!APDzN z>vOT9#HzLl%a7?);sT&LQ2R6&jc{XE11?sKq+uPzhVdW$KedwC+V(_Z4cJ$+s(GTH z8es%o_93W7!eYiJKe7LfJwu-;m2&BBVf`<%pcvLvp|1o!mMyI$vBPk3x>7~R5A9J| zItU=uP#ush9V`_H{KL`#Z*{kDGhA$C$3+%lWDCT2TfQcOUvEo75^;hLHMP^gKVPEoriYZWoGVn1#G+V0T$J%f-?0DephE zj$Yf@o{kjn?H3ur%khQD0yQZwN|~dRy^j^qALsU)Hn#l<6j*MX3Et;*%?O2!B{KUuq z!otumFY0w{<|H9Yr4bMCuj6 zRGS~paonzCUeR{hMqN3MD~Z=52DY~WUykES-WAwP$&q64EZRz!PcLCAa~v0G*A>_# z@$+QCDvs+w_M~Z7jBHV(8cSA*WUx(gRvy#cdvt#`YbHk=*Cenkq=&8QcQTO-0(c_5 z5O0EdQ(-!O_KTLf*g`egiNaEBr~d0O0#waq4kPVfEH&%68lFPeL1H#6a(vRAR>2Et zkQ3>G7%SfhUtu2w^M4^S^of!wsIg7ce4(rCD;VaAEn@g0YPEm2ddgFL`5>@pSUB zYhRxZj(!}L;4$hP9fQ=BdEPj+ZxPgCzz_r+uodJ@azVJ~Ogych0JK1YfV?8_hYM0m zL0@6T?f(3z^Ywv!^iV=znbG*e)_|_0_VpEQcsftL?Oh|auL~9iJ)l>OS36du_H{w@ zUZR5(S`9ysaes%PXx}2ahhwdhTa*avA5yfhOQPQuja<~eJ9gAJlGMIM^0;AhJ|#E3 z8Sj!namhlW*P&}bAuP5FKaqeWUGfM3t^AnXZY}Kv`S!@`hC^E_p62tRvq28Wa0p^j_w~KGR}rTG;mhV{H%u#=M#rzq4@QnisW1V z=^-E-_ThZ)F^qwk08{;?B_QP$n>62C!Mng}cea9epIJ&g;gd6m_Gn*FyK`(eK5)44 z8r`^C)LO>5Mt3tcx_|Wl{JlT$tx==X4&stI6gJ2b4ZhLtDUp?6yj_(XdFnjBg|dKbPT%82Z@ zK`+Z{FHqJ~?a)|;q&DoE-t~wo#Jea0#sH$C zccd*2rUJwh0YqgZeRFEsI=@C6r;KYvp|hO-68 z!MJMq;SkK_#xl31Tdjrj>twkRhJCB$#uW;#&9|w_jrb1yC5c&GBjaCJc<;PFXIaaEH}Pd&yfZg}hQ z9<4Y1M>IY5i*B`5&x ztj@#A{jv9YO8bqUeUD5LetAj)BHyl=?-9O;LNK5lp`r2%g5R^cNBBbe%7gH)MJ>ok z89zG+sI3|XTGv+DVb)^NpNj*+4||c9y?Z1>9DU8w(GBmc`8~(I$e`-57g^{)W`NHN}gJCE{Ppi_Cv@WP(iS^xBo5%wJ>(4m79XAID)Ad|Ch7 zL?g{6rGRgE%gHzSes4skjJ!;kMa-iy)7$Ph=)#H{+eAkZF=fqoc-xPb{PpvMhn zdRDHyPD%{aqilyO&l!{%G>I9H+X^)af$IFSosO3oo^gH*(VR3BQ$~iS<+h70R8RRj z+udSYk2x9;zH(i*U@*J0yJGm{sSz$l=gBnjzgcL#VlRF_d zdUeJjrSN=a^(;qV$uGU*i$3Fj2w=%Dl?W0)w37gZ0}w{No>?H|o*7j}8hglTg|(pk ziY6FTB5>#w<6u4RmQ!+?4uJKHN~Ajq%VRz@?_m)vR3aN~RL+8;SNzmvW;&QCTS5(5 zGhZ=LiKsovE7IX`L7T#kciFnWv!IlIToO(zem^=Ks{!H-(LQ#S@Q28mOG)@9%`Yi_ zc3D#heJP2-6AD4GU4)BNcV`6(Hw%|~^RbnE%g&Avgo8G)=K9bha1lS-&kp8ujam-t zwNSWK-#y=;-I_NLYhuPGhD6rShB$@3Cgv#O@+gU)tCqZI$Z59JZe~8OGqVvzxY%u4 z?O381YnXL0k8V2subycbfuai!Rkie}Tfw5Z3TXc#?Kjhi@R3W_PF&YytzT+ZlEKcJ(#4ZlPV*}yty`>7k9;fpXg*?qp<@fJAa!x zEm&IFF(tYE$*Z(r^s=K@y(d}S9q(m_Q_?4?$+zc#1`T|5I1F1RHJa07wh;(wcnzuBZzYH%3vC}!@^Y5X?g z(zt8R$=Iw8H(eUZ;d14 z&~JM7Z~p4X0)nVk*6TeU7zCvy=1Wm`rD9vldq2MDXypPic zc0v}GPo2Y@95wa=)+!Dy1e60&V~#_Ee-d?{_FLyTbYJKvF|VeMn-B>~ZpWibr=LX3 zD3Ff)ZM4K~l0iSYURiA>e#t5hH5&;-g%)mUJYDyG$ZRx2 z{oD&z55zv}v2TxK-~Mbq_W9?o-h-8&c~Ke&uoY92@5w&TOV_)`@V8AtG`{9be|rD% zu=?sgxYhS4B%-tx0P&U2-k>~6lr}&*n&X8D6JzVmiD3wSZ|Jqh^T`7jXI&Vqv|*F%D~o%r3xLUm?2yXMKgr1@P4Gkgrg?044W33-@k_NF-yK9M5-EffAg<|b#`!0KkrLqs1h}12$|2<`=eLw#W$;7`= z;=EO#`wt(7{zH3PXH)(7_GFB^MQwh0rU|$int)IJpFZpRULVC*&2D+LZn-WZo7hxjNLa@rwZ;ymR$+X=bs7$bUj#?@?dZg&v40M&F_l`z@ zt5z+zs>;zTQ4(-a7?9GgSmo&9ZYX34u&s9TQ9L6mx?KAWd1M&e1EBn>l*Wp0|>@f&vJ=@-(5I-DLAG8g(_im&Q5KEUNwe#Lm%M zZXUjqP*NaQr+)#tnxplbkJhKt|Cm;pYS%|Z_%t+*0==+&Ym->>{NZ2v1Apn`p-+_q z$Mvc6aar#Nx!N=ZnK{1r#uh=uK1#ucqNn0oZI{(NU2w08h0JO?<~*-Red>Zi>c(~r z(H5~H^{ESD)Pj+^m7%gBNPX&pLF%?WY*hb*AoZyW9<~g&pz|@r90Y4p>^|_HoKn*T zNhJ-WDo`(I1ypEqtSF&RkpzE>^th3#ttZLQ$0d)jM@Fj#;B#|w(Wfp+e?%qGc%#NH zoju>39XjGQIz$0kElHB3x$`ygjgF9%ZzPG|e0yqAH^ipWK!o34T8%2n>INz2Dd=I= z$L2aOYV*+3k$Y9W9g&)DM+$a=Ugz@pKRwj@Tx{z^4ORCJ*IQ*DN!`lzKir?L>Gqupb2_5d@=iBS@FpuMsVgI-(9PxXn_H zYphJb5&9Ben;e_~A2v&hOYLiRXfl=Q2cQZyxKCP>1u&OHO9i=-saiQHum0jv0CUOG zDgj%ttnbc^C}GiIMcZ9n@~TyNqVq6o-#FYgu&ioo*c*xs`%;7-f;~AjXnbl{jy*%4 zD4p_TGv?(!2$HKYK^*XhhTT0n)t_E$miL|%2Ibtsz?VEB4C&3KO^JaM;4nFRJx1m0 zVqWZNfBaPK2bS;n$e)byD4I%gZ`%?}1Q4Gda7tC{g1I8mn_J4e;DTo>61)K}SXCri zm;>*e3kD@V{P()xKS__2*Y_$MIe9PDbsFYqZ(iInZ}?5g#HlZ8io+uJ$-)LyZNid| zi7r`q^wsn)AZ@FzR?VPP^3_|_X76F=tCv(e>(cSfOWMv7!)%r*!%%=Ku13Ky>ri!7 zYj0gTKh1Gy1=`%$lHbNv#C_=*n;vo7lR8xg%(%C^!@Z5WMXk#^XVPyblm6mA_`>&o z=WA|^-KgN+21tu)TSQzq-2NdhqRJM*MMXNBO-E=2hEp3@ts3{%f$jt=vgrsRg?PXA zWFhH9J>y0T$s2h4QXL1TtP!LNDuSDi5eIsC5Sr8-I`uFW@>c)I4HV&saWLYu*pacNvm7^h7609!c8IJI5N@Ji3m!0vbLVz62I!swfUxl0~&LwO-> zzF`g}C`_9|a8b-7JOhl)3{nZ1ukFu2=U$e*yL%&Y36BC88?ii&#s_-Q(Nsn_MK`7o_WL^C$d=@KIGh0b{VC{<|^$YM6wK{rvdXo9>fbfZ=OE^2I z8tSt@f^Ma1RAqPl4jomasZH{%t~I6GHdPK&FO1RRaW+aLTj9FatA74>uKvB@HnJ7| zw#*$mb?0Wk{e#9tdOoyyL^?sr!}CyT(P9p_Z6e=u-$@Fyw0lA z>boEYelP$*`W=Pfww#a)6v*R~>w$TC%q3}7%moVi8B(d;tx+av1apCcR061EU`3Q? z+RT40P}qD(3`ALjzp+{IRDr^s9s8p64|0t<3KRpsWL2Y%0tJ{RH1bMo=DQOGiuKCa zRg+}CJv$^G1)J3E-7`v(`Tjsoxtvh-Zbp<{^d!Pxh{|(>M<>WsGdNhyKS~^7w$t(G z{Be_SUWKzTPOW{&;WrtDuR@`j`{p`1c|mAM11M^ z?G2JH+{drA-p?b&QrqY&#&0l%H2Vz+&dsjh;@VYBzhhjxDV@Fl;}8Gr+y0|V)wbOR zO{0>J1W{9)>!TX+q+mg!9k**ixaNFhmElzCKxR1M55UxBK`Itsv9tgKIn|?O&(${L zzGNyCjWErMRfbciuL4}K28p^@c~1q^L`}tSKx);}3L!|p!LJe1Jqe{oh7%*j7_a9q zBYuNR?lFZ_P;mI#lKZG6tG1rf1H1-OyInJP_5vZHBgKwD0@DSn*35SYBwD~c^E!`; zlCC84?E$GJK+kZQz({Xsz4+>qO7w38__1Q}Na@u6?8pM9UkNZqJv;KjOgU9&&vyvi zP?|BusBH5U)7f(p8VPj+ieOx7oz}kSwWu#~F1M`iLZIc6Pm;ZtaDRHO%kH=MUtQ7N z7TYq=oLG(u`#$Xv@ie|#bYsl-CLM%XScP;$y zxaEh6YQNO%ENyzt$kG+o+h(Mw!YtIuXx-zo70me?(<4lVZ5t?RjsNYA zdp7Ao5KL|Did12KYRp$6`zSm+Fo(AZyPRI@)_e5kL4^T>+klxzsS$bk^i^-DH_Q85 zKAd=o{h$4BywCAT&oI?%@)iAWtT*(DQb~JQvIjo6H;^3{dE5n60X%`oyr_Ckx+rq*uP}Pk;O~-x_@dT0?QY;x*==(>$QD0Nz9{TZ?OCh2x;p zTpgNn@F_aYHP|wm6~#5O6{A=W|4b_eUfNTDkzZq?(*$O}Sy5agTd_?4Ijok}1rRHW zYviW}dRk^1AfC6;Lm?=xkqHK!<_6rB&8hYAa!IGt#L%(cx$9!R;v?9q+x1KERk2<{ zUC29Zl-4WX95su6_Clw*Ucx)fxACGzRW&yhtWr72-s!Wyby0!@tsX!{_49BjD>O|k9Fjlrh+4j=%h%%@6NspYC7a&&HidS&4engy073yf7 z3(9;*+kW(^?|%Q=Lffj^c5Q1)oUK+IONX8-^c1yqxa`G+yLWSqyxzsNLk&Y>K2d2mszIh!I++v?`Kf#*VHD+9RWmh+jywZ8`fEMO<-occ^_<*Lgi{ zt4emI$~G4@b%(WKR1<1cW%lcu?sod$A*Zuaw?)abgG=HHn&4r(L|q3fV&bn52xP&W_XECE>kRwd!737Q>YVpGIK9js zRj4*Mne%=|0>QjrKjoP7e&z*J5N>1jWiD!`q6{#^Ha_d`byHim@{q{+JM^fjdj&ECZU@Bynz#BFzaJz{Q;K5K?@5KA(}XB8`) zlu%uXK%9dZIw}#0E75lc-KA;ocrg69#9B`U&5dMt*GM+*7PV@8hG*UkJoBIbzNdfc z)d926M21Hmo)@PHgi+Z0;y$;bIiaQ0_=7l=frpI!r)gjDprUaLDD1 z5F(_UhXbgEQ5W)2_%-WB4@l-4L{y8!XJ`qr_$<<9+G6bas0}!qc=p2*aF6Qj7kv=* z6fWhXDn~>&Mgk|y6UnSVX9|WYJyZlZ9a#-QCS;wf{gvWSZOh;pu@b$dv*my`=cG0@ z`71i3G7181l89)>sv;os=u?tPw!^|dGIkTi!`%G`qz2HI`GV!mP8ZDWbbd*Sg}+58 zM^zC3Jx~qZ;C39}=G>&$&!eXMM6zCoOvoPl+lq_EE#DeccIbWdcmC8jy)Ur(YMI-( z$bfH%iI zT(n1Do}L<5{1%juwOPf zqH&0wJ;jco9Br>N-yc+NQ4HpqKv^m_&@PPK2h|ON5m3|!NSqY7nI!Wa+AY_HFF`X! zEjij4sKN~7h;CmmW2VPuK%M=|W5AtsP$hM*vL%&+#GG=VG39LIG$d06h9WFA%U+GLtrI-35kn1qvbgGuJ)J?C)4&I} z1JbkydA6siVe2vL#UFQz+SqhX_TEgg_w#?{-}fm={p=f{Wt!~z0dsFR5_JoPA^#w z{EqenED?Vz-I_0xljSgsq_jsKc!yynmEbhXSIh}NXb5+j`7LaWeBvSbKRtXH zI;6(YqR4Wt+hnEB(D4~WbkiWB>5Vw{jL)&-;~P7UyG3*C_{=-TPQsqT$MStY{*`~` zjbZNgnEw*J^16jcaE+9yAY6eU(E_0DvR-)?1ZV}oR#*ryxPX3*wa*ZwxpTqeVF~ap zF|)-kh9GmXMX-SSInk?H@dj*;8i>ot)ogJ1xv@!uhUvO8YS0dmYVC(r$;#{(8A!p# z&;+9p;~gjp6rU@WXY^54twma#uH-A!MAJqJH~nt=NOv_V7oAEKUx!wr)8*3}3eZOl zA(5Y^Kt2ROJKeh2LpocR$Je@yyG65gxgG2B$)EJcKKiF3GpVM&4WA|Q`i zXiW~LN=XpCSiPt#2UBSOVxt9C1X`vAtYl=130_7Xp(;oC4_3T@Jn9e{NgffF5-gxK z`lkw}4jbh=Ksr{)KQp8!BahHytRyf%9`#6IBs1xO++hRuEQ!y+8`HLpRLCQ=>G3B= zB-dfEQFWE0t*Y9o)94%BuW?<^KsLrv?eI`>P*?Hr&bB6$`^H%AxEM;q+RLcj|*g{ZVXA>;c z-LPji=_drmD4U@5xzpBeg;_H*Tu6q~1cNLDX;$VVv!H-YO>n;kyAYGBNapsaJ;W&c zsX?Qrf`Gg#{3fn3_l6w7jwZbfd(dIly$pcF3ZA^aNR%pTt*O|)hh|B^dZ62e)S>kf>lAkNG3F#yGd`S6c4USBooPwmfN*X+IKkxJ2^nW}ILMuh8c<5lb6}YcZAWv_kY$RRA0gzJ_ z=7R8hJ1HxHNnR$@WkISi7i7zwx#fqgzV)`NLGA-(KW;wht~3oG#!y`sD^i7(Q?Iu8 z4$w?T3mx(`QiZu-X&UGNvu}F=GIBqf1~4~3Nw?zEqQZR1(lvngg5GRY2_0NA+6Goz zOr2wpq+QUa+wPvWZQHhO+qP}nJ&kGGwrykD*0imy_uJjr{ZUboaU#x-ih7=NGV{*+ zIz*JQVJ10DUf=-gE-DpIA%%~X5#Q~HQAAd1q4!b>3b)2p%&SZeUhAM#Nq|))gd`y@ z)b^y5!W<9=h|_qk2{9!xW_{H;#x2|kCKRTjq|b%D$-WhLG=u}TVgIdqyM)@4>c!T% z>r}4MKM&rERKB?Eyod!n_4O5dFYTc++N$1MVXbUk^i_K=z#hp)xfMR=Q+|4Ob5-x@Z>oCXEG}xgU>ifD!Tu5ZW=^{p*<&BP zqm-IUrMK5LE%Am=i1G-Vc@rb6!FG;R5qZfBhnTCf|J6@wD$%z#h1T(-#)Rs0H6#NqxX=8p zAr?;2FxeYnxLE&-sgLdPd!C{;carok(rrOMw%ZeB=YF=MCNJI`TtX`!V_ z?`2fUj4$q);8IY zwaIXGDf4>RK~4xU<`BEdu%;{sD{&ZjIzB9liLHcb>3~ejF0`t9@k~phD9~Z1 z6Vq;997lQXkxd3ix$UZ2GI=)7LE(|e$Wavs59%Sg4l7RbG6c!9nC$@`#Ci)mX|=oL zO+J40tI}>rYV8^r241PE(w(Iw`70)6*gRh^0|cvP5)KVfbvWk3DY~oJZ#XyO?+UVd z!M>6zS$=BeErgkNv3iV1njBTUKqERv0H;<^1xdAIkEh7qAYn`!z|Z%dTWt^Q?4+}O z?z;H-&Am*ncPPv+y$SX9K%Rkg{m_3v;$IR^d_C@Cl^&6~#L z6`OkVwm``3RcQ-dBhkya^zD#E!MErIw$xcW-NX(Ju>bl&0iH*hV)pVgIXA%)#Z@aN zVW-oiF4dgc7!w}~8g!^ZOZrtXSdM~Xtly{d#s9I)rPhRv`}sprDlRP7pC12jW_^k` z+rf2o?10b^@e)jaMwOjae)=!c8z6;I*P0s!;Siy{9dP*h$bYyDLS4*Rz~&`E7)E8D zFKEQZtTb?uwiL zF;WFA@gh*AjGMFxe=hlE+1}2-&k;ka>k`;KCiy93dc6yM*N~(OIm`3?Af{@Lk_nxe z>@Un$Lyt1kW0`EK9~oB%I8#Y=m`+Sb5Uf-rfjm$!1q~R zT4AnCi%%0lsK(uKQ&*iExbzQzW5-lQAgq8t%HkWWuad;|xjozj-pkX~c4@7ZCSUU4aRPGrvrsfXs9j8O=XgJFLBYp5?`&D&-KKFi>1v!1Xts-Wb3>CiW?h zxuGw4T(&>NDV%FxWh+Ib;CakZ_p{!7=M@w!*d+g@jAUNnxa}?BLa6T0oOLcb$Snf@ZG&~`_0#b2%cu&{?Z|lxtN&=E=V;K_l`}NNdRWpM*d?*Z*%Q& z34H1A<}BB>4~x}qzW;99=Mjf~c)cxSLGB+&LfTD$Q$%fWJ+6nTC{QT)l1QfV%rlz_ zX(xi~MI$i~MUCAYV){V zL{52+gAM*FO818cRr#I&hldp9N4z5S5f2LCwD@L*!Y?HzQU6K=~9jk6U58-rRhxcK+cWJR8`C8)owIIhJ2HBuX2up-1{-Xn*d zcy#54f*)sNTYedYmO@TqC9)G{IPFaMcpdPzvPJEMC@!}nDPGGmCbI#FT2nqYcE;f- zsR;!H73^wMdrkU3L09|9g;l|NEwY1KGsV5ZHU2=w@-KEZV*3Q!KYIp=W|t4ZhkuQzwi+PZFI-g z=;Foyl4sAV{rPcQs9c5cf>Yw9F_^gv{H(2<@k@*NSV9r;hFO>L^@hRZ;NshY zy-WZP0;le9>qSXE-`BrtwP~)nqw63tbeNcT11`P4`3{2tmZ$MGO3AE*VhS4@2#N=>3x?XXMam%~-}Z6Hxu+adEX%hRECrYg7C-IDO4Hsr0t0Pt(V??Pzm;F9}NQWBv5}S2FN@QL_Ks zkpb~hl@Y%6R?<;vfNd}DX|>~gU&Hj+ZI|z-c|%R_PwU$^KtMM3bn?-6jlKn=*NrBY z*~6aXkijk ziK#!VbP)8VI;cmLw(-3@x2>7L?>t|1<-Kud8TPxT#Tb_V&*F1xLgVli z@=cmT#X;ta*r9CitZT4&A+wA5|GIlJHw#Z}KBC8U{b%nvByMm<_a53uLu>JMh4FK2 z@OCA0Ui>$G*)@kFw~FT#$h9VXGr7E168C@GyIA}ufGP9sSId=a zc+Mf$5Iu}#46~y_=9;Cpc7RfC)oC4ae|}dfS)#~Q917(8V_SB(HCtNoRnfj9D?9tW zJn7NJXrxcv+>ZO@_4gZDKgfQKaV_maz${jx?R%co|4D`+EDp1Qx23JG+R2cuVSI*9 z?BQND>E}|T`$XmY&W66kjWuVcc~(g>*%>hv1rp1^Y$hBZwC6mIs#bA(8V13m$5K zi~pL*r;lD1XYGQA-87WstZWKzO*BJhV)4xp9lRff==jo8F5e0U>SD{&HlT&_xc&0; z*Te5oW{3>;Bnf=Suglk4dwF2_gARWo&8wiN?wvhSqyzf?e# z#lYV4>yg^rui8 zxtn0f`e~`@>MygWXaL3m>J~DFMwr?a36rl!%pFkyCIGK5F4bBvQ^07-;RhOi(ZJ&?k6Xd62urE zMi7;h|EN#?if``r-uM*nDaa09))W88k>WI8yK{2HyEf`6NUFW&{two$|254KFh}6! zmgrdiYm=C;I_>$`QY^SC?41$`@k6eTfHGo|P{g>40Xd5@sAXjimztm%4LVrIA|%F< zEn?ClC&#(mmZtG{^dERN&6s;vYD592)~*Vew7E78GcYjCqPb>{W6{yK=hEL3h8V4> zWdk)7|19Mxj)?gkF@u>+Z~Ja!PH@1ka8D2JHMCzQ&pIL#u(Q@R*hQ;zurQ0#dOYhd za>)y=R&w{jDX28Ae*L)^LO1Qn4~=jxZtQx?*Y8jC`3qIr67l-_*!hwduzmVzQ$0Mz zpU=U^&gv#fQb>+TcBGGu0w>vF9@~6Y+)aX&=sbft9xm1GDQ%9yroqgs#x@5VD_zbL z9Kem2fbE+05+<1Tl6IZSxo3l)^Zt;^3&Ott-V=Newfkl>_nGmdh6!qi>~5m?3d1fF zUb&|rY$clF**ev2fB{DiD@#@*70a5S2=<2?Y$t@vmG7I>yN_NIxfI z5zKZ|NOgWwu~r4wGn~Tw-+ghIA;exl+o95hgDcX2T};oHE*NKY<&v%kF*2$%Wgz%Q zg|^==u2>=Hu^usP`m;BiPCrl{F%5O?0_22T-?9O2fYFKWGZzRo1EV#&xeut>22{Jm zsVHlSsOrd6D{8ytof0^}TO!D^?N4>AoBid|`s6wdi?6_>ItljXfHq4&r*WLEvu?_j z4-|>Y^s0zp=A?LtL*=|28=)gi;{?q&zSk=|GMKSy@+=xoa$Kw@LxuV(Hgx$4jAn6U z^F^REO%bLB+E^(zw2*!YEP8=*uc6cP;+sts5FuKuF*p8M=47RC0W))BZZ!<(b~BYg z0!~(09;#+W-|$NVc+AyXx;x4a|8)N5o`-ORc0dN zQj;2wYvA>#QUOjQL6O>yWt@f!1{;CJQT5=me z6Gz}yqAR4D-qRId)JZ{Z2~g-f}Pn!QRpq&bDS2}toV5oQ5*!*gtzn;J0zCeGuTR|nta31ownKr z3f)>Uf*dLk zYyA2AF(K@fv}4Ln1a-rP z!U)~cVgD&Ag>{0F<9TU|17jKfCnDPuG_rtF(N|?_`ENb_JjT$3p9g6zI~iDigC3_K zYQ@=4N|ev`AcAy{9!98HPqxM476xDa`zR6YDBDQvoBO{1J-eUTc@v!M!w?tRS{Jzm zboP)8+hXk_3hdua@@t%?PzGDcKW%{Mlt7dZu9vbSc~YKCYn$-aUq%`K@XA}0u;Mtq z$%ZwG4cN^gpIAyWlvHww)Gr~60B-5v3Zq7BVb!X$UR-QVdNQIHLGQ=0{KO_HW6f-! z1S_J_3cK>_LTQa4_RrWF6rqRHRy!OLD67)HF13;j+GzIDh+zA%Gr=GQobd2ZFMHQx z#^%ZeHIi&sVSepD3rZnkvMA(WYD?TDz=vj@@CM$p(}e9Z?B>C0b!e|-<8>N&X--_*)@y&qu#39~+JnV+Lx$J_ zIYAnpRQ{)}Ytb3#Qdb8BoS=PUUr-%Wc{w;p!%{EO7VkyJu{ip$Su{u3ET5I^k|z8m z7@-m!pZBT<*PZWI)xEyEOwG?tke*Fl(&V%Pyat#ob@N^Uh7~v&nGUHRHNjQeh$uI1jM~Mv&B6LppKQgBs20dL@0(**WVQ;SN-Do}E(!ZzpSWq7ypJEKYNOWn{alwQD~Pi{4>Eyh4~(5`+fxN|;K3%4QhBsjVwB7IB`VEHosUUAt?_QHB+mBN^*z+t<9ho z4P@~`uPkhu!5axw%}D4!O$gj&Qhegl&sw$X5nzw$`9V#9jQ5`-^5JNb$QuT)Q6<{u264ruXJz2R| zwpT_{;KWcFjK47wmv98G$jHB`x$Om0cyTN$U;8ZyZIL^&~=PrH;MbXEqnX&@pEI~x3Z*UuVR?6Wft;Qtko}QlQ8`D zCQ7OUIsm`>(pc#H4E5==T`Spj6$}NhH7T4LX=)AoQ>y1K6bgK@?U48<*lC*3bn}=v zGThZFVt_Xe|2@Zj1DvQdwr1OZ8>oOGTf9Y9U5sW_{KqYlK9cy`V7*|${IZ!Sm9I@x zXbQq94_w!bhhcel*huCiakMpa=)-YQU#q__;M(Bx+2ONCi9{tCe2y9Gcn~Gx7-BP! zt??L}i@>{mcC-LE5LOrmw=r7SsAF_Rd9?4urnt~aNVOYt#!!q9yafb>MtPy#kZ5z=H}Ez}FY5qd?S7z{`Zd4jBl(vF>3nLPlH?qYM}7mPq6Z$b&s z(gt|?xj?6~rUh>emUk0uEQf zJS&y6Whd4~p9XM0Lwz})Ge?oAG?lXuD^m$0d61U!%Kd%%AnIYcjS%pYUB}+*Y*@uz zk>Eg{_mE=0Qd{|q$4tY-%rLpYTU)@DOQ+$Qa$h$_j%`1Ln9FqSdaGE9_{8=bs4g(3 z=d?$JTp>jwXee$D5Up@5xO(?I+7`5?p8R%#yR$7wgwUA0>t!5b(~-(r1GEqH8FS!} z+TFYRTV%eot#bHTQ12ussz@SzNPrE^tK?^Bok4(&6PB)XpBYc3sCGmxe8I38fZ?&; zMoKOsleUNWu!fW|K*nVZDL{7e61WtUCnp)tzG=L^EG!YO)TUySmc6Ee&J%lR=~HRZ z4v#9OD{E5GlYJ1d@Xdl^EBAm(dYVn!;+be)kz^u!!v)0s>K1f}j5nuG)34WQv#fh% zly5yYwyFz|*K885>h^wy1pGJ%w5M)Gt$yc*v)n~nk00OMBMd}ckTag-uH?(i)5Z{Q z)Y@h?)c}*FyYPI-K_1Xp|E9~7qP{K&^AliW^f;PK5C6(_7axh%3Mjm)3tfS#uyvP* zLO4^XhMG|g$cl-Mal%xb>pKj zsCFe?Lwj6+jPfvebSJ_YFMEIu7B#q|)5qPNgiTJ%DX-a+A_aY=4kxJ*sm2`U`43WX ztZ8cD{SwK*l@fclleql*{`>Mz-eT$hQ-K(SJc`kG6Yo3rI{qb2INvBh_6fJI6x!jZ zw&B00L1Tivmk8u2evm<6q~1Qr2-^zxRZu%8PwcR+NfMv^U2rgkKL&d!x0zaPdk?aN z6JQdTTZ(Bv1^b^lr2Y48#&=4<2ZQeFjAfePv`@ny1knA&DU$ZAlNLLuWb>0%_jMlO{UvM-aUzk`x7RTZF>vPzbh!3^Ua0V6wme)OB)15zA_m zI3Uxhq85P7Ri>b%lG*YaPfjc?@gIMlNTG8bCz^6YgDU}FHKE8jXcI0yp^F_S$s7x^ z>(+P0)iqqZ5ex8a=Mj~%V;h0G)Cx=63>b>c^bVRSr^x5Oy#jEVZ=Nj${5#)ec3$;< zP9jK**9x_CNZI1~QdtM$*3{Q3nQ@&XlgGg*do<)`)Hs_V7ZV`*VfxQnfu!`VM+S$| zC0#S2_qNG$GN;^@SLiWY<_@*RU(AJ=#?-07SR&=)Hyyh4+0-s*=_7A}CqUE*7AWFO z0Zl3lDPSFq9d1_Qf`I~bfH)C^qeN%}C$~L=N`ed+qD5OtQmUxJaOSP)ENHVI~{q-;**>1Ij3Wx z5g>zc=J=UT@Voi;kl;wV&-JA4Usou%0O|?Gm_SmDzHlx?8}h;oQ=E`59A82NwW99V z;N{tnQq8P;jXr4Exiq9GuYX!(F1iz;o*nAt;3U@!DI^^YlWAm=THJojzh?)CkgX5{ z(enJ3`VtQ8-#1|6e;sYA*GsQav5)pHc-7i6F_U|R)A%G8mN~2T0Qwlpe0HXook>f* zPMQkaE*jqo8j$A0{Jh=QzP{F}qoV?p8uH--WH6R(0jI#0Y-%*7c3b%5#x%g13R+y;P<0ihMQ@VRo2wiLYZ% zS!Hb*wp$p{eT1SiU^?)oSy!M9tU<0}QdUH6TUQ2g;TI#~lAnoB4ZURvmgWmH$R(he zy6QOH-wQ$#KxPQ_~u4_}4|U5O-) zwn3#o?_A5DtenKJcajnw;wZ&wUdP)>*y&1>Rz$ZJG08 z-+coaYX%Mai{wC#SL4k3dKT5>w`U;9ae1Kjqkg{U+NMqcesoa%EYVyi5(aK)>++g{ z9L=KP)XP~7L#cjW@V~ZXvbTLuMJLJq@abTP;w5YNMyUSVA}~c4a^eM0ROC%nTRNO8 z1DG+dIBmW*xKXbk4_ylW+Yr%LS*ik*lT&6(UqS0s>sJ7=-&DgYVEQ~q8TZP%STn!h)Z|}QkNcL zRK1TX+sOPPr(g$&zFmE`+D**_~H2Cbu|ES;|5G72&kejo!S7SiEe zMW&fWO;v4tp5Gl+ds%%EH7~Tkje2+3!i)XcDp~AWijj6d`=;t%lNb-O2cMS9$y}92 z229N>3WAuh5i!a)qzD zw0sAe-EYDeGd-F6LZ#1+V5tFC!8L1Q@VaI=Wp|L&hF31FNkq;3z+&!tZ(zJHB%cnZ zP7(Y{7rsQ5WX2hh)M$m?Kp~~h;53|ZTs?LX>4S>g`((r=rHG@|=f%R9wQUFI;JO|# z-Rc)LN|B5CW3Wmu4PG_uls>Oz5MoVweLP(NG-FH9@o%@Dtm;dL@BaMPZ-!r8wMxBP zZZU6C-F0%zn3dEJM9Ma9t7s5lj0oE8z_r7_S3!M{%s+&09SyHjJUMx?S`O1xGH|IZ zb*-SA3}-t*fDzoqvu#Y_w$N1G!G8xY=7VlSh?LWk0yN!jVo7Pc*wV4L2`9^3m(dRk z5F!BL!Zn+^)*2q=6OywE@O25cr7nRF}Y)}XrzTEuKNgYg$_{i2{rvb;`mjZ7v#VO-$% z4!|^vDVjN*F(|^W4)E-oiE7XJVPE5ypU3a0GBsJB8w8QbGHA61oFbp#aJH9OTeUM< zTEOLNV&|so+pDrB*-E-dUd|w)i4W%S0%dN;Z1fm@A8#}0^1ZB%AP=ejd8*3`t5Q|i zzx?G>q9Y=NTTI|oKm)v^~^Ip(D&ok_q~}Q zO0q-sVodvIgex!Fwr_S(!Sullo^_a|#x`9l^B=W(1W{<3SamPovpIz63rHL%^*SBj z7Xb}9e5ey2O*?@m5{Y)a_8)|SD}7gq;7rTCwQ>8l(aafOcicr#2?Erv~m2Grc3GG5nua+%OnMr8t`Sc; zs>ARP%RfZ6=GUxP2oOr~F~^3zbr|KSw`fad6XnMriyON@JFTVrf+S4{Gvi>GEKB3u zXiN}}94(ut_Yq+tk8)D(axRvFL?;9f^M82q;axZTvoqtIq4}u6L5+xtvCu-zf;B)= zFi22FVDL$zAYlPwPz%MxSRincYLkd8#&5hgb1%KSYk+~s>!ug}(^=N#+@ANxErS>B z?XPeCn}_6U#4OSB-@b9G#`KZvp_yvR32wn!Gr@q+Qgl++X{#tL19O7RqihHQi6BGw zX;$w~kfSvi#d1OS&Iz>*>-y6c&W zE)MS5xF({|=?c1mSY$y5%<@jA4dr~@=NrSv@BEw#Gj>g&F)fk@Vuz-c4R1-f#7-Df z&}`urO(^A{O>_w+q{tbxF8w7Iv~pPd#JvVIw20gWJS@PVZpbkr_GxIA>NT5k(0jDF z)fCtyI@kwWe=tll6bLCX_ti4d(h6Xc&b>dNT_6hZ-hM@aEqbqBqCuUcsolXmif_#A zR>`S%P~q8}=e8yXV$H27eI8-Ml#Y`q$UfH?b%~fhoQuizA%)j35~o|B7k+{=~$6~NG=1ba)9NQ?l#x<$TS=Q2VKGQ}29 zB3oAJU)P#vyWch{X43^^4t*RsOu2`Dm14XR9t~W+IL8Jyq7gEpl0^C#pC_P?13V=w z*PrBYCl)fz#Z3lu7&?6%R2x5I#gig9o{ZZ3<^vN{UB}9V_E8=+^x(=|k|7KJ_Jf5ZYrw%fQh3yy5p1JtsG7CiV(={vPe#7#2a5^j>&Q zp6;L5-FdA4o$;aM`{w_T-VJT(@5Bq^<@g?I99Rt8WWi%Fbv3iTBFL@b{3LMyX#*|T z6*=qX#ZJ>fDtXvEcLkjQxjCfz`71t9VkrkJ&}JYt?{){Ai0R-sb|#%(ny{)#POn1^ z;s~sC2Fb-7+F}cZ&<2f*4!8Nvy$JWo+~-!`N5IcXztFj`#wBK~%~E!L?n1ZqmUrnR zJ}~5VPO{#4<`O;$ny5{X5FCOOK0hFyi5DqH9KR8AS%tcvpeloK$i5f=HSCTfv@3;0 z7eIWpo;k9@yW9&d5F^ITB(>PHuvI@2Q2`S=1ae3VrXfja*88W{MOurrjD_dAwta9O#dpdsQ8p4PyTJpt|AOTy3 zO(lVEFfHo`UJd>K{Br-3IHTl0B8#V)oCMmY;-#W+qBgdsAQD@}sPhT+;8lSRU)CW2 zCS4GCmz69v%FDXUK+)ZBPbriTgOe3+P?qww?HB|U$|W3e4938lEZ%gV+WXUP5NdgE z&|ApOgJ^c)bl)O+&x`b5z8ROY#kxAZfkX8R*GZiRbImsqLiol$ z$+FM&+5q=2&7`_s*mT+rgp+PQwwY#FaXt{4wqVvJdMNId5Lb-rf&IVFJf|1H^&{CK z1F~L!a>otA%{Mh-FM!+gS!+zX{>pZls{T^Euw=N8z5xCWCTCT`hINt7R^%N>%?RhM zdt%E%r!G%-gT|hnu0*$ICu=}mB_RBwxIqbO_cYwLqS>r@fz!OKu=ZFo5)W`@;P7MJ zx2ALdbWdFDyEW-M1!XxAK&3<(^_9B9D9D4M+HQDpgV;bpE?>=O6?;DVk|Oo7cIt0F zj7J91xP3EKKp!8?_=ER?CKG^sBB1HT2WB;<$M~KiI9s0N4<-FxDwz&JW>{x6209t) zjKRV~j|DG{gQ})2wRuO}L7a*`z^`IxJq93Zc7+@yC4Lshw`l~BNG;CXvnAP(K*PcM zRnM`lNeN7m2~E|qtgK7x31Bz%K(Q@x#HFgYnZEq%Y#!|3^X9*@3U-y(T`hXPRPd^6(J1?z|4%`2Lei z(W8@}9z$Pfg)CWxVBQU!p_YiEYNVf>G>jOBG)0~^0*%_B45@~Vh7n(jR`b7_BF>xW z#4fQq+!A?j=n)^)t<`gUh@=+jB#=F+d7kUU77Y}&RdNKUFVQwK)AL&gITRE^=3aiE z1cLOpcbo_9>?d2Z8FqO}ZmFdo_Pwl)C`?-}ufrnsE;v4`$-0pTZehyV{60&5ptdpg z&27}jU1RRx+?B98VMs1fftXKvm&mTE5^JbPwhe5qB&caIvs6rgInu5Ent~M*>mpvk znR1yGt&MVC zH{T0sl}8yL)U2bs%`{+AA}5@Xb`s@W{Wvl@q88U6Gk3MPJZPaARnnS&OA~M-5E)yG z4L^6*2~BnQ8jh{e^o4DwThk32N<3M={3m@YbK^>{U;_0`Ynaqh2+4m>RxPI|<`8;W z1-ZMxqgjv12jdoqvj$OVc0$5z<0Z5&xhJNq#ln5jdMQ3ijfP;jG5B1G&Q=bnIEiQh@pTc*``TZfeSn7ehUHa;V@(JH}+!9^$yqJsQ zNGG+~C9^mSu#lPWMAdO9U4I|#yWi}4_gkUwN7!8K_m@PZKs1a<6HSM$0czZ$?RN_^>7^OLK@2++Q4de#4NB z9O?BBj6;#%FKC8g3kt0EbOj|id47WYSYLkovO^uv80HHt2=p}_xy;ZYKFFJ4Q^ihf zcI+DvdQ!vk2bJ*Wb$axxb2HgYj~H}VcOJ7*hwnQ7Bf5MhG~JU-B$SBDSQ}?vKS4^| z55KiTt;*o3L5IM-#u(vkQQY>6y3CL%(9OO?yEIW3hgVqy`jB12o}uZ?_o?N9 zk|i8h9jolgx2@lu;uY|k7J1l|<@$GwEabzn@}visP@cU{wB$(ytkp3`wE)XQ=ywM$ zfS%5dy@~n+snD*c+^EvF0ZwD~yg#pg>h3?fYXd;3MW$T6zNwPBTZ}{$rVnyEksdc^ z*lKHM0o**M=Z?5e z2sWQZ3nFg{)t5Rk_X%INza_WQ)+*=TRZhYSa_pJ(FzMT^zI^-eA(17P1P479wk8blDjX_{pP8=UlF=UvY zIhntRxmJ?kgrtzMGotw)l!;XEbHmqYCN9gqq;fXcX!S<4g{dmKb_t*+)G=!t%-LxT zUXUB>D`uO4tYfru!*;@TgIHbj<89#vVmvqu(y|~yOQuML$`vm$ZcIQcJ6SoD-=49k z{%tio`N^RO3;f7XgOJgltYmA8R3R)99A5k>J0-kpcBcxTXfa`tIhv}<9ng_F5afwK%TokrRIsn5aZMkMV_5U`(n;r z=sI!~aGioSk*0(?5QeW1R%FeC#!tFUu@`s6$Hrv^6FcnK>m=a;wz-xtp@0$!S8WZG zF$oEWvX8?g0xWJsLtKnRL&A-v_Y(ucEW&o!aUf_RxX;?YY2T6}h95;T`4`t9<-4|C zuZ{oa`I~guW1<^=+2J~c5c%17?r?w%$N;1RZ5iS;S9~57jnQ7!iX$P#}8ZMS| zHExxMcXlj_mp5KXIZ1O*;g)S7!)~4V&i7i5Gr&DlzFVn5z6LCujw``$ZQExT;G{_H7Rj@n+WfWXQsPrTx= zlxErZe7bXEwwi3_kTRf>utJkIFyK~Y(-8W`)zSWOm6N`FFh>aOd;aI~cFCU@YLCs^ zdWqL3)`}h3r~o1RN>u(~9f(@6iBU^wAQ(LXt8%{ejmQTw+G9rB}RIP^Yx zFg_zO!E?Autja0tFZUucGIVT@g5YI;&SWl9C?lP}u3f+(z%VI{S z3+|bvngNj^7ZR7{Z~lwt^w4?qTZC4!>V=Or-4agBZx#F(3Gyt{c(?pC&Rx7ma}qr= z8~b7b<^ZCR{;dseWVw zd9GrZw^2OKR{zF}46~#Bf@GBpW*Q4 zUWQ^fLMSfJzEqERqF#)(uRO;brJG|eF7A@tSW;Xt15{>GQb z=~ zyEWhT;AV+({WM>?xmg4X#v+g2CUFOH%Q%GQwFe6+?~Y;5u6AeF%76a%NA}DA>8StceZ^+-sO?i^NHdK3Hvk``q;q4wAC#Kac z_oJdP)N)h-o8Qr}F)rk&*#N)UMI$G+);zcc@_nG3=i+PHi91K@*Y$;tMTd`Gv(Qo5I^2*Q5zsby1fywMe>gO=m764+;hn z{`hOslBuD<22$ZTFkP`Yf!^Ub9v0Fz!2Ps9nF(+}(`Ek05`L?v;(aBXA*(?<9_rp) zz$}GfN-VhQ2<|K(XXL^9$p>~q!U7k9TdKE9*biyg1Gc;}MR3Fps@XOfyf|~2F#g&v z*^1PM8+KCaznsHnHc#$`GbTn+{5fH$P<07L>@Cp4ZF!YS8I3vjbTTEzjY3StKVN{Q zK*JdtBth@{+3gX(&XT*7iT}?x(t@oAS`F=gI(;G(QVux zSWq~d1RpK&Buitm4i4iw7vhqM--J(&ZCNN;ka_f&St*q|?n4FqyJJ|qC%}#ybu90bS?a`Zy&;fcniPY5BqRat;eIrMTYrk%Fiq>Q}Hw zA5XD4?N27~=3MvJL+^)z__JQ0+wSNzseYJrXSM*MM{ zXZEtOvTU|>{Lk~!Q!c#vRkyS_tz1l;MM7IsS_Ad`jZs74N;kV_>A&zZOJNCts|x9p^ZKsfxu*-d?VELWfL#>OnJYO!8jD$-`VJXb2oetPszEzG75=93LHHIP!`tN1Cm z8_uZWFVDyPuC!mP034<|&Z}JN_d?XR@=U%d?9+|vn_dWOYeJ=&j`O1NNx81tS zP$RY=G{QOYfgs7_nd=ya95iDOZVAC{!zf3D5t8Fn5hjDrL@yhO%~PBh!sI@^j8;?( z=_0b^k4uKBIIJ=6ydHHWx*+8omp|E!{f5m(-IJh_WmZp390RL4hhl{aHZM@Y4qi6$ zzxe7r1ha%PPE~@<)F_Ui>wr8__2iDPl263p~WnL)4K!ZqyM!-`85@ViS^i&Q<1_8bkb(dVAU zGL_M_p4l4+w`2pG|#q0#*tMsaJi zT+=#v4O4{in(LwwUvbMoPpFN_yXbngfMB{6G)qz4r<4;78)IFYMS`^TVW7*FQE zU^KiMy!{YbkYcZ|}J%o=Mh$c$l4bV@e>zel6Bb9Uoh?YEM(z*|A( zBJcTBuY_IYvXg^i$?^QHwc5BQnjJ}ky-N*s7rnjd8J#H`k zN9MWTp}sz`C4busL%6Ioo>EyL@aIKKlox${G1hf#DHrMcdG|KX;PEGXb1e_D?yr}N z=)atX^UeiaBK7rmx@SkFJ8OY$KNee#~dW-dIzWt0`O##+&8jOg{4dh`;8< z8>lF}H5#MS!5h)4mRgEURNo)CO!=}If45kVIor%tWRd;3E@ODPK%(5`fLA+6<*983 zBhcOc(`@2R&F{%U=Hs&vq!pX0*vbu+*4sb|2-8Tl+$4z4w^zT&alrX{N_lK#W10?1 zkyG{;(XQ*L-0OYEwGxIMdk7R5L=ma$o)!lc9Qvj?Mf&aE#Q2TxwBq}YRiLo3i4^rb zI?tP-Gz5LAgvJH=B86;CFkY^PtyxMvFJS)r73LJg)oe=zF`dR0z+MJpb z;G4_mUEsb$%n_x!t(`zHNQ&_^(~-IoJDqH>unFU800!IR7!%i{)}ZhL1%*$tcpwTxe2Ny zXYgz0Uyq7Rx^6AzT6?;opfwF9dv9bJGO1xPCB-2+qO@>f1JC8sv4s%_O5rW zHRm&N6!R9XHdaeD_3f3~(7k&Q%SH2lK9tK@+QaDgzERn6lmBvZYG?}7wT{ze9fevb zl_tN5iTo+*lq6;L6VdjG)wcu%NgpB>n$*TgmTB>?mq*yn1AMflN4NaL4nq>>FuPAT z^}ntpxl3KnJu|@R;cD6(s1oyL5{Zo#KrPk(N~Mjl(d4y1R1=z1>lR*28Yp3@LJ2RH z{ltbt%*jpZILa6#b;=eZIaRZ1DS|ox`CrE45~f3%jZ$ZD_%!qslM_1+n=zIT!B$ z661B*D_5>LDSh zszG)+epzU9`lnEKR4nKTU1Rul%{6=a2~4L?;J)wJ`}LSSMWNCaHj`8MoiMt%q=h*j zu7a*zhVmyaSDQ$g9ssmf_6tVH9$FR&->J}hFgzn`cTZSBM_3>EgcV(%06dS6}g4HQ;5d4 zW4;*;(Py2j{9D5Co(MR?v<`DjC~+at$dv=H+(W;npfNAj{&$%0Ym49oQvXT!8X8gl z7`;8@*imtkN=pE=9-pRmg?^q%45^H*RTGJqQin}mt0>yOcM}G`eu0UnR?A9CK&|j+ zfRA#%@E=HNdE>$ndYN%}E@n?J9vxH}tt9?Fz(AP978hg{p4%+1sh-gJH}VFsLnVO5 zKD3^&Uk;PE7kW{grc{oOW|jVf_l~!X6iMB{Poy!*Pwhs}UF!Bm=ML;&Ru-P@+@xxF z$Z3j)g0*G=G4;By$V3ImCfQNL85RX(QDTr{}Ze4f~0}R=#KcqNU5xB#k zHqRN(?ffPQW(C|{UV#czMR|E&eVm9rodimi_``y%GPVs!DQJb#Y-c?871*tc-FzAx zpS8;W4~YIzVAyWwodo^KMT^zmH#VoM+WOP>pJXGNb{X&5TR6j~Llyqv@+SuV0+Uh@ z6c|SSL$L^ysLex=2AzhE)xrZeSr2SQ54|k$3&%Sm@O5PV!X49Tb;GCJ?20}NkfI>L zQ<4C|)BEoIgs-)868(JkHq3FLJRp`JByc&qbNYKRHsxQDvmKpNicka^*pd-D(Ihkm z%1^j1jwXLJGm3R*1XqzRqT@LxY1HZ_TncFVKE;EJ^q7X3AC`f9rxr?V zpj^Z8sR%Z5;WQAp2fHV2Ru@<{q?*3Yw3bgn%C7tJ3X*D6Jk($G3x6SV`9IPl2--AT zTE>o0FFu*_oPIP%fd1sAi^o<6d3hzA{jCE(W`^7Ipt&+?ORe;+ULt+d0W;T>lSA)#bxbxBP80=uBh z|C4+^%Rp9BQ{~T@A=g^NImR}9oCjrA?Jk=#@E`KxXFz^(7a%dY0Mraqnp&Q!5hvFQ z+t<{*8bGPvRC1)O1jYv(fL0v|g1}g6Dx`y=53ysScFCS;E&hmcoJ<=k13=TG`U@m> zIluhJj;;qbeG#}-Z4IP?Z4lVetzrw`&uTd}P%XTW_tvm!)xehZoo|M7QaFH2b?jqA6pgv8NB(NS*rSG`-79d!3|I^b>hZDh+6UR z`(AA@c?s!!@TGD^`SeuuXhvxh^T-BCkM(r+uN&CL)&_cjIt14!1|}UR@b?wZ@11_o zXtr67ZE7G>5}Iv%LTp{s8XHF!>nlbnIR3*2s!Z=;g66NJ#{OzaExvvIG<&VLTty%i%gi(C@ucV)z6^w12@|iqmrF7A zx*kCwH8T9^OU`cA<6$$`e<#e?pBVZj<ywiXt{D1Mh zw=cbS#r%4MP4hoS^X;1p_E(Uf01?jrH%f{05bg`}{mX9;<= zHur0{mp)iw1H0D`M~3l(JOf69w93>h;uQot;WdWwNxiJE-OR-XJPQP$Q;M#avMS>$j$L(k-$!YE+sG$|BQnv!Uj(6g_q7*_?mlRyW4y$Xh$FA z?%3EKAkcG;dlVAh0YluXWGo4vRZsYJqti!v!r@a-WmTY zPf$NT&SUv8Nf>R^pnw+(9DW6YjW?9bm#&P!Lkb7I`-HDEPr?Fk4ta#vW#Uz@M5~@odQ9rWCQ+E$iPoi zdg45MP|Fus@xrgl4fnH5WJBo3dh0~<>s@Kp z3gAMf$X;A-G_B-?d%-LNNGI*i39G_^q9HSRF^dU^`Sou_hMvQ}QID(evsd+m54CyO z_(w{Nfa)8BG>B4FI8S!P-L9z# z3bk>!ktx%LypUooQiuHj)oNM$3#*V^)$9YKJsz3tJLMHwNf!YZ>^FRrP-YfZUiat} zQ6Xm7ru`-+zOA3s`8dnj_a-52mgV59QVu;l#Ka@ zz1>i_!~;-t%^XjiScbCguxBP+7E?_y zG0B=1tx-@W4%|xXADamVJb8(;-Ze6|ciz}~AD5UI)Hl|&rc+I7WRT4DD6ouxX>kN_ z7?-8n3z3$+z=#m!35X^MLn%$72@w>w3*c2H{J@dT!gs1NGX|-y;~`mw%vt_|;?!P$KC6?M zB`sh$AbhUkPj3JsGSe<(S1?8OMnjP~`sYg-jLt|nG12mDMl0WW%y1qE@k=Rg`+NFp(DzjY7=P)^ zI6|vug?_+BAr7+9vFTBEbj2bJ5n3!QDm-w|(kfu6G8>wYY&IJ@oxZ3WVhZR*xJ{2n zpbZ*loC_?EWPPvLBvTeiUHr=7fcx)*oStGnp8(qtYbjxC@A_P^s3*Cbmj6Qv4Y6XF zVEqs0h<9N*v;=NY_p%|>mX3OkeOHE=4;nKTZ-2iOoRMr~)L;9`7@66UD9%Bsian+5 zRi#_55e)Vd7vP@hd}w=ZGZS*Hl$W#rR8!(z@~b^le23h-Ao^!wXu?7 zH5WuO1&h&6_LENW>%qqsTZiK%RgOXo-(^Q`_BF!ef4UNki!J<3;_TZ;q}PIr znh99?&V;k8QS+b=>)Pqx|F9#UGV-w5J>En1I0*>5I>nc;hwrARCRdUbd4)4Xg?gSw zjJ&vP6V8CpJ5K*eyFS!z5Kem8_Fh%*egXg**HMND}pT=fbH2~V-! zoK~|5;FbHi;7Phl#=DY-SSSmv+E#EifZ**C**Hm^pe5BLp$#$bJNXiZJ0^YJ=Ncb? zttcu(bb-f4iR?I6&Y=v|Q1YQ8<|u(czA1W3y*P-bKTl9f1(`4$u3k1AUZWZ9ecQ$` z^^=;VkH^2qw|cxq#6DNeg>YoSHryMyaA{VTj3t;`1-ivYP|DRJY3!%}j4E)?;bS`h z^w!k~VcQ515C3aKdu3F9-0h-C(k$Q)iM+vZ^RS);2`+CTM$((!`?ZyJ2K+B5kfsCz z003=LoD28gEVnGX8&JBAZpr9i{7*-f7MpHC<)F5 zBg{{2hezzkZD5}Is+q+#%*yW)cw@+AcAj4R=`x989J+nCrT-BbgUHzpK~k+Gv(>Zv zL1>Qr%}XJqG!kUy5UpjJgJ4M>ZePb%*}6vqQE-YNnGn|qW9CFzaEe^6?r&RXBv+9b zi4g3Bg}@8us5NNT2Lu^3=js{7RRy>S?$?WZ15O~^&-|$RnRDwOY0h_AM1Q5U3i)aZ zkaccK>I#*P*DI8{LShPg>pwv@j*6}!pgYM|4AlMe$`_TsZk>DVma#sJ8tE~hHl!xEXfdTGpY@jZN83JWq#C7_zux$h z&QBe`x*4FeL^Y9_aAsjzF7fWw z|CAL#I*Fh$qAx;)6oeO2y{uf861UnXiHB#6N>bNvm;@S@wiE~Z!>C#yCGN__sM}kM za`dbJ>L1#`9gtCnT0WKwz^@!Epk`Xkw zcpZ3=u35p=Dam@t_g-sKl!YgsJZbw7g6XwnPA6G=9LH0Ko;2f@DD8!VWtZd@wU5Bf zuL#})xP%XZ6P}%iOidxLwg=`Z+T==(B#fC!K!YiQYJJIDA=xVusXW}Ksjkr???qUxpKgHM4C@(q0 zT)}w|T`b|0<v?y^2^ss$6}-j#2S#9$j=J9`KQkf~g1&TP zpOiZ(jF<`O8tAn_e7U_===Cdm2*QM+djc?$tuc&xJtWJ` zHZsKbW+-U_AMFGm3)m!s4 zLYWqWQ}S0z3BZ{~`#%{B05CyakHPSyj42vI3{17#QREJ=Y0MA}Awv|Iv#}J`-ho#XJt2|qQ?{tCe?n3#gbpFaW=H$PPSJFSf{@(B1o0uT zGTSb_LyBGLHz0qbz=twkYfyid=;Lpb%ax}YwR%S}`Vj;uWC-Nk_230d9HkNdIaBL) zLwqvSV^+tL^nDNQ!&G%e)Zz8S!4urN~XTBcgsU(Yb^d{`r?2-oMB6=%z^ zB5L_yg!%N@{nWn;C=<|770%eGpYlBs7Szzv)d=#z&&9-fBZ4c}yXS60KV@bFw8Y7( z;ZZ&CteuQ0XlvG$%-AlAOc(M*o}f0-Q`3RSX^Ewxdtw(xvm5R9jCnB9JW2LcackBo zEu#k(ne3?1+;Fn?s;gaeJf^EmDO`-uJnfKE@$|l7mpltQ#JqN1roUc^YT0)3JVlB% zcf>6>l&gCcSXEdp#)2l*6b?_rf0|56uq3t6xP5!7G9JIb{?D(10^JXwfcbt_+ZYno zZwU0^Ab8tMd(xHGaaG@AF7h$EbAZ0KA^+#O{rxiasSlkQ9vx0+VqLztBUF`!2v&rI zGrHjR%4_Gc~5}IS)EvmMw+W( z*`-D$`yg7dNAWfYIuN1*3+XwScln4nIJ&7IpHq`2_`L#`8PA=sjOTRbc*{-0V9di^ za2=^U-9o=H{mp(Ng#SfFuI*CHBIfi`y5%SyBCeDmIh!!T@THj0d3d|btg0S^f~|p4 zd(N4O`unsg8zJi0204l)BQ0a@`}nz({g895?2YKI! z1v3c?3y2Ma`0mfw_X!JQ*uKprA8Hqws(k(qTlRfjFVNS6p5SG%aWYGlJDo_AIQVVY z3y@SaHp1KpFi{dzG|*|>vR*fx%%aDdNW(oS$v_u_=U(5pALm3UH;Ae8jmqU*ArFm~ z>*LSMUDCpxTpmf~l#9Yr0~fkpt)vt~6?hz7qrkdv-cBEySLe+wQWmELxF0c2WtM?m zAFLcGRJ?^Grmif58Ina52uAe+SRAJHC8V?IEyN&NF|ioRKAD?gyW2pBKPiOYgi7tB zPqeDYD5CzQZxow0VEtwLKE5#=%WFSDYAs`Wk$RJRXQNxrs`^4fOCYAv{v3u1H+-BQ zN2rL=UtZ^s8V-te_l2*po&Ihky4Qg^`**l*7lX8*|1Kl&uH5~?WXimSo+k~w`s`)D z*lfn6SrXXpAI*sXB<@(Mv_mMkUeMVQEm*DqZpvO&@4welHGHT5u6$AX?%A}~OmoD2 zVAw&n4J|kk+OyWKi=Ya0CLj%gQhDE*Z-}7!RDn*D0jJtt-8qC~;II~dlO|6CHJ)Ch z3;dgNOP=VL0a>f~OTq){aaoyOMRB_k^A;8*K?nZZXbi$i&oKXKZp{`2Qg0s5v1^K^ z)i2{!qL?SXU!rXenD^ZxYaBm*HDd(@4gB_}tL01XiR$7`K0>w2MPucYDCjhbo-~U- z;5`e_L%7klmEG6=1crF{8Hhjf@(+W+(_Q?aSbcLmo*sn^2zJ&6gSU-;5!itTyFf0@ zJ&GCrT5?o!?#y(a|3-WqjJqc^okV}rS)*Y5LE)v6SL%&IzdmA66Z$89XZvL}NcWtB zor^Y@A>`8|>=2{KmwbQ7uX%1AxRo$$f`~9W&w&A-MQwdbl?h7 z<^>|ND;*NYM!Er)2wlqLR4{UdP~7$di8`8gP_$D;u*S?43t>l!b|;j> zJ6OGsi#k{&_Ph)#v6zQ4W(!_JlbBN9tCm8q#3nM8&@khOic2y28QG_q|G_FT@ZK!k zLlqYh0b@P_Ly9B=1zXr!C6;2p=hVfU-dH!Wk>=nnIXdoZR z5^R<*YekFcZLv|}DA=F~p?xowKnaBn>evM(Ya(B$9lZtSmTF-xpaWC*^;aGSA|>KQ zz*>4hE<!{f;iYp6wLJD!wp17DFY7@A|n3bb5bIGP~LU3LXcs*L~z5*4(FXAYO zvgN|n*u4uKTLYr+EDE*d5{vM^@!5k!5Ev9Iy;425(N$dX{3ZIupQF&Cz8|Tx<)jw*0&4hmI7_{5se;ljx=`b&pqK*E z!p&s3irddIzQ^V4D)z7sKihsdai|TBB6qGU5_&p#*^Lg83j9Sl@vb#bvq|z)+;b8` zsh0vci)?P8L$y}^$w^(WLhmj0kj9W@77LH)Z^Oiqg)MjF z3x0NBsc7aUIMp7l0D(@_a=(1}pTjYCnX>US8nQ(taFS0}_!zn-dYalqV+k2O^^~R~ zg_tcj@=3IbpOt9STVATmP|5^~nG`NIB$IM=vfnf-K z`igMGF~C!05#D@0=YMU;EJ?%%I1O(tQ8|!TKklG@6N3@Iab&&74Q`Q$V;T(VW#yKp z-|3eCRXHSrN>28gBJ!D52fcAGl5+N0Lmp?Gs+Fq06B7ci0Ou7sCS%RO9MuU!?&e3M z=4BD2&Dzl0GF`4GIPd(2Rx({B3yxH2&-N8V%=qx2!oA&yR0>4bV#41K#??HsoFcqC z76eA$u-h-yh+j^m^9zZM$;}VhAfTH&Nmik5Ou0L24JKMxC4ViZv5c+jfo0Sb&4((6_jm9$j}f|qJpb})Z>|5^lqo-I^0!C>eY*Ee$eXc5-)nYo4$UT_A& zs4I0$w=_2XHmX}Vn8KIUM}V~|f#r3ELPEs)VBluK(0(_xvibuysZw|al!G0|VR&Q%3A!*GPf%*fu}hUuZKx^5d)pZqg^mghK#Fa=q9GulVnwE5`H57%R@!DFB6G zVEdPpb_M1#cvWX_Qs6xY^&KTf4H$@N-(AORHb}NV&}BDYecRu3zPGOOMe;}YF=sk0 z6vB!i)h5=neLd^VSqwbRB_w$RltO;;;L4Wg$^91#*yZ7(MVF+R zuqC{68o&Cs@~$tUi9KCv#dWIJu$-O+G^?O}X8KU0S6aWn^(*gVGY3k_P$QI)U{<0K zV~X0))gd!qK?rf{z5+Sbysb5}N~Tt1nM}HOGN21Nj6zBrkzT4D?G~P~PmTNKezb^e zgKYLr+K+WRVtU)Fx`n-k%K_@glEJ{36j=H+RR ze36sMw{uhKMo@n|YuGy6eyoR;3R9CXb8v)JmR3~KaI3^YJ7K#BAK~tV<$`(Hka?-s zL@@r+zQHfM=HCO68-xyevFG+MB>!M_?=C$IYxw7f;kj$+mJ4Sva(vv7@IxE)J#~AC z$eI7@Jj%cyKb_uJfK2=|7lCNb;-forBwYcLs+=zC!CnH6d3)0z%Rkw;7TD4HLVUkD z=$Jd*ed_6ZN3aIwoxT;hgjq)nN3r&PLE2$u$3(3U=8V7M4h3i~9K19&hEyR4l^7rb zDseOJ3-(4n_*z46C9C}foqB7EN~!Of)-KSSQ}lAgz-GL;7^YH7q>Ua946yIiOU#ro5` zd(w<-JqIlNkM^)eP`QgHsDVqVoLZ#Lz`hOUnV%hQ(1FSmZJ)>2?Qga?^hIjXbq)s| zLvA2+RCnY=tkE&_VqR-l+c!x93g2boP{ZHtdx8Wd8?kdYTyw)xhni}l&C0_4pytSH zFynUEQ1DsSDUeBjG;dq`d_*N76@E8uGVf8Wvt>UCZ$v7ggLE}hHPM4oVKzQgYN#zt z1ryYt;R2^d<8#Iq;se5ChSH^yN-eLG#{|W;`TKI$>lG;rEC*B3DFq}-QF4w(7UuS` z7mDDVvbmEq1j41}W2#J8^fkg8)S!!~d4bNRpXCzzSjy)Ru8+l4@G)lw}Xa zpaBV4B6o2VWfACr@dH~cH#1R>lg6Nz^1=pII>;rKU`;I+3@1PO-AlVLAgSu|*a-Pc z_dX*tdEtP#A{6350qPZt%vo41QC`pVXD#i|JGi zfqYeZM3Xa? z(IFP$YR~gJC+{;~^6yKVM8CXxYmvyerJhR?xopm7RSo8~BsPca08&{rLlg_{l_KuH zj;Sc_=y9xoy|fcwdobP|CNt&4)X5sUmxg()XlwAzA|H@GriQ=!}~ODJc@51?lZ#ZqM*T!PQpqdkj>Bp2H{7`_I)X z7u>wRyn7;a?#-@w5bL}V<2Q`q9l2)Pf_gFkUOdQuQi$#b99t0X@4Edw>AnWtA9Z&9 zH8zSAgxoon}2*HN71XR+s}I;Uz@&E^$pznGpbFp zyrSeeaY#lKu^L$J*_ZVfm9QWZF>k2jw5b&pYjj{`usU|~!rW&7?-nLie1Hl#eJBxd zW^xF+YpHIS2egn!_>ZzN)2SKX!`GBj@fU-P>LozZ#bF6)0V!PkGW_2mGp`U>gw z-f@SjzDKi%V3mYqb=$`^h=#W`P{k9Jd}(Sngf<|%1J>i{2dD%?OSPCGRrd8U?ES&> zr2xhk{>vpc)G%zGk)riXYotNfOiI_T@i`@V0o?eCmYaN`a3H*~kUn$>W&tZrYoLcp zwk(*C&H{OW5PML+k_#uMtrMw`%(>Uk$sjeMm{274=f+E%)pCE~lGOiZ=m0wn=)(l~ z(`ExA3bbWE>Y~^Kbqy4^=;U3IvrKwT4;3U;NeQVhZuem1hHP5wd(1TeQSH|r%EL=~ z$6OJ}RB!V&*)$`-cVCw})k9xKPRmQ{D7y)B|8)e3qTMi7X>$ZP@-yN&7moP1>QR}M zj>RYN3$W)NDGH^iAUSprF|fNdULThKRMGD{qIwX8ajXeq&=ltIfNHJQFFupAHp=*6 zv0|g&zp@t{J`b9z$f3_wIv;{w+lL?bAuE1Z3}KSuLl~Qy`(qoDLtC}b90g5=ktTW` zt)EZVu+rdc!Jm?5;Bvs?%#zxrUu+et;>_kPiy)A8-qwib84je5qG)86=>5!< zuH0%9aldzEK|Hp!@-7kmeXK_u~^hWL2YOv7>JGF^I8evmM%*buMOS z1aHZCsiirFr9_z9o$yE94w(I-^iR-iV&!(%s$8Ek37oLGUptiwo2i`SVp*R!jcvVgFg{=npjNq&FFA~kLV+lBw zP}F>si6YA(!pZ?{xlN`*cJQ-iVwSbUp-YsqnSPr>x|5u2Y^ku(DQhefE3K(Dluhbl z?w12cs@33B>Pdqv6IdgHrv1Ior$o)?$tAOQ-p-!Y^xN{5YYNrCx(Hb(dM39ls!V?n z>n~s~ON5W>mroRDI-iJOPVNv>`c0oJeIe<|=%x7;jsC54wZ_T^z*=0NwZXVak!|Wy zTWrx;My7 z8?O``ylCFi&E!Sv|F|>FU%Q{e>MQ0h%(El^ysFm3!)4C~|H~NU)#vO8C-qSOWFtx0 zQ|c5+tScNh&h=kVaIU{(T06~9!Goa+_ePb0UN5f>%<)j0`62udeHHP6 zs^EYk8%7glY+6(iu{$kbqFU4l4(?NKeyT5WsM@kfrd&OpCBgS)&o%Fx%yXH*( zvpYQqBM%X8;vyLx9>}e6skY9n<4t;;_+dd$QIB-rv*|zhPsdQRwtDQmdm<>d#L|j9 zqLrI{!o5INl3I3D!t4Qp4o>VYBH^jP#3)AJD0jH)fZjB!Kq-H^ZG=$eiVZzwdBUX$ z(dIe3Y}K?OCnZOvc417>gdhth#!%sMcjzq=RcpjcND!<4@=r)n!HA>mksyomB3ilF zL_!=aZ{%c(@aROu@zF|>XL`xxLjwxQur4Z5nhzYUTB@=>YBi|_k<+816o{&*X0#JF z{7o^sk=`a}X$KWbwUqs4=~wZwfQ3=KwN%!LxMW7l#Ve#DO3NO$tDui({gPGvb>!%^ z=+DK_K`EE`|M55QfsiQh86{;Qx6!dlu6e|s!Yvl zm;arE2BrY-{X;DkQ7%oUYa=10E|D>GTWXLa_FJ&p|Mx@>3I`$D>BpT?$-N`b6a$>L zg>oho164pJW#{Qk<%{!kp82gdK;!g-aX>FYTe<0tS(a9y(9-uQr0e)xfTf;QtIb9A zTr$o(L%NM40AT&ZK0^|nAMCxcL&v( zIpbD9B#c|4m4&*SY9lP}yv4aCL`q(-lvF(jNX`)k7ZSpZrWb{wms#r0XrYXx*#p&x zct@!;(TA!ddO@fdQW{!uQ>aw}38Yp8*p53IU+8{XlP6_S$Ew)TpOt_2q9>eHW=GE# z1(y@ltK17ve->8!l39J_rK3svS9myZ)Sleovbvgm4lOoM!^5B&(^28^Q@B*oX_Wus zP=0)|(!blkeqT*(DF@chkYh?_Ljk{pdp?cROB z1fbYA%sHR{0^1TkM%Xr7ygM-*pC8l^e|ySqbY0N+JSv);Ej*j|4=abX zhMY39lE56$LwY{QOqv?z1|@^T*l+|7H|eVJ+4at9+H-kLM3HsQmU4N4%}tW9gb)pv zIlj07iIfJnnpghyWOsOJ#mzuZ=^y^NR`%s2K#wfCl4Pz}|DZA90~ZA}xh$ea`7r`- z)`K{T**p+A(6o!oxf|-$fz<7iQylTU?$p|h3)raGJ3!?Hs4tk zp=u3may{&ivQs=q{lMFycON4PXM#SzK^981;lIhN_9)^M7s9_w`GB9;3#CU4p`GNy z5-=&Dp5b!_k;QXB>GxS~@up3u;Sqg~mP(C`kr6u?y7^{8VasW}LD7&BRA#V`lwv2n zCX^yDjR@jz$0sk>NDH7HYqWl=QL8dN)j<+o;$OdlJf?mq@?Pr%pM^Zx8mx90P*KRui*o1Gewgr@ZP3*W2x)+7yAY;fwXUH}Js)Ri6mfa*u3FvufCr@De5T zOW0&-IlSVI+QTYe+^UUDJnmp2p7VNU=+;Di^H2z;lJ*GYKMVaZCd+{;BNo59uT#z@F!H!}hax`U~^xY53m}+w;~nfc#yEX$$d}JH(FAE}FNWk7ZL0B7IXSbujlaD1`M3sFr<7J@_v}&c>R> zX=q*#yNdn-?JNA213Sn;l7y!U~IhZJ2DH)FG}+uyrXe{+C#WvJsz;V5Nb z(s9-y^>;$(+lDKFlX$B=I-y`T8c|H_(|Va^JoAID=a(b%@*t6JBSNe?UN+}hybTSE zc>P+#G1ziN@GdXF_aN#)ClK?O@vNx9x}*!hlTJ~ln1s!TjYoqDPlM1Cn0y>@N*WN$X!vw08d)L$9<;55F# z`$2-v|9(=c>^sw>E3|cYHYbK*3HheB?RlbYhq9d4VFwEAxi-H*vi`YqF^O7m>DUGn zxo(Q7PllWys8%419B=P=AkQaVZ{yQ9uMlh#fGj?zT(*8)9vNl)nQ|$Kuzvg`QXtUx z{idttm=0O5W@sL02N{3?8~TBc{V#_(lR!XY3yd0f4%~irv__S^&J~u8gxkpVn*Yhh zano%4z_0t`xlT1uv1PXy*0F(qwwYT|)x~|dA5+ima5rLFUx)vDV?5s9efVDgWy6!< zrep7W85sht7t?Gk30&X(wQby0z^f>IX{?ALr=+OmUWeaTYx6v7zg$FrB&4fHq{y%# z6Ga;_{`>3Zj_>wU&&w_CneTb&;9gncm42vM4d+e zbnM{#Ot>r5%YW51$j6&$p;Mps$Ca+rwv(5W9CA~BnSDZ+?yBxa*)749xP77OtF9sP zaU1jA*PUh=x>mFUEq0A49i?V9nkp6&orhkPRHE{+BL@X006V5_1t^=9#x88g{Wndo zfm8K_+dKY8Xcn716{l+Fwf}qsuy_3)E=>t8+kFP+kp?bim_gX~2qxs83~4l1jy_o5 zmWyfwFc>|{ETe)!l(iV`O98e45kCvnqS>tSx@w<28(9KdD?mSMt|~ln=HW5#`@xxv z&I2cHnaR%XkXyJyk{cDtS!8<8ZH6=N;InFpncbi?pnC2~=#1MbSBT@Wqi?Z0ff=K= zvS#SG;>EQYaM&Pq+&Zyo=~@i+h3Zs=pu^F<+OZ>aY2!Y>zE>2Ws1BDXE7To>VYoi*@g8 zUL%z8w2O_(&&1drj7{t4T6|-WNeBrX8SiH3UY6PCe0MdWavWo1u|RySe?4wLU4NPo zvez)adIAWMqw(X;Epam&6uIy?ve*n=`<{`+2VZ_oypbmODt9jO7lTfY^i9Re>!x|N zBE%c}lW`!7GR~|XSZ+@R_0YthjZUKqFuu^z6&J)M3vQO2rX^mu+TnzcDZyhQ6-#A zJzU^M6@!q?!2Qr5wt}fQ(g-^DyKou$p2}!BQP%{|<6v9zRfP%!N`OBu1zz1CJSeN=xbS)k=o`keY#)zSuxH*O ze9E}0r$CFH%q#Bj3UC3hU$tuQcRu0)Q^QpX&ASGh!Ibqcxz4sl4s~!^gXOiw{y=+n zm~&J-?$t1NGM2L|j;EvQ{x~(}n-8FrsW;4;dZ?oE_?9M4nqdi;L8w-R4T_ zqBkK6FCwd>8Zl9}%_GT}^%ld5hpMX9DJIu2q^=_?BZJ4jKLKy>!h#d7#5HDq@REu4 z*MLX*r9^GtR0;xply%iWfow+f*>!S}Y~+*do}K9E{~5Yt?P5u>y=1kX*wqzyi~6=B zJ$ul8{tE{&LR4s^Sc2#|t#uKap6LR9AwOr5vU{KzhA+n`5nrcu>Xk^F>b!!WNlAva zA$-h%!&<>e8)`wpiceFBPS$eJax-Z=h66{U*O=S{y3@62kOa~{L%D)g-rj`FHWa=( zgr}gFh=vxttGTTMrN&+ihXF?9&9GMW7p@Bwj<8_man{WAHT~E^zMNyx{Iga5yOUhZ zc8_k+5JI6os^VOSni1{cv@F=lqT2t*ZUQ|?UNl5wt}Aj!gx-|d6HjeVTAMh|*ogX@ zv-JB8*vm%=M2gm^6xDn_{S2u=nL0AQIB*X7^d=iVyyrTidZZCA;(0r`f zT;eY=ALg1OA?FwE*78A?)iCzk*{NE{wD-mGS6xkxO32nb>#0M^Rtd0lcqM{__kAUT zNH1WaOcu}7ne%MmkMj$)ecP}(J#~s_<}opDg*^xVb~ZAHPcyR`_{oj=aOB!{+J2E! zR5nG0mTPF9Fe28Ih3@*>w)o^&@%4`shWXYu@vP)<$LjXGN9#9nkhCI^BY(BIwnWN3 zb}knvA7!-e+(cCYMYR3g#h%2`zHJ*T@Vs)Kx~&5})ttj=6y_21M|ySmb-!d@r2QX@ zHth0mCf&vFLq~o0TW95Vt{);fq*mvrXCg)?#_C|b-<8EFpN=o<<) zcS9sPjs))e>E@YIqeCrLPb?A?=~^R5NZBh2)ckt=^K#*Xp= zJ%_{c==Ra1igG(0y~AP=77On?Esh$+`{Zj-TAxpE@<+-fnOUjwWt_t@%bByrb|x0| z+&&Sx>g*BZmu6>h9a=O)FNeX?{~fU_=i0HAG4{q2`{v~zTr*!CZ{eO&tKZo7F8=}T zas78|I#0g6>=!eisq<$ern5@kkoCKz`)OQ&-LfIv*_P+rXhM2flYL3(mW_duwKPf- z+uSw*OS;3Jan7%Dbp$cj5P_6u0jMtjNUfXl;WMx?po}sjQgzK{u}vWz~u-|w`pv@cfa!rmRKeo-1qNngrX2_ zmc^73KIivfSO{Sbl71arQLm)%`7=zf4haqZJEi&R%@)!`SV*cAD4PZawAm@~B770> zH!|)`!V_VhXp?(F_Dg_75I770?jslgnqY7+2Ahph;&ri{d9g`{?Nz^?n$+L~d_^kl zu6G`oe1LHNFMe&zzK3aCoLU|`~0f6ep|gH@qp zXb4FFY+CHB6p;Mv$YBwwgY3|5*N3{M#~5o0kqaxh;4BqO8PAfiRFpNhAU%;aJJ3`} zbeJU5gN*OKC7%jf>{o1O$hoQck6pw25YHKS8uDX@%^Q5|u$n)1{MbBpxF&z>`0=qH zyAjTZw!EqFNisIQBs{X*8La_ha#qp?)6kHXiL5>Frr==6C*)(3tg8%D3+qO7Nah@1 zjk2oe3JX|1zP644qX15m&JWIs$~sP+)iK>T? zBBbkYND`D(BArk;81nIwY6?`h9TlT^Oo!)t`U93ny#sx97Rr})5xG!U!BUDmiPZ!*yt3*$|_CEYr zQ4DmE-0ev`1apQ|raD_mk_Or(PkXGTlE{x%UuTw)3WHQTMb^63wy>!y)s{xW5s^=G ze3v;gda|KDdD(O6?P|7VrIolCo+Eih10|6r5<}#KL8VFAD4Zpf-GcVPqZig`5bYBR zu~JII{bZ7Uv}ZZ=Qq{y98t=(;3h)v?;ydE!-J&)CUeb>@lYacEpZQBaunyy^4vQOK zb6?J2)z+*cvJC@d*;Nw3VGcuN*(v(Mpz{^`*WtH;1G|mrauNY?ClejivFPHiLaG{Q zi$UMonSDC$d%1xsiGU~$K^hWhg&fiSxrSA{v?;8QKn0%_&8Z<8Ybi)(^ajH?261jE z^&0lxs_kglIPoR+xv>8YTR8N|?4!GbW*;-=3NJKr0fhigGnd&uHV`N^py>c8&no)5 z?cC(!qG@rxxt*NRCk$RhPrY!p4mTuslI)}WJSF--z67yhLc?;mKdtPHEPMC&=Gsm> ze6gL0aPBE%lbLsm7Tb9{gwg-#r+n=no`K4?@$p1uE5O6wOATCY3nuf>!P3EYPNA|- zdoT@95W{I(OP6hDkQf|Ry&!AJbs5>xz^|40C0}IDEQ6DvBlR{jwp*Lh6+d~- zEW^7HBqJo(T@M>6#WKG;(FnN#3vhd#*|jN+kSL@`79g&3GHiBlK*P@4MP<`O>-oTq zP&TfhO>nF4f3LFnzMp@GWa8f_(MM%xP~n2gJ})@Wo9D$&V~l_BXu$`+xKI zMU%P)nI6}8h6{D4xk*KM_L6u?* z0DRYiIjIOnVh#v|irSOazZT3%MI^4*P~sfD2QJP^a8mD-*HsllRl~GWQW4?v$*SHll6G*8|;t)6mjbzHZprHx`1ro7f%y^r- ztfC7PAi-245ew!3H(w~@R-Qp2kVGf^MqA^mOtDRl{%jTrt`l7lZ6{gAt-u92k=}-q z-0MX0w9U*B4)I-o=TE)np9;ON==`=> zipUYcB!H*ibgIGl4Gv*jt}igR3DcI^)+R|7<5itR z(m<(B(yQ|{x|WrsbD|s6U4RQug(czLO(&cv%xG~X9e-{!Kd!fe1wIwMr1mUN=q~~o zDvHIq>T%xkL+bHI|LL!LeNvB@b%?CGt4G}ukR;$zP-YxtqHneBGWFV@sXlA<0%a!a-;N}@ToU6XFHOM>HF>+0w;ko0_9=C#$MYQVK6v@`nIvCks$sD+p5 zaoO1vP3T(E{|;$O-{*g?E2EcijGm=_Ry^0u7xj1^YFnbGsK#L;dwG?d2a%J>ZkCico=|B$KFni^rG-JhFlPpp8@w7Fq7lIkj69Mts9k>uo5k-oJp{RNhe(H9CV>$vls%nxW zsG~`xM-5ZdQu&~IW84Yst2g_XKAuN+3`fwiZxAtbU8NVt@ym^Cyah>n^SpWIBWdkb zIalK?`TD!d*U!5}t<_kNv^RsK{rP)8`kIf9yp7%ruQ=PSL2Z`Z_6SUnX6_C5$d-4M ziMF=9BTTf}@&=>g8kQ0cdd{wA%ZpH!!4p%=UDq}|X3JYfMj;K~Bi+uHH#+`Tw3qd% zE6WSA9AJES>cco?Ek<-5C4@nrsnCsIov&Z5JiE<#DQ9K3`<7QtVYIMNLQSWoVX6>A zrIFMQdncdt-`n}xPb`t`*_eKzTw%E>xv{jdFqBPAf=K^VeJgy4Q@9~1Ru4D8u#?_# zWUk@b_+V(X0U}iS@Z$aY^iTf0Pkv1VBbxN_T#aW-;fo`K!^HD$x6vw@?dqknMG#$c zCsxb&B8Q;-&Yu0nlq2L{nf=jGvsqB$KTrOyy0t(GIYC!dL#&un*_iZ)Vw+@i$!J)g znkv!13|a-r;*FcSg{E%=b1EAR5?eIvQE(?fp}59b@qp@_{y^HKUZ%SRiW;&HZ8JBb z0X@&|+(vGy#r$8R|C&uo_G19NScPD4Vg0H)l_!|HC54xi5W6}H67W9a($b4O#ricP zpUWJuKmSW~@bvZyn2MUXMN4#WJG}zm^DS?D%U4g~QH>G>o#to@SJZsKOzAlk;Hu*A zLB$FV@G+XN!0Nu~tn2WTGVO{+Dl8?*(nj>J&lilb9gN#;Am1_gytA&07go}G@x zoqUTh>w}Jx5EiUzY%wJBJu(M~*LjeFQJj6!uTd|=YTlQ%Z=?Gn?$T{@adhLFwH-N_ zZ4dS#yE?k?xfO9e>ZS%PrQ(lL+(a~n_+etAMoU=(8j`AMQYdP2G{a{uJcq}otPyBV zx?oV&RE`X=J9RY0?KnRbTfVjG#2Nj)|MZ7H?th!INU1}j6SB8>om<)!6o|D$ z0z6rb)~Qq;GC|Y<1lZi5wG^C7WodC>;veM()t^{%qNGxj%#UJx;`>M4K_fZ95R!sm(npw~?o6*N^_aV>$6QZ0FZGz4smHwbAN(ty@H-~$8|Jyf z$S{!7p##~-WS>3^;Q#}3wr>Sd2Izpb6uXC^V`^;+@snH3J?7LlW~;Jqg{Ta}3pGI+ zD^~XHZ~*Z#V>l2|wlPBG&TkLwDTLsrZ56C(#n3&$X*3c?3D!6NJaxpi1NF2@f-kfA zHdVxB9Sm5LMA5Be9dVV`M?l|N$$WQG?<06(TFHESFyMjEH&mDoitVVrQT9I!b_dD* zq3gwF`3B*~)CoI?&8)H(H%Nx^`8_YwYarQtqgft^n*2Zo>vq82bo)*)A+0B4-pFMt zb=g08--fZRg;#Uv)y2X=kx%BOjFhJuQkI1S6cJJ#P;omVAaO-vv!<0qc`AkS+E|f1 z74})=O>kHuKk?xc5PjZH|JL95Bw1Q$VToMlsjTM1_U2lF_1)T#>LT7s;r-zzZ z{YzGK9tYIakop2iFB<<8dmWcqH{AIQ0+4`N`7KH2+p{l_gjI(rVT>-5Bn^gtqktr! z*|68{mv7L%KoSgL5jSYk42V(%&$t_bntR;#Ub;yrOwd%NqEr3&k}Z4i$l=de)%)+j z86N+78M2ol(C@>0Pyh@#ypJU0KlX8ljmj^2KiiifnfSNHN_f@K|1y`E9(wS3pE^lE z9JZZU>-h04>uERi0zM(pC z%vX7w66-m%PBNu2vGk$a=Vp`=OgAJDy4Nok$SDfn|RYQG1_Ls;R4uxN63dvz!C9ok-A77_} zwjlDxiyFrt$@HBr7y{MtvKTP@SJYQ2N5V-Vc_4KV`W0%-((dwaO;;?i|jw1Ihai z(=ZYz<1V=X_wHk>2qO*1O&8{-JjZ3_o!l1RtUwus#{H)F-unx_^73<+?|%5o!P3_dEdj!yzgpC z#u2g(UZ|u(0gP5g`j*9`2w@=3X3ttIqCgknUi`* zu3YfYPs^ow)%L^cEt+>%cqqR|Ih5b*Rex7l==!;TnW)_SDDH>?U&>&>ulS&T(3}g?d7ubgkW{Bf0Vsa$}`zKA*zKx<(I|xn&yCt(N{4vRF zvZ$5)BtV(bRG$xM{G>J!C78tU6V@{8CLJ%KQ97Y)hn-g>L>!Np>%7@MGN~>d560xm zv6SE_pP#RKoL@)@(k5Tq0yMafUkk8{J4=-$^RePu6<4GtLK|_rZki+QS3mz(zTpkgbER$5-e6!&)ObtRNZcWAM9$CXSZo(8 zHch(=;xEZNr^z4=ht66S2B;fo+I_{-mc+|EHK-d}QUvM<7et~K6+2q&z2Y>36G1uB zT(IJJ&|Hu0!k3|GFM_bClEgS5LDHU@%Gd>g>?2!pZdr&GC1vZ9$e;kyM@gtQ&7dSn z2C_x6+ko&))&#Cp@;6D6rrjmcW5$dWLLXEj+shQw?vh93tkkJ_eA1m;7qk9Ga`ilUvu;oH6`D8)fY;*InYTQ3 zdS0H9(!cRJ|NI|$f6SuRET>0Gmh+5ZFeJ2Z3tLpDMeqQiw4_nN+^(;-?XpP81;JLs zxoNmDpsi`My;P?z2o^^o{Qw;R1*3*5v0}=u2!39ROCZy4Po0VZ@X^>4%2R{3z5OyN z&-_ebb%(DkUnZAGUYV+`?8l?Fw;Ql$}PJB~*<@^~C$xZXT^6wwm~x}@u$2#=&n8keI# zkEavwV-vvV^TQK+kZ((m&0Bs*k3IbBpZJxDBTPG=+%2>|sArBVI4SDwt*p9dyUZohoIzXt)0}6P3&X^IzYUh5R?qA3l<8+&P!$?D50YXf*4GD*HNUl z+FA%o<-!Dy$am;9u1}+Vrzm<7Z2(6TQ?1%-lo;Jt+-#wXp`CzEFzpnl7CmO0cs+OQ z-@)^V530wKq|`V3>ufgqbq;8Z(Ue${luW*#9pzD36HUQ3qb>bUMFu5+q!cc zDHTqhd~!YNf1BM&ncjw{7iZ)76L#Cxr2mDRw{V1=m;J#HR%v^AS3^BslOxO?*xOQ% z^Ohe{kKg2Vaq|EsMIQ%L51RKZ zA`QXp=AqeM@szt2)|9Mt2xd3W$Xk&YUhPDmWTz~MJvwh|QkK*Rh1$(qLobS(2OMvW&X`nXeASEYNeYIr9?@XH)NEpS54JpN z4O{?GJ(nUADx_M<%Kx_BqdW~L;duOX*j=v3HDS5T<_vlNRWfl1C7{>L-GklG?tlM_ zrzyk2#_=&376!=`LEehFsqX;ROwTfE!yBC(1$!zDxRvuxEn$z%#qr4c0^NLct+rSe z$p*XRVU0*YB>950PfB{6QQ2OBZ$|@y=1FNVOuH@1H9Y(2*kjQX-_y?y=#rXTcz?!( z98Z3YVbXppJkc9K)2r*e?sEzti9M%)jHKrjTlSpx@GAq4iJIyHQz@G{gAyQK2vJq}9eVXU>X%eNA<2wK=_^bzN1UR57+#)O|$9Di}0rry*5U)C>ZR3D! z@jLXDW|&I{Tu~L@L7+Y%wCCtwd>QKp17B8)7olhFf>?+%N~L$J2kxLs zErQyLM!~I+n`dlC1yup0-`c^CaJ}klq>?Qwf@@b37swH}g7nN?@WgLR-w3oK7*<-Z zD4w}X?)3M8+RKIZzT z2m1m|D1?V2HU$Zdx~lg3Ge}5JHo&GUw4va}9Z#LKM4tSfQZhZ-7f0~G;IZM$q6Gi> ziIw!Qo#MiV#^TvNqP*KaHtFHMz*JqP7%91tAhB9AJ>3B2Gj`?!2EP6FRTVeg&ko*8 z7E6$?MJmMUw4QNc=i+eT-!Ah5(@#+0f9EZ5hts( zlKCDPeFG)e(lQj+IqyY4XfXaFK%hhsLoWhB?hb@%UIZMPqh4<6V;zrwe3C~UBKbw& zW_l5Ds_I4H_8D<nl!2~uDW)hr%YuyPvzdu(CZ0C>W}?g#y}ItnR8 zPJmJ`yI{08Z~7gazGBo7*2t$ef;sD7TtCqFildZ&o+|5aG$5=GY$QmlBpF-y z$xCelXd0W_9aow2*uVyENiGaGZH)qRbD24hZO~(y$xYX9gsyH+sZOA!pa#IqbJI%y zVia1{VOm>^VBorv*wx6vyB57Rp~n<|tqxnVujZbb9^hTZwsnTjmE)#zZQ+PDpUf}w z0LA{oGu9dfYOXE9L=Yp%t&kq%WaWF15cqX4yW!S|FJB*!%`%~E*{vWXq;e}XFBg7{ zY=>E=8<<-GnX|6kiV4I!+^P!k&m4Em?F`$GNn)Gv;j>KRm6(mrlP(f0}i(sS*H>7u>R2?#8*B{-e zW>kF^Sv13M@6A}AZtcwFhhQK7^>_c)fB&aL&8U;nI)J+!oD8OyfW;MN>Fl>;2e8bL zLvg(~$)@r!N=+S^(YE?tIeAjM*K%d3xtt(SA?lBQJE1dwl^--$ySHnI! zE$8o6TbVSO1+xQaejPoluIDLc2Qcu$8_lWZpQmyF3t*Tm%XB_Na#C{@RZu>tTJd6f zt+{kx>rjQ-kWVV~)HqV^IJJM_NG;gj8^rd4(u?U^4=CeU6wtsQ2>szYPPb6iL7WBsv3}-&>Vd|EwhM}eI?e?P zMI$J>1o?aPT@VykO4i5kixfM+vOxYKF4V{@Ruost1VMI1h!0MURJ&&HLr`2P7eq`7 zd=d0;r^71qsl}D@Q-hz!1ic;*D~c;+g5Y>(;Q&7WdIh>5s@D*tj~Wj+s}dk~N?__}+U;oQQ-5rUeZMJU}X`PZdyfC%xMBZkKRwZBkP z`gTSKFxF|0v3*zMYy=zXUp+Fk9k_$5A9K?_Wf(Oh7LAo1}_y(hD#kANiD;&7s-kCsPUTq3S2r?3PL3BD8ZwGpRwTc>ojKp0K z4FqIB1)&;x;#)zw2t{xU1{4$QotiF!3nEytnf4pEsBvn#2rh^w8n_UQuQ8~%{np|l zgruEO4QH}{kN-eX1W^Y-FuiFp>llkef~H0 z#3$e9e`8O4(u=9t+bjadL^6Sv<&^gliQjxAem=g#p5X~Sy(G2g>**Vhw&&fV#gxv! zMWBM8kq}w02sHnsZ~N2#&1VJ9NSn;O25&8AUNMK7!~B?*(wnB#1xpPc+}}OYb4J@m zunZR7pg|TkB^u7^!HY3i80~xQscA}mMR2j&U}2Px6(?Z3V8v-lT`&z6CXH)8^`tqY zeGrSFmZzbql08MlZAtluFeQ1{!0vR0+{WhEL^VWp3)^Egu(h}z-@bQ$87y2?ZH25Q zp}b`~%CvRSR;w9Nw9Sp7Ku$f;!NN0=)l|6t&Pl0mTDxX-dlZ}wN#IP| zBx@#Of~9f3)!v}RNiD6)xykpb*0efcVLA#xj_&tn{S465M(>1^xf>B)U$;F>2k|;@HO-h31 zuswUeJEzUo_*FpQ)051%XSdm4;waYyM{)1$^!NPiF+2-DQg6+CgJOEt2BW#!>&%hl zehY#X{z~X@F+{bUj@8S2i`Z5o{u#!H$n_{(>^{W&^)^P4hb4B*i# zZ7|+%4w&?jB_}_h*k zq48SbAq{=vRVA;DC zpOD+(rhu!3eM{l>V4N!UN0agduOp~n&{Uj`$2iqv( z2&%lYqHB8udQy#v1_iZ9E(pRaj^H7|Jy^0tKg6l2@LUiBl#zmi$^i}q6m)#(LXi5- z1&`aKS_Raitd%bW<=ONvqa)K{<5p|S7lt4$lCNlJS)3YLuWjzp1d2DrthdT2hfvpS zS1eg|uUJP6nr-pBbB4HY=AJeUNJ*Y%Ctj{CTs<1 z^=*AHJo&UkoVmq+(CWJ&nk<>u22f7|nabvaZAh|?I&jcq!$=>~A2**772I0UiRelLwn``#oVR>y1_+Az zhRw%*Lc*a#? zR+KD)36{Z>Aaym(6oQg6G{ImaJgy`Ym>a{z`!hjs3{uxY;dK30b4eMxAnGDevlQ%v zb0)7SDMJ(7qt>F~2zbC$*Tpqz%FvhG3xos=O5pF&P{<`GRahveCLD+zedvfQn~=F$ zixnBCNNp6)Yz>u9%FjdV#J^FZU-ejU>rwT1I#ifFsOPG%B@cIpc{o)w6St@}14|z6 zX7X^q^Wz`=_rDm?fZ`&(`sUcp*#zk*OTy^D`k)N8X>o}(rDa7>sY^l%OyRxeZ<{1G1%rp~rx%r!>)CFPeIFS{g z2#lUm07I-uQ|f}q(&_LeAPtN$F9gMu7D)_&ReUL6FdDKX$xM)r;W;|T24v>V0L@9G zt68%v=g1W6mQ9{I=-JNNzZk;ON5}9OU4PdDa*BD>orbp$>DxeRwpfD{KrFeqry&<- z&-R3Bzc%Jz-tw*GU;yz=fA{|P{#sNYbfH%3jbh*#VcGcs#41yx`xy92>y1I%v(_6$ ztY@t^3Rq_V;nxU;s;lwpS?d{qf(loOFf&d+YrQ=ZHBh(|L;xl6m7R>JEsp;5a|5fz zL2|&voVHfRNs?LXp)nX->(I@o*S#Zvq{!2cM~l^&lS%5$C&vmdnn<)Qls-Y*D1uwS5E_e^{ea>yaNdCC-eSe<2ShKN z4C)kI>fm&Mpy&r?Spkov%0VbEEFtFz!5CL#aQM~`kQhXe5-Nxx5EzvZr9vVY zrZgmx8iSD;Ai<2KlEexYd8qlw5g|_lB*vWIZX0FqP8%rTU1P}n5!TAHF{pC-B8`=)KhZx13%AN3%I+b z@c_k&RrLcggHiH=#8)+IlH`MDo0y>tbQQygJ-Sm={U=FzWbHTKV~(DLPWWKeb(b7f znX14{U8c5^@c9RtayV}J0VxTllBsk_ z`9RxN{UFj}l$9qRsn9miO;r~xl<7&zt8IW`Wu(&fBRDB^d;pRh*Ux?!M92weCGT^5 zVH}XJUt-+&I%+ChdEUh)x>Zh(E$o4vV~fWZXEp5>&9TMJ;H=*Fwg35FeQ|X3th3kS z{T$}sT`!S3V;|Y-00eXPvX$oEgAnAyFi;h?lA~waNFc`+2M~LR;U676yGLWBthfYo zUvuglTYxf8tuNYQg|GIi%E}&)14L9T!60VBiditn7UoJYBR4jB7Cwo<877RL6((aA zinhjE6v0=`+<}HK%?G0Ewf)U&{$0$21Huf~iL_-K(A7Cca42flbVWFLzK_>7{bGed z$*^Z<_zO2IKwy z@BiR0MUbQmwH2OH*FFIG$qH}IapW384E|n1bv1%jW~XRhU{YI^QEA^Y_#5=0?TWd= zQ*uRz!$Ari`5G(j3&WsFbpfuWMyck^4)hM=Gf{w|)~?pR!avRm4;WpWZ(Ub-6a~x* z4~XJh$rPP~tzpmkWvuYPO0<$yg{KVuUM#KUH|gnocedipO5KK~{rWam+Sg{HQBR}2 zwUT_Sv@g}4Y2fFiep9rss7TwI2Y;s+NaE`h193Zoo>#))@6%(jY3i|)qMGYFbA9K- zgY9VlS3m!w9*)Ie=dbS+u0wr1sS9t+&7C40jD`IeLUEgVN9)m?FN#Wb2!1xbTp{f{cunbklV1?=M zjCH7Dh%W=C-U(;a9B0HvSZU(s%#sINM8rAqNrhgH!W1lJ!EMak5@+O=APfdfMv37t zIrgYirM;+A)teI{B-(YyL!Fw2ov=Kks)@_@1z`XDAAjt7el|+$nv3jIsg65#u(S2X zMo7jd0cBbYqvDj8-h|8@VXaz=dmKXS{6GYt;yvq-X}YZ z4U9JCdb2bnZmgKARAtH|JN-1c2*F&X+9BEG75ohO~REB ztg2L439UI;5;ZE}uFKy>X?^T_gVmcXMU(_2uv+tMA&@FWCFAL6%TRgp$&};60#ma; zcunb+F>P(VDe1-;Q+!(8O008)U=oOHsJ_~uEPu`ZetQ9KO5sggzE9!(!uA8d=X-;x zTe}-w;aRUEI*<{fiXQ8kk;9yZ3l7f6p~7>)Jrt)Xkl&GlL?zUx7KP`MgY$Vr;ko4SfF7m^isS~=D{^)W^Q*Z};OXYOvt5A0MLWvz zz_izyZx3b-tK*IdMGc<{*39<@^Ab@s;dftdtlD4~Mm%+OgW%w*3SW62YLcw(5CIeR zjga$ee$RZ1&|ah2!)pvD3MV;GTxtTMCgCPQwfmJQXfAb?fiS}mZnUo1Uy@Man{cP~ ztTii)TMhd+dDJaQi-ixmY zpM?-WSVy#$wx_PbHLY$zkZqY_@?ym*T-&U*!xFr$Ak?Oo3c(z%9X2~N%v&W855duh zsn|2MM@uzsy79_JH@nI60LlM_XTP{WjWV#lGQlqyFbzk;03Z^m0DYh}s|=n74wZa1 z7@b}tfy?Fd$>WiZ=XO{ap#MO-maZ2$uv6fHFwiyc8>WO*1`h&wGt&8MgC}lnx}4hJ z;l~2lFr|=Wx5n}UbuN3ELQ2BZXJ*(_f2wVqnnIeke5==4!~c#KKkdb@i|oA;c6trp z=sOcL^Z`l-uW@Ew7M*0w$2LYB%+NsKXnow)fT|IlWP)W50C-WXojU|2h2(-r?js5y zDYZha@~P#aGeK};!S|Ou4mcf~YZRU2E3V+$s35v88$r=YCTK%hkr@JrNfA_Wjq<#i zAo|F`Ma_Eq5)GZrikd<)NrVbetcd2o<_rW`{X^uc&n1+IzfZ6z5|`OP`*cAUtL zH8J|Avu3_K7`(*EOCV50U81vQzCHU5n5+4XFhWn7XW~~MRmZA$$2g=_%3>61aQ{zs zT;@c-{pHjqd0)`~Z9<-u7y}`{3+ZL;Ju$YwOp%ga2lmBEJZy$gWlc|RXH>b0W#V|H zs*6UcphHv3c2doa;gC1iLlbnNq*q?j`7kTdg@Q0>e~rM!-lQ8-&iYD^EZ?V`-~Ng3 z|I(iehfynguAIHjH&_Ve>+gcO5NcGmw#$^W3&zm54HNzJ+Cq@Z*#%1$3#z9B+2Wll zf~AjrxfV|PydrP03zjx^T1GOm%z*;kB)b4-3~1w}c#WZ?uRzN!Op zqEFzGWr*As9WYGFMO}APJyKFZ&+$k%xp{ zi%-6y{~LSyK2d78X?;%cKMo0gdyq#|QU}yD)g7I-e4obq(C2;9xBcZYjaNcsq@6L) zsn$Q;D~-22uslng{tDBSvMzb!8|aSuiU^U(1p@q2$ZgR#FtR%Nh zA`$~0+A+!#Gaxcwix&i#oD2?O( zKuadCdCXs<&MJba^Wp7pMl1xJNx#g)Wk_Q>YjH_IMKcSW66X}vxF_!NGg1ctTe0LCGTUQklpX&M9A}kBbKiK55N6O@BDc5A!(7TMTLMt4LL8kc<_nNGv{V- zd<_+_RomdO4UDg$0(L=kA3;Gww;@9*I^!x-2)t0%egwlIDm@EQ0sD%(0%O>acAu`Y z?Sj6d0(L>Tvs9}@Ms$h(MFG1ctPS|KDY*u@XS1Xz;3BzRDXJOGt)hVQ$pwMzl3UCN zgcHo^0c&tZ)R$SILe4omr)Wvljg4=sYO!y~dO5DvVf?fI7klE9p2Y%h+c=M-tCw2C zC0u>B|3aP40OZr)9s(gszOLjT!`Brs$PAZw{_@^S+VR4?!CRlD(!l@m<8cv2)-h+trjNkMQtOC1d!?kv0qlLXc+NS3IC?7$%driiOOO%YvdKyp~s{ z+hSfuTXB>EhY9Y1&p_-)EIJCP&8c%~@_=iK+>ko&gB_zG3&N}YPKQtDH4-_w@w3uFHG*L>g|_alta ze3$Dx&jKf^f-Vns&V84%AWQgd-K)xduSh9Lr2~}vP_^%{-jKSPoCW|Vh=%Z#UY+~i zgX#g84GL~7of3jM_g(rUk0AAIQ`$NA9sQB$>uIl%`mX#u3Y%bSrVlBNQ;WWH$sLmD z*2GB?&Rj&8q{T!9@ST?rqJH zRFqPtT;O5}(ZKB#E-=%WHpMCN=mCg^HZ=~MULYEPo{($+snVA>o-4m4$CbKOyj68w z#fL2q*ofoGV_y}qh3TOYGt}CN8H#-#DE9xj{Kb#^HBqzCn{lPB?W2(HwY7a*1pT&l z1X&ZqDU9PN5GJ*)EyF2LJ{GRCESPO=8BPJCE1k1hFx%QP9|GKMg4xc3+1AE*2sBe^ zY;lFH-420uB(^A4xr~SCpmlECDys=bBoWq7J{8mKR@DCBAi;i^jSKs~&7!jD`~2TN znfM!}l4*90>Hp3F#_M6~Phx!)S0$NdzN)2r7N$A9NI~Y7eqCIEwd|R zB2d(jV8M1-y2S-S`^YKCtkNRBXasW^dk@I84fiV=QWSlxwEUtH!^yLn`pC)6OHPY;|0t>_`rC8 zDzu2ElEMdWa~8;a*M^<)HHAu>)?S_MAaf)_%hZJR{0;xjy^nt4i91g}C7B{A_PAr^MHPU63DRTL3G7Sa2H7sC9;!zdw4RIc zI@Vx$N}fooI-xfMs)?uGuPt30r>^Q)0?vRQJ%VCHeYy}7gX#sZ1<)o`xJRI=Tftn% z0?LJhQ7GthVq-R7|IF{b7G?8CLOe0K(FV}=j(HTM8KicvZ) z6mXNPhw9$={V5H8VAE<#oSHl}Nw~A%Ip)s^+WAfmFW;fVdd(44Y((6MU8>Gr-6CL@ zWKDoRJ2gpdyS1|y&SSVuV&P-WQFmJHLLcs&I$HH{bjOIM)9e@D2@}oz(@klI^{b*ABR(>=D z@S22alkM<)NmP>K2J-J!ecKSIkFP!FHLe$hsp5yP4x}bYUl;<_@v@!HU#H^r=keS- zap=%`r#s^I3g48anYMhNrFrVmB{?|3E5NevdgnhOXJrF zFb6>LP-LiL8Y!RcGDqA6ce^!{0%&Ol%3VG75UjGAL!9V*=wihU8_rq0?4d;G=?S=Gft8~B(g;9Ya8V#eDR!l! zZUSf-m&XfApmo#LxRs?&+NK~tVKM0Bx5^!s{iHgh8iS-OMRz7$De26ttLvN#OaT&_ z>3Bp5C)o<*NYLaKx*E2ks`F~^cCrLm=#rnJgY#d zu*HBZrUg*uIH|D3z<24;%H&YCw2-0h(G9)CpX~%x2)sMtT;vjXksp%jT!2{?xR1ouH#PZrMd}}%gE*MBHkd3Q}4nfIv zyX0YcB%vFT4#Y-C&;v%!#hVs1(R2`85_Qj&WHolHjZERoS1AsHOM;b~-W!Ug=vuAU zJjs}=(Kd4GwhS1SevINsY-u*+ap;{cGcV0#)8qlm7C(zm-p zAh%cwrYqAa<@9YKkOS(>+Wh^?Oj2J(-;@s;Ih>{Vlr;@Mhh~XS=aQ*cOj^rRl>RSX zB&195IF!ttg11uUP$S65+~LiuTO#nXRH?$7hG$7GHC?cz9`glDz@09b+Yu`eK#|Kz z%ok#Rs-rb!B^;2C7tCfY-N+Um-vyahjOkFxRZFtN3^Ow)R^!(+6x1euZeiZg$ zYl1S`;**SY%CJX9d%BBEe^d9Xxgq?oeAf?r**^~ZsF9ur7oLnVZYrW#wn8>W=91_ z(~!1lJyC|9Q``WXBfyUph_sqhS3ny4dRhoYi^;BSMz4W1+A$9#!eS#p%zTwq_Hnyf zX$vXxmCN=rtL!6!5U&7xSYWrvJ#@H~!#TBB!YD%hhI|HNeKM)n;Ep&NGgvFmx#Arh>+-IrSB0e}{DC zfZ5-$;zs{q0rG}MFq{3|0tDm~1l<8f;MFT;vtN2OL76NQdnyxM6&*o8DrG+uZ;g-G z4X@=CZ4-F~P!g*vfoy7X^2+R^A_{9S<;i^q58Rmj1sX5JGum4=)z5w=vrl8J26a;E zQO$B6FGJYj_@vUe(yC?2M&qh+2;jRUVfcWFzYpa}p9G zKB>q^1+x->WWL6ngbW<#ilF#G7${4NS6hTLE0&OP^XSs!5f-IK| z6z6hdB+j;LJj^L!MfE{-Tcj&b&~;oV|EGt(Y-N@K4L5VpoEBG!HBNDjI7A-TkeCc@ z1h@c3fdTOjFg}NEH zt+UvdP`aMt)uSA?W?Pmt%4)smQsSb@_ed3^o;vpoRy!kD?bXM~{tf@-kA3VYBRkTJ zWd2Y_c82V^iJq^xcf6zO%qSOv0QXR5WkJ5-F1SNTD&=HM2$H;d7Ni1pL7Ny)qj#_| zKDNsga1qqrSZeC&jO@Zgn@>$A(pTJV(1k-Sw1*SferqaV7X&~TjO`RGV|(Ko#ffxD z7j#N&njI7E+b=iXc?fOYLV6X#LtbVn1)h&Uqo%0tVR=J>qb7fI)L6 z{`NBQ({5246U^;D=V|}>ZJ+eV{=$35azmI2ML;a8!CQFdbvh;IhK@R)Nv894X6aSA zp&bSm%Zkf&rzy714M}bvkT(n@Wkx!ud~$ARaKdTM4GoeZ=G;(8uy1?F5NXyu<1@^K z$V|LT4oogR&9JIH?V~8uJ!Vla>x6g{6R4;~D>T*i2WMOGG?5%8`!0K0Ynnw%w2|YJ zKAHF%CA+anNrS_f&dH%UIdsKn4km}*``7;BH-F`r5<7o_JJ{1fE1-!N&-6AZTMdf< z>POd~f`dXZE3wjX2$PH^2Iz8Y9xy^1`c%$!Qe(iz}TB($N)qh9QUUh)-GHl?#_7qK%qZJeFv|Aklh!}?Cw}@hKbp{uLNx0vifHfw^%L2_h}wg5g}LKag0fvm zTu4^m1b3Ltrh-UZ=&Kxpbgq2G9ZFeJo8QB4QLnLEY!)2Pj4TY?wf5B1ODN9jKRLMd~FN#M}vVoHJ(v z>O(E%g`lDv{f9*Vmu6Qm`ng?F(TygFCN7V0 zn2_BH<~nB#2FJXnw!~YNq03-!l!6tHXjgS*8G7Aq*sjXZOODKAEno@YPxEDH(aX0k zgTdi`Xpj@9rbTzbG#H#?XX~FwEP9cQ!QiNIw~|#ebQui3TuOUl{>h~Z;%mlWaEveS zteNjlVRb>d+@iMlLPE#pYXawl(hGj0EvoyEQyE&_fbEL~1ElT+5|YMquA@@TU9qX0yd_Cn}((Hjc>?xlS~!RN#|8{6A*t&vH(;8O*&8UXnu__U9|7) z*Y67El%ug*Q*d!?zf4X!Vrnnv&ZC0?HJ-L)lT(g6#r;AM|7%yQFtyv`fx~feZXD7s zDWSc89n88X5e?S_Vx;-W#Sw5xbUL7MfszGTV!Plf2^_*DcR<)#4s~O5E?jan3BX`o zZEb@xiyaaCcXE_^WKM~Sh+67Cm{}w*<5RKg<&+P1xhL@k;AL*l{off6aU*z$lnY2z z1ffO)TEc_>yQJuj%?W{~a~-FLx2n(r1eI5|hKRW4wQkr1?7;6rVKO|3fmiiX;NAaVh1 zXAH`FZcsO`jZRhmaD~SN4Bn-M&whN_9dvdj2omiIgX~u%r*? zbbyggJQTZQ5KMWJi-Kr9u2<6Fi3wvt1xHwkZC-3Q#Kyus!XT+`P9x<>E-;brC_P!b z<-%G))0(V&lr>R;mTC%0B;DLg%9C7JT?)?`3Rrb=>cpqwvVJPCc@s|smTjL3{>cl_ zeNV+D{&>x&;>`oOj+S$2=5mlSW{_71SzKyzVuTZ$>emFd#R}9EgQHA1dj$Bg!it3o z%1N_F)-qir9e=zaC@x5*Ab`qcT)%9`1+O<3(A#nRdU+u+&5<*J){8q&L7+aK+v#`| zbuyR7dVK*ncRs&VchmVhKUiK)sX|K6n9%Vjy4`iM5qIulD^p`&6TfwFF|pKoPei@Z z^OMbg4GR{37(7|!lRop{t#6f);U#VVjA4JE^H2gQdbJ38dFBea$*a>-nzDM9BmU@n z|N58x$}fZ`Fh*x^>nuh=XMp>mRRM3o*JObA|Gy$wjI0Y7FVYx`5ngoQviORwHezZk ziUfIAjYA1Ih?S;GR*GAwBx&u+PlUXIqz%xYCrOKt9JO}pVT`dD(VY;<2ED0&d*vhp zX2XZ4@A#(8LTOHC5+kJa&PI$!Lm)JIY7>Mbuc&UZ9&Qx6qc^~K#9 z&hh`v#Q#71^?!TwvoQoz1E)6r-y$+Y2>?UW(MiW>FNgIhibB4632sAz27s_@1#|ol zOaY<4SP}f+tswn9i{RiFIzjjn(;J&pC*KYe%A6k@bmr}fIsT8v?7a^Gjp#AOxVbNT z4ZE7Z^=U?uwlD?f77nT%?U^3ZMCTs9`@hZZw6Fz)y70@89ba7JxJ3IMl3%ar#qjGn z{5%H|AkSrOq{I<|PDpWxY)2f?DY9c)aW&UVo%M7+J}&9_cG@ul*}*|*VB@Wh$MvG4 zr^I2H6Lu0Oq11-b0gZei@kIMbODzE^u$}=DRX2iAWobaBmP0yb2o_337 zgE##aos#hWhu{8b@BKTYVQ!gvjRDV!uZmbR2U0r)pM$k;u@QQx2&A;;?GaZ@y#_s$ z0?T4WNqG2ptHVZ#aKSDhWcGPQYA|0BjPgLyF%^S`d`-&7iZpmGc-Vr4PXvw3KCeif z=7IDyS&lvB^F~r~K041n^`3$H;%rnN(+j_CS^Lmtg z&o^WIF2?|QnNoV@=(L+kLs~xV#q_ayb86aP8VGB4FQ(hBv%445Zqe*sOut2^xEH_w zQ@`VzzaXUzq+_-1f*)*dm$ZR(WDhpC3w*)_wOt;tBmk|H#4Z}gC2e4WgU#)dHZZ}# z=5|RN_=;$NLw*76xE!B@AU`*^OWME%5y5M7`vIhUji96rOb}D|*hzpD*BhZk%`0l! zz$LX4j*{z5{k2B}_Xy7etghks!1Qhn@H3-FEYpeNxhww{mN7o%{rntz;?oqFT6!iJ z${Bb}^{K}Q9nViNl+fwv1%{awZfb`PO*OOj?#@yC+?viVLm#&{kJ2NOfgrShaQ`=d z{HH|}ukTB1W@#jV6eiis)+We?QA}?sy1h5-d4eG3Zz}<92v%lxjrJ)KT(6CPHUzVo z1z4TKvc(<)SzH^%Y-V?0=u`R{%-(5@Vm7m&+hx8VE25G(L^cDsI@{}LkC!s{E?duR zX1D7je;x=Pj#9VIg0-35FOX^%gRH~sDoM%C7lXVw;3{d5LBQL5Z_Un|B&crKMh;2T zG1~8=*?E`LUVD+0Jbrcde0#t=W?voM`<=7r`?KG2j1bSh z{siXM9ar)G>fTZ3LB&pOW)HU@K3&V5@Pz*y#IwT;1^g)J-$W39jl{pcEwjroy9~3- z@Q!=sDG=}@U55Alx=;T6-xOo`6kXwof5Tds%_J}l2Y$?y6FD>Z% ziU6*G_XU;)JZ%ylXG|PTQV|4?oAw}qe5UR<>m90s6}Ni>dfOW4smchpJ!S)_%YYvB z_8J+P`>Bm37({lPb%&&eE<+K-d;)1WM{CugMcIkV;F7!jK_~mc5@S|y{rd>Qt4M-y zMvCuSke1f)IkcvyE-mz~9}(ok1%fx%+?-LPO{1qKG@^Ymi6!W+KDdqS8fW{9x7GC# z3WuqUPjXnN6)PICy3KjlZo=~Xx|?j@MvcBf(kV19L+QjvN>I2K`v&aXDcCm-s?Vkp z*te4g)pHUaFf)OjL*!odAVC1Ql(=Bo4zL`eZ(#l6c+U3)Y$dRR5U7vmb{yYA3FYT0 zJw%wc3l_T5O|?Dwr<&>s$pdC}{be0lzD)pAMSkde{_Su0#E7ailk6(e^2%tiwHWBI zM_3@Pv+aWV;m_xSgM=V-+l$~3AqanR7d#wRU=Bl^1}(Hw|2|I5sLBPmP_`1#9S&$9 zZmy9k(glsZ3@T-T*iY0{teDCth>=W}ZWkK~5%WmAsy2$J>q?3dQIUS`L5`7%=VOp$ zL{y}&Im|MW?+)S~PCo^bb_p}m{6zBH`*~7yOye{`(*E#1mlT4WX}Mug>%hc>7PBJm0{jcBWfFN7X~ z3t}*sj_O9swe_LeF8CZdhzjmda6YI#4?%hez9O=&oKA+uB1|V6Y6A+o2|&WykS7OG zKsb}JoPl1K>9Y=^Fzj5ym>rl<#pj4?tb(Xw_d&`jyy5wWtb!<;MT6{cL0YFZa}X7j zpzFnT2rSgx-YXQ}WU=tSl~0+lOKJ1dA(5U(Xp8JF-NIt^^+kOUD z8FA90w z7QsRLRv{RSZ)D{RtcfV1CD28f7Lr@|+kytbsKZWPMB+WaPB^q%s1si2qLUbrNY5qh zbwR>og#qBz?>XwymPZmiDel;omjHjWm!Rfzkp~kydtL(k)n5XB9C`_`-(LcL?7Rd@ z_G0IHxVwRuV1VES{33{kQ>-l>SdO)&?v-M71oN5TgL(906`b?Y%E3LiBRD6a2qL+X z8~~yXZ(TYe1ghg@I~@-~k=&RE!W0HMksJWyNPRrF)A6|8+~-chl&Udtgy2Ld?bC8# z71^e*v&Pq{2wv8Fd(+Rc!S&|k%6faT!S%)UL!dn%U;k!xvB}Am_3>g;C08I&AJ6T$ z;LYY@llw9^%Zp9*{UJ~v&+T+P2x;>D->fe-6~W6!(k=18$aWkrlr6D|vJB2vk~8{i z`R3D4ZIYI}a~E4FJm4mNYe#9Y!n3IeUa;5(YCH&D22WN+8-=%}IB(Hh$v~o*ks|1x z+!b<@SEoNYW%Vq_EYK%B`;mX;y#ZlUo!Rm6lx+l7ZjAPQyF;c}GU~&$@5XdOd$j0e z)RQqJ*{Sl!!ZHlDdLK3zWFi(0G$$=5Tr!0?<3<5z_%bqpHk<6)$CGw+SQz1@t1V$N zgydjRzEwDbol{pn9#biB7nsxrZ*FCZ;8-L>_$0D0C?G&`hk04;*QpZa$c~XBjou)J z7*=bZVBR88t`^0;yPnFnJ5pgAi%AsTl)UWc-@&)wC#NQ}63`(Dqx%io{4;(lsG7GzYzH6lgCXf}WAs+0rDc6?i&8z{c|+l(4qHFoU`d73FPTGaV{lyF6rQtk;;-xGDx#AQv+}g%i6-jC2Qh>flNOLc}reV(j!Iiu*9j?z`A4FsMxAN zrb}kT1qbUbj7~A|q_u*yr?x&yDhn{K!}#rw+u^=0M~@vAYl-mlml1pF zOJYzdAqRwKA3E)95)`{63L~VLfh52v44-4o$(C2G+|}W(?pG3*Vw111e9a)~DZ-4Q{BE>A=-}#wu_=&FyxU4z|-2h^76orR0(_jE$TuiuM8}{VXt~3>1 z@wxZ-A9Hqqk4jxO``kHzKw1&0Itaq$mSRs9%mGB1B6Yy1iPqh(0tlQDdJLxqa&0Jp zzz}-!CnNX0!8oPn%Txgbno>m&U|}mr+4n@*x(Xon(C&h<+AcxPj3qO?tipl?ZcAd0 z`j@P*UKD=Jj=q}R$K zwdlH5_gl{l%4WLVLc*Gm!*#O%+w4v!zLluQm915dv+|vxV6Rdr*i^-AZ(>oocPby* zOFw;z`A__-zw)`ygktV5&wzlJ?jVXOC{(n70lxZ{okP`u1iTd7>?9eIOCKq73ti{M zloep0qM>Y!27)u#@Q{jdY>2qJ;em?TuMu-*SwdpfrQ7h3%QFR}i)lceh6gHUUvaSE zp(@WD-DmCXc*y0M;f@EEPW|lgrw2M7^4)oqjtAA4SLT&k1?xJK|H{5VCAikT+`KZo z9_Gn2L}p}9SwhSxtV@X|xwiDP(x!xuQArovg1`HBv6V-qz^g}L{d7Or)*I1>odncW zbvteOK6U$B*FXK8PX_V%Ug9V3G}o;qg6;)16ykZ{6WQ%_uaRC zbwT*F$YEne%mhafyB4Inb-~>Z^G7xIULDnKj8jwH7D0d#El6KVeLa6`QMWD$=bz_h z;E#!=&AG)7cF8Sf!y`FQ$)k0e$H~PHcFE()``lOiu4KMDz^t*y9&2JctX415n)&t| z*Cd~%)%MAdwzBe5h)4#+WAJ+4O(m%R>;{c^hsyWcL*k%Q}IwoUGWYyXV16j zfWSIvIc?j5Uqp$tzQ_*efC_>2y9?p~RK$Egdh@ zwX#i+lwLZX+v#}eyi3Oe-B)FAR(#=e_J!N&cw*7gra<%DrrbrU22j4!t%g0zH)S=Z zE#GG~{_GF@!q>d(*A|?-W_jFdl-#AWjP}uvP%nj`u!+#TbZJM#mV0t{h;}5Wiwj8z z5W7GD^IqD?V6epA+lq$`%d<2F$PKiD)JcAg7DzyQA$y`aDOQwc%k#>cr8dZcb_67= z^{M3{GQs0vM`RTBVGrwwU>jW$g=~{tS)ta?JzdKIR_=PPzawa{@7Z|6GHWOy}762JG&nObl@!gF?ho*Rq3$CAL z&1l;IF`T;$9!=*5&b=xe0k~fMezINtVEJ;;G(#FZ$*f@K$bfsrqpgxup+B9pe@-tH!@qbvnHeA=uc-GUWA7pT0uV7E+< zr_3w+{HPn~?<~hUaQ&)7%NRNys>AVy@Qgzpk5^+I2QYTLP;%p>KFUO{x6l!L1Tn~0 z5=s9`nNo*?{SdUu7npok=p=eQp!TwMNz1!x`$cw^T;`l`#x=D%C896fNrNmYUOF zi;?9inwO`J`O~jC{MrBdd^%>eCs7!pC?FQvL~f;HhBQJ>4TF!HfCj7xlv%5_vW`K1 zlzNbnw&T`ZBPgR-Q60M-yXGMXM6_lDLNMzX@a|Ydj`Cxh^~|Z^LV^RI$Z%`1VwD;` zhuSLYM%6%y?GZFlW$54QF5MArrH6PUlL*_Nyjo`I%CbILznVqMg=HPqC!Vog|Ccv_Ev{wwt3Z)3Bz!`xe1wR*2>o( z0f|XoBWbY81;2}53!&z#C{6jnfAG5P*9MkAK?&Cfd9Be0!B$V?^)R)UQEmW^sKIOt z51>ru>qmo8gSjAB1g=JdQG>bQAR3I}xC@qSQ6D6W8q5U;5n0q=E(qw9_kOrev|Vr_ zMT5EIe!13!CLn8;{YsJyLS1sZWIqn{ER>@3+f;Bc=H7}V@>5cX0#^uhc>SBp+g&6T z>48Ep&=hr#3a&HX9#CKELf}^OO?mcIh5Nc( z^dyBMp(04gij|-Hl zVCYiMJ}pJ=*`MJ4(Pi`(X~g}zws&bS&dBn8-sL~~oUi_mKVh7V(Ezw4V{BX0XuSOG z50#6K0*yEQovu6hLgm6KY*);>xu{(DdfRrzbf=JQ->swuy+^5BW8ye<*3G*Dz%|~e zY(KJY4gfCT7V2Hbidi>@wgCs(=A?4Hgb<2Zb!Y_dx;+DMp#kty7qS_Y zpl+ARw!sg;k39`PAXDq(`p>GG?^5|X+oDXKORx3J#d!bn#Ro4vc>eO9WFa(jUUK2D zmSntq;v!(WN%r+0k%TYh*P2)^FamnRTh*_t!Hn+}45k^v7x z%tk>t|1{@WkQT@V6A--1oJa@31-FPEkTgdSvs(+6FRw@kp$G!Or_l~b8`VnNE<{k& zBra%kdIShA^Ih_abP!w+@g^)2ELb|E=OSM4m{34@HPwP@fosVGZ6eVr$KNwDI3O@w$!H)kEORAR{m8E1b_0M zfA__^0|>5D+&x!jGu@GCXATG{FwC+5r5N8++hr9+7d#%9#5X|@O@yjWLXhg#1wndg z(DD^xm}W)Z02c&I90_!;2o0eTOspt(4yc30n{dDqcslBmBY@zoAl0p}2&#Lf5d#cd z%A@(qP~8^674lTF;@XW&oSN#^1yMF&gFGM*z%4UFm{$~a>yn2x;v1D*n!1f8wXkGg zr&KwB)shgnT2pXpCTYFmI6L@E8D&+HlIk@{jxrT>djO!a{m~Vt=91v@l^P3ZUu|?s zy3XqU?7+=S1MB&>>g>HY2;r;sWTiFp9kS_h{6-tWQdVo`TSWUNriRRPYHHG&`5syC zJ+5;@5KI1*MokTkidu+8DyP(C7PmJk3Uyo>6Mwqf4NL&jT!u|ZJWc*jPkCwyMvrpD zcw7z#ujp0mAC}YDANmV7V>!W8kzw41tV4+-XY|@>=(QSs_4HH9@v1K);8yr`fxQsK zC1;$2k@Y(D8hbNF;+kvc1g9NdLEtJqFzDx#;{j6rJtqzbPd)ZTUVW_LE=pHGlmx zqv)()eTU{5bRQ0)&+bJxqG}S`<&Lz%>eK9Abhx#G;z+xo&#l_;mYpHL;;6Y`VMy96 ztp_nqEqZZfCP@}HY!iteP9VnD*`u+u)AW!UKEMUQDIAo>DPVjhn@~is=ztdq>kAGff}QDD4)f`3c7)?qdVw=?8g%{ zbYCYqHK_{@c9Qewcfr9nSpNsWlpMK=aP|-!tZa?~>}{I^6B{?BA3+owj_RI$iv4$B%p=IJ31%dESr?v2nQlrYaR)YMJP^33yz%vH3@Y6>wh@3Nh$TzrYsro@mALgWbT zYw>*AvwW)3yCtXuQ<(y+h_=ovuC%|4@Ku3knRbg>!!e^-gc1CO2bXVq^ZmEHBLmDKiV!)6e2tqh!M4ulzB+hVGN zU6Tx>h8s2ws)4%00i7aZ&SOOyUtbYDSJq60LaSy`LNLcNP)7VRh+{Y48EaO|u?&E= zWPOAn_z&Ati}CeyBmYjOE0R$hI$fnwUUf-ecy#(VN(493Et+iZc&n&d$d@Y#u12^H zHymEl{tLLtY1xr%T@6Y3sr zSb80;RNhmC>2PgN6@RZHSbC~P0RDrxeTEyp;vgKqD&`F#;;UjF%7Tg$=>f#&V%{Jg zo*K`u@loLLbe;8$z9I{Kl4hFj?B7cM+0{{-4S`z1vxpzCAP`hdCI46;iiysp1DnIU zQg!tROsqbjdac{R0Z4$~>fiueFAy^Eg)uk)*OvkUX8F?f%b?<<<^rF2j}-u7){Wn8Sr<-+BMt!mQS1oB8vf`{#do95%CnTO(PD9;R4^3l8=` z7YhKxOlOS2W|UHvf@825B2l>FC~RiW`P~AWG3=jR6%-jYo?m0(o&}j!hlP_G&jt4Y zDocR?u#^$l%*r(0akWy!W1PBz&1_ewO7J2GZi80Fb{JiqgpK!q#<`#X3S<8n{~ zJ{3i1hmYv1P9Azr>C7pe2hTlgLBXqe#PSm_e)F9l7^?sumkTC05M_*^S(iX~h+xl1 zrV7nk;Ql=$nKGL7aD;slR23>C8azY-CZk!=F0}-*3B}bVO#MJg2UH8}n-xI|M`FdC z(t)nA0ZK>$DcnBd`C--*SDUZ@r{jPW^C~@tfXWu2+R!qI#xirqEH8?h;KRaw@4~Jd1Nl zcP{BlX^jEHOV3|Ecne&{>10`qJ3j0YpwmLMR`Jgz-Sd=mpZNFx$TxlESX%A~?qV~Z zT^`enhsr#r=;FVc++dKNv@)JbPYT1dP_mUwmypwP)&oI7V6mE0SH=@Wrttq!WH@fn z|5jgPHlEwVS|_c7fWcaGuP~nLBT=d}p2{eeudzzYZ4Y~$UR3o$5PC$SHYL#AaK&_DTOufDA%s@>MG$458mb)o99vVGQ>zx`*%z3#vFZbb* zm;0ix`g8yC504SwAqr$ViF|g*C&83uCNcpY7-5>BiZPXBc@T6`7L;Bq6P$_f&PIF( zLL_#3z=AK)wc6Yq84_WXRLH8XF_s>ggyR}`@>Vk69vj?)HP1(UH%w9|&=7w()@vfk zNnO>F)S=Cku9JVG?PABVtE3#ftC=%ahej*d#@ zp{&)+3*9|TeWxu+rjj@?r{ih##`+qcAADYf~f>t_1%I$=JHREpU`p^zTa9D8~s}Bv4 zm{j#45UAkPQ|d!N@tL5FN{_NnRUg89H>hoR!^r4DXs?F%PLIlwUnrc1rujtX;Z`%Pq3_}o7tjC2p7@ma^K7S<=IoR4wt=i8cG>OUKIo(c85nYg{|v4mxs<% z9{Ni^{HgEygXf!vw;@6G6(Ed^tr#pgo>RF|zu!$rRLo$)Nn%kG}&tMHrCQtg=cUKqDCMSY4ZivuF zw`0tnL>MLLP=_N^j@e(lQ87nDx|FAhJR;&+dJu9!D$-vOo8q)gk%<_I(p`K?Zf!i! z`bP)8PI*op`0ZSIHKB0;=gXbK;iU-eIG$4%@F=I2e@SH~4lr(~utum2)A1N&x~q=o z3!NzgxSfv2^=3d0X5(NuX+FOsGt>FG9mh)sGi^$m(kTb-a;P=P^5#m{JWJ*|Q4Th+G>hUADzJOqjQX#NiIvIYpp^ zV3lD!><*H>1A?-{??n^lD}u9&OracU0>)%9i?L$PFru=iMGq*64iOktjjssedQgus zafk^|EwDUi7=ahX7$y-UYZ)zaoH}P1_n?{AROE{DsA|CqfnE?O;2@L9R(Z*qRA9Rz z$P`z(#IW^tO$J~7eNcVm>^(@Xc3u2?zB_0&)0!Bjog1pfztChO-)F>Mb$rTRzG+eM zfVa$i%BQ&%gY)!mn@{M1;)rU%bYoQ*Yodu>Hgc$&35Ox2bb@Y^u9ngd zUrDmxyGX{@fp^iITrvkPNmolVAsDoy=px&NpHeahMH1%}R{?hiGt2T98a3;TW5uLv z96g0AJO|1V5T`|^_Ot0J`^p5(vT1D~HT1B3+j9=2hNb|pr|dk0PyLEWbwEGN6yKAr>*|f)O56tCh%}h4!h(nhG9zr{IV`b`*9c9e(7IUH76|-p% zXW_WEWIke{P3_lNXl!(b~$X4JRb$`!%k3d2Mf&l*VNYk{xl3;TD8yRmA?-5q5u5*$Dq<+KIg$vyu zBU5zSCYV-mRyrBFH&-vj9m#G}hnF#w4X$0%{Q_)e=BgToq4HOE^@u1U^#vgxrqe0U)Lv>|5xMSNn@K z_D3yb1cS<%${FIdI$p4BnvSPWpUy8MndAKU!Sdxu56`yLGO#K6%u~B|>~TNUGnz^P zFm3tPs?#EU#qQ#bPeib(^n#v4HnPDqw6ySf*e@ph0$DAubtT2^xB~;UeTN%iOfu|sg%uY`TSm@0uEO9P!ptuuhf*3$n)`h zSmHHD$+D{PGC5qSDecOLl4rNZSujY<*x>7C}lTg;^ro~(%sVad~ z{&_0I7LZ>Y`UsuBTpJH@EGg>H*W512Xv(kyvl=z&njORkALdVRx3y#O`d7MxsO9i)hvr9GApdQ?VNg$L0IO&&A< zz*Dm3A7s*>)?kYP&IVtcv@p1ex=I!vfdnjQIPwxlCJQUuVPV_IhcKjD1!ajx5!a8< z0fAM%e(`hD7v^?czc?^yQ+}TC5CGZQ-5#iFY9L}S(TJ1LS}+D8AN5&Z`Ggl0oG_TsS7LXqwAK*Snu(XmFpc%a^yO_Q`j2udL0ow=TNfhuAmXP6l0z~tC{+nOCi-%XFWy%~SxjnFU{y4w8mE>(!~}s60aqE+ zG*{GYN(hRZ>4J_fg-)|tUkHl!wM`&XWZ)o{M0nNyKI)A6RVp7c-kcS}pyrp9)R?ci zJ?ymH*Sn^q8Ej2UGt!7|ERmG1Gv6Mh_|!rjFiN*`_I!Vk?sB?nPvQBRqk6hgy{A^v zG!pBm<~G1mu~V}~5rV}hRr-C|B0Yz9KHJvV6yBa-uAPB}=xi`LB4QPGxMXdhywFsV zm0go{!2wy>Q8|(iP@eDg9bsZYB|0hlHciFt(2hi`R#_j^!%k7QD_Ex-zA{Z#g_97d z!a3QF^8@jlFGqvNP}Y(YI~@UQ5AcXKo$G1Si#2Djr%k&>t-+f^w)2E+U;Wzu;XOYa z9LyR&x7o|X%Q$4l^Pym1wvPD7HRkLkdit-PMkAh|B_+%F7LK>oXfamI*~{Hwt;L!> zdC(-R3_)=kY(-2&P@ZV~PGVxK(J@R@*>MQ7Nb!PD2#{IhAc!T^X|OfXWW%{W%-TAx z)g?!bu^PAWph=C}O4{a20Od9}Y^8kCHT#`xHQ6Tf})9sYuTZEOEo_eI6WbC0@7*A}LKY9bWvScf!S~D}}dMA%GLXqtvJK zHC765wOb)aMOETGfU#m$cu-@2EmDvJX{v8Ms&mSltBRrt0ObAEJ>vx%R~G(ny!`P= z*XrXrQg!4k%yHt&U6)5P*6aH;u4?9j&C64;;n3Yu-I?BTZ%_T>r;~p2qyL|8`J<7! z(OR@;ZXD-<)CKaaNXTpf-(h7qqf8jf6+z945dE~npsHGsI>`kAX#!gb5NG%qa zI>`lX>=af+wwop7d}`_>7sUKg_A5!;iJUL>rtPx4xeEdWgv^ed8hmceiquIi7@(#l zj8G#;C(H%68@5tGdEw`=q*0u@!YgBhII+@{1Y)LHQk*bf^9U@pqL2ZrZb3K|s0rzE z3~Of{0DV)PHS^s;Ii7fBN*<+MD*u*JvW>GJS!So?3SMLVt5={Vr09;aChk1UQ6rge z(Eh*yV^|Y&za$qQXP>0vRl8oDhu8gGu#oF={;M+6lRYXwSe;jq{=r+a?_nQwx=-oH z-x~Gblj3O#;F@kv8JD@qxhJQSGCmSRd5Q;Qzv;b4i5|Z$`UAx)+I2Qrihyo8*)6qq z>r@jkm+)_|gg@;TwXXe~4?0gi==c84kN6LNSD@LnkInNz*42iBv(4n%VNfsaO>X3+ zv2($FnaQ=#<$_KTM^;BT}TgM3NqJ3bwFpTjjTlI3r*j+9l%Qd@YQ!i%bT>Nn0T%1km z?U_>3B_rO>Y)YqBzfNgYdhNG=b%ga-U56jnb(Q(-R&y@N~tS=kQq4-htlg0LlSKAB0Ra zfbtI9O*pyI32z$iCII?`z(A7n6a8*h( zWLPArFVZTPqC=fg+r_t8mdXgD! z%;Zu{-9yVV+dMfpp=$Fo_S^oF2bYu;v&{?J7N7EdevUoyX^M%W}ye^4VS#a z0>ZLXz-vW~Cezsxa{N@o38^ksoAh<)#6`;~el0*LPdASCMvQ3Q4tQ`XhGE+BZFEKq!{7SU-|_GL zjj_lAEO(e3$r2kXV{OqcSEPajVYFT-kY}kE3VJEr;g$r!=2){{*w&+RIqI+h6OvqG zPjxRx7Q6k1y)IC5Ylp3yU4(|sk;QJYA=rU}I60J3zQ!uD*seFa;&LVZD_O9v7iz5% zORkXFYnH6)g*Yeqj-|FY%x{E~LlbJX@>t)TQI)9rteI(`3MN#es3&SB-EmdBerU0B zKvrkXZrRk+pW2?ESLNrvmE&)eNWq0`O8*xquQ|AwgA3=2V@h!zfNeZ`7jJzQ5bdcp zXbvtepSf3)5#AzC;RpV&Z~C!cihfx|!h43$aPc4$wvH_@6v-&)+zmxL9)e__VQbfl zxE`85+XWlR;KBt9N74SUHai}Il6COTrD*L!168vk?YOT9<_MO?*enLPawAAP?t&#! zI$*A~dIoW7+Hn`eJOHKnKcHJ!4P*$?j=LbJft2|WD+*yo{?>KJ7CKr5%>#RGgpaYL zg0Jm+m)@=;$OYR@HTAvy7up>ZLUqKH@=kYEzB}9fnid}kA)v0>FC?iS|3+X4VZSUS z;lQ=cYU;?_*|#7&64ViYq|Vu^8w9^e+@d|Ech-`l{sxV)dJ)}BX6y^hkC<`p@c;DS zPOZ^*`@br7j!dSyD1DG0J;y}0L=Vh*a+@;%0YU!0^yiH84Gj9@FXIhCe{A`iisq&* z-xtmO=udy*g9&}RuH4bLt8tD0UWQ0_-Ie=xTRoGlK&k4S)VHfJ(KvNhGD*3Q^zDXn zUw1TIwgO4HFFMVu*$V87ZU+rRYgcM3kotBF0U6(>vH~FKH{HI3v1*K3Wd(|EhaK!R zRafJdi*Co*(oV;6Z3RNNuZ1kXvTK!S2X#Ro4vcoo*Au4nLOoaT9OnqT;Te$nszmJ~Wl zA=v9u-krujqUM8g6FOP7iWHq+5}e3k$c-!Q1^eB)En?y{xZoNSYh=Y87;#|}bJLj^ zB^R9Dw+lx^R_y7ZlhDytWCmC>yT_Hyyo)a*PJ;=S24Awmw%=Nu1{XvzPD)|`c1mQO zzl=H!CVAX1F!_hj&&}3Qr*Up|8e9^*D!59KwCY)sl)8jT28$wzaGRe}od%Z#0kDK&U|}NecbAGN;h#3&xRiLvIW)1cv17kR4g!pS*kJ?s|4 zLk~Fp-^e@neWFy#MfU`Qv&-;UP<`l=eT5-@=Gk}Nf4AA|x4-yeNKMU@+FKk4PM!h& zpMJ+r{?so7gg^VmT35oB+C!$A*+Iz8X;5TvSh z!7`=u2%c*#_=g~!KNl=RI=4W|YYZBKRMjqM<^**x^rLCEDFmsiU9e09JF>;FxkjpL z7d)&`EtSgJ!YhigqNwU3xn2WV!R*;)BU#Y=wMA9CBtVF&{y}xuaNSK-fhE^9@WItg zSI^mBY~!SFQXI4bHmY8JnNI;^wI^-=29E9uuAgdzeSm(zP)P)0Xec zYHfeRvw!>JKjw)i?mYdJ5LZch%C(-w4xnS(aI_NZ2HPerU1zmY5o9UOQqG3ttX9-E zz-G|q$#T}D;wGEU&j5Ktuq!IZVb5?6GPnNSXwub5?DGsV<5(hJ7xwbK!DXVYKh7+zqR0bculT)ki z&kB>Q_>I7aA?7Rpn!@bp57BV;E#INx>|4G?sj||OeXH)#BV^xHk%uEqeXc6J$B7n8 zphskMTO8BzpjCKkGnY!ks@R8i#MG(X)w0axBbQoQUOeTw%cyR7W>bEuC9=afgbE7> zraP1ms{=Cg9L6PpxGu10B(kfpa1f}E=XQY4FfIX=*K|CH{3Iu-j`5n|6y@ z!#-#4&Xc|S`hW8AKlFS6IJHmDvv<)PJaz7LA)!LLPopUBB39ssuSmubgoJpd85~Kt z6@t`?E;u;Jn$D364i2cMUUb31DbcjNE?7dsz9G@nlrDH2oDt2|Fqa&j5l!#ZCC@P< zTJ2syC6e7^KpY%Dr5id-^^4A?qFn`oD8H_?U!)_8`xeH#H00|B^I!`2Lri zICuE$e7DnX(QJ8drYZXyzw~W?<~zrdv4fLG82EY$(U@Ps)7>+9Bqw9HLjy%hoQypn{fiZuIYrAz zvm!08ub2|2nh}W<>uBOM!qszFNKb(Jzk}IQHK)62ajmcD|MsmMf1?y?L~agyYD9RS z@dHMK)7;TT4=qRG+>R(%GWBV*iDD(l^~acWkm!#qQ7rqtt`oM}dZ!xCsTHJY%eRW3 z{a&B(|9-?1iIho8Q64T>VG7+z>!TLZF^g5pI8k}-FZP2mu`c}@PTXq5s7o`cxIqSB zBe1rp5o^DfpZb9Pa%zxpcbKvzm+DpL&03kVcc98{DYYf7VuCiN73Jx5ceRfOO z%1NnXuh%vtD!#QOT1*gUG`d`r&017IUF_dUU1-guwDe}h*S@}bqlQqAI^cA=J&E-} zbyr^~5K1UMxzh4~V^4hYeg1Fk>H9>fq~Ki9`M>c0Wm;iUaMGZa(sYVwh^(8^L8Jrl z;8*h^G{;*VP$`H8f(>-T?XpGSdh@&tG7_FLuR{Q&Nx{h*>TC~p@oQa6h1s)zstTJk zZ?~9vQ-w5gi&`@mUHh{f10s^||YQuGL~?l9(3MN=jA2*VGX}Oj&y`gGa@q z2D(_#o)P!4FEYnrRv%_8s2bso8{rHHRhy1oTrU3?wlqHF{rntz;?oqFT6(yNY9{nL zBcaz_WWzDdSu0{&K=2jws!uA&gVWwu!T7ZAd+jGZ`ESPfGzD0AdCcGzpiu&l->`31 zqq1G_-KjfWaK8c1k_dv#s0GPUce)_hPl2|B64{|AwjCUj(R8M5Sdu(A>#O*u43?-n z%Zf;+nX*BLl_;CIM(R!%#Ml*RLh7&eT6z-l0G5oVE4^L&6?li56{$PRionKerVf)@ z+Akxz(ON#C+lF)ym;W5(1f*ad~?=AI@BDuq`UHLxCwbR{}Uq~uP zF1gz-wX1Ev!pxZF3kiXSOM*WNUxdFA`WV{#D7~;QiC;#WCs5}^PL5ge&t1Sh8LNp^t5J zBb*M&w+DI-z-D0J_2@Dg_+5fpQW#H+{-mT?s$S@BvYN+|meP)WE2&e;vBI}lGTkp5 z7vtytrfZ8#Ac4R^DdMt^aDWvi2L>O^<~5G};3kNsO8 z`{zEka2)9Sa;47zu-~GsE>;!L;xnuchRd}#5N0+TB@`9SXV@s)ayH!AXE+>I0P@pk z0Gg<^UQ9d`ev}Q~K zNxbcv3QT9u_h^5>H_>kdy5Z(m5=PPZ?f`E1&w`Gst@Ng&)&euxn+iZvM{0@c3)c?H8Pgipv{E$%$EmNI3a`ei#{@aydb9;Y~d4F-yeyaNJN z+mLLhNOO>x#T%%_k_e9m#e&9@mQj3Z+kLy}MXfGbq=5_w(`Gj^l;fBQ`gS zi#6V!vfO5Mv8kE_HVk#sa+}S?CX;`xj~5#TwB`4MKzl$w|7Lfw+2#|jFE&+I1qABj zxt%WfaIx9vich+?=RcwcwBG3|C{~ArXoMtNWnJlzig-D>n<>Gq2OvuSA_mr zWfOb1s9;D{YkTJ|_HMx>Wt&ewwN2{p&RuL3VdPP{>B8KsPqdZW;wP#ayqtUnwt75q#_Y7X-V0h1}%TnMIqjdX{7N*!HJ>%isOLM;9+uN4~h1Y6D;}nGoYM zn6TQS2%;`i4A|m3>w*V(e$e?4+~XMN=R7xy52p*l7{ew&8^D&zz`-oYx7Y;>Wf#n| z)c3O>A5RyA)kL03&7viEY!_StzSl0e#Z)n|^JtNk{7znx53mcy{IS&jnhKc%_Oe0vP}0m&^Yo~>kcf7Tnth-?uXbR_c)+QO~E?~XO` zD|CLPe1|YPlH7}&sYU=~bDjAXF_S2s1G1Ge;IjJe)ja}uQ#>(H7+a&W{eXBp#J}?2 z6LLr;;_OJOb{=-|uUr!6rpL%}avMpe=Cg}`<&ryX1>qBd+f{v|QE!AUv8LDD?|09` zJ^gO_Pv!gOx~UI;8VW>L>ql+sdZ*G&>QR`%;(ovVp;P2+!T^e=PDAre@HR+27>G{t zab*(;?{S3ROG;*8gvlUQ*)%vQl}(iGut_B3%0?XgUn5RXW5nRYWS;^)0<>bX>2PwY z^UHQRKd!g(Y2bvN;#1)V%O+l-Aa2`;1;Gn@dO^{i`>;q=J|}G5^5R-_yVI7ZsmsgL z+qkSDC8*7m76=Gy~;ziT=&{8=n8RBjRcV+YoUH{|9a-Gh%aV>#ft4mh$jc< zu84PLE5=YQ7`}Gyiny^Rxc62tP$eS5ucL|~Nq&vy`)D7r zU#H^uSUo$MdQNNR+hZ;P*W_Ta`Ztnvf}j1k+c5yenhRiNTFHEaj!5rlNp9h$DV@E# zLy9Rvih|t@)tZlF9Kvvzfq2+c7gF6`;?WYa_J9FZ^i(s@XmHuQTK(%ezzZ3N*`Km$ zFf(B4AKs>@|6~S$Ye&C#(&}I`gqn*;Ni2Q}t&})24p_o0RBBX`AoT%_-UOsBj)(Oh zu{(64LXAH%uOzOwG9$2irlJD3Trl})ppv@qWGK~~p za`Kwm$_0^K=A26;!WKZMHm9y@M9NtWJ5;o6rlNDW zDKB$r@vrT|_o;9tnyKP-E>X;C*Q{>O@_@uXB|%?Pf1^={Kuv2GEuvO5B1W;Vw4=!& zyYa8H)!8>l!UjCm^KGAtG7@V+A$N&g)xod^{hU zbiB~qBrgQPVU-})@GgDf+6xg@omftPo)QdV6QSnmUVyz1vlsCAqD!aUqS*_$nfAVK z{no$txjz(MfMz#5Dd8zZKoQtbf{Z!Z5ZXj*mF3-IBABMuLHY(ele3c47rtW2HDF$k z06?-}&hdih24f>&kB|)(Vzw+;rFakUKvZxmLvykqb!|B{=GAKEbP3O)xyGE}1!z+z zeeYHX%^Sg--z}(3ZG)`8b(MqP9``Wmu-HhP2>+q$DN$DsgJycxl`B-Tba3C0ug5 z+AF$ryVI7NSaY()7VAcvR)0_fYpL9(?_Q1i2?n~cpt7kaunewkWOMGlA$qdi3FbV` zW~SQnv^@d)+~Idx!a+bq$yD=wEwxaz^raVFvf|5aqQHb(v%Q8Px2JtJJ6>1%;ghON-*f*X}mG(c~;fSs~ndcSGNtn>|dgNF0A z24c|4kPo>A*^ndtyxKlzLq2Gqvmqa}&)JX?_oR2j}O@6WRYHp|Wh4K*pFYIbE z;C=CUBbcQ7%>C8UR;bmh-Dl^(Gxh2mU{|xqxZy|0niJ;;GDnzRo76rGA!7C%)bnXd zF>;&QmQp~($jtOts4FS)r{iTiENxpUU~c@RN&&7ngQ%D@I3>oHa#UQ765&RSF7@uy zV|06}XVX5L_PGP}9Wez2ovF(Ofdk+3G2i{3cZ~uEhVTFxI50S0lBV73%_T+$FxrCE zs3MEcZ^iCGJV35X58?qNAL=U(;Q=ym;5dW_5M^vD0x&)R2auAZNtWb)FC0Mdmo7O7 z2cT*9pRy2b^uhu1-5G`h*O zCz(9_+rGv zMWM@4;k2bEBD*Mje!?dpm;e>30xTz^Pla7Lj_)X@ciXH!Ms7M3BLhTkoFNRkLBTfj`OF2-=G>mCHov7j*YNNcV4)B+ne7J z$Gz|X+xOp+?H1V>Ow5<)ks|NHoeE>#k6vfOz!hm+d@rbP&Mn+bZsGfPpZ*cwGR6T& z59 zxEh2)Yrk0n;!brDD~bbRf=IfPA0AQr5%ZuMLD4}bX!aUmSu#I}2S!~az=cQI_sG7PfiE{1JrUZT&%@D=^vKAHF%rG{|@GXuqG z4v7E>!aouJqBs=SW}vVKeZ(Ho{ALvRbaSUK~C8WDz=#oIMN!o*3D=VdEG)S~owoTQMOC}xaRC&YNCIcw{^T{~;0Dd$Dv5k_ zyCzk&uen{U(DH>g)cq3AV=I|&PteLjWp~!h_Xl0&rv8^3;~hxfy}Ci`otc!C4`EA^ z)g9u<82d(`RHz>}c_IB99Tz+6WO46RPd z6l?EO3Ik6KBiq&}gpsFU%Gn>D+kF|9R+)O~ht6Rv6+oB?{9x6lqP4UPTaOPR3ib7T8+;*5bXmWZ__j*#(T98q#(V zKVD(d4>n&0yhXxjlE1fjFTN&xFa^z_GChJxFB4|K-KaiEIy=3WvSyhuvtmm?zRpQr zff(>`50++)o8dCa%x7P~yn{jNdX=#%csbESPe&J2dYs}`hR(SC_kWQg-CY2T6=oUE z7t+(o4^Y^30%I06+nRc)~%^{)$V4=XZS5!!s{AeO0rT`)0nfN`}sHTACxZb8T(9W=mL zVH#!qtwsM9Nrgm_6JsIFtoiq;KtxB-<;mCCvhBNFGlPhD?^O~kC~BeXbkynj?tr#J zSw4`$M4Gj^PU_!sov@w~<@TY*1QX(t`vWLBBn_|vk8ZnWb%WGO5kQ4b?n-i!PS^Nn zdZefF_2@AD+au)ysqFKAx@13WS@wCc(KfEm8;Y$cmMi51djQPM#A%K8vR3EwnS-6S zS7)#}rh@6+m^eEIYjJo#%2&yt!4*XrS9 zO=J)U_<{ILQX6!M!L!>oNDNM`UQRv4_np1!-4Ky>z-zJwKy=Bs&Vc;+%-uk&}MoXiXTs9 z(2TS4T9Te}uhajf{b5$c?s{U!1m#B?#^vZEabAMUNR!L92-l?b^EHFX63NSsWQq-O zcA4G9VKGO`ou)O@V|;;Vi^+0!#9~qpEL9aHrRNxH$`mwlIvRdeTxWWaFF@8s{#&jI z^VhDKo@9J?vAuBGkJ?ccXCJkbYK-dV4%CkMxx;3D?y%Xz(-F5=KILbQpPSvX>8JMR z4hy%>9cU!|x#P!2e(na?B9XnEDLGC_p9<_IvY{=}6v;0u+%o7lD+gl@c1tkY1PTbu zQdRmG0__1g-%57N9H)Ye6YQDEqk%wuJh#&YgDo=yoyp!=eg8F$K=O!WI~^}fSaJOz z;{;u1K7Zp;fey1ef0I7uW)vOu*NSZN;$nlMj+Fes7Ky!};`Gg@p8_>!QE=dr1W~68 z1M;N2odp2}TF-okp1*wX7P)%)B3%Sj`60^s@oUqwn{rqB<;sG6KP?Zj5jy-~^%hOLE0negI(jI- z*_~JVU12WdE#Lk*fAd=-7ot#J_nIBpyF(5YWnB<2fLfhp-ONWuf5Qa>bq(@r&0N?n z_%Naj4OE;^*XUbnR;0J#D+cNsNF9x!V?|Mq4pRn>M{4uvDiSj6e2w%q%8E$dqVf#I zy#NPEb81nTwj$5~EIdPSNvKl&twl4spl5@DKN3GDpIW>@KewfT;5&i#PIE=^ZNwXN zNpsl|e}FGsGv-NBKu>n|E$0VG6wtS3zB|_LCNYiCt)?~e?b)tuBp;wm2r=rfF1ZlD zPIP*czlPLmp5gE{M?JUVR;kmTJvR7j>Rg>ftk&ze={n~CIq79Sa;ugXuzOM*GwkWH zfIaD(6?Ui>hD$?mJ=oKvJHp_$gof7k+OjYt5Covi9ucAVYLcjYjut5Aid# zfv*_duh8lm!`d!r^_;jim?+cCUE*gdYhQ5>`e9=g2E0=AORSg^*Fa|3*w~F5ln~5` z>p@0iDr-OWFrhI$OP3rZAFdMD1LVUNy8D{DH3s2QT_PC`iq9q;o$t;TAW}`RlXbe1 zylKVSgu1Hs`s#j-V8q0Z8>NQV!*{u%B#x_8YDlB~MD|=xY)x^Gu}7uO-LI!%njWj} z*D9DBXq>RuYeZxBsnqSe-v32E8iWFhcy%PH;a?G^%J>vO^cS20$>f;rf&vr|%VFh9 zAZwU41^|7f1-TFu2g?POt{j4Ej8$q@6#v%+eQq}Lb2g79Ruuo&1W_v_Q9HS$L1xgb zDE_Yr9ySY(lO)=8X_FFTMe%=4u=Ftk#44mj`CE(s>nkqThJ0k|x;eG_zrG~coFyZO zVw`xOc}ewuO%ihuz&(twvq!eGv!?jJz9!O)q9`$Sp!q(dic-~&)z`|brx8a~HB((= z3ymh9sLAqw&2HJ$b9?Hrn>Z|K!$(gVHtMlJCjph1S%FR?7Z_v(x=Y{)9$9%Zw|77i zlwM`vQ`25#wd&0Ys*WC~E1nTm*Ktl~bmi@#D@~n_+@jVR&FISWpeuiB`|;oPnh25< z>gpEVfUmH97|abeBZW1-}j3O}2o~)Juv*FOmxkW)w*P9ov)F zy&=mb+z9!Fb{JEW*BrIzw7SW>USY~hq%n=|FPri>^wegEJ}01Exlcy@jk*b9Ty=&wiH!bx;NQ!M)FFd2L5M{GdHOi%CvXgB;t9a+9LG0Y+T(=YgUe)1bXrod!0 za%yu(F^&vw{4k@xyn@tBLnR7^y zm4ds~W~FitDY8=QmGmU%Q|BB~fFFD4TI(E=k0a|DOC{>jf1emfwt}{AzIK&EBK?di zy%m0|R+0gauNmXW0PCzXL&!E&Iixaonc z<-{Eq_=m3<w2ZlAWCT|WpkM1>Ntnl7GBSdgDqUaaBon4O|8(G|29>8G`&%odXZMmx znA2hE89mBTq3I~({`9)~C}{dDUi^($2DFu%xAtEIQB4cqJdO+~5)?b5xk0uA^f5S& z?CGZjvSLp$P#XAk;RH%Ni-@(^L;wpH@pRj0%a0hZj%}Pn*xL(Xr`@8~znDYV^MtV9 z`*k1p^e4y2SS_f#kLHzkYn20t3S-LE7wfXNoiY?4J-&@A-P80UhIF8%%BVo zHbnpppaNNC&4>`9$Rom(5Fai=G((*d;&>70Mx5KMUu?-2YCgYRKHOd zzL9Ux4nq$_61ZENd63qu?$B`w?gs+maffQy%t;2;q(DN!c*z{H0r&~klk}m(L=sHX#n_!phrMX%e@yk{nz3%uovP~ zcV%iQKW+Ke)H0O+&hI-u`0B`XDze|rgyH-_ECZOEytkN=skO^!0idhsg3v!us6;%k zona;fsfUW7>LCbjR+gR%L8>7aEJ_`5lVuS@kXpzE2V2;wgj^7fcg)5DKCyz@6lEDJ z(oDGE9@OO$O{0Zfn!a-@Hy;ug-0hJHW>yl`qBB-a%!ErKKv%*t^x0c&H_k0)!X?q< z&Z#Eoctnr78r&pFGf^ZFmP<|)Cfq2)l4QO+g@Fwd%Pe)9B=hY-{TGxr{6?6cTHo+d zMXlWyNTA*qb6!=6+V?>hUd!vU8QZuWa2nVrpVHeBe^s>cafiewpl8T zruQ1E8oimjqN*pTPN{|38*o#adD`-Qn)%oN{73!rXNG3hf}q!+tUL((@ykagIm8et z{-GF6cA&%IrZPcCw!{t@up#cD2_8166x9W_D^MjvoRea{eZ@iIMFREwha4bYL_$=W zcG|B4FnI@`BQ+>r^RV9nb%!qwR7pc*d2KD4lw}v*tSa_=s1oWo)wLKXRW{g+7MF4P zNVBF~W!k@U4JtaRZlVU2RHwvx`bf7hlG{aP`tcYuE> z`?$mWOWDUYus6K>KK`Z3$MuezUjC&Vg8)6qauvPd9{#0#gHWVmY(dGB`Il7DKDytm z>uenToKqcYAAHxtJ~+0D^p|b2W6aOt2*;->GPQJapu43RrCmx&5k6bhUMlsvRH>M! z0>G(>N{#+JrGXZkESjH8*fGtE?NnQ)s`hEi_o?>J`_0dM>KFW~f<#cytK%etSq@nV z0;QcRHT+7cbQRYQBm?HD8W1T2C5>u=`^`efiuIA6C(DY=ER);f0)j^Y$%gFvW+(Z8 zaj=Sk+U})MFbh^r@?xppH1HK*Xs=h4EVp0d76p4bHT}>^aI*I)Z)$nf`9bx=DtUt^ zxtm43*oVwzAb(=lhkXe4jZhfz=~{}$-OV0Fv$z0XL}|l^AHRg*MsS6S!+LS&DGdRl zBHDtU!J7cBpXB9nspPBovP}%E#|EnLVM*_GLu3Sa1$Z0s`Q=ea=jV1h9@m>$Ujd~U z)~ljO((#O)(xwDRp=M-y+v#e?UbYbz@7ii++VXvB=J)@%&;GODHP+!_gpN=%+qLmd zhW5y62D1oI`B8n;ng5X03}ykCKH9F0pfXmh)Qt8cvmXP<(du1);~TP?3B`p+y%o%A z27b(1d>-gb%W1}`vzj@gy;ocw5D6JMO$g>#9CM{Ov=PxTP&KtaLWG@Tag?aYZOyoO z-;7Hb)m)*Y0{Bw2m@1v96X|xX!1Qm~Ym&oNC-tQqLnXzhDavVzOub^F4C;xOMPnrm zCS4g>Bgi9|G(xL_@ZeX>Av(0MI-q*zA5jG<_gcDOnTM1vSO8YpwX1aw;^TTIC48Go zWee;oA5SHfbQS}Qv?)JNs4&oQ<8?aOQ?h6OR24SkQf?2IGVK<%W?;spoClZk*FN=M z`@#uiAsB7!WFa+BpvI+CWFak{K}@MbIW|Ov(&jEtAPZ?vEvD2_B$LTPHelBfBn7Id zinR@VgqTu?h7jQ*g3Db8av`SFPmMyKV>3{BZ15gpN?lUeHGeT2DC2 z1K12?tvYBE>PkEC$t{TBq%L{{X1e`86)pvS5X*=}u&}YTxz2ohwo90L|BX6l7gwiT z=UUtT_khLJl9QZ+WX-Q=>`+tR!|ry4YtR~U6+1^~hh7OVBlaO8B0UE>+wDV+qpoxs zdZM10EMz7NdG?+6-z_v@U9yl5{oh~v^jE};B-H?(Id^?hPJn8!>kOf4-Ozg3;=4efmvB&uYm|b0n%Qh#67NFkhfK+%Nkgh z=F4Pdoj`WRASTx6xJ_Xsh?n&AkvxYs|q)*$Ws z6cFJ7kzsq8m9h>HA?Emzci>78VF3QnYSCFU-ye(;HozZnFP-bmHwa)HW~%^;MBcu0 z_I!sBXCf&B$^8)o0M&Jl8UWR!6}zk%fruL;JWQtIRA5S3?&0=o;%dE`J|JPJ@c5+C zeE>qU0aaCYZ?MBSo|lwz?QJSdhQiL=pH&iVB`Pw zeRJms@?For^Cg|qIzT}=&)9w8{^g4g@+f0QuEtTRACX*h*Y8e6L)K-uAih0V>cbw+Kv_D&{1hy23qK=jKH6sDPKh<0JQ+=;nC_r9 z%62u~r=_!DJHlWV4Tk7eR|^#@(&+iAqrY&C?2{O%5Twm3g3(@hlpJerS)|Ex!RRie zIj>e6HF;F~$&fWMkL)QT{NVqE;V3e`tH?Jpl|7@7{lQDojvJE0)M%ltFRyyl%5fq} zbgfvz4IM84(~UX~o?__eRF@HlD^xmMpsEmpq$d2YB;RIDxg9#6br%fljq@Q3yRD8F zcPO2Y+v)f=07KSxaLkbOyr$!Ez3`{w{7C9nCJY7is*_K)vKtYI?EqLTL_hi*- z_@9`aqmC#6jxCvaP=2NtoLA)Y;DTr~QrC8i-YLyy*)Aw8Y7Q4H+=p9q z8>vDFL23>c1SVWh4M!dG*y>aB(QrX<_iF+M6s$G|C|2ZC;)2^Fe-%Ppkh0M-P6)~a z|`ZZVvjn9>wGgflY(36m4fI8yWMeHab3A7_a|AXn{bRB0;@~Jv*uJhbpooCuD zYVE{a=Q&TE=L4_#toy%e6m+}7xLGd7_)yip+mK}uT5*>Amj*q)QT`(_#shmsDWUmKJbTL|zDMRb5!;io_(Xe;w@8K$&QAdKip zOlyW%(9}kBA^GLBDL+qy7ec#|S`yNG3_G3nPM<7iug9ro`R0MDpYfl3=$n4x*A<|u zUZ*E5TqhYF6+@4uWDfFMoYkSV@#9`DM<&ZD1uj>FH(tH%wK^<%6H$$!vqIHmbqLOJ z%phiX1ZqKs9{C!xI*dMBa3^SOCRWVq5YMOa9H2bZ>h`P-kDzP9siEYKI@>d!x>ASm z=b-$lq0I6%(O8U93$GK?uiIcVPfUAqw;rbJ`-o(F58@A=1R$s{hB z|Le0i@i$5);GHK@{a*y;l9I9eG%~9%J@zBB`qFcMF*vJFst$hkEgoliLk@smw9lyE z;asws>epsDa>R52XN4@YhzG0QL(#2u3+^Hm}LzEEJPKRGfIHUaRut>m) za!sI4S|uWc$1aKNEg1#qu5=X`h`Nf4c(F&*I%=Yn1VE*F?s4{{nJ%DaKu9QO-%G7A zzn@a$@w0F7h4k#mV6@2&A&PLx|DV0L0opD<>w}UuVPMi2sX~!D`cel5*god|I`@ZY zN!=}tbamnz6s52)o6RQKhJE(U?vjQoc2t;@&{pY}*on=gbetBdwH3-o39U}CbZo)s zSjr5oG6N(igD@5=7SZ4D`uJbh|9{SN&bgm`-e=$49GJ~>pZC7b|D5}LT%W(|cf~}* z;}N$YzjeqOG3n@%NA!*Ao4i5-sg)!~u}FI57`UKD)y{j9F-tJlI1M*ynI0F zh1efs>oDUk?SJG%ymZ1k*DgF#*-rc8e6xdxsd&4}!IP1C>G-l8`!~FByWPbO0adwv zyZyzk%7*WnZ1@i6o2L)%wiml9eGP%?`pI@2zr*?B<&6U#E;?MFxM2{e_LuFn|K_60 z>GR#`qN{#?M-L$Ve%Vg@<9ze|@77T3)$yqx^Zwk9{c*waraoT9LDs8PHt}r-OuS^S zF#QnUcFwCup7<^)!#j7eRfLh|;-(98v-ZS0xh;O6${8M;v&Tk^Vh4+$SMVawKP*=Y ziTx@hZkp6E>C?&oYe93~oIUQkOg}U#=aIX@#8?0BjouaRvP?f*>Ep#RIg3iizw+3x zd||@K$@_VA3*w4ESGot$B_le*y7oq!h~%wx!LcSHd7oWyvu45vNpAA2H;1OquoJ=e zq?v;OB#p4TgmI2y$85#XCL&STCRmt1lnc84)wE=O=x%#rhbFa~az*FMBaxj;j`k%f zM$QgC+L%=Hbd)B|ofoR&hf5B_j~-o`U>IyKk&q!5$&?=!B-8qSaaNSB|i2=o6*K zLb1E-V$9|k>9Fx?crQT)D!Iykn&=@y_=AL4_#aG=RrX)vqh$YOJM6!XZ%O-a&ZA30 z0EC2K+8;@?s)tJ=q71$iEQ5&-dJ*-OwItss_F3{gr;iYmd z%$^lHSe@yRW0HIeLrRr>M@RWS`GNOexMu{xG+%iRJPMPaXTYN%Rrzis!_Ma2qNO&b z_`^B3=o|#wpZ}IG{-w$BGI*<*7G+QG!7{QjKZP!X4@I$oz@#@gZqU2 zg&+ZZA7)q)3iE& zgcUiW0`bf;PxC2ji4nDy^&CGB={nW6LliWs;ecSa54quT&dPB#N+$%ft4062sKl|6~5;8lnV#x9?5d&WTU! z`K2J{Y*dGy%<0iSsF7Kvq?}^x#EPhqvXCI#p0F`VuC2aC?RB8?`XKABZr}!Rbqn`e zRrD1UUrO3Fs6Gj9Qx0#4d(EqaK)=h^niB`AP7r~PexH#oqJ8r;{T15FUHQcn&d(Mt zrtpe~>6K{;zwR&pv#WOo*j+o+9NlUCYL9d~z`dCRL%j!>LjuX|tEMG2hK=s_f+fm= zG=;um3>)2`#j076rqBgr+~*!B#zv5)un3lEpO|gko+C}63#MV82b-c|U*X5l6uMw( zRl^XO-egQhtS)HG%}5dMz|qm1qv*UMi7DBFGXqOfuM<}idM-KIiYp1dB01KJD>Xou zd@0SiYPu7EUJNyDc(T}5otqphtxkd?v3fA8hX6`HV)EO*S*hG5;7*Txu!X{-QT808 z*{k%O3x$8Fl|E_gO_e%S`YLFd;3JdKZ)R)?7&sYy@n5}C2P>c6c362_-I#=O7uOP1 z!Sj3E?1{fZQ(tNJXLuD1Z~VodSbiu$_<_Ii&K(7ZhQe%Ci3`ThWGq8$f&z>o=PuFf;t!@~|;&T^H| z+tofqQa$%y1goVmX>>&kX>GM;zD1`U&QE_4K>h8}vq>z}QJ5*c);90B>jM1tqhstO z3U$1j!0xbY7DqoT;8X);z>&)Ukf^P3xPMqEmA_RSiJr=ECRR^ph%m2-jhoHMoGcLW z0EQ&>E!|1UUiE+;(772|OZ#&UG6OJlU@y`EJKocLK*=`*vR82HVITlGXJ<}9#sUD3 z!G&pm!K5d9Bim_zoNp$!0N9bI3P=W$=n+(=*lum6|t+n*7LdZTifm27*_D+~k0h!x`?TlEU6fh=wt4dy`9Xbb6`aGFqk=xlZk zy9q%6Vp~TdTiuh+ZK9x)E5rCyQPTW^vT^84YCF zGb+u47-%X(I^$AKT5>giHxyo`h;%OPy&nHo%BPk^#x+)?yZ>Fvr#D+ZMQE8`bZN^* zOSmVH?;}X&(2-H>RkO)B6c6@o#i0-0eEsr&{ag1hg#SN9*bXqZYBS?tkyQbgr7yg1m7LnFJ%EGtCvoAqUyv# zQsyUszJ$A<_m`JDvVc;DinO0p@zSQexFrjSt97lqls&uWW&!7F-+9Zo87u-&=HMaRV(`?}?xu|ibQcy!Hn$ikyEgMv z2M^&EgNnC0_@w>|Ef?*zMg8?ZT&?&-Ru!mW@@(U3FH!~0^;G>7{I4AN%KxEM@=piQ zc@0B0blAkG)3ytDVZF;uwW5-5&E;GOXK#;i))do(U1|--Qu?^MW8j>o+JEjR|I=^% zkpP8g`$pR}7I5_a!8%*5&%;9>V~^OcI%ZN3s9-Dv?Nw%^5Z~Gb*97yyR31=)r>V?> z;vJbF(oxFG2Ts5qcw9ms6D$CCj4CvOusBEYpnOFg#D_91ru@;YI3nD^ThU5N=;M+&c>#q0G;i(6@pB||XPYoR z82I}H2&wS9WUf@4=6o{^9zZwLq&rg+dJj+r8am%{AVbY|Mux)sE>qafX7U!gwe`P4 z2G_syzt@$C{kT%!dY7sQ9_q|ra&g#obeY&Hu8Hfo!jMdl^~J$QOz!t!Qf}{Wdaf__ zNP;UG6RBxt6RI`SgN>t~KzB^95+Q-MY^Nt7J=qsWOqi5#8KcHP>esB99__?Y5nvesd1P-v7qo;9dUF@cE$;sB-67E@~}OUyz;~X|I?hO zKp<>K(2@ZYW|GF`m)4})?~-5~- zvhtv&Ko*g975Tz#tMI16{+J_oK5G%`0uBSbO^Upa=iz61RD>&LD$@xI^kXF3!IRG+_2%=e$ngjMFFM`cKz82jUbZPN7DYh84p1Tdw!ew%SeDvVDV8ebQMlj=$6G*n z37PV@r%$tYh1~4b>GIB5Je2!v{}*a+yuWF z=2kX>$@XD(7~CLQNz59MWNm0*MYEWyW;zwQX;IbdQEIhr)e&q9osJo)t({1Q?-3MC z)k%cgDf#hy=w$csX23GaieOf$f6Oc^A!=0Jq09*jM%eVtbdRCyXa75-oga=Y#?oV0 znXg;xF>oAHcPwS}K_;Sjrg?#1UWpLq&2uX0h?^sX6^Vx^eEa+%Ag5rq=Kse>$w z%y8S_s~PF}n?L^xANhs=DrwQeYYkSycw`ScEyUiKj|~)1TTGOo!>f)CD>?wmSyu=Z zsN^fouJp!e?!(rwk+uecQgOE1GUf^M6*P?>f?^w8aJ3_L6kaeKrB*Okdb>?W&H)NK zzBN{qkkD2fEizY?-s4!6S!xY_fkw;Bn(nZplh;j7695W9^}YeA&<^B+bXDm+U{L|< z906TzZ=;6o{)m^gjp=`Rs`sp#E38rabX=uqtB#@ zizIMNaa9vYq7MZ%-*iBUQ&U_hlN$2=e83{ELfBo$<><4i9Gj`QTo_u@@e#;k{nXde z#HCGNjL<2h(-G)~M_&LRCC~=~Ih5NGmq`49$PL$$LB-i?L7X;ZP@`n^e6M-fY z?JHOe#%C^g*c=d+F^>o!uEstgNF7%MHQ|UASIE9g#2128aV`jg7|r+r<7nCJ5aa{s zf)$UYrrbl23eE*H`HZv}p8PBP80t3{#E;dK`vDrU{V`&Lie!grc@pwjL0gojJuSzoC9qXCIn%hh)6K^hqs?9iDH`-UtuW|Y$BMSgq>N9>6e&XFq21rLC{YO@#+t?KTFAT^i^ z9#K(+u0x=+Lx*0oA{CemqKw8OH4bf{syOtu6j;3Gudak)6i)BLpssNNO9M3mvUTMd zYb+O@vEriA4tQ58&sh3Il4mR1;YtDxSr0D|#*pNhw%}6PPW!hEDd!Fg#rbBeDB`uV zu&UyLq|<3UW6@gv7&Gp`)hwPdt|c7h^F3o*??EZ8dCLzetuOg4f8pD{Z4!sS7P@g{ zXE6M@O=#roOiAMa3XeoUj)9x(jJIe3IwB5LPATN*KjHA#GAu6(=HMSrJKD^kVvg&B zO#jP*R9c?*z*u2}*RNNiFSB3{{mT?jfJ}|hV>mlw-N(>wYvezgUtMKqP^SUQqT~qR zC$^F)B!k~eMM;ghUSm#leI={xO!4$j7__5+)i}*6JA*+ptOCIR0A|{gl){o{XI3k1 zcLP{KW-rGznpDH;u32xdJCdS@OFrOLhQn{G_>r|hXi&{1hN>E$tGwXw@unu-EH7?k zEwnnV4=K_VxVk7md)9&|{cBBunUz+!wnI5DDKey+zwgce^soP-7}%vszI7-KSA>@u zOh&EyS2>i$^MsaCprBhh)y=jgLyOh(1eK5`BSJ7cl*RKzcr4mJoHfmy`-;|v4Cvtr zfj*R37R(N1xTrwjwTI3QC8`AY4OEZlrqbX!&arYR4=@KJ2xqoEwCH9(N%TE2cMaDQ zowL;9`6R_>aLE$}yh=VC`F$CNTuIWMaLMqbaT@Juif(qv;!0y=wAIt?D`rBnzaPHz zmJ}7udFJ~Q&NM=e`slN@xF~RkXjM~L%S_fL9pC{s|29FlT7#jc0zHgpqHXIXwZZ1o z7&w*Y%qTIMf9^TG%fv46z9Os;-Q1||v?-pIYw~MP?6P;_Tt9kgF1Wqsf_b;7wRcN% z!Ob)me9^!EKY!jwBc9Wn(f1ivd5;YeOzNmm`M5|ktv&)Fu}D=-jLB>}B#t#;)z92uNx_DyQ&m3NWv z4#GHvsiPmkS{2jL^X;+PH1lt}o~I`19Y0SHNf9IuFKD~A+KsRI5cmu<)8<6@V0QNz zBGbpA>?&jpiLuv2^_qhDzs5;uHYu5W29NsuF9@F6MA_mqyn=j&xdj7zJtka|*50uy z{i9$0(?y*Uh~MY`V!im3zvt)pX6O?ok`}XE77UUjZ;)(U z=LQD^qe#E!bU&ZMTrOynUU>E^Mp-GC*E>{6A4!s>`h;*h5==PbM8c>Rjk* zY0Fpg8e4rN>RjmLX{$4|wPnSqbHUh(Wwfu5)*G&K;oWbMvscu)$ctYWh9q5X=NNS^ zl)2hNiv~5pQs)Bpx>pdRt@R%hbuJ4Vqbh_PrN9yW`jk2s5OC@c#Lt#os;^n_7yt$U@w8igEnu2oZyoAe3PfZxM>WHGD0X-!HrtM*U1+J&a? zZDqh+#__rkaKtV@dL(nN&p(BK8b;Onne?Kcdj-9VrNK)d?tq^K;-hPM59T!#R6_9{ z@LZ&)`~qAC9dID1pzlZtRzJMz7U&an(IG#et!;qj^(51Cez89yNx?M{SNETb^q^nt zcL30tq$DY-=Qlm+7s$b*SOCwLgAJHt&ywms*m)k0BtH|$eXn~!9R>eIJ7DuEiP00i zDzT!MO%g=X{6#qWW-EF7>gY)iT@+roBZ>yC9#YCITNCXPAXnv@>us-uuTW@{JZ&~? z>qbEdRc{uQs-q`WbYbm>SaWyiDK~{j+nPANBeEY@6JAyQ?#_G9(BPflGiqa?-~Bp-!pzR3I%@jY(oD%!=c9BGko2?XZ)wK-oSf?<6ZYXd&iY8+T9j!u6^Cf zYwm&dWVAuJxf=k?E5ClKaT|@t*-d8Sb_F9sCv_DU$aeU}Xdd2XfIa%U$2+y97dh=O zjp}j!?EJ0@P&`%+CFgY>rU5iC-97jI@-qVEgHX(HVc6MRO2?frE{k` z8@H=43H{U6`O9`X;iGh0moNo=-n;7e@0#B)+i8DkRFCV2hU{I{ps*8-n0|2Yg5~R{ zkC%rzclB!d=3|d`$vwDp7h7m%C{YhK(L-N0u~e6lpS8`GNPtL4WdjDHA;VDO#U6N%-NjKa$)LP!g=^T*8J4El%yYB##j` zRHmLma08CV#=}Vt<0Gj5D4RNbB6Nz>D<*%D9YYR2><494WHcI;RguwXSXLnb$K$f( zFOnz1@P|WjS-w5vaaq1U<8fKOK?#325SQgU1Q5RC58Jp55k+;2&}V}<0X`^bJ=@1f zxdYS(eI)uw<@VP%GyC+g;YhlRw~9Eojb5`)4^A;7io%q*2Jbr0uZ34Du~*agsqvUm zT+pdaA*Q>nbDKVOt)Zryi2ve~O1Ca;IJEt+kcKZxe#amwx{8-S2pBIN{GfgY74u zdT&UGUwlW!e2D=mx{ma`e(;IU|8;2wf_9#@UCGDefn*YF4PF`2)mqf7Va&F`!iR~P zDu^5poR!=qO4IIwAT`v+Q;gW|wbIhEyWk0Z(2@zlP%z1?%ehkgJ3m$-2#i1#;Kv zC8LNUgCT`%kvp?}3bE&n-w?HGwboXrMxIQ!2mOk04CIqa4*3#l=e=kh!UW^+MDl;l zqOzI4FDKpz>M`ne-K$-OrT5~Yn1Nu=?iGqVhx3(*snP`_oDlPtrwPc*Q~Um=xBuLK z{?_QOxQernLjb6K@iMXuk!kKEvU1Ko9}otrf0uO8(W8 zDu^$ystGc?<}IbO3Dp3;;%VMKTk4APmmjFFcTnNFLCq72h(9PrD(;T>U))2<3+RQs zfVo4tgExB%N}}pQdd1WvV-y{x<+Tr*<^@c$U^%giwdcfL)VzSNd4hwlPtX8~a(a3% z@QCrrU?pcG)#v>5YQ@yn1luDcb5LKSOw>MXTI=(puVIq)AzC+U&VPtdD@hL#w%UgX z#g>^55eqqrwki)L|0yap{f7w0dyo&&NHu4Hj)HEfs)lImUsNN;+f7i+s#%3)%6JN& zTlOVZuQJLF=>fd9X@`k;o7F44KBXGN@>^96658Sf8k6ma*L%FhsLoZtUwC@+{xkt; ze;J#ej*seGmG6-1S>B(PA@;`w%bS{G^Lzn|i%2$;O}1B`D$p+ z(*XB3^HQjqrOnFfm%Mz*>4&$#9DLEaMbKleE97RcPIqC>>RFC1#UJ?Q-}OBo4nVK^ zCT&1xd0o`AphDXiD2EI-w3(g)paV_FPzQA2LZp=eQD2XlDF<|zxk-GJ&;uE-y@wXs zd|_LjbH|FHc-IDz5X=D`n2R_r4uX59GYP>Q(Cq=fP_88eoh{Q-4(LD>qHUO%m1O;_ zv7)5X{Uke#C53^3DOz>lM`}W<9MGYao;-^p*}Fh>KnKbwtVsx_;+eAkinsYGy+RH zRQ-d{;m{*!;7&V$x}+*$L!e4>%63v(Py^63Md`8w99k?&@KZ=%Bw4f4KYMB&&No9C zP#XYxHy>Y|toXrAR#rT#_&IucLdyz+;rV%2dp|;hRjIPjjde(LTMc;B+)_UFBKc{4 zQjhzV()cTxk$B!MYNOWMx+Xc zajcM?OR6x^q#J}iN20ccH0FvbN}05kI5cLXx9G!MP(^-{aXS1?)UjJZiTv#x(fO#e zpI`@N08{=kqGL@E1D_Z&%8HV0&Vu5qnc%_4?hrFgFD46C6>orwu@ZhZIDwm)u84sJ zROM@KRsajj!8tp=Su2HB&)GnXjahJ@c@9A_`9;zR6!u75 zsCiD3H2E&sZP5+EByoq1i}v6WnHNd;VYud$1j%uGbUI`%Nv3`|&u)J*NLV_0zC9RX zv_>);Yof;9J9@r9MkkO~iOsx>sFHS;}UzlxNu_gmindQFLj{VHLW1D#C%B9fG6$+H|I^*1cLf%Lp? zpHplO*XAX8OL|}+*X%=hK=Kc4#IO%H=r?(mu>C8Iy;W`jZpB1y0Jc9PzUT*48Q_Tw zc$@MjM6ODvNQyW6J$%H%Q31k?lxHQG!VGwoOi|E%_&u_n_Q(0=eh_AbE5A)g4y665 z2x5O}fl8YaW4YgS7fu?pjAu7S+v7fAcIlzy`tY49RXkFDp0|8!)tM`P&+q;npY^7I zUF$*~g!)TroDMKF-Km)euWZpSXwznqPZuNOi%2 z&qfKjr%AHH3yTCVRx5(GM$aN0Py>}iZ>;$*1hcvei-ePHl~~qxQ8YzSQt{cbVr`MU zJkRv9hJi`4vPfV&Qac0VUh74Ks|-SIN2qOZREzcKI-$y`>|te*ltu}f9&LK<50WIH zuX$Xb6mbqsZ{udtc~%w)EJ3Qj5&QRkQNBUl35jym^cd*e+;XH$;}ixvsVcizhC z7v)>jfk9S(`yOl}tz^DOM?4i`yY^@+Z0%uXk&MX_7HNz7Uak2Gut*YItATN{4l_hr zbheF5@!?o%GfNfSp<$_YuY>M26u-y6StRe4s2|BQkj>fd#Uj0uEYcFI-ySU5RPKb+ z&|38+J%2M4hTr$L|LfPUgThe3Ol+iWC-rey8ygsRt zfKRPYGr~TGivF5S7>H{}mG%NoEZ^E6MwRdToNM*Dav?|pdjspa34xkUwB&sF(7rPuZSa}rvcg+ETa}Ih9GsP3l^SO zaLe~d=&3th5O`FU7fDjMLpa8mC03;FEP`n3lt2VSjjTT=1gSe+(0(;jtd98(LD8Kq zxmW60REI#Z)c$PIokh}nZcZz06wQ}hqDq%UhEIp*ArCA=Ix5NP?l_+d9k0rHCQ_lnQEGKz zZyFZ^17EcKhejGr3Wo{H77URi@x$~S)XrzmA-fnD$)bF0FtmnUjuo?u(LvitH4}Bm z9%p@aG4Klr#HN|mRA>G%wTl6axCC;j>sh;)AA8cw7Ry;as4-EF&5VXFJ| z1N0AG6-ed9)?U8zUQGib>=E8-RDX5Tu%+Mk(?9raZ;p||nwR!)zSIo0|5wpSb=|Bk z5=TjQ0A!SLF@?_`nF47&&UL`52&o|`3iG>d10vCeB0op)M<}5aFgZ3-#lC8b#6o$h zkQ{?uyWo6#r^d+`LUp6%QW`Ne#m2de{e$NcU#5uB3VNtjJ2U_30v=1jYari%Ilw7= z(=>13Euhjd$~JaDyjeA?sLHS8bGX<80>i>qe4oAU9zH3_qO{Xc1ZSJiS5o5X_}otW z<9u`ZlHElWzD44}6z(?hA^oqk8mU22N23JW=SPe7Y@Qn}&d4(|d~vA3#PV&V!$jcc z{&%l^>=U6mwBf{yDBeLgpIc^y{HYXCq=S%Nx~+lNmzu*@1mccd1ngM^z(m~n5ajdV zf}?CT^wM2$l!S&)hzkM_aW%gSy>u5GrFY>|QUpiYT{5@K36rG|N?gJ2LU@Uega(6FkUnv3V;M+)9Ap|R52_;*_S);1bdS8{V?`Pb@h4o8!*Uf=w$?88>>^6(!zHcS#5HyHTqT(Sb^F~$*MyU3^U2fES^DrbjdPTM zy0uKpjWs8|MAeO%O&(nGs*3Ed_h{4q(=X29$a1gI{|==u^c>D*+4L3t@6gKeZ>e=j%0@SnFFNlXhd^!UiH@xHc^o*lFjpEa@*&o5DPa1O?IW^ISCYWQ(-G0W- z86GAUG>e3oXMXgE(=hKa#__3LIldYCMCk+;^W2aB1!`aci`^ht40Vp=+XEejs|ysP zfW_FJ4TM5>4NSp23(ga|CRscwQrc1&S+>my2mG;n#-CZy-vY<`5IW z3noUEQ*Uk@jkpUYMi%rQH!ISJyC4E~Hhcphv00tkbJSXZ^Q>sf0?GgN8r{P6^-;*T zUmy(ElXUJ0_)g7P*x{HD5XiSl7Dkp6ngF$REJ^0OQy5tQh3s+B2$a`2bf4Co}{D|ZuXxEg^Lfi2| zp~38iKwEtKYUF_~7pKh;KZK7QUf=fBP&}nR@E1V(kjEKoBKOw5=}IwUl4VE?2Txk(^w!t;#D(4IA}f*^YV!2R7ol zD)n#h%z&ghMvK{+N^Ji@8yvJBh$2;cb#E7Nk+WC#^+nwLYp^1PEmfw&0 zja~Kob>w24ux!Tw7U?73!dt6+XOWv^B0l`o8r8*5hF^@bxS(*q2}7VVK_Cq&|= zHId2k;8C(MYVg8Wt>sD10jQ#_DF}o6dWI+29UM{U^zqiOS3SFv%#Mt0Rv> zw};cwrOXHja^Jhp_Xk8%WP|2K+Vw7bcHi)Zp=3!(ywttRncX-1kTvUqI8RhfYof_n zW?Ze?Ocq5EzMq>p>6Ype#fV?UhOA=eChByr2g=9bKD7TuHmSiEDDh!Z!0N+>0*6-# z06=JL0GuW$g{TQaW9!ho*q;qVmBm+X!gN4BS84xtrXC;!6`*3GVP_*uj_LSlAgT~t z5U4DUY{&7X!zVWN@`Q;|l6rl!kh(+v4`4TLQy>gBqp&HJkr*cUVLr~I>!tpd#tmOR zQg{yj^|YEZAq`S9ZjpfGJbEf`R)x)WzU!s__F$M5zvH|9qd)eEDR%`%i?sUi8Gs9{ z?MI!uD}D_q@vKudBFk(K4W9!i5;J<+6|*^^ty2@-#8)LAed%G%I)IumWkAxB)neUP3P4p2NE1TnKT2q#?XIdUb#Hd%`y1T3~=g z>m}Ci3J^yEInoIlb$+6DSGLS@Q@2$lmp=?h%^1#8Cv}r}0?% zRho2x)T3!2M4a^OqIb4PQ#=z+>EiJ` z7?0=2{?<3Y?t3S>q&1yFLe4&Zm|>YCYOJ{!f}noV6o7qYtrbPE19c@$Dk>9Jng~H! zR2Ky7NBtA(HPZZls!-gwMD?-nfNj@x0yC>U z-d)mKa{=t0H*X zD^C~79LTrV=O~KICBc-eQx}<R#iP#fhuwSP58GEcszOe!ZR;EQ^$Ls@}mY# z)l4`;i=}-qmiEa%`6Yk-=Yz{wZ$@irZGr+)pa3tn=8mzVTapt74j}srt$=(wElD;` z+0t6I7=qx$Z0%yUv|+npqgpGLEiLeS>~LqAc5gJwZp3u%ke}lb^Km4b+^v0*Q3z&B z3r(q2awgt2gCBy~(%QgeG?SNvx*5ieSIlbaqt@m&Db1J`#-u)e&ux-6Se{NyfUag7cThmGQmDRtr z{*#Y}+E-G8mVE_0^L)2a!WJ=BX;XX(a0tZjMl4)9~_KP6e=9Q)e z>ZKK=+IPX(>?<}d7YryMcv6LGYaoqDweKq;WaBg%3IgrboFku!BB=C?5bOY)ZUjZ` zyJUyyXjl@BT5FI+x00EC1?GYAA|(&fb&)TSIMA`C*gHyco?Cq>MeV!fOJQ`02yCK# zQ8l!on|0&d+8~dW|BF~GKIQNEIlhTcb7VBC9A#<<^O|7H`y30cO+}*7Ub^ zhZRRjp|b$e(Up}qI|Q?r1{V^et%zYj^+T0p2xccbXyAdLXkEnYL>plXz0R!+xX#{O zPFEEY_|s}HQ>(LJcA|r?9n7@tuTB;g6fq}v4+*xdLx;+V?l62@ooJS(T1jeCzmn)E z!Ox`|3)i!Dcqd)XqFt&Fsy6}(q1)R^Z|T3~#FqWbyDBlRt6z9>OH`QslS3uuf*rOVvT&o z6O^Z{2!~Un(h!s$02Aym+DJ0_0HvF13_)tVa_HlU%;Mr`8ew<{N0U!dd}bnM2=aM7t79+arIjGF(V1ZjYp5-Ysek%EB~t zGfY$8^npM3-k%EG7kpp9u-qu?7834ow55#>nR@hcOWr^P8LvoEN4G$bixdPcBNFcx zuh<%f`|=eJn4l{ttvyVmCjCQDk~+5Haf6JztjNy1=FpPVDTm&2o&`E8w_5>xBMVZ_ zkw)1MjX;VK5a(_m04b|~j3jkzMa;WU7&T52{J&Xnwp|o!qIPU;p1b`mo!$``^lVhv zqTW|DPR-XhDx;=$IN32^30D^g<_hLl%iKAu+;rWx1W#xhL;M(B&e|myc0{(5;b^Pk zFvPc66=#!M)w71&PKA+{rmmFgknME-Y~oIva&^KE3S|5msSbT^7hCu6c6FH!nz%)a zt$Q$a>tBBDTYtyLqN__I**4`iT8^fz^F+~TIl4-@ja8YelpCSvCg-0YgIS5;r7MW)k!f2h!s(2tuP^bC0CX zezg;su#TRh>$SD9b%~8>V7@}TV@x#i$K0y$AWzT_a&@wuJ$$N6SAcY8RG%m^1OFQ<=JadY)*`Q~Gf3X_p5 zio4j#Ob6IxqqSr#!C8u>cDW7kE*9G{qLZn;Yn8yI@zwc#+N`oiuRJoHpZ~*;edMtz zAcm2YF%$=no#<;TR(i}XX-synZ!ydgHyRmt!-9n%A4uCmE7o4g;r3|4Zda6;-Bvt- zq6MY`hd%Cw`X&PsBxX0kBfxZGePCRW|CR__zcN3j92y>vB+}tP3#=}$C^5UOxZmy_ zh=FjlS+N3Qz%_zFVImzSuo0|*7+yLA)0|Z#;`)ez_Ok?moF&pRVXQrP1;j8;JRE%s z?0$Q6$*A}xI_Xh$x=6>J{TLkxj zw^DG3&{yoe(PRaQ*%3;hS&{10R~#kum3w0=juQGxUD5;(+v5s>CO{x)NmB5!9SnL` zd;(u_1Cy$P;2dktQRn&ch`6~wMQHb&z#ONu)6-wg$=SZ_A%GR6;2LjJbB@^z7$^sV z8&V}T3Xb7mQSMIHPUK5_?8*$FsswrlNss}b(yp1!08*GtC*kNrYi1*DwwKLGJ47JO zdFI;#mtH%6ju;x;J9@r9R{NyN)~=Sg?6Xw1xVNhG8a@ft$7d;QSh)MC z4G|KO*t!wSR_S_9LmTN;PD6x*n03+|x^fyyNQj8AHHg`1C>^d~$QX?sQ$)D3p4ls6 z#}p9)Iwk z^P`kjlM5hkZMXo!bA4;^+TsE%F2JY8n742L=1>08jJ$!3wqV|%wd~o*RC$Be4nJTQ z0As8q=g26(^%W`?U`P9Bd4o0`kX?W@=55sro^#&dP{zEicS6KbnbxcF20dS@asg1S zv@vgRx_U8wl{Y{Y&hiHRq)wGSE)bj}I7WI|i+p?1n73_jpokP$+yTM(E>tRUHG%Fy zeKmWBvz!Gnu4;uJblyPSi>mEL4~)EleTZhAA)~KCJ~ygAHLA>v!aS*={Trvjle_*G zN!}%Iu;dNu?DLDyFBDqOOSGG(MtCB2$r~iJ_%oO9zj)V^da<5;=JH;AHD?CMIb@ap z({KOy@BJGoZy>-XZ@VcioU1J-C=i*m>9x3u(_kH(n4!u6kL-m-z8WUv%~E4JL8~?HdApLOz?>BwUGx51qzM{ zL2(*P@UYvm;|FcHC{nkA;xzb*n;m0(fM`G--S+ENy|CS?BOVr#u-ZLIfrk0d(R4py zK}VxUh_lqZfgk{o+c4s{WzoO#_^qGur3pnQH>0)a#^*!( ztHu6os4I((5M1)t2Q&{c%bo>mi%uFJT6D}r*0zi=SV;{K#cQK5ciNSaSF9~Mpl)K( z0XVGH8O`d|7c9K{4xhGv*{#mb`Z!J%!EXwz+$ z)HHzEX(K$sngds|vgoL}n3vEIB$luFE61YK^s0N|x%pzz!~9>nyJQ4iwOI5|4U0a* zVNf8}wX6|=Scm`Qef!Uf*hpJKyryamBG3?fV(k?msS3b*N3^Eug2rQpK9;?LoN|vD zHK_|8x4@ZlMa-ZRR-fD}NljV=0prwONnkWpoyDQ4NnH?wa!LOK7|F?%cnC5!a>3wQ zv&p{s9H~i*AQ&(u=sJ`8!NRqM4hzA##)^}=j4DKQMfE}Bfd+)6n&x39 zZJY{93Q!ceLp-;*f~9_^^ggNnr`96sf6Dihc7Uf`73?(6TkX(bc509lbZ9ktwykis zAfKk84+X%pXb6CDTIYf#FjZ$2OvTQof}`ZrBPfw_>iGpndxR(4SOz8UdEteSS_+Q0 zS8$wni&~KFf;qYw%+de(eZTPc|NDqEbn1}XN1H)}!E2xfpmUDtX2!;DUs?N~3tDG2 zXS$*FA6{=BtVa9jf?yZXdK!8xtwt9s(muLi41e3BE2LSG_R$4l!F5bMa8E|RA1l&6 zx}Xhz!;je=4Xzu4w2ws)d$U{*mAVe*_LE~_=E99^;?o&YJb9+xJj zXO1)^)20}spykfAX1+g%W2Xhj{Td?$sx>El12y=Oxh&xZruXP~{lsPQB`6#_WRy}* zB*Xw$R@b8{JNi=oS4DtOvJbg@sOL3QbPVTU=o8l-M<^}D#v3d)KKAG)z4ORZ;_3!_ ze!EFu1@BjB_?{7#NFLMPiSQc+=c@O-iy6yH)H84S)-p2cdE{UFZ-4*C-}su>+k3$z6@2tD-Ns%Qxx`}J278|fPYc3-MbJPED{7g%DgWzMR#X>9M~67ZrynASF=XU5lr?}i^j$vxUzTgjxAF0AK^lIrkPM^9?$!rUI9zEY9V~FI@;qW?C8JAl}B@0wdTAR8AM0!%9R%x|7I^TScu9e=SM23HYW^bgV-e`R$k^RqWC-?OWd7p=e33^8l*qqR@!^0^rvi8je@|*kBVkVN zVk2WvmEeIu)zl^1kx|)#fG0CHkr1fjnS?;SKeuE59awSj`jw0p2!TMGlQLQmsP>ob zv_H-_6A*!g2P`{ze@Xd7f@{a^*dG@xZ|-&%yPR*@?Jss!zHG-gJ6*pW&NmYn?Y0-Y ziogg0?GE{X9nKeTPx<}312kYhe+X3j%XXZ9x4G!@@T=YFqN{#C1nT|k-{0YU^ZoDE z(ACxPrREpc4?E=Z#|6uq`goNDn_ey7eC*LKY0x`&v4w}TFeYIW*Sc(Csjf@z^Ia^~ z?ejm39;|YQZ=67>zu*vtk3M=CgsB^t=v?-79M@I5zUyf^g!2FEi+4SZU8SCXc}1p^5R@MxKVbG{p|kX$+8p-anMc-D4d^%l*$E8La8qwLCG_KLqNjO~8vvw!G)Z%xz!gV=yQ zJbbInkD&rsF5TWl~qZ zzvAr~8;}?Sj&LOD#l(tY%WOq+;GlrO+|{lqO4|k1hoK;9`qhe)J`9}^3n)PBn77ul zm7V_?jE)|gJY2P9g&4tf4z`k-RwZ2DbUVNa-{jzWbDMn9uc}`3JXbl+{BEX zxBxK`xY6KXlBB(TBr|s6Vh;vS!DBE~S64KxnV#MY(Eb?r(AFK{B$*!Hiw+|MQS5}( z+H{Ej>xWHCYxdhgB&04g$lMw<$oz*0er0-yu+=_9a7?FZLESHIAEKArtG)6mT3RMv zBGtl1xD~?5RGQG_B!l*|auSs{G5n7$;GdAH} zqbgk-c{A`YwK=XPU3?@F9)Hoc8HhM(GSyM?%+bvD1#!mcqxpZ4LQQ@e%%-kBbLy80 z549hvAs(&>`YHI|=2V(ZN{wZB7Yq6lEofr_uz4-AB73nyS@H~7idAoD@ z0RueFBP5csXb~uqkf$ zmCx5NP;dvUG3dv?qW zq^-mh<#QLID>`_R#YIxE0&KK{L3<`1EPYijcw(aVOXeJuVIJv(!8v#i?J#oJXc1q-G(K{{kR5Ec^pr%iFSJw5+pD1YkmXvuf)A3Gs<$ z+JmA)KLM<8Q0aF8b3&1t&O|P|&ddvlFMRxX`Y-&`jNIm9)D3DT*K|Sq#=1d5(%I<apzZt$FKI?b^h zpGAUkvo^vLjM6iA?>2oYG zzzjWg^$%Bn&Cj#Uu|z+iT5vltHXP>LGd{H>-=COc*_&Eo9i;x-V~*ttR;)eG>JG&m zOC>CdTRG`z&@B-24_vOg0hU!XWUhYKFcP^h5j*EtM|VN{O3 z;ikkVm0spphC9FtscG(x?NlJ!J6twt!L6CLd9 zToL2JT3mL{BVvduIhP=KB3!pV$10DA@4-32*dOCk`D-HCIm^(B0i$s%A6oOO+cp2V z{#&-NW%q1hRSeeEKJ?jnh7i1AQ{z)!wVZ8y-F%`1kh@-=YjcU9{9AH}OAhhruY2+v z&~w__0qU@S?1g{)PyC~xT2q5E5>lbq(RjnuVeU4U*z1TM0jj2OhHXrPd-o-zLI+;! zUuTy+g;W^P+^jepQh{BfjTb71J%&IbFWIbEg;X&-8U>j~Fo#rWc=X;dsxVbl zu8&Tqfa>0^rF$V?A8kzZK*^^^bD(B>fwVEvB&{O?HT5mVD>bKCg;ZsF^x&E~q>Aa$ z>!YQ3(=W>RCryvWJn;7D`39xw(HNImNzT&Ws#$L=P!dJjD%+yQ!Hc_z`>%j^(VZzjW%tG}S`B6q#26>{Pw9)n6(On^ zR?CxvQs-vN;y60>SI53phWFAM8uhif}#i$vy+Q@5Qx0Yx0HzQ+&gU!xn_o z>Uf7R`{S54VRNgnu{a2NV<23d|GYC5Qg4XG8oQi+c&O@C&9u+Z^JM#1k;wm^x#vi6^yiLDClS;Zn}+5JeUpqFk7~; zyB@CsAnkxr0F-H7T71pWa+F`6=9Oci#^jQ>y&4*oKNy&la-F5Tdv* z)cc-}Lwbh*?~%4O-Y7Y(ZpC!C!HELyL|a6ibmv5R2(ztKN+JFnQ7# z=f*C!CR)L_AxI^RoP~{WLgTIS0NsgkPkw;(@+ACS*ZCapV3>{!%+L9Y<*dh>*Y@Z?9 z&OT?bHia!;GfaFC5AcZH9Di#BWw1JL^KC3N5WdLae1Bd^`E1Z~X&w3!V*Lssub4+% zomoQ@eKWU>ZE{G;1;VzGT~JEiQ*DFO=`d82^Ms;8gfAH3(mkq6suJnHG!zN=x(jE> z09EE|WDiy9(($$y$jI{|MLV>yC*Ls{o#JkLrUMPSjk{he8+Bw$VI=I6M%XecYHJ#oWqH^rzIT~@5=YGKKOnXxdzA<{U>|`p?lyDuT~ks zsp$@Mx_mf7CkV2pdO>FY4BfFVB8QxyY;B!|v*A%XZ`FE4_B-{ZGW6(kjz z1G<*y=2;R06W# zbD2=5;(uHN!!{@+$=Y#M%!(Ua7LvohV3!@JDL{Nv@qK6dz5Wpa6r-xEvHgeZMZ;}U zYbxz>L6xF<3}=IzvD#P*A9)XkEPxleS*@{KBh~jvr&AXta!p?@Nx)H0_$7rFRX-Yj zw$uaDR{^CnOjz4`Dw5BH9;n>fZHN&GGlH5y2Q6DszptQzr_929F2(YfDQ(h(!(r>t zPpEN_>}UFCnC))t*Iw$s;5rGLJ--#fY9C5ei-`lY(%gIQ`Ry8i= z_NEqDqVf)Jen`Rj?@ihEHddCma&A-)u-DMOsvZI)gD3gTj}pJ9AUr?r=4^@8r3T5s zho5uuW=iT<&|Hpd(0ki$`^W>&2_Pz?aif(Ogi!}NQ5AEzJNr$W3x0+4G9K1e$T0Og z|84d;LInJi{(FNSU_h2XunjewS=qI=%IWr$sa@UO8c&)t>C(IBy+3diz;EnJz8g&vRgjcz=z z2^j4qg5i>rVHfD@0xW_3`tt+g+1o#M+vQ39-s0_QYgH3&to5`~4@mKGcW7>GeCV^U zf~597`)Hg=JaB_5jn;|w7$!U->HN`O1@(gwvsG`%j`j~08#C|NLcr1|!~V!IL%%ny zU)1Xz37_cA?a45VI=2`!4;$9?G{F-hw(#mg^sPe}a2-rr8u~^UwCWp?A)=&>J%;_= z4O3K@^;Ut(Ao$tU)&k=ODHDxEV%sH?`VO9@6Z@P23xKMV>u6X%^JV)mu&e(eY z<-9MAlAx0y=}_k4I_n4oh?VGF}?~py1X@@vrt1CSnqcs~utH1q`G%3{1XCFtoz9#!v$SD_ zNQm=^RoBy&Lj}|X1jhU0Ryh{Cln=+l(It{H^U5z7l5h6&0>fi0GRMwYh=rPLahJjX`mgt<3aShiH=KL*b$6gTnBy->HmoecW7uQ6;M92{b)xip8wR_8# zUI6!dZvA)FNVHHSvBe79O~*77mp$jk;n=sRp94)BdG(51QYO57y#j&;5zc zu3Ld*G?WE+~!Or3IOZ8pb$uJXh5)?3L z;qG2cKB=PPFNzZwc12vf(d{D7e?rV0~n1qe$J+4bC!CiBGZNxFGf*glO7EIoX!rW2| zl`F83I9n}CJ{5A6at)!OudJg|O6$cuE3uyqZyZg>IyconA;J=)I@i?)%W983LR2F? z3X~K(%hAF2HWJ{)L9WCX+d-uP?^p(Qk9z!hqO;3Q{{LdM9_Uf0>aPu1jL*@53N4-x54 z!r&fZ4Cx4k=rkaK;V@an>N5T@#s;T|#Pte_(vr2voP2hrjN16*t*&JQDS>)>*UriJ z%V{Be18ekQgc@kOHWrX$B2iNTr7mQG(s2->U9wusi5&u&duXA=XT?JjULVvch^j@6 zoZ}@z)5KoEF)tDa3wbD@9z6Ufw;o`&-C4IV_BD3wd>jkYRCV1yAzg-!e$x8gwL87J)kQGu zWj{A$?FKddOIbr^vtVeF7u~eyrtRgjbcWx1)ZHp$%~wy*Q!1XH8{kdStLv&2%b1A^ z>&}6{$yx`5+@G10I#VOf$kn(nFdNw?^8=BRsgpBUd_W&h>sKuF2%? zCpIf%-cOMejd@qELlJ*y5Q&?0zQ->s6cO1%x=!{tHToYMnmb(AM#}Vr+zVsX2@WHy$VCu~a+}Q1I{#dp!L@&ua9DOH0lHy53pOJS zWrl{}<i zA2liIGEC%;(ZG@%h-DxPNw0lNW6d{Kj{Qp}$g522bxsO?==I!m_<7bza zqA79e$S(0TKg7qJcT{kwS|5SwPY_3KkM zR;0^NM7yNdSG9&xO>#CxEux?a1UE;<#49Qy@th1~E~YhK#H25_x(#LtEBRdu%Py!{ z4H=_nsvV_Y0FBPbqN0qWf}T(6*foe&j!<`SHeKL16<{c)g)EFz$9TqNvMA=)kzh>t zb?3q#Nf0Yu$aiP@IG?UUSGzj)pF^<{eNf?T8|p+*s(fD6+Is)xEvBxD+1jJgcS0&p zxF6GsZk0xR)`0h!C9SiPDNI%+Se%LD!XZ3|0FY-xdYl3IshO>>TyE~E z1k7f*MW(R3cKbQU+Z#Znzu5 z)@b=baGNZ#0&{KwoAj{p=0e1^qeu7cnd5DPpB!$@D`a>ntXIuApgAckWDY$OpgQ0Z z{gV-W!_Gy1pw7pdr`v19v?}W7<>h0Et8irIPmDOZUWwMDh0oqi>VMinij8X^F}kAN zR3YVBS=jK6fToA%lOllTRK3iSN*~{(+KFS}JX1HpH~4c(=+u50DNwr^49^7Kz*!uI{7n@o7!Tr^X^T9J8|DpSL*KHjeY$-bG%u>>BOY-}T=lgG<7-dgx03JisSyj;M}O4nxZ^r955wxTKvZ5a zsM}C14<4DsmjwnCRwApg1WcxZYwVF!Jz=TCEkUJ_`8?L#VNb)>AJlSXGJ2laI3HZ!zP=i!4QVkMY z?}~2R4WeBAiV>);0)vgc{9WY>ZpyxZ7gzbKV?tWL4l0wOF4`=pouw+&TM>}TY<)QC zQ7XskWH|2&+Gy5Z%c7lzZAu)M%O8$Lj@RS)?zV&$%cHvj$59J9LqE?O7(>9sTQ+r4 zi02avIZy&R&;2hdG4qF{K|u2)iUL8aC_x)QxE%t2)%cCHlb2Qi=hWxXLijXGG$ljl zMIaYa0OyO3+9ef(*V+q#L|^w)V(z!vJTs(C#y$D$2WR0GYHwCYt&$EZ8b}z;g(rQ) zh3^fOO#w8ae&4A8grTbI0>!$#M&P`NQha8!eZ>i>hNiII9e}Rnlx<+7`hds>lmiKD z0W{{QMusvhB66U!hW0Ihaw0v9KRlHX_6dS z-A1qV3CWNNMFKiDaoxg#DcAOBBr;7c{PJe6RpoLP^Q{V{s`Pm=%NE>z{Nibj)uu}= zZAFo1o(GXTCLTX45Bl$Rvjo5-{DBQ2Qne-sj%=3oi>TlU5 zxjG$veoVuMy!5r($ag2U{W`qTbv$hpD_ZGONf>ki@I*O8v5HVFsiJGGPeWWi)VE(v zJ4N~1csRH-Rt~Bsq-(DYcVLR@qn827dr>VnM!}FoTcm`kZg?J}MC3^-agJ}YOoyaI z(MY0 zO^;dpJ#sj<`UQy&)3qxb?Fz?6uyc?Q(Z&oY<|<>)RZ_;^yonHg&6e)83$1tQ*gap4 z%$Cyi-vc?wI*uc4kPZ$wC3Eh$dCoXSX3Dv=4xHHHu-Xq6LR8Pg^p1j9(lhZ=A3o1S;=6J|MxWkH|J$4ZA}I_aib6uknz zy_cPWJ3iAo7%Bg?IctwJ32^`4mV8nRaoqK|*5hwWzRLCASE=9rOKvc9pw`@Nl;aA2?Mp2Zvv_<6l1Sjj%;f9+SrzSIaVc3t%0X7ZcCdMDNo;`Ahv+jlbfnK}C#0M;_>S&5a1?N0Zn&%E!2snVG7^%t({ z$J2l;riGSieS?Evo5=h&;_v8$FWR@w&6c8=l*PGG?e5(7jGh+{yWjjPP+Ir%(LN3_ znjK)HkOa17$=4Pf7-yRZ$lhrEIN`!O$`Ron@-26G(0_=6A`uRj7& zmRAVlg}LZk1h#DT zHuv$j9^;Xh-Dk|F7)ZExuns{?FLF^M>F*P`d6chfGNc=gc0r^>M zmTtXy6h>^Fe^ZJmgf2e6LN! zXpG$V`^jYy+O75p>5|2YOs1y1x$WV}a`Suqrg#nq<(_W)dhKY*Zuqz_s*&0e~`D z=;To>d8zl3M*@vrZk|-xQ585koP3KBIDk7GbDO;hUAHGL>`B#Q^|mn@kX=Gm1J)jV zECc<;V0D!^y%E!V*|-EmOsu)W?a|xqSBhm!OUza!x`g(x=Gm1TzJfSUCi$>v4Gl{t zId6Tor1{HG)rF0Yd!(YZoWws?1uj?F{mB}G!^Td~y-&GuvDFHlW?jT&^TPjYE3 z?7tBO<@X#-*de{OC+hWK;h(9Sw`RM~S!HQw5VgN$#{-s3(_M_Byg5b%3io%|NLMRF zhX)*_K&*-!Lvvj=M1q8yqYQKA>?uZsAZj2G`0j!^ZN=(Hd@Dg@b~ZwC!m$O#5r1Pg zXc7n15g+)JkA@^v84$pg%ZWK3FM?)NV=91%Xy>m+$vY#Av*w`X2w@~LoXV>C)jT{F zM(8a=0=)nJwdEy^=&yrGe=vKlfup#K3B*{BIO3dflQyS{Ftu^fI(5c%`}_JMW?b`48^So`km49HuuL*N;zPO=xoI?j(JXV zdNB^{*hl@by_^7c^c=rbKn`yKaf4GLMhl*?ZdP!gxLZYCp;pPi~<|)Vq+c6xPSF@y&lA>=($S9g=;PUP*mA()$Xed;N?JkyRus z$UPn&l#_F6O<&9s#{nHaVtyf~r|q;U>}|VjiQeCD*g!2*n4?qaJKI|xBAj1Mjp{4Q^jUahDL+>3)Bp!YNir|o`%}|noNF#~YVwyt z%|p2mimr&^w#gd#-JNGuW?E1N9iu>*axwf>v)y{~gkHtKYe4C)KzY<116A`zzR%cr z)iTLOqm&oCB#_aLse3Q{*p4cjQQR$tXfuLitM zmd%Cgve5|Qn=3f->p4C3MZF@xudbjn-Gm1fkS2qve5Ap%qJIW)wx(%~8`|{u;MMO( ziZwB1Fwqq8;2^lO^>kWJN5#1|i&i&%^8f|f(_j)@VaB_4n!0#NibuAmHJj#?+pRH` zVBT+=yajh;|7g7(M&B<+j>$!(FgQCNr!cS@>!z4kPEpesiS!wcVBc8Uneq;jbkh#| zcfR=vt#AI{#aD7w#7+E*4qhV=_3`C7YFpaas%jcmUe)W*f)nrDP&)1-w7gXOGSrtc zy7+=C4%GO_j8oH2+(MS_0V{3bkik)fefVlRXh`3#|o$*rUU+3iM;Sdarn<5F^%U08Sgcp{3 zFR-%?{@^R{uN<1{^u2oO>U1fqW4P=L#Hh{#O^$?OtOk5Y92WmHXkNp5MUmNjBc)$@ zh}Tsnm3D}=y6g8nGkRSY?I*duKMONrY{u>34$WunGwoCZzc0)0?AJ+Io?N7#C_ByV z_`v#!js;T`-GJxrG?Ib5O$laocGm?eBt(nqF5LPq!tL+@{S#)}3Vpq21FeC!?HM-x?iM&bX6W0#~D>v9zU1c_qJzhrP0LM=ir38d-W?w zhO?VnyM(ARH}q!Te3L|kJcV*5y|9?_gxH8$s zh4DNu*Ak?$T66?o3!QxfLS$XEu3<@xSVW}`>k0uZBA7!VhC0w}t}4xjrGa^}LC`+v z?^U#Z%hGbrB#Ii3Q@Ty_5kXtQvfBNTg>|!*{%kmdgO-G;)>a?i>`pZ8`8&{5L$75c zx10Hrg=V!O;RJYVafg(Ima+tN;KzWpWh4-jofXl}d0HBJGWz;2k>L0POn2LLXgm=T~zKnGtwxWh{_6`CS6~IqtU|(Mp4gYpl@4Xp)~$kp#K7%7Fh|f-P~u4VAiP_`{I9`B_pi zmU^}M!6=#zac0+4(AI)TZ`{kL9@%#$-P%N%d_-Ichgu(lOt>O|0yiZye+d+hs5J!V z&8~CNGSqOzu7v~IiYfChz8!bZzf=D*Gp_l_*qF%oWRoj=@qO%j?vZw$Y4HBFqaM-3 zDX3YrRyB!wr8mw~%7^Cb9{;}y=9S5`WF^)G;u_f9LQt64jolCaM7I`BfoC_GN9xYcBAAmx=hv!)|`P=@cR z&kDalasafWek6RS!JmQHVEh|xgw1Sm>KGKYQ%O`Zb`TFa9e8OpV!z&4j_c~oUznj) zhdz-uy%jrfafvp3$6wW?r~(c>v>H7XKIu|6{?h*uNh0q`x$*bkYMB~bVM-+g?zhS{ zpFr&55)ZOP+C)O~7rNvnm-u0eK}ukZ1)Uvh%-ajbAjlg9`_psE%1U1$EGE^VDe8Qb zsn-l^>sM8aM(w!%186UibwjqX zVQ(8^R+GzZ_r@yFKlwhhwe}VJ8?|O)A8D%TsdD=i5d@(Qs@6(D7F;>WS{#gPb;~`U@7s!BEQQ0YCjGf(@6R#L$ow8t+u*0*7Y@@6;#5L=}ZUe(_g) zkT+l}Qz9UVYhzvZ1!4$#kF#FrAS(|_>~*E{Ysfh|M*YogTd|t`i4Iw@R69Q>R$^F& z`5JXjMHk>4zggAfgH8^a_C?KM;Z}B_!WXtuyAe7UJT-S^|LNjY`NP|K)5=2HF-jj4 z@9p-piO&B5^xVrkXdgpLZ^7zeT8LWC0$*|479o~~g4MeTE zR1FmH?!O5a88`=(1*C$gkcPm?@EVlwu&zg~zWy`Vj(G-dlpyZny%zH|q+cS_&W#Jp z{Y*X_h-_m28|nssp427V__sHl4xjXLuUYfv93GMPEi!09gC}B-m=c(Y} z1(qr%g|)^?Gu|z7|BOp!A|XYY781O4^@J$aggEnA%6cj`9|AKvcorACv=%9!L0Mdw z%F6lfIrJW0c-c`lKrNw2E5PO|9H@OA0tAU#ta!e#x%DBSgTl^MY3UbsC(YF+^9L~M zvyDpnMpkOlfWzMEaR@cqK%6t^CmSNbG=m?&K*|Z%gouO^Xmj+&{F7ydT|w1C|NeEM z4rHlZwH-m_<79DimuNVCWccJ%NZIi%yS$8Flmq9;0mGvSx$u$Ed$5B58}Lxrc?a zUV}qPah;-U5lSDAfg{(ZO1D$!Ps#TDV~Vp8uqnL^0?-}SU1`Xb$sef)qFTWTm34Gp zmV4_s=@6o8-7r}E8^+;PD^t^opg zXaj;#v!((*t>zdGBngJ`8^fAx!yOGvTtPFxg!ES*NilFQ9s-rkYma)gr?f$Q_y zbE&s^iI6(wIZ+rk`<{9x!b8Cs3--LPtZKj8DSF#uuDg!Hu($L+u73J{ZskIsm)^b0 zr6AaWDQ1wki8$i{Zp4E#6_^xL>HC018~?U)1XCq+1lK~4k{MIEM%nS4)anV8OX~E4 z^yP*SNlFM`+`P>$c88MhcFAxa1YX8lnxiIl1XqW9WEO>%;O8aUOEu;#l1SeM3rFBn z-4()USbz_3sK$}P8$g@l64!`AqXwcBK@vH$6QCEzY;}hnB8si}!DSpm90d}GH>8z~ zno-2mNC7!T6!d84H|~jvK$i1bsjI2@*%l~WIa&tl%#~?Go-tmxuBW(EoH>Ne1LYs= z|A+eZ^rp#m{+fe=><4KdqAD9HuKna5EH^$)xEOa+Dc@w>^$0M67JK!6GM~8*QjGm| zmr;!6`&`ufyl*XPsODy?_7ui92$v%tf7Yp9EDU=ApZsH`B3Fzn=as;YB&1nUUy+RY z1R)A*A~nF$ny>b3G{xaUGk5hzI$rQXpjJJ;xk|lKOu|xrwte1ks0tUbl;AwvWFuPI zcm|WQQjD8sJS*1vBgs?y0>a7om1G82N>+5gLSk~w3M($6VHbP}zEL@ymh_(i z-beP%qDT=#mSWg8nJ6-(JpkthwR5r}9l-Wjk|*HpwVaJklWe(G&u>NEGpt7G9MqO8 zM=@-SWxiZ?phTRSO2T6-NlfIK8ETiuprIJmV-8}z!BLsQ#aa5)UCwygv-vM&arcP0 z0%$!hr$zX*nMUa%!ZCz*8t8KeC&LDJSgfwQ0C1Q}RavHabB~Q|N&UY@ne(;Tc@C

    ?|)Hl_cFDZ%QA-~P>f zHLpkq8h2bpc%Yom=*S5ee{n>VNUS7lB!uA-2WgKlt)^BGV??x&>2!(Lptu zoSQ*4{$nr-sv#|Sp|Eo@Zj)aFpsVaTz$nC*E2qa$M&jF(|4OVUom>FLjNE<;_k1<4 z0PaVdx4EP9jT0lt>0|czSBzfxsMuDmd_nUlWaW&1us3fNy(&jgLXCKz-yP1`n$Zkj6to+NA5=^R$bVu1oG1~-08*@9E;Ni6pk|>>oOfGzHx=j% z?=4cd*T9gt7XAqbLnPK9z`P`3ieUX1q0wiG^4EM0uQ7uFu*b1GV58>>_QNJMxMCz`H7u&5 z-$w%M{!;d4Uy`kL6c(M5SuR@)7Xicv6q|9U&kRbqH8(QsM2At!ok6{m3-%?M3lPx` z%(TTaj2$eU46}xQ=?-v>MZL4Q$cCNXB)e9Ve$D}+@B&!5)~&Zp#;+E0nwC1`Xu)yZu>#00Lz ze-x_*o}xIU#y5D7oc+)E5K#_T?!S{fT|`vDIyCnzJB&ywKc(%S5J0-3&Gd}f{3LZF ztBJA0HbZgJ-^LCF$}*-1`oPeK-;n1|WP2x%li4~IjDU%7)axz9sDgLpEHP}khhzOJ9?wq5LQUd7EX1e|!DF-%3 zTn8$$lUZPh1PF0EV-;5|`SCQU9Ah>72?Q_W)kcide-~>m+ra9Loy-~Bx(foI-~oW4 zWPvmO&^&1&5t}r?d+3Wq3LeZRERmzlLsBw)uMew}N=UfBYEYiy-koEXu3>B6+H{u` z0}B8}x{`^k6tfIr)U$LFg|85U;c7bVKI>0C&E@%6OnLjw+6aS(p`AgL`TE5FHZT0< zOt1ciCB~<|XC!U|M^~@E`05#ZqYX9Z@T_Fx!IKhZ1-=&5jKHG`$_<%Gt*5Y{4t*c% zHra~YrAR30x;YJ$;x@Nhztp4!De!ct9Pg%>A;vv9sc&dp3Bt0|qx8CG1&#HBPK0pD zh>BLxghyKyp*@}D)akCs(M@Z1v&2Z#`A+YAed@j07onk?-Z(_PtYrCPpzbpA_XzeN zS5t{@k(c9;tAHLiq#`bMxKB0WvxL>QjV$UI&#VH2G~A2EMGSySox^<|2x2Cv6&cb6 z&Qj6ysw2Qk4P%y@fgT7AT?dM9VEiLSZcX_GAR+FLJM)eRFfgt>VP%vrMc@kqSQyti zyzy0$hprR0420Ml9`QJgOgJPY=upS{-@DH}(aJ32g}>(lR&@>U3*{)KRrmlY7HonN zv&Uv}p&7CkLPRMOYc3OegPAvGreUN{R(itVy2G_OPar2$%A97O>a)NPZif6#E$Bl zV{248x9L2Pq_@h>7{RGy?zApk5@5yA=`_oB6L_-58amBy35d|KgP~LykhIKCT9)m2 zkD4buWKa(-{FeX9U%XmI-}3V)0hALk@a%cju;eQNE1$g027vD963<)pi~f^<&OKS@ci%}Sbe8n|=sx&E%hEf-0eg|vc8fE&fTfxEP+CT-Hv$uwp@=9}E;DlQv z4;st}$ATyTS??fRBhQVQ3{kYNL~^~4EstWf7thKR8jB_t5ptYg{3S3kc!(IvN**$7 zlnkH_7O;^x#Y%J?x~SatX4*Wyqd=PEbXoFP`)eF%XMJL8aeNOFZ%(#;vC8Ql zkrRHK?#p_+?|3#J(X+vYP~lo0O|JsrD*?5w?BE$%B%WIum%hA8u&bWszOEsKd{){t z&w&-jQ1V)Fri;L0@v%KY7>h>ysVeAU0MNm7PG)krsnr$Gxx45|=KTk_#h)KOuN$B$ zt&EfqnL7T^NJuF3adbIyY~m@}pAQm+c4s0qtZ`1JaFX%z>vUUlGtZa&PMokjRX_wH zQOm9X(qMgNgkW)vbSQ~93UO(Xqm(rAa`ejJU@p*PMn!4)$%n4g8=oG#>VLE!m;XK< z9|O-he%60FyPLmVJZfh?x~?La8)?2mnfKa#KzgPzz z=uT(`fv|A$a5O_+e9q~e5RCXT{DZOiYcy0T?U7|$SlD@0O}1umeWl@?Hdgslo#wFR;*xmH3g6X37D-= zJCUR2&*BOR6&xdK8SoU0|GbAEUlqZUHvK4Ref<8k-j%C=$=?reUeh1cMA}NSg>h>% z^bjy{#qYu(PP$b0`zNOaZu&r_@Cf~bLYM1nr77C=9|;1nq*cTr6o1EY2~`3;w9`(% z6BGdxUeT4^4DP`wJHfcvG-yojh(KbMTF~;O2>m_uL3^ucVM7s05;X41W$V1-h-qYu zK*XAMVow_SGSm)qnl;9JZas02d+qx=!;x_D1c8wAt(C0OQ{jX1ZO`4rCOlsLHhE^8 z7VQcZ(-+?@O4QI~1;=3GhEsVb4KQv3U0qbwOT|+s+Y_$?Ym?{@--CdjpjQ@b44PGmAk#DL4*7@Hk>n$+IpAu#M`Fa!Qjq-ttaWY zm(X>wj-miu4gxk%W1q4TQCcx@04+SLym|OBw1X-l^I_veyXL-gE_jy{_xgIOe^^^C z`$B`&z+UHYt$i>3>h5Gd^dIDOQ*XTL9O^c_>vI2LqLlu(-^xZ4`WS&pUrQGO~e3_wv>!iw~sY%YwFDvEttWLE5|tN$xr! zrppaa%boq-dB4oQ73dgni86Kbgmn2!{VHuNdZF<1$a#>;^*ooZ`?tp#C#6l%wrf#! z#Sk;Hz>Ua1LEHQD$HtPXoTJl7pFX`e*q<#<%Ebx>ExoEJJS>uWrNCnc#7+U*4&#Ya-T1PChKOa zF3D2u;%Zc1w!%N|$2{r0yXh}oAN?xNkxObyr@*#dmzQw19yVN^2MjxgfmH$wv&@^fD61ldT;Uk{f4%;p3y|VG%EI z?l+?JYAi;Q_m~zXqH!$?YTVdvgNA_W%jqbaQO@dDNdV7vLw%Vj7F<3<54a-68VKp} z&$B9P7$p12bwW6-Cn{o$so4(F4ZH-w-1xLMaJs44tz5>=2q}i!p(dV}gV#DUj+LO% z$hGRim_lFuPPn+}?`c!?SteoDcA2#%Nq>Y()UD7aPDhav?Tfp}jxuT6^NtiOri$_PLK%ZiqCe&SAXLTu$gnlh=ifPbI#1jA_X-bS{` zAJ;>UEhb)2GJ4JicHW5aUR6NRs-QjmO(%E_{O5grvQ@BeNvFF5hk zsh-7c8%DRvs;gFwa=`XcLh@G6Vn-+zk!p1IosbI35^l$rsFyEAUq(%rWj?)i(pO_E zoslBklX>#pJ4TNX)UzT6LQ5#m06+pRw5NKl6i}Er0g^k?1iU3`w&~L$H;{&47>M88 zy}9S5BHVb<64O4bwmpuxA`HW=OTQetRql~r(<{i`L1`=b7V6V73`w zMQ}(U{R2FyF3)9mmYo;21K>_`71{RuS(?MDTZ5b4irNN<19V2Dq{e%chODJdC?JDK1eusU9>o9%<%Ub?;#{BDa zk}!aDd=DG3?Y{-}t?%?3n^wREkch%rUiF)gXvaSj+@2*Kx$S>dvmijw4Durq%VeFsokG<`ixb~mUq!l`+J@qs}X(M{-wwo27l;6C;NI_X`PgBGG*9LCS_+_4Q$>v z91Tioc24?z$MHVb^gi2qB`tIFf!qF_adM94moLRO)yi|m-42;c!4(H#JI_N=L;p5I z)D<+R9P-t|WZhc)X{?7%@o&;4g|1GiQs5ln2iM}-*_o3Ip?@c*=1vhp@_~GOO>S|y zah|ipq7V^EMuBqz-9iNb5ghORHlta1T%4nGWnw6}35D_A3eml+9gg0+N;!9}%$)*t zap#VF?&cysJJiL1eNjguID(=72%HOjS^p&dK=*XsAdx6(^!nKUq_&A^dUlqfjo{#8 zNVDb#>;QB)n(-y2Om;_K;GflsoM>@hdXb$RY|lg?t?*5Z3` zVx6<$@bHYIfj&p3mKmJ)%`G%~1F{oj@fBtE%367}G?{v)(cSV30EmI74Fa_ir{^3; z^30oxO9Q6;4*=&t7{7#qm`0aLGCj^0@WJ4ts$}!rPk%@O9khoOAVag=_*;5NvE`Yk z`XMER@u7v3^+SqX{2}GX$KfFz!Z6Appps;3Iu zZW{x6v%_FvdXeRh>!+B;bp3!)gcgV>RzZ$w2VgR*uonXD4mnWWwiw9$9b+JIzIf*Y zLkSFIm1+d2u{wX*jzGJGgfhrU7)H5TB@V~K2Y{s`dhX*|0x#*ChH!KRK}cf}hEv2% z7v^Stpj~yK3Jp|XiLV>{;@58;+W5WMnOnO8;wOY(qugTdT;>vAWlxHqukxX?+;wI2G-@(icf`7(DKZ;KRE7rAYD-et zoy23?8Hdbgl~`5w>(KFSXIx`r*C;VmD)Y9hGtvndKbWz3m8n&1cbva$hstgn4aGne z9gV962Vj1*X;1BKMVr%>?~67s{>htv;pan}Dy_aJOO0fo^G8`chUteRs;N!q-?wPf ziTagro6{b)P%uo0g`h;6CRh@YeX|+FMR&nGo1s0l+#5f%PeJn7FdteRbYF3U+b0hC zdes>;A^y1umKHvw>x0pwgrI0s+a$NDHE*rY-Qfu0OZd;h zF=b5*agf35`R8Z|<)`Up1a%^7AWTOW%WskvXLB$Fu0eBmG=%as4+lW=*zN*ky{1*u zn)&`<%pzK;i7NniYiG^s2B}?ymx7t|^_r7(dD7JlUS2g~q#s2xtJ)cwTSdDah4UxE z%1RGyj04Zc{@{kh$2i3Ax;*ASG43)Hb1!2Y7@f_DreKP4aG{3GRXd<~>E%b05Qb#H zgOQv9JZcETp_#Av?X|fr#XoKNKE?lzFaM*T^gjiZSpy4qqbWWPye+<;$23|g_d}Oy5O7dCDLm0Dmg^fNg-)57Cr3ujBSQuB*snF}s4eJAfj;}i zV&%e3gz~6{=CFcawPT8QNx6?k+n^u^eG+~t9PwF<5%gno?u81eLNIi4SA15&uSJ~% zh=VvjQB+ksrl6eC`8TL1@eg7-ag!sJfz8rzDf4FK>gj_DU7EgyF0D7Kbi_b&lD%1T zHR%FszB^F!v|H3#qh%h%&CG-Ns(<(Q{OLE1S@b9zPNSY?y=B+2Sh;Xm%6t&CG*%eF zqy|uq*>Z3MZQx3pbfVPuwzp=Bjw$m9IuK6*af9K3xh7XrPh%7whYMoG-PT4+#i6rB zN6m;u85&iPNmeV4n#$t3qkIydwQQ2i<#?yA+P%3LT^=(3Cx>kQCx6e+&DYH)B~oBv zIU`sL2i6IcE-ZyY=dx*RlHM>46*vwVq$=a0p=C0qe0-8Ac~op%YnhSqnq!$^Nr44S zzZY1$IT+n!!FPt&s<`0Zi}7gPAg= z*p1_p{6dhX&;{XPYoP{?xy`T*K?b)jSY{&b*E~E2rOfd#1Eif)z^MLc%d9A6w!7m#1HU#SC@pE{{5 z`FSFr%mKo9ng9vv{G#+EU*P1JP3LoW78>oiO4FV@pjGm7Cuo;=?y#AkJ8a(IbBERP zbH~l}+yNm|J$KxGl;>^$=|Z0d_o4t7812L7ihhL-_lQ7YVh3a|W~B!@NSV1!QICq0 zkuqbP{|1G+$_do$ZaAT`oxC=xzSsoW7vIiu{S^6l01;a`!NAH?NDO1@GabKk!SeOf$E!HOdbP?X zz6BMT`^5M0El@SS38V`%SFZ1fWhuf6Z7IUs6cV$tvf^=kvq~+!{*W&J`S1G={_jK7 zW))KGwOIoggXsgF0eub-TA1OtuM7!X1eHw#f*8ZB^|uh@!|8&-4FX6^D@g6{f=EBJ z?-^VgKn!c`FIME^S_E}=1qD}Dcnm@6eisD)BTBNcQ3nukwT&P2Vq9PDPb#8GEx@N3;fZdO z0ZW=b5QmQ!-AJXK`xF0675mFHlK5}4%A`8{XFv7r@B5|5h^k3(1!@L{qz$AI1V(8M z$GGu}37>Gm2m{f-R|iDe3Fv}_pBhNP#)ECTffdsZyL$i-zBzOb18qVs9Ew$!I3hXE zsMDSaZUN#8ya?@&$zh;TQIHdnbN=dBgbLJu3=k`1!N8#pN1NhnUp3*)6E+x_UJuwC znk9310|Cr1qMAphx65IitUn6SDp2~*TwbLkH#=Lzlz$u6S>TWrjXuz}iDb!Jlu zjW0F^-{=Vz#!I)-2x&SVC0LPT1}A@_iA~m#_ZuNB)^mkLpaf5t7kIp#HUT z9Tg!!j*udh+MEpB8G@<8z~?n8YHgU33Jgd{ZkP~agyiin>7r;=jDr!j zk~q(sRk=kG&QiB3j69ZoXk#Uy_f<>o=f+A|`Id9*)51mVr+CV>uKx?|+ag;eIhB&? zEo)N;Bnsf^KDz<=;2K#wtdbwr~O zl~3*y;@j_nWg;~Y=#t0Df_(X1FtujE$!%7oZZ3kQH48`zs@%LH@01H3qcuxsTxUV* zW*5XrXluSh-#tyxI5b$Ym{n_V!qW}!meoTKPwmrSi$gelg)Ms%}Fo=+>5 z$6=E;Ya8umUoj)b?3GKxE-N?I3B6J6?@@LOYq%<{SwNx~lT=zW-yQ%$tTk)3F)nzQ z9M#QKX{s5!P)7axtTdPI($oHG+V?U!x%Q`StE_(E#RSo-_9ttU>TRLAQYSBpSqE%3 zU9GpOm`ULZ2GjX&u|2qRRqujhyh9wLDyWfL)S9ma(tk6M{=fWJfBnyVPYiQbU&37g z^DIy+FFfVL;n`rIlKua|I3CWkBC!)m5dii(VO(=~R%%H5 z6)O8;uR}10XHh~DH>9p~RpA+^!mNZOV6`kcYFfo+U0tm&!9Dc++R~ zR2pS^`_KkMcT+fO*mX7Slmi`cMFTz5iHx8@Dq$88p(uEP!GOA2}G1=lFUe^!{LmG3~K_$ zi(MEVCCPkuAjjDikVK?HP(w&Qsuooh*WZXN-&L3=`-_JWhI=Y85C`oJDpXh1rdXY~ zp+8h{VgI*p<@g(=kU8>9QTs14M@uDsUgl|#wg;J`^tMX_GOSHxj!=5PPWWOkz=%HV zsM{rcxx4UX+AV5L))KzlO!)Fw{-f{s({GRJl7{2EfLaQd1 zDydGgP^qyB!JHyX8B9b8&5AjLiGm3#Q^hJJ0N^xwTd?8cMa} zo78;0tw3*P=e_9jxuS#lo|8p`d8#^DG+0f~wfuo*KA!QW%Bf)jI{hNR~;O)cHhUCEZ-qXrVxgykPGclOi&;HUo1-;3Ot+6PzbW-V$MKwmQ6+H&J`=6$}6=Gj;*u_aMO0KFRn!l@ne1{!bV^SfA&p z6CJMdjTHAg$~o5W*SlGfhirfOL3K2^QSCz%EgBQhY;xU%xa#Q_T=Hf&m^UMU?OJr# z_IS_rk(bQa-DSq6-J&+mSTbWblNtMiPyMmyJ|-D;;RExw6r=Y-`Gz?crl+<54#UD^ z!aOnR#snKfEI`7|VpA5B%$NzDcHp7qia=9alVlL)NoLFi*IS?oQRl+Yi#`2`yrN{r zOwbr$$gGFbSR*J#-2^db^C+|~eZ02f4Eu^0Bq=SdsPZ-EILQX4(4pcR>g)2zHmk?f z41ArAawnmNCyRPa9tYos;|=md$CmXt9mcyK3jOY`*u8eCLOL+c@YA1r}Pe z^9p7{>`vqeX&XKNWn{8YiMx%B5DLKzdb`#P_hZ{}lk=GS^IrQV&jKvVcrxX&E$0Xt zKnV~L&e~+;ILDOgKtN223Ph5i+LaPmPIy*%Ou|ZNzcGhJ_;EsT)QDpY>-M8}R zwPgh>?$$EFDW7BQ&!h8*8iteEn9a+A*`Gh4e^MZGc(FQc-jbyAm;;?mqTH};v^nu( zG)d=@$_mpTbZC;UNCHDDam;+;Z`XtJug^{`lT)L4T))@)w1fo%VS}#O2Sw9W`Uel? z1^KxNRXPW~N+$NB#Bd@_lwVSYqU*A5lIO6}O?AZsaY!|sr|or=?W8>(RhbFHWjbLf zvnmdPw+^gCoUm-i@u85QykmbBP~cr8#!OLfX@73V{*uQ{n{s(V8A@YiQika*M#Un1 zd+RLVQB@UJQMnA z+)ZecvU^rkLkdmRpm7UwC;LM`A~&zf&&`2lQ;*h9i_w!aS^5>`X~)~?SFlI;w%oaC z%eP@XHP|2cm|y&&_k;%PcjpR!e4m$AxpVMq#IfmfzLFt$qKs0(AYYj{nSH99o{do| zXcum?uGyU{qf{`-%$SYBr^@bJj8fTS*8Pw>2OB4T-w`u;>qC!5mgP-fMyVj3zg^vX zQk~UsDwgDIG{lA<2RPE?|Wp zkFe_z7GU|LCj*BV^A}sDQK}%@?FlRdvK!(btP?5{M>Th8Nta~mtDY)~#%c7HV98Z9vn#8i?Pr(%3<_cA4K7gx_ z0wtj~Z!b}e=G$&HQ+NhJcANH;bd#Sas%@45?6B32>S^`HnhcPU@R5#&0}@b*2xfl*E)fGcSoHN8u1fZKCfd|`G||J?WF%HtQH&?@?&ugz6zLSs z$?6g|HAcMI^9W@#g)%~R~m^0!msvbX20vAKx2VO>JHCJe%A%&W-G(vai?(G z>_=w53rF{nSX+2r)&0bICbt+Pv5xF+!<|Paup^nC;|m;=UMwCadHnJ-f;H2Fj5Upa z7eh`v&!=R^U1Q>9)D$1MeH-s|+H;3NRN0%uW`;&#GuL#n*~4<*bDy*S)_g6S>M@p) zSmn9H0sOg3BeC)>*M9DnkyufJU>`)D7*2-yWh7Se+P96@F57YamXTORYnRzs&p-3B zxFhd)?p@D(1$f{2|LgGRid-Rn@3O}0E|<^I4%q&eAd$aU_Vr_2wd?bFSMtx_1DfpJ z7v+!*wv1f!@m)nWFZ=o7dUh*cReSM7C2ojSU%Gth)t4?`c)R=_`Dx`RT^V7lz@3k4 zAU~k0$(0LH){m=A?|8~x>6a@D_FY=;!n3w}!VRFd%E|b(xN27$1i;6EQvtB+>;0~< z5b#|e_@|%pO=CE1v?*bV4H8$!)dqPSn*2zz*M)#BhS*SWzXyeAEtm@dU=d&k6a=?u zfo%k*Cvf@n=k%e;wfV{sASVoA;}1XX zHq8~9<~zZ2Qb%TDSE~xw6a|fUlpQC2ZoUpr$P-_hQ>$i)It`H??vci?xk73GUB(AI z&7uNbc|?Xq)mBOBk=_~Z@z)-@>dxU^E!R=hj|%t*u8Ih(@5WQ7j|> zM9a-1X4(W3(a`IkD!t4JZh%>`kdFCBo1^ONP+0@RFYz*O>&slTmW?@IY4WU zv`M}@$g8kahm0bS|DB`f+XIZ1mes(GFEhvEJSUp|I&Bi^x{}I3ip+Q|@MTZdL=E?Y z!b=GGQW?X?l6`yFfrLJ|il1=0^YEZcosK`1V_qIR1noFId>`%zk2R9b6APy|@xlm( z(O$UQcKG`x_vKxuz?hIuk|d|FmhB@X{;02s93HBuaD|UYK?TQ6#DgIbyh)bfT!$@Y zWwmRHM{1H7%Sj9i3dlH*mWcqsPgr=9Ea1A+{vf>``RIx$HAzlYmNn0)8q#I2B-5jQ z!5PSU^v%|&LG5tJj;ep*zi7p|%6!zp@!TpRVR-lR#B5_m7WhT$HRwc}qvw0XpITP)26=zc_|eP7c&i>3@-W-ykzX{N5vUCOz4TT6MZ@8{FB<>Y^P&x;FELOamo-Yt z7@EGVnl&)8zVb}Bm>|!xOJQWeg!sypg+RMQc4fDFPOy*tx0?$Lc1x}>1nT{{9lq}t z6XY`m4hG(DD?m5|>ixN$_Q(0=^d$z~Z>#j>Ncs*JEH8&a@fc_yKZj%GD}1<)jt?l- zZg;WE-7!c9?ug|mp#TJ`^Ox;7|J~tYmjUIw?ZvL5xQ0NzKeyBVr;FV&pYZ--SN;B7 zlfIPgv_H-_-~Zk5Vt202UsPiH!JP}1Pe&iG63Xb+^3A87+$XJh?>@HhaC&zSH*u}Y zCYIW-Qy6p~i|sSt_5HzvRZ&yPPZjSsl4bp=Nl#>r$(05Bq82V)VjkUCQkXAaJpaD7UYBSNi43f_-;IW1A&~S>k)9Fu&}_{@UmN^}sS~P0?c` zt1z;kl&Cf+#O=C6xko!u1PhSxHri>0Vl9dL0W*_E9$Y<;uE;#xzbN@mS+V&aS>$ej3j>B6R603%M{1lZdD)@nX$Q(7T&c2c zZHtd=hr3QL9o)_ccjgY16SkbRKhnK(=qFG&sOUFfd2bH507IT$bm6PO)hy8mzRa7r zwAUhywTC~FLselqCy>7El~+P)vFnRne`DD7cYVUge%>e;5s@zDrm|iNFrwPbE5L{V z1ghW|7;%V(R%$>7wHu_Nm12hpW-#Kug$_zp$iaI>kFu^ineiV#2L~N@l2VWAE zY4HI1m~F+b7uezuwQU7PL=(xixoy?1zQ$lgGw#9Ht}a7A%X@Y$__=WunoUXoYcP;e zTx|Lc+H{VXT)>Dgy!ygRmk-3zAn;w0>xO_AM7uwZ!)W#ejJSXirRjkMw@?23-}ASA zD7YZit!;DH2WReZv|Ww`bND@2nM+V&pc_>DQk5>;c= zm9b0O8mOb?@H?10kcn@D4;(AQb9ACc1)QvtGH(XClu zSBm|Je5zzN*E_(t8zoE-nH>P}>zR%8BwN@>UNv9HO0g3TB7j=-j{&hNILR$xk1Ns* zREiy~H@G=i^NiWQ?a{N@MFyK$T}W=WU7DwSdj`fkj^=7zU5moijog@zwtUsFjxjfU zh)O!Xl@v?V-YR-^_Ez`Wx3Sx_XG*t3GUYJ0Q_dKi${Etj8IDzw zTBg?L{cD7+AnIc|y*!Q>xsOduVALe7uq>q)TU2-Xc6uY)W2>6izwr4#@bjapd2_%> zKT*w?#Miw2`Q=A_#(*W8Q%6nYcRvl6=<4vSnuAsk#iG_j&Z@ca<W5X->H#TWJ1S_8#HXR^AQO)4uYc6D^ zn(-TWB}IutM>py|tL7shcuMQDI&>HcE1w%xMzmt^2dzl3*Qon^dk&yZ7A}@Oa-G@{ zl73OXKgji+T;0nAj>_2N8+2H)+gS_)r+egIG+EuNrVcouZm(5?I~PmQsH(TKwzt2y z|D9F7F6I3WooQIUuw=hTEneti3g9f4=vze^YtX%j0SvE_!DTH@JlUdKa4D5PX^plnxO1X0>?=5k zRQyE{c?Urh?vX6u%Z>O&R-`9M&EkTfq~~a#%@cccXtjb=EH1cTZRkfr5VQ)~8Wk(@ zxo|;alO}`#Yl~h9K|TO3Xg!rY^u{LCh9K{~3zqIwXg{$z`N!~`azW@@_43g;XYFvY zqA30%sk{{6n&0lMAuUM~>)?|6E&AZ7Cii<#(>7O9eDWfR?o2Ten7uCKr};ER@w?=C zvm=!Q6h98H*`svye0x9-!I=R#I(YOuN6+^Mae!LK!wS4|?Rn-Kgn=l?FX8BTMr0&n zb)NYSq5F~30C1k*oM;~od3(z*+Tr~47r_9vN6+_&or+@04x9B_W1RTy;@A3`rwz=a zo+oBMRcnqKC@O2UAXghF{XQZR-8MkU!)&HvwQQk!LkC@?KNOMg2q>`+Z2;3>d{W_S zj~Mo_qzzY~Q5*B4!#lG2rbfwLduuNcCd{%ILYJEC4eUh)O%aWs4@fN#_5#gRY?Ua` z6quKh&OwIZX@3c8(*9>Q=A|EuR>bND%XaLK3znDD4p+BV_pu4>INu`K<35tcVM!;a zaqfyul*cXoVQ*AUjA^&1&9vRsEmG)b;kA&v_6c(<^lCfIR!vfV`s8%(469Kg2L-dpyJ;0D6G6Tw}_ z&C6ET%24!T)&f+?=U910=rG}hq<3&Ks(E|-nS;!p(HTK2>@#%U7N)#8%gQrCr!fvn zKjN^$;`Q&TJR>N7^Pvu#=9f>h`C^mRVp{%@wmbdx%EY7Y+e$7O6^l=rm3G09&iwg&CZ}{M`A*%hWIf?MH4G#({2t8Qv~R- zXwI=R?J4bmzKm8fn|3CbH9>JaT24L=UYYj87Uw6X9q7_diXh(|_**(Y6+1}LqH;m1 z+k=vTIaDZKw&$7ePxxq?b90`f?t&WpfT2c^zb^S}k#WABD`~MRXJI%i5T3{-I!^{< zy|rD>z*#Z%oQ$%VQtrCPVOZcL^Kuzdqf8D1z49^`#^5FX%G?sX#FmeE z?PlR6)0S^7BjF{V^E02l`)|gGI--z>VS+7!fqKIVTu^JE0;9(4L-|o{xL}#j)raz< z)^NcXy9JHJSTWbgsMTH2;D9Xp0T$8-QmYq1pV*3X?95-_qv3)WHErE^D@+GzR-{&U z!9jqbXmytyKp2kdz!Dq{DZlux<^opLlry6C7boWH1KNd7icdU1{x80XPx*U(j&J%t zQDPW^zsyaY7odsJPx?+$!x11!_%=WHY0vO&sjz9w_o=YYeE(Pd;#eigP-j?paGtHOZR5Y$2z>{Vg- z`8IUgZU{3;86g)c3?96?*-4U)scj}ebKfY!48tgZ=H5|+`R)Xuxo;FgqQrsi_!7-Qppr<{Qv^}3^zJRQ~JZ7u-0 z*9sseXpZH}2pack|BCbZTuMT&@Gx z-bb`GgyPx3yUKJGi_x$&|GO-_5849!D=B1|^69xC6-{w;d z{8Q&%(9yDcadF&Y3Xl{b!3JL63#^%*>x%<$#+oa{i~|kPZ4o+|>A}X)jYOZ_{p!3W z$@FAjATi6-D+{_D<5NGd0(|GVX$c0z5|M9)R*7_scX*g#IubdiS2J!c)v?x zQj#Qo5d&~oOZ8p}51Ai*kGV$3jPQxYP{~#@DV__o=#UjvB)dn?_vnBco|K-C`>jm| z^{X`fEdVLF=T*yFfWM`;09)qm1`E1+n|R(Inm-(G0fhW5z@8=L&{Cu4r~6T!@G0wb z-hyWve-~kkmtzTx&E;72!BRQ41GH^8(h1F~D6fSRJR_y3MC{rL z19`>TMl^(sQLf{Bb2*lj>s2`x9O`)6qy8-0asJXT5}Ra9&kW=sOdn=oZ#mMz@V>+K ziSvg*b$r>511hI_Tt8B#SIBG#)cbQg?cY$YgBN@r0ezG0M z#|6u$qmNg~)+k?n*~GWtbH_{yG*uk#-N(0}YcHE*f5)u^8hOQUyPLQUWhqdzr_HL7 zu@Gc;ni+8`fI=#7OA&N*kvBbFC07>gW74khYr3)Un=kz4?|M}W+K77`f%nBLg@WXREVN~jeD*IVn#bwjQl0JinSX$QUm3LcQpY*$RK z7JDy<+=dGSN4*tHp4Jlwupz1-_z_#dAkGj$n$O7AUdYe@?RT&8Tl{KDmzKKsnu-x(-zX)3snw#}%+R;7x7+6(bYdjc6{E^NA`)Uu`Q$+vtKI&O5^7A!UG$ ztrp=-Wmg0>83w`K$tWJ;9BCU}5JLe;Ys@6X8J)*9W}52H1(7LaXEImZN{@HORZ-8m zpp`3$!ajH5Ukr#`670U5ObE#nTgB>Q_hGAAQh zeh$+_JUXsHE17T49+^5w68gpoZ}~hYxdobW@Pu8TXHc>T>O6RA!kH=}36T514OJfQ++LL<#xcL=7q2dpn1-*J&H81X! z?a9g_k(FCkz!g%r?fv5oKbN?4wy$P&PXc!KR+MZx??^KCT>48m=VA~V>2B4(y zr|~|r>Y0+F*}N`8#bav%{^fUk#xMSI(hGvsOkUqEz9st+XV%TnN#p4j932;F)l$c1Cv#cu3{30ezB<=H&v>SpFzb@A?)#bvmS1~mQCIF zHrPG&d{25(=777Z?n&9TIW$q-Ifo{h)5u||E>B6fg)}ZF?F8mF-vPJxVZ=l3IN(br z?(Q;i({524#4MS(o5{p|$8Y*|tJK`1X;JqAyru*@#P|ipr^B&>4WpeYf@LJf{$zcV z_EiIP3;Y_gLv5*uUVyJy@N1xo64sy$4Wk#}f-!014E_Q!Tyf}Jr2@sOc4C6v0g0(x ze0tkk=>_wVoBiH>tl~vSDGBP zK~07$zPMHtq!trdF8dHPMt$}*+h2aZu1xI5RZ=d?O=^mImgb(vp}EJN;kl-CYA$Qq z@~s(QF6+;H-@pF8?;dOJF=!ux-#e$j9%Qwq3iEClg9#)54YyF!MA0`x73P9Q)q;%9 z3MF@_u*_;r73P9SI}w5ltk?D=1&`=((Oi}bLcuBX47goP!~3B}6_e_X2XOq82Tq`1 zwOM)p5Bi#~LnX+kDVoL)T}ap-JUoQ{vTrXvO9PlKzKz37IYKQBJ=N-`qNgn9XP4~) z=`JEWwm?6xQA?tk@hb|+)C6?_umlP{lsuif)Z@(g`I?w1p@fLXBWM}hHED=l5+O6@ zGjdH#pym@&9i0JRkwi#{^8`Ksd<=CH6wZ^TxJZICK{Jl4Gp1VBPn}?MizJF+x+aE8 zbj~w9$@uNUA^~R$L6^Mu+^VCethKT z?%69Jy!$QNkAfCbtf_$H6l*s0Jjg4~qvvS>u%PFu@Qfn_;R=|ISeJO%IZU%i&4G?6 z?GHkq3Sa^OxM%4Hb2}kI=)J{eOGHR`>oAevj`-Phd@v7I0Gkm!YzF`fuEC1WNdTYe z{2KtM0%qg*z{3Jxnr=7*s^2f$=?CL{Gr$HI(QO5=0YJ9izjMLz_0z|zphlH;v25a7 zfOQ>jb06RC)@AdlCk1+IiUWAmO$Za7icJ^hCW|V8x*$SHuSy|M zZGKEukt2#ybH*E7fmV{wm9GglW0dUhdhhoHE!G#P;?4o5FWbQ=x$n{d(>nW_7|o$A zRG{xeBS*7lzCCA9=UA0b)3H@OFyEj3X3L%sf+yI>)vTFIrZC>tV}tQo9Z6c}a-K(| z|K-AOz`@_HS>2)odblVF@3C8r%=gH8y&!o2WpJ~mXc9j{s`;)hc z&CO-&Ss1Ah4`+Yqq|?K-l}{8MjKc}3$W}w!V3O5=8LzBH$IQb`m}TbMwi%fD%1qsM z+l;t1$;_f{rmCL8QK){fY=@Z@M&Yz6m&fhmQ%_3MjXlEX)4|U-7c8d_Ry6{*9o6oaV;r?eCWpQLQH1Imi%`mncc1cEy1&B4_>)l3ABXi z3f1qKZ2XG>tUsvb+*;aw5~fIZnVL;kY0n~ba|k7a@aXx2f%HMsEu!ro$*yaMc0|)H z3<*$!S^XXG@&L36yGm3N;>l>|)POP$DBH=9!Y=3VpU|AjE{~y_q@N{@&wNSM4l9N` zh2M=KZ`)<~zLuyIWP!?X*)+C1wqrh$K(MT^rgaA1+qW7H5b&lQ?|Mnoz2O0xdLOyzi+ zZIo4(xzonkvi_oQUd7wOa+~a$Y=>QK>j-oNs(2d$RlFE-cw`#v^d<>!ac_HtYi^+dY3>ET!)WDA7sjlq?+e(~e~ zwXY5Q1!ltlEaoA!ZXu-%ih39f6m!l5OEEodUm1|x1xuX)Bmsg%$bynVll4)S)~-)7 zBJ8Yk5i5#IZh|0lMg+-21LdFrVhGammP3QbO)T$utH|qoXj)zuJRMFtWf46%G7~ln z((<|>0Ov})4_l4Vd-bmtW$HghS42_KcwO^~3L!H|1Dio|y^}ewm84X}ToR+t#qyrk z2kZNZ->qC}F1ZFSjW!w_u<(!S(-f`hKM3OxkP2WHbak>CM_M!A9*qAb;2mpjkVt6P z%=hQuRff}MW3ZRBX1+n_on?~&Vl~VfY%ix8H~U4nk?cr=B(Qn4t57O&*=0afpKF4^GWfcTyc$Omd1mUk>y*XL~Pn0`se@3pZ%;*sEXEe zg=*M6kn4afhguB@Oqp1oA;$@u?4cl1TOb6WYRQ6BsJ1F1Q0UexQlYxw5$p=mg^R&HonaSLs4f`n3M&sT?JI<En& z1gTJ65V0o|F3<6-DmwodiSS$!VVg!{0Ebzq97|^8IJo|Rh!fSjowj_RK7H^vKKI_!DPtzZ zVXswrub?%Mu<3H$q0biwRXGb;y)kOg9S|MzZrd77(`BIsMZrjtH@TNm98_PiP=lU* z)K9D^4yp+TH7K;d7I#8W98?nwYEV$Css0H;aZvrxg&GtkCT$3D!MTd6ZUuQ*w}N2v z23tX}S_h_yKRJX`T0uhDs1Cy)pRY6&bx;WPeKIX|C6q&Zy6k#^bsu#>S_g7>v^Pg{ zih9v6%A6{;s%f{7Uv%qL@-@qFCEP|d7}1U-Nr6M!n&>BE0D+<-a&{fb^!#41@km^F zEZ#K5;^-1#`I~t?&+SN(QfHJd zVSzAKDgEFMr9ahRsx?yC#U}cXYQlU;_2uQ7N~*RTrQ@R`r-C$%K#kFGgcm*b=fr@j zR(#tXNjP8lx`m>c9Z6N`SxM>x(k0u~1h0-1rL@t>H!I6udUswoEkwWjEB@{u|ADbE?SK(P(6+4LaC}RS1Qg5aAw!Hq z+=33N5zJv)j6>W3EYk?)Fl})3YZaz}>Ot#K=ulD1<*wq}-kQU-n45^muMTVKFl})D zY7Wz4cHw#_V`Xw{brq(e8CRQl&LCWGPqGTr(2&Dy7T(9rQQDHK&@VOk!j{6aS&%5h zzbnZcM3;Gpm~$kgz&lTyR+;$lG`$$~Z(0GlwV-b4zFZOXv_ zW1GGuX?wL{x&BXh51%nXT_1(D9ncr-J10V z<1cByL!sY>G=yMQ8-P^dh*WQDgn5nr&uSf%ua<;DlT&++S*;(TsZpXPnC?6b zIj4k)X7%wIagLQ*UqMZ(;9gJ@q1vpRVf$gp9h*&sghPf{REm}O3YUb7!5Ls=;qZEo zAAD3@Qh$g_sXny&QMbqX4pa(sd9J$rU;SdPl58|xIZI!)oN=FEkshu$EXc;k0oiCz z>*J^Ke*a(k-cNcZu-tl{ZM~s5vZvz_(*>_~WX(y0ell!Te)qG+I{+*sNdu5|c9cZc zcz|`WdmJ<1dS+&4jaS^xzM0us~jtK)RG>&lcFn`6)z#kzIxQ_ zW>vgo&{JhywBGAj>n(WhyTfx&GfZt|W$C24nNF&2djCK97wOgL@Yq+ll2Yw=NuxLgC<-Ym0<9{^ ze0Si#p#2=447>?pqNyb59F;XO!&~AaG?8J5QnO~hKf_e#)eRb?I?s0q`9L(y@jUye z&hsrAraI5}=uxQ7>7l5f5M->ny|yHkWw~as#x#zL`Ye4Ojvi=kT&H_o-_z0k>*h7D zM0TB>wjiYBF}VWLaHn)CI4GIiDW}|{lFdlPDk>mILZKp(elS?(s*;&(rwRyP^|UFM z#|snp(a{cM)zZB7(&Yn5;o({exd>IMeLSxskd-;GrEY_*m{fOfU`Fg~f)zIf8zb|%B>Mw~p z;}ukBn0bhR5qz;QGX#5N1}~aIW5F4owM$dzf&l-qe25i+W~V*ME7BCYU}3%Q8%|48 z=z@c@X=w^waBws&O`!`u%4AwKT-Ie&^4=&5g@ z}L<}T!S~ko>Bw*yD_qStE|~h^ze86?4SF0#uAK!dBdE-0zCfefr2@M zHJA>p5?E6w4-TdSbNXs99hkFM7}o$$2A%Vsfr2@CW#Dcm#Cno}Of{6moz6SDA{m$y zjKj%5CM3$5gUP^rcZL&p`SuJa?(+S4)Wls1K&AlHRHJ(Fk@ODsmky({{(WxB&b7J! zE31^Qra0NDKyTf@KT+o@+W&>BUVv&h2vmDgaBI-KoX5EZ7EOU`^Og6stk4pzOm~HE zoaABJEou$mQl-3^D&;SH=lQcPM-z9eEk`m;NZhT^AS;4^$G7>ooVbg@g#iDxf;n+F znBl7uciXcLbvj@~mlo?HAD0t%HaUU5!QMC*L$FHR;m_K71>&pr9O*>ZZt&ZWWP<>U z;|BgllM0j!jY~55i0gx6A5I3ek7H}{#v1refHFxp7iQke9EzEpS8BbYswVc2rcuAJ zvKoRV-JT4N6!FP`A-Bc;Z^T#e$-nb|=@J_o$ zi{YJqi{`K^ea@%tKl@w4@b-gqfyre%0ysYQm@g=n#Xw!asxUru@M-A@Lr@2T$Qs2< z!|Q_4w}5u$c10Rq7epOQr$xcKNe6ib@YC?RAc}c=!|(5n0m{C@InwaDpmBT*J+lpO9~Sja%;wP#Bgf2#J0qa4*f87DbEMz-g9G1ZSR z5#qF4w3zDKG1Z^&nLqcwPYYA62@40ecrF)^wTUwkFtQ$DB>}oRGcjXDb0!xdB5Q=0 zSGGm9P|Tnm43;P-a?y}O^eI*Zu0{jZ5TvR0b3DW4(};F`l5vgs&^gh5J{)W&0tmho z1rsagL_0<;Yp)OT?M6Bhf>om3DZ@yb(}IY_RF{)n8)@MqOgbnQO>JCFI!wEyf3Kt7 zuv}&LZ0yWj8cUXl*q@xArmr!kS+sZMJ{euQ&OUp#xeF5oY^zwuy6&jWKmed~M#gab0mUP-JS}f`9SknLT`G4^B9~;yvdNaC~lq33> zZC+szabx##Q54@BV;hG0wl<}*q&{5;V~B(bGTXguNzv$m;X1UWM>MfEht8H1Y{$s- z$~l5tw-Kx?>2?cXDg-f!uhX}aEh#$ml?UZ`+*rcbo>f@;Y)KCr3d{+`lp!^omVOGlF&7;@&hT zIBctfc~o*@{F{w7Klho}o091>(~jfmG1E)H`#1!=_SDZc(^C;W)0S^d3=uv5?Aagw z;#Y1^J%Z>(bkvA?EYKh5UCb5D?{pJr6hu#tGRx}mgc ztR4gU1Hytf#>wh2pg&+#?=v6==5ujU8%=IX|NMM#QNt{ErWs6*&d9< zCA7GBnXYmJr?c7aS}P}so+62>so~wDtK6awRiie*N!4an6Rak&m;&xVb*AW1-M23| z(&OD#FI^|*kr*ZiNqjO>%+d)`kYKBN(IC+7Pz5=UI$%{stwoT-W#fwFfr!#ayZlWK0 zgBX|29MVFanH{zgx7g9~~N#4ljQX>Rhd4zB{1Z1=$TG&ud(RRx;lnq{66uIc)^y^&t?jc5A`A+tSj@oZrYKo^y zkLRiUU%>ak3!6t$B0DrZQgczgc&S2@>rggVz2NOjuRDnPaCM#4k61}4=HoRKv%N*P z|g0)p?a-1}_NI69t(Ss8wE8 z%xSa{bYCNw6?4IpouJ9v6&0gqzb0lI!6wuS&sog~$ zg2oi2rRO$JnXyspV;dQ_@W}I-7BY>SB-426NwA~4HpZN7Y+=l_a?8RBHJfDK;4F6H zgHdX_H^H4JIM5x-xkhPeH@?GmV^zo_x2QGj3xNM-0Q~>r&;Hwg;g^}yvb7at$tPG;)g27I*-E|I4FTe$jT>$uk zEkTXUe8h_M0$lKjOtbBHvyXTWTr!2QoMkWJc4cv2j9aL(bPj)lfVj>#K9HTfuCgw1(zJP*o_gs*=~F; zp8x9$`1AMWWE#OLyr+=;!Kcu8cpn*r%VSPFbC=;H^MbB;9MBc^)GoIA@dZInyG4tw zz8zcr{xA47FQqZyW95t!reBEFvI2(L>P|W11Ymtz^UURp$#rIQ@p0rRa(O}tR2Ls| zwmcOd4r?`TagI4zo{A4Tv^x^pI$zFzOw=CM9P?ctx=NLo(!&m<=8NY)>)y8cF+t^;a-p#L9;>L zK^~>R&0vRIZEfoWs8-KvE>M#0gu9tLg%#(Un=6nzpN0EbGyj%~$MVj4@tC!EMD%x- ziJy?@r=gjc=x=QjQImgk|GsZYgWVK1*9Db(dlvW)+xZE6V;yZ56Bz(@!(4_zanYt$s*g~&cqa0S|vW<}l`U-7)-tZtS`P6xzL^`WUxT@YzcmQz6^ zvd7TOMv$?O3!YC@qX<7xbrtYR{xN*$i(u&t17TW=XBT~1Bui`924mxzV~aj5lBJOg zSn+zz$+}OqSVLo?YOBF6igu$i^#6Lpk4GgHYZmPsln3vV(cjVE&gI!g{})-ug~Q}= z;4rZVd2S>#RpU)tzE9(Q+hYO>Rgn ziVO~&mYeLy!g;MU-caXi)_6gjgmOl!L$k(%GA4e6>`oZN*8G^P@yhTywA+aDnlDeK z@j}~zwxZT!28gjA2YipKTR^(Ck`uqX!if)d;#N5E!B*S~Cyt-N;hacx?RV&6%J*@y zzAXw(KDeS)?G;!>-JXLJ*OeYe?c3vTE?~vSq2^<6&Rp%gAV2O7`7!MlwH9nae%uW5 z_9?1;Enrx@wnmsdcC8y$&!$WZEJ2W%|H{YUx zA-M3W@;%ybwhXj!o~Q0}BrRBeo~7{xc{-Vl9?!=-4@ljWN35f%my|Pb_Lq4L9C|Ku z+vjwzYqxTEEZX&sn2gF)Bj`EXNd1@0Guzd@Cz1UEDm0R7=uSYsDP_Ze6RoI5w3(sW zA*Zh}0S@g9X@7L#Ra7Gos1L~PNPl5K9N&RL6|y0Ib&m#zEw7%x+V`{d9`_-)bzwND6I>lK@Fy*e3?qv$!+-vAE5KwDi zKg{n1!jBD5pCJ5L9njvGGXP4(=_~FJJM^TAApM_Okm}O~fweUvFeJI#j7CnUK;KzP zdc7HDB|#ldp{b9MrcguLq=ifFl^;}MUP(-C=KhtdQphDa;wk2G#3tpNzrRXGPjGTZ zcp>^;SSIIn|K6QBa9Q(UR#9 zv`}a+-<@r(OxV^F`?I9-5pl(6$bDKT$L9a5AaWa%hQy(lUMf)ND50A}# zBw*Hvn@W%e1;0iQaZsg*JxEb0G9fjn1ff#Z=OC$)dWRgwb>=+@W+NYv4@usi+sPoc z7!?#9W?@utzG!HUAB-p&>&Fis;ii#{RXQDkH-&waAU~o~iDCUA

    $J0KC)lmc!5q z%Tt+q%sgV6TfI;G$Y1;TfB5dt51pmpNY_~gl|*V%=CJ@fe_A#0Y}HvVSacS!9@1); zvEkHNMNkv2#HAw_27QnPsk2=0xJGV4-2d%Ly1ud?^QbOZ$XCE_f?1&TihTTB@Qj8x zQAKPBP@QF8-5b>~RL3C1O$J7z65 zYftoTsnco8_o>q#{k7lnTfZmVH1$T^O*7w=qY{+pU@WwZmjCr(rRu`{Tg}q?iqY|p zQ3!2uI)|2};U8=R5?V&_u?{UuzyE%{72eS-NOkIm9*jYAXgL^z=FoC52Cd88Hx+~y zt1ip&;F+0 z@I6s&QvcHRn0cEZWM2X10pASF?Be(2n2#wk7mT>Hr>8rIo5%HrE*DnBFqxrnGXmJ% zv2*AgZkC3!J^0t^C{n9UevYV0nRA53sx~gA9xH;Ijq-OMwjf$=0@xHg00|;sC3vK3 zP}?_xqQ`v62%gsm$>Zemh2> zFZzJCR6~t=a{cB1Hebu89{x^4YWMK`UqpIKqxKkPhOc;vqxmAIO}ZVWm<2Y_^qzwa z6jv7&;1X5?E_0oBFMHVM>dys!dw1~LX}74gK@0r$X5hE~=p+B?SA4>U-xw>c7N(GU zTb()#+Y$#_?{TrZ0Je5|k3}(K(7xC<7#@ZXnbbZ}7gFb>g66Q2GQA zE;-pst91zLqRZ5$~3qvm=m98utZ6};vUU| z^`R&0qoQNvgKCtS94W4y{NM1`nvkvR*QTHb^W)7)F3huNT8G@q*kJc&KxCaj_ z4C;f2mC<|PBc$D?mA%8H<*$0au8w6mcZ#VceDLt#3AP89-PG7a{IT2b9mnqb#Klu` z%*~+x3;!m?M;B<~hZ!R}Z>N)};!+w!&hGa`A!I;#wetk2$u!^5A}Op1MmmMv#UrFp zdY8oaddH8P%2D5O&7qp)dC!62I8V%wG~&3lW_o}xSXc5?HN$jQA(dul7Agm)C!co^9Qy@*HteApDr*S4dMr_KW15 zO*uz#719pq?XMg!P-oUjN^Xbe@yN`WC}vi@_~=Ba;L(y4O^#TTB;};U>^PFVpmO9! zxCn5;s-M$P6DZX{x_;mas4mAAQ?N3;np^}`Gzo!rhkU^8?t(8+aRfm%fQLUF5CZl7 z+>YzNJzSvaH|-BXf$H}|px(cBWVgbdkoMnSY>(CP#Tvu|gB|kmg{mP=N5SO95!I_z zHu3HDRD$FCx<94=iTueu=OA{biQzzzkA^_f5-j&C-v-B zzN+@(iHg@>ek8YEed+Rrx6AL5pH_a-mGQyqLVk$+fXR1-iHM@`I#(?2UcX#9;l4}D zU3k`ZPq=}R9i3xbwJU!|*_FTSb$?fw%<95^tQAuYNZ z#A&dvEaUBhXLLZqXMl+@^j7Zcq-raIa43$VOA$N?1u=@k3rAV z&vVrGQ`g;c_m@}a@WneXA%X6dC#Kq8+;hwDW%=rIu=uVbp4*Qw<8nVOV&IA8|N3Hy z_*)ZIm{*89UwUYpES1jSPR@n2Z)NAIp@M>UG%Ghw?<;#VRQoVA)+ z3ak#5H#6eR!TUnuE4&#vi(r~cKNtd)HzeDQ`;s;*zk%&-ObpLXtJy;wR!iIfx2)M> zec3Cogw&O0GmPfdHaIMB?cMa-uHuTA{VR`9b*BCM7GGoyYYqcg_8oLdu68?KzWmZ> z-x;pV%P(O2nYX_)d=@d$_f*U;VvkhUtEjJpUw0aP*E4bQ)eq02uf;7&eu;jB$tah( zI@9hg;tuAR=*PV8i$k6vzw_%0!MFi-?TahbbMeJ-nsAHOE4mo}@n`;LpZ#IMd#Oo; zZdwibGMewcT6s>Z9abFSLBajWIGZCaL49LeD5}ef{k8I3R8J-Fqj@*6Vos}_z-4V2 zJ)Ik$GdH4oG`d0g=)j^FO!8W1gJr>-RwLR&8WvAL%hmaiDrrQzOU`*^{>@uD;~d4s z@Skl^drG1oNzANEj+$AT95s;-ijifW#s9Vz^W|I4FI{pi_Qk_uaUVQ{h0Tk)!9|u0 zLd9B-8O8iPKZlWwPg7)S>7lM5cuom_aDl9+jo1NVp_4U}9DrrGj@vbuyqMiPoYtL8 zTzZf%K&NGSK={C&tXX=JFW@U%n+1$lo6fEBYxacV=zHf?@tcodl%C}aL!06e-K@H~ z#q=;=fY4meQw?2{F-%YM1*Q+O&I&O57P-Uf(ZkWj+S*mp(ymI*#dGjR%aev2n|p-i zA;sU)Ly9eXNFyO=yOxI(-{glByZA%OkB`Gc`m7s+HZcgqL6hIpd|md4n*0=9rYa!^ zc*LxP99*!Rfuu_~#|1-D2u@aV;ouThmC-f>C)?q(F=JP`pvXBPVHK5O2vjbhY{&kR z?~Khhzo+@SyqvtTDL>XG+G=*k88O{*r)9`=2j%RYG{zB!p!<_LqETY$}+>Q*Y!u#l zD8nQ2B9izRMHvDSrv=F|l;Mg5(^q&-*&)I@d%^S^QQ>SWm&u4=F6{t#Fxe24I0rnZ zWJ2(CoLOgt0XuJ{RWEx^$$}uS4B~8Yh{z1q+8R*;=8WMSiARJ(go;+jA}1>kz|j$? zA4hyMwQy*#7}WmiR|SSTL4oyw!f@S74@^LasE+0B)uhm{d zmm!0Tq;Jm4!fU4{^(FUfKmn-r&KpdYsw79XUJ2XEhgQ{vUq7*bS{Hb1K-jH!?uriz zZ(*322RjhNN>X^0^N0Rvnqq(I$!-YBw&U3Te~Ch zvR*OUTF`}X8Y}IXqHQHXIQydv9h)3V3u&;j2?%! zuv*(%{vmx`efY9BJ4r&{H{ zeuE}nnH;ple*76JYwH%)|6MHY(=1|E`5Z}j<&ta-@Ef$WoNIe6+Wl#YnxRE@=m+DQbGS7f~4f+$p>xWhaNU?SABS&%xc2m*Yr zWW*a_!5cy9up)@AO@YN6@atRBC+aX4L}#ha(I(co6%-v-B)2=QTj2T_^@V*!WC|Zd zm&C|0j&?|R5kwmgd!nyr%D{%R0 z&3u0#2eJ=_X()=YW)qT=w6f~UD{?+XA<75UqotJQMTv(%sDwgHl6`Curk*d;9`+}P zz~5;qs0YHb0Gj7PU>ZPk1IWcDsxk9pAA1p&*e9WCA2SHcv|H5Lye0Oznb_yk|BDZN z!B0l)gYp21Rt{m&Ew%}O!CUGpW7OcKGi-z!903g-4Ajszdn&aqEJjG|8_-s;=UqAB~Ud&KOIokZdS}S z>LZdtN*#leZ3~{F#`6`efq)th?Uc=m)Oap`!1!kR{n(*Gf|T`6WMLDfFTdL;-RGNM5IAqL$Jy?-iK% z3Tim@yFEWg$gusT+Z3i>^f!>{ar^RdO}bAu=||-M`efp7<8_lI8kpA#Cz<95PLz+z zAz45uq*5oWGOjMXMS)}ig9Tv#w&uqQ_Lz?-{nwzX@^AjBFIuG@Vx=&3eQ1QH=!alc z7R8c2!fXL}7M!Q!0=iCCbw$;Q@jz<%*)|1kCN+@SX zx4se3WeD?MbDk2*xg>s)q`3eulLoAOf3$1Gf6?x&8Xh$|6w>pWj~IHXYL4o7N6VU0 z_nPUI=F3M$q8y0JLZh zoq-#N1E+ux3L44N~;_ z;5Be#Lqv-}C(h0%@(%;oD%J&G}xO7#C>p^0> z`bDD-j`{{s(4z5Iuf?KSP~_=$n_(XApxmZ9wkN$z+dOC1=>_a52 zBckp5)I_;mXQwS8Aub!?KUunEbMvX-?u)bY)RSPdD~b*6o#<*pfyblVW)u6e>O9*s zvNvkP>|Q(Qowj^y)mb2W`0c;*pN@`-h`RiCWhiG$-NGzL&>KyPSJPieRON!D<13)E%^4 zE(>bVYm%lHS#wju^-40;K#3!FCPHT4|e8*5a==F9(+ z-~1uro@+SUW&ls?Gt)ds!-0NI)ANx!${9fG4MD09or{ARK(uzkQzSlWbySZwR;)5i zD^ku$PH}7VXG1V&0MDL^IwKR+t|-dTGEr!NWG4r*Tf3b(YO$gyLr+=lH|#b+!feg{ z6ne-ZD9W&2k%Ay5Yy_(eAQ2BZHev|)+#N|#hPLKmy+R>`k|^}FKS$hiI~M>mpo>)! ztvl_}^WDMlJ$9htmv6{2UjHCXg!|DqXL1AL=zy=aKV5liOcMD&#Z@Dk=t|}r1ezqp zYQr#eE~i3}{CX-J53PYfo~bMC7k;uK5Y4HI+n^d$w5qrt#fdgs&|?((^tVd)p*XIV z?sK2@ypc1s54mk8R^l&AhNA06Bgutn7=h$cw>lxY0Ifn&tZHY6XBaymhc>JKy+c|v zmqq$fNoxdZ7UxeM!-}+JBQJdV!Q4)kfvg6ehfS!GFwPe^1T>4EFa_xf)KF@QgvH!KcpEY&Wn}%++9w zYn!90ay3NCNx7e_1f9GJjY0WAIsu+*LLZAUh)Y!GY6hEu^8FcZ2Ff>RxEUzlq2Xqr z>J|+)1Lb=(&6MpH>l5aJvF>zrU-_vx}KAbmTLD^5bpuS zpe7_D7u_~Uvu@=4kNw5VOgRZ8Uy&y_hbLhb=Ykt_xqjXmJ&V5TS6HjQPoox06F*6z zOP(iNF!AM+LT@byEKmi09$}t+WZE;(b^+&7<_N&xz?n@aEUB1ea%DSA4rse7=3TI& z(Sb{}X$?3`E#z*OL*%Z<0xMyqYx$rKdz?LmYvjEYWmDXDVMIDUtb_M`;{`L%~>AT{-&-7hT*gmjoVjEv^UV z30N;xlFau9_$-bL26Pi$4fP$L?Ru!?Zn_)P)Hh*s_97Zvu8YiVr_#L)M`;@`CSS;1 z4LzH~i`@h+PpLVTX44!D3yo1m)_y>5eE`I-ZI)UFHAxaDc~smE2c>NmlYrVCjQdO& zT&J(up7are)F~bQ071SD^A4 zhFpQlCJkBm>@y6S&g?S`T25*mdm!=efZ?oshDWfQG$d+>47O3v#=Omrz;ft^uz_Wx zOvJoF^XO*L|Al$P`;04H!ddt>n3tTwQ7uh`wb1V5v;%Z@MO&`bgldPzywrmecX!;p zjPpfdCw?#t8qP1`?@r2`DfDC>=}@LkiPqgbqTL|*o6-b`t66{;xR!TG;%}mhS}<|rY2}k>dpVxBmY%n-90A1O;Y*MK zK1$Ob`(t)Zxl-EzeZ^9~z*rCjt9AXA#?u8)r8*8?-=Ve_n*{=$0TgFjr={`q6+uG+ zkSD@U)I%)nj2|N|yZxBccC%GMbaZHZ8iF*QzT$bcW2+*Iv^vcvSI4WOx1A(Q+30F) zes1v`&+2o1j|E?<& z`*F45y6yr1x_JQ5{6x3C8ds>wE6LUuyL)$bciJslg4SCKTJQfq-}!-G^`e=&HBDbGAm4=#I4X8I1W&8n+5s%NT(j zCVW+bvkX-jttj-0-dB@zW79*jJ>uuEE%9lJOf3zYbaf*2bdjee!6B%IMTsYog1&}l zA4%BZsItLxA}FkaG`zsbhDVU5;I?!;J{~D51EW=Dm||30&4$ue*0g^o2Uy)GO7#7!-}<><_x6t} zIzSQLEj<;n<3`B0H`WS=g16}V9fvK`0p1#6MhNmI+ZIq6TjSZ=Zaa8>@x6J>FIi)J z5xUc5MZj}fWIJ!Wueb-KYWK)6bu)e%`XRgrOM^}T&bolYX?dq8;WW2^6Nl4z&H&n2RNzv~cvX;#ON zzM~eU7}%4=>Q?#+DL7i7?`^y=m#GzBnrF0b$e|vz6&VNRRc! zadYNP!|%TG=`ChbR(=~sLssW6+wuE% zI~gQd_Q%M|UG@7RQ14&={+-O=jN@b0}i!y_5dFIQ%WcN4s*Z%BHWeun(N=8C&xG_TsV45sHgG7WxkBK^=P^sA}9WrDi@ z+ImVlFNWk?%8%sMt1n%?@OJqwGxPe_??{|gK#vlHv-}~FXevI(%01jMn(7{P<{#N7% za>fBe2HEx6&kRV_)J;vGHqfRfsDoUvOgIFOUk5lwJ>Y_a6oS+cE;u-zi8{&!2gftf zC3C^zyn&@c&N0aO;JD&>E0V~Ctfiv?*%JxdD#=LsP^}J)Q_9e~fpJRaHkb2sHxFT! zaD!AJynK5&`c+&%zab7;^4A&%P0~nlI(m7Ep~ogBv}^T$j})nN zCfLIb=Qw?P>$4FnFQebXpqcO&KTKLx9Couu(L64Siur4Q;v2vEl~Bw|ckXGRG9=)% zS|bn!`@|W;$0f+JudD>?f=6YSLma$9A+!;DA(&D&|;`irEFh{mPki z9Jg=)4-w;v5Ts%*f?fwbV4RbN%OOa`?1G2G$`UMSK-Qdb2vRY-AYxDSPBREStSt*2 zf>g{!(79bP%Np0IK1Wf^A<23wmjvI9W|m?}Ndvj$`E=6yFBlgEN1cDSq=AYgLSbo2 zi!tYEO`6Rjc{pI;lSI`%-!Dn#+rzeK&3u4#vc5+16mwClnlJJ&+A~R!Sl92fMz+6X zGQOni`>MdI-TV8erYQ3%GJ1@9M#Q3-R|LNAR+2inbUoz>&yX?oL^ z@6*0t_^B`b_P;j@fPu15I#Zs97DuQbd^!nFX{0zH(WAbhOuh6POQ~+Hh z<8$(%C2)3J%Z{X{$j+QrJ}J)53Z_ItcSrMpKSERxR+Oq@UQsfYCV1R(AO=^2SKN|f zi1ziLjp;h<SPoMmXY> ze$UaIa{uL{I+dD9HBa4?8h;Os+DSUk z{<0l@Wv59NJOx!+QZw0Ue?Bj1f5F(s@#%KYagzno8_Q|&G~8TpFd%I{n@`Lh+PTVf zLDwwknl}cj^(}9H>HWVFMqPV)9bMyu29`&fG9p(Pnsh`iUGYrHL8I;~7VE#?bty6# zQn?@py&0D#h~k?SsX~jOQd6)3i3)QgNTcq8U>#=%D+Jd_q&0#x>MjV@CAI#jKbl>& zuVDSDj9n0^BNp{JCYEeP^{*DA?vlod1xY{;)KtfkV$@v{u`7PAoFzG(nl)+EU2=bB zH!LMLhwj*2DruL*&q1b==ZQijBjbE`D)tWGen~kc1A3d8$g|A9n%AmEZ%r;VpkiHB zB*#+atFXQ*^ceSsy5YBc7w(Vrh$6^X@?E!(@0#xj*gLQ|0?W+XT)2y}U7fJ(*2)+W zTcu1tLa~>(yvktq?`x$p?kgq0vab{!OOdXwIt~;hU%vd(XWxl4dgkSu;gk5SANpOt z?d!r3P{@ksRt>jK`5!%8+J*SUidDO>hv4C(*sHcsdgb z#N6uHGRk&A%n|U*gF+vGy^=9KZaOF^7QI{&B`*L9DY@HqPj6{a4E<4!~j?J=uyrWs;J!(Q?8 zuElvv2jq67mOFqFfF$sJ0B}dx8IU+fqfl}z04S;08AmehQV@^R(aYnRZXh@kkO_tr zSJ%xhP@fQn6`mjd+H*Xj#yghw_8}cFz2)g&{-p>z^gKHnZi|;#G~61~1)Eox87_Z= z18awQfvrBG!{UMk8FxG%h4MBlu8g${WZYrf8C;t+9J&RF{eYSQ=d0o>>|h8IvW5eL zFB#$~xB{JhtqQY-+ppJ5*FlhRMI%^gII#9g0|E?z)LiqAsWe<@*W;?Qq=X%QfkM5a zT|~pJ_&M?fyCga)v{B-WW~q*3zB_@Svrhdu&q?}K)o6qvrS<;+#R zOOSJSL5``ikz2F`Ik(f`|EXW}O@H$(V@$SIV}qYH<^r5Hq?n=LZMXof!A|; zHPzh^ckV2h`(XU|lSzGw!{9!E{lR(m;V^`sVLn8cXg@^yK5^;c@NB``zgBqryM^B` z4#VOwyfH9KpY)r5$45_?#fkn8COf0kAc}U3O1%_!STSs+AbK&{!eT0NJYjR#AUHaU zQ}{)Yw!w5?HJov1It=B|z&61T;5mYYUF>EE)}_iai}QdyDh+H1O18^Om8D+<^GV!*yrSd`iX{95EmeZ~wq3F=RqEVhHjErBP2*=dYO>Wd-cqTuw!eF~ zzqi|b5!Sk~(VjZ>eY|iUlpsH4B$rYplcG(|G;PXj>M7JW)-2A?{qA@E-Oq`h zIK?@60@aa!Cz%zGpa^J66l`kbUxo+%IHKYLl?AI2VHhxA7Z983sM;tLA325ZA@h3Rx+(wkjl^nV_X>?&;Y>HQ(9y zto`o2&)$3eKI>UYdTb7uo+Tz)#zE|#@=BN0?VLls{~YS|H7R?lFJ#^BT$7r%e4o@~ zoAFP7!*BWvza(l>>cjLvH0nJYFf0~Ft_ zNR{dy84}Vqa2;Bp7cBnef+KrTK|*?Fz>8J<%M~dNC^*81m;Fm{SzwkV1vd-hu$=7T zo!3C=)gLL~#0xKE6b=NepiLFhSBfn1gb<*ONiZ$|e zgP^5vQ7K0e9#ws>-Apak*u#9SPMUKs&o1{e?H2WVeMY3+4-<(^CBV*r3D)j zxbZARWcf29AP{L6*qGapK%^_AyDL{rDqcfUDo~o3g3zPAa{*BV9_u7na#z@SFv>B1M20rl} zr&@FzTHad;djHS;Yd`vre&}LCWx0S$#)OK&!g6$<6DpQxg&+pK^r?lMP{A~NWLM$+ zqcPN&AuNJHo+Kw!8r&D8MS{1=ht3HVaPfdP7a0g7bqvKDE9Qg>=EH*qgNNQ62IrU) zDvgR`3#Qw?(KRPjK&8eNrGFH>z%@lv5-KS3k~xEti$OA{cEqAz*V80I2b{0FBvg(d z7DnNk{kTU7dx~VfJG%qM*oq{q#^5~j?Kxs8`7t?VN2xR7#}w`Y+eEB&Bv_GICotRf z*Pd@sWW1I~!yEgWleD`k;K0M_wn2{@3veWL^!v*HtN->yy1v)my5u+-PQH68d>dWl zq9ETKIZ5>+K*8jvD70`u0Y6h(IKh@hq(}aZf`V3J*>u8ubRsq)wHxrPjqd}jaaYZ# z7m#!;hsX_qXoOlqlKdvh>HNyC|HN;4C2~5-g5)_J<3z%*xmQJq0n?!LW|_^tf)1wM za6uII@M^Pgx7rvwHUz0QTo9OGjM$Q#&XA0bTHOUf+s2N2QpaK7Rd;CKc^5najw^@W zVGqUH#G$FxT@c_Mnkb}d!1Atj%@CwkcfsRvyM`djmB^B7Iw=JCSTw=ST3u$~fk1dr ze;(25A$iz~{)bhwF$b}vXmyu7AOw(uV<3XTd|dLP4pe0_tQm@{s9#<=Qd4&tOKZzF zY;Fuc)c$E2Vy9P8<$vM z+AZp1fjMJzKN+K+{QWO}=^qVvuQGCZf!f*b4)B#>FqZJ1SCY2L1bVc*E@-1Z;JGa} zQh>;U@IU~vJ`;i18#qn^DT%nHabQGrg(-f`hl4La$=i~^|LXD@=n)&v?+$#|x z6!PHGC7x<)9+!-~__O1d_vcC1$Rz=CAe@T75~tC0SCsFN`9^Sgj;N#;$;nc3QTSNRB0=%+eRJ-?xl74>UMAKrExS% zy*YAeB=shf$5K=xRhSe2%Rn^0h7Ecv5%!dd5oilb_vj_s!G8P;!n$kNwUr?|oiN;h zGKEbDwNr&ow!@293C&{KA7Nc-Muh;L&=kgTJNB2jD>n7#@h}X{ph*j$riG{s?zmLE zSmMIu7ywRYQpDRwLcqf*7RIjQA2Hd&AJ+4uDNYXsnL@O4Ts%-QpZW3X%b@3Z=exh^ zQS*v)Xvu^+B>fOqX>sy}Vdzx)a&}qn$7T7xk9^KYe|yj_XvNW!1y+B=Yd8^Lj65y* z$u}4dJ_PTIO*HT>P{1r8A#;fC7~0v4$rYEy1d;SP4apUY=z^yax!RGGE(XknOco#` zdKO^31o~M7Q9e_C23zC7b(WK+L<~!|n(?`2DZ>J_T`21Rsks^t&eh6|!9co2M^_$` ziGSnqhs`&+5;em=?i>Db2Xm({!v$S>XPlWm{uh?-qf1tre*c$!-i!Y*DoyIf_K}eh z5Fk83S)&h6a%9w?yI}5~7#Xb=gMeo|GTQ6-(;OjW$2%e;#1{QIX1}{lUp``>q!y4O zJ~$B>fzPct=*qq7KL4RbM$5%u#S$5T^G3^INOB70L%y0486mnOp$_7RHJV4dgO5i> zN5B&L>p2k_p#gFbL*>ZGI4s~4ua(WAoM*m2ZImXi$hdLi*Hi*+i}fPfG7Ljmv)rK; zZQ%|v4;_*h^?PXViNx=!n)>*|OAy~Jfs2Oui+lqUn z-})9gF$69MYHge&9aD^H>Q1<4uELh5fjEO&6o-jDZYuB5W8{CD-o!4R4&ukh;UK%x`)du}Xg(SPTY||wX<=}blpAog0dZTV&uhEdncnGM!LFZw5 zl5dc@We+DLF$r2Llj-OwbhFDWczS(XMNC;!zE>fz)$?EBGzOl*bBH5ki^oO(?60O#*Jfx7Yhg4=n$3u!u ze@OW;J*3#hA5wmNBRr(1%aEulL%$qI=N!d;rNWRsvxyE$q43oidX}o56If+5y_Z!TU#<=W) zYk@#LKDW~WW&U)W|60a1=L5^+TW>U$)c!IN!|f$MMvK-4FAo z>;8iuET4|B`9+mkXM1~om+XF+eGQ8R8;FE1zTFUpVVf9yjm^Uzeg(fBZsI)JQW#^M zHcOuI+-zZbJO1_z=l*)4QPZhIxkf)ec^uNG507zb*LmWzg%9oad2%`K z3Z2O-?+SOBCq6HfgrB7R%*6bUzv_Gb{XZ57U&YvaCdLr=&jkh5dO7Gp;M>;(8wJ&d zbotcZj@nlT+y&1La;Defg26%wzgqp9SdseE1-Ff}i7?^r&~(6E@Zgk9+r{4I%fyP* zs7%o4?}caBAa|dg5bgVfB(c zLl6hPMZ5BpmE#zcPA;^+sQwqc4|o~z12zmNykE4>C0nPCbJ#lNuunTctrq7*E9Yg0 zY>nWyf@(MIFGJh%0VPOF`*S;t<6uY~`VEV7qA=35KcA4;ACWuQY}4^kJ;nFooM`-a1cidwSc|{ zzJ+l%vX5YPsE00DgL93~C2MeCzq2L-By*h$WGT+VXfNbWMUahS-Jw&M1#*V{O4ADn zAqRmY+!ha8q5WmHv*Z_qdm$e?hr-Vtk&X5If+2KMIG93VG-gPn0@g&wSUt_@DifIk zSDH_piD>x=r@D(+PZJU*aJ!kN3#aJIDKZ0{+6Q_~ry|*qo@yip1YyOr1W?f3gP_gS z7Q{D55PIsR38viN^jzP>NV>yfkccZO1bFU5wMkk+3JGP+^km;0+{yx2Er6sVnI7#M zke(Q{8kAA}TczsP6BwB9E$`nR1CRS_S4HcR0JK@E03IZ zt$!(>;mco=YYUF0l;*sL-oAEM7()E&PyMT#e-vGD+I{MI4{vBSB>i{`GnKFlmd<3l zCb{pH+NKF^F{4-fBCr~;H7yHL&A6a-Cc}Y3Lor>LEJy?9g1a>uvAH7X=7je$3sOV4 zAdY+#X9MyEEU~XH@S!XvBanN=B29hz03e;TK7QE;evr*0~VFum) z;$}ji9>4nK;#bD$=;PI#L2cIX%@>{*r_}YxLu?`Y*%E@-#Bbd;vDA8>@`Ge=U&D;F z_6m;j&YovI*wghqC$CO7r)llxYMu9>=n1@S{k`w`AEtW(fM)b|J9`39qo|PJ!J?t> z1+yn$lQ5vn4YiZ)cJ>4S{s9Pssg#ut?8<`K6WFgY-QENZXz34tLf&obIRJ~6k|W&0 zXP^p?`o4oLJ*05-SR5_FGP{3_4Kd2|BValPOleh_vsYNZ2O+f_I2tMn*iE`x-BYCYA!9l z%YmRj|DpA!)n{hP#%D*_Xo}~;E$VArb2r=lbhG_;|JV1w{nbmR-3DSJ$VUfxAG>GM z9@&6F0IlGLW@Q|?3;Q}?;mW2R5g%+I%@OQof9P!5(blaDU|<51fmGST)gXVjgMp*7 zfQeLROnc*31eKbhAnf!J@n+KwY5;WP$%<&=>sBnL9Y^NWPG%x5FvM?Hds6<;3}YBp zS#y#ZR*UJiKZ`n>B`zPh(M)N;Hyylb7WQ$-JjQ6@wnC%{BF-)GXN^81B;YD4>sP(zB1l97i=`hFxQwMDXAbO zI)xzPY8Qm<)#)Q>A~ftxtVrYQf`|2n&FL^#XrI))YY5W#HbFEuh#=T4F|MQ@n#R`! zkErI0@nt!n7i3)B1f5b7Hri%Y#i40@U2wMnm$RPZ+H%7oD8|<%(FQ_ZK9V|nCq|M? z=r9cP0`5^IN&k~CXOi(1OV})=8c^?~ScM(EU3<)H5pIcsM-A)i#Xc7&!^=v=05iVG zHMD-lnR@OXjW2MEw9WpYKExJ=K15y;zy@OIz>oM2s<)C2DrsJE`D}# z@w8hs$Hh-)?)29^{`N2X&M?i&66h>1#u*5ZYY@1CDw=k}zB1G7f*?)-#1A%{1g;9S z4MCb_7qnqxc%|1XVLr<%(loo^0sL&5Bu3u3KQv9V3j%1%Y%-vBi>)v}xgGGg(lomu$gT~MyTU-A?&lHH?2^Yd8R1}>5lDj_ul|sd z@^(qZ_D~YOiQ)n6EBxeA;B`q%uCxJf*OSL~wmTPX6LmJFG|sMsH2QBjf887c?&ANc zm=n|3R$&{+Wn2%V!xG0QU(x@@H}Of=ALox9G2*CX7A(HZ4oa24K`%i^vCVFULUksT z(uwM z4ICPNtvi`%dzNQ2-I&tO;nt>vXZKFVHtiP8X8P%v=@0(EkNu6`bBRBettJTk$da^N zYQZ_EaOhn-Ur-a|AR&!+Ly#}H3kIDMinX*?L4>38I9#v|#n^+vT~oucBF%IYMBohQ z8O{;IQ~N{HOuOKIx5E@t#N+_R8yYEAq?vZXG{ku=X#9MRG}A7KNSj=*x}v6$|otI@+~`G6!T5N_iX+AlXcw)Aks1$94=Hm;eZ(bXYaxf-%QX}g9=!r4&9htV}h zZV%R6XuC$fbhTW*=4z!G4s>fQ`}?CcNWUWSOz-LpZQ(X`XktG0lA`S-YR?I0|G#@e6KoXeTtes#^+3pTI&U$AOn3EFgIp&mY z(^flNOD~vHwy-3mUPRUuN#6ddbINwNS)m_W1Q)|ZEGZBCvN@GRdZlpDU~;G@SyHxm z0vQY9;7dg*=byZ!Y>ztxSt<#Pc)w=8J1Ai@wTxsns3iL<7x;>wCw?PNaN*HW7w^~1 z_veU)eN95cN(|O4HweIW0cQm@Lp&S8{@0GKW)DXPoibzVa_#vR!8C0p3g2xvu)SIG zqLm0;Ka4L`bw~ACw@-qwxm4(V`J{WG@@yYo8)mTOo7?ScX;&47$*>RoUI2pWUc09W&ffN{wHYm4rL_gBT(x%LxYO?EWTyCNg_=gN zhR&0;zcj_<6BfTJ?a%GBzhu7C{?PNKiRwbHK7O#Wocuh^YQTowX{?C75EsUq}%h93M;QP1f&LJo|&cnBugocWHcQHA3 zv=t?jJ!IS$!X{gCw;*B$PTO(062MyieM;=8;BQJU(1q3=yu^-spvt5R4LCC}=G5Q3 zWU>+30;0~y6_Nd5&3t=^QI~8#=CH}+*K(dEw#Te8aYMn;Ka|Wj2!jJS&V|1cS*yD9 zEO$sp-ZJOC5;o>I`bD2a&HkmxRi(~)BkCm#a^Je=m{-!dFX1x~maeuh&GXC1jx5n2U!<|sQ}`Z@lj}lTJ$8Nf&{vV zcn7K|Yoa{jaxi7GC?Yi#P+21*F0-jVZEm1?tfQ;P9#PfhRY zA@3dqUedN1k&HZAraM!j)1-W~&>Im|ubz=m_;}`_(4WV(EtwAP)Qf9x%^U*0aluT} zZqXb9KAjNopZc-)|AwCpyRPwgpTIt-PtGhd)0H_-sCL~`kNXAc{|quPP6V0SDoDHD z1W^n`ETrIMn!=o;ED6%}0i+oe#2z(8`O=HD>%JoRD^Lt%N_+&IoD;=4Wy?|-AX!Zi0ju-G(|F`e|=HD40BE1U>oKj2L ziNNo`m{u{G$OrU?E?&S2^I1mV@Bbq2I{N@0!#=>&%&NVI7hH_qei1_SC;!|hefj4F zgh*!+xbC$H1;|0J3HYI2Tb-Ypa&9OqUv6K~xB;=^$WT@(^5`@Ku-cv($|{*v7X-8v ziUliT@}{bb7_cCjRTBgt2s1IM&4Kt-{TG6gSvA44Ls=!W>Vi(~G&Yn~GpiG6!?6KGV(Yh{Q;K0cqEgxi5_${n!8 zAzgzT65LrVr~S5(v=vm*^+XZ&pkL6XGj3;kEO74~sMBsyZ|-IS#rq*p{JwAe>HqgO zlD2v?hAsmT6c!2@tTrr&;C9?7AsW$`X=EZ>wn;$BG8CfAur{b{te8!b3Bte=2`hm^ z2v(QD+9}wos|l&FTm$Q&rmADb>@pnDMJrAS7$cD8Wxy1I#brPO z+Va5gTl%ZfVyyp?P=$)--EIt@>}%eeDOTgD{TBo48n#Y8iL5gh+eYrF95=ykru}cX z=-{A~81gj#m2;it?HA9ew*9Bp3(da0G%FUmLDAVw|;1;8kbw#$0ikXZR zX?U9;S}eu(fn-q#FY<~syiM@9)kc=%-qQrJq8MJ6Jc4^tO1cQz&G05kMpiC)Sm4U} z10B)5)c-(IEp^El3cXre1nz5IG||n_sVPlOkz(rfU!$by_LG0*yV4bzc6o9@sV@H% zfK4Om%$cR>9$A_IBTX+lSQGH;A~P@kBuNzNCMlinGLgWZ@C!y`4ydOaje8HMr`@92 zu1~*3*Vy&neDhQP={D-0%24fgz0D6mq8y+Y%n*X9qbba6{|u;IFeVZpwp2{6ePwOD zE{OKfrG)4RyoFdWuSmP@f{ptL^9H0*GYitLyI`Yb*sj*VL)UYpU3bBl8NF3VS6-2J zy$QDI>KLs@Zzc=UuDhUR))DlBd9fE1yY7-PyI=#m-7P5rwM)kA0*+efC0YM;$uzqF zkm(|M(XOlE?%GDwq;`eMFy*IG;1Tr7r|bjpbN6*}0t~FA=Te5}J-zV-IRc-}`u&@A zdpPgSj@x?I+VQD1%xTN_Hb`oizwx=Z{8y2X)P+v#Pc)lrubTfriXyeG=R$v8nCO!9 zCkC*TmtMO=e8te8;8o}kJ+42YntQ=<{kc9!iZiRlas7z~er=zOs~*>%BcoqV=ugb4 z=}+>6{v4bAazcMzJ^SV3R(~P{Z4C6Y{(RHw&zTV5*%1PmZoFXPdK)ws7VoF9_}TyF zFZ=8N;-@r10KFLlI}Z0=ut*q@J(z($9tVv~XJy*M&2uA2+O8MJ>b&=Me22Ok`bH6f z4aTEYI}Vhi#A-{D#nq^#*zx^_iBLo>Yp350^IYusVxu`&Om??M%MdJfyv>qdf~BZC zbhYD{EH2Ia=(s;SVjL&u)8vug?VSk8Z~9LePZ>7Jp3wx$VMRlw=Cfw)9=ot>1mwKE1#y0>h^HBf|-w{Iu@Ee2J z^uXtB;e9kN8FT4Z3&SlZ$rRz=9QOF1Jh1DfwN59=^k}CM_KS@$FP5XHXZz*=S_VAZ z9D2MOJhFn-@$+Q)T{7)VFAScq^mN}CdKlxGFiojXf(VU|uL(RgzI0PSx6=?^#*wo&{v{tfrs08KH^src6?vcatxFN2Ul5h+IxTz_W3=) zro9IkUf(`pFhdLT;ket?^~j{oSk<_NHDGaaV9Y4LJW8UVR> zd7<9jTmOqdwg;REpNwEE5GEBLwRH+)n%Bd^0l^$JG~RF3g{=`*So6XGzb;tJm zZI(Bia{Umf``7E=Y&o+&?Z3X+6oeuK>i*o0Q~Bvsm6`;m#zEUUB^s0qIBov(_^7L%jL z<=TQDeD$5Tuf6=imouh;yWGB)K85@rH&B=u9OKlk@5{TskGp>0oge-X7O``~)}z!h z#uwx(5&)(fojbRQ=9aQ6dYM+=HB2`pj|JLKK~^Mlysh2{}V!VD^_b^`$jw3tTeL z7@M6Ch?O;IHC+;J9H)BWJdc>f(fvfyXYP`X=Vl9trN&C>==uJDwhcXpG~F9C#r12J z8-$j1J-TH_%X#KI6dvkA8yfQuFaB{m&pjIA#Y0_gWJFp>PV**j{}&!5j2P}vb1-JE)O+AcRVQcr;DzVK9rkzX zp?cHJ1*)6HcHaLS&k4)Z{J9nh>nx|@9)$Z#6#dHAUTadcF@N*#f5+ecn_*+LCC_2; z-YAPFjbnpJC~_PSicpKWV01B$uy)hNxM1sI2HIUXC37n=b(jmb=H#bC#;v$FI~WWm zZHyl}T6wQ$de&EeVHh_1J4uJ-=(AG}`73_zzLw4Vl2N@j-mg1?MPJ-xeT3}VvILxp z_>Yz@5_RPW2|Z&aKoWzO0xa2%0B6u1EJFcPfChmQAjx*xzZ+@l965cB!dB}vDJvzj+09QTOqA$}}0$VE&wK?yLZ@#nU^-Va8V07bJBcvfYA5_g%i z@0P8e>3Dq50x$FS+rDSxuhTKsJcMu%9H$^mKf*t5KXZAQhPQ}2SQMro^S&QA7Y6e? zw^R^J(espBbPZzdyZ+Aq{JF0L;zITO-EEzY&O>L{C0iHMhldj?imjVEcp`{6M&?P- zTXz$7cKAcFb?0V3PA2O+MUhqk5vo1Yucl}-Tzu?K3d%)fZJz(}ApHPpS7 z^kT_mk^@Twm%BkDR?d{|k#s};i8~VbzHQ_NyRrGx@IyLM>2&o=eZKFNs*-G z^@CeZ7zfAXJq3}(FIjuQP~t%ffcZ(#hIbb(m406niCN3I4!XsRG1Db?K+)?Jt@Z*q ziSwM8A*}UgKpje!kdO(Ss%WzD3B zZlEoQn8Hi3-hmjQ{+_u>4Zr7_nh273-TP9B)Vtex9&jZ*PpG6pPpDK^UD60=vF2(a z0PS*~`5wXeF%{4AYR9;6*!!^5{StnkP;)xkKDLtm&(2AmT~ zPy2w$ej~MV#sy5K0sK8@ytQP#(V=+KS$pZlWW1lV(Jnv{BHyp=lwoL#pW3<~w+oOp zz$}H2e9_C(?-A`ax#T*?`Ak@zO35R%&|)PE;QEoGIbT5?_M0}} z{?^Y3Zd85u2C=sDmmQEI?qM-mhaCY3LBLC577jwlu45N#x1fB<*$P!K>#&B}J^*Ii zub6chG0?)X21i$>+GYPX>oAZvLu-m_ucL!Qk94v27H#M%s6lKNEIJJFkvhzaAy%sB zFl)oW^9kV2ApEJZ_MXE8;o=>T6X<@R5^JOL10Z#|9!!NSdJ$n-zB^lajOo~emwFJ; z6%(VAtQag{`eHfyMO~}vZdlA#6=}fk4C@xXrhB>9UwwWLt-HV)-agfz?zzoqZRp`x z^cG*qmTOf1drF`B_xw916aPku^V)Z2roN6YwJ*K%#ns1lwSniEBU%8P@arbHF)c-g z8&6Q4RJaqB=Rh}~M9T(N_B8Z1fVb6X3`?avZbKk{yjH?JiP8L;N%rkoffZ<14UViI z-(>mt|Los>_49u|xLcGU&nw|Zmxp1p7?Z^8IjBo*ppi3kD))d3+KfgBf+0oIU?C_$ zxO07K{*i)!?TQf!LCIFQpcANokknFzAxIy=4{ez(thia)7^x5xRbwj#e>#{;wYU(1 z5~!P?4aI~(Uv8zXD|4JmMDFLPNlFNUnL!<%SW$cgKS`K#646s~Z9YPhl=#`!w8Fly zqIYDdu>1_teNE*2kZr}9dtiIj@~1WFBQ#0m6UCQ7`gJ#u%(n-4Qk%vB1bELeFNtJd z6U;L38hP{qX{#lYT@pD4fRrhDL^+_lBg7&Y|wV!C=gM?M=a7-U}~WHo$BnxNY%G+PYFYQzq>d;WTWU!KrvFemNwAw2hIj(5%6iJ7PuZi3RZ6Mjx7LrNG&znGSUDBGQ z$XEc5qH^t5+m@!oy`{MozasA#CZO1s*wqb93xIpYUrmZ_S=eh9BnQi-wfU)bqgX-` zUW+Fr`+*+lDMv;v>s)bMlFscLqM=qxf**35qGJj9!NgLBDl6{-D%XOEprLPNyS3k0&Ip{luQ!2W&sVubJCV@+LZe z*p_j1_R^NW)*{Uj^33Y<@(13FR@*mwguMFR-}3GkB0^U9phw6CCS>u~`l4Vm)$6dY ztoPOh_m1oy8?i{w$OU&u&Pou3c2Qv5sFz|zM#wH05OB<5)Tk~5X?UC9V!c+v9ClE3 zD^f4IAbNB;iVTq&a3=~;i52M?HNg$WD~lktNVg(ABNq(x`F4S&>&1v?T-+&(T6?&JE)M~XdS8Sh&o?m z>>^WEF^dhp&_dNa9dHbcI<>vj}lbvr^* z-5$$$#%gb>s1yo0lQ=O*Jg?wI=O&AQz^j-rr8Y`YB_F#`;fMkY>lJCgu1P&IsszHJ zna~yRnb$;>3>32oMi6@y>z9zmrOS~TLbFIPhjXCWQAx4mm3mjgA!&YXNs*7GrexDd z7o3LPs?Vj^`=O8hQ@`DkS$g#vnfvMz+YFo$xH_Q|=$DJTeuM3*5 zg$9q~UU)7uxGKZ7&d$-I2J{teG8dfS#jw4DcDD(lyGz@C78v%`og>Yz3+{1j;Gm$? zj++4^6RoZbVu&`%$&&r|BBOo9bUBl6E@>0I*#)u?Oj#hnE+&F$CtLV*%G`!Ay@Wc| z6;Mr-4?c0S?f-at_X;5w$^VVTckyqO1m?+gSO0fLoWA{I8gV-3Y@c1u*3`^wN;?Wn z@9TKF{2s?Y``3T|bM6iK3=3gh#v_2{Y)X^O9paR;DQ%4-Fus;94l`9uX=@zW?FUfd zVoJ~9l#3}lBG=OLmPMwdwjH@b&40(~*hNF4VDzapPK z2Yp;%B4+0r6y!5<)nsdA!Jo|f5<0cEX{lznqi;!jO-cOhcSJsmu~a)uVvHEB#*0joyWG=?|s8oHHFxr z@XFLK{=xgjqDoTwvfU)4Rx(Z*r3U~B-8I2asyPrgJOJ9-b| z$sY#op2;f%eH)UAJTx465W3YvC#MYUj^0nW*&$h11#`3ke5N%C!jq|?(?t)aCC|XN zw@CB>oH*C~ardyNvi}P4m^(DSGYWF{k;G%+$hUtSHU@@JeDY=eZ+tWMiBhRJ*XQTp zHD;F;&7kno`S`5p6V_imM`Jg;?GBT8`22vxuKwhfy>&>ymWDHIdrtUqV)XUApSImd9%QW zEIIul0+U>Nh_KZ^L~uzbK17f-J`3JMc}VzA-dHN^b#ce-`&)eP4~G8@q4?zA`QP|v z>=UK%5WUOQ{opBLIudx@03V^^d43|o0DlYgR2li8VNdv5vR&Xs=wpckUX8!)dx!w{ zx~hi=>Fk2G0zB**w3oClr_1TUUkc_*EkS)t5!w0*JPl~C(liBu;;+hf9FS~%xd(}K zg9U$lVaDQMs3P!P<@#laVDgu{p@L)&M8dkE)j&3Q6?-7ia@qaX5Zyh?hx%)^O?(S# zL9|$)lY!}QAlm@@_suoF#jV6J*#*BHZsK=rOMxXYZO$%i8n+;rHTVDKfrPUQ%ZRK| z-_V8qod5l|{_fW9pq5k9-T_5#cjop`yf;bT4AKcqeXC?O)tO?j4^~U^YFtrTn%TcfRsv81tCc5>w^2$+KS7YEoy8uSRqL3>w*|_#VQyM zjW*i;(6qiT*f=vm1GcnoU%41E&(Qid!5zlUNR&nb}I_$cVn$qYTkhP4)fGjbZgcQ^OR)t>}q4L zCj{&-)V-5?pfJD8aUOI(MjxiYRx?0hD+Zmw(AXs~*IIDdp+tgxCPEr*^8qErnD*y( zvPOMlu>|^ge~AFo{@jlJVGPS?O2nR5u@wuFA(qphCyb+XrCo0v?fJddIF|Uf@=|&s zfZEz$j|)NNPxMID+;-dyxFSq#;jj0b9ZUN!)|S;oivf&CjXbtzl9XrYzrlOIyd(+ACS|v6&^CBx!3UoV4zBA8YEPu^*0!(%H{+M!;sD2xC<6$j_#(1 z4);DH(G=K)Thu!Nb06^i^Z|e5r7!#Me^U@nX;Zd4QcfCSa7-|4?)L&T%#4S8X*3A+vQjh!G3wF~YSON>xty5ImY%y$daP)F_R%?=W;ym%I)*pR( z6spG6K$z6K97gg$#JaPy*0f<4e zslMO&r8U@->fT;mWtECl7HAEqd)Laimvu{4sTh03NvROrg5jV$bXKWB5w%(iF?(LI zs?;Nj;8ZM#zR4UAegfmd71N)Fw>JdO99htLJR`z`8qEdlZ!(>ZUYSM&KB2Lk)zwx%H^(*yXt2Rh_SR!yEKn3JI1;H}IR3TIYkg`_4(1YR7RGv*xwGCxLq50&yAVwl9felt1P^waSy5JrK5d}nIcA`QO?JJz4C{LHf zq;Bg<+QPjqCwWoPsgg+*d{sn>T-4920LEU|siV6O;jZ-f^tfZi`!1c+wCtr4haRn# zCVIW*+j!;XL5SC3F2%Ga{YLL5xqo*YglLcOweH{CX8!Ej%%|O=-i4ksJNJ{>S-$^E zzVO3Qw^yLMTT+9)!@*r2wH%May%x{yE3>3cP^=j2-hP2fgIJ0XluZkp|;pwG<&U{P!Rh)Uf)Y3XH1XUG0S(@M(#Rw>!;S~sn4Et1K0nB!CTxE_gd^< za<3(CmE7w-06=BDK*Yu0t@7C&*LWcy-V6r{L|n>qd4E1E$-eaA1USO42`AWtkXr=U zqi_vHxHwqpbfiM!?)*diSO`v{=Ar!x`dq@hPv7nyOh{Eok;9(>y{M@7%(8ZaDhCSeGPMJ~7#qzdvCk*al+ zEjr~o!BPP}9uVSiMMN+aWNXg6FNv^#gA-Z!2g`F=a*|eS{&M@Eswb+xy8UG73R%4B zG}$U0_}+gn!rlMNDwUtRue(i33~Ra>X*9d@Zv=PV9^z|t*zC?fJ9mECE$Z#S?9Shh zJO9sr?!WRSzx;AlVMBw)s)BMnyP?hDQ-Zb1ke-J~VBquV}q3QZ3!~<%e8V*aK87W*h_gq=h`MNL%VF+LQ*?N-;XH zJ9Mckz&PV#nAgWVpH8q;6%0BE$@M|z+~#AKLap`xo|tb~3bkOW5?~&PrX*__YfiGy zYBZy&AeOFK!193`{7-kuwFLVYPos^MTRRIXo5e)8l^>%kMi(3hV)<$K-?5eB-zWuB z?FCo+n@#mxS-8i_g47Pk-6l}U<@9jFUb|~e_0(`<+VZ`bVYqSl*0-)dF;t|+Eq%r# zi1kKj@FX?qNdleiicW<~rj zD^oHaN-Bob2>bseHn6Y`c^;}r+d`DFXMYA_r^O!Zxr}r|P*kK#9@b0fP$+zGh78g& zniKF}649`fX!mH*s0Y8O_*C&YPgp2FRXbK%O#oFY5m70wbJ{BAG9OBLqR|XM7IL#b^cQifF#*sbGpS)D_`jjW7x2^zRNT3keXcxFmsN z)ku7NT_FKeqUe7hYR#Gb+E56qHoK?jl4Q(GX!X#_g5e+-gz^7zFDN`aPYDUt~vod^>D#JO6 z^X!s1roS#Y@4a_DCvom4iSs}G#y|SpcZN~c0=XMyE0@Fl*#m+Eg9nhjk@4AAR+Du> z{AGd!mT?6Xy%?Pkq)~Q3U?@qaOfo(c2|7UKM!1@S#E2DTRUW9#>Bho(_(f-8`-so>gZy6vkT-Gu0fO9C#p z@aQN>vM%Rq0)opTi%80h(~Q9q{EACr)QS<P$Q{Cve^f2^@Q1FW7(qa(*_`&(2IwyG65^p5C{3 z4duz_zwl{q{o<5JL`(JJ9gbVr$`&g5=wO4HCie*fPME+08Y(EbaOji?S^x{!^70@| zCRtEoXJ1i^GPLIljNGm(O6+Wc+rtL^7&QFAZ)w3J4lS{>38Kl~c>kA*gvf`M*ts2A zsBn??TQ01HEmo97q96JwR0#kXEI~xjoukCgerWK(z)S;3kEVP^#QD&gNOVbnlyNm! za)FMMUQ*yQewIrqZ*k4-TI)A)mSU!D)6pvFv1+-T0)^d3u3kCvtz_YhsW z5CHK?X}`H6PTuQC%s!KjID5jcwd)g7oisGA-7YNO+awldKIcci>C2xFeX7Q-4|@PC z1tT<-+8VemsDbQ*^Nlr|h_J{Lf-8gqonQ`oqWhzb;IlQLp-b4aL0lw{SHHdyA^ZTgAflrcno`jlxj5WX?!V|IU_~x{PT!D z^^@Fg0F+hk>Z6r#;v^*(*(5>11rx>*E`*NNpS*-U*4Rx+AD*1n%y%bRN?4OBYhKi+ zR5YrnP8WJaL8;a|864@?=i7~^L^t;(qt{fUB-ft(-&vc!oir;2F)Z3MRjr8JkSFaO$a3vH@e|Ezb~yIWXIPJ8d`$-UF+QE7{uJ863` z`%9ZG9AimzWf!q{r)$nvXHj~|nsfCfvv=CM^DrY;YDIa)?46niEpDu_X(j)V{h{q7 zwOB--6Q%L)*jm|fPg2|N#E&(~hO{P;K)&X2hoJ`I8?V4T)~}iG4xCr?1oFz)8*7+O zYv$Vn|K9!BP3Ie5)Vr!L$M~N@BVXgks=jmmJD5lC#-`<+2hLtM(m>iVQq5gnT(7yK zeL2OWD6-?%O=FxEkCB4f8^Kydq4gcLpLjA)^)Q3^?}aUsylu) zcxrUJ>?_M0xZrWUB)d0w36X06CqdZ0=(`E{^1C23kPQt7H=z2?u_8U)CP<%HG>;_& z@}YUBT(Aud$DEeUxsHcj8ybEDRSkXN@K6%E0w}q_d`(e$agNl@F1Q6E4fz0g z0Ps%xs}@hUNn#R^K#-2G5B;%4H@hS#dN4$WYpwuS>dsO;-6pvp9uAW9H#E0mU$MME z-RzPEJLLrdPTF0NG&#AXO(cS45R$%n!=>NfCAa7ck)tEcV*Pl2^vPOWwQqRP$z%3m ziqX;`UuHkIO8}^8KsLfYOoIogFtQT%q2CKx3Hj!BcP*$OPLC&j9xmF0p#e{q{*q-{b}TIaJ1DqT&my1z65|@jV-n1pO#{uw)~i4fA3%0J($3g zb_P{X)!~SB@TftlBG-Xf{Ve?6_*8+C+8hR8c`9~a5hYIK8K7Nvm|d(crF{jpMaA9( z*KABvLDAQNLf39u7d(sn=bO_6&msS**j?}}@?R9YOP+=Pi(+@lv)F%8>`fAhYe_Q$ zc4cXJ`^pu&OG5KIOA%TPMX|f&MI}tFloW1C2d961qQr$M;>WFiYyaR-eD52M<2_ZL zG|vXx-wf9mwCbD{PDDf!uqA`T?c_T1g-)B|IlKOS2tWJHMfLM1KJowjhPS23loCd} zuWT+jB7W;=0^&rR!5q=&NSU+f;R_;<0Z*^bI^;mPv6=zjE-qGHk$1okovLi$mJ~H$ zUztjELBQGW;c@rg_sgB9>C<)XDKy>?UJb4>lJPBiuG$I9dvV0Tse-8AGS(Pdv7rNGru?3 z?B5$q8%^&Gwnp9?{Hwn={FvXHm^Ugf1vf9eHxSA14L39IN%!91cu(}+yzAtP!$tU04TA75Oqbi(!eF^GPX@Be1Yq4s%yvG!^I!4H;yKYhHKgI8ySzVQ4e zsmlisv4w}T#oO5AFjDET<80Ey&E}Zm?T1)wi^!`5;FQ2mbK+p7X!V|#-}k=pp)H)u zIBj}+k(<0a18=u)F+|>HgZk(gt{rm37yRen|Hc3M&t8UAFD8;kIvN0`6i!R6it~Z; z^7(Yq$OapLW2XtEp;Hx;cP{=7}Nc9gLpmP$#{ghB6g1z zG0r@P*AbezXvb4}m&39Y78D9{9#nZ|bo8sYU-7Q5y19MtJ6}EBxpVKMOs3tUKD|6Q zSDn5^*Pyn)?rVO<7yhYF{)A6>@WS&nLYlJme3IvOW0VP~>T7QIr5EXKyWo*SjfEix z{YZU6onAl_bb89&0#t1h%-L5ka`eAl5Zy>dw!B(O**9n2=>;@Fuv$y11|>l3LBB6n zq!-|V5Cf4tLI|X^J3gC>(cyTaTJ6$G^CC@zAN;>A6XO5uf4i@f*|eCCf_jvvK_27Y z<1ib2yurY{7CFF8!F4MC5?;&5;(;IaiTj(lbnFBY>zDJK(nhBj)uM%pTj>f_>i5eT7K+hy|W;I;5 zd;HtkzWmk5*J-Vs4z0=1QbKsLMCj=}s2am$9L4r!W7VbQ2G$J*q2wX8&XBBW%|pU? zm5C_`+pn{3PfAmS^UZlgqE(7FaA9JMBsr3Kl%L1VBOs4-Km9Q#r!zc>mZ?M91C&PB z8&6yBTH`q(x}-Mu)4i~KulSjK`@z5XJ^%4*0eRFIsBiAU!)3`g)Li?V8kLqOZO(Q9 z<>VVC?Vaw~;p65jp6%HgPrfnnCz`|7fW910zCHWqo)`kN0gGgJk|p`pIIA&}(HQ&V za!T@T2b4L=W=Osvo=}yUB=g-lAcg_Th^aq-_xq#g+w&xCKpGyH3O1Vkq%AEvQK`Em z->z27+~MA07Bw*A$+uzHLdQLqETF2+t4gS@It>dGqOQ?xY`!Ux!?n|}gk&v@2`TMM zjpjl#&r0a})BczbQ)~+aN{vUhlQQNjoi_R6M%m*TC*P)OUwhr|pnZSzYgWJdC&Fb^ zdLP%mM)m_zwlzBf*)M)!%7=oVA&Aj{iu$%S%w_ZygKMo3#e|^TAme^Kpgy6{fhBnU zMPq~@Z@@Ayn*p^_P{J zs+d->N4?(u&cwwck$Qy$naS?ysugEVr@H?#^C&`?_0IZNcJ}(HoqW#hH z?Xl5MkVKVR6?0mX2B{sLGq^23U<6(+c~LQ|&JD*@w+&FG8^hx8KuLx&lux?fwWVO) z>vhhl590W_!$w@1NPI~5W9N;gO>tK|aqy672yc$uqKdUjFSkH^+P8}MNt+Y&E_JoP z1);F#BJvw81#jBu!Y%4e<6K0(pCa-%fAll|@vnVLb1&3S8N^d+KN%*i2aNwTU>*gu zPG8I$v~gheRTod8d`rF&IGU=76>~h* zW(X+Kxkf)Zp4uu~3<592hBc(=X9z6Rz~idB*axsLsU>=dhUiE`v^8mP^06-(V@48c z1s@?tWS@X;_J2!*h=INLfa#G>X7n9AI6_AO0;asE$4{wKU`niWUozn)aK+T=>NRfv zi$^Jko;OC~il=k6vi|9~^OE?p%^TwW1>3#@C;1@oO%L)7oLXiO@O&N?#z~vjl!#1k z=?yWH0$u=3lk`uQU^+d@H=wj|JjBs(mTArOEZ;PbaeqYfczH~4?df5@L614Pa~XDn zvb~o~PxB4B%-OVqpdTYp`@{rhK(?3o2Jbjse~}b8MqJVKhZHb&_Ztbpm!}W^mL5`U zx${^bQUK)rA?3&PkYX2qNcquV`SVVU|sqnAW#p;?KolW2Z-w@+`n8u z1j_!ho%YB1=KBv!JK6`*?+^Tc-JjdBKYp;hsck*Y+ty#JZQ@(>B50Xz``{tIMXP~t z0*s$@9KiT!L~vpF;@X$cN_^VI&{js50nXAli>H3?Q2XJ6AAI$lx39hY!IxjTeI&j< zesV$a-@ccYxNQIn+4gn7{i$8wmv?>Nd$Ftd&<$*ftw#ys^78G60*Lv6mv8fT@BHwG zZv1c~yS1;%UOZ7DBaS1!a{I~$U%7qx-Euv0X}3JDCfOD$f^s2p0h8|v{qAOX2fx^O zi$eUe?S#jES}x7AwtK=2z#j+4IJGOUqwUHo`({JKU><_W5oUZjHcOxEGJ-%8AIL?TT~!6BH_eU{{et-wU~ z3W+g)+?TXeBhq~fB@xT%(31#IP$^(0p`y?K9qf4I6Ulu|g zW0D~C&PW2Yr2Eb$F!K#Mlfb;_=4mkD4xUFN?&f(+(!*+XFZb=}+1bB1m4p8aT|4y{ z&vL4%$kS~d8902d4Ew*}vFrx1Yh^l_Z}qZMvaByXqf?@^2dV`xI}`)|q@`zJSO|ZG z4r=k2l*=p~pU+SD8}v8vG&hhjD$`f_oA|-43Q4+5S$dC>K22-bn|f2_mm^y1NrzYe=5$?qqn^*1U)APBoZeu2Vg(1DVM; zIUy2uFzs}05ic*u&)uSfgPxMn>zE8Fn-Lb*`4xR{kE8Qp{DVhx= zlZJ;M{mrX)T+$rd&4Mo*I9Rtt!&QaAw3NSbf(s{tzsh zqqU5$2(PP$&YB}y#@DP?R>7<}fWr2c@uMO7;?VTj{T!oZ9PBN1#mQN&rbFaIQ8Bvl z6nf*R4|MTo&^C@ZL&!E3NMbE+GGi7po9L^~IjpV(#a6al^8+HTbU#QMGry-aJ?YKt z+dbf`mlTxp@9R57jz>3McvNV6;RboLeW2NjJA+6WH_%nrIxK|rx$P7dsL z8}z5KRcyZ+3@6UXf!%sT+C&O2$qQG09JP#ZzZGbLAmjPys(cLyy09B4=w2mnyMWWGI?Y2ndPN9iT={Q*Xt0310_>pV$U zRBq6k3?G!lJmo>(U%5l*sMg;Tq^w$RNo(d?bX@G%&4=I>T%ihSAZf+<`=7Rsb}s<3l{=Bu7!(YHCB9V@(FW0)I5PQnJk5c zkYY710A=ulmF23{z^?_^%=K2op5+VvV*hx*RHJ_tY#K1$V}0 z9V-_1^Z+&nYML$l)IRv;vt+wHcf^Ex=>jCq^<%_6HMMhp+9s4CbytdlP2~XAG`pul zAp0@xp3a%vXP3z}{c^z;^vZf}s<@w~iueEISHAxT(xeB0ihG!3m~S+xbB2I~Nedy| z3z)TrNlmcL|6MMvxifI%Gk0gtQn)$-&|9k-lG5~L%9al zn2OO$iuY-f_6T83%qp!7DuB)j?~hC3uRTLsn|mC0T-gF$a)(D($hWfEJqO_Kv*8!R-9iQ7NVy*$S zR&QFKRKw-AiEq(&0p2WxPVD?W3iZElvP%OgYOp*l`0a2L=h2p`GX_c}rUA_x*vqRi zgq2T2y^qVa1&0VQU3%_CSO5nH6E*iDyysDc^PK@>3P5li1lamM+M~x{>q$C9SXTUr z-}Ci9{PzM1s4>NvybaQ;u*5lelJhoEEnK5dvlFDY@|*}p|8Q_2%8E;jEb9bw-e$XI zvK#IK91w9<@}YCyhR&lh!0#k#vMtTeAHw8CB8|Vr_BKnq-tohs@gIq`73&Nw25YR?pYQ zF174-`=DR1&F@*aBy}XJuf+XFz9>HFL0)fuke&rw+^l4!2h~jRyTYuqnp*sb3*|?W z&1JI;%Ly{ObihI8oz8wT%hHk>$7jcBX|dFSUt!i|JMAw-rfGk4G+dL&7v9uZPJbRZ z-sk{GZ#(<|{JOi;0e~XFUFJ&I6MrE!GDwrp*{eBw_3?p2{N2m%|MCAL%)U-jbhB@> z6)_#~z*_2(A>CmL#48@CCx3mX}nX3qMO84a+r`(pXp|B{yS|M_@W7 zwTQdaO&>)TC*PgMUV*u@-349DqZG5R8-rPkdD7w~%b!wvhR4)JFU?mh(-of@owz4c z`oDlo%~t>Zt-im}_ht2Mz1iv~qJq<81XG&I?tPlnv|BXSte(y+j=%WLfA$N0N6^~q z&FHOu8#)dSPoc-mCMesLh9TY`));tE-GgHFHyk3xD%geTEys@(3rD;u`|Spt0$|-nB8q{`iJ#$IANNgAKMQEpXVltOGU>0gVU45y&_|hTd>v3 zX^u`jAGtg5B$g=nl;85A)sLusk)URo*Qo=*aR>iT40>=lonmlOF>ma*trNq<_1p2e zA@beh{o^>%$NSU6nj@LO(@ijx+2lV2AP+SBPdCu_cj|RE`K3s3rd`8M_ku^!n=9s3 zfATXw{Ud)T+BFnd=kNf7+2OZl36zR)v5+iihV=4fcEL13gtLWMh_bJ&UBd-;N5Ix( z#no2kwP!&FST49nSfzp&P}IP-Dcl<1=)sf|23T!HqK@uM+R6fh(h*S0xT$b z<6jQJjG_j&3L`O=iaB$)UbO^RZOP5Spb4vuaW2M^1x1aBmS>5i?)CU!RW;UHoiM^F zsL6HZ2~}lX@%8FVQ?)u30gRZkwoyn(l=6^+HjusmJ(Jj{swIA{XW3#sRpZ%fa|ez0 z6~E-y{JWoVf2>yPEjn7^3SK0!*E|RX&y(0^Rx4As!R|!o(kYv+V6`%`PY&Bq7b{kz z#`6_1V2Ir^q;}c}Wp17bM~&x#KpZ2N1C0krmaJH~s_0z0B-pW-_oC!N+U<%YolBS8 z0#T-GB4NZdRgq*$sY#-6ro{N1p43ay9yLi^FOp9<`qC*s>?_zK(E~2I+it+uDv}DP zj-y|6Lr>{j)mzb%A^Mm8TvEi&E>*cja}g>DU)z-7uCD);rMmy;-}&F}>u!@$@J8JW z9iYM<^e6qgKkyabl@d^b9`&fmamEKQtxFU8eywPej5C%Lhk{N4w*V+s zf}N2OV3L4x!Qi%sB^JXLI94e;H9@pv(oiGdviX7`C|8++|E0YO<&Rf zj>*KoQEKQ=O{kZws+(d( zD6;7lt9XU3hVZ@Ubhg%1<)S3Tu7yIU9~Ry4gwnkM0EH{I-EuGirKswr*ucCzluX?l z&*A6OnPg*KI8{|2&=jjmebxO!cUG37U{r5e3BU(DAlu~%(=`Jh(lYeMZfPc;6@*)Dk)Lt6lrSF-0!mz92V2o&_ZY==JS8|)c_ zK;P^EE*VG<@xhTVZ8M*?+(euYn1QMUTr-vmNSD#$G%#^*96h zo*j_yZYE}qsO~4C`kG(xSKsxG!MmUZtG=WU;1{Y!tg9mf*w@v?XZPYZ;B+cDQv1&( z{j;@y(TuIMEo?nF^l>dlHKPf{Oq*by*f5=8`^=ot&x+y@()<(NDgb0_59o7O1+*S+1=UBCVN$e1Jmo zdblR$k@jomy8{w-6t}qM`mpJLB8{l}9~09?Wguud&w770Y{w+-0mH2OqvsoR9(s`P zkUbn@&;$IQ`4*WogQFvf-NQT;7wgMwpuv8|x`}=9Qs2Qj(s$#j#g3DfAB!FT*th-N^>9l)M`$ zSZ;y!(s$p!LJf&(&jpVdfQR&uk^%M1k~B(9kR(9V5s0?vHJ3IuDm@o8)*uM3z^c{> zQs=qgZoS=U;SP1V{?Jr;E{G!|Z-&Ga>_f+=c~L!eS|@j^4-^w+{lAe{+`no|b~36) zo=IrsC9I*UnOSbHi3{ndq_9f75f7_gcwTIzJ%p%RA%_Q?jjI{BE(js7*DJQ(wR&X^ zx}IIoHSHGlx_J(|?kDK_tpDhj{g*%V3)(qIXWM7$m zb3r8hXx}!^?GVstnI;5j-(0YTNa!MVUQanRO)KqN6Wr~=gG@sRUQHwGG{KA3NKM38 zqpy0JXOO?0vB}2hltH>L89leDP`3TeF5z5hk5ZnkPt)Ng0`R2`zyeaBbl+;!m-2vg z{F1`%QFEyNm$aI;YNh?@`lS8)#v_2}3JgLMZE1f#2x9y8nYQ;-^7_*$?cLk# zwI(VA{Ft3HTD;a3G6d#hZhOvz?6q#UaG6zbV==wE2wI%BE%?b~i5IUGwdC&5yxA@o z^COlAo8K5K(nE2;oT;bf}|E~%6z2|{_*QE=9K*IHgGm)xxvEO^sfC#!O|CQ~U* z63oc5CMh`wYnGT|1F8nD$@bEEcb-5)iUL#xI$jM?HI-3l_AfRa@$ncGxKpd?AkTyf z!5w+8jriZWDe~X#DbuH2Y0($@u{k+of{6x9(-U+8a? zfI^z&Y5`y)BoDidA?VVbqKnid4+|CzRg!*beo8XN{{}b{O5!m=U1}hio@J1K8XyM6 zK#Pgf(bL0xgJ)P_F2@zBUj5P2(|of%?sbX;9#Y_Uy7Nqr^9|;3D$ekT!r|aN)AM{| zoVaY*K>HikjE0RXpHgsu+EaS_C_kmxI`@>~Ykx}l@v+u(`e#Ubp-93QvZXCP6Fx zVe`L88_eV9uHk-QiZ5D!2`(a=)50MH0qt=rDtCI(*sK*%dvE{8qT`3CJ41p15Q+WNwL&5J5t_4kl9LcMVhVf%5$x>rV^ z*}qt(IKl&$x!s&gBJ0sSP!Mfx|Mb2FDDm(jba{O8@BD9kGxo`B!0EVP*`ehX{hs{V zo)nj zH!r|GcP4O8b z7KD#+7qxkBQ#JG3MkTr&6}*O>0yOES^WBpX5G5}h2qBohrG=>(BWGM&^5mqde0 z?(n_*=zEa%57x}L2Z;#IC&Z7rTmo*}t(osnkWQj_P}jVeK4@lEjd|A{qISH)~aHrvUNh|M(Pw4KV_fKYt=TyS8OC?OZMRO`)x}SHA6Y=FQ z{m9R(g8NKCf1XNk1T$(Bs5Z0Lakob8pkkfrL^MHQx20Nv8FgZO^2|9}DHlZF2SC#V zti$y5m}nX)7mPN`9clvIp{Y?^5X@&v=a25~0S=u`gbNZPFTMdTX4xN_TBr%`k=Laq z-R>4f5@27!Bcc=Gf?&5M43DsF9}p0N;zTsb;~L~>B6$>!p8We1c=-{8(8>ti#`vT) z=|uRNdlZnQ8x#p2qj7b~NoHK_oK*rEEND3l`BiYzES(&U^Rw;>yKNtGgfIUzTK$cf zJwEj-$2Vi2D4hUnBW8Oh)VW7O9Ta-gOODDeeq9X8Zk?Z~E^O~m53tAiS|dK8+(4`1 zFVcnOdjrG>>@WQK_k86|L|}TJz4F|na*KB!%5w|UV5h-~@&wBXbfRckp*zgVA^onX zo}$Dnf}jRtyp#pA^4x)Kmj$!(+=J*}1yLjI6?|5nAb8f+^BtJgdcmwb zpT?Nd^@bx-sb)1^$yZa9=XSM5;3b-SJ@_Tdn9}3f(Wm+DoF9FfZ_n=R=+k_Eo@DfC zsMS#2q5@T)UQxO26sPWgZS>W&xGQM;2QLiYJr0Z0Gi~m9nEo%KggFSi#~|#^LDwDi z^&E6PyP#{@E$VIFT)(}a`t1+>;a~OHKk$oNbC2ROJ#*_w&mFu036U@YO#J!)aBAkZ z31ZxVV998lE7DUlw=Rf|9;8d~mQOHFWr@!pa{L_3CN2JI_EK9bfkkm(i^ zSOtBBP|0R9grJmxT#z|lS#b*>6g-i9F_QW*!NVSWry|(WtiIx;XeOCJ_BfPHvx#b% z9!(g8~u`}>%AUT+TI3l|>@t?xD*Djl7k zl^-40IUAO{I1cdHnUebk_-uAkBe%T*ezHH$^aP{z2ub*NtPy-*%t*bWD<30im64kv z_YRx+F~a6OVxUhp#n_?iV}zC(bau(9`2*^I#~z;eH+ziEG|0@36y_j3K{k6O>FU7M zp}htgFDJ(ZDHpi9zN2QfC;3|1K{`lMHofa8(GHw+KxtUX`!hnx`*SYbp2g{q1{tqDGs>2nc8|d&H%9#MY5OAz+_Y{J^qTD@xwhc2?yLo8=~U-O9)~6D z2ZLjr+O@Twb5~ZdADrzuXSKSWPAylVhzj%rDS)@HO8uKBDvo@t`pWGqAAIHZ z<#)^VL=%3KYzq}Zxe&R4$#;eB>Xf@OF4sRYO<4t#sN5dD%rbp7o8t+s`Vic=ZsE&hI zYm`?u7B#rnakG+P zBDtMA+oHXvticCmN#j_t+9EVmi!I_*=I2+@kltI*{aix8QA5wxq zmjnP_bNbumN@%6>!A0-83Jreq((Vr4W^17bD}e^9e)Ox%wVG(F&d>s? z9q$0+Za_o8G2@MTN*6M$f^D28J5zyDs4;3ZJ$@3~m6Bk7e!YB+t%OmW#Pc}1}qO)I+5V8YJd z(hK&5qQ$;USe`a%;_|)uV*c${e9mjXD>03XPuT+5I`tpCLhuDCV#`MqS^iB2ssN_v zGqIps6)YBlRK2$KTtnKj-b^i!$2dv;%~r%%FJ`PTWox(E^^>9GHu|C07+FaTgmF)p zq+3@Mm(N#R!GjaQ#b(_fTJmou*a$>GXlXpxaWUc;n&9pLFr6Nn6omEAqI&(Z_ghen zsN|YtTjjDf|K^vopdRJrkd&pDl#Gg>rV>8GM_(Qdpckhps@IRcMLk0!CDcIs+o*22 zuesY1tc^7npyuw6p6|~Qmm~-S@M((0%QsS8b-$twCa>{|U?Pp|+Rt;6;+J?w)o-cE zo5oMmItL+?s^+Zu?O%pnJo?3WcaR=@jG8)PvG}CYHrT4!pT~Pgv-x1FxUO4#7yEOU zvr!!iTvaJTeVkS5)S5Z6o0&#Z-Hsz>h;6-^X~Z7lYgPL*N@LNav{InepWLx{P=cIc z8jJhBDWbb+x2QLdGg9q-kZNE2$G`b^y(0(~#}V$^4fvI5aWH0V(4&(v)GfNhd;@xu zd}mpxf5!sR3tbG`0yJpF9v#lJIGCLeL7FfZJaUc&4HG;J8`T(sbTM2ohO3QC$e@ei zf-zieuf?-`j&w0x5J$G*Y8a`bR^AVN(I%>W>BrgVzjm2RF)BF}3M(`CielZ@vRRCu zZD^Pz8y&3Zi9kh;O&bY(W`f1D7QPGH#P>{Lj|6EE_6TR<^#;$L&}$9e)L3TP^1ZTV zEc5>yR-f_@0!yH2g+UtW98*zABf;;6w@flb&T}F7$`ci;Xgv4P(n$N`UZSHcSkg%Q z1tSlZG_(aW3#OR!Ij}V9m>bX}7(^frUDHS$)x%WI0%K;obIfU^EkFry(-|`(qUr>5 z8VT`y8HUqULPQ_-)tr5??$)8cB6yq9!`4O-+;bL`Fy>nOezs}?qMH`<@S1##6?3c+-Lwcw&QTFWH?0k*$st6J zHKLn#vlPyyESO`B=%xjKKz}iG0sN|u2O=HereJvWfU`hcfF>E;w6O8r?;|dNOGY;> zF08+9aRFR1x@lMF-s#uOkzjPw!b$2cNYa_UX6mLrB9UG1qtpgmGP-F=l2jz`?pCOc zx0tNiZT;7$$7N)m#p6nm_;}~}N3T>xba#vI?%TzfyJ?@O38ZUcz~-GOjO_8gV3h7$ zewwy?Z}S+kefNj|{N{3Qs7)qCaMHiNStD$vS|LfKp&Bl^A+3ZkH>C7xS!rf{&3Q_w zd@(l!g8HHlw2RRd2WaOASEJwoos?C@WPJ^#ih2$NNpGViAX@{*C+lm>V`F>;L9{)7 zjO2z)=RCV;CIheM+|ZmGdgoUg+h#7KQ_=jjZ~4xf?~2@zzH+@|cvx---yzKk_^!K` zI4c^Aq9ct6X;$z)cY;OHoSST%70ubn#yJUncCv9+G-oFp7e%vQBkfIpZ)CD@aSYE6 zHU=87xsc}v8#4{*dK1mzX9gRy&h3&wbKQBcF})hmO=B4!ae$gzmK`A7WwdUrnr@8Y zBoZ+3$@Jp0@Wsv~&u5;h>rh7$L!|UM-`8mSMBD%j(lbk+&pDiDm%}lYbHQEi{n^i8IG(EGSVtv zOv&MxKS4>I8dxN=sm3f^HrIm7a>P3-Ih-xBWeA2SxdkY%UXMW1no(boRE|Lo4nL60 zw+ED*VA|xG2#b2(tmbf9%(g(!Zh!QAgCc0dV6FZklD-f>&xqRga9H{^^DT<74J7A- zqvv~c*aA&1_h_}0A!_-T2SPSnA8XsiJpx*?=EUxll~TWEsV)(?NOU`DUb?-u?{kVO z9I5OTH4kd&JYCDzsfQjff5~@`fvB?&5oU&`HTH>Tl?q`FfAYV;^mf2FpocHOllz%j zD5YOR^|9)>JvcL~%%X)r#Zz-TToL60NqB0Z&PV|83$u9FG9xVQ5BiIO=Y~KzzHGjs4UU*)JMO@WA#1?+d#^Bj)VqdDMt6_Jx`~@35NNLS()a*vR{DJpcmf+1i z+T+{4=y!h0_XO-t>yn=FaO_GO@O1=yn|3V&F8Efvpm9k1p{TW27spgJQoeG8Y#o)=|+cJ7h9#@+#>-PX|UZ6>*7zd-B-ZccFo}hoL}em(fw;-KFLp*$!hgAR3cqp=1ojlgs<_p-KDq%~87x zIQkA{#|fXM;~B;s>!$&n^ySj=#kWhxr>#w!(%ca!J@HLaa}vMy$J#s>x2+Q(b~K2- z`?a=n!t#uXCobQI&&<;P*-!u3w|?}J&Who~`-Slb`tMO2LC>n9tg{+|4~?Kk@)Ij& zowWr*Sjs^N#H4(m)n!HS3DghpG>lwkM3)7#&T5m8pe}8EPaK*~pKXELhjfJmya6NE zL;bSOvhqDbss*A%2~}dng5YbR-*&mN^1Vx5)Na%;&FvR8b32SDD5T$AV*FRBvvYn= zdG@aB+8K3%`TJ8cu?r>6#tH~@3q1mogn0YY+paBFsrM4 zmL*ou8gV*cjTNY#@brZ~mU+GDghd^lR!?Z{1c5`^AAK?5pNuTKvrjX8O zuL7zB_OTwblanLphTwSlDcLLJMD)a z`sbggWUoN;cC<{>uwqvcNC)(rTTV(`xw2+0G+|N8Ne!;2WUmg(Bby(kL~re!9`&M= zKHnZ7pIKvL24`WeX_733z$58yC~5uifN0BkuJP!Jnei*SXgt&{4T3l--BUJ1Wz9dw5Q7*ZYj0`xCGHua|9XNihMG8}HwE*Y=mSX*E z3k5>&kOJthPjTho?mTx7^9;V^8Sb8o_PK>gYSS#RLx2~C`UGpM>~K51HguIK4g(sW zct-iZ_-5=AB~x@aG`w?kcc0N6X1Vp*D#Xgo@G|zhOX=qg8~gqJKmKRl^5UggZV1HD zB4QxJJ_^dQ-(-*>Mp`3?l-O@EXj93tMN_WCQP(jla=SVglkp8g*ph+rfvwzU>JeqsyL!a#+{VZ2Hg@VC65n1q77xhv|NWr$gv+E zEyW`MU(sJriT$F-AHBN$Z&_l$Xz~XxqHhi=$b~&dqX;$}nYm$%T4FyPpw4)bL~{9x zCTVxo)6xE_+rtc=8URBA++ND!iOS8|n4fiNXVkH+6EjEjTklM01I*Ct?~WmW4*M#zq0IpZhW0^ZK& zRUqG}rK}L7cj1DE&4TmUaOkC4#C&KPFBb$0gi`fk$f;3#$BL3?vU5BlDnh~-gDv2% zXq`R;>0S7WhEAX$BBbuB89%vT%+xq;j9?;Gl+1FIY(q5wE2zi5lZ9=zQLWk#Y?tk( z$_&CN`RB)DLoiMSJ9*K&%EW$LErm~RLj5mH>0BIpBNWH%8NQI`8ko|l_5Eqf_hx|g z{V#pn&-|5N7Xgw6asy0~7M{o#i?Q_bGF!w4&6HC>XQvb76c8yijmqaRfEtzhKBnRb zXV~F@j7)dvk^%yCvb1}mKqsV|C+qv?#u!kA*`+;)AqJSxDdo1EO&g4>&MBZBhF?k* zZM#@6)b$U+Qr`z@>%kIQAad@mrZDvE7C=mC>T4|wrulNDfa|BZ1*BSecVx(B1vW^4 zrB`)x8`35+Ln@P3VXx>37pm)Tl4KD zxK>xsIOb=EW1e=4dVBY*B2yms&5UFIo}c)?fA~A2a~tFM(1qk-k8Uk7oo|giC1MYZ z=>wT3O^AJEK7$J)C}I;61owLb4~8Ip1{d5Nc7*7l!xK0M4Jks9HpvCyDS#=4fDM3A z)Mp4m`V1}z!UxuuFi&`~vk8GA$grmg?m+&ng2)|q7elS%f=4t(i7^KH$NZXDkv@Y9 z?&0BgFn?1@P4~U0DAk~kAwxP>KsMbC@V{d+dZLGbPo|J+Bs)2G zZC`4}VoZ?iGT-8~DJhCD(|7FJwr6=Z(;s@}{U6l))*Ee};Ivybo9U;Mo&3As`^uMp zS%mgVx$dF85wOGPf>DpPParLf2F|`RGd&RG#1Rd0Lr}7lKB)quHxN5wMa1}ub`L>$ z^-Zv~PLE9-k?f=k=7}Typ(Q(Mf*i{$h97AP>-3F7OK{*TY708>ZHu))_w$Sl$xfOe zj5N9mU?{nwRv%+U%}%-`IEf|ya9DZ$FG))Crk|x2hp{GxJ!zdXNzzQ)CeBU@)9Bn< zp|KriI-jP5_AZHVokLkptT}RfI7nn;e0u5V`ToF_VG4pj(rP(4dcHxx$9nDs9qHvf zC%F@9e}}cta3apc|GM)aGwb%=|Eicr|F%^MnNvLq*_Tbv?ere+Gw-^O5z%AdtIp-% zZg4Qp<=0__(_&`YiFCFo|?EsePN0yvdVSy*K4u1;7{X+};5yfDm3CorY`0V635 z6%fEvolcnB>G;wBk@km6@cNfO?X{R4j5n@>G3^%34#v}QFn;RG9{tI0ddnw#!h;u{ zr+w3;j5`>fN`}$f3KWWNfrifZRaZNRCc^DrU`CNi?%Dm%Wcq2q{LnUlkqvsov6Iw5 zE@*Hq^i;8-S;FBs#|j@sB0#h9O!?Qmc&;66mzcgKf-7V#I>B5!07}D}2$8)X_ z@u@!w?`c^xJ_D!lN5>F|{v{S~#E-s5iAx+&cn^kCyyH`P z+n&)|Y|IgmL$J&x+^lF=5oS**4{fY;W6W2U;uNw z!^4XEre~%b-^}#sOZPAaY-6wq79=PnPC{ZJhM*uoA~-?f6$lvrF$yr)*qX$~#ypgf z37|L3_k110M_;sx*nt4M*oS9D&pZF=+gL z>kV0Ll25x9>`nLpus~10r0ebinc#H5@?u>%u@I=7SlJFIc8vz9JP~!hy;xUW!4RmA z=XM;wSzN5~s+JqR-d$|4KS&E8P#rJZ>3Cdk?h0NXE;dzH@J52r_`)Vq+2GYzYfclF|k8q_bRZVZX6bOdgnNHXqnl^L!W&Hhf1ohoDy62 zlb8MA^6e-f*>ykn;4<%f`b*w^;a_Rsu(GQU^7VBC^U+`KcZGs&^QTQ+`jHY1A12`DRS{^bHV#9e}5BG~X8elC{_tZ`Xmy2Gx zpE~AftWfcT*O8k9sA7vCsP)8^=vJtvHG=eGTo4?MTKC#w9$oU#rcZ!5sKr&{`Z*s zI-5Pl`Dgw*e*-BxuB6v zu`vN{a1#E9pp=ZfF+qt%D5%6Dxs5<7MlJ{&C%Hb*_`-BENf9eb^kjlt*h&Z@2I#ip zeq=$Z=$YVN3w1d4YK2yYdPRw+OmMeZ7^3B{vMHHyYN_b?ii;(CA^?&Bu%>OE(2AZf z39e*-ejrKUY;$f&BKa@5#aJ$QQ;-D9vnFTaFq6V$)?NrSD{^Gvv` z9MrT4hGmpb*^nMHrh0B4B%L92a9|+EXI-7mkrBk$Xs;)wF)X>h={4?+kX*J?436uqIAD+ys&W`g^P7&p4d9JE z%b^`B%^G2L(-v3-jI}2BW2kstW1Lu?qPgpk>hZt&m~Z%@F9%xr=ju_nkPv~V zj5mHdCD@GAxQOD1kn|taSF(^RO^Kx)uRjUS4%cMV8zAS zdKp5H>d^&vtF`JVP)`^IVF*$^x*&iNWIx20*@Ih9R8$C3Jr=Ku9GOD6#c%N$-*Dn4S!N5GVs^W=i!3MG&I!a7t+LRhOIB8z=~C}7X+2_?X!PgVkVrs|k!%Ma<8_k72z{>{@mW`#nc>Xf=1S=im$R>=~v<|FTG3%He=4GPrNFB56DWh7)z!jkok$}F zdO=JNy5LD=M4zHWM&*Ng5e*Pg-5pPQKJ?1-L(hH=Cq}0@E!QP;PJ9J}ho8DsAQ>)I z;fjW`5pIz9IF}sZ3(P$6;rmGRcfRjq&*{0o&n@NH=ySc-)2>XrMXmohr$MiWw(<}D z>_2$Vi;)J^o6*|G(eWsieH@#5lI`Q@r~&%m_Eey!Vr0}nwvVHu2C{t|9W{{cakbLNDx7NAPqitk zl-u>es%Dtl_@t*c7#T0f{=w-8oLNnd&~soo%oO7v=b-dl-^^Gl^ea?}-)pUJfvH$_H{wDRDlD9&^OQrE5suNr3htT#;y^8(E`(G znrjs8>q{Cv7F)p9HkTrn)FPCvX&lIG0dw-rBq;zplLX`(iE;cwpn>L=qZRf$7$xr)L(tD{`i=*vM>57fBY*)&qZ zN+qLqbXISe40@+3*yyQYGLKrLlF6JAG!nNAM0u)l$zu{hqx6zR!kF5#np1$@v1-swhVPP_~66=DMkI-85Y=myW zM?lw)aKOR*frqYkCMqAH1GGad1BLo*Gf*{qm-1f5GnK^pW% zu=WwaC8wQ9;Js;=tbBw6ct8ak1RgpAz{XW-cF#Q&ZD1424;H-oi&w4Zs7P6x_-cju zLs|0HEq_E&lQ}sp9=i=>%Sb(_O{5AFTr#4)iFECROawgVR8-pTA|PeX8X>3MNXhEU zw4ijsCy*((5uo{#-3Dk^jmT`h+3L?$e}<=K5Uayef9{X}t)IC}R$p+Gj=ypQWCxB^ zprsg|gJ1;aB11nV_B8mV8A=GQ(a72eiq$v4pu^s7tSLLtmy#JU!9s_PMrs>d9fA@k znBWc&F|qm`Xt8P1@->RpH$i|cU`7e&TyKq%E>;w)?}GSeBqb=g28mt0qF8+s+@cE^ zD}s^^69U^)tJOD2AVM5;>J++hZngR*X&_p59 zJYoJX@}Kc3@8{>(GxUiPDQ`Le(~tsaSUIhCCMze++$lCiKv@Ac;Zh;q%m>KR6Tz@V z^C^05%{t=MTQ%#r-rNJrp@mfi_yk~89K-Z={Uu0&WWJSkw571@TooW5Z`S zm(LL^nSdX;7J&-cC$4MxG+qc@>-P4hFLQDbt2*KYm@Hn#D9>s&KQ3W8v4I zUznZK0GDsR-!MW&;GaBoz8G8Sft}7?{JZ; zy>OQRInDucLvo}TSUxt)%019|}#<>OBT z^tj&4LWSJ(SskeKP}9}OkK^W!oocXe=UXs)Y)=GhS8lGFwtO24N`Lz=eC(HhN&q{R zKHL+CKDz+JT}fSoyx?l@qlK9@*Rfdjvo3EbM%y$R)FoKYzBUNaC;_Q#8Gu0(OX9R^F0l<)JPyeesoFp)m z==jK}A>HdM`rjcLJ=<`f>Okz!s4qph$@9xD0 z6k(vzu;TJyiYf%f*t(!Inqm?ln%L`-h};_!L}xpzF=#ZxhgML`uL~++Jro)=eoNSq zt1)}hXVqS zcWCAKH%j&EAene$Zizpq3L{oFDVm{{c+E;|L7fdKMtRMUq>zUB$XQgI6Tolu+We)x zDV=2R^NHn$bkaNj@9%!@$w*WWckXoav{43|xdoOEUg<8Hr;P$%JWm@EOUP8?N5Fsz!aIv_`{kBk|UL1A%zHuao?6esvQ z6D-3$JZnlxTdS9!C;Ug5Hk#BrciTHFxo05FL#-#_ZVIMZ)cUlZonG8NHwMpxNgq7- z4495-l8rA}Kf+Tf3ZY{08s=6s!ekyR#Es?H$Alm855MEH|I^o>${(TSipdNTfbEQe zFH#gaLxF$AjBZ9U>%F9ZBSWFBX?7UG4Vz$!zF#TcX2G04I&2mIy7ScgZmW?@oI2-^ zVuC4$qKY#Rf;oQ#S`9{940)C|COibGf&3af%&b603W6OpXtQFKKPux!H%K`(f>r(~ z#*Koxy(dYpHcq}`eHka;EP6kAl|Mqm83F2qzhRI>d-i;HVuUFu%`}GdZ+Tfi zZI=s4`-tV#9hP?2jkT3{?eD|P^+6jO7FRxb#N~gR-RUeh5z}cDSZ9#@C7m-!_ihw* zevkgpD=LHZ-+blIef|$c2I)k!hL#ek&*ivYE{tf zi>DUW#p8lqx6yfv+a(fbSTPIcXibM&K=q-2x8Wgbc^1mZD2!yT1Hj1&Evc8GLSn1Y zTuBY+F%>oLcH7894m~HX8pp@xvw=Da`v37P7E9LDrWWu<{NpRkFW8q7+sVONG9rsGdF?BaSW(k0+n&I!ZB z-lpu7{5%oHp#2v9$yN&9%NvhPCSot+$Le4VX|X*EULx*Ov#Hp<_e1v46<47bII2G9}ID^iJ-6)`bYIs-U~qZQExiWtF~M6fV5XB&!|hH`%zmf@#8lmNX}D? zMI)6DV+v6G2}hPwPU@@6-F9{g`9<}Q%w5tSH;6V-r8 zgQnqpxiHv=E(UIE0uZws^YEVEK;X#}Z2{aYKnn~-tP+%$rsKwYx5hjWZ$Pf|)ncJk66jvt^81kZdg0HJ7q zI9zlf*X!?7^>Rml_-fT(Gv6LqKh%q%=nt!HAKISp4}Ns^$UzdrBibKHeJ%S&!8U`T zOFhZz4x!~-j0b2&8rGomOoD3j#0;itIa=D17H=be6}>S7(O8mnA&(45chfN7O*ai_ zT8>PnqUW57znE+bGTRkE?^GlBqbBv?Jy#k1~)JedW>c`Ck!y8axXT;oH+T8~FU)aJK1$x~m(DQwNdu~rC(q5Wq#sJ+O258zX znr+?9aACapV?On8dPeGEP3mVtdlo48GRTT11u2MGOF_3<>m;uofH>_$Q^|+9;8-Jt zq{CcrtdT;}l_nUpR%ofxrmMI{Nrsu=eyrm{vKJ<}+n{$tiZh3`O#+G)B~jrkjAp6p|cBnZ+>OC&`DBT%XGRbNNYuc*D>`t-DdhVNm^~A;SI>uWy z=338r2}q$#i3FaO!aRW|)tsw@r1mVIYo;eeytEsxtWGT7ni!VDKj*Xl^1I(R%HevQ z9txB<@Fg4}y;_ph4g@oc&boW;stnWP*Jynmp}WQmopJPON=~}dl9MB~ zZd7+XVK+frNq){=*%R8T7qd)Q{t=bo2YtXN|DErRb~JU|T^V|Yz(~BHXfVm7ZGNY( z=z@iqpu@;PmW^{3gFmASmcb*S5$I)Fs=NsJL{JN0N@gbv>3PK}FMyofTD5aG3NP}5qk@M{cU`3AF^nlD3TSOk?M1&Rx>#U2WrgcUA{+BecgRBAFrENbuFrXX@Ljr}a_Y;W|;F4f-A)o-) zx!g$^I{)h89~4PU##LPVaw+Wam1K2;)_@Y|cPGDV^Np%Iq%$-5D>?V9oesBBpoHb@ z=z-I@<6Hdn)tV)bwiF)v`VowWE`1YNDgCB(p3C!E?O!N4 zXrii5OeUn{lCT)=0En`Y4A734n~5?nt8#!wJcSDH9R&;}M5zFX!sleoIU`cpC|4m; zAy6SwWjh@&-DGioOh`GahRw1x#>1BDN68$zD__5OKj{l|JDs0zdD@hpr?}975L~Is zZIAi6R%6a|&6%$Ej&8Sa|K9iins)};LSY+@ws1UI0P}XMdB}?NafmW&L3HzwmEsZ9 zi7>UDH->W4 zR>FA|PoXtNK^QsaHEMe15WtsMMY45P0=XFLVlVSn;u>>S0_8e%gffOlfv?4=NQ%~u z17)jIHKI4aj-nB7Gn6Tkg{u*5{)DGj(LMq9MbgO{y93+v8_9fk9tD0fC5BJoxbcx` zl$`^3`xl=`olU(N|2|-|3HW7mN@914jGnZP);<3_fLNcc`gz%go3ZNl=+Dvm<2$2e z+AW%``pw`{zU3o-_ox48)EX2J>(ROc^^KYw$vB`S)Nt4?Yol|)!+Hy{1!|bak6G8iKUyF4*nZW(TW|mN%=ggrHb;mjoLQJM$<>5+7RNBuT68 zlH27HP12NPS+|v>RWFk04VP^Hp+l!yD@m(fB=LQvesKUhg!(h-?D_U|;2+iN#2k3_ zDO~bo4qxqdAAYL#Gs?cjI45Ty+yC$*vguF4k4+W0jp=Sq1yU!7A->>g*w4FAxLlAx9^BYf!U?uthVic29CFd2{N@ zL$F4B+I{egw369F02&M^FP~*aVC1`|lcMjmM6R!h=cl8%K zY4^ikNA14Ycs2eb?ItR4hNYLKoBvVQ<0eY=aUy=r@!rfi^1uGbKiT~BO2g($w%?Io z&E^E87W4V;AD^%4)qA_3Exf;w@F zW*1=kEm}^xMau=z*?;T#k9ybXnH>s|1|YFR%3ds2K%^Ol21I&^0G(Yf0}yGt04}%( z_E;!!fy}cUc&wP4SN4mQjWIv0RvNB`pp*x_FJuMSHSHT_$l?gb-yujBz)uZ?BP}}; z_23QCKs^LAI@>r5Ah=x!3s|f;$zqCOQM=W2Xr?_cyO|xH7xzfm|IXc-DDGDnHXRij zwQku?#qAoyrmag652rC4?{zafKKhG4^phei(JXRXjs$p+iUGLd?$GPE&IPYgG&OaS z*U(1M)VUmK{9m9P+-?cDtjcoKNxmXf4gGi^fEO4g*$7f6h2Z7@y-7i!h8scZBo`b- zH0N?8p0g1^a|TIsu*FqDy{g^%2|-bCbf?P)4FYZe=)<$*|KyC#|K$DUsu~%+z`6$L zx~JeStZE5&4G+qi|Ku#*GvM`f%%@ zR3A4Ii*f`v1@nJlxZ+dZ&(E=E=o2MU(aAzh)c6XQ{!D`Q(xlZU6fmU5WY6xorf|aY zQXiSNe5=Np+kf!Oci#2?1oMj8gVy;k0Kfy@%GR;Y&OayykeVV6U=2)s<1c6D9~E=f z*de$9e?cRdo&Nv;B0ts^#8WL0i1{*~Y2C01*Ump&dLRj*t1z9(dJo;LuE~)>yh3Jv z3i4vvy&Qts`L}OP!DVmkQeECh?oJpHh?8i+3YGI;I3*95px6H7LN;L60tIQo%wd64 zV)Ij0<$Z8`0e!$PwAe~ClfRIV4fvWifSuoH19GnVs|!@yB_l#Yv8IiXgh$^cBSb=6 zQ%j!I=&FeOIYig&y5A<`6@9Aznn8v3FRs5c-awZ^l%q0_jna1|-~L5@QP6~`K^~fB zR10!6ZDy4R`VbxV362PXx}%@lQH^LB1xUkBq{^)^3gCJXNCzVT(2?h`>I+Xrd>yU5 zcr^tq&?)iH6`@iwSuZaOF@MW1|vrqLY|K=Oz= zM;Q!^mF?{o(^w>tR}_>Q2-bSlel2`z75`$t!5x=1LDfA~+j?4eXsgJ--(P z%C}#5{w|(hF^ztm&^{Qu%fJN8k?Of5$@BzYY*#x&*pT^f-PEik-?mfIxjbx6|?61zwhP{Q6>D5e}_I z?WYUob~+x{o85!;_F`SR2Wzng>3D9(@nZjDQ@jNol)Bo$ACOpjdO$ZMa1 zdw{)bcniL{#$Hqa018@gZ+{cNLs@EFtz3nCZ+`IXvoZnw(g!N|P)U>&fL-*r=S~rH z#N8>mvS5Fvx-NbB4Aryzzm8|`pX1n}GKJj_<#hHt&vEcWIVHC4rK7aZA+n&FC@Fw{_^3^zTUcS=NR*r>^lb0_r`L58_nsQf$ z<;n#Q{j@xUS8ex%8z}Sj?)^yhShjyTbg%PcL5mqpy1PDK~bEFFUybcP;LP zzl-_FZNf9BP`l-x41dhE?ra{C+jebFuq~t|mRcAL{(=z9ZftRkcNkiz;c*C7Zmh4k zU+#?qF$A+43*gZK*N7_T65zzj|EQcasCa25fJ=kc$QD1DowU0Lj5<~4XS21Mt)F|6 zOJj~IhTFT#y7W(=_@ndb5-b1YzKtJH!uk6T5x(a`Jer5A3!&nyX7_f;tIhlQ`KmH; z99J24kt=`Jd}Ib$+ujYW{MqoPL2}XaJ=24HQ6_;S%sRC9zVc^xm;t8HE|@+As`)K_ z@(D@x*kiv{H(P&N{o}lx zys>Gy)&YhCeU<}^>#aO~IKb7^Ncf@k^>aI}e}l=7@LJ372Y-1}eSZj4$IEs)UL5{( z{NZAQ41eSYH~fLp?=76u)7aLe(-`rp?Zlycm{Y_j) zS!z?QJlWN|k^??@^>pmzhA*7aK$Y?iF&p*z!8xPIyQJ0g7A3S!Ui28KXpc&Sp_&&qz!Sw4y@4n zWx93O7FGC!yWn=cf*&Nt20Z=EHPV8(AQ05RY(tP75&U_oLJ402mju`TUO;RliQu^_ z$=t6AW(+{kB_IZYb93^#pi>4TqxH4DIaNVtzhQ4IuJcd`w(Y{m%A9Xchpd*K9d)_- z>?duadR~j1JamKT-kTdj_uAHGFzs)#hi(nSSCUUtTrj=8p2qsN(H|Ayo$kAS7b`Z+kY(Ob`o*~H+0PC_w}Im% zt_1QxwJUMb@Rn=@KWJ##H@LCyRO6JcFp6+!?DAyeJjH;=+LTUkHh)3<@7y`{2BHnB z$Vo!W6gf4arudJ^%vJ#n$Au$szcu`J72*t6FQ^>dhYkfp!x7|Mv6lddReh%6{sGC#Ff=8>ZlmYXc7Nne*lJzf+txMl#=?Ez0Z~-br6; zBU#;_Zmm(O8CvL1+u<~sc&)#;b=`g%+R7+QMoB?wxg&Rk$ zE?V@?psrw&(kd_JrP)qM5e*kfQmt=RWee7sF>94tv3+$63^DOHjuxxAEq%H&_P` zXd}S8nFVv$iyHj#Xf}tvqtR>?_8wNA%I!z9IqV&cW^>pZie@01&0+6IFpGS14tuwU zjSizl%rc%AhcZ>%C&-D-sZKd;`i!=TzgvP2nC(W@qWR6Myg0NwE5OJMtkk2AyK_== z78R#D&HTIOUn9Srb(pHSQsFH{;Il(+J+>PDE6B(Y@ z-Y`1C0DfJ1+u_&Y*Y(sl@q_gy(&k8vpRaxGRkTcDogxW{XndR`V6^u?>#>3AgL<~$9;157nlbA!xU5v6@G!xR(5m@5c18+R(9;L-xhNt#RHM{eio;yy z^sHbLTHTmqXBY%lW_Wf|{MY%4Dt5+xrTT<1l8(*%g(^(h9()5Dk3%!}aBA7kAae@y z!n3c*`IgT;vs^B~u71U9{I9u^jI{e> zSSnquWoME!qO4OXjhfI!f!yNm5^IySORKBV-7RVeT}-VjS?THn^1C94zL1vq_0msTqiyXJ+J=F}~+$!>oW)rCs)T_igmv*2thfmXgKj(u8cCuWki zx}*fcg}zakzo@QI|C+b-++$)6(pg&Ak7Z>6s!E zYg0OzC)X+d7x^bfg7(v06l%*3-9zez#Ba=umJ0$1tW855Y6+U23qg7pE(pZ*P9VX! z8NJ~$ioA2Cn>9Lu1yh15vNl!4l2s3}4YvdOWWP9hzRiXT~cxWHjt0u3A%9@+t*bMYf|- zpyV~tT1sx3sx?(wanlK#*4(ximwYW%FSGQX=Hu(a1=>qdkd5<`+Xg^{Xl;c6-tf47 z*^cYS4>nTx&|j;ngP?m|l)w&M#%SXOH-m2?2ZP@Bh*()W#{TpVlBJ3E)_U~{on6R7 zN(Ydq0g+gTfi7=M5%eCFQ*vd&zU9}Yi)*KTo{_Pfa2oW`;@R;~^*3jJnfn~b8+V27 zRsZgd-j(Fd@rRxHW!P%)=z257-WazhHnyyQtg zwK#_-KDe{!9;&BNls2aPs}sTA_fj5@v%O>h4}UT~`R*Qa3nY4Fy~4v74i(86uZZ9B zcf9p=;Kf!B;#O+L@<8EsRKF}FY3%H_ULcc24re}k=%{EV)6;y>!5&IM8cp&-kenkQ zHA3ddV!#W1V>|)76ZXtvsnc?ihL4_^*x%>_4gS z;>Vs;>~5b_{!>|R;7P^#uKJ|TOf%sQ(w)WQSeRDe?Vjb%Htm0{cRMr9TtCwcK*vb2 z&>a`1nX;+WGT_@na$tYwo^BRr9h=as#k0RnrkUchk2-zoebWdVH(=pKoL%u}UI=!@ z@*|#dvHJni?25nne$GKJG_7AAw4?QeM8HW`{Bu71XTJNVqOz$&1D&zF3_snIl^L-; z>XnvUvt96r=)AZf8aG(OoldS|Ag7jO8Aez&pV2MQUQazjyWbV-g z!I92ugd+xwoH}?JO=^k|c)MB@ZivH6poWg9e?uBuNG6lDpjj zLx1G#8*}cGWWGClfFZFae1D#A}`Q_foM1!5(#i6Z7T^2iB6<3Is#ZiArxs25*K;mECgxReZ|CV zxHCr~1T$a>)nDQv$!vJ&0r@ky6x7k2SR(X-l8Va^OPX~*^$uVuv4WU!BAkI)FawsX z{5K4$60=^G1j(;B07Iy%3S3%7VxR@ya+UGDE5gFr@T!?edI-{*g0&(J4Ijn%Sgww8IQ0@7W}YAAwc%A#mgI1GO8+VJ=S1)i0OD~0*dn^)p6dCDY!j&P0IDN(s&LDvE&%za`fl% z03KbO>5WI*CVt(WmF74k3XFxf2qv9<1lGJ}&O)!&$$W=bq>u29i*Nc1?}-K!&B=S{ z@AW7Yt~l?2_^O4$dn0+D>K1pq#Tw)=6olPus}Z>h*U2y_3U2p37X4JU@VODg;~;Vs z&I~oL5uL_jD&rcfD%|>@J_1W8Et)8mEWjcoOj?}sfawj*iV1e)s4@sHx>c_NBuZUt z8+QRl7iPxSM(UtD|yzl~A)TpxNfIC519 zUu2=ziE|s3bo`jPssvJMxDk?g*mk{97`dvHs-K|g=~ch-9g6ZNJgT~?bp0NRx*QZ#8oZS%!0P zQ4Ob$`eyE}+`}f~pFjpp%~{x6bR^6?nzNX&JgrlyG?)86m=d9Aj<=Zt{`ddtJHP75 z)3G9$WF!s)O-$RpQN@a7TJaLiC{5f#JK#Z6Oey zKkq>2*oI6wR*Xi(4k(J|8gr~zhWp}TE&CkdS`{neJ4l6nu)&XZz`u6P_60j-dy!SF z7_)tsK0Yx{UdM`H#?&^*-Llt%Q^ksVj0u+38kX;SXV13>(>xU>3sc!k4u~Bn->5Vq z_JaN^>+DdU2q{&K+TRJ}Y9il9n4Fj}uSX5(Xg&Wm@&oxhI-slPM#SrHMAX8uaN(zy z8-b?aXLT>>f~w64dY2)Bzt`ug^*PL(!^{WIJtKhNS9ZV8-~QRn@^?;Gm>0xwvdjw* zh*;z(V$!`R)y@UpR|zx0UeMNBbA@@gIndIvX1%jEk65v)Fk^O$WSBcFsDWz;(!KCg zAHe5=c!%ZFtx*VsAl(ZW4EQL9Dz{Z|Y9JRxHQiz@)UGwmj1{X2Gr0J*6b()Sbyz~M zsxZfN=H=c}^+_^UmH#gJnPFmMu@v0AMl_CartD0@4{##C#4w_RH^F*m_ z-5!I6+tT(g5~VYP#>}8mqt5#1pBdaWIq5Om(x<-=5&oU)9ya$?|Iwd$zpssmS_=zp z;dZ}RqaevL>tZEj@wtzTw$w|5-D=Ib;;hGRd&~*6rA1J4+$^(V+ML)a+XWPOUAP4p zOJX|^)@v{yf>q%b`E@<@64Zgsin%O^$s-0A2d{lwK%^}#*SJTJE;UJ@yxQ7nF5DhE zVb4QxnRU+Q)M870NlSzSvprN~Wkl_g@FB=W0!ffj)F(e_b;S&V4n)o2ad?&PUrF_` zXI%$l)Rzia9T!6%p|U;BTVWm8gXrD?&Kk)#1(#uc}Dz$w>^0N^1kE( z@LWj44}${xIM0Z`eDEgx7T4Q0_exKJf8cw*__g00cxz1tUwK>;fM(Weg{gX4WRCQ5 zl>+bf=&(Y3kLImjBT-I)j}DX1De%!beMys`^ez)l(8B}{>9%hyr@&b*Mk0WMD`9-j zElk-%2wLhD;c0azT9pFF1VQ7a-Yj}OYZcCJzuqHNfKv2fy$3wJS(AluN4j-L71(70 z{M<_B0tMtaEr#7_I6WkDlbM8TzNVq5DY+IFpOBoKG)RX3Tn_&ujzLcA^RtQ#=j?}N z9WJLwc2#~KgOkgm4|m7sxb#v8Env=b_{iPQb@1!2gHLl1Zr5L!fXkyH@ptw_!lI3a ziHH9DpZw0>`SU?gJglcD4>jF`9YTTAAhmCGM%L4#>No3Yz>hHESd=ATL5&uw^z^k4 zHQi!}AZ!WrG?K>cK!U8Ny9F6@MUXR68l6g4+x6e*q`q2DA0KLZQd3ghBrREpcnj0A zMnd<&VNpc0Uh~}^LG^g;vM@jU0j@Ih$uAv={IJgz#(%oTu8^Y&)A;G^E?j`V4k>-u zE8w?!mO3NI!DM&9ormPU+caZmux5IoFF+v23WP{v1ZDFKq$m0U*>a@+`LtjVT3b^W zz>Z%hh*mHaMMl25q%; zJYh|M=e1rDYf1p=*V&;@ULbP^mM)s>6rwSg+-=a+!0QChEiiuBQ#kXf9-x<2<2(LT zk8$7)KGis&|5WoI3&-6N2D~um@u@vS>>2t*X;czMNT}JFOtJtFwmfyQzVim{MasQP zk_=D1OA_p+1JJBg^>QN`Mv137ph^^=6}xJUMzc{hF&wPO_3_+}L<32Wp$+4L!CD8R zg+ziZC8jt(4ycZo=BhXzEO_+w)A96R)Ab7}bDSSPShXC8kTZ$ix+5`iUQQ}~>2h$r zyLA0va$8r;R%@xxRR`n~Zg9Q1HGaLj*kFHLKLo1lm+iQIa>M0jul3<#Q+fojW%``6FD>JWu-6knW@Y0wP-J{i3IUXBzuF^fZ8zYxCgfiDgE7>e`20P!#YAHCdh})ig zB70%MHv-D9HWW-23sdAe7(cNDAVRGyK{IlxP=lZWxD8m7y+UEynL*IK*7}}Rv>ePJ zE5HD%uRYxgQ9Fy)R_DjSV&+n*DH?)dm%~Ei>jE!_yz~qiyGFqq2sokclMNL z1a)hHju=E;BwvCKTl3Z2-?d#vzE}h?D+it=jNuYwNsXXb3Rxf4itJ3XBH%ZwXktaN zAts1WfK-V<{H#Eo-mEA<9utHbA_pM^fhJNXHdYk>$pk@vZ7~55s`T{pmk~$7PrYWt zEnSf%W*Ikv;!&Ak>B>R6Pa2c+iVEbZFA~Omxdpv@eQsr7@T**`$!q{OVvT93mE51Y49`>6I>tur3;N&CuJOtD`QO+x^ode4 zj;HeWprA4l6uAK^=Tr1qa=v9doX;sZo+~g7=wlpi*Y)ZgxZ)BVHGJ_y zkowLAL2t|UHQE?$iOYi2cSW!a69cIW^I%z!`pyNxAH%i+tO#}-x@uXF`mPA>Hp*Sl zt-!I@2vXm<;GshUf_RA?_^}&7(RW3%459}xvAsyqcSRB-ktGMwf$~=Mo$X?-geKV~ zcS{69_I;$OA%7ovnq9I3)r->XA#JCcKCM~Z9$cQ}o@i~<%DCi}w5_NvQJNF!=o($8 zho0+v>QSH%un#SH-8IfJ_N1Qu#r-Mtv~v~Y`l}%4D`0zkPiV+1JDk&&A5y^Y`ZKTo z>)-#XGI&Q9>M7u&SD|29b;+RG&hG&$^eRZQT2)lN;YGhJwQ(tHjjVt}y%^DG7OWI- z(Jts0Z#7m{z=u#SEAu1m^6yMiE=id*rB&HOm#BO=;zjvC``)Y zLBc7llj(R|Z%!Ptf?OporAUykpY}SAuhY2t<9MR)9yUSvd25>EmYP(lvC4?K_f}%- zt7+f4k632u4f2XEy+z7%&I3|}nlUZ>nZNtw?zf`%NmDy+!7R~(>NM(a3?ax@&B!3b ziE>&Pfs%o!kP&G!skC6eV#yzaW6UN~*)Dj<)JrZH*eC=O?WuEGIIvNR)uv4}=d^Ia zMh%fmQziMS4+o5^qi=rTc-`h(=d>`)ItN=w$I|1p}HjAW|#$!?HnIw;_>X}mgPG(iM!>slSxH8o%onH!; z`FK7u>3DGi;{3?CoJDmlUPmk^=z~(gvw;-}7nNRV;fE=QBXtYaTXmCnOJfIyfVY7R%+eH`Jz>3sCuJk9KcioQRQxc{~huKe4qavJe^aNWlh(t%eHOX z>auOyR+sHA+qS!G+qP|X+4}c8zH!dY9yu5CcIBQk;)%ov|Dq)ibPIVBegrAeMeIhx z+gCSTfd0si#7!PtSAk~F_j}}~_g^OnUjgT#2Mth3H^;zisyW(R-dBL#C=PZ%a9?&a z1oH=Q0t{C^c13;mIwIDeJ_`Y$C*{~U(>;Vr}cT5h()TyW`f6E0sa37&I; z06!tZFbn2!>&kO$VcTXGk<>+cKm?6gqJrmYpMApoABR7Mo1_BE zcc7?zON31`Bq&VLNTsYOK{wM4da#P7$UQ#?SMOVVt8(NZRc(eg1NsCMvP7Fe{pgdW z|7sD?Qje4EQn>OIMiF?~lH;J2@W9Tt(V=d0F`8Bi^~Uemv;1LjG}ogwus@kQlAgy4xZ`B{et!y( zk*J1o=@L}w^PH`_Po!19J1#uIM;z;%Cb9#fe=-f;5*;GJBJM%jV}Ca^ zU|qRJ64t;y^9&!kJ5Fv~$(HP_F$}%nOamxO%a&Fddf!f{ zQvU$UaSq3m?$n`QaK&Q4cH1!sBId0&yGTQC>;gfE4 zT;|SdXZy57v&xHAve{2s7Pf2DQ31l&+wOir-0jK%(13$y!l2gGle0;IJZm^PLOeFl<8`q{+|)|_E0kivU6k% z0H8~*5aKr!ce(cp<$N&Bi*gG5(N&tO=0R%f7cIPYa~{K9u&pO{lKJGO@hj4p>hvlP zHBTV?D;K%SQ+Y*<1UM~k>KEZN(QN9=T{RxSzutJuqV}70Xnxm(_s2=Zi2!=pg z*WJ{g!f7DL2S7>Od8($QLV}xpK=H<(?R5j2c^X%8BK4&cv{7zZY^Sq*wYqMuFyg^TyMZf<|@P(wJWdwL#Nu^P@< z_t=TP^O>~K$a^=UjF@4rMQO&PxSnUGnNQtj|7+OZoe|xaXUi*5@D8W*wWO3sSl6)b z@ede(PJIP@@8^|ebmCvW{JOTUeuP$op&2hM^99grukc=6;g8MhHr8iv=x)rx4=a`M zWD`gwXt0elF6}GeoKf>U4Rt?`eW`lrKw{3m0B>k8?Gd{FhtFM>;0<-5L1fNXZ*$(g z#muEdg~naQlq5y|9Bhry5ykv*`>E&##ZaJoO%QiV_LOz;Eh>Skot!bYX8f47cFQP_ z@UC?A+rJm{?kR!eVc?-2{8hH9(%oF(4J;_=FQIL(!%G8f3Bl+y#i7 z68vn7Z{~Fay#K{Y&G50XdHc`>IWiw~dH#{oWc(p=i435F6-vA}rpvk6bnD+W^3elp zm2u=nK576;ka(y*Cut+PSQ0%0y$o}14Iw%pX(KCbF{0p#X0skioSVg@W(bneZpo$` zHElUL`tNQ$mrjAffCHmPf%UYHyCAY47g-G|9K{9RI?3zF0m*A76=(jkU=Olo$lu01 z7vzBkLTbyXKP9RS&($_a>RizM!o~D7K zA6I0$y-ALK5u3SJb%cxIsrKU&bxr*4m$0Kt2SfCk&fuI$w6E6!-$SQ2gULuOe_{Lgl{fZSf(hkfU?CicHQ$!VHW<=zD(GnL*7fFa8dhMDE>S!k>e+~? z&M+`VsqupL)UVW0nom?2!1vp|~S31QCHf$)xI3xRg6MRFlf6fM9@A-;gWzIi6yVXTF3O0)27W8&La_fZO^?D{({9Qqo8 z$pOXXGpgh~yF5Son8*WV8SJMR&oEF4WL?T4z789Ko5OlywT^MJCvNEE$6=MC(LL`~ z3}$AD-CQk5?DZxZY25Z47;KEHOJUo|kuVJV4`o&9f0Y2g_<32?HAibe>C6oJe3k^p_p*Ij zQaARe0eyUx?2mq;;3d7JLEL|(L5RS90xqx`WY!A-(bx9gK9(78O6RUnwLFEt_WKwh zYe3!kfz&pMei9|l61k$>6#>%z4wo&wWK$WpMHEKen@W`y5(izuR`v5-e{6T2JF;O z?tbrpAR-2j#RLX{9s!S!{?t4MERf}wv}>0xI0DJhV7uM{5<5}kQpPC&|KS90sFYtH zTr8>{jH}(j7)fv;#qe7~W|_Yuw=NjX} z_QQbx;Sn~-#D&2I-(GVHAb3tRAK8!zV zYCY#P_dBtbw4?qep!x&)>ft}gl4Hip9oLUEXjqEgvQn2~#KuANtO5T|%c(2ef$$)m z`6Ga^6mxSwGz7pa)sO#wypo)!wW>es3*d0u;nKmW(GWX%hvGr(9ze~^8UYH*uDe^+21J=7g>|l zebLU?>c$woGvy8lF-cYSvv76p?O`U+^2u0J5kS@-g>dWBfgWt;J$Cj^E~si zNw@60BVSU52?WY%Ja_ypea!p})t*h!Ty*{@tXh7j8OeK1Fm@aR2ZQYi7EH>EBZ`{v zkS&_{)r*~L4dAIFdu4M55w>*t8joSaJ0<8EVU>lDiHOWBB>B~E*ET2DnZN+|%k;=Y z>-)&fAsQl3>kWXCysIb9x62e}U>0zYF{3PzSZ)3>kP5;~6J6?ygxo4_7C&8HKXRr2VAI-#{psF_Qk;n%VMGstU*JWgLlv&NVLDsh?J=LPGI%Jfh z&~vHhi|0sKZP#M6$a~Huj;w2iy%T?Xoa+pn^oD5fe}Vh?cY`fZ`T}&>K%|3&&p+gCQll?^bzRx~`UEiBiw1vfjTwz`A#ELR zFwV8kqqadF+T~OW-TxW=g(8JJD1~)a$vteiSGSLHkbmwy$Ht^xj?#=36-&|}eBR)L ziCAvL>t0gup0w~AW`s!Ud@f8oVJ0^QLaZ@eiLbt7rm>9J)?Q=YwJ^~${}2)B&d+!v zIrDU^vP`aOfh~<_DS&cHrc8?4wzt!pCzf1P1k(TjCJSympEkS)Vs9nsEc@$7CO)kD zjm7xudJce5EsTT}kHv3Xrd^q*L;3UszZz4#^m5g4Cqy}|b~u<<05XfqL?vgo zb|agUgmSd?1|`!5{76NTv?eX;WbbhGxo3Q>3~5NXS;QjyyHk$jDP6Ht-XPk39+`)M zW6YjA`h+*^e3&%e=*Tw|P~}9iOvk8l56T^qNOc!s2~?rP*#%yVd&xUHj{u95Vi(5U z512)x{v6gbL{lHPj<-y1}TY}wA0?G-J=54~tNZA*Aqxsgb&R=qmeL{Cn8_$WFh z3=u*{8DJ7MQ%pLM+_}9fH!}6&>IW4Et$qyD7QLHIbgN5U$2e>SYc%A&=AeVYjS9P5 z0*k>y4@zduY;BfNznDq(DW)n)3CqR_x9C~0cn5@?qCJe|7H!49V=cw5&LU3Tz?-_H z)HJi?8nB0~TD9Q#X$m!JrfEw`s+y>WVwaP=R*5pXgGKG82_|`3X00QcySIY&G-8*o z*!$F%A*h1q^&q!=`DZlGr5STaV340^!bwonOe9@hg;XU_+Yzh!6j)I8`_FDO9K32q zu^QU?D7gFpTn@*ldA#AQ&sLg%53{&{^-t%fqs5M3WdDHTTvp|Iy`K4?UY%l+;Ss$t z-$pr7F}!;}!n9-%7O+eK8hk_k(BBy@WiHw0oQxAqr2{ogT|@E+1|gGD=v~00jAal5 zI_o_^wMs3uNXZ_{>RZ+7k+jg2^+=|I2ecS8ZflB@L}B@BxA888DfE1??=K0Jkun4@ zi_&4HLbT(iG6_SlWMG%S$h*^sd2V z>K~t@QbivD{K0}9vE8@$j_`lxR{+1PI#}s0z5c=utr7WvJK-ZB2ST(7qi4U@%qlg7r@qa#ExL*33X~ zpvq7U$2Ovh4Un}v^cbHJM{SP zAK)w`2ZW;RTeiGV_l`K7T zn}+@Sqb|LFTQb2@)BUP3yd~2>PwPFoGDs}PQT%dWd2Ag9HtAaIG7$iQ+Hyr@VeLhp z!rEL-Jdx3D|BLqxy+QSss=|+MvqJth{BbpIiZSYPddygreFkTovZCwJl`QMRb;@?Oc;B`2y3T6Dai_qwz|WQ>D`tH3Svxz z1|I^)9@;OAB21mfwwDPD`NX+(HQiOSRU7#_M1^smlq2)kC6gmtg@!92H>nl5%0=_{ z7gK=PyC}%OBi1>Sib4B!!5PyB(@qD|Wu3&)m>>CholYg}!jE^Y0}w~G=YX2M?|R1J zyBAkY@6#y%F{wPO-Gzq?n-0Cv0**<7-MLY3p->SKF~2NbXhfB*RjWZEo%CGcV6t#s zEMz)6YVaq3AZnmxxv+4KZiTWZwPaO zi?aK+r1x6^^zd)YK0&y478evXNAxz7_X@IO`>HO9tDW>L8*V51;Ut~p;W=&UmSNN-@7s5iEs1Xg#Jds1;f@1q z<&uz;1{M?n0pPn%#ynf>vIsV-Q(#E$u)sqI*x_E&N%BcvbUVM2E6_ne8D^lq;%`l) zcjr{wGIA}-2k>g-eL6BtN+be}3*1KC{>5H1JmC(lwhi@g{cYE#2iK}Me*qd6erMFB zA66jOkjY>s$*RoGItP;Nt?cj&2`4gB!8Hz2TkL5<*$MU$_H0>zZpZJDi#rA0mbJL! z-Sc9d1@a`I*+m(GpA+~E5dS^Ey3yNBbmG^fHi(vgw>cx~(B@Nsi$}z!nxhKPJN-T- zosl!h4v(nS<^K<>TOlFFhvB3U>QXDnK#Nzg(pnE)G}%&nO@Z zG$h81)-G+iLeH^P7(KlbrZJ)TzzL2#1la$|WGE7}jg&^!;5VAPsP9FPn8YDedfxk_ zA^`2;l{@|+0(7IS>e3_5zb~d}{3^At8~tsffUJ_MUfg%_Wqf6uxW>Wo=Q-IojD8{;QGakY)TgSm3*h9&i(CFu zY8N*%uShi*`Wo84g|)CTwM%Z;5(Tldq5)1J*9r@Kan9yahq8jIzNY)~orRkY>(2soMX>boJnOX@~t1;OXgUS-@=)CYI=0em^F zn3oUC-EVqAFDDY<&;?=UeT(gDFZwgJMC79&#ilXVy?0}j8` zNoOPE6|7el@_{xHB6l~9`rvAG(|M$!W{*(M$q^Khl_n)pIFewBCLwKsHLHj$Bpb^Q z^$%tT5C3-dcZ~BbjxtSit&jH}Brq%4@n-CqO*6YCpS?SdebFa3{0rSNLtFuM|MA6%hzRa>X5FRDNJ&U}p+9HZ~;7Y0`X&J7Xjw0O&M z+y8XVje{ksYH+p+Lb7Wv3`ZPcYZ{La@rvl4rs|aH>IGlTis)vI)~M3)1u+(ZAM&>i z4^4yV4~9*X7j@u@vY*}DOT}fLiSnQhC3^bBdnl zk$y>BhyUqMm*@RwY^V3v?M;9C(IL2)877`&97I1hR0+~7XpFqok={j4Jy~ZQuenh{ zmRSiO3+TVKLo-JBB^YU$m+(2y!*yV95DarIs!E!T>QZw%n6YJ)K)!e{{Oa=FOD0}q zm?2>)xpL#A(hTlNkFG+hjT>iHvaC^O+TrI7FYy^XCvr<(9&2-%&oc;tfqpn3W#Ada zJ{0qyefHy8;O(g&wt%zf6kOJ9Q+yq-M0990ot+b&h<7L^r#oO?fA|VK`k6*uUoeA$ zx6!V1QOJSZ8<<%RYZ0yX3_N^Tm37-NcezF4fL|*25@HS^i#8tGpHC^@qT_di!R*GS z!K>u}8WSQ+mouropfp-B=0|Gd3+g>mlh$`=&aI&KgE-TS!F#@f$8uWFdj|xuC>J|Z z3sLV!5f10ylljt{s?2%ZSYdMO&~iJR4(7r*7f2zRe(F;+(dT4fIfkGUAb3qY`4C7e zFzqIEKO9;>4Ta};HeP|Qm8?X&um_ymr0*M^3poY6131 z4L)XoC_s(fAm1Ly!5Dej{|_)<^&3(MSB&FA^Gi1{FJYiQl1|*<%E8`!oW>RUZ}juZ z`JaXR;`HaCtw6NJAdhz-C(^Brues=eM^S?SEzy0m_^W0h4HZNfMI6JrQf!RXj9jZ* zUH5kY?~<4M`Y@5e+J}^Q#awcSm%$7Qf}HY~0hZeQ*zrZ&g|- zNGv9^B{DTrgQ$J6jCPxG#idmU-?z=kysRP&q>o5Vb#aA34H078-}*$THVc~ z3I5RcdE5Lbjs#Y%5dQ$7O?K0d<^y5vt7hE%=WO4W1jvcggdjwMkm#~f}SMbp=t2Tcc2+}#(Sy*wYF8CuwMon3;py1PTm?spo zxU?o6LK{_E#REGArN-d>{Itx&RA@q!@%$v5p04j2D(bUNkNti(zj_`!e+Ks!=Oe{* z+DFQ@HZUt#bsQ?wlrAS+RsS$gG|)><)>{5q-!`8n;|7{n+;lZkFR*Lj?~<`H9-i(m zE}5TT-y*CBb+li(*coD9&`m-2RfKPtg=mHE*KHrsRX8pL>enFUn$|b9A&wQNx;pxb z#)T$G{AmoB<;C3W;9J1;AJTS!eA~-t`71ovLniz4OcX{@{w^sps+-VavvDs{u+u59 z5BhyA;N}ap0U#a3q^>1a069KyAUByD*Bzl29XeLwq#`#RF4y5*#HbElw1Gc6z}aLS zVBDfa8`-IBIl1H6==?eOQ@D4bsiT$(d?b}{kgyU~rAyBcW#MZ z_0+MxDie~-T*e*`xQ1#s^%-g?!eZXLURm80mo7Y1%8wAtFmLcC>G~G8`H*pD_Bq-a zsL?XFeDha8D|gwZFwodV5l3z zCz3~%0#_R*{f$Yy09RQ;8V~QE#FV(+IKHs*Mp&^YP9QGSe2S~@4Lk#%Xufv^m+gDI z#d@&a)i7GH{lV$?4(Uhb6r@ddE@UqFM)Lev2Sim`ajd$(2V6n{JY%oUQ93lqAbsMX z6YiiiQINq)=UZhCbz+EA#H)!VA}Q2l;e3Ht<)DP3%#Z)XLmMqCkdk%$*;DD&8+-iu-)ZkPdzl4Ktm}r_eDH!CqWi}2fH>!h zRa#LPRZ6ne;C_ZmL_2KnZvLmB9i(Q|oURyR7D{l(9!56VMgL7d7y}KZ`qVtAWQ$Zi zI3xj>tz89~1md>2NsL?hXzOqqjaMI&`Gq}Q1PAbP)9-&gUVXhHo+?gul3!%h-}%GX zWH5c1M-D>%CQrWdG~(|Eh20Qq^2WPTA-ObtG0s|MO+7WSdIWf{yCwyJH>bOQCx(9O zn(?lsTkzzjnI)f*3=`Zph^I2j*&U^OT+gpkqW5(*x&Pbq1~&teW6udk-;7+{>UZbE z_YwFsyLtb(;YtBM`UO=^#u}hwvW5_>yza<8jf97_r@QWmXlGa$5oDBT2y&7eSplF^l*uyg`;W-HwYw z|KjQl-yGkH2t`1_Y_OlBZ^vKLeCd57s>=4&ZLpR$F^fPZ;5EYyCRzrBO^J*%3*z+m zLg?Q+_*B_#`vY9|L8CEOZ#4Hb_&a8ks?!c=^M+h#2Ur(^dm;m_tlPASkXekc`(a22 z?^~Fv^J$E)5>q_?X@l3lKSwWxxC#-ve9e|kHIfa`Z+KXv32BRDS=Ua5cqYB_q6fBV zh8zrF!-ZLU1g``U1wyh6O*vYMbRD&Af~qv8r@z?0{;ObllpcY9=JbpD;J=oBedd|6 zE3uOn$?Gf8bwC@xvf!QtLg^3GS_1a@h?KS#5i(PormYlvA!jDiP8(W?-ldhQIL{!f z41YwaJJB||mR2rwGH`A-`b7AIFsE(Vm3YDoWSOgMr!!q@y4<%eM8Q!JF!I5vC`<<)sl&6R3CY6y2?pwsx+xUk2xUAT_dx z2tp&Bj^B@TykHQ_?jR%_vb;qjDe3XB01is#f?>l|r z!OKxHN^YIA2IjtuZk_WUniFo|O(ukXrK`xtC-}=mf3<}&)nU-&VCLt!_a&}p|FCGJ z7}RJRY0YD;v$Q46z4aGT>O_`R=M++#Av0JRgm{`!fQWN`g{9B%JonrZD+3n~WTy29 zIH4H=n?dVi4+nGn6*bA{-Vb|i%}?Wxom&|zoSZ!Sw35)QUfk>dL$i`!&x;GX|HEfc z41nz-CLrplV*ni_p*mjtLB8ZU@QtxI^c1xo=@e9b3wgp??09__V-+*kE{ch&}4&awbbt@G!} z&nxWiD}4gm!XK~9!LSwE0v^p@;RTl)^#=}S4m5aVTV1Te@n{^1QLCZf?K@?ZejPsH7sLkj@u(j0&wNc=H@lKx(Z(>-rx7Eh(DUL zoAc>Aa?NGXJY@W~V>tJ1jXmhc*P@(jZk!$> z3fzCj<2+toB#b4)o;_CM;`Tw%fkyQkPI~LcxmqSsL>Qpj{wd#YV0D=<>I%n8kAwfZ zNThAPyI${QN3?<>`6MLwFn*dL9378;iq2gD0&u{}Szh~lo1d#K?#?A|z>46Q#N zcE5)O-u%gHHP~8h>Oi8kh;k}$rVP3Qj!lDDd_vr39Fg8oqXG*EKhZDN-Na~?oxs`Qe-*HuV7RU>S9 z&-0_XV7BJm-ujaVy_<4^5NkDyUE1#4&jQp=x>WQ#ls3$Fx4;)ny-I|z&@Fon$1${V zP#*;qpF*T5C}pr_jnL!S5Xy4<@~UpM_y~S&Jkw?h;a3&$BwbTe)JSIy{xeo`*Ff=^ z`S65Mm{g0#O*( ztNy&74U!QxYW%>3TNBNNTT~kKsvdvET)Qc+3P~_Ei_`NYkJqjCbbwNtly=1$oh>i5 zd%kD2YL$Hhttfb&35NF-ABd_HC0gQ=YEJ5PIYVn7p0LtPMR!0r^78HLfawjej*aR= z$|!SBo$ct`rg5i7xzbLm)Wqqjz*Pjl5v$kn^?KEAu2!B~lzF2ct268Os<3STtN z-=?}z3z4;L<3&DanuixAH`CnLCW+>7i5oFY$VgB_(J=-@%#5MGVsInI|C*^va%fq8 z;w@ASe&Ok4-$l;xGUQzC@2@3NRF=E*Ogd`8NwdeH?69l{$Jwr@b4GUQRDq9!sNrl@ z#Fu7)C!5|6IplQ9tt;pqJQ?DVipAJMt-fBnme)4$8*vabkqjVY>C^AubWV~c_Q z@@_$7$`sPMAhxs*WQXBSqf0+oNt-LMrT@f}R&<97RGG5%OPMc2>wvZ7|07u1$5_hi z`-u8>86VeHLf2TbQR#E!y%?LIQBC)m=zMKo&q?h?hpV+$9Bu7UWZ)eXiz@*9)3-?bXVKjuGb4yb|`! zu~+Z)l221sEyJLK;yCoeu+4QqKm{UgKGs3VaJ+M}hJ!>TWK&S!?iAq^af>%EBM^+qr#Buxm~j;}}*4o;Vd zrs<;s(*mXr+8a(31nn~H=H93ZXfw>kvp#;)OsGJ?#KZTHfIkU3bDVcGnvz_%ERw2{ z1l0Lx#4=>}-C^`2_KkalL2zsU9imV-d_O^dZ;7-niYg6HDmd$GG|6xpuR^dDAV5A% z{zVj-RiMm_d{1b@N)EDW=^lM!E{BF!HaJ?vd(d4Koch z=9OEYPb4lxX#ew)s<9Xny7}E|OMaZ9W9PN7S0Dfi9n*+pX9=XgLYu2fMt(0)BN{mt z{yf$h>C?4zFE#5(i*J$3du9{YPTV@+E+8!O@6v!Wso*oAD~z6v6Vrp!$y(4m&tSIc z$zHnlzfX9r&x%Ixp}!{yg}}KmUw4CMyd|0!xRBH~ve&TN_IBa}LcA_HyyTY?E$>hd zG9GGC*+>AGqu{Bz>anA<(3Gz-3wmTja+yf|fQ+ z&{_(xiF%?-tS%BPum#8|lz>8Qtwuwg7>XhyG}yck-NX4qqFU!aU?#Wy&~-P{%?bqu zElq@xi`(<30EOOf_8UKq$~$YCMVl^!8bLvzVEA9K%4)IaG!nbmOQqO@;HAwbnX$(lgTsp{rTDoGP+9kh1;^P>?3-=OZ_mHy zuoJG|;ula@D)4QUxvgp9TK}AVOEfHd?Gy+*pbo)%0gJGBDGeGbbIyLd*WB?_u zpX}hWoEfLR5+PWZ+ih>#jPp&rz{D35_Ux4YwTR^q7U>b67ux}RU+4Mx;8_vq`Pqnd zM7lG*sqgWgB8~3gbuotN|9~vohqqy%VEIFS^KlnOS>%|{IS31BFC3kVGPR%oW*Z~d zBE3fhDK6{-;bO*?%1}g;IGd0CXv<5RwR9~7HPQb}bZe_#eH6+#I#>BKn8;18$Riz=k>O)(CjLXaAy28Et(!!*l5 z1@ItzBX#nXw?WKo07;1cNuhg&GS}@U7AsTWq+x$-JaArYyNdBC4g*{qni3V zhfj2T+$kXQg;io!_8HsdDZ;r@&7*v>^cC)z_~oQYEM&yjii0}mHJz__&p*K;-8b~| z1-t2lcJOFn5AtV)M@-hu}uvTY7+PD?*2@jI4CG@VK~Y z-btuy(ZXl=Bx)z4V=#nZVH>tAWvezGDSx$YlUVeR+LUO93!^TQUGIXfqt8*Y>XCtjQEyY z%0H%G-hiSYd@h%7HzysZaf{8svVj(f`E78BtETkj3MUxHRfceF2p=mN!5G=Mi*=ups5g?-rQq*~A%VY#zR9oIuuvxX!B7-ix3PRYr&U7Ob~lv%b&tklAZcs zlV|XAl2$;ML8t45%AY5YYG0vB-fgi`SX)|TBBvh!t-~7X|N3J8Gfbi8J#LhyHo0T! zHLwifQ{s~9OS3S0M6g)GIy*Z$1Zzp+M@jd9SXDEo%I*dz9#lj<<#6_yv~RZyZG+!4 z|MtQfgK1bX2`c;pAFTy1n3PwVjv0896UW&|d8d2HLQGZC@!-BUbYE>=-1_bNbIQo~ z(w+dPioWS}X_eU5aLQugUkCM%c~DWtYTx9(x`jTqPP3r!C+<0TWO!=FE0Zh$Vo99I z`;u7-CXl+qKSA`j3M1Akze|Usq6jU8$^NjCHhWtL5}Zq(tC!sVzXW{wreNFVS+#I!UBy? zR6JRCA~8_C{zOgYbLf10!OAge?{Dj0577lbIe|kCntT|7#@-Hm^uj0O|J zDh!ZHh5Rw%84j(yp0TmA3W;;Q9p9dTf{kb$4c$!*fD_a@O9RGcpmFtI_;z2zeyo}V zE!~OupHK30pjGT3y+xC-8t|p)swv4X_5dV~ed3g(ZH8qb+C$>

    A;rFK>@h&t+PBa*6E0Z}InRiB^9KmvGOH zda;_aY?n4j@eeaS*riIab_0d(dx+c_qf{ zR4dfhbyx-oQJ-+ujvs=Kxs{%np*Es~mp%^tqC+}A(5|T+2C(s$PLR9L7&eL33(E;7no1rgez2dkD(x2bf+u4= zF{SxJR7vyEmDKv`f5Lk*b&H&-u5vYj|#*77l|K z7EGU09$|8@m(gUtJ{(h?hR7&#uXymC^J-*H%5hJOVt%@v9sCnM%8gKI(j>P|kCfQS z_-@B&5XIM(Xx}D4MIf+WNLVI3UJb96g}Yqe+H!OWJZ#s4gW$PoNvAWJrc|;CX&&$p z6O|-%V?H^^i|Ch+9=2<(7|*j`J)ltu0mrAm5*F!CPkmJeF9M&p{ySn=`PWzWQQ0A& zWHi!ra)R~m?JbiR0GI!pgrHTBR|STwaQp;7Fx79`sr@3UY#F7CX!{5mjH;d2 zVuAJDrJK|;NyLJl(6am_^^5BD6pyQSmCAod+?td0b1&o47wV)y8v67JQGZ|ZGt2PeE__TG(6i| z4@rko(@dZ^qR7eygJ>k%8v_)AoZD2sM_?fjX@Sp0rHgVlXu3@lkS`#{xiy5%`i^9< zeDjpe;S3qq!U^lZKYYp1$9mf3DndcU)cV}-3G?49FWz*2SH5mlUmxX2wzM^SVqByo z-MWNrVXBYck}={%yV9hsw8L!jf7}J?oLpjlNcX3bpC`nh`wP|g`MSaUFb?C8?C>J$ zr{awL8lrFl32=dR2F<+W6rez(g0O!$HG3wHbNOtxjgj16Sf(>r`_<`zT(0s05E;&` z;sWM5YE=tye;D!RSiPPN?gJ*#r23W;Hmhot zT}otdw%JuOZG_Z@rZY@)mrEg9@|zcoA4w$n!Ix+b*7Gki2Y=UJd2=o4VV`jDAFI7_ zKg0PJiUvs_1~hF%=BVyD4alNi$DPZxbNjhWu%yA{HsOYcQ%#d3_dUYzu;dKhKc{|5xCg$S_*xqTj5jG96`n+NZub7c5>y$8v6~kp%krb-VSc3?|Xq4 z237<&A)}h>IgA$;fD!JYl{%ir7ovk67VxM($oN?pv>ZcDNzJ2#RB1;C(O$JF9LYZ} zEv~jo-x6|^ltzoAY=7^NEEyOMNd$nqeM2k&X&y&VbYg@6tzKrga8dO|cI3ufwpJ4V z?p7=MbcG<9bA$#Ck0$ifi&siLfEhr;I%xDfDSPX49&zYE^trw!UbLUbE0ygPI}M>0 zc*-RF4j>GFMlwTX&^u0a*?)}6LGbIhw_=$(jYr zEgvQ60J?cYWq(42?hNfe=Kb%Td05bbAzMqru;@I#!Bg|k(gUA<>>0 zPu1BUk5akzv9Abib7aCW!UvX}byKD{2BBB|dSY&k|2h3)1Sb6xGd8NLlD5fqRsjx^m{0zhxcPr;Nr()lkqcxsBZpc*l5KbhC;_+X==T5dMBS>%C)7Zh$zI?S3(GC z{r%)<*M;MfLnd9twaD^Mb|vjstj=Qws~h)*9W)1yOAv}~K$ZS>+LEThoKnMNWMaDL zdb(eQ^Xv3_EH`wanx4~^Ou7ARd79#O-sL^YlB5KPa%&N}ekp@&;&GWiYO;d@(vw@>HL_jF|Ovy6^xfn^%hhP`O^nvLeFz^y2m zK$HH=kqVeMA#rp@pw$y{HIn9(*F-f0 z*Hvi90f~dsEr_1Zg>{<+2n0&1-nFp?K1p3XJU>klt&7Wgsx!6{j-&1OUOMk<{9YaBm`#ICbfw9|*! zPXLj1TKm813?-lpoG*0iKp*HrnWfASS9*}EVBF>$nC_FesI=_VHb`sgd~y&zD@oe* zq4IRdrH;=M!za0cKvO1CoH2$m(>ylG=annk-QebuGjQrpq<+_U59ZA*LJX zfr*KH+#1)P-Xo?3kZy!IQ*z4HpLe+E@w)JBe5cfG;WUvDJ2g1*F!>3p(5+OIG|glS)oGN7{YR0ks_UAU$89tL-*3HV&MwY!=d7b6|+gx zTP4r@t)NpBfOtiHRwWNR_Pcd}E&D`g^}@x-5Ro4E~pNK8a2FX;uGj!CBY_qnt`#@LyQJe!Kfj4XmLiVV6oWGmGlHfHn-O&11V?RzDL{Aq5F zxw+U}z!{9_X9y?5H@wYgOq$=Cj!9?1o9bq{f7X@cIYO~R`SO;Holj>Wtq$zJ=2fc8 zJ3S9%(oclI-K^hH7U^)=+X_K3gbSLaTS9$>01aPSpCE&fx*$|85fh^%_LM+chGM&6 zii}~&JI9bqu8g6QFRmd^El{}fj~$Hae^E^Y@CjU~NQKtL5kQ{WkU1B3B>U6Ms5 zH&_Bx16Dv5v5UzSu4OD$d5CJq*u9&MxBz{rc#(Fc%UBd-4FF6;_*})l6&9bIF!6MF z#@g3z<*)rCYEZYKIvAs~SS_V+RJHLS{CKsPhL*(QMrwlB_(~C~YX)jOGm9N&uFLXq z2od3wYRe@%256&`d`@&aM#~(LS@q!Sj2&I-8{BVR=3llKLzc!>t!+hBA#Xu%OQN4L z|LAyWjz5R4R9&S@Hl$UXl^w~A2r z7%$orUP6wo`Y0H7H~tR-LH)iu<_S^MCb~v?T@Cg1XG#TJ*F_?l$O*)+P5gX*

    dfFwy*MQ)OIu&FY z3a3nx)YC2rlgO@XNTNxjrvE)pr&6^QeJCGPfd$+!D?e3rJzc7Y4AoU+#pZuhdMKLG z<)K&YLyp1nWt59@2HWok|PH%cB4wN0xf(HRJ5(mp-uD^4P*|TgDgv{X?a6jaJPh26qU2=Y%H4X zg1V!l>w?`Lj-Kcd6o_g)qA4R6JfI-2JT=|QhM4(^ClyY4UG>dx95{JtiwHmXfAKmO z8Q)dp8<|_wEp3{{OSpILaYS;sEsD=dZjTs;8aHE{fu)aibPl$Q6Lv#~p=Q?I{ zN#yoQBGYbB>)g&Ik?SdmeAzpH@QZ#W$QATv9Jg-+)NaG}4UBEAAKYQspK!8;v3j<8+h9IXpl3*goE(CMOfu9yZ ztlSdXC1nLI1utH0@S(>lR|N<$v#wh=&b;+T`N?fq1!mUaDr*Pe5v{O#+$V9;19mr? zit@(Q))sg&MW zZX`SPAd+WS_Hb?_D?Ok2^;py;+ux^F zdZ5dZ>p8fx{g^#m3fUB+W<@G-s}7#$zGyBXJqqVB>P8z`z`V?s(!e!unj*80Bg)4TiJbI;rvwW>yE_wChR_uk#Tp1<{5UgJIX zE>l8Om$WuIDT-qLX0v9#Jt^=xNMB6;>XI+_HBsBP1kwgQ>Ft`;4cc!@iMjbkXVtiB z#+4;FR!Kn`Eexh_4=Qtys)~B8fG&Cncww?wh&tFMY2e*evcoZ!UN>?!eggZ49uv*D zTJJ|&EoHP~S#qw14`|BO^uQ_raLi)Ce^9WB^p~Yv3&1LK2wjLU$zA*;n5lA25U9T} zw^MS4Z+6<0pT~1GD9@%BA8;0wYyi;qGD@?FA`9qmZ>_5G@Dn@l{`B8?Hajd z^vgDaR55<)Lw5w!4n8Fs>mD3(}Jo*`SY z6pD{)sM%&kt!SF$VF$pFp4&6&v8335a`Gd(256~3&t-Y4BuTi7O9Ixb*?FAZY(SFC zcL#QdU?db!*Z2+E>&&+Y?S$~Qadx6c)#vv$&+6;Yp|!4#e>Z)7zYBCtr6XUKdfMG? z{|onf0VUo%C~>~(wFmcM<-$8NIo?w+zKY$^g>SEu)nP>!S@9u=01O&lJ*c*DE-EW9Nk&0kjah#-9 z!Wp}ND?ph_E8PbjusV&y{+l`Z)nYoELCA=;=f89<9Ve?O^kT-WI4@loq zqXNWjgYW!0&uUbSM~zHIH%zNiIjO3fGj29%=c%(N^sH9Bw2hnsbxo@mv%g|Ce4|>M z%|_F@f98J|HksEmKQO2HM3O)$o|bpk<7v9RXhnGi`DtJA)4%6~=dlkM-ymv|Z5eHT zE&FM^6;g|Qw|86Tq_lh*V(H3HD@_!u6ACa_`)ScbfsuJ_$*1zuN(%+DpzSqQep>0E zKuxDU^;xaL*DYy+Tk+FObF`=^Xb)2y85P9~%>Om&qS-vFJr+OhmFcG~faV3z{PZ(V z7Dnb*E;;k7|M}PatuKsW4=_8({W)8jZmm(%x6X8oPcw#O+vO=>IgElxL((I~WH+0{ z7J?ElnBZ{>rbGz?fB{fiwGfne!B@m|A}O&U5&;n#6YwD@zK02#+YD!Tg|u=bDDi@y zx?7`92Sq#NV-Wy7VHipQS zx?j20_wMhKyNsp1Y~?4$ZdoTAJbrE#pDYu{nPIf@R7qSExB3l_OFX4NOOzZXYPgX;6!AvIS?PJf6ltA_|% zN|7h`L!F$-578x_8m&tDf%zfA($z!6?T7skz3IxQ=q`pi)P&m0^b1jWsk5KkDH@}q zi%rxoQ7etwYX_YBsUjMX*EE*vHWy6x1hc^5f_0!^CV&8nPt^hWgx&Un>4w-xvHH*p8GBmF|>~A zQNV1`xkd1)C!P~r-sgS)JEF9qDzxWPC?JM;z?*U@Gze!3 za$}?3LwhHcjE1EXT7PNRQGISrhdf`Z<&hmdF-;1=<4*ExS<6>0#kyk;FkK4t3sg#8 z`K;|i@HoKlQ9*5JjumHEgXB>3EWu=FVu+MLO#&={eV7|LPjNJ+I}vx`8sH5o$^=j1Qk65}^J}oqdZB%o@WA^YO>l@z} z*00jm((;>>uWX0%6i+H`lFK|)41O%&XcXEav@Wt+pL8g%w0D1}QV~}v9}unBV;x$e z!t00rSzo;E`R7Aw!t&H96PF*VuKwoF{u_V$+2DX4=Gz(_567&X^GVqNS=^g_cVN*p z(`eCvx!~w{II18Q934r^r>Y2If{bXE6G&H>wacfb3Ua~Gk+h6zTyO`@D~W1=?l4wq z+l5$;X2=DR%A|*lHwe6x?WyHibII{>b9~NRa%9}xB>h)HmGVI~Re(x#yQYfPf6L@7 z_Aggq`rHRj4mR+Aa?s#FxX@|w=~}wb&29U4fpb41aBh2$Lzhj{cuPL<_VS6-Zc%GB zmR_ox>81MiFM8L1@_$7>QE!GrO5F_XJIqLs68Ayd3bIwpmbVZ9VR)=%d29`oQFprTUnR#Z4_tQB{6S;aSun=;@-Dw=KFKpa{M19%NUJx zoz)G3SE6TMV?a^6X1+taZO5!MuJZ`$@m8|BMTeupX%V#>JkHO4)<04AUi!&YhVg+a}N0cu<9N5U9`3 z?TEjS4??3wIzJj2s&G!zNfD09b~>JfPieCb=kRMG(-q+yGHvA*Mz$&KW%8-ooDhCJ z2utVU>ny&`hez#n_1i!5-~EMiiB6OwSw%!zvSX5vIv~=L^mRNpI9g;CRcC2QE3z_m zx)whouUI8I$EvY3rRCHJ@wGs=T}u~9UNI*+Fq0HR|+@*~Oa_CUz zZUYd|3&nEefM5}%cZ@MM;OK5nT?Kcmm8DfT{YbS6?l5sc%TGx8_u|DWxI>i@)59eM z^@hsHVN0dJiY614l|mKWez~SqUE_H);(wR4>dQN=I#uJ@%Wy`awkbi@vfuMZ-u{;F z35}QBr$e{ew_Z`@;;lNcXwVnjAEfa)yHAyir`2)d=+N5S_*s>Uhh7!D z`SdRPkfrS6p)jp#2Us?MoNCW4yLea#tUS;qq{wTlL#S_RKen>>P%XXv#iB$o^uQ@S40K7XJhh+Z>fav|8Uk*{t{p$c_f#8~y3$-9smN6h^vEzX(|m9na!SZNh4s!lADuc&np5QK8P-f#%jdcblUc(eS4MijJhOFu9_CV zP(!sdSuGarRDV#VcfFY=)J%H3K}bVs#U~Y*n}yCQl%He0p--kFN4&fS7^E67t4Oh_ zNkz&Csia~M7+1MpV126GuWW}-d0-XypcAG9x)j$>cuzGt0m<;{`ei$=U*i0^ z$VY3Y$&zlqy>zoFq?vy7Qd+;6()!PCe)-#ff5aksGg?C)vvoij($cb3hPc@?vv7U&h$irUZJF0H6@3^bj)WZ$aU zI>a3Vcssv|eVTe?ZS`7k*lEiTWikHQzxd$ae?CY-bQZ8@F>Eq00;+?0_V@>DptD`p zvri5yM7dgrqqyd(Z8z$%>qbNux_wi*7G3ROOh1s7 zFON~!8y}z2_66gv$<-9bkP19+Si`)GtTL?wePg$hqUjLKk!XI z^6p5$3|GxevjH%K41%gaFX1R9lWigSF@kjD>+OPgtFHzyQv15#D1edL*9AubjC{sj z5b5x%0gTkXE;x!`%vH0~sY50QPUz{lHsfS#z&KS^GiX0x{3wb4wWYnPYIY-#$g`TV z_@VruiX~74No5)zW?x0dak+yb9r?%r3p^*?{zaK>Nxg@dSGs`SZ4FqrDp1<4I0q6d?vB!HkWXC)N+*neWYT80lY&JStO6yV zOf&@9b}}$B3+6xxT@V&1ZCA%On5zOMpi$(@95$WRuH(y8fl}!k1ZTI9M&@&isrFy; zw8Ioi32N2|=-Tjy-3u;hwRi+d8z*f^XJ-P;C6A{)nJD=aZ9yKMgU+)?U9GfX{fmXy ze~mJ;ZjXgO<!H#GAh|B(M*2>r~8_7?663Qd1E~({9mX*7fd9 zxkc+qw`jd0itA^-;XnSJ|6Np0)W`D5iFsT>at0))5Dw>VHX05WL~j_!l7SZo={rS8 z*{-%JagShC1TjcKElddJ2z(R?n&7(<#y|1Yd#Z`XhY6&YRY zrN$lLg|5t%;Onz}r0bIHV{1^6uG&?UCwy$`1FAwsi^msxl~35DB7wcCju(Qhux|uP z%(Bn8-ps%PSi~%7mpFZ?E+apW;|}g3BMzWf^t_>ku)LL^FiCr-Q<&H@JlA$k)%(+y zZ}mQP*5~}zPy3qBk5EJxx>9Fh;<`*}h?la?8d{qTU~+4KRjapGiHGe%0Y=mA_~ zfE6!nmxUrO7&;3*wXGJcbk@ev`(VIpk?~n)L5wU)%<<{8pZsOA&f23`Vjb67v$?8~ zIzahrG$1$My3QIFR6gcC*DVG&t=-hcuA%gef>Y&XF#t83{e#b-@P^;ebe9A3$(luF z(>1F99g>NEqttlmLnxV&zK}d$);A5#Xu+P=`c{6PP;l48p4vM(SBWioy(O>r;nAu4 zzrE`3|GhUx05A-l3Jf&x#dOy*beAux0WeTmf)SOmOlP1|Hgk=rYVCZ;E7FrID~^q2 zqA_*B{n%J08q*?(+@$#RLt~j}OkMCn#xki{x+)DOc0@%6hP{QAkxy=r{BN^6t@SVp z{&6-ld7krX|Du$&kP^;`2*k@u9zEeNEh*vrLY6(ea}C~9uYKC`Ltgt&{lne&{_T(X zh>y7Qy4MEQ-@SH!~~hC?c*H%0JZa z?8xqP2Ivk|Nc)F4E(Gy8{`W@QHTjDmRsOE-++8r5FISA_;ws;st9(7}yOh9hrUd@~ ze9?Dy=erDUd@yOjmH~#_m=P_3hpF3gBq2)RV0CL75VHjXlGwQ100C}oVzyx5_6C0( zlj;W=$7~aC%Ys>cM+Y;|l-WPLL;N;rZb6aG1L5y-S#K?d2rVvs6;4s47o0q_-u?SQYqlW&P1B$o0e z!RNq9&XmN|f#%yt9mOSgAT1aA%LDQey)~u8V!zN~3vjWliCKbL475e=oYjP?vHHYW z$@{(<88hO&=Ux09Zhw3-pT1R}4|8U7Uo|cm*PCoIHjHa3_N7-D#Xdp6>R!%XsWvBs z`D_F5w{vb5VTlE9FBUNMbLJMcnV2OOxS3es8(;T#-g56;+B~x1`;`sqHeVfvI;YJ+ z%c#`O`z=ViD?hs)etTH$F!L6G#t;+==$xd=vA_;vccm!~lp8{D znFXs@UY|N+z?{_ z(jRFNW->nU6#2i{6QA<_a!Ikf`9z8FQt^^EU-ITpe3gw<%?;2*yZq!If5$g|Uc?Ss z(DuB!Lrw~_BuMPk%xWa1BjSp0%{1f&)*`NG-;v zLc0Vy5SeM!#D4B;C@;SHs(sAC#|$#vu0bw+oh3S?)iWyQ5Et7z%W2=JqrsJjWa8h> zYp@0E@+c6k*^_$4CG7S2E?)cXdF|6~(c-l~9JKq_{KmKa&Yz7DJUf;l-{`hfw@^9O z?r^|qPo0c`JEF6kf-AG7E?C_A1E43G9S=d;QWre#_RO~<4+ncjJC#?I;9b{;2_?i5 zRqewLmZ}kyJe&#cfW;IH3!1;tA6KtPTk5CAKpj$-06DtB#GFP@@^HT5W=8^63OdbU zd>LkIeZ>Q4p;2W3mU+D~3mJl%hqEP*-G)POAi2V2H0RblT>0KxEz)C@xpb!IA4u3f z{Okb2VoHvjeQQ58JGn?-51} za8MDh6Zf({`y?+$U5lD2cF30z7eR#YKWHpg`!=yK;k$Z*)Jx5MGscs@&S;RXYov3{C;E%lqYejHGppMalqId$&> zel2Lc`A8>wM;C7=8u%Wy-o9zKXz_L)j<@q|-G_eZmp-l_?lfWSE&E;qD+32%SI39& zsGXt{!YMaDfLrt_cjM-OOmsEjgt&y*r)sV?pNTvdzgSz?Y&8W?>)}gRlA0d z4N&j?z018*wBzI43FTo0&xQLHhyBH2Loy+FC7`G`ngp+m8vl@2Dh{XJS|!2n+}_4q zm%TWGPSc1rK}AsA9;}(_vKKH)$o%lp079iFnX2X&5aeuo`dJ`VXxB^+@CCX(QC8=g zV6@ie)bx$g6MS*lc4X(<;Za8hu3B^EV{}^rXTMgC7{n(N7!o>pF3@;sE%K3=)zfC+}8r`A$I?@K7kfLfu!sTK0mQx)pI7b4ox9j7!l=4)(}iu*m+J5B#4?rhb`r_? zW>v9&%hOLiC1bS5r{65!N4}acTNKH%P$ei|q)%>9eu%Pu{Mz(wr`(lcxw7EUPs>Ai)pk$x z7EQY=Je1#~9LjH|ziv6@%kQqRTJ{qk`lheDAAG)=ZuJbGC0~(0L3x~MHYE5k6^%;M zb~V+q7_z)mg7|a(pxizA1_%Hs-yF>MA$ke7AUQsiD5&oflf}!teV=V3wDCTs7bvpz4uqLV^ zryHtZ8r_U*)%t@$D(tl*Gsy&knhJx|Ewpg6WDceu10sarGLbYkzqraAOykjlau&(m z&gkotWD2J7g|D0=i~v0a)2RJyI3ojRKW&VjJ*}C7>Bqpvh+$8VJc1LyMuMMJ=c+#Y z5Np+>Ys;A=6q)WYG)7gt7}4*pbN#O_@{;2*`rVXEVEtf$brTq36LI!6Dij(WJ}gyj z@6nm%hg9`Pz3Pomoh5TEQ!l_`WNjwF7fNN|bfE`~rcg51<4VTVWwKK00v9xj29mj= zDk?fFR-`I$!Bf|vX#}YWu*gZJGp|UC>w=(qh0jY33|yCL!B~-AvI}A%mf)!bw+227 zL8|H^h?E~nrxZkR&|D+EWEVVuxmLhuq*K*2cdRI0vP+_QjwAB0WVgmNRBHbunWtWy zHYa%Iltgf#8PX)lT#T>D5k{(3H!ScsYv#Li#IQX<0MK1l+v96aR;%J#QtO37ng+eA z@(pC0Zs&q}ww!g6G+mH7AuVJbMd<7NXSRV^qkz6k=q1ln_0>jTVN zi-Qvn-vv*^$??<&r7_H>mO$DBG1(iDN~+amK}qrZiW{gA6%;n7XA^qh3jqCl#+{IW&q4E z@T--~cL(@Dl)`a#5b4@9-T3A;yls2p*egs-2Z?08<~#>LO}16LXA~`8a)kX;#)5I(@jjhpn$^5=rywGe zS0Hb0U%@|Y9`IqujSPc`m7KGP-_4bt1iCn zYHJT2^Ey`)DX4yZ#UQZVZh9pJs$Ulb3fW1weg0jXI@ca@HKWJQoof#mHAwXfC4`cS z21~JGu08Caq_lYujgAaga!DcA9su;=j4C*rsLf~+C|0a%4;Z2@?L=t)u{j<|l2Mgy zGB$*vjmEVvV(p~OU0^^EHN zkm*IP69Yx+?><>kt6pnr8mbvhPmk3#LYan=ybm3%3+!5?2r^%KKJ1A@RoD?GeSC?7 zlx_f9;tp5~Fshxp)lF^nE(*ry6rdeaPMvjYR_jOjjDmne4OW1eSum@0 zXe!b^aYVxU*lO!^=P|uW^glG~nxj?gLV8x~*5FRT&JtVk^Hgg6u-jOxCh&S~IlC$; zBYGj-6;<%g`gGEo^s4YEOh2E+Af3i6hYUjKx+eo-INRXy13*K zB^6zAeKbf+U6E&utHv^hW!yW9L}$NqFT@YHM`TP=JvGZRr&eKm9H37=UUhQF536? zkB0WOr+lvVU0U~VuXTUgEov>;Lb!D^gj*kc?Pq`gUp*Ivm1Z_LYcks{=UTF#kK__@ z13&<8j#324g4xYSdM75@wHcwDyoo9Gs0(PBJtBu3h3yU-_94OHT)Qz0!5oDV0tTyz zsAaRZruY!dQ5a}0jgTJo7p-lCU=@Wa%_pNU&{M z&%lf&yPbnE+F|u1avYX~=O)mm82KqO;T%vpl{N+dV@nKn^D$UTm!U#P)yLHLtz&+vxh0B zc7V`cfv>Syk$12Nf>;@+rl6An+b)D%ReUx!@SB>stcb$6!qbN4eOK|>4b0~DxJNZ$ zD(H&F2z@q*q)ZnX0&<1^iBD7X))X223N?VsgPMVAz`{>9uTfSEcVxW8I;Yu0*Br)Y zPx}(&Ji3e>({9lcFF%j$xJ02lPa)vNiWTc9pgPhSKehzZRYxp#!evu&b*rX=y->k-`(G8CTVW4F8=rhd{~LRTK2d7CV{oyhH)u;= z_gX17`OCpk-53+@vB6y!UX+^VL#1uKxt4Z9EJD@qZ_t_LTPwjP!GHB1{_Lmzas&%X zhwd8A2N_{3hr0Fv%oql9m^XcQIG}$UF#z1~-nc-faeT$&7J5bmF^xnKn6V-?oC^jW zIy94lB}0(GLJ=%<=;%CQF6AoT^hAfg#x>M})NsBcSR@(w;C7>q->ygv=Yjy)ZUk?O zHX7--$)}cLdXdC*RSkXC+QL&w#u!Gdt*|tle6uyMuPuU*M!Z2^a}VZ2S(D=rSxTzb zr2TQplNQF18bTM(%;{08R@?chR8FF_ba%9zxNhU$zJj%XnF~7AlRS(PO(O_(M1kyCeyM+QDy*@mjfI0QlKkDiZWdfq?@ZZIaHFOOfHP% zz-E-_B?mS;AUDM9qm4$!3Fj4aV1uT3k`GHg2)v06x(%^f-Y_ z#?Xxuxa7MtPT-P@YY#%;qQ==A1l=$U-DP0u)bK}xif&)k^&eJQK4qVRpPSvXsV6oU z!8UG(!({=`1%U5iKsY{3iZ?&<^`CTkek!~2*JErqMldQK*vzT*tQ3zQlhKhM;#78~ zb?Vq4$72@4FxFW?`_+QULwgK7_@pFZT%sILIoina*dAD9oEq8@jr-cS7uQI|?AHkP zdJe_JOk0Fcbv!md>ywl~A{XhYk4AO8Y&6RHV8t z{jopyi{~yUF+HmZfD4pY<{!;~$UO*3Qakzl2(Em)ZngIt_&L|W0fE}|FQJMVxmSfy# zbYCLI9QrIq_vKf;t)=g1e&;fZ8r35~*rK5Zx~fGtjPU zMUfDss&zrAIc>uSp_p*h=TlSFy5MmK;!nB8^{F@1hpN^E%ZixCh$#WYmB*>6YKtJS zA`;+Wz@+3bvmjNi3tF<1?YzuU)+>stEt0zp^QV;Dov1)#$p=z)j0-d-iCT!n4;p(+ z>}K&8#Q$Cuf%ty@y{=3g$5oPo8$d`bfV3L~qzU8lA z3;rgYahH4ehzZNn#h$o)>y1;rz3`hJ9$$`TevZTm7v;_U&q+{j1FZD zl0nb6grKN56TH?yU{RQvV^Gwatyt&)PaQO(xJhwpQEz_gJ?gVk>F!p&VYi~*Ob|pY zgz$1++RD7$SW(nly&|aW5;>f%Pzq?&C-=6*EgdZDcN1hFxmGn;Is|&u!&u+K@NUplRWWGUV zVl(-`dTUm92sL|LCsCmLhEDUW5m0^JRK-P?QjI>i3DEV28X7}k$0wCWs>?nEe^|)G zClvyJqkRZz`G-sJH`<5HHWtSZ8wxyCg=j{`%cZh0cw5GKV?^1-0br&98aETY$n%wm zUbr1?GeDPt7$%M<@yr~emj|Bvi|d6lDnvOPI8qg~K-`5lDP2FesSjKboR(mup*~n`t+6Opq`oeKP7sP|jyBIM z1gWoGun>fT%B4rpLVfLmK@e)O;Z@+~APB`6Z_Sa#sU?W?B@00)0zTJv z$e{qn8-&5YXk%oNWseYoWsW@Sl8&?s9^jLs#sWbwcdL7ByDZFc!4g888yb2%5uU_s z)4(iHsyAN|X%X^e3VoZ5H^|pW^;QJ8M}nzDu=iyc=C~l@A&w%Dxt+ZUpHy!y2%rEm zW?T^iK2-(VE_`bVb6nEM6R;%aCu{JMBv~tSNyLe;4{~zOxv770L0!4zX|=b+-TYCY zR4pm;Fw!_xkOnHiWEo1SB0YzlmbF~-`CmQswn%UEY&D0R!3#>&D5=gZnOUNkqGJnE zF-Qx8QA|O#2y+b50Uc@o^8tK>QuPG~H073h%mHX4u|JI&U>Y+Wf74?QaJ~C<{Ty>J zr}`yCtx-MFpQluhu!-8({49_?%V+dg4@$5^A-5NWOuI#`iCLnMn~6fc^#d>b`DCWm zA9pkDKr=uKbPC;JwXwlH;keUGyWoD+(F78)w=*KQ5Udb;itT_P`k`9bTt@5xB1rO7 z2x2CjvaH66ISMI2JlOMXyJC()O1J{%ZJWd$D~gV_aOKdQlu;W#hjfAEkSa$Z_<2}s zf$`ld03-`mh&?0$ZDRMH!Jj|jLLB*h@Zg!{ThdP_o(%w$7W--%`f|<4lb}`eBF4gO_ zTeO(zhttFKxsSi?AH61!r<%EKi-u*M1*(VR^DNc}rp++V0#mCsV`sVGrf3+{Nf-g4 z0cZ$TMMG36#qa}h(W_VHqG6#NEqg2Xswn6(+GQ8Q6Ay^aB8;>RcObahEWfJ8dxFsf;@1Pn}nm2vM7^-6xc zw917bLwVc6LSzkuxjLJ1-*uc?az5jZ!Rr<6mxa!xVgeHav8SBrq}uh8kc62kIpgdsmh|CR(ieaHqyDWqG?#tuFIrNE z;zy^%0Hqf#X%QS_w#tzG5n?MHtl}$Dj^AR6$=XI|o_($It&lkJWw-l>#UbUTh*@7L> z@qkzmf{eH%7i()+zSvg;;}uhv%6Y}eEqck`@OZXhKsGTK3&As-S0HjG@Cyl6P!%X# zDNda&n2iR*id!_~H>YMS=+_9u*-=u}y8#w0YAYAq@9}Lk!XxEZ{beLyTqKpKhMkK_ z0+YXX&3V-!rOb$x+}ORgJT{s5n&^~qLd^9>`3Gzlv0wS_ph^u2Yy3oD-0G2dNxrzO zx!I7COc=L}Q6a6F?+*fS&?7Lq#gEiGJJWl<=3$F0wMrhPL{NSAe23sAfy#i_3F?R5 z@0o8ANF4YWu_X}{H|;Nzio#6Xw@46tI{5p>ta0BUe9-0=vj<4==N2^19WdMWA46>|5dTM2sb?nzL0&$1PJm^_lIZ`hWxGgH(pUchn`}4 zvK3V90o!whWzY-k8o=1T0;~zVBM@?uRSs7IYy`+72Vjo$36*04fg13}?Kr*@LV|Ss z;i9Vma}cPGm+f>s0B#js!|8$*wE*08)Vv9BC);tnOma(`e5H$L^t#t}Ng3R^i!Gq( zoJgnL1kDa#0ZdHCYqj3rnb5>Y-^kzLD=eS$Quf@a3Cq()PF%hXVhJ8TeB{6LS^wtr zawl{Z^+{B}G?nS4H}J`TQW(aD^9B?{WV@PjC!oGa`auvpGQE*9x!j4KYNQeIn`6L> zj1S1CPQ{PM_RvcZ#EKwiX={#Dj(&}Xb3)N?wb^PaGp>;jt_vQ3my+5f0L;SDoKH>F z=z=z%nq|bbfwp4Bs@%CpCRh+e(50g6^O9A$6Wk!M|M=b~kTkcyWL54&lZBE=pU|wY zaIAE8KI?v+NZ}H{Bqh{d>9{I)?f?PP!YCU5>kiGcDqQv65Y>(D>BF2v_qihGU)81$ zq_gvKvE)P1)E>V&B-#Hi)y|u(cHUhBXzfGccJQ}B!&4q^6hG5m6hG_D35rzow7n{G z_4I;bzC9H4v|H5Lx&_62GbrY7{>Cr<*|(hIz==7rARHbIcgp}H1S&MzIi$%6+cecd z=|r<&6;72&NBdQ8BvOv162)_W3_=x5fkIBKCPGdCr0Z+UvD9I;gFiv{a0?7qBbY-e zK*E4FQo&vTU4^?bfnl@n(dty;ZX~L^WAEhRFL-zsnQEZDdck28?#7(hgYY!OkA6Kb zK<#=&a>elLj-e1veFltjhybY^m2G^pTQ>FBTfse#_y7yd^Fs4{Hz=eVRaU869eE9%H!v?Q ztJ~3eah1A7U#VrJ`t#zlx_yv&ajF^GDc1V0Mx~`2M&vT0Y4R?9KC6gKE8YGy%GCv; z&B8eeo3O5uC}K`h5(NQa)mI6UPDHqobdi9umd{BaZNp6Gldi>le3Nv-0oCzsmnQUR zm2{G>%zXYj=>(3$k`PC6$XfI6LB?-JFJL}og*coo$nq~}IXR-z4M`kAPrs!uED^@7121T*~1W|!7GBu+(|hN)fiA?)_sMd(VT(>eTPy3!oX=G zGl&&w@O;JNcB9rCFj311h9GsC3u1n%@hF@&qg*XVP;e?(^Rz*0V||UH*L=y{21DRj zraG=zg=!>4<+WeuutyTJm1G9p*MzTwNjL;{qV!nzQ%;T=#73qPP;%${=|dH+c?}vX z{D>Lpk!YbYx(k_sjyXuRDMqNtF7qu;oAR!PnZ72Ofj!G-Y{0e4HPe=FO$_6KAN(sH zc+>X<@?33Ii#CY#3*vz`tC9o!sN{DfeW_C$IUa~gKD6UlJg_TO_knqyRXk9N?%=d; z%icL2h-&g0-=?|791ox-&VoNe5zJ2*OTR?L9VM1t&6}l2kC&q2j)YE|$EwpWrQ&|r ziptF)Se1T>iaV$^>$6W*kD}d5uu(pE$TFl5_1}6W6+Ps^=LYEV!{wDJ$~W{irTgV? zn4&T*U{R6h>isiRB&sOsMMv2Lzb;si#Okw!3<`92d-d)m&ROD|r=NLJS_*7xIgKno z!f!1)ph};;JYj>M^Ml{?Pd+aUxfZqDkbA*?6#T%K+yzI$4}8B}5TnsZUJ1Uej)eU+ zl7qgJ3yz{BXvke~6eU4J?t-Hz2^w-290f^a+=Y!TVpa+;w&u%7oa0N{q&FZRFe+*$ zo}rl6>Vq0Q3r6k_Q?*=Sb6b0;VsoKqVKL)VyK?Lq`b241+L2&nX(D?Rn#k-SzC!(7 zi5**P>FwFlX}72i=oU=E&0rFK>c{`TH=Qq%ZC6BjOOXuY=IU5C2gRvKwiUv(9LgnM znUHA!p}As*na$0LRgtU=RR$!X4I^_<95a=Xz-t9_P@HDYbV8VxuQ3P3F>(fJyE?+Z zX0$2R>i)wnlF>Hlnf4E%{AS7^jPU_@`vz_bVipGW9#0d%RjOd$u8e=@0q7B^DTP%^2LkN*k0|L(%3$yNcNF` z=zU-Qy-_4n@1qSK4H3q%s=HCpKBn*x=MFHyc4GvX=Fc>s{TP)5h(;T4<>0Z5N&+Mu ztmAc*Sp|<6V<3>ZBP?Sbm%ZWf96ZKMB~XdBE9T&F2cVo}fswM78F(3CpM%F3zJyi< zh4sa^u7by-PhZ-t`9sljNRlj)*(QEK#Mg|jfuEO}UnR*RnM;C}oD3}pT}k}b{6f-m z=90(F0XclG32Iap-l{coY>%0LNK!-cwB1VASN`h4^6YEwIId65-T~-eoqe8HpeEV? zeQ6YuhP3_YSmiURnf=S7j_-3NZNYNt#v-mHvvCu5GKy0nT{j+t0H&Zjs{-Oc0M*n~ z@#1!rxOCEY9M5sDOl+W01VES7o!6eJm_6|DTab)pAQ3XgK;`H2^9|3}&+WK={9x54 z-`>)Vbr+}}^qQq9=jr7}b~^2y-h5?`{oDY0LeBsrI)A6nEZ+uytmZxb1%Kv?|3>I> zo$l&-+-ALk^=YN*`3QV~;&pA8gB^S@xK3`sICM1dYV;6-R205qspg@U*9@}O-r*t$ zr3{V20qc7kP!}u8UG`J&_ZVEmv?8Xjsgepo-tV&F7VTLgxI)rSv{?wsli(xCPxxRf zZrU#+$Sps0N49F7db5%)t!gGXt9gEI!;s@$!Sry|<8f|9zuB6{GK(DwU(20IQos%- zxdU~z>Rfa%x8FzfxS!pMvN${9Q0m<3I`i$>q0JYz0pAF}QSaM%+kP)e*N z&+2hTSdtZV9iBG&7z^2%(~GL%d-cRDQewEvo5*eIWe&B|>ZMGaLT(Bi4faw`ToidS zBo9H6&qqkw`*KE`ULSg)ug;m}hdiBQkR@H)tlPFRJ#E{z-P5*hd)l_`Y1_7K+qT_j zKW}_-e(hDOYDfL6s<<=r%A}{B*E;&2a?BamajxAYx$K>&3NJNFjk>(KtQp-@>bC)Jp?yl}h>x3io$t9P<-H}CodD0=6BK!~n=+|3LE z7Y-ZBO8Bk-vhx}4QK@;)J{nm3B^MdS!KK+!d->RR7O+YJXcfEU72^Amr@rdrGkr>G z2fv%MvlY=HNXAbl5o)Lc7kWK}vR5E!=mbv;xE&?Nsz-zX*W2no7zuv%#@@j^dB_(X zAKzb}6v_c{%)neg%8#qt#QOC3ZPnUmiV@=Px3G(k!}$CgWY&G_uR)eEaY$p5uFw6X zgB7^Z(Qw2|?;$(-0qm(wrBCT}D@v!o?gD_Ky3`*4WxFqyPDV*e`)u}ADCMGZ;1%-U zSc}fFUn{|PKMe96f})NKrcm7aD7c^tm>e05EmC?ID~uox2WC+2e4jM{^u*yoEb(z1 zATddJ0^Y|PGs|OGDIt0o06Cc5-wQ0!=6@v|$2AH*XL_0TkT`34H0H0YONSLSf_tIKSKOKA z)j`kPOKa9l_*nNh9O`K+sQt>hrFmn-9LH$j6J=dL5~hx%HVp{v2lqWM)Xjy= zlJuDb6DQ}1>^Kg9at|IiGw*LO=lLO&|3{odN@-)IIRr(^x8XX-jP)?c$|fm%(||28 zcm4KZ?lZZfP0VF98%Ss^#)-xse-5nYv^7T{h>~dIDJ%W{Rids^W3bb*;Eyt z9ZBLWh7ci8BIt-gO4%Ft^puD_p596=#@^yIF<7BGgID@^Lt&}vSZDm#eLx9P#D*k0 zqKL9hx>0v|v)aBv**PF$Qg)8-{uAr_3<)yBvzg|+8NZF%M&sz%{8}8afn+DtgnC!`->OCUeU~0a9arz>>TzoMS+e$ z6`ie#MnXjg>_uxyi2JZ{9lfkv2dUSr{ZQM1cN%u!y~_A_H$#ful+SG`EvRnC(nDY= zLUrc-Vli5BE5%Hl%&NwSt(>)@qv1^bSk-droh?VDLYZZ(jTPqMSTEW|Flp~A)kAe$ z<3s$(9lnBBm9x;_|PP~5Nc#8n?J zbbA8IkSc9;UN3Ip;n4G8UGsm(;O^PxkLG$~JQWZ7L4QCs55Om!{1hV*y(Xd9wLXQ9t-w*&qWWO2YlwX7u$D_WIH+pr%+ng z6>Gr#Ke0nRZ+lNBS*Z%%ow=YI4*sQ}%+=#{TeWob;>nV4YCCsm=LHJ@&}0jDd9yR6 z3$|W!D&e;=u?l?rfUVYT9^t$VJ!f>wrbJ^SV|HWim=VO3)QxI7uF{zM4;yyO4J!I> z8-^;t_?ZoJv}0ii<3AkG$%=@}s8&*IC_WEbiP09j`q$ImJYI!$Eo%Z|}-%hYinU6=wE~e>EO)Y`)y!oFW zQ^5{Cm2Qi*-QQob%SqeC+@g9f-?|3-rrcmctSzfJJCGUfi<)(cL(R?eR)~}-N+q|; z`#Bikzw~K%{2Wy?nuzl*qBa^$c7-0pO!HR5`jKg)9&kJg2=&qYO%yG+-cs0NzOJ*E z&o&VLBJz=|`|K8cdgXhgqIW-~hqOfbgt6XAvFimo#X5%Pkj9<_Q;ZxvENX;DH-_C8 zL9rEiP%DO4==jT0VAo))s_-$u74N_mR-U4b$l7X76Q$R7I14RcOiKjBNg9foh3go& zr9^I;$nU50vVx#QeUVNd9n)Yu*tLbguhB!!;hKt$t2(T-kaPRGxvD{ZNVE(wL`V4T z(oCmff5&RjL4`=^S$Fx+`Q2{Fq5J@qL$-bY`VGa7#Xr!x9BH)bN?79J9u^aohNah{ zDgO%iWA}B6viDz0@%b|$fj|Mu%f~d!jszj|WjC065tXsF%(r@rwhX(-l<`DpS*fS$ zfO`%7_Vechp-Otb!HD1b7V~e1-Q9b%sR^ar-#?d5dbcKKz{@%%1jN1BMWTG-l^VH1 zk*-kK;hAF7MV>7?x9)PrND**4qJ1{>C=>6_Ey6g#qTWf_USBSh-^ZmySC%|^PPM!) z%O!ab!Bhtk6m5(7Te!bx-q9@4cKoTXTG^CS`AO~Ec`lSDm>Ge1{fStuSc1;HF)v#S zJ?3oZ*E6MZF{WT^Qv|Xe2TZd!;SK#=Uc1;2T}|@U%Zi^*nfFC)7oF;%H=tV(~EZ6 zT$gK4<6&DhS;UC5oWQ{Ch<#HR zSymfaQ-fS!m(9Fg>3Pum$<@P02no>9tsOV?UQ z!<}VNg@+v280?XJScuv(<9OXTq!@ zh2})1HFlL*n_`259gHLSd?xSVa2sO02s3FA-a$c^W~1B7OL7?hAd(G6BuUnLG=vp*Vv#BH(#Zo(bUtAK5ls^k3!_ZeSYPf zifrr=Om9^N18Ee*MCCx+j8Ci-^RXQ54$I&Mo=;4jmS1dBCKg!=b;)S(3eqEL`;e;X zpFZWzFt zhg*%6O$e8{Wk;%>{n6FOHIftzT1Fmj+C=)s}kd0;aDM~)R>P4d( zjsN^qQ^$hCJhF;q-HUDtj)Q>({fS8eXk?YQhH5LES9Kx23w+DB7V}2YRgdaM7oSGa ztY3A)IzyYmzE`{4Y;$jzy8YHzQ-u}@gfpj}UsXYY9&Ma_x6kh5d+ zXMR(i$DUZzFxDX2v#qH_t{j~3Aaar?m^9+;`gv-WC4m6&r?%aZGf*ev$XPs!xMuZ} z|6s{jDlxJUIH`Xk%8GnRNxv@CGRfC&Js!DrADLgf_1zbWYmmpaGY`V(j$R+-*=NAf z=!mocI%X7T`N5E6UqsJ{YD8JH(HHZ zB(K)C*DA+e$|X|hC?8pxcEnLI>C)E=5&G#g|4UM%RdyCZi&j2gzI|UxNu&A%KZ~P; z_Rk=2%U#Qm*s$SY*meKu7VbOV62xvwSfX7IEXlO$&t|X=%#I=0^^Ogg0X&l7WiUhg zYfyQRDEjWWAh`|(^X(9ug0I#jw3Y(9rBnAypn4!WrJ$a{0{&!ePG-4?$N+8l;RNnSIQL!PkUx_8 z>AS}J3dZ2gAl88Rc415z$yIsYtoijPP9L@B!eG8s4g@I7qL!CsxgviPx+ejYj|!`- zLv%(-OU)NUn6RKy;3dKW4{qUXhMvU3qt7$^F}74CtW5B+!Y3Db`3cHR869={lR|__ zZzzut-tC$J_&K6KP6I|C{yDc&0DqXr?MSTb?TARoMqp_6fvm=))iM)+0tK!*4VahInrat@w z94@6$yyBwr8abp;LK2l%u2thLHTQX&fHod?u{K>G=Uy&LGO1O@!6f4ag1Xx}k&FOq z@{2rUL4vVX8AB1S8jls3h4@TxR0r(pBmqNq0A7mQz4rc2c9!;A5T+f!aZfCP#o-HT z-%Q0aj-}iYXmsuHSJ*Mj{Yv}_#}QKDKeB9m$=LDC1QOBrzKufB1^a*tr-r@aa^?I$hz#PtdjMXMFaO_8DY}2vovY_td(7?|dJMd*05?4Hc^CMh3B*Fr8cuUxE!pvO~@} z8;O^e_s`T>3<)PK9r5Fn@_yI$(mG5mXTcDCLo|P~!)%G>Y0o7I-t} zR%9Dk2*=GNz#5K2ZxD_0g?VjyV{cnvf>8yw;sv3XLYkius2*NufHB@{aIwtA+BC%> zp~=saIz$sRh+qGHRl9?@zabu~h(kNcPJqN+OkXZcc9C?pv;quUBW%1QrR5r&D81F> z?QDQszN&zELOK*AW2Y{PXAN&Qr61MTf7t4rp!5Fn|LCfTMcYmZtco1HJ_UXL1<$%* zl6i-~#WL1@bOEvP(#KcLZa0~b6C?xFLv^#2-x};b*vmq$021d_jDRC8$&XdhYIZX?{uzJtXv#3 zNKQEoH(@uSX3E^Zy*W02P+{u!f{j}cSUF*m`XeK6a~>&dy@rloUe#0ds&sr5d{+Cr z(r8bNwhV_QjDIQRYrwu;!EIVr(zMDw*)(dRK&1RYi-@VBGuYWhcP7=?h$sPRRLDJW zRRl84GqHmklvdm1Mi^h+*@U~!S=5T1r9ED0#OYi%X8MrJXf4!}UgF!TrHxkNJ2SuV zz1;0f&5hr^dJ~sbZTE)t?dU0hohN6a>klCwMe-cYhJk&XxCDt4Co=HB5=jEfM7QCh zIHUxLMM|VTWh_FR#5_%hetAqYNrD-zQ61e;peBv*E&ESKwr{d zKIOeHAT4tAb(CP}_7ILz8+v`;;)@JIL+nc()rjyBCK6>${-73UL1HeutPp}Lc3n6g zvlv2}I`es!jSEDBVMl22d5iUZ_kfxEjDC`NPy$iF&i~iixl|oNL|k}-3Q5#K`rIH5 zYwaKVr2nb8c_!%G+-x(9h@>h#c2}Q<4kr>``ciNP;e#`&F9GCSoge23v_d5= zblO?`YX&~@ z+QMI2LYLfL>s3hO^CgufZ2a1(c{;+Usi4K1wiitPcf^u2Lm;-BZFLy``e4tleMxnw z%TAKw4u$4Kp*(1m?D8d!*;{i=-g0yG_%u=YIAUcZzk|1v^~U;#|9M|5vK3fgM* zn*Z%F=tokcWkSDVPRFMA3+@GQYf&FR7 zcn%b3U=xgTqPERyCX_rTAj9fzJ_R=S86yjG2%)c^X-RmyMhl^ztdFmYe}g@0o*4<) zgY}NSvP;_F^B+62USux!Enok_l~o-qpZP6@L`=3a?^FQg?6ht4@3iWD^XfBOe|?vVLEOS(UP$@H&Agl29r%j}1R zYpyui$Ga6 z5~Vv;NbpXeWI9;2*675%-md*FbA&lLyB~spt)4Iw+$UCe0zwoOQV8x)`Nlt&NVgrw z_7g7I^W@A|CfUtPgd<+J`nq?d<{j=?U;NHC*5=&Sq>iH~;-_VV_9K{nZADqV8bs%4%Fq+mp}xqlxb=k@9kHIvjAlV;*ZP)Ob-Qxj0|0gk#(TcYHV{b^ zF^_&dB?K|X@tMNsSHk95VcIztw-yCB3lfZ%5v=9Ru4!{^n2@}Su%R>CWm40=n=-3h3I*R`^=@8Y_kP4(7T>Vh8nid(%n(k0FruU zr>LYThg%iM-)?16JSZyAUVJCz>EQcrUPwIvbyj6b8vNUo>NfYz>E?4QH{7@N0UoE2OSrJYWOkJV z1~ydxE5R?N`UWI4C$oS&XmJ)u&o>p-yBT@MmjJsGg6A<-p#Lq%%37i^!AsS)tbIV~Tcf+6kLrvk+t_nD3wlhFap@;CGmgjt6bXUC5Rjy;|mIt}MZ{2ib z>czoOyCH!BTMAG&vAqZ*zYu89Fs?lkm`MOisWPPUFYw#thbR@Gvy&;D#8t}anc30; zYX!q3XCFRx%9K@yN*S)-zciMjG_ZBDgsHo)96D#Wi)1~Vh*{=(Wp_Q<+S4zdoC2={^f*_dV)zguzSV zYLeo(!l0E4*zTfiG3PzQs8S1>^}d()eyh+$l?zA#+mgHm$v0`!*RlO8px|+(g%!iaQb3Q{58AtcJIB5z zsgUXEVyOgY2R*!`eS#ryJzt{fhWi z+Fgk+pbBs>`DSGQacnN3co^m*Y^H5vNtno`OAC z2PynkHIyhY!=5@p$JSg`+VgI(mxmC`R^#hmEq%Bo<_YRM#4;vX0%_#w{VMH|0dD{o zf&I+39j7zy^Ua;mE? zFf!Penrlkf6?5Kbm{P!3DN@*9LYr>Bs~G%1Mj*}-ch8bvRfdTt7~Qj{$MZwN{3s~_ z1&~A)N1X*!D3w!|Ui<5__w7?ng%F@K_FsgY3&2*b0F|*=-ho<^K$fb94eDU9JAihw#+?lR z7+4}_LrYARet2`VOmxxkHj*4_IaoiE*>@b2HM)J7RcZy2m&_)8HNX41wmr#&nNn$x z*nTc5O1Kw5ZS%HmDjduJUiTlD><48E7v=e)l#Q&Y5vZqR42Otq8SB5e?aDKZZFey1 zmGg8UH}dOcC3x~Ku7G!!MwRrWSbt$^o#bpv!=i@WZxB;f)u3*eV2Sg%8>dr#68?Dm zs0UOJCUR~nff98&-yDS!5b5+9xvOwp!$fg*wsgQWGqDkk)2?{blckBb)<(dp(za=H@VboBKNkz24P2vz6B;CeUNP6zcknDW zF|@`cx&;~dB=ZH%1Y8oG#m$o3vS9r8#*&AG4g%Kz3?khSbM^Qsu#Tv>VxA&^xJFig1^mU0M@8R5w6vmRW(_Ot`BA? zp^f|VWTNW2#b6#JccvfY?N+!nID=5i+E5x~lW z7qbGh2y*3e%M}Lz zM6&I`R2wlvjEVwtR<5C41_wdq%R{OjI8#DBrf@0(#ky6-zvuc@1HZcSu#<3(|w;>}yH-bW>V zv`}gPMpuZw{!7}ngFr<|3at&MBItgS`V2hEP8KJyo>44>8dlDx1&N#_EmTLtzJ8ph zLIONlSV2(phrMI$GSdE{;e*U=*f*g%Qx*;?7pvg!0K^ho|<*53W>ivJwfLMJCA^?l9x70 zna0m;db9%Rkjp_uiHz)BgY}jCWSxHPEm$2pMcaOgVVgXK1Una#pSR67<*L}L+O4Y! zRy7@bE>&)uo)L9los&`DM0c*0yZy!(x6Z%^M2B((+x7YhrE@64J#;qzq)j2EenR6|#R-Lhe zAd28P&-4_S1Z!Bcac>@YNU$$a4s@nun#z17pNuqsf~5J{P*w0YHO?6c9nARM8$C&R z3X4o;u%0jMrMo54aLRT~IoR|J9A=IGl>?JJ9%^smODUP%Wog`jO)82U9fS07o+4i@ zL+(A*?gzH#;6;q;9qu!kmWft9nQN@Ce7FL%a2rP_`yOY5PB;c#a90HR-=8?=IcH9x zIIb~pSUHS=Y0|k2aO|hHJVs_lUys^SdeuTXM?va9PzBief6q8&iQ2c$n@lw^iyIC` z?rrLImhpXVNP++tN5&?CVE8-0Kw0;11L|}NhpX{zT_qBZg>j-U$vD5`+rJzm9SpGGYQMq_w}IAT>ioMlUZMsS^s?fD=YqR@ zzY*)1$#88ptM@TN0i)87(do_8AU%7;jAfk3 zE7jxSqH$?Gk&it{rzi7$<}!q}eLhYI&x{E#if6=$lluU&_qSvOx*^r+^+z5ZS;L&|eLsEAfmi7HXiv zH-I6*u|BW`^JJyown>}$H$-hZ>%`FP1Ap_rTyUzF@dLA^L|9cl#p+ug0YJ%LUJ*2U z#zgv@H)^oQn)$bhlqM`iCp}G=Fd;O`*dxa++w|gTjd9VTzJnOMmvZxoc>Q^($1$R0 z&4V*oL_TqS=geh-;&D=|aSWYuty|-j5@wsfW1!YHQUi92d5gIym#Mj@Lb+ zXolv852dc-5Wzw{eAO*%Ys4d>uaqzbPT_&6Gfy+IJHE!F-x{(_?)C;JQ?-5C+pD)l z2FK#|=eKG|sC>fPcUIgddfwabBVtqF@`e*!=hcM!v>p_gbLTxO$#-#|><=5r&R<`| zyU!b;!kWT5C|KU$uYnXYQfEsUljW_(z_0#WN(piriUNY7jtxXBI5iKoHNI~RoKWvf z=S?Etf-Ng4t5+oi&OfquXcv}#E1^mxKO(-Pe1l+6=)WNrDj4Fdp9y0kl0*6K$gyPE z=y`_N$CM`fxf&0=XGVX&w0VS=6+HpU?HO66FYte8drnHo8!2Uv41)}TR>j(|v~KHO z)3&Kuk|Pj6x<9_c*JccOTI635%fFVWo5?~sb&i%#SiXQC9p&g50wpaT7fp%Bs zSxF#20eF4f#lW%u-N%DgqWXZ@Y+Pprw{4##*EPucXi0T_{38y=|7G#Bi|TV}U07z% zB9@Uo`7vedi9V6FysK|o2yIlzHmr?M0pGzLyRDgmwm1C`u{c`CiDbY4KmhW)Zw;4s zBnW&<1#2eY;dJIGKrnXv1D1*G~R>hc^dvhdCm7Ercr9+x4MTh^UsX4QCiRWsa(7Tl^l=DyF!oO}2r4>0zwyHPf|l7c_QoF_b28Njs&|%q6Fj_eFS^{%&eV+3xyLC z;uX6Vd09dBAFcCPe^5{^S`ZZRu97imlYk2qTwF8MLJDAzv(-DxeNlyW2QupQw@C`V z(6i>ubuvN*T$e9g7_o`R|8xUuY8gTh)-E3njL0&PlAdzfGC)A`Ig}55yMNI;N!J2< z9%MZTB{+VFfDKR-Y!TX+x);$nn|u`qAa{5%t^$G|%Uvm0m!^ibdY`#$xv$=X`kHa~ zSd$Y@+u^&N&^{?Xo#^j&23N?w@z+vq>UTkw!KW@!mG!=+$9oFWi`GeG9yPhllVDEaJ zb^u{6{$WD`@o)oQEn#RLU!WD(-r6P=-ltt}&piNss-nhPVP?jUr-lVXry|x|$xt6b zul$EFUrS-5B33`X)c0S*bU@n22fLaH%gdgLpM{Hf-%r1RI!LWJAy5N=#DdAtHq)DS;(hDLVYOs1m|G!lWKeqAEN@q^7vJ2)eyX1>V zmJ9khZN1Qu->*Q{4ihExC+#FH-Q?U*)fnG@BKR9AUf0G6Vo62HM#t@O?x4s9KvU{} z$_DzUsK9{R)Dg$CxUmleAx-u@U&;`~V}i?(+(M*uFP%{hu6PPPx2#Bk9>{O$JWhzs z4L>8WEX#~}y*%WRej&`{#gZ-R)9V$6Y~81`nTHqHl102%>Ag(zzp4>O%*QQXwSu?) zng=l^yyOT~uPa8y=7=uPW?-tub99N;mn#$-cIiM~NL4G`endB#B~{6xj6teIDI*Pygr>0>C=mJc zZ9^2^u^dLOSGeksN~57IvzFAx{&r|MqElb!;0DFq6oi?oL8@ppdj#R&@PHcb`pgQr zCqt$-bG1{wUEZ~TGGOkHm>_8ftLZrz1y=c+L3=gB@TX){`;6HA@z6Jw;{2&FprV%z zkqPdDZbavXwywPcG?lPxMWUm)>w~h>9x=fz8^>S`mvy0?vEOs1=4V zTeeZTDya^oYId0WicWc}DwvG+SxTB*nVw<{dD)y?8Qs9(HVG{_q&>wkD3}BlnpXou z4_APS8HyP)3kyCB9Gea2FM?& z!lwtV?(RGBV3B~$pM)!=S0u6GGi*I9+HGv>xL1<+b3YAMQBrQXpuk$HQIRh!r^AkL z>8P{pm$pdk4ljzmn_B9XUg-?!pyyDCx)OS6m&}Sn-iO`m>46cj(6Ya>oV4{p8HZ@> zo1wy{i@1?=y-rGjqact!YpSTNzRSH(9Lyp(EZ+BTZ^iSl5CU{_WFDXuk`i4(=7>b} z0OdS7tO1l3<+#V;|6Rxk6-Ucvj?8^+qAG+@2;$P0=I^d3^(6(~^K`%Y2dj0t&%}y%` z6x0%y|9maKI^)joTEqBK^p!SnWS55}aF|Og5Tno>g*P$FnDPcS_R@{r$k7aQ1KX8J zH>e)?6Mn*mIzoZM@4cd}r5PWdc+X^r@XDp+Lydz!EZzmfiZdMbPVHNM_=_}+y6zmE zV=BJR`X=wPA>j)OKXm><5p)zRm+LSY){`DgL`fQ`P^zBP{vf4|K z#)Hi%KL_sZgG&NBP>bY;u7_i6LSo4=;#8IWvBG(LIsbEjgv>0+R%0Qtd+$9wIdS93 zlPLUGv9;hz!g^T^%GfgU8Kqegnsb&`;{K$#ng(!b8yx(6uJ=5n{fub{Us@xwq!phP?0@*LY1-LI@fJ%tRYxo&>ua!tSXt3RRhzwp0Z@qEod>7RSVOI zQ}DY9oX$hluHwBZNpgNm@+zaho31K9r|;88C2L>|1-(&>aAVe7Ft)wVRqf?NR?W$(}@ z5hB^YMm_C~(A?G)N)fYF-{5$}Po;KP!73a@!i94b)_ zjlWk-q*xcvjbs%dt*HpF{f(M|W%hjyvM~hlEOUe=P0x8uunt9B0$q_XVZCS?CbgdX zvLVGj-5w{kek!moc{uQF$Ov3dV@=Wq>1ouyLLpieUNHa}8NW^qO7|5U*#3>Pth^}0 z+P&ytR~+*p{jWW5aL<^=T}WB3CxB6zP- zR_+27u&IOvS-wsLeH7^Z9m?vk`U%Sva#mylQb<~zk*8t)aMdohVulz=CTUx40}iLG(j(qH{%|Q4bwqcA zEFZ^kBmAh$$A@w&fcBzm=ZTkqZd+t;V+8TXE>1kTn9_KIyOy;%49{bB!Ud!G>Z#9N zXZ}Bs2qnFYl-T%pg}_{1}ieCs8(Ww_}#huSm-4 ziKwu6oz#C^I-)#t#G0$$7VGZ$nafwQuLYEEh3A?o8}kw{qGZhTToR}%&60jJW6h(kSv~mOdzQj2#C^V#cdDKv{t=R1dcdV=OWD4k zMffmXHG<-q_jQ&8k?qvD)~IJmR7aqSKD)vMVd>^Zl(}E4)=gAL2bDdLr&O$*4wiRL zyc;ZRL9`{_3Ue&O22qQ1Ob(T4N_=^x^_+3L9*gUSP!YKoCCeh1kYWKZs@6(S<+&FL z<~ag_=?S>N>xa&Xr+>MT4t#=sBEri8mr55h_S;u8hKXpv)hk-b$GqM0t}Sr>&|EoJ z{&jKnFtM4Eh%|@_STAWb?dBhbk7~GiW;3IbJjP|=T9C_@{7J67&2U)JqnovKHD3dKv3;BDF1ta376y@LY)xzdFCOgw;E#dmsbNt6;G+%(Z$Mej zouU{GX%`363KIzW?MsnY=^g!S{8Dfis^Ej_x&LA8kniIiL5=&E5>@@~0sff7bUvhc zdcRQq{;`F;Eq`4yHnJvt*aDuN=TMyeQ;EUQ?Q!*Er*4*C)4e==V)c4n{zGPNIA>Mt ze1ltn62qyISsmZ?NCI~l^&9-ybtS_Sh{yROj&nH}AgJC}C?}Uga@bowg%MYcf6pSAoIG|_2p z3qFK*$l54q@x${_H({e;t-NOcSaIZRl5n{Q`9>I*_$eXsK{W5~2w9_TU#0p?ddC<1e3zB4C#)4cRbmlg)5?Ms3n?6>O z7_EoU&pKk;M8MlO>-ogH3F6)k@g(N$*O{DF5v)OM%$tTq(onx3KD1*CGhAkJwp*T4 z96$d?dBy^9@%AVUe;vZ8bXZzG|U6NH#9J&_QajBlb-M6 z88gTIA`!EKJ9hn79so(s*y;IF9A&9lb6uJy@8$Xbn1|-wLfShLNO~R5oTE7u@P0>$!o|!R{{sC8;;ogLAzvFtD-|W&LF=%&+6)j zDN+I-EOVN2aucZ}3@GJl9vTb^1xa2ToAZWTP^>dld7xndr|~FflTCm#vPMmsgY?$< z6eyk4TwWq2x8VC@O3DDGV}9B@#zJ3igVf)6F6{<)@S_~Q4Kr>OZgkC;L1E{mc{0qX z#JUYIDzI$h|J40_1<%9|M$;`?cFsrn@=%RpR8|l3TiN9;UGu zQ26ph7pdS3XhQ}<|E@R{QjZ!#!m%q$^NCdBvoZ1=sZ5xRTpy#7zBjXAvSDbouPPd}_ITfipNQOa-EN|$&hT&b zgVN_7Zjdd$0MsZ|wII5I5kB;hZf}bROfAp16fb}Zg{>0Gz3O?_UxD&eok?fyz3b%j zm2NhWVkuWcYu!6wN=$eD!{F^ArVXkjpDhk%G#Q- zTnP*j^50Y}dPP5km?f1Mu$e72L0hfhGf7>M2yY`+u=Xkko{747XmwQ;c(KEI6h)X= z?UTdSH;DatqqGdZCn7jVy*giNzUKvG0a@=Yq>A! z*H)E~;uzib{-e0kxf=k2~0k3N-Y+{#{(|&7Eog#_DluAYGbtUZt&>tC{xU1WBWDA>Rnjm5nuE)DY zoYlsoGQWm7{iPqR?^*eucdwaX9C8sH#YwZ$x&9cc;1glU(rnE``J&qxFS(R8$Jf-T z&`CbGqTBIVe}5<<>P}+w$U>Qz&F;ppL-dCJ$TP5wYIaLPY96#LIN>Ipr?}PRe(C{e zHmUF=c^%45#V5$gH-?D(h&?bX{Vp5;qM_7~1DGPeX^6XQ!`m(3@#lRna*#mOqTI5n z(7-U-RkZwrIi&m-PG1yEmvRwD*Ww<>@KX7Y=&uI9Qr%&KaxF(dB$5A;Ic$YlQkwPJ zwA{h>WX5~k%~u*Jjbg4qSS!nH5y^$b`iev}s4ambJ*qj`Hcg5^RK$;p=_(Ea>bs6IihP{U2I*GVEAYM%`# zXT$2h!@TdtM662MQ@}$b-gFB*dNN#nqzb72p=_wKgXF!40~1rPMYJL3(tr$57wgYrurn1B* zrsPyD^&3CELN!f*k2<4Zp8#%djIfy)`%|w4=xE($B3jg=%AxM8cKGjOi z|Geq@4Ekc2RHaesurQ=q)kjc3&jL$!2VHZuf|3YXbslD z3Fme+3q8)7%hf?mBC2ZDN_t$&x+G>_N}O|;o$CUm43;s-A7O@US8HGB zl#uhw@W)ZM_~cf4Ke3vlX+XGW3Xy8%@6Rr#^Qlv?pzs3IcC$LaOcCNO)bpzc&6sNr!C)FYv#K@>l;7+N8cCuZpAsdr7_eKemy7b z2j6nrYDP=rg3;yn2*Xs@WG5v|6<3m-+hCmY=Inmk9FqBFJgCsI^H;1bH(N&1n`5#)L$xa(@oe1{@}#P8mgB(#gtQscW4L9S42 zXuo@Pk350|yQna~$Jx(%Kk9uf5#&HdeTg7nAra)_@!X!rbG;~h@px{=U90H=erY7CDhh>mB)O0z}alD zqM;ZsM{XdPGguLR&GwF0P$i5fx9Zz^z3Kf*IdU^PYC^xz6;plpdD>nzaLO~QUO!u> zqgGYXhh_pog~uno+##5fUW}LLY|9`~al9D=hbOgv7r4?Z8Ll+dR9r7?psDyB@BAlk zdCysw7m7oHpvXut3;+qRM$l*&<&;RD%{OKPK z1cGWqkJ~+MZbaXN=J#>p*`rF(e4TTdUBv8A!80Sv1)=$fjw_c&<90taKmrWMacU$F zS~oT)!VhRSGuRWDvYHjMH+6(^QoI=w=CwDaO3Y8qNhXpB05o5wmF6##v*1PBL4}{% zYpncTd>PUU6Y{?9eVYpFb~tVg#E&7Y?Nv6FioGT*j!1FzBnR#eEXQgqy@v6H&Z^LG z0VhJ$NX0$@nE1|MW8$+=7P4S2l0Rr~j_M`{VDAQ6%aexKTG>0b?fjI_3j;k{%MT zicHYS4TA|M3T@(Tz)?jeWnlPr-vcV<$Rvd@7#7!rFgY?gAZ>59`naWS%dt+5OpuBP z2%m=aw8KE~S}-T$N9zDNG8wG{RFR2-uM`4kv|&9ye5I(3OUL z20iMfE;t-WcpdfZ_2~?Ob&DBC(r^~zv|`NTglH4nAi;V-s`ZTkW8Zl#1S$x&YzLsQ z2SMM=grI}*8?Py54FhD--FZDAh?|P>TLy$D2)Jy=`K3!UZAuE-A>hC_rq>=laQJnP z3Ex<60@cEaw>wPSdn4|`oeAL-je}=U<~kS?mZ#;JxcpFc;;G;A-S2&MDE6JS0y-g- zZb?Iurkp^3f|@6Fq3uEiQ|`SS1|a>4;ARG4AV^V^a|lYbRs{JAQgElRsl1|Ozg-Y% zdTFXXbeLk&oLZta6Ws0BI+|n;5*LO{c}0oVOz;SHe!##PDC(@^EGUnL3F3^XnIbnu zQW!|E`i$Wy~06JQK>Qig9W|Fu@#daS~Y?Nsvr8;4f;EM!Ii)(^tKuu(v zT%$EVJL=iEOis80a=cpeYz(IQ>Isvt*`Sy}N8DBp;%?hiHA=m|U$3*pOs ze>h8{)|*Y9yWd`%lUWN^e4Z{J#fp)Lb z;p)*SKxmnasrIJ$@(xZw%K@~idhBFSxKxjRYNYm!fEGQYgkWZBCaT9GI7X~T_2_~~ zdhJ#5?2(&qR-}4#K~VW&06g^$Iu2Vws>dSeW2m5@@H^GlDC*HAF)&6aTOGE{KsAz7 zk1lDzS1P@gG%x1wE$Y!F+3Ta1+4V>Cir?#!$Nho*w^(xn_;h=AS}&KpNw&VC9$npr zzDuL4bmw5FbKdQR(}|(S5^09wyAcMi;QMTj-~QzL~mbj_6qb()eH!M+7sn= zxPEB&gXbHbBwXhWl9$PomAZdAA-B`<@H|m84^MPGFM9<~7|vxn9@ARpG-I}-Y{ai| zPxdY+(d@6GeeJP+SlV~m@KWO{-#UURN});{<2WkTIPYwk7XKj&O?&hhxh7~hy!e$uj_dKt=0-}hQ7 zcy2y6 zrXnWOZc%HL=Gy+t)b{^@FM6+^eJW~V)kA2*Dyzf?P&2?TPSnZW@if_dZBw`GD@04# zZ!Z)wsG{w~7dfojZ&B(b7|}rdmBXrC;rRt`xxzGY=p0s6fm$0}b1D$X4wyx z^4-}YOvG=Ao^i0Hw?C1<3FYYBnxu(Xv)kINvvi*M{v4NU5Yfodm0qzr&*}zkSTrkZ z4qQ=nhos$6*2K8cHvG%C2qi0I&LK(tPTFka=%cO*T>~}tk;u^PmHz#vT!#7%IRYH; zGE@jIi+y;hDVRW3Lk|WXhwx2Q7~!COX!p83Yl9waYB<txI5`{n=j&eKP4N%`8{7m5>0=RRyaU|MJ%gN7EyceW> zz?5@77-#R?lNS!Y>0>_UgC@)~w(?lcGj4Os)JvYcJV}B>tKotrw+whnm`ZcXm|DpN zqdaziEr&|U1*1H6V5lQ?QW3m7x6P@cj#UyGGoWso>Nu+9RFMpIV(T}LP#&ubBpw>o zF~gc|N>DaMCUhmG`xynic!jWZE{{DgyDVsJC@}>EiW0*nROIM*+x9@Td+xo8G_#*IbM^Y9_ct8eclQ4=V&&jbMeX zI#~(2tosBgl8Ue;k;g+ajd1OXFlYTkR%O~HPy|t2!*)Juc1uK1KDfD6Be@QG?yEX~ zuis|~)c(@Xxa${QA0?L2{$wPVgE{@Mpz-NemiGksInQ7`FPkN%Hd$VIPs8#`uIqfu zI}KUu)l%Ora7T^zN8kNxU;66E{f#TLc6?ZL%6bVo7xXUR-I0C6^`XTnbiqJeqKKNw8yRY0|!bHR=?aq$xh4?OPis z7-v~kgT`2J3?OQMN{y}kf-n*Qw~@F!F#P7Xl;_?K0e^XE=h^j3Yn3h5)-;|DBKRAF z%F=n}8-$0*YGzCISdz?lC~9WWG+I{xk1Bmtx~A~2Lh-B04aB_|0{y}mlCNFcU%O*` z?P|XwO4gn5l^YHJSHF1KTxAEP270)qWgr31P>WEzDQ(`?Q3(taJFpJ^96kETEdWy5 z6vt-^z`i1__{ZI@<;sMC;(K%&_g!E4-v9qx;CR6B=s%*Z(mu+=7LpzrtSTsKjMO=uMOnC zD266l6D#J74;ti2i3Lq~M7CaRXb95W`HGlv2Sz3lM3mDAQdPO2og=cCHk2OB`W)4rc}27)-6V1T_ehZA6&kbyUZ(g_50K$kH^Sqa<-W9_&!_#T5~+TYFMOc~v-w5oBT? zchI?Q*qWn3OOG%TRx~w7*EwE4u9wz8i9AXR736sRh_ZOO7{tLcb}z43$Lm}CK)mcd zdY?{qZyGoZm*mhj2cKkfHE@i0Rg4&|mVObYSGOnUa@0sqg)H5e`oA}sQvaU+4#~vd zC~=w(XU^d~5jmVWFn!_+*eBhh+3?Z@xKami4vjr^|N*0bA41sDusEmI_Y4iYdaD{*E z@qg5C$n`f0$fZ!2&w^swi~ zu8=KD!sj-jWNppQp+n=-6q#E3wC-({;Y>duK1675r0pv0-53M$4+77VLa& zp%&l$BDmi}Aw!R%Sj?(o?JMhGbiu-Myj_953a>uM$fS;K2|?a07evJcjRLC9P@hJ< zlLdJji{Jrdj4HSl1(gMPgIy5r98^3M?rsHb-mFN??1HG}AiE<3*GD5{u&;2A)XXl3 zO5wGra7C!?&lH22+)D2t`>|V{Wn_{v(r$NIkz*lao?L;$|HBt7U7rxVezqs(p zT2z!x(N^Vys>|EWS>__T|Msoo!iGYNPbx6vvdTACUQA|N=ICBpeKxKr$Vo?NGav8+KNd)i`q*yB-QzwP5M?L6jJ_HQh;oQkiWUw_Q24{5 zWp6)+SSQ1m9AcpW;pXTihgc^AjT~Z~h%?lX`_F?J1=?hP#1P~NtyL!T&hI#8K=N-x+`3QJ2?H-GN#V+)X)M<(~#aSBKCYz|9X?_5iJ_o2$WKl8tN zpHB=BX}HtR>W*}-pnBNW$OETcin_g%Em{JVaXk0s307@_Ht!thH+n_dzC4LDeZ?5d zeh}CtuSgB&ErADw3ldlWOR{#BI7f*^ZN)N_9Wjekf9Dm&$E?qhZFyTO^okWFN$lsi z+<@5^0orkcvTg}LLQpiEpJW-y4ibE8rAd;4SNd7*c3@87ng;mfv(yS2Kg|^q@(gN# zjv=GKKq;-6?+(T&0yU%Lx@!gyp08g;SE;_UhJ9)()si*(u7Ar4*8cLI(w9Vs;>|kor7xk2->AuIUNuJRbV9B0fRp>LAz9&rNHJrnMG}?LbW$& zL|?aVH&OY2{4GEBGhZ3l&v4ZZxWJK5!`r=Iu5B_yl)lI-Yc(?DEmy(OE*T)%vf^l$ zjI0PFHrgda-;?J!(j^lK%5A@nM--}E43db{lSn6$M_An2xawSWgU)hhGMS*{yzRQ3 zMir_pdBFTyt-9%U{rVhvF-#Jpz0qLFH9?79AAD5#shTr9{6wdS>a`pE%*MIXHJ>;j zyzQVy5^}D(xqQ`40>Z^D(uWisj?!1{?&bt-B|!MJ9AYm;Ipa8w5678yi&}#+<2aYW zaX#ovKlXDzHEEBGaxTV}$mOX~j;f@ABAc;h|$Ho<&S;ri=3vV5zX>Focb z|L3Fsqc@JBCvavtIzlET$y}ctpjYwvUOaAvS?Ho>!Hk|9kYm-NeYn3Yh|hu=PdbG_V3n(5#rz6Kmq8;L=KPV#NwQ0n0X=eL+vKbbXE$da~bYV*ylF zpZ%!fNdm8jFqQy4=^a}Vy>==%xel}|g2-$eq@&@WH}D=sO6W;%fhc{G9p%~Y96ebg zGo3GJasUx(%tzD}op*H(|594V>h&$0c+#`Nt?rw_6M3)0SReqX)GOcSq;2J$4Q(qW zIR5_K=KztYqPfpWez%$UVe5^k!&__HY0D33+pqYTr+;;P&gjcm@OZM0k$27$T_^K< zIU5&E$;Z`Rn~FR$Q5QU(tU08%^+RK_910=hDy5Kz*@ z)_4U)uEN&)?CvlB73ugNQ`(+4oXA_Ndktk9_(sD zCCzSh@{XTay3s8VZsD&uu8_E_yiP5%aF=(7ETy`<9ZK07!8!?f3A)kNjm8KkiAWA8 zoK)&RcS|5y-5pF?fTTnk4QuSE8(s94s=%9o3Dth@`AJCEx&stVvmbrlFbDAilJ>3q zXz`S{x2Wov=E}Ydp7M|U>3`?QyMTXAVs9lOEow%xxekMHRRPv<}>8RflZ> z7!|mIw5Ol|71a`gRH!akhVr1cy+?bR@y_FMM~(ojh=v|j7qKGmjjwp<$is?2e!D`^ zO>=0POc%s#(2e94aWSi!{Zl>VBB<5$tbOlfdTLa5HwEY@k`PE_yF+n%b7)bhz9gMU zl|lrhte0Q`Y0ifN_9DieeW!%W%FjpqCG<6qc)r7vbxfAc-Q|$ zrIOeoyJ-tBBypY)A8M2xwzoGu)r-S!MPgZ&;&`X7Ey6X^W4$N^o&cRNcAF4Ul1$I_ zB8a?~oei5xlIg)-fNmU-AK!qD&abN0q!;N|wA+IWo#74q8cDBLk_-ZiBw|2Z5hd3) z>@tonb7Nd`kJwJ~>v*erl)h4E;*v-7x`|!a;gj{XOHG|iVnu5zLEm5dE1_B?o#%=~ zk$7Funkej!aYfT#f~)cpT$PsqfAb2_2fdd7Uky8C&C<}oF8F|x~gBoprUq%9O-Oi(oj0!VS%?U z9S{Q5{<0mmdb3&J>5u(4%LP&8preF9y+60p{=x>C_Fpdu0i^vUCWydo!|m9=X>;4` z7NA8<%i(e=GOi8ZUa(qo@-#_{JDhLs;@)f*9oCQIL!jLuAHQ2Kx}2}s9I0XC zJ5>93iw@p)p)2cFi>|uw1=U-!%_`&k&=;P&q?|uEX zeDgI=cS%d)w-X4nyddxQU91sGZceT>GKTv_n#FFw3_>4mqy@V2W5awK2+3PU%_aju@j zoXXxYZrYXeI?Arkb5{)3d`DbEGiLLJtNh&yS26iR_w!2{*sXk3?Zp$7Dw1-M+aY5tvBF01WFO%Lu&@z91w5e4mnC}I%JI;v$>A{& z9N--jg;WGr^cElprY?oRqi9exj|(~gf?k)Vz)$H0Y&ST3CkV*yM?|pNW6VPK`4);I(Hzzxi4=_1M{Ea)(I|;6);~^(YOkH~UR3 zx60hmDz18RbYwgH21(MSO?g+{(BEgvDj)6@!eHS8NUt*R@ANI|M(WMVZr^0HQPwf7 zcZWIx4GcCD)KT_kzfB_KmSiYA*z=&7wRZsNt%LkQyL47V7pd=P*0jFO6Y>S z-G(D05UL%)x+4}V1ZmM-5abhVRX|MAfv2Ytq#AI+0%)Li--bKHii{In&~QgYH7k}e z(X56bExHSWi5u(`1QeFYCP6S$^U$KZAb?Kx6_7v(9BGXpExHSCkGO7uQkE+NQRC2J z(OnXR60Aw$k{sn!AG^w@7l!d8>~woMRX)AU1IJ7{xt_T2QHxHio6M^vQ<}fNGH5E? zs@uPr%X_A&IB!3H7PrsZX6uDo~%gV96!-a|#+Exgaz-l^RqP z*wNbbOaiBYNq0(mjI2vj34gBsAvT-TQ3cD&-%msd`KzM9WT*Ha4I*mf^|~Oh_HE6L zgX9H(+1|l#Izk+a5~!YWwc~D`|BJLi#_dn$7ieo7I6s{<0OU|4PeSZDBelSw)tex8 zOY!^B5vS|OZ`^9M@znr-m0B@ECZrdTkx(mZi;UMA_~DuCuc(o=B)n}B1r1hpIF5;8WAR0tg4gzZD1-oM7?k!paJjpH*{QepFwi%2nc zlbb*;p~}rLS5jf~5U7vO?KpqI$72(i2Bb@juD_OVzUFB`^8HP|kF8v7j7|L3VcZ3( z&rtlHX@vBLlLxDebpc)rXzP(7Vb*CV@UUE2a45#+E+s2QYoN-*YR!{A; zy-4P52>HknC_M!k^3(HlLCg)tC=e*J-5xkKEPWQFLUzI30S%4}es>#?SvG>yG%i>; zSMdHz-Ai7PO2-8;2%Te&5hW~l!Xudlsl1Ee2E!~>&=U>zm3dc1P?>WPXt1QbIY)X| zE*QfxSC}f_2udVhBynmI^CQzBgq-;##k+FJ!vP+$AnxEHR?-3cilrm81ukjKFn~l+ z-KsE4lFWAp7>CVHCUIzWp6B}9mB$_B>vWdX^%<&zC{++X0F&d6xl8^}clRm?ak}hJ zPWoaVS2@--dOjQH>`X825UzSEU3WF)|Dp?V_OhOY;z@hF!%hrhzqGrb`_fAxHN*3d zkF;RgEoz~V8J@okJpa|7eD(U*{ni2}?f1-;6{9X&sH4K9WJ$P#RvDbmVypElH|i$1 zUvF$I*Jc9-=2}pUx~~Yvd?~pFp^h8%vZ5Gu7u@Y(sSv+l(3dgI>p8B*!+bFY*QaKA zsKj^=Rkk|(d|MkbWgRtPwVk;UhmkC&5RR}U%y(7Vf5tFd0XT>5-*`gH7KOMV)UI`0cDbcBsnU|8o^b6A0i zHGErA6f#>ENep`oR+o6ac=e`d-W(b27hk~k!#BP;>cb@Dx~F2k#DG-4f@}B|(PT`# zMYDCen;}*I%jbOP*FPAe#5u`ylam#@JxDIZ7IX*W^K_u-^{>mxiv4oMF*7h42wt>{ zF9c~_YzwS&8_hxNx|LX$sQs>!6{w3xG>af~yVIeMS+Gi0;EPYJJ&1t(sf}*Rc3;M6s;avIa5unNKNA_ z0yhKE50U(V!WC*77u+w8B*_^rT*+l7mJG%@qIp-_+v3nwnaLK_@1hQm0JM6=BP<;C zgKxnu5C0tp2Xk`!lhIz16|+dpk8?0(8b~i>;67m1W7^;n16YmsR8*g>$Iw z0}(#(hE}O$6&Xqw9$|ZqSw$Kt8WkGWY?N-LBB9V!J13T;cIGsRdhbALwoe< z_N+iruWA>GmP&F|?W$@tfJHZMK>IJCev68}wy{*QX43@eEszw>|An6!pYr$o9N!Fm zqQt3k8}|33@GP{^N-^KFm)1+Ph)^79l(hNHEdXY6I3{FK%LB&E~`Il_iW7@#Jf-ga(;eyB&5j4Sy-AZ_UvLIaq7cBj1yPcNSXF*Xk)~=T3 znXh{-Z~_vWpz%1Nt9^y&usKJ%2!3cQc7!0D4s~PVV#Gx#lFpETas+b`TynDMRU^1e z6R0Ba(eG-?Pc_62_f(KjWA&z($4kk?p~ubaJc;Uplc;3Y6l!ovH~WMzgxPX`+DG(s zFR?yhd5LoDJs4TOH3N)t-v9TnzwaN8sW6bOa6s&^W~x-!21Q3Ih;g^gTVJa%=^!3g zVd%I8Pz28$nh9m?wXVx5tW<2HSO-bfS}?1yqU#Q;qxI*w8qpeX*Ed@&XQB#2&2+P3 zR$)gBQPIBOj`P~e9<#?yZkyn01hpEz`4m9zcDVgU{nfwzQ@c=$qtr zzhOHQIqTP8N@&*1cgLDxAc?lmnppoVNhHm)W*Z8IFPg5nSuEh%87|>w?hO(1*|usI`+8?kva~ zPy`Wa>Wa&Qg|2aEs#+J^VI9e=p>{!F!|PO zH3bOx>1*!5dLS`Duh#f(YoLm1d>y>UxIhg%vTIq`l zPp!`+sE(viH2;Y^nn7~$4WepMX=u_8=pH-=RYyM^)NtBgmN8$TSrz1a4$qFFn0pId`xWZnN5j^46aK*Ichv15T@y*YD z)pvxE(ZV$M=ew32WIg2*Gbw9GUzE(p?Q>P6&Q_nl~_e2#p?To4p5VAiJ~>{5N`N$Muv*t(g& zEq==$Xrof{SNz<3osNJ8BGo^;a7v2;u@5h${im!PN2Bz~nzwmqTvgAGlqzucP+hVe zx`IY6wlt6{ngg4dh<(21u*ddX%`xW;A79RJ+AV6e@0>HdOwRC!KV$hduL(p-O`+!u z%`n0rVVe&D_a$nx8*em+N%-C}d;{@R6(+@Wb70WTij^CVRwTH$NOBAWH91T|8!b_9 z`oYLUHY-+6_>MH?bi(&*gYfM-VLY=BzFPzAQNfkW3&=4|cEK?w%K>-AxUygsCY8dT z{SJ^+bCQBc`A@!Ec5JbdP{Gmyah6q>v;_(VuL1qgYvo_Bj-Kxh3b@!1eXCGG?P!pD z7Q?GwGSKdXZ{nU)lQzf@K%fzD7@9Q&0O z5`&uH$!w!|d@gu%ve5v36NIK``94%1k`-;%R{cUh$-|K?MUX`8Wu1+k6pZM*Z%WPM z5vn5n!oZK~+c6xf%1Q(KLYu{>toH^~qX2r?MhRR82Q zbiu$~)>ynbw5TwbEW@%vsED*?eKq0{7Rk7ry>Rp7>lTmDB>_i+a|Rc_#beo?W~Si- zEVN>~5*j1vMOH_jq(DXOsG^%vI-WIIXsoozP@hgCn52i`otveHGL)+4_dX33xyzyb zdD}VPfy!vm-(QW|%l;?Lqgp zuXl!tEf>kTV5sM)-fk_-b%l|7xR1gYl~qetsV9|mR;frubqKOWNlQj9ub5S8DNTU` zC+WZ}m{lsXTbk$EqSdgum`bHuZ#MLPcd$mt_#rEm3Z^mqfK-1#=6h55n7i;_2)wvH zkZgsL!bVN!yL0TYs48Er=gSu)xkz7=1%C>qvnaV;lU}<^!ViXfE0(O2wytW;e1nWv zmDi4$*X^454k=7RBY_U09#?C=MO#1>;*SIJ@Bg0p9--?D$pfC}rjyCx`8@N`II_X9 zGLz?tRjc!yWO!6@hv%-_{o-l0P2s@nb_+=8-qR~)!Uok-+p3vuQveD*9atus7~8mc z&Rf`~VT*(ZR`TOZRChojSFEGbEtK3m3_NR1w(5zPUI3pwEWc*;HAGG(hq#v+J2=eSY zYkPs8Jd582uUpQ;;g0VzaW! z6Ujbj8Tw?3#!v**@u-6Fe`j6~&~I1u6)W1qq$XuM^olqt zX;Y?j6*U9rM={>{hpYmy-5!}`4Qy66 z@F=aB1F*of_8Y6FPm=lW0Q=%BZlYg1_z*c%JI{WrF^{e$-A;u{YKDihoGRL{hRlYS zpNCAY?mNVNtjF_FhO)2yXzSoAK7X&jiS?WdL;!ox+sbdw*a#tnd=G_qN__ z_TTZgx4c~`5T7&x%W1c0Hv4x&VEGGQ`RY&n%HT}WmM%B@*5HNaFqF`1&l?8nioJ;5 zFF{GH^~;7M09ILcHt;V5CCW8H187k$g{oUM`ynV%t_h;E10&$sZU@{>F}M(<*|#m= zAX`F(m>aX%St=_8CCc?f@9}>sxZEmE8G;h!njl(0Fa{A;5=2pGfuWTQL5X2}#VtoU zQE=HwHa-iA+4qy&9@p%`kcPM3Ns8HbNg%mk0OjSN#H#&)B$ZVpG3h`Y1SAhFkrt;Z zsVtW~tU4{8X1zk&ktn#UO9G_O213MPy-OE8?vjV&3O$SRv*VUGKa%u-xFkG6rJw?s z+Uti@>LCB&wf}`YS!jT%*1Vm2B37LSU44NlS_lWBSAnIXJ-}1`d~MAdwsA8YsRBba zS>b5JCzT$8I^~-yB;n$Oul9y*#Qu%T;;xJT3zr2Hp%tN#1k(vY>=80aGeW}Hq*W-6pp;)2HwIX;-`*q~Xn5u{U6 z1owM%k5aH(i&K(Uq*LO82X`S5nl}T36?%8ULJfIbd5@xf1&D!8i3=W3EF(r7R?^6_ zLy%613u4wYT45QwV-}Ly@DQX^;(|MLu1ZQ0zDbXjQk)W(1ZqJ)hxEBHyv^?;PKiry zS1bI|Oa`OhR*iieT%3|3xdru;tcf38(;Z1N-yN$}B7ZKsH0#?aPKmFHoWG9FJfa7z zzTuPI0yU&JCq>1W7T7@z^2AiA3J;a%=s~B^2!u6{PduOeUwkw4sqq2~xJZdlcx5w> z<2hc4xUnfCA<;zf0+h$`d@sNr>vO$;sRi28mTxT>3$#D+bB`bJSAt+l7izVyHFQ8% zA~w`oL_4CD;@B1+Kcmq_4|x67HZ;1YD9N+-4R+ik>qu&i!I01oy<2XrDg!xnjr8Ii zv-T~;BPhkRNzEacwJ%b#sL*8mh9oYL;A|nX_JzeU?JFHJS#VTW@da-6l)UJwVVXV? z`R0Un$Y1gEkjl`7hiV1&Jce0KrmP%Cqx9*bYdnj%ccqaWq3f!e0;^GPlt=VR3Q-AM zz1AA2Ry*W+3cR?07}EhUPobJ~t3henpU+Iv15GqVy?Rcf6X65K3#H>DSgGncdeIo^ zm(%f?8BLqa1!OK0e(jI(eRQ4U*L0Ss`}i$E8ubcNTHpVV{Sfz+>h+it>Sm@WdnO{mQkE0}~_@y$AbvVT@ zm2s?-{8AN;b(&yGGWLF!s}9Vl)R?HFZt=Sc#{xMw+vWxF5~sRC(K5<-nqVs5o)ZL9 zU^CD6XDg(qI8TyrY1Yu58rZW6$2#m-zynFJ*BD2+OWsPgtBQSm#8o#d`%u>LNu?jT zFuYBlB}__K#6t^GE1uM%ZQUOIugXqHom$3ps2D>}g7mxQ?~t;%41&oM6l%YjmfkA^ z1V(mN86eI#+c{!nmDy>>tIKwrf5Qo&)imyng2F1j`Y3Y5uZw0^soUE1HnH#H1hA&M zuJ$^Oc*aAn>nDHDn?CYOBAcP9CAR{WXkw{1<46`>Gw{vX@1}}e@p2R~ps-r~M_U6r zfe(qVXrmBVMb2R`^@`LoE?CS38gq_qZ9*<$Fd8JNO^lmX_c{(uE8r_e75S#a=%4z~ zd=!cxf@Yyh!o`?+jTLDHir|*x92n$pyQbj(%b3HLDxslPd5dSfF9?n!`k6U-h`3d_=ztBOy@XAQh?e?de zWQPkVWT$J(Pw-sq4?RY!Fdy=%as6HQ`@hIb%r@ZiHozapJF)?`{D_k7HD}HRm5;BW za@s9w?dV)kd6|OB|MZLor7Lw$P~B)df5)z zIu`_XMovaVJROn>&7m`lR>n6W&0;3mVTC%0v2&XUcff372xb@!C>V5`nY8`@~klXOGZS?}#iQwm< zBgEgvY&Tp&hF5l17Q5AvWh)4dQ2^V^=A;LDf#Mn#_Tcf`k;bRFVd;rp*n~xu?5&v| z=>>+^f}>y7L`Pn`W_qUm=y(V~8QWsWg8TUu!3jpH3u|tHA*)N69>MffFLpbiMe<;w zlD&7H2_0U*0p|=|tcl;CeXIrb^P?AnCH$-cM8(7U`qv4Qq)YCdUKWEtjoQ}FbJ}Bl z)qkw7s>d2z>9NMv_+yPVbEynBM~Y=2lFMbdnI3B#w0f+${V0$1GdJTBN~@o6354l* zT%y~qH$1H6B?ZKKPF(-Uyre6(1Ev!OFX=h$eH*FGiT%+{NH8ug7y?z_j%)`mA^m`{ zx#<>s5euBZ*)2f8m6zk2n_!v^&NsJ5ZqQIz)#^Z?-67*T9nKeTM;vg!=qLcWYS?$g8-qR4M_vdyTA3s>$)W^$l zo%7$Pol>!i=ipCUk?#1cbaIe_t0w)4DTL zV+f8ItXPrvun6uquwF|g~v0y@Hn-9$w$OVfVgoaaTShGUOtD(iDg4QZjl9H5H$~8128nRhkL$TKSPw zVlPA505QzLRytU~=cKe7Fj~R;(80v!D;+$N((GaT0H`Y+JcjM#e6tQFIeDdnwP`(J z`?U_1dZSRu`tuZ}i%qxy=O<0=fej5dZD8^Yl{`MEWZEs7p_03)_xxjT`IkTOy3w>v z^q!8TZI(2unzm`-emXmbi=p>~$$ch*HiZ4_tOU)p3nC!`;smaUD$O=yL^JJz)6+I! zUPDyLLyx3wkfVYib1WnnuFtVb+w9@_sv!7c8o?@U11?a@*qqwzGz ze{9y3T`7~|bbrf*qYp%*Wcohs(Wzuz5}*?sMtJmf(|d|FtJ?!8OV(tHrI$3y_XpgT znv#cGA(f%gO?$SJ5Qctb`Hfp8V+K6~wcgVTK~;9R@=CsABE~)hb$>4?m-hKWas7KON=@%uq=bmq4V%%xy|vaWzE z#-@bun#6g)%m;>p)4M2#Mk@y*ajZBn99&8RZN(s)8W;{P8r%6u=DSw&gQKxZbLk<|m39_qy& zez5j!cSq|Wi}O^Z!mfRLz)NfcBziNg)x|N%!y4nCZ&AEE~r#;ljcsOEJ9%}r} z9%Upv$|rxQ@i)!OOnRuX)^JKj9%8IjJ=EO3>xX(y!h{1kCt+S;Nf=iszloDDzy97| zbNu^}gwd?D7v)-x8X=?i-mW^R+PWNo2FnGlH3Ji?cc5%;1Zl5aaEn$hsX0BMh=edu zPMyxdtpX?DA)t1)KRu#e0Cc8swq z#W2kZW{LDxtO{Y!c!s4bCUugG1Hbueun%XqNG(z?-=Yf*HQI*16rWW3vGle%o?=A>z{i|xcuvGz zG7$q@CB5jtRgmUN3GHT+S)1)Ga20#PM}qdbz;$}ieq7#r@#;;_ym{ee0WZFQ&4+J% z^MgVJvUvVENL6(K!xaYvOI$mS+@cv*nSP5_lWx&!$>NHC{&nB*{eO9^PrSe-V+F3^ z0F3pC19y?s2}mF;wsm8qjG?wAF{KcMz#<|!QJd>**HI9P^bd0^C`Z-yomes3^#d5R zHFtp~L0ZgQj+*WI0l}Y{R_z?hzDH%(eP{wKD@tPXIgVOZwQR%jRJj-D#euJO{T`EM(PooNpH5QXg@$KT3ccn=@sX+u@%kdh z6xS{azOaduP1KG_iG@G^HYLFu2q!3J8pMf;xo0~kEdL04pE~PrF8_l+Gdg?_NRu)P zq3CL-io?UW1`A%&aVB_%vMhajLoi`yoGp$~BLeZlE0@zDCHLXR96%%mAg-{Pq;0#@IEgqY2GdTGhgh^NtG=b9Xm~pcNn_*TMn0iET zi4m>M#Z*`@YpZc=EV*1;7o(r#WbGyz2Iwx;f_`>XH}bOa-KCj^UC;TyjjobS|IYs% zl8L`jDox7r7{UKV(bhbC@Vp$d=(D8W1m5->?0r~=TQObOgF50{-dcrCTfTK&slq%zG$Tq1i7akGf;NBb{$A!slw1Mv81lM$?YnR9LQjM=a}8D;>e*P zyWjr7I&pU*!;=H^t!60VvMV^H%)dgPwM>hOpM0{iEBfTb2Ujzy3^O`ne=%pwVSdWX zH`m+YMqT~Vx-LQ*j+-v{c$_+6`$Uid&L6!X&lLDRoo7k`1?Lh{h7sGs#NQPG1;%L`V;BiR2_TGb z>2^F*TGJXUN&w*uPLp}25amdaLkgvqG)n>qTM^NfHi;fqJ1eJ+Lu&xxk{&mqs>JwG zlH^T1Q<6Wb*rTL#%YPx|@IzYxnsgY8Rg&U7nj|nBQgI=bvRd`m6oqS&c6+eq>R=-} z(|P9mgUOsq^osUuna5roT_QYNbH84Zr=C1hM;i<6YmWL8#fq1*7_S;gZOW(`P}4tv z4XNeN&PDM^-2aGU)D;6>FHpG^wlUKD>D(eJ4TAGH#zgLOOTC~{TT(AM1=F;)z~0V0 zQ*~)A-}>ZH5FALG@&<>4fzF)URIAz}e6E8r6&aegd}|yTR{h{tf6`xkHWa&-Y3irRJE#ZYEXCiqgQ63 zE~_X@{o>N7l%B(5iP#*Ma>eS~z^NocOA(s{WGMhr*2L(w>qT5h+n!|l6KcEAKnSSn zUW0QZu4b48Bd&9r1DRLO4eD%GJk1C|7a`7Hx(U;!7<*T!$Z4my9Xainb^g^m`>B0kr<1bMny2QUz)2B{(yR zb@cWu%;=Fxhk-bu)F-1@;07TbKc05{-)R)96dHjLwa24FKiV%m3XL$8UNaE>3ymsK z`gM(t3{+pfP!)HZc5K}<*SJtFfS z?+sI}Hvi_xXoOT3mOMJ2TQ8jslRN1V$E<*3S5A6885k|p8>EM%MH&>hbx@WgBjd`Y zpw<}Y=q*P^nBzyk1Ch}Rnd@f792p@NQ+D$mh+JC192ub|S1X5hD>A;+=U7EX_WR)2 z>!T&R>=*I+UH^g5GNaKJ2yks`MUtecc1avuQ~PKlHz>&^M@_YwQ;T)oJxgMwOz!I6{wqK9oqr@~Run6BLvF|^D$qP7 z1^!sIRQ46@PtK0)k-w1K6%bA{KOvZtBPk_?P8_j)A((R`G5Q4MEA62LE%DSyq@>V^ z)2x_tSBFSRZERXz9GZsQwou(tQc_49G%E`7ZHF$Ed>B?Ib$3<%hB3DZneR?yq~Ob_Qj-KyN z%1EI)vHFol-H66vXbP3pc)x=4Q63NYzkpBM`jwe#MPBj1i!o@Wf=>t1Q>BU-E=79p zdsHi{TXL(|D-+H_70%5r#akbKeu_z_1fCb~0h)G;W|!h_dVqfA6Mpj9kB_XW(&#z2 zijnHUxbBb*WKsKi3zvcDynSUZg$sgYfpjDgTyn~7El8W?f;(X7Qdo`(b7NVE6=||u z&@u>!rqMU7iGdKLesMu05ReZbBPm$n>3igJq-rUG-I^gk1@{J!$BJ|*ilFu>Q4mmi zvm#vz7d!&K5c~r9*i9=a*g!}g*!l#zmNg6O>ys3hqDU&IEhM|6wFlT&L=osxxFoKd zV*ntzMVW28CS3}bJmANaGF&84tn=E}ypZ{)lc26ZO)ai}%RqwtrQchmUwB1|zzFsy zFN+;Yn+DtvpLjC)zxXCT>C!bFtD^{R>iyq2WBS^QW=!vsYwk9Uz4p+aYt*OaM5is^ z8YGsWJpA_G_B*~Z8Y(eD0q=T^D$|uSLF-o# z6lLmyV8Ij(09Akj3ehS>P?V_&qD_+ON0q7R@ggY7)K>(%v+AiW7!aBjMVY!_hh`#G zK4hA#4=u{n1yL{p%Rqg05Ozzb=W=LKrY6YrCG|jbH|6<-_x6z9nNrf%V`TXurSvOb z^j#nKXT!tOY^j4rUJJ0>fI>tNj3saqd5^soK$VgU9x?9+N(mI9n^kW*5tULAbjn=} z?~^LsT-!jU&#E{>P&_=BjM@)ODpZA-Br|(UDgHp+$yPD2BuNj?*DS>!pqMep zDoN60xFirQW0_f_3AFi%B>V1?QT);E1SO2yGpc4qKNXTQXYh*xW&J)C(TV!gzjn@f z3uiF2boLCB@KB0Lm81KIwsqGGXRdwQf8i2>e>Qgk0jN0L#iV7+NnL~81Sm;NIT_Jj zbiwkJ6OMpAi(&s!r{|)s4ewJiHzWYA#==M$Tx#2r?WSrjZ3^m>is;fC4-^Q$?mh^2 z0l8r!&-uF99{LgG*{cwl>M%}Qen>Z8zvjb!I5BG}6ymzsfDyKHv+fL@O`+w5oR_h;zXa987#EK-g3y7M&tfVQ1WmM>VceCq(*9_e%S>71y4 ze2MyLx2UyAbE5t-iTaQD6jKV@*jHxPT@W-3Yv4PG zxmHI5SwgUixq$C#a5lifS;bs?{GV8@fx7(Ufq|t4mFXe~bKC|#Rm|n%TM^CJq>(sB z0zrN;u)V;4q>>$UoK1+5V=lXDmb|0rtr4tZE@KpBy?tlti8yve@letZ7#Y`VGZ0kW zPZLEHI^h;182vad$6QA=p-P+Q5%m;`{->ko+fzyp5Mo>UJxS*Kv&A%ACG|kxYH!Va zg8=v`jS#5ik$NEj=W9;(D%3x?eH^E*LFaT6+0ii9!=ZZ%=t|&6Mhg}3fG#|oD}0x| z7=8|SBtA`%sijj<|A31zx0#+d_7o9smE{-T+ylD%3{QPz%Jv?z{OJ`(e3!o6O<|2> zjOGvc?0yv1&3nk>zoW2nJ^@L6(?KJ*Xm&sDCaM2_e*4vzes|=d^k($j4;VNx?PJ8A zuvQ#529ODsz4ZaIeJjoah&^Evvn{F_rfj|hg61(|&r>7rv-@#!#C>)@PL8-wy2%FW zB4Gt$&mId_<$i#h6m|ZTwC?I#nBXvb7!;8<3`A86J4~`2wk_@V#2}|9lIb%|-jhI+ zH%(K&4A|(eefz_oOxWnK5-WopK?B!|PqLSOvTwLjVw<&&Oytx7O?}%>gs3e0=#di| zXa~+NdZdKl_O~gaT8Y`T#-VoLN{OB72CkJD3zDdsu*b<53RP2UDGk6cDqIqw#M-F? zC^8Tn^N5sT`vX-m)D97e9v!K|`skyvN%8ho^(C}3X}MvAXfel?MHa|7rCo*;W1~Tn z^keB-YGV-JMsjKHTDpAKlE2Mu*U~(7psJ1Tx4O~>NPnv~%97|m{Cf#NO3P{;fy}|l z5?#RX8QElfPk!a{OZ9(d21T#rZshm<+<)tb|M_Te;@Bu!Xsztm-`lz#C9uC0$|RbY zBir?W{#F~wqGkh^?Rr7}z~3z`M_Dl2^#c5DF|?TBPA)Uac0F)E%t59d%!1jjqZt`k zBh5Aan&x7%T|cZ*ZbT3j{cU}M)~M$l%3`FlBf8@1MI zq;KpoF2+1#b$v5!e>nvfj+$y7LC@wc=x^OL4^-jJ^tb2Lp*&Iaw|8IZ@i#y6EB^X> zk5%EF9HEk}rO6viDf-)1NMbaW!;byJ3TSD8ZV4oza(t3qQ9Cb)NPNym(REWg4y@@cR zTCb=zRX9-qSCr6Ab3IS!zN{i+o#FI|DZ1;DCn=h5NKdva56Q&eD4nLb%o>lARH{-x z($Ae!d3RFdAv2O*aAZbcPf#o`Luq#s34*Hb6;%25Rp0*^U-CO+rnmA6I7DqM1Ekc% z)Da-hpzXBR*uJt1xeHpwFIZzyTBT%fAxJOK1yM!K0$H+kuA~hiGssXMy5M%XX3-rF z-C50TtVn(6f;OlD4i@f}mfVIQy+9Y-?xAAz(CoXX7Z`%nhb{IIbx~?&J)caXsB;5UFa8mO-xX?5!C?x+B+`9 zl`gr%ZzISS=7>k7U(vJ?ZB&DEc zXQWn8`n8YR7uDuIO#1W$9hJQe-Ck=JWF?a9*@u@>)?JSRRLnlSR9l`aaQWz7yZ&B# z-)kRxg}E8}WG2X+?)G0O$%asrwaBM6GK#G+%qWVNp~BB8UPh1ldYAV{F?BVwLYvrA z3p}5%sCL$TcRD^g;3@`HNss5^X}<5V|3(;3)BcCWrYatX0E%+s__7`Q%Sel~Dby66 ztAEYYo5b6D?>@HhYZepKY{D8sJDzWm?CB5Ws6w6Qj{F)rds#a2r`@7Cd4D&_`%nA6 zU;X!fcd+$oU)jw~q<8}r_VO;t8=6Ql38B|_Nz-e)u_N7@NH-g>ic>Geiu8iFzWWyfa!#?a4^r! z=x9zJq5hu65elQ*n{kYMONLPo2!$npMNya$wT57ZQK5M>(nU|N43y0|AsR>94K@Eu zmT({_1@Wu~rLkg$QOh(&#O>Cr7lIXxTBb2#0*h&zQ*9? zl8L*t>&@L0B-JJNSX2dPb)l{_E7Qqcy-`pgRyB*mw;O(PI zul+T31dvIV8-!9psSv2HJ>MZzPGTyr{GQ4~QAy@o1jLmMW`NJd&NWw*?~zq9;5>0J z>pt&ECPo9I8byr|uc0ng+c6l^={kc=PC0IirO(aX=>eP;Jq+TNS2XyPy>f`_eJ|8( z!bXawwx`w>%~0xV*O!D+nJa|GJ3j!lcXSQ_pWFa&+AW#`z`F?m-~E4l%`d(x&{5C8w_}23fFf93j0!Xa#g_V^wSte#c^G-toTHdhKeSSylL!=y z?v>yp8OX3e*Op(*cCIlrYB-0g?A5HfF|@6hk+^BOK%G(Gf6|SV@kil}OZP22kvO5&j+N$5+1oIi z!Y9}i>Kz`rMYAcq8&mjqzwp0*_s>Kjy}mD=D73c+>3c+%VhT6Qou>7|%n&DW!QFDh zSQ$2Rx0k^G=wHERW>dIZl4wGVtH!liurh^Sp0o!b*&aHZLL6`_WnO!fDYX_Zo5C%~ zFrr=_WD{W{$>%6Rr5o3nFLJQj(^ydhf0xu5AGEHBs4MGg zh4lVQT5%91cShIZYmVAsHJ?%PquWtgs@pX(Di(OENsgHD<)+@~$DlI~ddZ4Xb`N4a z@-1S}Nm`vYx*EeZ!LHL1xK=sSXpmm}^Pd0KpLl63`D68%fQgnEM_kewVt2{v`iFmHatXl~09dClahmLqrKwcrFN_O((pA$+pM*N`>cw2z01I znI$?N+MEs*o(me6EL>N>wyMVLE8>E5@?6r!A5l^<(Oi~aC4WwmFVr2unDQ)u>~^)4 zJX+3r3DW6DS2$mr0{Zj|v`0nq0`vdC`SD z&s1KHpg6l&Xus@HoeAA|J(NKd3ygxgs`vt{iYtluL8-wQ+c1^F19 zpL=LHd)B_e&;5wQ*^?5g-A2`MLo*Pl&1ARuAN4z*7%k>ntNjA0Cc_VMw@PP;{|otXJ!FT)@EArC(8pZ0Wz9diL^>|H zL$QRexpo?4mhrDVz>yVRAy8p>ycicw#RhKYZbEI=# z+nZDYrR0xbvXT6`Wu#+|^|_JG>;*hNFJRg&n!SL#N$`BxU;ih6=hac!sV-R?ahJ(m z2L!+^pqC@=fH7Cd35oTH__&I=_iI=|xC;lQMAX8DV8%O3`88H%=lo1cLK*0_yF8X0^Vl98t;_c5>U)#e+*fTcP@`Q#q~h; zrRHiHymJT0f;Ef4XVs~R%c<~Apt2mRhNHvCtHn-|)!jKP$u~f>RT)bvv^C!z3|!=I zM9Ho6-G}64FCdI&OE;^QbhH|hhFC>!20Z2a>uq#<>kh-6y1Z>(ETj6|pqElYEZUB)%dz88szJ(i?5Sh(pswa6wE>M*bI88W~}k zcAi(Hi%{#ZYQMZ8}E1gq@X7Q%tk&dl%t< zoQxTLH}aP4#P3~V|9OJk1t-WMKak#bSb6-qyObXQz0GZMp!QhLX8*}G`_pdGZ1(TQ z?7!_#z4dE;F3i5Rq`29)29>AEI9O1+$)vU1gh#XQg2hOqeo3O#EXdH)1t-sqMdcOi ze9-d=3jo$(7@M}G1@%#@=E~Ui+QU^U;7>) zhFz!0ep`1 z58!LH03Nd)n#edu`hek;X#qqApn9!C=sMCzF(-{K4lSwnd@)s|zdIZ)zku|C8eKbo zI51VDAGAB*!%#!+l4qG`jgH$nM>Md#0Q&~RtSg{K&prh39`SK}QlWFHTwCKG>kWOP z^l1owX1=)~^UbY0KJ0-#*Z59A@o5eG%^z95H5{xt{;1Def5P}2Z69RG3}~BO%sIB` z_<%lXGkiJ47IPQ|Ql&Wq8gm%7d*OkOTwGmqTqw!V&taWwkqAtQ5_B~c#d-meWr4GtApV}1yGxRK~%1x5_{%p%=i52GCwO3T7sLN~#<710! zA64V3O2?b_L`4>ju6qsER>@aYD~bK()My+P@&0-_mi=j34b>y5_5q-^X;=rJ=IMrW z)E0v?v+7VEA@iQOa%mGt`(oo=4T$&%=Vb zx4-S`g*Psi`lE1ipH^y@F?3mG9#zLjhwjHu|M-u*^)~`$RX3>@b7^BZXOC#(d=4tA zm`fS+7@T1yMH8k_7nvJ|>2FeB1VQ)$ZSav}uHC)^2c5(-4kCK&q3(yTxLbCpl@>v* z8OrBa#auAw8X=*pvORQ;xwe>9C+O*JgLprPaY%6?4&sYw;H{z-D zsH;FDt5!LhO~X`!V0_F+DDHy@rd`kN&62aYwrs3zyj6;=_58dT+qTXi>WMSs$)1k? z=1S}*p%UBF#7KZP_hU^*_F!Ojbcg#WFTQZ~re_|$@y!nkz6)Cnsk@D#=YFiq^kcpG z7r*HvzwP~A^{RWXd0LpQgb>vo0mH>5kBHFl zlF$Q^9IlMky)oa4k#p#MV`dgJM(U%Fns>FM53X$zu5Tt(*ZyRKA$@C8bvgN8J@tD1 z;0iE7vh@$HD{GHUwcKHOTwG9l zMbe(_lBn~*>%uiL!?pdDWW1zH9`G}1iwB0{_0|-e#Ln{o=4`#94%gINyA*$wHGR_E z0o4+<<*}gYd5Au71t%3IjfA#lMz_U{&(3XR(|Xq;@v{xXO!HaB2* z-lx;)a5)wI4Lc(`{M`8n_$Pr*%mZXe=Xm9 z&C^{{m-p^t3*}7S>%mR@)@2h*b;m@Eb03Rs0e--9fyP{cR^sHrDu?;m7oLA!<_8ua zD+UNMTq$+GMbQ26F}bqf5V**t1tmk382+CdaOHc)xM|mdOmbHR;~swgJFtiYb`L*4 z!i0Noec>v9_rg{Fj{Er~)sq8dxAIlB7f)2WXyb?pB3$aFl}oy@-!`L(lkW<%d{geq zuv|Iep`Vt!@T~2g=q;LdSGX&0MA?6Jv&JIT8vc(_Zxi#lV-Bkp;HIYdQ0%&ansi%sdj)~gh9FGz_a6yby zVpR5|9;b4_0N#=3Fst9#SDCBzuw z+Fvz5VgU#PY8>*CijU+8$SX8;Xslp9QrZ6+Ora4^#3u^m|KgkYl)vZa_$EH-Wz^&i zH+w>;jjf5SrMfd2X2EKcV?n0tJhK;J;i|HP8Wn^kl;E-u#~s;jxfkNf!hANd*Mc#ELcLQ+uYKIIi@cwG?5NDf|NjOP=CV?`QX7tBnJ4d1})Uq{31f;$*o zm^7@oQ^+tMnugZ}(eZ#b6NZyO7g|;X8eSI!2B}RS03$3TXkWSEbx8m-oJK}c1aO3l z;Z2f+3|$hPt?bMc`UD%Nkt7+^xg;{23j2T*%c#?nB;6vHJghMlR4-^}#7s#t-yR!c zg-Zu{Ra=54ke9ECN>-p!a-J<+$D=t2YUGXK0eFgTpE=osw%oi0a~rOPE00vjK$O_a zM_CmBMce@qp33v5L@PEPd(7FI((vu06+MDDv^lUcJh_h@qYKJmmp&6G*-l20Nt9C|~I}SG$)|5YJQ0{|&7ie=|GnvAz8Zm#dI7%(4CD+dC|%hOIwwEC?{A<+5!YhU%!2ZlP-;I{=jtrr40-=#K(XUMMtI?+i2#Q;H| zbJ|m4;WQ!-{*1N->`*4%LU4_l7NV0vFaw>s5CK07@l~}Df*I)CBPf!<44AC6?dNmM zKqo*;2-pPtnl~q8Zy1g>3*09_r#pfvK zHE_|;yBQ=E;jHWUIdofmx|EEb`Y?L$-55EP;t*IW0Hw zjOKl8A^yP-nkBdBzdO2RfWpy+Q$7>wi|>A_&C* znF-L-W9h8CMW`rUaiA?QdyCtnPwxO&*Xxhtss|sxNy~l@+~GeNb%>XpL^y z4xs*RRB5T$Fky#$$C?-W5N=>7xcD?h)z#9cVVZe%$9XW5n9roc_=-l62B&kdV$SO- zCMX#eB=|#ot`2)ld4sC-^f~b;E!!-h>_`)*&W#5z8{+sJ8UY693>6wvR)Y^f$ z8vHWV;J^00AM~|GoMoWU5gWQ>TA5?##gg)t-UYUEZ^hnmOFK zV7P&dN_x=+nnB5jLo-Bb@+M;w6fMnm{px|(dsgku?cSv(fqvNB?kzbNsbrm=QTc$s z_CNoj@d=lwrekEgUJ@lk(=pQPju?5OT|b@dIWfl~$HUo>!bdj5Ot-Z^@;`q4)gK(Cvn-4$dyI)jK$mGtXltF{ zq%c^c?NYMyS&+822ztVQx5iM6W<|!(F1S4+!^L2G*DZVGleD!ixC0h04U({YJ$@6~ zS{K}|kpd(h4T6z|a`Tokr`olaGbEdvFe~yYf5p$u*RrXL=B~%O=dtd1e58Iyhu-?x ztmC4pxCfAh)KB!@X}5T!hT5J0q_(P5kn(9)@;Q}+fNGVi?bsnuRoiKDDDBTDCE>1Z zc_HGpvpNiLzPZ9+0e;KC@_Mf+-^4&1-8d_1Y%_5RaK8qSe~$?zZE0P zw+JQ^{h#~QU;feG9EpC#ZQ4v$nTiM2pWY0+?4=)9dv@%gxO21Wl`~~8y^u0t_HEZD ze=_>EMW>SBdFWZUZXw5(l$6P~BHIR+S%?O5y>#}{ckFs6ln7E<=~T~x8GS1Q>$e!Y zt1azu=n8#9+Fm-vF{RdewL`F~dy1Ub8pORVrk3lTBIgA_ug28SC6$~kJe&uVeKRw6 z1i?eQX1+TZ@}~*O?P@L66?x2G#R-XicI~G}rF`%9$ouO*I~%U_=xfa0sg6FXhgCUR zc|qHG~htKiF_r*YFu!B(sJ=E7?F2C2+K3ayiJ=6jts z`SvDVjaupb<3skQ>S}v)ZlSAx_wggY@MnH&;muK-;<~yxMlqg@sr^%4-U(j3?ByBj z8^@E87pGn^dwFQryqQQYdwC~$dkDK z>7?8!@87)W?TqZUyb3<%IX)e`PICtdTUgHNIu#?H6b&tPQZy)*!lQV03gMPc;anQ< z_(pb5yG5;IJ(mVtrZnKw|JJ|y)W00ChFVTHc*O&q+LRGB1YMsJJqIT!$JLSDXn*Wg zrsd!yCUGB?A}WUx)M(`#mn<71T7j^)ZK24)NlDKSwNT{XB(n1Z9TYh@xmW{*+AT}a z-@SD-GZ`gw@&|S}+K0RBoDhg4RpUx0MK)Iqz_Ph(F%LxYj}`NfNFkl@xvUB}->Tjj zt~2a+*!Pk0V{sRfeUzUk%72B6K6Or{@KtgI=mz!l`~)wWD2cyWBVP2a0hQZ3>3{x< zzTw-(X9(*QI?fP2?W7+HW;?=iZ7h#;(wQrXIn-i$tSW%c57V|(6Z5grlVItd52^(*WB(f=b~D3GWd~TVr#5G zgIc9t8Azzk8%n;a=o3Ff*g}wkcBD|G)t!QZB;6yiKvuS`vO{cgjx*nDM?aTB=26?x z1<(#<&EAbFn3D3PbiuNnv}=QxOX%dv78%$Sl0&=V*PUkw|7OU*nvNQ=h;yiNH~r(^ z`PN_heP12~HA>^^p^A~ZqFGTmUEu8?KcX2}`wDiAhQ$R-29_g)HC_lofm(>@d^Q3M z^$*Z6x$+!E2vQN06_=Y0Y%dOtT&!C25EKn!E8^QNY#J)9HyAxAHZ25c_I<@NtqU~0 z(qoi4paqGw75Ce?$dzzZ_v^8z!B7P!FFjl7{ej+7IGf5kL2Iy(c zHg-B4NiyG`{eB15JiVgc&#o5GuZSKVC1DYIKc`TQ_!S|+MK_AKaw%DyIQpo25>Cg& zS;yvwc(2oWouBI7TrxGIr?bC~{?qII&Gf_p(ek=eTUG0}fc>WNKGd5??n*CIYTO01 zik{V4`N-@wXeF&$JJG3L<hM@Wb38fUk}1O%91oI1Z~^IV8FwT!Jx& z#2T>ZJU~-6$JS(;n{RMIs6zrjqvsL`aAuOFTmypeOzP{Y91?I0fl`l#9xOtwUs*XM zyET0VIu9$%@NC!24v7`s(9O{CrTNjQ91>K$aGV5xCA6uvYgTs%&a41Hdv@nllA{iZ z8uV%uNNN^S2f_;0uZ@0BcWEotRrJo<#=@0|PkJJ7M(jfiYVRG?-e*ud_Me@S`^^M` zJ9SEcp(HH;3GtsAbHS$FqSnZb1;`?rk9yT^3()y8-!$mXhOB zvtj~Mu@C}k6GBA^`LphoH_wTpMn!R z3_5CS_p8)Nx6%P(IJBV{acC)H@nd656s$FljZ*u5LWCHKOTtefkrgBX<*0%8HAl^M z#u3!|C95qKjuPbqH)5ANGt%k5KuqKyt?sK5Kb=!VAn%V5U+wXxN2K0AE|?KMJkyj*7AH5(Us}cjAP~KS#|(2832VKm7WWp zq`RsL@K5qxNu1_uZdWUIA~7+Cm<|WewLNtKK88RmTlx;6P%D`W@S#6%Ceac-;75mT zyNN|>ROPCg?U&W7(i=!Fi}uyM9ywUjgFNQ;-V@rlEj*P%(xi-9WeRNtZ5i4N>iVoo z7$$S8?xS;w_(Z?O@d+=VlN@HgH+Or18vQJ;bBXMe_LcBGw69>vsgftX*9eT#x4S8` zcp2;XOFW`F=dftH&wcT*$h6YPEo!aXTm^fXD%cPHbN}GWe>OY>jZr-;vWS%lM$)}< zT=Kd%e>JC;Dc^J+H1^fkrQqHN2M)i9nyP0 zA;843hn^9>i$8Ifl)HAX$p3|bmPVE2Tu5pKZd8``-nv$Q01;=JNCy9mY36?r+7oy zgu*>P7M+GN&K}Rp=A(OAB=dV?ahJ}CIZ_FGsyrB16dov6g$~q z1c5r1W+m-l4JLxgY(QbeD4yGoGAO>qY>U0LakTb}E_SOUiu~#C zbsI@2LmdEGr(9ls#d+x64 z=MJ0Z$*IRvKX>@nlKuWr@!#gb%3lq99nT$B%g-G*wPw%`UFPeR?MHd;p1JYCn~MxV z^zbM}hV=XJcA`lVvB~D%Yd7MlWxr1Xx$qk|-2$&x?0}TNXl+cL!UD^CPeY(~6uBLa z;%2wNP?p$#vsrAa5rGh>_vd!nAC76BAF|mlHdT@3Mq;XT!rV^#<9u_2`)0q`R6Q}9 z#!;5-v_Jje*aQlSvn`J9Mt-fbiElfvhuGe`k8e9~;NxJVj-jtxulv|4!YH2LrVDek zK2TR3s7k&(`@-|j-!$Nmu5!`ZMbKyaJ^S2S9==m%(GSZN8nz*NKJG#;wzB^f2HW53 z9pk253qL;B(P_UVzb}UbP5y&4lJJGA{M`#z`MaA*^WVzd{A#h0_Tq_3&1)Pn5!x>G z(#j>>SRK2>x#KsG3z&RY=p9eFE5mZ-gol1w?!vQ{yP_NLFDKm50;}b7%wDsNGy_t11Wj0T zj#VZRVLuqo#J|@1$h>6s1~JrZZ4_B>?c3|FGKu@HV}mT%^U#8FGLs07W9^WIFStA9 z4T5nIok9H7fs`(_@yB&D{M&qg;0&@h6rLMOL)%$Ek{9xybGKaj)Ms`TcM%(NpbKrKPCStoSvI~ zC~*$OgQ~sLzT1+V7I#^~KN^{}l)M)G2wPONhxmWtyi^Y03Eyzatfg~MyU*Kw$jAQK zpb}LG)%_0xR8yYv0KWmIBd3^hCM@!lEBF>26ISec z{&~dz@MA}&aD!5=X347Kq+|+V-*>%SUCvWRrf_{=;9K3Cs^g@ja^ZqmDXg6sesry9 zv@5cat_ZHzZBy?f_zE)1VuNSAeI4l zJv1pvd^Gpz+Eaiv8KTuxcQ4yq z)|ut`yiidJk5Z^7FK>i;peMKu%dE^2n8Q4P;jAP&7-%e2S5Vlt}u zeuum~(<*3kYCY7-jn+;r2%_q&^|!Md4bV$7uw1eAWV0KM1qr#)>135$ebtp4jf>$( zZ8Eg1dRJ4s(ICZ_R4sh?v2s6lH}mKqM242WcATz6 zlWPk${Syk;ePLCy06~0{GL;uLl&PS4b4AI}{Q*x|547E01Zp=Jb(qe^4h|c2 zSZ(I0@}X}q>bq5I+io!GI+iA{n2q}Ou+@%bBpQ1~=h>+5JC>TmR3E#IwIRn>FO{V6M>j*>vna~`en+|P5=sH>qI z%8rG(Z|c}5m~~wh(>Ti_*ESO;0I2ws7v$&oCO+M4(B+#eFIBfKa~WcPuA35K4tx2( zpjn#@`vncVvBLUecqvv`TW=)DVR|X!QByht85lXtKC=8!4E67R&R_h&2N6SQ-rCiz zXR)CIkm9F48K`iex^=+=p=*f|wtHzz$*F#-TNgZ8;Xrlkf`HA~>cS$){`fPhTNm6f zkBSc+Fx{)o^Hbfr;K@nBRJSg8VoS>nHZv^gF#+t`hu~!jO%=O$u>UTd_Z@KcR~Rt-jt zqHL%AalX}HNF?d2p+nMblaAkX--tPm<<#bS=mHH_l^tRWzh>cVg7B?qBkU0$Q9(To zcVi*lWi!e=ZYfT*inwAztJFh38$BoeuiiE%{U3Sq@ZWy#U;l%jI?CBprdXf_RADYB z5Yog_VHzsKDRv+?mM|m)X=#gKnftKbS>`u! zEkESJzx(|@^SgdDJa~;X+=I8cBlz^8R*bpLL!6qvc8`!<(98X=5yQ7sTF zX22xX2=xgCGGG!lLIZpP889g|LPK-{HDKa3LIZ396<~6bOh6tlgF#A(5IJesj&VRuBSbmC(Ir&KKjqNIl11q`C$h7%14ny`vS0_J;M1)#O@5iyN8!8QAz4*j~<^STFp-+@d;M_p9?F`PnV!^qo!Hd0Z zXW9u?+y3ES`hDN}wU^8GLTe!J306sDd)t`g(X+kniaB^GMYJd+?WJ6E@Nz&Ym~MGQTkI>I>TnR{?1F%UZtQRnts3P$x*ziA-8 zYsz6%`?P+jsXL#ugRez%O;Kl;k_lDG72$olLTeQru$63o$UGEAOkYV+P`CA-@jvr+J_CN{0xrR?tw;iQl=cye? zBeW}EivqYtuu^YYEyBJml&my@S-q9g{N1s$(B5x_b>W)kuo@Yvx0UqF-lX2p38oxs zJ9K;zXwHI_dV?e`hD}U5ek==S^@bW55MWSnk078~Q7aAoB)bkJej?ewn!3{qoN@Tc zvF2vm@81&O+}5;NFO=K}O>#a>t$Hv?4p6|FThJThXK&9l-yZNR*m-ul-t7@2)NTSM z3d;~)9K9pqT>Wcmg^3*Y3t!+Yi9*QwBKyR&el%n8@Z{)bOZ45i%YO+nyR%Fw+Nyy zU;U9a4Sv01?T;WCp)SrIU|}mMiG06qMbjDH%$+Zowna(gP$!8fMg(T##WAo0iW}46h%$B%zRlsuL_`culY*p-?{1%T^61 zp-{Zn{yb`UZOKSNtq~44Kjd%{3PcM1VL-WpE|O5oHSSV7KM%}vD)OtH#(EknN9iMc?izT53Dg@>v z)GL;cYi{3p?&{eGgS{b_cM?>Eh54+?5OXzo)kFH2ZV|1!5smA|Z0M^qeb00fKJY{T z+PD3saVOzO>jGYa{klgEAkn!S!Tpj|AgEM9J$`Lm8eRfz2qL!M^`;d{w!jVBk_6K{ zH0aSnsu!A*tek`$2#yf(Ly{Hwtz>o*_TcK4IvEI_F0)4=4eJm| zHgxEf-qf6(YyQ|cI`|U=+0P!AW(({`g4@BY1|-pI&^mFNEpW*d5F5Fo<*xU0*0Ti< zd#(KOG))}+E?gA#6ecE&i=$#6PInBe(A557m4?e=A7X9!134A!L+)V?mxu09Kdp>s zrI3uzDT52943I}oS^#-uv@@rUEaVq3YViDytZCKhGp_M+;u@;bW|yUzTr)g^=F&9d zU;0i`q7q_&^H!9Vf&Y=ZKQP;WDxpwP_aGuUnNUFH#qqb4 zHAvnAlVj?mPd4ys37hnT8qVlX&}}OPXuAKxZptUe68zuhYuVK0hVuP-Hjk&zPiR6? z@vGnvDGjdvS){?i>H%u&v;*=NRo?urX+PRYC9sq5L1PB55O-wS54yLJFF<`3Xinn% zIm8(LX4+rg=eS@g?~47!vrU^4UPSsqCLG_wOzX2eVgq_+dTQ^%wCn~$(i|1D_uwD= z^c%kGyQ92Sn;0DS^#&qiMPMkPxaLj$RWSvs)3Nedkhr3+m^!vLy-BD1$z9OqIsmFX zu8d^dzH0ibwqSNZ+XF(Nj&nDuPePFD(O2A}J`9?<14g&O_$0b`AxQOD1krIIY1HF! zBcW1Wk?OGs?m49aD;{kQLKYO**Clsb%-DKS-0fKly3 z8$k@SVY|xne}`0`f9L-W$;96%l_C+3K>Xji!1c8pP;@cwBP(z{Uy0dMd{;_r+VVq6 z><$0yFTCOZ4p>ST>MOC0jw?9qF$|@8_v$85&T_+h&rx<=GYYwB5>+&VqwK&h)2x`4 z*d7J<0=l4;2TRwwi9TM@51KZ^iaX#^jbK({0GTj@0osl=oozR1R$}m&03C^7C#5o3 z+hrw&3SXx7$T8PHbfv_M{ehB8VYaT+9g9Z%azLg{@C=fxZBKcNkLQv{%mPFq3JmoI zZ3@kWOYX`g0qmjpg=_9tz1e(Xyj`+DUAUn=iy&@~O9JAdO7mG}9mbM9n3K{{dho0a#ymH;jtpWETC5>=u;625y(GUnX8 zYOt?@P-%bafV4lGanh#zJjMHkFAb0?IwNGzufHiA>|4GC$56dF!IP!;>#E~i8}pd* zJ3PgiL2tvSD^;*miLE&WSC3S_G@kWk-}`<4dL4L{Qf9fYV+2|l^C1q$>M2ayJ;|VF z)9@g;hi@Xb$JQ`v@Ur4+5B_f8S-@?C86xxD(bsXoGC^dEHuQEy`Z_LHDu1?X*! zNIkG5Br(OQy&6fuxnyCr-K{&TsYz=Rqj1UX5tQFtbJroaRbBX~y{8RU+ZkAvZm%qg zOT2*k#aJn=I0SCn{a@YnTpJ%DU3~Ht{onXz=o6(*hk`SnbwNA}Nh$g>{-EB+7Fy+l z1{dDP_7+JgTkl*WKEX#|^yjBLviwk>_=VfA{rPVk>n@17cIbB0u+H!`Xoo5pQ0Cf= zb~}34_gJ@M8CN&Z#5kM`*!J5Hs66eAfreSvH!2QgU{QwI#g}xH-ODD2+9Ihu%Zgn<}bqM;i){9*FJ1LbdqG>tw*LWB&yuL61}&eN=ghQo}59 zRc@g`MG@(jcb^Q<ijdXneklfZjhvu)FWoWjOT7Q=-H#I&!m*r6~sAav=AvK72Gbp!Z8GC zrfmxx#-P@cfMm7l@eO7?H?UXm>1rlER;=*cz+N%9qsCq*o77}NFO`&{HB)10!-v#9 z4#y;JApPH)%%gwLe}`n^Z*63f|k0US^ooHclh*&5o_qn=Rl3W z&Lt0y6jCqO#8|@xa|%hSakI*)lvv4wV=il(=E_R!u)(xx(IiMADXbC-A}cYZConUX z7|61dEKcMnv{u^Bv1Hbd2%%bSmz5YwgDkVY^U>IG=t_x|%sMDeYt>{xjUPKQ>#N<9 z#9V3`tabNarqudCNHA-$3u>`>tk67GNP_NVO^-e&Mea5!^6|gtZ~e>P`TnnZ71-LM z{-CwBm_N>`9!!s}j43g!PPVm2q!EyCU;zl+ZNZpAu(GvzBw*`fSGIPK|C2)xj0DWK zHu(bw$#rBip96;A9bdvVkR(E!W45(u_2uk9T5n7%X#}&awa5b5?tvkLRltBQEL2_q zgBzMMD7cyP=o2Lo1DV%=H%oP!|I1`qdde3&W!nKq4EpKY z*CChDEa2<1V+;kQD^Sr@cLr;w=X`;5BP%AcCa6<;cRM}k3-sTy_Ybi)YIJ%9H|a@V z0Ap4Lz&)xl+ckyg%O1VO0iAD>{$oj4Tka$C23-=Qs#pp~N3F=Ny`ns8aDmgaLaX(@ zH>^O4k$p|f5e11NONgMkm?+H3KoU1DY1a-(6dl!1`s-h}&i7~w0z&zfj;Nz*-^g`R zMGM{<#0S&|$6jcHx{?x`EG(hP^F;QyI?rkE*;RSZuF89czuDV`zm-qJ?-^wMJ>$pp zo?%~q&-l@JT;)xIWPZ=MnJbm>UR^0fVA6O0o;`EpizeM-2)jyMj~3UYx}=S;=tf=7 zX0s4W#|*%Ng+PaeKAb2UM48ULry)=kGRbyS{%p1jD)>0ydI93dd_X=`sSpY~BmQ3wWs>iDvq4!B!%nRL9{{QvB|3#=|#Rvw08gLs8R zU_`Kue2oplX`kqSKZF5?ZtO`s1bi9538ZiC^mN13(5Elm?a81>Ax;E{@C>$tg=~XF z1Y<164wHa!h6Kgo;V?ktBu23@#7_dmi6W4I5KZ!Z>#^6`yXw^A+;dOgzEhx{^Vj`X z?W+2#YCXU8t&3eXeRaooEuFt?r~OYCyWEDc+h6Re>)$okFWYH1>Jb39PK_6Ydc>h(&J-erkC6JRTmZ}JP)7h9@S+LuvuS*vg zm}0^}bkI4*RlCYmSFXZc$qRJn<@@h`7l37U4cNNZ^vcC|4=(d}+|MtmXSecIwHHrR zib=8RE0?dl{>tTp7v!Ym(nw<X@zr#Unmza`K~ZiHs!AL%as%E`)Rq0kuPXQ zj@L2mu5ec)XwqGIWpDbs!v3C5{DUukVL#Q;D&IgB8aFe-#Te0cH~QM?&~hvPMN)dwYZB|LnZCxm!I z9C3Qy10URlSJ$L?IF)}>c{lFc^m+LjSIot1`ziR}zLn$OD792mvVleP@c71?-*$`7 zl{O+Q#MK5T%uQ{|`*nP=yGy1~qwyuvctQcAnmCOS~e633qV~oSrdn*J#|5wf<@#vry|!Y(w@3t0J?z{Z&B5>r!IIn@Q4UU z4_l1zZB~>_W03^uAbuZ8D(i5*nhJi6qzWQkgsgiY*|nN!i!e)7!LQNhhBYaP=DGIh z`R-s>mp@?id47T9fXMpC^W05FJm5`tHytqy=m-2ZlG8zx9XJ*0JG9QX2;@+Fj0E^Djc(QNneUO!b-;OUK420v(fB^)s^~(;@sZmCtTOF?W-2J}-{fkrL*8Gq zt+AY>R7?7R^LwBjBim3#uC)UaIfBWcb4HJuV&S$BfjsU9y=P<^De?1XkBE0mJ*4ti`uBa($4LCjw}0`^{>5ld z*P5Vv0ahWzy}it9|!a3F(cR>#mSkr_B+dH#s`SeTo;5j-KxhS~ZQd2ZO7$ zQ8r2DauA6dk)gnEv_)fUb@Wj$AnbeO2qch>FCsZmzTlT3kn;ggz8B#7z`X(A1`*og z1>E2i3G)Ji&nBaqKprGMLcuN6n^V&U_Cj2t<8y|gN*hdAp60_}?~&yxnwMu`_<#A# zzx(6g7~z_pXRD|uLuSFLb~V5?YXXeWp&;;lfXTHO!K|oZAvh@=fy0&zW>+ifL5yjo zsD~h?Sy3ZdWQzI-xLkAStf-G@u`yM-0{K7FWJv+$!te>1Zn+vj`5NL!sPwF;!2pM2 zQdOeA{W@T}TtZe|w@#XHlRnq+Qj?t2s3#-jo{$={YsH=zX&JRQk1Kb|sJ)~e(|Zi< zC~0WdjuZ41gCc)DM)cJZKfQtR(=?0Knv~m0bZgLbR_vVRyo-PI6vR*8_On0sg`XRI z%$m}6vs_AUIu8eFBFvC!i*r>4)2U2B9#0@}RSo9r>??wO6SHSwZDH{{73*BT+@stl zwMG;j&E(k{mPPdy4_j1CC5*rf_qHfm)t5m4EnyLB;B`fGQfwf0ORZI3>p6pfPE^p? zIu927Z}W9J!mXgBuC{RJiwpvX->|L0+P$Je7yVz$=Rz8qJ8Fm$ zdPS=goOFNW{hJirv8G}NJ1eN=Qz1{2a#0AB?Ub{_`R2+eqn`=`Ew$}@e0p)QKV7xh z(jUh&fM^s;{crt=?|kQf z9PYkS(6n>4V+;nEuhjPp8YapA8(Gw$jKLUXz9OodMVB$=E6-$LuC^s`;?Po^@k5t+ z89fwP)GM|dpctU%q9cvgaO?7k75SjJ;Nh@R+Q8%CWGSH#qAf< zFFQ%pzR>`MV;^_jSsmi;mo@jCs3DSDbN7ACQSGNn&$1$=KkaG+#S~Rox&7S1{^2FV zVR%za=)}wYOI1r*IZC5NUAg+-=IdsYQVB4+IQw@2Yp5zf0O8CUjByQT-=D;5I{UW! zh_+gs{Ws9rpLUB{gR(gLH^X!FyT0*bfAOngZh_v6)+k$7EllWP-LZ_>C}WNidE_Cu zTU!gNzrZ$5)2-8PLk>jPcxW}EFa3WABd@vrz_Aff!NpJR%V&IXD*_wTs09sqJDTX_%{r_q>PHzun$od~ok!2Pc4k zGUJI}ZRfww=W~hg-6;Cs#u_%8W*j|E@c7sNA`(k(%LNKQIx7NIr%iivvfFY|s%Xf6 z+MEji(Jf19n-`_@gWK4+Ee5wOl4o*%@jp!S9Rd1KEOCS z)2&={yAc3!MM0XLU^JTVKz=5V(Ym1^o%tA{KR7=|*fgePd5o}mgO3qbYab*2llx$O zj}f|?ulpDcv>hWLtA=SYN*av7IBg3>$AbMt$Tn+m4bYkO`~o;RRmiGn&IlQ0JAyo7 zRV(Z$z_V4zI)WMj6t*51fh^}7>}(UkwoqDy#-<3k9mlXmn{^I(iJh&cpFsfnIj&!} zl)aHM~#Un#u#g;{}!%=Akh>LQAhnQgHvp z2Qcu-s0V@!jJ5{heoD4HKa-UQVowGX=x!qr;Yw08ryU&>GJMX#mA~QG_xd^4w5UlU z3#HH(_({#0(;p&O_w*29t9^(j`5*F_K(c*^_)pbfAgL2Z zBb8r8MG`iqsICbkHSCV4iiOaM%t>mQd4E1T$*QzbIXXP6j!L1jOZ&@q+W!_x5A$oS z_DV(6Q^7wXo~p5rx0SnQwD&>ZkvZ)aE#-yj6(G=3DW!$y4PJe4`DJgv|H3P`!Yax~>ADV{O1!Lv~x;Wo_z@B`6h%<(nvO|^cysm z3g#szJ&6;llD-P4*N(r@^$gxG|mlF^!~ar4g5Bv8Rv6o_^Dp{x|P>=EDm2 z6T(3L{nv8CN=d@JeVOTUsw67pYd+LIi&O&But-@;{8-lc_E|}`K7&0qHEd*&# ziy%OD%5bm(_^lD7Msz{o+;oT{<~>PMKFTI?F$5aZp1R-}6Kdrgv4`3G5TreI!P2#Y z-a#956@s* zA0mFKP3P$O?idu3CFZl0t<#$M_5i=fgajmaNJY0-lQ=NQO^qjFp31{iCaI{nJtM7W;B@!8*W&v2xuge4%Nm)QI-8etIW!OcY8 z1wTKTwuP@LI|Gt*3GYQaXJQ8g@2FYl9i-Aw?NCMYEx!|L=9TyJnE9PhXRT}W@P4Xn zL|fFB?aF(qE=PWzQe1^^1h%O8*#LW%M@(Y}Y*^J-2Q2TjDgbbO*#W;I;U`q$wuuP~dS5tQdfcjLrC1U57;&=Bp4lKwQoiWf)w zz2ng0N1NagKxyfA1eJ@C$AzF2Sj(YL%G!5YZHYeiv(5NeaxuB+us^n>U5+ z#uyOeG&MHyqir1V)3NUA4dXxy1olq4lEeIuQ&~ zQjeYBPC!XXpCWv$^wHzajy@`8N!nuK{Aobu*aImyhkDhYr|45`UTcQCJ>hfp>B0nj zi$jW4>x|r@)+XIn0-VP+I)42KJzd`NDpmjHWV-o-AN|Sy<}XI4roJz&T?dL876XvJ zBk(NR1sSG8%9?JCw55~Lpw2l8%VEGN6AW{WMop!KjTN(9KW_Fw`-&|(fvl}|DY9M1 zG$fQ1)o2OADjz!A^)qG@3pj_B!`7v!>^kC9>HS2rs5DCD6|-H(ucCak;E@wnnk?AZ zbqq9CSK(v~yK&i-T?css;m)w@fTGpD!l(`Ire4)5M|2aBP|cp8F+zNZpkLI z#zSF>6X38SW`HpNm9{|J1DvgJn8$&%F{2=hkN-{?>W#q{TAq}%dm1K2y z)@SCGphbJ)OvN|2LDDIUIQr&f=EY8G)K=j=&<1p#1$bnGluxI zX1+tXkz~+k2)S(}Cuw_C#u;2$v*oBlw%!iiXtPeLZNM=0@w(%S1!o$8H$(*Z3i{SI)bLEAP9k~ zpeWn1zeJd^spY>Cf?*R0vRg9*O9-}vU=Ln?QKrj1-67aJ{?5nz{L{f%+XB4Mk4+akPuIwx1iK(Mp5G-;hsdT~DF>q%H(jjp{RN0jI2mV-x z>`p?kA_*csg~Dw1-2uDI;=3eBf|OAM=3COWaXF(#S&dp6gQn)Pw#rvUVG-=eCta&; zcVM$aBbcQ7VlO>~Ztw23TP{8O>G=@=pNB${Jpl=Nh4loe}}$4Jx#!I;WwBJk=xMBL01 zxFF(DfLR!_BC(+T4E7alBjeB_cpwvj9QtIKi4bHM>Vku0wUt>0Ujoh%7XtuFdokH8 z50cepvwRpLtF6qk_CphwyQXhY|B#X=@XOh2qz=gq(h#jA^8`h5hyhnBo-R2|ftzp7 zFa>VDKLt$m2o$(#vIDJ>EPNz$)jt>~Zm9eS+sL!xJ(sHoef$8G@LnvZJK#?&3=Z?q zF>ULO1pXe%KliL8671m@if{mj3yT20%$v-DU>IH*Y(61FOMHsIg^PochM-?P=zPkA zPmD63&@}<63Acz|!^B&(I2exyi2J{P{r$sx!@*E&*404V%^ql1+C|4ZUID~K|EGn@ zAxH~mTVxwDA|)~@H7nA96+s8$9*#%zL}Eoc7%mtL=Ady?W{xMTovQ3_~(av3t>k^#hBqphg< zDaFBX$pGTQ59|P3^X&;BF8sfaWWGNE#NCo3w0<-MKjTL~6d*39qI9mEE}%;W5OlcrZt^Ba!$B*G9dL4>llf7dW;6ao@~9+$BA7d+8C=StAbS;=Mc` z@8vK3hd=g5|C6y1X$SCSOGe=gtW{ePsL~@_fE^?~(lbjf$KRa(iS$VSEVUed$1ERk zai}HpfJXwXgn<1r-yX1(vmK0*NOV=_IqDT?O2RyX0U~h88_7qscn6hwq0>d^%!D=2xH%S*00CDZ6m zU_zXtE_C5rt>kvY5m=$z76=0Zl7sYGD}*n){FL<|-eYvNz!-!YQP5o_pVa_f3S5Gb z+Y3gf-J&HJc|5_$Cx7~9{=MfS7}3bEO{JdKXyl}M!q@18tn+0wc%B5ab{7iLk*@`5 z@LbT^Siz9D)4|1&1Jhcq3t|{1`#v@>Lm(q)R?Ml?(;1vTv}33iTfk&%z}DtOomE!R zuYcTh5}!Fwhxw!ge^XG0r{#0ZsZ`W|0AQzJp(Kn$SE*D4bDXVAu7FK9MM;$x*NtSV zNN&(=(_D9zN(K7>n{7x%%K)$b&8t)@%BjSJ@h2kFewKVh3$8JM6;@%yb=&?vY(tF3 zrB`d+*Q2V-^h2hn_yJR7^cZ!szZrAP*OR8yr8zas`jgR|YH!J0vpzL`n6`Xtk{CZ6 z-up=(`PZWr0!!8zDGOJTe zmgHz-XqBE~N>-=)16Z|H5JTQ8rJ{&bTOUE=0G~@%r%^@fqjO>K?Mp@_Wj>{eYMpM* zqz+N2K~zJGwfo6_x~Kt8Z9{<~jm|4a$tvo)GJ1lw3UfrMt1{mP!gOIX^W(Co3N--AV9e(s2-z-6c1=;WgelQqs_F{ z>xyhY0#}qU6%!^!pe%<9k~AC=i%oO_NXi<9$}Hx%nv1**{PS5 z6oE^gH>l`qqGr`OxICjS$y~Hb9@m0ztd5=&R57F%+@9@kDG3K(6NxFC(u)Bg{4uLF z^Zhv@+pk{c+ItLb5&Z0ubnrDX<3X?JfFyqXbB_9>p_r!^!e=P*td`?Y{W!mVS??Cm zgC1VaId`<|l|>&OuQm{25GbDJZS**%P5MTQ;W>T8=QE{$l%^^iJEn4PHEsQGQ>xuwGg&uwy#% zl;o5<17~l6q{CP{16C<_$s^h_)WO&QF3_H5zCQ>^!7<8&*P2tdv(qx_U}*Ff@nJF8 zn7yRmP3R*~W?Z@mZoZ4aHNf4bZJ^n_ZWnflPNAJ4R9j0X1t4bB&n zwR#E$fvsu|U1@bN9>YF}{@-==KT8gNIcH4kP;I$F^LV=^K`Sna0n==Eqh8$!8a+;P zRIi2}y*h9!*)i2|lTvT}da}QEp!&OyZY3hF_|&c(-}HT=bOI=~Iu-dhnQjn)ix%#4 zq(&2#A~h(0dRhT0`xQBfgfjIGIkahU(Tw!)eIN@UP#sXVBjMGF6z2k*1c?wAOg5Qn zyu-*$U&%wn=?L9r$-ki^E4}0h&G73YY3-33!Cdn(h&zq;rI%i$im;F8 zM9cUx+pNmy0c^!onLF~GPo1g1dP7>UOc{;Xx7GkHJ>ECdToOT)yO^5Dg7Qncpi|$Y zI~9naT2MSi6NH*$lLd#4?u-Y*&dN&revYTzidied50rE_ADSA^1^1YJqPQl|S}+F7 zg4B2}Xj9*bltS&P5tPKbUkoBDRHi7{y%-5we980n%&-oU7&fPkm-ZE_NCFPEH7#tR z2by_9CHAce+^XjcM!&;cNt+!`t~q|l|YCi>_H!Ki5Il8jQHUN?VWZ*zqA=s9Dj$*@Y z#r+EO4k8FhiWYU^9L0va;0A4vD(Gdj5EL73f`fAf)P|en;9vo@;U+maSwIR(e&MJR zT|HVrJW`h&o-L4X&r#qf{4rNOvjwXAbMi&2@81B>XR0Q42u{LQhOia+TFsx0vl848B_RkrfkkVnNgr-0Mb3#?T&S=$A zYo2xhA@rOUO;m(1;Vtbym);r~TQoBVRtDIcYC_V;#I`NY7yRzA|A{l&(gi~R4|H6x zY)5E_AFOh$(HfxTY=NT55v8zxpry+^@f525eyme#5#P zRlyd}G>=FTAOjS>+j2M6SgFdO3MFwJx=?HefqjLLiCWABi{0Aq0S9jmonZ@scie2H z`6lW-+Ee2zf)B|$OLkB_&5F6ebwvM=*6u-l(-z^V!F)wdtrK$u#$%h~78g?$xG<*x zQz2N4+H7Uqcs|Jrwov8|0QM-9la*vHa2?PKt568|0BymphAn8EN`S+r0c?zFT2)ZZ z#5dJw>w+;5y;QW|&kU`a zeWj?C6sl~8LX{d=+7#E&6{^LO{<<)hL?>{R#Gw2S*HE{%-s4iY)0Xd3xBuS%`j0>H z23r`xMk}t9GMmy+S{5E!l+L~x_jOST5oPp<5qF@H>p5L(LAqv&f6G-3Su2Z#*ll66^hEpBqx+!#cY$ZU z^+w@YR_2%=+}pGK`;u@J6bHP)h0WmaJ=5J(YUIv_Qd{9=9h+>1Dy} zZ646W2-QP{yFn4NcF-zs(}%Qz2V=OyJG35}5}Fk&^|(ceRn;R#{567EJsQ~^^(czg zjbK)fGX_O-sbsq(%H1|3%leNSv{WD8|4_p0413c8NcM@KwLFN;8Kh7 zDj%jPJ(WU0E*~CF9YEBoNjYlsKT~A%@U_Bo1=s#vCJwz7aMxL#j~`^Xm-P?4@~$6< znwb(^ddLVd1r}I7lu^h3A6s?Vy#A{{v%%0c2uD`hzZL zoI@ZfL$s+1F9fOZT(C?k0Sl=$LWLj|UJ=A_HN>q*{;hdcl4J+$P_Ur+Z^W1{owLN;iM|-4?#kt4PJKVDZiT7)VtwwW(+|AuyGxC1) z$)-lsx|)Y8d~E&lgQ~`sQo?Q10TQ4iO#^K|Il2rg{zFigRy8WwjvOj_y3s$LYFt3z zR9zMjsQ2e~?9Uom=6giVZdQ$KE3~ia_-9tda*d7NPD%-5hkSheVAUpH>p)|o#e%-0 zK<0=x+NQVu&RuMkZ_(afZ%(L}3HZoffyd>sPg}k(q46mn@y9;*TOy&M3v~?`CU8i_ z9DLiYo=86yv@H;cEXRTRSvp#?g3cPUGzY`dNjp^*%nI_v!aom<>DV?c#MMc|x2?0T zIp7Rnjz*VN>QOtLVV5>*Ks{@7leJ@MkR{)?*16))SvdlB!Jar8n?q+>TV=ouOd)1T z_+;~CR|X8|8tWoP$kH*2*?_?kl5d-K7O_ZkJ>rhpS>uTZjf$G3(^~V`aY52ZMXyigVDHGNHZxnJqf)1YIFf6p?ot192q_-68 z64(HkQgCU6q9YIzn(Ox3(Vk?!?YnHw^J1OALeqNEX7zGCUyCCEVgc4I|q z<|2rI0y+XKVp^qYs1Ou)!VitnMB=%kgI3V$fwxkiL|JhMC_S|fGR~%OV?}W=9P4$a z8KNNSk?lEBGy951OdwGB7)C3ou8$Q(GZ#r^*us+Mqd_W?q<9y0a7>3(0t}3lG*YT0 zNzLqQT8jYJJc$;~7cQE)NGfSMBr#v2bDsJ3prD}Ip1)Cxzm&?iuX#Ga6X%Zv0H)-T z;^?C~oQf@}VP3=_ zhAjdBg=!`c1~s?8HZ8{kgvp>bdo-l2H&>M}lu@@w88z(|wSmggmwYpQ$shGe|Lk4A z6ksz8h|#9)`hz`CJ+%Q!PKND0*hAg7 zlj2HFAsqyrO3C&%#a`NVTJ_qmI)c5`PEw0`zEOX$x7v#N&p*!Rlq=gnkCpnzOcJyzB>`@!DDED%PWFC;8^P%)=#coTmC-FjxZn>SD0>08|Q+xvV5iHo7?*-VavUmZ@fM|MlufKBn;03U` zT$0x1@7jPIFh*+h3*ISZ9OMbR94>5ZI`@wOD#IU)=9v+9t#6poA9EVt?d>>&P zVll!Ifj2o>wc%IR)?&X-fUkQZFKZv3kU5AR)|VG?p&5HJaBSB!)f0+t)ZzYOU7@_+ z@#0t9|0>h*U;f%_MT$*ofBy%*>oYz!0wq-55k(Z3uWT{zX?aaxsw}KgK}J+mk+y|a zX%=Qs!vax=kShXxrE3_hqX>dpSD;^r!2}7B1*sxkkZdOc>j0ezNcRQsR#v2nbU_e2 zk#8PgvhCU%5{e*IWD(qfr$hwN>a5{{ePw}C5kyOwwIlcd+z_OSbitU$2zq=i$hqKX zSTU%iM7dmUf+haO`@VSi{9hyr<5T{=oNjzApY%*8W6ki;!WxuDQe=oRG%(eEwAVY_ zX{38G1u^Y_;!)K(tNGw+hsNPX?^D_z^nevhd|RDrlX{qHm^)_~09Bo{;C@GzFCCxT z;qbHA#gsQeL2UG5-VfhTE_O)_9n0y@6Y+zLo}C{**h5z62?^D5UIPDfKS;WV~6Y^7^_rCA{*#LQ~5pT1ID3*~gog@o~ zPMR#o4-sgf&#ldU<@f>3#=z1^b@0@|mgM-sa%6PkcV=$Q(SRwd;s>qyQE+26F9dV^ zpim*f^ATECqX~2T0Hg?qmtjTg;LgcGDnDDF;3GvHLOa@spg44$MMM==aewQbq+=s7 zIo*t^KOSj08mw$a#ad;HreAg({45e{I4_0nw6CVQSo5@=00zBquf|Gt4t|wP>_>^= zi7(3>uN$1>g{J8gegbKMUzc@ddc}am!BM#uo1s1Nb1m)TcmLn>x$pa!Z}_MW`4C_M zBS61e!vP50Dy~Yy6$qQnyUI$TSHl6KVU01N6lx8Jff1r+R$cU5rQtxIDEbI}f!5_0 zD^?m#CmK=}f+%A^!y!sQWkxb2djXu}i^&?!I2^I!5g4*&#Y)40v6#9bC`)w2@=A_ZfapG>32do{rHT38!$TxM zLU+X{uA2XgZ~8t_GU+^b`us0!TdpZxpo<9HSA>5YQ2J_r|MWUv1RE1rH`_X%z*PK2 z4L}Q4Z|z{NDP0&^9d{pdcZU%07XU7CY#b#IT}Whlm@iJif~s-FQ{6tJ5}NpVqCd`& z`kdNQ+iOG_#SUMrxa)4Pboh>hNtxHZO*Mcrgs;Ds7E7++6p67M9tgXh3L*R zUJjt@?r+F!QQ{y5*9=GdMub`|)4C-A&TGst%Aj~^_bj<_-b{s*2P2luSO zbIu^io}! zic|vw_woW2K@arD_^oo0x#pLvenqk@l!}8Z&5N*dSM$l1AEK-uzc%v=Q|?N?Tv@R1r{ykI zg5(dYDW7R~h0<1m)LohJdDGt&CguP3^Pl&3H<6TAW8Zq^ASES-8uUXCC@Fj8a5m91 zErL2*Hte}l8JRPI(Qp9eNC(Qv)BN@oT&~(H=jd6ss@MpQXj?#nHt@an${1zC2!oP+$YDvrAR9YUXgb1luFp&6llYvDJ zANDp3Xk{X=GLMr>eN>1`(tdSk3Zmr3$-O-|D{od+t#GqS^YupDA|Cf?2L1f*@A~vl zd~b9UDT7R@Xp62xMO))$@~D8SRIGzh&A`;rc-j_*V;smyCloHAk)jz1L8i1^uylii zc*G`ogrHQk{m>^gJj#k-htc3Z1Zg~dMdK-jm%Z&$>`6u2Ry=RPtS2iT)}TqL&ymKn ztaw;!w%~{X97D`32awB1vfv0VYO9g!!I*qu5aUat>z~IaXCJ@;&9Nm-(GYEYO&mp-eb6ZjMIDNH|;@HIMmnfUa)?}AyGTmX@Zi;|*Q-#)I ztWz#QnFb=3=X7_bcuVqOgqsz-0wDK}{n2`Vt3(9g@`itrj*p(v3bHUl_AOKwky_B7 z$CC$iW%izB4asQX9qNTH6z>?wH9VSRcZM4THwP1ptx9hPv(VoUjRs$O2JEheqXSD8 zy|xNDaKVBZJfU~EZSOj$*dp{vm`U|DOX&z$3phOWFC^Ye zk@UjV8PJG&&FQut#X03LR!dveS)>|h|NhWyeKxJW%MH{7^RoQxOMBu1r-JHBxDzP9Ma=`adaZ_1K!!r33!qW)MzH{Z!33ShHa*}MpyfK_vBkrPSBp+} zer3dP`+4rSC_2iTJ4_;MCDQ|b0mg4--NNjf&a0r61br1QSQ8Kz6&;BkP68d`Y?6C~ zLgMms1Q2s@)p=I;2$)@kJZ;FO)=1`i1OiOGN6;Dq2#z(Uzci>7rk4g=sf3O#ca!g3 z`O-khUmAWKDx~B4t4fsqxcgsuDIo7J4L^2X8q_iUrQye!FU?T7vK=oY<6^SMD^F`w zpFlkYCos8~D8E%cwQy4si`?YZ>0_6@23F5vSi@)eD8nBW#7XX-=qxp6^skq|3H zLC%_}1^K|aU^JDZG}Q{$pe|<+gV8h3&=$Ef$shpsKncHN8dm6E?rp~ZUBJ#8+5I_zuS^2a~oEz!EGV}U#lwjn{!*g#F4iKcO| zeFZO^KadNSICzhlo~F%mw#njJ}XV?@%=t zr#e;?9p;iJ`1Dd$2O3xCar4zk7T+a}GXQ)rPLPDn&fj)dsFwSj^(T z18+K#Q?FGTQ{vhGN8~y}?#rhpbRaVD=;DjxGpAqqzauGnX&Q{>^yhJh^DZ`#z@MMu zwnukF=XFxtQ&sA;<@;3X-}<6|_piJ^RH`~Du2S9aF$IV)WQ9~;;~??pcR_%dsjd+3 zVdf*%RrdC>TNv;oq&HemD!YaI128*OspMsE(b<(-IMg4N-9mI8fxetECScU<#bmb- zlgCh&gOY~M5@>d`9=+`gvRjBTl;Ag{AToBEA#!IAc^?)={r7>P;Ybg9np<%T^Y4@K z>(3lk;5k>xF2$3W{aACy`IocEj9&+b@Seq1UXbT=(|P9GgQOU{oMG`WE>s(= zlVo*&km{2B6s`y@UHOiWs%h1CUG}8Vcn=>@TT?yiQT8@)gK3x0p{iv4JG#BxBlhx1 z!A!oid*M45_P-(0_lZ&|Ly5b9|9d{WzYELaymDyUkS)o8(ABU!M+^UjE;qg0KwhP9 ziBzsOC+J=3YJaaEms+=XZe;mBwf;Gu@vDDk94uPs%E!Q>7|sB{mZ;J$gI(4egT!=M zZ2%Sm{YNE?Nsw(bOIGWEvvSA}EjVf{m3~q7TvvlfpjadUjZUvlq9NURBqG>dCb|uI z@MgtIt;6}&Lxaq{KJ=(+D=GvjWmQ0p6DkTqzv-#LH0s0ki~nuDPHXk~ovP$qgW1*$ zOhp{cG?;srJsJ$pV&(iT91b-9DO=khD8%L`Z&PZ9uE7?tsDTpwfvdSF`a1`n3WkU4 zDgN6c;h@2Jn!%#K@n3w~&-`+v$~Cz1n8-pcuo}r|DWsW@KBfhW{6w8*8q7!KG!tsE zfI!lb#{ea*{M*3{ZsCUpJFNQLdq6u|!8cu+2@&H;Q$oy&H9usPX4(UfA+ZLMOwCVz zeS=J!CKj&x;FeacWhbMqhWpV|LXX=t=R}a0;>e3=Vkjqq_$($%1?Re^M?!MGDm zA!Uy0LS844G?yOfQ4>ix-(1LKBB?5*Dt;l(U$&DiuJcL)98S4)nH2IAC4%1l%+b_HCp5m2q1lpvfhx=+Ylj7?YKQ_N+fhyR(kO20R&^pd<$H6vtrJzN46d? z^;S(}r5D+HqjtP29#UKw3 z%C|OKU**=17~G+f8;oLYF1u2CoI?U^9ZLlc>x;{gM3A2*E(53|+7F`-&(|Y~pdxu7 zmySp(i$x`wZx8q`v^fC1e-Z;#lGXj$?kI`m5+b_xN0KaRIr?VHfro16+Gj(Qic5lP z&v5T(6zoXmTV!n!{FT<0g0n>ky{hif0d$0No=2au5|ZMbm!ogbp!kq0!m7;qCUd6= zpsH+mG9=_{5bYgNTq^>sZMf7(-73DCSTNX2U+9 zhPuz}m;Gv6%76C%;+y!SM?rSkJ_Nv64^_a)6*Spb^uMuQeA4~Pd~2vv&7*qi&PzpQ#1q7FseAQ|>1CMY zE0(%f-}K#F_sRggK2fS0Rb_<2GSHX! zuN0Ma!braD5k^VkBW?1{O-aNwviGSU==;83d-u>;gy5vQQD();(Sd}-BM(VTRB0bjfvvja$wx>LUfwy+^ekWO!4s#! z3;L)vAWB!19_9<6YT&To=%~^e^Kp_)Pcx`@g{ftaUukPhElDyx&KG--DdV{pNrM@> zrveS@UUsRKu#;pmaf|WM$J8Ngk3sI)&NU3S>UQL&9WhZgNC!7EPz= zf+2v{HZEAU!wyUKJ~kIl*~iE!OS*h?uxcCT{*I8uI*BZu9_>d}ZHN|5w6D4_V42)> zVQ$KET(Z*WO~qaI%?h+xIwK3w=oO8ZMR24+v&fYN`|w8$dCPnn-2fL$+1HP8)vg7+ z#9f7sb>WkJRT8an_ZapU16_XE!Mlz(J>KuIY|zs5Tapn0pQ|x=RmZ?F!0%{ z>mMVA!TDx@2#H}TfQWk2alx`({a`t>sgIZY-glK%r)=U|u+D_f4-yze`Qqe@&!6l! zyn0a1xG*lbi)5ZE1q11N!|$5O@x{Tv<@EmL`bgKU3@Q z&CqDhNGA(Q(z0HWf-3-7YC+Ax`;seQnq^7wL@IpME|djINi4f0x);?k+#oz?*Oa7( zOO`gr6=v48lGWW=13oKPjx1~Y6DgYA*0iymxFYyybT;#)Yhu|X3(OXgmL!2I$$W#5 zd1j}(#5-Mn#e9dXb`D9PtLqf>63oEBkVNl!xV9YtKYUsm+<(Ze7xI9Jk z@-ze=_d7r9M?ZVi5IiA_!rDm@sx#}E>5Re$U?W8tgZ!daGjIEXYzV;mp(z3w0vU^( zbzC+CSWvl{PMBTLQN>b#FtrJKWRl<^IkqAEzfrK2A%L|qD+Kl3N@haVn#z2DqD+gferQ}ueGzv2 z8^wotIrbOpTrJbixTM=8b(3^st9@Z@7Y-#4*{;o@WC|dX|Gm6%)Vo>R)dTJNb@d}E z(?9)({_!`@qSovn|MwI=xSIc`^u_;E{MM#@OLL^QHQzU z;3O^TFc-8k2m4Tl2V@P-y`l~)D-I2*q7HMx!5LL_2wiY^L=_!EmmD5ZMTgKOA7%8D zDjWw#+2588Qxu6x8Z;_vo<_~6+#3Z{mZMJ|?u2R{Mk_{we<3`0LkJJ-L7wZiEghh@ z*8w{17PV=Fr33V4Iza!Q-}4i@ADNtrIU$+brjm28kI^&as%G+j+sSteF5Yqy2pX<2 z-04l9iaBbB>=kK~oQg5T4$>xI-d1LkNI{8rXq$kC-#{wH!QP&e-)z{Ri<~?>srH6f zIeE}|gFyt6DAx$2CGu6%;~_QdQyQDHcc2FO7K0)^%}1uo_VCF5BCS^FvRl$4$)o2~ zkE9BtNUn+X<=(r8j5IT3^xUo`-91?CUbxs#j-3P|Pd2%@4|FeieTgOO(b{R-{+ z5X{uqIT3U?>@*9#N3Kehq$guEtL|5gXM!|F%2KWRECe&85)^MtR*_7bBt`Y1t3=Rg zd*Ylvye(URPn)Z$AeDu302y$(oJcN>sz_Bi9pqVEW2914Wmsp8CWlXrU<%6m@Jgu7I}(d{sy3MlH(cApzz zO;}#?;?tIIqXQNh-}3`My7__+E0l8J`@k5cl2ei`nH0dWg-Gn6?^54l6{OyzXKP!) zj_imB=j8LPDX$QeoRY67jdWZQboUCN4nfH&xge6*qH1_#sv0nN=vU)InmTGh-l@<7 zkxedN_?sDTU+1f4@*7$;gD1OkgS2Nd?axOe?cbK@g#0o4ZM^Zx4HFkS?SHs{O^Bf-DA-j) z?>ZGeTFcm-CNZ|;rzz#G6KON&J300w&V8$wyvZ%*O;lNo+@e-9E_sui$(#J@FMs$a zd|$9MtC?z@?ei9eMW#d0MbUAMbJaBsq~Rdj+BMG3HlU1a7!9H+fR<|nv$H)oA~8GL zQB3WbDwv(^QcOjTzzCwf7uRe z*jjq|dE7caStAZ^!6p8_U;F(3VXVvweh0KC(t$lIW;rv;iAyZ$D&%-|JU0YU%QW9v zzk=^9F0l!MyiX^7!*{lsCzkYwpt!^)cwS*7I5h_x7MqzGf>c_zg$aizwz8qAV|75P zKz!$NXg+{Nu&_a_FdnfHtjnwzOT`s2nq3H<^Ho=6R&Yc}8z+z;m=9hbS~3KFY~&o6 z<3?`@$aYm%$JNMl>5?b(?kQc$`eg8vB*{nCB@0FdC2(W*NRs*PoY$7ZMI0jYCF6o7 zDOXWSs`IW$%u-pY3a--*`foWeYyWanl)j-asVdo>?p~D{Gss2jvr&=c|-y#&R z@#Bb-v@<5X^n2!e^r#MvTK|X^b$e~J5|%M_s;-KttI%|jq)T?= z^w6h!-umQEy}W*B$DJJii`wYI;q^!yUJ7pWxRRt($ogC(?Wzi5#F8$~&U8x({wp=O zgO(IhQ=@OwP9wKyadxKPqV=R(q|VM)f6piUkMEAOtMWCvvt#Uym|neQb^y)}G85__ z*jHB6D}vrb2lvQ&SVEB2)CJMGMv^D2h`u=`;>Vn~MzyNC_NeI%Gy5K1q0s*oX z3mJkmrA1Jwo8X#YazVSI)OwV>4y-=t*bz&*KcF**i3wkEQoyeUqua@xtlLb8_GByQ zLA(ELOsj1AcmB6eCjO05DUsR}-LLABn>488;9kIZkyd0d4>(+@(IM97RABIFJrBci z=UHuOujH#VYD-;>isPITAHYhek3Vlk)=cAF*pg7+Oj*etTMR_S5$T^Km z*DLK$A2@BwuT#t&{9Ytw6RtqMc2Y(4Eu2whf}W*)^JjNN&vs1VgypH5CobQramHJ} z_s9Ormwz%~Ryx4OD?dJI3vN1|4EO+;M<#j4n6#z1f+DDT0D`AgGgVTPs4j?VpHfKx zuO>l4UXd!u1&xr7hwcs|qY83COc2#>UodG{*kNBmO;QE9AO^Z=;|4tDd1I-v=A-{{xgIxlmyv`@?E5(=lg^1 z8y~(0T0`jRYR!CuSRT_58wB0;nzJ;zh9(y2fQnDIyAh9CfU#t5uQt|Q`^hO>WBXr~ z?Jt+0r!u7oU#Z4E7dL?MjN`azGG^_yO!dH|IsB_I4;HhY;(Ad<1mBkS7RnoY! z9V%8w#-;sb!dkL85P+8u8eg{4{y1N>^%jFgij1mor{LJMKVSLSUkpmx=AdF;lcME;u-5ijJiV zf(p(&HXsCApg%2>3$hlWuH71ye{rttEBLe(em`(eJtPw1So)d;_=Nb|5WQ(lI+iXu zOd~*p8m<#$bD)2$>9jOo;DV>ZdbxI$X?Ffom_a#r;4danhx6?n9F!Qt2H!ieLdP5U{`KlI^elD3+-G>Yf47hC6(+5 z=LxIQAyAW)vPmM5%v2H~zZgl|9zEZn16Gob5*-TLoM*m6r|p)rVA)-ad)2O4-J;cj zLz1KuxchrbQ0P~L0S98J5TW2S^3g}b1l9T0=B674I-^-p`(XBGLSrEP3iGJIx=%9%Vobc(-8x^J4i9{y+@b0K_r%q#H#-OvJ$tmiP6BJHCMTB?h* z&pnGF^+gw9G7u6pDDX(oODlvDE}OV+sXchXtKA-6%~bM;tI*o=rE}(HI%mH8cl_iZ z`JAyZ7dC~-d>E*m*HO&_vl4CzdJfyYpw)re-n0!dIm{jGKF?t;YR0r<2&3RQX#y<{ zU4^-;Gtuf4RHr23EzPv~IhGMY7;pZBKa>=B}ugaA@X#)qcl{ zRhV051npNFsa)q?`YOytrr2f#VF0ND+tZp&m}@hFHkdvGOCJ|LYUxXuC^pgQz?y%x z(ecd)m9AM2OIil0Od6w7hNwK&E*_66?QhNzHpOHh$w!)U+VU^T$8*ZRTJ4Bp0E-z& z_DA`8q>H9g3_%^C9 za_cj`Jh8hcJLgx$muN6)qm3NElv*z!F`@)=keA~ZXfj4mMB`wjSaawczDy_6-T^hL zF$s&V^~L1i<*-^QjSVf1+_4y|L^)5d@?H9R=2fC4$()q0 zytA%+uie)(%?ZD@KApCFpFaJLfAp=N`ndry)RMC6Q!6mzcyCic@!XnLL2=NE2tW9L@=g4Y|Fi#%Z{m|Guv=}w zb{8&kFytW#KL!poct?^SqqZVI722O2JHU^t{2tZrm3-y*w7P08u}E9SfG<>mK*qYa zalU!32_4lrekW~g(i}3C!ZpWp&kE+ypEMa7;~+xPvw?~ZkLc|Di>>##{IqGy_vw}2 z_Rf#}gzx;w(x;^kpX(KK|L{&9NT3PddId)fS|CCz_0wFCH9lwoVK9jMLK8@qSHOP`Y1nj#b>N z<=y_+yo!6xPwNlPtGIWNZBFU{{tFG#&B>eWk_wcPQY;hg^=*`3rbw<)sE{NAy0q(T z!>I06g~-9A_UW>GLSXIxRz5x4Xn~eGRf}<;Iq97(F8OYm)@dW3Yp7e`73R$}55jvqc zPl?uj%@by!DcuTKX0(&QzQPNRK)ZkrE)b~!zku_^9AKa%>eoz?<7LhL)@F8qeYX9T zWcF5(-0Z-`&nF$QNCT*AB_Uu0vfT^SOM@{q79PTb?J9Tk5!^D@v;9!g>O+ z<_6iT_E$=}=mNFP4ell+cRDvO?)$X&4D)vF?ZRx`^2y&b{F}XJkn4TV@bCVf@nd?= zu&=*o{FvV}Napv9o9R7+boHKb`*FW#LoG+3v zGkG_)d$hwyVLX)uv5SeiyNbmQGjprc!E9R0(2X6on8}L=JhEy!yf&_1w&VPD)NSmK zk-NL9DFp)c0lA&_KV9r{QwoOT?kewaC&`#}d~T=xWrlD%{_$d0VF!2ofl^jbw$uJ~ zQ;I%b?q1&2U&}Y2dv;H0*CThag@?0L`>=`Mx@=;peeU1nV*6YTygPWX3bXQ#2QR(U zKllkJgjSSdsfwVtD#nDqN^bJ%^g^esp5>TP{HFizy}$m05dmw*qc_=E|08bWnvM%; zf{s>rv9BxwcERHo%^(u59yL)IL^O(6alz>S2MbWkmqQ!wf+s}M(B+7L$vh-lKMu`D z)CExzLOcr{aRhu&O=Af1U3S4ENRHu6QxF5~nicubx*!sX1R_FkcR&JFyA7Co@LTmDykz{bG1c~Sqc52JUL zi{`8HZ=Z}Ft-oE}e7jsgS>{R2BYKxOCN!s}9b7k(W*Oz2`LVV=r=etevCh}d;Z0k< zFV_Cl|N75-+^a!!ra`;M+7`ldg0l7KA&I9^r+sA_tO%B5A0oh3Fz4{1tqoI~>O`6n zo%xDjKD4g3z1Eno%Hd(Yo$`?%)+lsC-(*2*FkkU>+)>p*CnAh&4^0i`f@id+sXAVx zhS01?4d#N!H4L|`2+*5);r11N9?@Vf8C`M*9R-+AQethFOkHvtxa0Y9Ms*!EhiVCr zauY;MR7%k;S=s0=#lf!J>o-}|xMeAp+w0$9-$B zasdtP?k6P7u;TtKK&Nc37(Uqgo{UFI0?94b?l6S?blk6=U*XW%_`-iccQ_A?W}UWn zmyIuC1|%J6r4gBE1};|2#`l201uH_Zr{%sfzFvaw+KD?$hY#B-|i%xTAgQgkG7z* z)q8|ht2IZR84aL3jaZYe=ywKpA15RWzo_HnWIrgx>YU+tNT(iD|GXDH*S?WheL-IQ z8_y|T7tsL85`<63rF!6c|r<;GfDt$S&$aV z1q+uZ=p{SJqMXnQE=^cFt@_#;Ff=)#Rk$>dD=2ZOoP6k<& z=DPz7ixPPs*I@T*CG+hGLdokV-c&b6K&snba{>90cS-5k}SGabuAs?n{ammZqwj z2%0#bFdv#w)UX@Cz@+_QndTT8DKf`$8iPkFPR!8!sMKDm5#{&>q~bpISHAzjTSE7$ zjcB8Rn}^dGkfz^v&(VPC3-R5hj*}(oe3LL`?CY(UAWWnrf0+q+)9tBtH7V?)} z%|Xat_B00}e^oSKB!Ki@sRrwltfGN*IV5s!By%(XG69S)kZ54v_3?8w0LwtSEO^;C zc>NQNdc@RBqNh|rHb6qvNlgoAR%W5%(jusx>uQNErV&iieaOPArTaLl^eoZmX&+we zEp_m;2Yp{?M34)HhJlJ0Aq#91b%6O*zUK(LU+ZkoPSlBW9w$uSyDH=hWF)IpJ~g2O z*`kpP$!s^7qmm_jjO9ebrbHh+@#}PaJhATVpZbMo|Fun2H?*ehD%C37LIR=yK}+8U z;H5zl9?=sbNJ6AV)Cuz{xh7bAMI>N|CnjRUN2G)xRjLbO)++P_1rG$>(D@8Os#F&Q zqp6aAgLnWGQ?(#fstY3PLJBHsa}-1xL8{auxWzbZ5!~#Js5K5vmFj{e8G?GRqz>X7 zC#foZ!^81QdZf?m8&FmGD}KIO<@7b8>v?!^9%pfhCiN*R$I&P~1f*MLPf;z-@l?g$ zCbc9zKkn&0S+l2>>cs6;Crky6xaw^lW2sKuOm*T*KJc0U)3-!CqBo;8o(l|rf#JK~ zFzOD72`=E^a0%2jH@To<;dQT^2jW+{Pfh`aNw1*5H4wNzr9Z{~Pm!79BP`jVo6iO* zEOmbeE<kEcJy{dCmjlUs*v#mcD1=RZasFvxL}!d4KZU)~WI;OJ9Jj2y5CyS6O<%O|_EH zGZ>S1(9W2>2xMR<^loI36(S|XXoQ`jD%qT4&e9(+Nmtq&j-X)E#-sRosw_RI#fdDF z5t`OIktB&U_p`(}33g{ul4C0CAE?UG2b6XG%*k0=RE4k1s1In;Df;fFWK@|CCerac zJG&RqTh)XK_F7hXWyx}+BnrZjDr*9`)2!x9WNGR|{L@4Va+hU=-R)somjLSa0w_~V zBNl84pdQZ%rhoGDKX>9xT>!RPa6xRT0evNGMy52OnRdb8GhI7Bf_-K1 zE*A_wQ}EU+)+YpMrd=@c&7g6!IW*0*3!+X9MoT0WPwPX69foGw1&zstg4#QnFNWDi z7lffC$_Qyn3}4pji+zQQp_wj%s1OOf3*@WPUzt}FGwqUvC>jmnR?vwhCBfv9sGtKo z0B7P1=AQNk5;N_RXl!Nc4z36DCKU{o)~xT&NeOgNbT?88mz*3Hr2r-Yw$(OnqTBdQ z&Nt(B+H(1R<43u7W#6b9U57D>>rwJ&o`Q>wasz*%9wS%H*%eNMbLD7S^ zz4x#ERs==;>8kTk35V~q1!ZWM8>q}MD00DIOGH6MF+BDaG+9;a0e%;n4AnXeC{bWe z2_qg6r@Dky5wL*O0;c}94bjki-Jo&YE7zN)24r&%B!f#88dD*&U$pZWL@xfs#J@* zy?J$OPiRNo-jMdS<>zYOCFZ)lm}}ZCYAx7Ow7Qw1)oWjQdAX06s~<#%oZDJp7eHxY z{%wuuq8DI*7EFr8j6!LE8J#(~Ll}dQ0%462IN2KJ1^9|(h7e~?wqP=P0WOFr0&rxm z*fSxLF_#M-kieAS44pEap4$wfJFLj0gJ9+W)|j>jgkFHJct-M4R|FAq`}0&FI-7UN zC4DS~ErQFgKy+}Y2z5sL%W*F*NX7(;7vM+7!Ot>njcq#glS1-(k(8R$p6Zf*VWz%t z&N$;t)NwOO~V84NUWGCYq2KI!TBU$Ud~Fm+QXll`f_DUM`YZ>}Am zP`RRE@i%#7`PK$8in{l&|I%mwo|wU-#>{h8X4>!$b6_G9D98u3p-hgVFu)ZveVIR5 zon$I(V8qad*ebd6$gE) z8#L2Ran4)82mzeUw#iprMNw#8az9(>1h8a#0ENW^oh+;8$E@?-OyD)*axdx>vji7C(E z6q$NOJf}n4Z%e!87};G-Rwl%Zfeqb)x-Jv#l8UZE(08ctz%{o|Jpv@#tGL^?QtAlq zHQ7!FM0;t5+>=YM;%?hYVI%F&?byF1s{>WuuENGb0QHX8U$)c!IN#jkK<>I>vO7W& zVt@Q#c~jUc1M;uGRzPh*rB`yBckW^fy~K{2;U<3TvWca@suwBbyI2ggdg&hq4^}xd zh0^Wv#rv;HD0l^@TM>Mtx!*qIr!T(m(>}bg6zia82jSS*XZStn)}yBcM2L7Hc^I|S zk8E^w!BHMT%Aj`0M@Ort0;`HW`{#is(U5~NDccRup)Z5)msTcc?nF=I>i9OIdjM?%|!@GbYhtt@UKa3&e{PH2iNMJNuIWAhXA2Jxj9X-{k9{1!WC~L zIqE?8&`Po#;F71+hP}~{1aEEU==uJ@pFq8rl4z%{k3MQs)$1|25qSEN>TUI4hUY--hq**vr`&elGC@BoSt@z+Qh(;oW7al^hbZ_y??R{IM!KC z2-!vJ*kq>?T`vQUD+HKAS$+J~GT`{_fAS@!3)J1X64tC=ds#k6@Ox4sWFLZ$kQ32OJ|ba|m?XhrWKPep%jX7$uN-sm~wNDIfVlD2G-yyh>}+&IGP|K&8HK0yr-RnQMlvQ{0*Ml5hU7*HN7zL5#!7#QI4r_uaj6>T&1XdW- zHiB5I!w=2JCya?U9HryQ(B8a%6Mjo6C+*+%BLRt5rIa-wPv^@=Bkhm#&EsH5dozc{ zMlx$@IVOx#_%9WT)JiE|YtGE+COro{LC-!)YGA_hs>b!~uCQO8Np8bh*1P}IAN`Ks zoG=r3>Cu1+%B>emk4B!zE(*1(Vs4904Gr8$uv*0N$lP0ccz}lO!`vEYsC}L+Qmq4; zb^#6FAZYmMn+g2S{TmaaU9R6eZptk^$|29*^JWH9PLG0m zbiLI6#SmN=cl^}h0?G)G?2-wmRC1|WMFu}*xQU#PQF5Bvks-p&mk%hV({w;?r~O+d z!nq?uaK1n-#RcCa<6_An*Z79Y@_;Snc|qF?^nYaz9ihi6(e)N(KxtVmxpZ=r|eShj;)ZCO~Z!J@W&T5%+g_jeV0b083l?$T8iUnrrfRzIF zRspcK21+lI4l%*gj-#X{9fIbCW<^Pdc#Yd=O}8?54}N^Sp-)*Fz42Sxjb}UV-PO|fhe{nhd6YOMM_7)d9^q0;Sf|ri5>gk zuoqx&#S_Rsq$UjfasUh#Jm-n+qs>_=qQoQ%nLJv|g#e~nGvA#vfFhEEI&IcM(_TsD z+hf>E{+OIko`0i9@CG6(Nf_bL&T=*3H&{F|pWrfqGVPyko|JPT+`4(ft!scp^i>J_ zK5Y`>;sxBE7hno=*Fs&Q{kakew4OaNF3 z!6V0mRo3I0Ucl*mLK%iD0<+p4y7B@rF$ISf!(}r*!xUFufKCmCAdGx_j@b*q=wU?I zwxTvq#){bsz=DjRvEpWL?U*50c>%|@G;TujjO%HBpUMkBq;*F4PS%*6Fl$#9TcS(z z0^pbN7rJ82e0OZX8rB5U1G5p;n%N6L7pU>e0AN~s0oGGu7YG)=wf0;cF^r)8kw*Om zdIhQSGk3Irxd)zy^a-L|HUFn#H-RISl^*sD)zV{Nz(8Ei<W?n+8ZIPdb(aM53DQ^R`A-DsxY$KSH@+bq)WU(GV zn%8>8Dk*Lv<7o?CJ(^%JxXFr{$f!!nW1xl*(e2lq_Du_HYamOK zlkzd?6O(&12@rzfQuwirs1KC`%oAx#4B}E0Ns`)0jbXi&Tu%NaC9&X=Wm4Q3gFf5e zJh!PnbH;EwaedIvEWnX`L4s@WHH(w9U+;iksV_aZsiL`MYwe$#la1%IMc8H@v0!PL4VsYRqRewS;M}$QyJWPg2YUf2!l)&Pg2N62Q>x#1vO4yb1XlOwCyxbOwRook+oI6NYKL5xpuvadTIvdPXew+B1gdsL z*^asfr+MafOCnR}w5E`%I~Q``ugsb*D$toY9i8VCtt~QpG}SfID-LW+`gXeeVXs1H z{mNoE_@v{0OvScLTfU9PsrL83_2XYUUW>&DN>Qm8=PT2Ovkt1%{t$IuR{P8Jqj%hU z$Nksu8=(A+tHn~es4@ghUGK@%Rv{0hT90j`!*kmk%o1;N@V7WR62f2qaZOfB|ZKm1u= z{F}e6bocAcXhWvKNGRLR!2qYWodW?*4w)>-K*&T6rpnQ-d5|z-T3O#v=563fqaJxN zAPtaQjYdX{>>E$ydXDq0Y%@&nv9isQnNOyc+j0JkonuRWo8nwcZghV7 zZknQd1N`TI@tdCi?E4>8bbzKAT?cr&Jzy4~@*2WpL?!0D1r=hQ>zA0y$_ELLfqF+H znDH1gJ5fdOGWV*m`Ex~hJ4*u;4y$W?HoN3tTKZ1f z(a+I@i;HW|Gv6PimY7?Dj$Q-0(Mnb~Xa|%jul;yFHnpWmN;=$mFuGM$o5Hg7FxqDC zJ+#KztVdO+-z(`7$a}XeKX#KJzC$-v0(m4$?E8nES*-US4tCAn^Lh@?&KEhB^)9`p zldt&_-**(gS9M&``(Ng)(nEi-Kd(8p8?&X3N*Gf;PU)$?I3Tpe!wks-M?%%Fux1+c zA-Oo5$P)uey!D;3B62v$pqS(lQMaJ4K;LRJ(CsUnrxuG$@{Hsf)z>9?U0&61a+Zh3(nI2mcv_u^K1tzMUBCPYTq(vn^&*K(+LwxK{ zxVYw55+agv?SMq>H?37(+#Ji#XxcM~oaw1=Fhj!286&wP==WS3uLY-YMV zc`xv9SG~v}y(`@&@gnnIuXvG_B`@9~J3x7najf=5=0D}vuCsIJMaCZfBI9`77n%Q< zS2WLUfK3mKWAdP(R`dMD_Rd>L&Q`fopogonm0({3`&+ngq?4=s9|*KNWRC~zYhZs% z`-5Gsau*>`@6YYlEjD*MQn<$;ZD)X`vgBI@K4(FS_|sf7wp^ zZ!Y%P{ob8H%vtR(NQ^k)eeHkmalZNf@7EXmiarnm_3^nK$HxzrH}&x<9Lv=o{*91;>aiEm0m9f@2bLdv)S#*J)|daLVo(0tl~%0SFn2|ViCffYbsf@MsonWg?|;#g zBzf=9b(-c*x~>!Jw>?G3HB`n){nk)!vK`6^E-3Ic>40;4iW+WO)Y9gGmvFw>IKk1K zReO{|cDfvSQ(c?h#jl0Rt`^Q!;&sO6hUcNis00lDH!yDUOW#rNBoz9zE;&32g~6mtKFTDNk~~T5uwrqG>8(C+BX-GVH<@Xh zZl-2^uPwe2^u;F?=;MM_1MNj<+j^9SV9)XN6rmU6`y?1&dzP=zml16=n!SoQ`s>(K z#r~>{EZ-Uq1{@Fn@F#xQ7e$*ze}Qj0QNbE<0h=Pw_=kD58TVEXIvHd%pwhEy2=api zj0RMCF37$P$*?1LY~0lL6~Y55Jr^9LKA_Tb!7~O(Xi^Y~>$bK?rRRcxHv)_ZHG0PX zwO1_xhfAUh1H}>OdPEb(T5ex48%w3*=DrTdmutqxCQz7TK0*UD@)~o^3p4 z@&?!0#f4hcxnc@C)Vh8I#(w zN6_OH$kKMq+RUSRmkd1)T7_lYzKOuhjlBP*yT?qu#|3C6COi!#9Axy>g|x3IN!QFJ zExQfL9S}0&7$(V-FSsaZI}T%2)JKv`34@E^>)37pKB3#5BvZx!#o{&mR-7m5;KJ~l zlNHi);OB{-Wszp*Nz}ow8v7TD7`oZ$1LUBB5xU0Yi-+b;_Kmm%fz$7@dbseHujZOU4rFM;_ zfC`Ax3>O0|ApOJO!K!ReDM`O+YS;hQXMf<~JEFZ-b>o1iM{bHb<(#xF2V~PKz|z2c zw1UYX0*F;6gdid-3gI}z3^n7;=2SCYp;1vsqrQU$ zBwxL&Vpm5lHxbd0boh%1mkbU*ZIIODU&j-=7O*qmcF6?8EMn@d656lWZ za=;v}adnJ`q%!i1#9KBt9OT(evMD(?Z7Ms~tMmlo$G?PH624wEy$}20ZBA#~^>a%v z_&|@+8r~XFsqwS0O;Mn_Lx^QvvY^(AV39tx4PHes^}M8;xfUb;Vypq{%Qpv+-`^`< zj5AgVroGp{s6bd_q8wZp8wsOis^-DNk%^!J(S34>e0H!hCD!m-2Ne(VhQwPVpbzgk zQ2Nh0th5dvH+>FOYusi``QuN8GOpmpZEgLlv&hHPuM)e!d}44L`S%~fulXuGHMH;d zb}@+Wtz<8^tW<(>elo-YYh&mSID_&!43Ne=2BN z8O^j?GFkTH{ai8759sDf^PLAKevZZ)!{x>5BHyltrL({DW~5a}E_-9|PUv~#wzrM# zd$8!WSsh)3R4-)LDP*r)FJjf=uRValOf8M6xX<*Lafgjafb?@4eg|f2_oPiv;gFH9pJzV~g3?9=jK za&kPFhBGgM-x(GNuM)K{d-}2wOPvX5TU)47sX~e)@Olp|4a9g})Md34x~wZTYo(C? zvS%cyS~X=2r`CF|Hlx~i=x)9tQT=0PuB`YTLiZQ1Tp$4korB0;PXYk)uW)?sj zc{5$}z>cb?h289>Q{0hTiQYx5Oc|1X+X~*xVHPIg@S?A_rq` zvQxdWqg;lE>)&NO5I7eoJePYOb`&LqB)6pnD2$A0&cCxX35veRe#k)wKmU~PX5KpA z#vZ=u{{zpW`#TFLq9^2x0x+l~N#Et22R=)3P>ZL$kV> z{7ra}(In7JLkT2S8;!|_E7jj?$HZCHve!fre!Hg;SuL5GH_!u)B|nHw&A|iTNa6Z! zyPJ|@&`^r{EuiG8Me7k%Yt=NFOMUUwvRR{h&*MGM+tBfd+PJ$q z?VPM1$zjHGuW>!XTX0^)r9Z9d;3O3k(^;4{V>LAbzu9As3D5(K7C#R4mmvqNS`2W? zT$lotkGOdJs5M84J7&uG{frJphODM!lZ*M494B5T7G!xT#cl~$IPR5$_tK5SeU@9} z4f4?KlLLlA=i5U#!-10CLMh~rlG1B7b0;j(gSwhCbkSbj^oXIds>eY((~oVM3$PO* zw`&;sw+6)z*MkAVw<+yyks)FJg@V~G@9NJPpTEL>?e{V(qRFP$Ebav+GuKboX>P8u zlbaB8?(wgca4uf!ufwroUI`kP4a0L2B z+~UmiNc4cTRvS)Z$>(3@h>mOixUS!cd01Y1fvnp=QY=9rva>7h29{wqDVFHnS$0v4 z45J90%m@@+vlrL|_mc0WSnA$7rZ(Fsysvc5iTb^$m@t>59u^x%9QGI^rCRm5bh*Rx z`=O&`RmJ$PHm9qq;;?uAl$pps*LAY4iyJ9LyKcwWE^uJ)$h{q_B)>YPUq8gr7LJ=z zbLR54sNf_>)CObPQcix1Px z`Q;Mvpow@_H260Xw&yc>eEh2Tjb$%3r%xeE7nxxsJ@fs^(<`F{^oTaZr>%jJqa#I; zx_)BMIF<{rpC|VMwb$)Xx9+w!1!tNi9Kyf(0hNPX!=lnGv(texce4S?th`86Kfo4J z?28!)d}wyQl#RNwCHg?pSU?@gKEtyX<-2AX_C=~1(oy@j=60cyhZ`FG^;?01ZQtOT zrrDtcmctIZ6POjRC#4n249``TCxaj>S_B>rSNCgPES6uwk!$z$0}XnOO&o_oH_4Ww#TN3H&mu&#BQh6>*b!Cdyp?}qWfB879kM^n9#nO!r zcKaI*^j;~wlOz3h3PPd#{;!WK3Buy6#>*pzj?RlvEn{{!*78rgTmGUe11z4Eij{A} zbI@P%A6(CESLRzgb;S7mE&q@}5<&nW)_vb#&-T1Tkh7JD2*X0h4avgV8}^x`NWDxN zC0}P>Zg0Ef9Z+aUb)-H?XN>Ve7M9X{fy5gk`VG#yZE&6V;7ZiMHoLD!=p}%oZPF zg|7@u6bd(Dbj`F(--sV*T8WVpjuRU5D3#qQnKgcqetzi6pOhAdq+%gx&tKYsjHDKa zR9M{~lAl&5O%?Fh;A8GEqyH>fj@U#h7M5dec_vF?wGIwVT{xoheCV4$@4W_M#rniA z*pUqAba~byf;Wyj5)<#Eq8M(>L*!C1pw03E1#8llvpd7{rxILvpU&handB#NP|Ywn zu_OY8W{bFUP`5wL^trs-=d+MjdUNgO#cm;(5bLwmRcay595F=f7kD}(EpA+4_NUvt z2mWV*SA6I1?i$8^Wm++BLhADVI!69{w)!oL-sOy2jjfDE&ak`c<{mVVpI${=RUi%cjGNBdtqj%aUV9Q#(Fn+4dedr>+5DN z>4v)@X?2OgQ;SV=Z1>jXT= zvUo#zyDa1@seO6|poO58YYk+DsUN1)x7EgC03&Oq)(dk;#nwu=2?9EOTQN* zSR1hq>xgSHXWV6AnRcyWflG5n+9z~lu1xp!pCvSUbzM3QseS#jWURTvp)HTEBMk$F zk4wl~bg_W#^l7)XsIPr_@ppdrxIK2y4FN~PJ0nnyozHQ5aFGIYFZexKXaADvB!)?Mc0m1aoRleq8n zfanF47o~B-IG?K)yIQwJMr~J8U=bm{OHe+3b~=A*H%%+`xo$y6-`oP93n&^G!4Y!f z+kRGl2R}?V2>{ci)Xo{ziI%bqbJKt2baT))`Dv~64D!|Fjm5sK2r=HLKc<;*+nH}t zBsJ`MUwnNsbzw=|;bbDjPSdrfrB$NOb4C5iTMznQ#>){dvFTc>&8NM{owl-iCc!td z_ZBhAboQJE|K}#*==8Vejobc?CzxoIm^V|i8P!kwYEh8%%~lXiG?>0l?ZcH|9P2G> zzAq0E^b<)YZ!zJZaeWRKK#O|WSeyI&OQ6BHQm;!3&5qA|=~HyI@iU%Nhd&?x_Sn)c zoN(zsqLxeM|LhJYFLLwgH;sQk{gSknUhWo6&os@7|BER_wZpGk?BzdftC6n}q+y@F zMAOBu8K+>rUC+eB5{H&Wh;JH`e8H2%dmbo}(w38S6v_sCQ$J9D`|YHqyBNU%8%rdW zTI7n%)J5oBn_)#~xz6)$-jF0a={v8rSrJJbuU(6Q7~}5Zo+!NEDz$6x)EL0>y5qDo z1x40IEyCQzIy6!;7iFaPwpUfheeI|1K;|es;M@T1d@iCatpiHp3Czmd${EU4cJS&i zvuWgo&Z1l2D;D=oY%HtgDevWsTgAse@7utmj;p)to1zci#QH$F*+ny)C4b76)hPBr zE_&IqJUy*6ino8E$L9pds4fsMUArh%VU0~>-gAi8K!`YEv!R!+!&d{>CTZwCuIz8@ zQiebKm7nQMTx^WP)d|f=s5W<=kv6>Q6@&PV zRV$$r928%&>U$pD1Zc1vO7HT-(4%+|GR^_nF55raMs~|}gxsDBhu+b%wz}y-w8{pt zfx-c-VlZ9hJaX?-k4-bvh$w$3G6{czj^iKLVmqti?~C|XOt)=!ODDRT)2vG!>#c~A zYpy~@$o|{K8m#Sm`-frodfs36Z&_P7-W|;|TU=+{B0L_Y*)D!7YIIl)l1Nrb z!FpCEO3FIz;AKYPpg2(=izRlM zYd=b3OWK@IE0o*_B$2Nz+ScSZyP-ys4Nt`yS#9qJl?kbtat@KiClOLaPMin`dHB^x zFofh-6-~#b&1j6r&i~p^!cXJK!iZ;EvRb(+X&qvKN=I|^K3C31p%Ve4zDi|no&3a` zXPZxyz4xPCEMLEGke#cG$NIWWY{K{UTKGh`9YkEWW9nb4VjFIwr%@gclR8_%AoSs?qZPxzp8V4#s@G3)7y~L zq*{4J4~5WVJo~a1^sxTm|M|XdEx+nt+w!Jl9s428*5{JL&vDG9TEX{%*p>(6KtUwT z6>*7%?QlHaYA33Ta)C~*WVMs$ZDOyFn<_J7yFE)LDS61}b>+nB1rv4gwV))bv7iP= zekS=NV4F%kANuzDOwnt%uPTE`XrlJ4I(SV7JATjcE*saDen0zh8?v_4`_;>J)KTy~ zJLMud^~`VR;nxxTL>gUO^g$mdES?~7>ArBBn~%YqhI(Lx#E-9noh!SrA-)Q1_$=<- zzmV?*m%;22Ws`&TTc0IKMrVo-?aq~m?jQJIdef(mW`}~AwTv;f%OEtyZ)^r4zd*cX zeJI%#1PE_m`Ukw|=Z?@K{ft4cEg_flQ%N+)`lAy*K3lN0`Y&esf`-q7TB1@)*G)SD ziu*#rM1q?-hxKjekE>wU`IRKTLv33%4QFRp=kxE6u2rcg`pU;X+(boJk1S^Epy>YH zkrO6mz3f%OK!Vc<8T9VQwzQoIqaP9D>f!x*OE|vlT$2rZPzwru_l@|SUQa2Z7AH4* z*1Eggr8i##SDtat|yS<^eFerZuzJO zyqvpVgXmoA>lrXS@q@38t(w}g4O~B&OXtNJyrgq?jSsz~ANNZ|84qEQThYfu6Zpwv z8$4#i_i7@S^WemGqA$5hEWVz*=%ig=8B@_`TI%AV(C_ctaFv8v`Vt>MGReb7_1wal zp2QGNv%2K^19{*1#z5KjIWoNZ`#*&N>W$YV%nuh+6ncLW?|SMqbiRxZ6)9_+o2*5R z?ch9AIsQ19=a0{jb+W&7Skvj;ZD{wRvS^r*aQNE1j-fM{r##;DE50-^ta!Y~{dbTXR2oM17(9(#YBV?_Pg4 zlhg-c^;v^z?Rg7*4d3LWNXC#?`mRPb0*)ZDiux2SCn>v1@CboANwF@ivd^=z1+KQz zvtp*-7IVQ)jgkE51tl41X1&~>8zM^G94n)$#7gRtvYT&h<44X)R}Tym4&578v0D*# ziwnd`SWMBTP+C{yj`LCN-79p?pJfYd5%%;F|9-dSku|Ltx$evONb;DF)1x!*wukI? zyYMzHSwKi1`q&5;4jLbbedB!nsC!nL+VF6Rqm?>45XUs%Q_@d$@>sidyZ9>TF`fSI zF^AC;7Kpy-eQf3_{*z~2Mm16Gfacm$95!Dl?B1CpOUEEQib*WB{T8`+SjJOacMfq^ z-Kr@zfd3IG$N2eGV)OQ5+g)8W6a9ELn(wQ=MG^;FvtEsX0Fr&@KWiK}p5ne&?7<~} zum1Sny${}d7Ihj)u@OZ;!uv>v?by4fD&(sUMw*_}@Qd=r_pQ2NsQ2`G~VwQkjvVd%w>6et2-$tgC~(BUNuC7-SyrMg!(qM*^<3ZBRH&VI8Ktf=@)T&9%drBQBuxRDd< z3-yH0{^W1wZS9*?I{)hGA;vkA3Rm`Dx4-m9qskK?E`1nllZg(*{qfj-H&Q&?lM4?{ zR=rb=t-#N*2hv6rms&(l7w3<50(o_Di9Q$Kl`Q$TkVG6`)7fWsZ`wQwv%y9j2yRJK zeoNO%rd#YFZMPQAe(_xl>+Acju*?S1gW-8bay3lit&HYIEJ9r!0f!88`41tAaS}ku zJWGA(SeLWm$LeVRv60fb04tlvc_btvlhKR9FC|ghwTYg)G1`rccm0sG4DCko?tNVW zhp@DD4?P&QuyFkji^lRmHP@vGm`0LJ@xoH)<@eQYg<_qasVqqajY_c3!WPSxbE(%t z0YCGalm5X0#t!!3+AzB}Tk&hm;7Ac+oltJcxO|x|DJMq7Nl6UX@|^2R)W=)Y!Cq1X zezzk)E!VZMIf6fZ_j+Ltscw`1>buT~y1Siyz1^zWDNa{A?5*OoxU z{Y|cp<+THD`wL^Od-*B~Y)wv%XphW}^WfY;tsQgzr8JbtagrGmn*_&OofU+%Iayo+ zj9@V$A6o9QxxWY9!%hok9s$jRWMtB@+{;c6O4l5%W4fjq+)YlgjKscw8*DGXxh6R^ zf97p&(&Ijvy@!1--hFQdaztnSpng8fztgRQq+q+PG+8T7S6|8420eVIej~@bw`|)p z9(EF{E~q$VsJ6P-=IzcKg^qNSE_uAK<{0?uVGx%Tfpj@ACSpm6&p*oCBnRQoec>U> z$%wE$V$TY{|J}GF;^j2~sp!MKuzjF76CIYsi%OZka=qZA;d%{*>C!ou!T1x^pZ){< zP58)1H;0D9&S}4N>}4zAc0o-Jzrduui65|-9`qjf@4e|DWa@XVyE3#7TlcMb-3yBY z?XB&uCpL$Vt(Fq%S(KTvrh`wNq3z{0mVXN^lRV%2e${iIiiTdVkc>n`F*V({OZ47p zM|pDE+lQ*%$Zpy$NcgC|-La=?*e7yEVSa#5qoH{9HRD6;U2{~s<0jB8_;C}dAF<^o_g?VQVQtPtY@N z{2F9-v9ql{c|mc8BZB4=ET&D{W*v z{dM{>mDD6qL6jgEC&b8&_Ei7jTNelK^-VdC06`D1DLd?RN}Ip)Xt2!cYAyD4I`SK3eYXi(9vJ)nyn0P8gMPTIXa6Y)N@hz1f`)nn2eH9A(c@{ zO-SLn|I7%Y0Mmo;fpt=ufvG~3VmdFrww$)_nXJyeY#k|P~hgJ=dUEu za3eCzg^GCQ#qA^yG3jcvpr_3&RdU_?kr%JrmncDy+map9R?P1dl@fv*(P zO&ZFfA_c?ogW*+|tmU#$2?VSnSttY6Q-g}r{mJ(HJ!|d25}(HtPs)bM18TNlxny7+j?7*IkAR9X);M9kIj^u}59;>)A zuUcjPcOXKasdi9&!W3UQ0dQ!kyd0YfF0%)sr$ zeYCqWr&kIA_d5FUPvGSchF|v?g6$ON1KIv}%4z2V;xI@@yrQne|6ZvHk+%UGsL3%c z8CGL=iAiFY3p@4HbSQi}zu8D+tY;yoaHAY>eRpf@UguDXf*QBOaNo=)`M}SID`@q^ z$R?6#IlMttfC5eMr>4W3RGo_^26;P1c{}6F52R*Ud zr@8yY5|7*mh3j~tV$ZvGW~1}6kN=R+OGLw>VbWWXLaGi}kZ{;W7d+_A!{=*u%*X@q z3k?L|S2@K8=;$_XK#D_00cW5(ISLus_=qXLZ+uFLt4E)jO-0A6y8fYZz8>v$L;BvL z58hvVsIf+tBC-fk2+SPlcmlr@$+>?`KJ^CMV<~EVVprr{zF6EE@1t9MG!+8ze9K>n zV$ipXF7@u*)lVN3DR}C6oj%PMI+Wk_ne{HEOT`{T5oXYLRjzr9h`DGZElYPwT$2lp z{|1dmPEaTAQgMGT@U#-#<+!{3s$k7{T1NJzN=KG4>^LXpfMR-5gl}Kn*76rgdS8

    u14G+gq9GtHGl(;^ymqqt|8p3!+&$g59iUOUk5TOjubt{B^UDr*2ms z~i$N>@#9I0-D^liOC9YRVO zP0bJLKAIT$c=q(8nyM1f`;{U|=<%aLQlYCPmyCM0bA9#w53iKQSv$18bgNemXg?IZ ztVwRn`Od086a;1}YW+geWH(yMmkS+lPdi4Bk4mpr6QOxWp<{9TDr8&=$!soaBoOa} z<)Aq;FthDe?q-YZpm`@0HGpzwkd zRM5zrI>zN#b<6SrDp^UjMrC2uaw{f-(>qRt(4+SiDDqiB!D8q`zupSq-?WyOgBWU- zXaa|k%co<7hy~{I&6aaW(S}>3(H|3VHIQJP%p(q6%jhY*fB4k*50_x#f-2>x6c3Sd$130UH2< zQ(>&SS&}BtFkrT4B?RK{J@I9l_r=Q(On6Q6sAuBpKA$)U@6dLx%X$(Y@nr)}!sIc{ zt2g06rksR;<`=xE^(-*q$~Yid?%YL+UT z8bn)C8?DaF#{(18NuQbmSgsO-k^kbvg4DTL`CyqPYqO1bC$cPJt_zvuO_U~ zsnRa4f8Dy;JXzJJZx2?mW3--gJ>MelBl%!!Bl9X1(1J}p%$M~#&uUy3gSOJpv7j`7 zie^N}c5TY*N%HWhPoZ=h zP||{N$OqA`^4ep-sV{BhFO`T7vIH;!>(A35M)P z*)P5I*w;rCyA~+{XIm~**1Z3@Fa;O;XAWJ>I%+F#S=|%@asbBxyHh$j+!N4s z#0l!;ntXe1jYH!PFGTD$XY`+~VJu$=7z!#1NXD1=1V(qoAl|lr>VoccHGFJ>W zM@r^d+bW$1S*z*#3QPq11e-^3T3`JP4|EB2V_R8{CiBxr$8=d|viZQAGlA;K$%Fus zg3`2@ix%J5isg#|@RK#_S!%F(Dth%TZKTIv+#I&80u(_@j@ZGPX+%LJZO7X<@wQGM z6n-4t=vzzMPv%$)uC@G-zmbg!lKtidqYC_H5O`_4kz{ zmEw|5595`n@d*@nP&M_BYM9Ha;83Ayz$7Wyohx&xpu`F)M$Nya$gDV`+JcUS`eGO%g${uqo~vVYPI+Q&jOm!8l2i zAov+%IZF{8Gee#Hs^)3M&(k>kCO(xeM;a!Ow5Kr`+n1;~6N$Fi+E$~uX=c@oiSXHD zpxMQ#gfr;rF}BZ}lc1&PwiHAoz0La146o4IKDYIW)iz?s>AN9HK)LklcF>&Zw!l61M_9T~XBm&t*VOlRS6(%pFFwO-1Bkv0 zWK~NbgL_9Fof}HoV@-)A(h6b zfAHkB&yJF^HEye<o;w1&vPsu?7tc zBfD13SMMEb3mcrFfawSYv%6z2*47dQYi1W{g0O_H3z35&s=}MuJm`nmKmw%XW?_dN zY-II9l_?OgITki>oMjhQ{NSb(qTr?w@$sakQKyT$v;g_7fgTK&zzfs0yOdjNNd;Rc ztY9^5zB|*D=q_k5_>JDt)g(=i`D6+FH7qPue=!eh{HIr9xOHPWkC|~tQa7i|YC4C* zUK=_`RfOkY@7N@u6J?*dlBl4&RIOfFK~E`i*`_&$72i__)A9N6-RM`&MRti=|Lo4q zzne>z8SwNOpMn1jh%gr)FbR*Snq%GmV?yKo&aG`+iqxiW*|KEGL0J1DY+sWO8>_w( z8`hYPm-=mQ7cgfBrkodHoRYv_vJ|d>360bl$w~Wn6tJKf5#kaAx33Cox^DjV^W2^k zcBqZq3uHu0veHg1U0V<4$A9yr$DX5p&D$;J)8cBxgroKZzD|*uPFbIL3YD`^eB$&e z!N*&JL{0bEZ$0!XETwLoSe{0}jZ;z%ZzCEuokrI7H~>L8DG8cGe(fl#q(b|wF9KX# zXR#dZmI@ zE8>3ll4qUi!Rr=qReLk&5R{h}ge)c{rZV0sE{~3x6^|?y{P@}=1^SScx00H_rW9-B zbI~W!`S+*?UiM=9FheA&;%Nk2Mt0_P^h~-a4?;I=xAS2a;U|A=mHDw z8FP7FTJdYC{Tm)W^BoH6tFQ=I(ZP2VA?Sa0a49Dz;NSnx%THEzW#rnwja#AdVodXGx>jwltK3vM@$0=`xjbZ6 zkS9E=IIR>he_{mwA9Vg>2U6~a_o0F6ErFvx*X|d4n*d(;x(`fp^rg;Fey@`7{9J)^{KnWSJ;HNJRnypCHSd`Wse{5!{-WDzrqVm4PpzE*DZfE9);wrO@? zNd=T}go~9ujp2fAeOs;H=84P#Yaj9irzJmt6VillV@#$YH}R-sg384;qa81OEeF|3 zIlF7%q~o1kY#`3y;89X?F-JMzO^*{{fdnx+{o~%yW&rK2P9ULxJ=k$Bi#>9;&H*xzBidj5;V1G$+~9jeto9s* z5%K$AC_(}CF0y4O>e*bnL>Cr9jdO)+1V6`}4gWFK0zE4fCA?RC7Z%+-iI^c1_#ecs z>gj8Bz9yZUFB4_>CsnR#>LyUlFXQJfU>WFSd+r7DHrLBU;9j+4KM}VANLChP z-7ha57+=B34;MV>jXtR2^gMwVm#tMAWfjY~pbQN@oWK<9x`36lok*+o0v?5_9BHgz zoa-x0*@bGQxyaj3uXA>HIZY{hGo-cOP$P&Zej}}H*Gw6*u-ycBuD%m^ zdwa%A_7mBFGww7$HYvNjb$eOb1UH_boRDlTHR?&cu21>9-2}J8Uib6NMfREdb`Wh{ zm6le@K`9Pe{Mm%QS2})Z%Nu&Sf|K zxUAJUpQfB@BI(b8gC)F;M*GVKM+?ZzV>YdI2{&E&XhLJAp6CYtqDdZG@qYK%!fc;Kp3c?a9b{XbPZCI2slq!@%TOfP&m36_XOqYpi!P|a&46Lb z$&;7y%L_FoF6J?cnmY*|?V$c}#v#kulz&$E`KBMH zu9T4W+4O&)YZke0fMntx8f2pLp8mh_EY*`(mY_i@W@*A7SO)i}@+y{a9Y5>8nCBHx z&f*ma1eD_8{Y-eDgxl|^gMVbh#95G(Ko0|*z=d)%B>@^%7D4c+);N*6;{*-GcQ!Ix zqUj@)2>l+;(*G$JLX2%;GGRiDf5b$GV<`(gmjuJ`GL;HZkkE>^_PVj`;c0@YUhl|5 z;{+jwR_nliQ_0GAy%6#5?-;!^GkF4!ay0BFshPCcVh=oJenpk@WG4b#%g9vqR{UMf z9lj(h?9B;M`62${!+^f;Lbk@JRW||Q36j*Aa;i+@8G(w-%X800WPi%(vm27GFAVec1mT zZneQU>kz!pdTMGAJ{A~j9UtrLG35n@07LN$1iC!lkC5@7 z`$o?@=QdwlXe|6jY0j1IuD}WY;`Z}cf~$MN1>pOwr_~)MTVG|W?LBEqfUf|S8UHPV zyH0<0?JJEfCMY5WkA|ph-G-#0&j%3nlzJsm$(tpQ%1~1)0hR+G1*T_l?IvgV|Cte3 z;Iz7*RKi8oJ>@zk?|LpCBB+Gh@1?aBB@>xJb=pF%MBiml)AYS#p={TcW!*Uc6Rdwa z{b?DTOn#P7Dswl0UATmSsK;vsZXAz6-4D9E`z~+QOugv-)!KFKINADVMII4_dM*u7 zyQ)JqkK4*dObTq9sM%&9kSj&M9u>iFW$*;ajPi&(H0Q6k#*d+uHN8t+6vWCSBivM- z?W@Z9ERsnS#If-RiY`{I>0#oZ?`B&y!e7$1DS~__-~|PJ3hIgFoZd2SU$%3%5oL4W zjR7Sr`u`rU?p{@o1_5D*kCW`Wa;DbID?Z&u6OCb&<)dR=UV->LMO45QHpduQQtF8W z^e}J``m^C!8 zeO|s;HlxHz7NP;DM{7=4$UCO1xF!6V%fcdN%+4WS*6gVk73Q_PCx01mLL%EWdof8e zY{q2&)7ec$Q*2q=YGuMRM@KJ2os^3Af8zcg+QEO*@g3sQg)hrg^FU$I!OrypLvyn(43k}Hx-*Y7ZCC_&7&A+(no`|OHF(y2bx?$-jNty@wtc{0;XK`W*^q?XY{2ItIUCyRh&&U?T zo$3sqgs8UAYJYb7;9PJ~Wfx1%OW7PNH(lAEr^tlbu&94JGjQC8J43<8DOmIfkbm<2 zfao{E9=?@x?WfGmRi!+Vrtf$p#g5>?36eAeyoafv4=Y{`%bHsK%bZYBnaCMyTFNyu-A5k52Xcrg-@`q7hm z_a?jCUWR#>j>WGW6{^}{v|EkQBxrB=K9-oHQA@K$9Lwt4p1t_YcZbu=o+f{2t^1*~ z2dj>0{Fp3P$!sTHF(XTjxRbtLzn z6YuvVed}cHbM*P2QQ|-}p3{RG=I86aoRxWXWz(9yS!TOTQVfpe*Zk262iVXA^Ca+dpR4=dR$00THd13zFu066^HYxSS_oG?qEwpJD0A?;0VI zy;LGS$l?L~#J>X`vZfTZ^#jKtU4Rh-@9SJ067++}T+-?@|n1q!7L%fTWxeYUK)rosId7Cb>;JpnKn`skpx2r z@c->4!(ni^f0a}Lcn^RFBUK(=fi8By+2(tH zX}Q*gl`0(%__L)XoJ_f?2!mhgyGN>$&V>!vo|L*A(yd_4OUK2fQs0t}ISqRSUVrht zt526npH^2==3J@5(bqV5*ExaURffZZ_8fjo<^F)&s{atFu=mcp9&G55E) zv2hh}+xB{1&ED~79HAFSnbHgvNDeZs0wvL48WwqHc7^h>Bg$qH#xZwj(>$2<6b>@( zr)zExf@e>76a9-%#U<#kT}9u1tJWcVj!yG0hGntP+;O^XdqnUjUTWK&53;Foh*AG% zQZd!~K5LJ2o?UqK;DeidQ}B~57{$PUtIm%a(GIGH{?9nH8%vs8<8FI^tC&Mz1`t?Q zTunD7m7r|?>PY1rKdF5X89M#Y7D%ANY2uD^p!GD(w!-N7lK^Bvi)Xm~go_+fUZe;M z%5Dm$+w4v^?3*)@4bhUBwUYSHv(zDp#9QwFlziwR;I4dgk2pp5BIVhSC5%9S6qR>1htcmd*^nR*OO?|<~ti=r* zR))9EYCB6e{a&_~Q1F2~40OY$8WiP0~KJRj;I;w0Lj2&HcDNl?oc4NjzwIMb1As zg9Ig;vV|c9!12LuJOV*^qn(ObK^sT-08Q9>Q%81weOj}EKQrqZ5qbA}$EtIFkpk?{ zOlNzUv7%{sG{U|9@t+Re<}aVF{3v7(nmzdZj}l=KY;AZoxBs$uNQG3)%%4LkwFa>B zwD{XMM1*j%xP>fBCovGOd+L+_M<~XB%Zo=&{>L6+DfrYI@5;iwDFR2YzgD_1N7wTH z-5uNk44L05dyINj3qz9~LVVrJD2RZedX$V@GFaRhPTT>xR`uu>~J7`{!@n@2<0n4rKwd1W3#+yH>AUR z0d1^0<37Ob(anKPW)6f@PIH%(W*A}e@v-Qeh)`FSmgt1E`O;4;KD-?^f zEg$Oz6iYvn8=wt-P5c&Ian;tOn>9C`ijq}xx;L>pu$?kO0fT2$&LcWP@C~!Qmz1C6CitovDD#QEcq{ z_Q`5Nxa=jFnol^uExlvT_55$J(l<8sh%E;>mNHmPdJ7&t8+MGm`{^?I0(6@j{fCwj zz#A;8Y{#DXJ*F}@R9Q3OdCj?j?bg8;Sx>RHD28O0A}zkM568?e#h&mGvK^|fsq%L# zW;Vpzwgc!e*!JrDwEN-}OM4EnqPtsyf{!N@J+|0tgi7~kHzTGj6E>)oc~?v}I3V&s zOqS^>LKH{J>{V$jOb#nF-X0I2sJ)q+tv^F3Y!!~tsSjO8ZCo0Bg$S@Q8qTHMV;Ltv z6q6vYh0Nx?tkmYCA&s=((tpUkaO9A3662UrrUb1^vP}q-~ZG#Gyt_(>wuy}V6HAD?kNH;gSDG5oz=AXo8GJ4 z=~d3z){F}gtD9li)VHo`CxMnElfY@=4^_#r=F{lvjxlnF)?9ScRQs|A&1RPwnhuMC zLPgS+l85H4(k|L!Pr?BP5F_&KrS6=~$;EsZ{~SP&G$}@c)@mYGdkCuz#sOSwClh8S z6M87Bt@}aFQ)pUheWK!98xFgxw;qDajKe#{GYFH>X_q(srOj_@j()+nhg-Wj0-PA) z$!zGcT$M?M`+b=xJ@#YZWBd=T(V(9ze$J)P`jsOHjN!L;{h}Pz9R?FYsvpdg;4RsO zntjq%fMp3$4#0rW0vJl>6en?bHlsfNrj=k*0B{@A+G~C7Ev9`RRDdw+8KfgC&Z}Fr z?hBl8ig;+;bFxllK+YULj&aBx{9m%rldJgD=y`?CCu2abV#=V}v?Xn5lc(D?2i|E7 zQVC?9)I<_!#`s^a+W|gn&B$(zQ_v{{kef#@K3{{3^s{ZZ{$yMZ_9xNW9(ULn znpe7(yQ=Y_WiDm^1)ugr0K8Mto@D61OC(j5wtLzko=A+2-_ATf+cN;d)mg5)6007G|6$K3<|@49!b zafCC#8aQX~{qFbqJ}%L0wQe!gwHN8+y8d+}yZlyk!-3a7_@`smc>&+n zak7PZXr(*lF9$jWdi-O{vEy&GBaT<-`Z(tFG-L$?S!tE9uP)YMBpsX=?aR{$Z-+n2 z6UO~1M@Ps?aP>P1_h(1*=Mhe}aqYK!5ekh<-Dk#mXuq8)oTV5hA1qF8;m@ zsbcY|zmt(od=*iU2NV9jmg!76gQ|_tVH`I{f?wM98f5NbqF|%)c6dz&<_>h=-mg&9 zMLT5lM(qpP;pOTNL2b59{|(*T(iS0Lt8@Hwe1A;v_PKYR`s<%a8dbH|dIwoInhsFT za{Sz2D&C_Yo85ntNxH(R^Qe^ce!KM zMO~qfp(++?8(1X{Kj`4+_n4(hEob+>=% z4?sb69q9r04DF(BPtdW^(dW5wU`AujO+l$GHqG)l)aa$wv-$HNhS zKEtj0>OW6r%E|WX?rg@0;?wKc`CDS2(EGah9H#Z#2|HTC?aQp))-dhbzB?|H0n>*h;i5Q#wWOIrgOi%Rb;u1yPU-03%5s3Nf2^z=@mh5+>5@~?NizP_D`QN4m?rG z)`yA|c5XNGH%g?nE-=Yv9*Vg$644X`Og)}x{y(;;Jc33v<#hdkOPRGB!wiX?uG{`f zK&v6>F7b@474wQ>#8`Its67Moc?!%)7F9lXD=-=uEhRgq{HE{Y8T^V07K}<`alTLQ z!$GYeT4xk>=)`ONL8KFT$qo7$lO`%JB%eY8|DkoE-8rUEY2!61zA-^Lf`%*}WUYAz zQw?c0FH#t*bq7I`)*qiZ5u{^RLVB|mDx)#SZmIILFOZl#h_{;Px>)%ZSw0V}=XFOk z!Ox;!uG+75VYS;9RzoDX7dtB)SI&~5f(&VcQWqF@=at?&u*$F~76g2A56XnUxr-yA zhQ^$~<_g97QriPie@Zu>65hJ2Xl5(u4K(nZv_OaLqcYRwR2g7G$+XCu3munR*(Wcc zl=qo<*N*=@PuAIhpM1F?NzM%RW(! zn~f@MHxvDz8aY%zt{L_JJtW$f`XlQ)l5ZSt@8lyqOIJ50H{U)tIHp>mNGv$4he^|~ z6l5nG>Dg*uyXiL-`eiYI1*?hPW(R##>{WF^2-uExX5=>@kr1gsA4_r@u_o>~&raSA zA^*aqmb+^jR-^m0p$2lQ=9u)}%c>arv2SlwbE6xcC0*7$JVuR}t@bb%}h_rxTmOu*>kjB;`I-tezF~4~hRGxQ>jX|J)iGqCs6RHWZCPX&^!; zGYluq+ny1k%bN^@)RUT3!8kpN&V20Tjr>Q-VSasuHdHcmNZP=W*OAKaT5KCX^;lOF zz22e-^6M=3;I!%q&#&Bh7xz?Jn$5cB9gEMjdoo-9yfSf%^~5z$z~XvM`8Drkl&~3h z?+ZFh%zFo8i7v-f%W3MiDOxv+z55U5W$fRSr)T9YV0>YImUB7^2=j_HJDUWyJ&_h$x($+j(?`u-p8#q$MZoW+QhizS(@P%$|& zE)|7hE?B!^Q^K&|Wh;Q!)Br<8$%Q7D+O&Vxm_ssGrFvNfAU;3;U>icZdeJ~oSf~Al zX6xN(l2N6^va_X|#%+;*tv6}*tr-SOLf&LCM|>{txE7*LZ82?W6yZ_1V^ym_q;Qxs z98Q$f9=>U}w>WLiAW$Jh%^)D|xJO7`={V|?P27IGV%UP|4(azwO0kIV&Ws&;7vC)+ z-^M<{w3jlOiXK#Ys3g*^^w6(iqns+>t3wY&OXz4y+@p{o)vbZJofTVdC!UZF{;e^x zI1g~fmd_QKw@cQ`_e)Br@BH+WVD?Tlb6F`H7}nZ}iQ}U-f|6P+atn`}t5f=F*=unm zxLLNlTnTA*NOIyLY~X9u;ggo%C`pQcX$Mf?UqoW+@W{4EC7}=PvD5H6@Q3hA-Y-_| zw`)&!i9=j&ulC$JS!h2bTJ7a%JMisL|5h!g{|ghz=Z$8?7^gZMcf}#E_t;piSRfLS zxCAlq4c^9TXaDHI^49kus_lL=(vl4%R4_k5M>*G0S%I1#9Re|}sFzs8l}HDaslB@v z>CC0QghC^0tEj4msa=Z(Uz`2NJQ+ieDOnDLuZ}38FH;@HdgX0`erbkVs>Ws4GquvR zZ`A?S2vao2LO^y9&9Y#A-V`bHsv76mS}Mwy>3E#4@Mlc4_a=hR>{d}?9YctV9t*BV5LfXRfTVEkbKl(`uXg!AwchrIV2@>^Ga?A>+D16gYdtAtN z%KNss2-TnPQfJeuqKl30WG_Jx6qEooW>%#cdgw=%?n#I%U8F2MOCGP;7loJ3rf`9dO1B7iBiDHU^EcfK!M={% zWB1i50iz!iCs65<7;EFhwJD}Y&f3ka44D1EOm;(LBzY3^ta{bl73%BV21%iB6YYUp1}>xvWl3 zj%Svr5F3#|jt*yAk7VqsJD$0$WODV&3LKZ5Qi(MI&{%CF0;zBw*WA5dOMIwm8${Y$Nj#!Pvdrtq8rh+% zKfg{*yq;Z@zhNiP!sS3DZ4~)d(EGXz_T#o+!7gRpW##F?fmJ+*>qk7_$cIE|!j0S-RGu1t4>=mw)g(U+OqG z+JE^9K?CfZ-D5ZK%J@>q9;IP;wXi+ayhzC#R-9k~XL+0T8N9AqX}5&DyBlxk9yLz+ z=uTwvM)P4XFP_@RsjFMbbFca%{NB)h?fteoB{?_?W%KCH{kG@dz4uU)a`A7nRpC|1 ze@i4?vl_KY!eys-BqvS3b>w%0T`nLN(rSO35nI@oP7`yo1G#PU8O@m5EhX<7svxt2 z7Lu0i4y!VlDxx|C$M2Q$*f%bZ;6KL8GZ@7v38NFATnFgf}VbHTa-U)v&%fCiCQBY9o2UDursq_8Ygi$(6;n zcY2(r3N!{N*~UxAv3=><6)TPSxU=Cx=GZvvKxl}y$~oE+BhyDzGb4=P%hHIA|^_(k1O!dv?8XNg02RRybv!? z_1UGR!cGM8#{?cL68lA^lQ?{n#jn~$zxK&W()dNy~-#pPD z{2}*mQom%aF2pGd==@E2j@pvvEo60dC5?9BR(WKKQK=Yu($wr3FMf88+S^^cO8=M6 z0+l1^geocyx%MPwejD;}K(h<4+P4{f^q{8dE0a@PD%MuD?vQ1u8Mss7e`6urZ;DWy z2Kn0JdqqxBwRCO!I8xy7=U!hksTt7_#dWhs_u;-WXC%HdVh?;}8bakBkbNmN=_DEp zmOc9($``2)&!uckFFkp_FMO~6S8jKXHnY>HlYBCAW~er(rEP$9ekqiuJSE|vnG|NI zcf7&VT>WL2;y=uY^jVwKs8!l&=jRJ^lG^C-bmOoa=f3_+9hby?qgCG)ivQX_P2YY0 z)oSbJGw*8PHQ3e-75HzOK0XPRQ5I2{h>8l2EWe6gjahQJ4X+pLS-#t(UBGZTOT}6QwrC^=avRhj)d4V!%DcIt@ndPI$FOBwpYmBQrmZ_d0A`7DHV+vv|$0q-y(b z&Y0R4g%E%II{96TITecsFbnR%EyqUMo>XqEijv(>UQy2_ zJ5=tW=_LYsMR|^KvCY>t$6+2OOSYMz4hhMW_`eaXWFb%@uXP zSYC6@603x*%1L&@c~Z9W^)KznDzw_K20e>rk-TL`tCu<&W<$W(^{`?M_>Hg?Z%j6Y z-yQ#LeO&(#Fie#Y`jo?p0Vk=>`I{^x@RA_V4R)HX(r_dGd-DD58bPK{SW)K6EKR}A z6>b&8J=K^X-%Y}{p0M4A;7QH=rZ~n}LGTUTSmw?~))PNR6S;F%z-+9mmw#!Wx#AJa zrW-DB)>-g8yF{Q=)#!4+Wi0|^^3$Xlvt5!4*`nJeU$dA}(q3vKmr=)dp)~gaQM>Z? z=EnhC{S8W8Q~DY)Y;g!kzNhY&awIEO4+H+pIa#a9xx!*1&c{x>%kG1#3_87&9&#N7 zFJ^Q|t9&-luo`CDD(%n5V$&Q56~_Uzjk^nU%;vVhaQ?8(eYhw+#N^0u#AfvCuuX^I zI&4hQI4H?RK&14Q>ik(BnJqsih7>R2Ml`T&IU5$;a=GnoMxMM{WkTPt_3xaFFXSa~ z*@!KvN!hmC`v~Y)xopMnuUi)@cDXN)1ohk;>M7;rA6fBBLVQ15<9Pk1F^1CT<5~?) zg%CVf0h~q8-pVL;MdJNycp0wa<}vyiJ&{$WJmA{_fkjp~lYy{+*x8G*uoGe3B24Tj z%Z|EF5p^`8q5nD*JGo`ke6~5QEUHBB;R5Hn?75g=v!M!7>liF)^^*ueRu_=gr)&O` zXf8c!CsM98MsHJvYi_4`jaqAP)R~Ya_TEiS8(YpggzlPY{LD0xN@;(%74!*CcNtbn zH)`~lzF-JW-qXL;QKcRq%Zmn~oTWwGdu zCdK5-Ikbsqe3siIx!g)31AzkU%RvGid7U7^u>kAynw0A*~y zz8Tf0N*D6%p`J#`vxL-<9)sTCZb+*^?<=e($wqnya`t@wtlopkph?6pwfSoH_x4(7 z9=J@qsX+&hni@8wyWKok(|9!V7Ty2T)+KT&C#w`j8&#Sc@D)@%dlt;q z$7eQ{1KaK{;rDn!dfKl9o2fys5#B8SrlH22y*l1p9sGpCMs4yfK_jT|b9i%Ff6DZ; zD9)b&%#Rk}=akcSl%WVkn^G^I7BbcA3o^NSn07_N#OGY0XBP59i&eQv_8ndBu_NIf zyRt!VvRY~c;l7pYxm@fdlk6t@tXuw=NYe`v#ve_PK+ozmaobzlyz> z*gTB|l|7iBS=J(0*TijIe&i)NbKg-K9^+t?deg=?zTNk^;@8&P^b{l z_zXf=dH=WE5@S7n*X1*7l2G-c+sT33m{u2nf5?-TZ7>UM-eZAaL2<}H)4arX$=5M3 z3=&$I@BWj>2hR}ud;N?tCur+g<~XFp{*w6{d@gkil?_X0e4|w%-8ATEE_ylJRa2`p zN2=}-dAn|d6_{l+x)5^<{Mnc_L0Vl3^oUop}1 zz7E-bl+A<{3&WT%sL2?3=R>3L=brSe>#SsAEQZCYYi#tKJuF^viLe#w)~1v%Mcv=` zIxf9g|Iahi?uh1j=(}*55BD3HOI`m<-f$dAqxlgiU|=qJcd0&}G(ZmP0JxUk&!}9H z5qMBPmj6=r((hg~8rwz}zE>0dHZp!)NvDj|ex+W+Nz{DSy{C9y+U`J&0}}9c-il^~ zjs~=EC(gN6JikD^2*QJfNj(;QGOmgm>Zq)bhof0Ea*O3ZuhMw-*&8-b)3lhRD@}}$} zXIseTsh0*D>4CtLI1V%jEd=a=qmO;4Sm&wa6{CpxJ(zXhaqv#weR`q`a|jqY0PB&m zO<$r0#DH{HvX>{Yn(81Z$|O-_;SzS>9^@<05n zUrQ&-U(D_V5Zd+CE!zRz#*s&qv!Kg@n_OpQS|B@|{+2Wv zRop{+v*W8{%Eazro^Nxy|Ch+-9REzwqKKce>q>6~DQ~pNfEh?8ZM8p$?lHXD&@ke9 zOFH&(svG7n1B^z%A)~T+#2xYB(KsJ#_D|Uck|1Wk{so{#_=QK%w5n_EuKzIRyB%}* zgWVd+Cd5|}B?74I69qsqG@_6&mo1M^O!$aH5t->pAJ(HkE|xXea06+Oo1$aa#)}uT zRaZmR_3XkB9}`D#)_2wPqz^hn#&p?$iK=oXt{E5*Dt~a}>5|(q!wM_W=?*PxmI}Eq zHzD=>JzK#fc}>g$O5J?^9=Jq-GlCY%@{-n{2ORhzrnomxdgS?KEKQF~_2C-!XQ1YbMsBYP%9AJ}R|+joceka-w0&GIeJVzWuUQr3 z2&mO+_Zco&a;nw+V__YkO(hF}oQE{u$EezlGQ*1I8z}hj*g=?M05}jYO zbjAemq6~O_rCpz#umrqO&tYsXc}pS&xBJdQ(f-;hOQJ(}`yIC6DO|RYq4-D`~;wv zJzF%9>yIE3O4yaexqXS~r`X(!Uj>M*|Dcd6u0A5Sr=aD1tYsSjLqz#TI7{->pd+*I zSO@S6g$L$So)s|jydi`qJ)qoC8k}Ne9f)wPHlgc=hBy|Jz(vbyj&)Pfzswv49sx_P z>3d~K+f*Gm+FG!qK$I0fE#yX!%Jil^9ioY`~J1PtzO_DsSi&A?7yh&~7x6?lPnN zuTyfH{RA|@L8pW=)pcA`Wl?SOzIY*O`VZUGq1wT)tKyPsU;4&~Z#33L_7GR9elSKn zh*i{D95C>Y2&r-P=XP}Oq^W=NQZNrQJapw>y)hQuPO@fei+fXoGU6SJ{;FM4jcHJn z?Oka<2}fdnptNix3tLY7QC3~a@M(A-c(^w{LQc> z@iVRgt^3XI$DQ~4RDtokj?}V`2fm!a-f;tXgaFX!7cW}6m}vd9?xIuYbf%hJYA0Qh z7Q)K`8Sc|t-gWA~&xSmeDY88Z@{)TxH@i^EJ{Uw%R>3TN$*QiNl~{N5ZTNvU9Y6{Y zb4Z9crJL!PusN~cMPZ6M0ku&sYuZ!y!)E^JLlJ>F&7EgqNg^R^dJ| zVI}>j<62RTSk2q&ad?$TCAeXhZ7;i@Nb{7Cp44#fT7TtYJ$h~Hv$?>2$AfYL?9{82 z7S;2D968zY>`7WX;h5FnukbT+IS6G54!$imk&gn7kD%c91 ziG9`Ha_hBxkSYhra{(1u5UQy)8{~XL$Fk zk5Gt|`jT_NO*uc)X_qXT_P&WDpQo}$EBeLv7ey)tK37hkz-u4NlE64)k*0IStawjx z@WW&8+J=G0hq`_ts*XLCN+>uZRH2l;aq61^zp!H?7s!ZGIEyoK%01>dC~cs}Ca84$ zm)7m$1TtTU7B&GS8QfNH)Gj%?8=dr*yIa~*f0xO+L3wM?wz>9kbm(S4`rW1e+}!M7 zv)F>{Tix{~i?0r3M)t?)R6rKg4Wu%Tex9^(aaK4pyZ0fb)#D`nIraY4>7!C{3)$o~ z1&il(85@?UUH+9=wVl*JZl}axw$Y3P@OrI5{D^`HRGIsn?-aY~6B zrr$6hZnD(d-eXIl97Scg7Prfi)Wy!n(1Gy;W}jCgZ3OZcJ*1Zom0!Ht|5i-Xv(`)OyI|KzpFk>9=ykIxrQGJQ3h2$_}Pqq#|Ole1VJJb0GQd? zA?#j#-Fv(m{3hOf^A~TF1$Eo74J@1)Y4eP^H($gQ)Uya=2;c_|e6#Zn<~68Pd$Hh|E2-7hm^( z625<$0)1>8eXRizDOJP&ox%Fu@%Sjl?CykN>y7u91Zh!UUqioie_@2)Gn%`{8zyx@ z8EN%LfpMW`-qxYJQ@soWT3I`I^e?@?z zi+olhMmE%11YYKN+#2WUCceNvSR@|dnL?2-TY0(mxc87UI6b~r z8ohZ9O$X{wGr#8huNJIUy_OauC~6s*1c}BAaShk3|5k6wOO&TaX8Vk_E<2apFdOT8 zeKPi0Ly&5BtvE{ji8K*AM|87(sQgb~r%o!3!sIS$?b%j85Tg{N(EeyYF+uvnxEE>A zLNG7~3x7youX!pQ?H-Xvrkd=dQK@&{^K6*`jywCEFR{46+EykaHrH#_K7FSmUQf* z^V(z6U4f}8#oWRMTGiab)>?X*^3r@Ing4pqC(Rk$dJTAV?5X-{fh(@YSuOhzZzlHR zBL$EA$Cbag9J2;ahoweYy7Y7NcsaALZ`5Sr>ekAR>B1&7$+;v!kr!Eq;;wb;d&9rM z+VzY{_#^Y-rXanpTPqH__&par`O2u->nC|Avp-Vr8J+f;;Ta5X+{9|ei7s(8*6_uA z;g1uSBwAU$=X(z=?=>S12w<}bg{lwTlB0^p^g=^b#1~WpN%_cE&&qBNt!LMf7N8sqTj}~=AOon8 zKmm*u425coe)Ha5v+TF}PLVlH38=`$T|+CP(8WoK4KEAZ)H|ZdmQ+ej>!yR4C-0`N zuGmGvCMJd8YJ%yl!iiL##e76cjQVep?jbzxx9}5pN8WZuZxQZy@?^#fFSYV2fh3F8 zm)=r^5>yc>H(Ku1aW`7DH?HRp>1?n4zNvniHLj{tKEDGklH(mYKciOQXqrqL^R2Rl z{J~J#H1HOAP24Vgh-aYH9ibTY7{}@DIt72)WMWm}G|2TqqFhov6S{-r3FtVbZTOwyxU`(aDgQkoKd*nV0`ujd}Pd%q=db%Dsz>uW0?@O^r#LZ@+D;8?VT zUE{LP1)0@==0xIqyji3 zz5!nZr~(*I;M-W14gmN`3Y_nf#qDn@70U4q+t=%#44G+~Mt#-uday?Yqf*V(7t)f- z;=T46Y$CrLlMQn5)nrARUbruPdyPv_xfv(fa=Elxum5hS95nA7Im2q`mzPEbjkKeb zzrn`XF<&)9L$@pbvRAbv2F8{{xP*7mlO-6keec&*Yl641GNMU{i_6B4QK}N%zJ7v3 z6kSNgTff-wwfsbLytc@9LK~&=b$kz2*AE2eR%c8;s7%wXm#`0=#PQ_z?I`8F|4wj{ch0ZqgS;)xo%aP3FF5#w zca)shxCjvT&#-wYpmA_FO@;g-8--jP$vIe#{#9xCog#6N=5@{Ir7jzR`*B*Pb((UE z)<5(I4@4)+Ld(c6t%MQBlP2LC{n?-Y9pKaNqTU{14Hgk2*;v>MRWR>C76%)SM0mOF zmbvf0y_R%#a!kTJv@$y$ zPpaxXU69T-$D^qlzxv8(oY&}&eUFsHdBV+2Pb3WBYNJhHHiIs$^LsG0) z%jZPgh-X=V5B8Q@$vB!z)-m{tSGLR>Tjvx?9Cf{dB;d)``obtr7H08oe&m~2+j}Sx ze9_4xNT8ShPgm zfAc2c+%=WdoJm6;K;|OIN0ZthhUI@0(wjcGS9jqpmD-rYnOKKi9iIEDW~U_Rke<@K z|LX&6iDVo#RC5iV^{X;`xI$|VH++_sn7pbGBqvVnCPz_$#gWiEDAH-^1KZbsyAaB)9X3dbZgi6JVwbrjPjgY!?T^uqw5isV_MzXH%ROw zNDQHY-flvVs9?2u79&I|V)~(=b_wY!w}$teN)2xw1o@(o%V8Rd9AS9w5-wlxZPg~@ zzndL}Vx?WXY;H^@U(}L(Ron=fny-|s`MoJ`$$fkcfx?Xel1PyA@4VqI+r)?UhB?b5 zVwQhXn!vWJv4?n}f}+q`Hr?@pi&`{#KVxRYA>7&ZZ(-e0DodD7`;V!6aXsI)nL6_H z&|QGSO+x@`b?DHw#_U9kU9fMXC$}!F`Ii9oNZn2Wpr^;)vvfaWs?$hcGMA+a9mHT< zJ?3@|c=2gyjLX88Hcd6g9=ZsRT2g%YtKUWE|J9}>WOjSWY9yxAl!}$}C01kiS|-*U z=>EHYg6d*XX~d{5y=d#zv-OR;(HOE+W9O zyX-bU`ssqARim+B%OO>R^*CG(&;RXKLN5?pUnZTg$7D|iywkG>=Ja&`qNR9^h)+s& zCFDcHwV$K)zsIMvwQdL$HxXfb?t%{A4)I^O>%K{j+Rj-xZ@m#R{n8KE6sxzdvnm9a zpBb|VY!e0D$6|d_t8W6BG4Ca;eKQ~n_FyqK*=u*X{gChEJ9z+eDypd@jakT}%QaHe zeil9j6#2tWjN0f;+mX9G4JDc^5aiRPgMiNuyaqdjJm{-DI_}U(V>=eVW?lDCJ+t4| z&o}qzrwkR*XGj&fRt4teFHc^@sH8`z#R#gj+cEjeh;z>-1l^Pi@y}G4Qb) zk-7g}7ZF*;(8;6~y2mUfzaD*je3rU@*wEv+p}(D~=Ur8i(8Y z{R61TwahrNyeKFr(7uBstS9->b3z`bK9r_^Kg_;#eE^qB_W3wK;3sG}nlrxH%DsZq zz)?c?QoD=K#e;$PLKN0DJpJLL$(^YEhoDL9=7zCaLwJweeBiGnY$eMN%5M*e7>^dVjnEA-C#FD4g>B)srDPNlH zUjLB**X)IRQ(n3YUGcOzT&a3^BKAUQl#^xT;E|VhrujBL%TZ;MPNf+fn5s>wd5;qF z*>KrTi^KA-b~_^}5S~u-M^{Vg!^x;$P5y}+y<`!#${)bu`E!fE(ltxiGl+xh^&pX} zTt3;>d0L(1pS`KCV~oJm?Ru^uv{WVd>B*t=3VG~aO~Kt}2@C(AZM!Q6h#6_x&YMv0 zC*p{z|A;`wkVn;myxxEF0^>F~(L_N}#7IU;bQR=7$#`9$7K?C{5NYXKx7llA=FN|l zu`QZb^D0hn%0CU%>?s3FFwPWg5^RX$uV`X6()T{Ax{+~%#GGs?fb(L!)=Gr;!*Wd- z0ouw49x#7x)<}e^Z~0Z3Ixz>n&-m$7qmx~F5bzCa{}WUu1g$t*VVgVb3!G?TATCKO z1#7C8M0q((NlG$0HeO`37q}*%ifs)cALa0_o4MhFG-~eg7!sS~fmjgjbP>g5{zGnM zH}34foUD*G^r}{Hh(6hs9sk97&NadhDBQ>wZiLkBNHHDN^`i3%myge}f0JkJ#*)zO zDQVNO?(Z*hyg1|oq-)Pn=!evOdFgwj#%H^kHC*?k=-NiCf{48}ug2lG?iVy~eq47S zpx$^14}J-V4P7m#%641Mb??j5ZnZ!$D4h-U{v%Cqq@?4Xpi&`)*w|)7|SO z(mHNn5tjt)_tsZrexJ|TVea0|)M-jAN7Iz=J~FUqGWvQJMdL8jHd*QcdwzZ#@`CMR_{#+;6+adbaZJnn$_qy14J6W3 z!ur=;QiAFxVMV)nqQ)f)D=~f@%#GxD)oFLq;kxpvO-Yw}eT!M5Im~FLGH1;YNtn-A zcLi%#SUN-hY5TFKH7Sfp zS^;o|#U+-fo$ZPfJzk-XK8=ZWH>4F>*x`5J$3ywX717{pB>noM&SR1A_&*gl!F{JA zLvE@Cb&sY<7#zJJ&@F4pw2T8ZB7IEUU?SRI!r<({k$+zkdv4`c7Gi#oVG!%O018le z0nTkAR+J8J7M#8G_RO)r-JKm5t8yHgh)p`iq4`ssOf(|>X6;WI3YTE+x!a4ha~otq zN$C1_)gd`L;TRmuz4&*xCd7tFC->4_XL|7>O^$AoP%j}Pb=;nKU4^bb{NsjdqC+EM z^>aen*h@46!Pq2T;4OEzClL^>Yb#{KTnuOl2G=hgpnqEPXOxPm+a7dgg$mD7%b*`! z&CN8wT_{C}exneoPL_?LOl0ZeGNSxV+=<7hzYZZu9BeL~5%s;*zA-g#NYsE^950dv zs>`Qi9~dN(H0vQg67LA947zwom=+w!7I#)fKGpv z+wvh=o+Etb8qUby=&3x;F~`{WwGF$@U&SRLg{HKfwL|ml9k@}TPu<^{I`bvF%_}a< zkBKk#@!intE!tD7AuvHY)yrX>TA3~5KhUI_0CL`G0lQ~#R+pkCo6++dl0$p@$1Xd3 zdGx_nt8vMdy&pETyo>@(*W_728(Fxh6uaa+y=OC0Y4VsKSZ@c*u7bd@t(rQ~;DdhVqV% zim>@U5#oheDZ5G#H|g$NBPs0u4TfgL-|xH;L_d?;%_ToiGiz%7!#xh)gP_=6NObB7 z1u{0<<2C^sRit2xjc{v44dW2V8^yK+qGm`}8Z79o-{p|%o`yJnB%&0vOetwXu%+1c z55xtw&y0;c4nK(B8+EjTU3f? z{JED8Qft~C7#1I2ZJc)D%M34fXA467Z%s*A1#l_tZlhH5A)%GF{C0HQ;j;-~>K>o2Os3&4>rG zLVPHdtdFB%O!Ie_hgD_2PdNzyhmCWsrZ)xKaL@>Z1Ri|@9KboZj1HLnIeDxVc01ys zIy_FeuAcbrHeH-%K?HRVbON9G#ZsD!%wtNoJSvr6X0}G*Pos<_tgmF8l77UzwU+V69h^ic@UcQDhKT7{(IW1g?;%2-#Ok4_eV`DoNbQW?jKiZGb{S8XbO z9d;A1eEKV}XzHiCsnhlCCb}^fS12W!u<%H=DNFd~OKN|RJp-mB1y<}Q?(>5cF?$M) zUOlssqnIw*R|5}q-E+ulg-~%xn34r;SqNTtIpS?)a!nctxgaa})~K{)KrdyFi_v`T z$0}OFBYe0z$CnsRe6fIfNCsFJA8Q0>_)V8OEo6NC1S?M`CVt&`j~Wman9=-B7FDzY zP2NzT7CV%dE7-s*B~}w{1inC?P55T|S~;3z`cB*J*PhYv zlmArK6idZ^>I#@9&o#=IWUf6FwrYP`49`a8D4?)?v zg5g>smhJgMSw1f9gi(Zj>_o}KM#RS$Q@HED8feR=Yc_Tr5g%c^)>8fjmuArhEpzGU z-FdqMB#u+NFlV}psGNWTa}OUa+TpCl^n^)=7I$js(%=yOQ%2XC`k4d@#%uc(*E$a zP{PAyztFt8S}^UT42nbN(G!hUkr{NwMDhrP!qFdHr~VI!39IS&V6S;8wc$08cj>=8 z|MZLj@*v%{-sd#Zubt*6XD7Kc(?l3_Ud02bSy;jc?I_)*obDlrbxEVK?p}xS-}HF4 zA*$wuZ9cqn61iLft_|u(vdyzAI5164bWP&gGB(IID9QT|)rwzlANmvqV($QF^~kBp zRipT~=i0!;%x8td;t4%_r2cTYXw9FHr7aZHx{!JJz=1V8sF7)fX{BN8o)TPr z8y)d9!34*q`FX?Xud2N1FlG?O<^} zJ^j=ntxt(V<3F&52qZ!}%r^9SWQG!RKkDY#zu5%L3{D*n+K385~sO zstxoYorlK*Pf6KhRgAw%#&Sq7QcnIl5(#FJxiObwhfno9+L%?~>o`R7QTLXlKPSvj zHO2c>dHAk{-cRZ`>`GL)qgnU&|F$;DOdW@+hXNmSe%n7OhkvI3I|{qJ4Uv*Ew6PAq z@v-fvW0JsHBLUZXD!Kl6)-RJL$A1(_-w}kte#u+u8%v~KigeYAWv_oh9o)HQZ(%PD@$-6fY7si&`SA@%c-VD7 znn^4=D^Ye_HC|Kg*jnk)4Hh`^tdDhTj#btP7O<%MDOWouIzfhyE@9eAJLeb4wss zOSFTUVp}BW!sL=udTVmz0j2$^2+eC+h3jStco7K^{IzGAscN{#Y~C@!FE7!LiB(k! zhkk8G7J=Dp!wrj6lDu~#-HDQSMa?@A7Uf>%sT!EpXa#?PLF=C{9xCJy{knfa=<{Yd zU6G;<;}q;-;Tpa1P)>f7n98Hf>gj!B2nrNm>Of^%S#(}Koi&0o5xF+xMoFw=B8N@e zHoKvDR@zP@YF45YHqe#`Bl?CyaA~ zwYGt$n>Zk+oOu#qnkh>eK(#9U`-onC6WK_!EY+rqA*1g9o~>@0T**G{PBTtsFjy)K^1vyg{mrQJDWci>BMtS;n4|v4}V%5BZqiD~mga9TGjY z6LnWQEO&WbuTt=JsDbc2!`;TnZ@rmzjej}UesAKE<`w-89O-Z~)Db%VnyeVda#Fzf zz&_IE_^Gg;O?>%Vw-M8{^e$e~~x&`kvI~4aD4X8s#Dkwu%B=xMVMrm35!y zlbU6Xbj9y~`%_>8`I1u>sYV{linvED*Y8j#=X!-;k~!t$Opnb-h@2|9pd=OmohK^9 zb7PtGL!EF;9kDYRr*PO38~px7aMf|VHf=yUW`0c0uNh6eP^xFA@SC^|^jVaC2jIx< z{PuG1y0g9>e@xUCsdJuVCiY#w(v_mx!)e*B#ykeEyFF=&kap5|pbODq3U0v4qs+uV$*G7vfiIBJThty?zMz4S0Fnj%ga-F(fpkuu=i*((a;X(4n@)&dS ze|Y*1c&h&Y|4*L=rAVUeBzq<6a!FB?z4r>?;^MkokaPQ|(Rp7VacUa#jG7Fc$GyAS%djpizo*Z$sFOV<_{HjpFk9py3l zsKk|V679z&B_D7`?WC$#740sR`D3VC!WD)n%9x|sTXr~AG!aTDllZmmO6`Uyxewf( zEK2U2&*JiJFeyHJB$+b`ta8L8ra;V8)7l^++U(B2yAOhAK!dlX+JfevO~0Gz`(es( zAz4^y?Cr#CwbWPQGX$Kz6Mqtsq7kH!tzNmNQjn9SI&bW8l;vvj!8PrqoBL1M2<7hZ zH0z!C7%Fhk$xQdExPO{5cWTaZ6zAtA)_H;kUW;-ad7pk45UCxw0wb4_!vsD0>Gy{; zyqORSEvKVj%O&w(h`UgxOITiH`~Ib#QOAVLp=vk^xU7H(Hm8mfjOhn3u3T4jw$!hJ zXZZ`iU(~n?3=@Ft*Fb-HG{E(68~cn6((<}~={-P`lMn0L>=uehf*z`Kb%FCyJEnMs zRuyIq;lmZwF?sbN-PKOo&+9)%qf^+>gtt{Z(j&$|;DUGSCfH0cy)E{WtC&zL0P zc5HABbbz$TGEL#s#Ol2Qth@?zNB|(Aonx}HQ2y<6$-!(vej?&x*g?Sm|643}m(&Og z1Lut>o0#5azv)&In4PN6ZOH5liJ+ll zQA*$SDgLryNVafs$@&kk^n(Qb&WEt=h|pq%MlXPaD%KseN{Ckqt!01aq_$4Go%o(N z*ZTc(3gd^GXVFTI=CRwwv$}Y;tsjymPnt>vca1i5J`E2yUI2pu>bf$9G#?0w>m>| zIz*BqNu(KgXib}}r_TLy+OP8ri)C#PpTnQ{NE})ZpX$Ch*9(K4Rs=k8A|;G-hvhBpvIdoB?eC+S6p8Dbf#(qvl?@p`8e8hX`6A^w zfc5ss)@y19GzOi2SC)v&f0)oxC>`WY0$e_44&GiK@)D|;{r-IXRmJ~7;LVoo-`4g7 z%q zkLw(5jBU9ilB@k3$WB+~^{FK-R&X89w+Da4<+1IFf8R#L^NNRobt1JyW0c(@}59Vz&@Z zLgs{40bfSnMrT-eRj#8_?ZV^!dOd`dMLlKu{tp(Ni;A_UKRiu&x)8cfqSFhFo=zX!>lm|Fq6<(-NICbylMb-Dh8+PkbO&D4$5qT3wXbaWUF%wo%}`VJs$t{sag0oThJ<#GaM}6M6=C2$Y@3r zEYnmVHIs{2;$U8v{VjzdTpW!PXn0gqsTh)Jx=isw;yn7b9y9z$?DP(136u&Jq}p`v z^6v9xn>P44BCe@0V${NFib?fXRF~Su!+pbZ|`=Y3SBt|$( zF8(G64i8_LpO4^J{>P<)F>$Inl`*wlx@KGg0(g@=UF#o10zUH|=QAe0TCmAp1pmtu zna7tzO%y1Cl;4jzN-9^gT^(E2Nhufx!xXKXD4Cw>0w3Jg->*>L>ZO0@K=|fXCZ<)Z zk_P!i(3g93^H^DD8PAc9O3g;k#scr*hmuE(iBAA}C~k=jHR)O6K|2S2Bc_Q;!B&>| zsdYe-LPt;W_~3P+ahPxC!)Q5oPKpLr&tqi5D=SuZ{B=#@fd8Q+_rX83K7WQNyx-Tl zDonC9Odtl@|J{nRDb~!f&LC0@Z>EiiN#H0$MKdvx>#2OeVK|NyQ0DY^4TD+SUXHH< z1}lT}jovo}x|At?0C(-{lSd&XJg@;_n*!d|fNw%b7X7;c_c*vgLB(?DQ64INp zL_G1IR8C94xoh4G>P1_ETdALF4`Y>QS&5|LoF5bzgs9e*(xshU$o*047}rPZv3bF) z8ONPrPAF~Ye@QjvLlU$^Y(YiQr~2*R@)z-Dn>fYCLce7!NK{`;9c%82xNn=w_6Lj4L zZd&^yO$aql7>s*xc{#7e7}si^ELY8SrRax;eAR^8jb#(f#ws&^-##z{?R5ZgR5-d7 zRIl^Li3?-X%M0-bOBm_tDm<5vz8n6yR_~#QjJ!~I>2h4a+Vao86}XOMFdb_w4lh`a zt0th_AQd->&ALd9evhF6*^R7<*S;VWE{^jt{ptzA?7R$&E;o_PRnkJr($6K-#_&JCO%@6rt>Z1Ws6o?a7XCkxkXx% z3Fc~OO#tdGxP4_lP)U=KEA(yK*V76Y`w}I zQ914TFQH5rqs(AvL_!H*1>o^tM%}_2DQl|-MrvVb04prKSfeh|k)}u^u4pm<ⓈU z`x)(xw5JtfP=&P)8t7=fi-FrOSrGN~->~wc-1{9G{17Q+I<5O7lX=H<8nA^RIK1c! zq*2-FS5%F2U~c$SDQi$q?>Y5zl5eN@FXHIu)zZg0iOIk`Ax2uSm}j760ef7j^QLHm zXC;pIdC5+2BbPDOFD>{qA4)ws_V#(+9q0dWfr za2-PIol7fi52j zB-FF{@bjiG{Kxr^VrPMsA=HoRjp+c>hz^}>`KD9RgQL3*(Im3vxJ2Ho6jEDDd8K0W zu~i!N-z?Yqu$F4(XvBV@>58dy;2ootc+)gfqZk1JEBF673jqen|0S~a;M`ge{3|Kp zAZ_JvD{TIlI)*cS%4E~Z-9(AR)WlwZk{vIHdSQyF%$xx0)X5tD*G!!=3A=%F-&(c% zR|o+0enp9Xws$>RHieV)N&!LmHI-c>*Yq0|tL0Wb+4@f9nUhZ4*wb2_mTn$&9)J8i z)6_F{7D?AQxEebZuuQ#tkwL|ce!G~D^Q4eCcG!xIU#MDH{>RCA=soHmz4LJbtsbw) z)D<^oS>o0M`dzO#;d~;+`i#v6N@QKnI3dyf>&@ohDxMoPYxHhmm&XMRfE((P*b>q? zlem9H={WHGbs4eipB6BAGtGVe`F-SC9QTy1Dm_?0g@Beh6-!*|laZw&`-*EPKT)pd z8*oEmFQ4@To(6MAH3s>UImcb*xEV6OI@6OXiD=IME?1`0FSjP%O7b?`xQ_kZ0ZgS6 z?+Xt+y2wZ|@JL38XqCp$r{8VI;oB(W`mQuzS#>tqiQo@5S87 zqAqeKvM;NwHdF8J-qajy;lp>n5iJ({BBaPsa37-*EZTtc4vp1?j5|;xaWzIlfOKm3EWZD zv(fE1Vo^f)kow_#FHd<}-B-z8b&TXWx69bh4G?AR^rn9t3BX~hLQu_b>+_L?ulpv< zovVpuVfb5X_j)&dbT{wy-W*trqd>SI1}q9KsIGQ;_^vx8-#drQ6p+dHfT#A(Y|E7R z^nrrTi0&gmrCZYx?F=Jd!IgaG_l_*=P?0{L0jG_OyjqSro6%)x;e*dbfOKa8!J$=VdSBW4a}F848)UDhif z_*?o9na`UaDA&f~W@`E<eiFd_fn76n4*Z z4}Bo{4SWHFJP`hnTs!BfRQ&#FUkhBA_(xI|H`BxSH03$)OKFJ~W zVzDiBxJpO?DSVSD?1~IBkn0GrS2}y1xPR8HT{IVON_o}n3#`PiBa`}_b}S@Q8@GJ8 z%w58{o`1O3d6$}rG>P|g$Xs}7Y4Wqo{}LR^Rt+}IA|`JdQ1I5@TEeObu(_13ylo=? z^}Qun^Uwc1y}gA%v~#}q9OVxvjAH?3f9bm=+}eOyWutiDYvb0^-RQl!Ny0(a(2YEjvieq-d3_X-1)DqYx0Pk}X)Gc++x zm@4(ikXx zDn{`NKw)W3x79(0jJbBF>#%2D;xN^%zO<*Jh`#zz0;vZav5&U?L0|oy5_8b$cLe)3 z*}>Iar;#%>=24_q0_KO8eT6a^bd?l~b3VtggH0QRleI`NfLuJ6BS}|2;wmb&uya8Q zy3DgWbyEC1Bsp5cVDN<6aIx93#!=C@i2w_3?DZWmG-|mVaA;px!V8g9MueGgwD=(V zXiU7!emqMg?Ml24sX0A{ck~uz6su%pUIxx7#QNaJ-Dw7Bo3E1ql_p8sQU&i0nO9-j8js{Uq*J`0NtTOiT z+iyT?%*HwDwn7y?*=!dZUc`~|P}y4k#Pa{b7nog-I>vxP(#r9i!nxN|SUlf#Vyx2y z(jsF;s=wt+3EUlVdOB|IwG5MxoS~t2PMeFl&8Oy{fhGm%KXl}U7N4IMbr^gh#+(Jo ztmz!wwE}f(-k_k8>ik|vZtfoQ-{E%h7B1!8n@k7W6sxbeZ6u^Ik&I!Q@s9z&q;h2G z&Z{JnoIU1RANhqzpS``eF*Tbe-~Q$(F(DvDx}Z^IdFHZ=03IY-($KJzE6zE&FY)#qgbQNM?zNi5 z`nd+TBO8{b)z(dsXgey6^HVKGJTgJXxt_j5hn657jaYjlXjSNboArGKH^&V-NazSb zYw0qzDR!tU3@m)GV4<0{i4*pAu^S_0G4QiPMKj;$tV&qve^n-M|XX zPk_&Jg~Gn#l%9c91{xy8;>9xsArOU{9G9$9+434v>_YM01_rMxr{aUQRcWc_R@%oi zy8oA36ya5h6%yaa9mAuetEcmf1toR<@a&tbfW3~fJw&{~#cAKJY2u{0%tt>|!HIko z(A8bmzV)9T$1!^?PW#lpz?t?W<+*0uwS(#L_hD!@^Gf*;E`11fVRYt zo=;w*t?u9UJ~Od^vC9xrBLY_|Hz>o^kpnf+hjyiDx{_j_EI1`#;!M&Jgv2U3PUJUGR+=Oq?!6O)rg z_=HK^2tYP1Eka~6Ex9cHO_USg#cBiem!gSl+rKLJUuJKonB5LOp%BabO!Tm*AC8lo zE41DiX4_?VWyw)!uhZ-p9{6Utfkw2PtP@HugLJwRPun+a^}DVWq2OdG(6qst-feqZ zy6Cj=wY`vgOndXFV@!y{QJ?uUn4XHq-9a%EyO?%5xP6Rf{98UrK+-YIkulC3l7uUU zmJdbY6ho))4%kIeP+aT0&3(p)=7VTv{Z>kq(X>g0Ezpl>7L6!wn0}>XSj`Z?{kXL; zo-^ZuMBO4k`NbPo!fDO6%*E$1 zb3NfddFYPdS$zr;;#0hB9B*IZ8Mzk2rSHUkt^N~95q;y3mcg6mJM=9 zOQR_w##5jHfKhlh?eVQ}kn^RLlc-)R`M>6%;P{cIffFGqnuqy8`@!IKR*9_wi&=|F4-^Wh~ENRu+V}5j=0+-j@C@L$C1a#89@`<>A7e;e_+l867%7pcy+0 z;Z~cM3-6k^xfxy@1FF&^KZd31aW+A<=O?jZ{$JCDpjBDIfj2RPWChK4o)>uw_k;rN zO$wjhW|$Lww;nn_;DN&G!B(}%$ajh0p%0Z}l2$DkF`lpkc=oy5q19XMACa^o+`1Q3 zGvVa|e6d~eCX&W%!n~Q11xDUAQ$aHg0bwLDnshOmV1>_i#X+$~rHh34W!M)8fD*j5 z80>8v_nOvDRz>)uq~EnULgqHo3`#T&m$+_{D!Wku5!(l8+;{EP#KWA-|IQu>7e8DK zInC{ue$oD$5(~Q6ye^#%9w{-UvB9=~q4We)QTdD8#M|knL~hCZ%P6N3!yrL9Vv?+E z>RiR}&|T+k9nqppWSkphm5V%ez*KfaIElfn?rKs>QCrw|ZV+Aww9(RYfej!i7~~j( z(C?G#x@n$zz=AreG{cSdovNpXri}MjJ#AuGtA5})`B_Es$6ZH>*(VMkAM`SG{TS;a z{23{NGN*@U=vJv<{v-lJOT;DAp80SWgIhz5%3=dT9&xF3^5e@>zJH;Sb*<64Z$jSk zxl6UGshlA5N_y|$(`e<9BkGBMB@+dfLwh?^Sy9UgimF-egqC8Lqb@rXEq7vFgU$uw zShn$5L1PFwLI2lHF!px*`zIhT;OJ|UKpdJ}!tF*hL(0R~w4=Q>%yse7z&HH5;e(Sc zFJ9GorzW?G^u!R+`SVH1Kw}d!?g9HruL4j`|YhL%yVKV z>C*kOYDm4uzM+&1!l!T<^o-=Y*O~A&bcRv^Q>J`O_imlx(hK3aFNu)P|O%o8Es9@*I--a+Lgo?!t6Xv^N{*7WsBeu7)KTIdFWRRJNlT z9`;4@gNHRE{{m~qR`oXc&;YJ#GywSr*?JVwzVZh{oX)Dn*V$1eJE_jhM(oeeg|G_o z4dJo8l*Dc51B?JjKopI#Hq9VwMaXaU#@egNZ7EH}xX^xjnn=^jC4mG6gFkB3>!eU- zV+Kq2zl>EuR19wZjFzOkMkcrA5550hp;B~OXX>AO~TtW}0t5a-~@0;BDppC?NLu&5s&flX{??mb9s zjs1ETc<@5GOUo`>t57mL3NXFnMmF_Qlfq0zo#?`*Fg)o=zqyGtFjm3529seTw^t!{ zMGAAd%E7AhdD?r69JI`5t-{&+`=;NbWwE$U+L&FdqXX`V)<{KqHQ37)_)2`@89e7jlBjF5nBohCza#v`YESxXS71=zrztU3O1NrdEp+>WQgIh9Mu&>Y6+cXVXRF8OChA2+mJ&i$?dtH03BOBqBQRA=Ja-n?S-DRI9hG2Sk9=^qSRIJH2| z*N~b!I&^i8ggAe+wnKFEKzZL#e_)-1JqbV9t!bDZOqyMkM@F+9z4+M{{wxPHrIKbT z5vm&8t3g7NEOlmL*^d{cQZ=@s_7-01)V@@$Y}`>T#*UkiUzmYIt*`h%~r>rRuA1t9+`)gVn+yJziBmOnmIk3r-`6#)gU zy6fl#LkG&2ms>moexPSWX`jgS*dyf+Cg27J=r^C8M~U&fjv+peDA1^bnRHYP(Kz#L8<6DyOH15%R=rg} zGQoSw2FyuvAU=QWRPj&4-n3GUJteo%ZeSb6N&RkISZZ<*^M&37FZV5g%14hUKizIP zMh;&A`FWgS>%XM+UsF(D+3Fe(29A<|lKv}7`#x<>`ON z)Dva%CbZhNV}mhew|99&JngsAl7h(dPcnXS{qLSLu~1xPWldDhcl5d^#PwfTsbdrV7!`(El zK-o7w#f=K8ol$qgof=G0E%(ItJ*q6e5N8!x)4{b)Ay>8_f%U#c97G2Q6-8#@Iu6~6o;|7da`riWiQ&aAbJ?{aq2*_W z4~X!YQGpb+UvxX|^m-u`Tmf0n4jlK4I&4-XYz`mwe^yMNrR57^Ch z{jE+&Rn^}5`K^^Ab%|wNG`Y!{Rnft0APUck-+uvJ&mvZ;wgMn5q=@HI;*q$`R0=XJ#Qhx1s z%f>YOUEGb3{yMQ~HsA6#=G@PulLL=SpWWmT;-&n$6|W;fX~|kv`0V5E;mEDGlP@j> zpPnjQ^0AH~ZMcKVH@g4gw6BksQ5oHP?n~v;y@nE1Y#GlJ1l}BgysReyE#7|r$gB19 z)c0zqj%Add=gne6N%wu005l*M%e%sq6y*iN;6J1CAy?c$sp8p0()9g#7YWM@Pgj%x z#QK@nDt7m4+VJ6NJ=N<9;zt_=YrDQA_qoK@MT%tiiPP6NO`kTm=FPyVFzE>^^3`D0 z8Q`^dq)YctrlEuNl%@003$p)ZMmZhYtd((?^PJnA_f-IMN;Z0v_{|&)|9jhvxI|3_K z0^g^#nTqacM|?^!Fz?k>nE*qJ-XbX-T<;|RmRPBF|4CWGxygA)SNV1ry&-)}x4Y_+^r~}CmiJ37G_(2RECo;_cUYlxsqstnHyz#)oMxA zyVkwqWq$L5*5W2|jnKER8TPg%`Al)+w*F5;m~$=^0v42uV~|j0G~P|<^J9CF>>mB> zeY?-SL~EeT%-ltoLdaI;vT>N26JShf4Y}#!ec4XZkNLK-TEsK!SU{yNZBxt~Gi=o! zfGLLh^sf!q)#BU%q~6K|XwtTR^dLkXw~#bIr*IJu=8< zU`xT$*S~#&m=y+}NkOO3^O>xc3rHXUit7a*365PV*W_mPqjJY4U616<*Ip?lUg4aF zt=F>8bK3PIU$Iq5o-Iqzq}+n|)AfQ;Pi^Af_NZyi*hZmUV$Jq^uTy9bVzyKs#uevR zgrA*BOfI!~N3E02=*^w}JTMb$*@e+b?-29K$>NZl1y`3d2fmoRNz?W{L15%T!M7`Np zMv&$TG^o$V%zge%@ZzK3vHDs2JIS;JgWwhhVe0`jL|;2)o*UpujSl>c$TwdTTGCqf zYVK9cpX;?{Ht1k0S@gIg3vA{1#Y$(ng&4eF(gO>)vq7ayuatkvDhu%s_gp;xdR|NQ z)$G-{7mzWsif?v`2Mu^9&hrmwR4D-u@OKwiB(%WjyyMHuy7pVBCZCVUMF*G;ZMN;gS2kO z(p!noE`*-3))3zH>$d!k1^~AX$E-uii~QWMj5JMgAmE(?xqc6L@>_+H<50OPJp#m& z)*Xq5F%_l{Z3o&`Fl=HcR?!L51G_8VzvHmP#J>G*(>u`#wx%@E^h9+-Y6QVkWR6yw=SEAL?l&DsvX!f zNc-qWhr#aPn*ig;vbr&V>}@E@i?jv?3>Wp{JtN1p;3+UjC-@VC8Bp}8UI*zcoE>>wwG=#YjZVA9l2 zMq}5W?p{CFNKaMBM~{3`OB7_QBDw83!SS51b~M66@LZ);PP8dLT5eYnZCBl`8B`I& z=x&$kdzY&(%r!Prgk-0@y}ei<9Lyx$M4mK9|E7{lvvxAE00e1mn&d9KM0$sWIPE7m zsUFje2n}{^Y%vTgqYbPJ9@LG1?}S_{7UkQovo>t&&Ue(4Yuk;Y`ST5)uvq`g(LP%n z@e@SI8L3&#ZNJ%3T7pN~J4f(^o}rRT3t3z`tnGFp?`eC9!!AJTtkTsB<(2mSdHDmZ ziLy7iF|uJJH%Crq3~3L*HXq(Xw+EZ;hJ(;sL@iYi9$n^5!?fYUJ`7F(&ND@$MO2k) zK+OYbbc=87ud&vPLcEei`Tv#P=_N)1Yt=195x=I@iG8t>iv+ z%Bg3o6|p7xVSZV!r75UZkN6@FmW+q08_HkzqQW6dHu(yAGh z_gNZN1WBJf1eWQ<8j_Rm7!w!`-PGxX&*VWY48RF5>(6Wr1vz0~u-`wt&9sN3VfrBy z%f@XJxkII~F}sHiI(*b7f6TW@rOO))q%Yq{DVdEwd*d^{CZMk+v5lxWTnO!v1uY^N zm4{S904rOcBFIA1_#9$1LVq$QRF=hR`u4__5pWL=PUxy8zk)&j`n}Ab%&Lx!iMRNZ z^omk6J}yUrB}9D=dQjw9V->?pkua|O(t?KK5~t>9xLlfEUthNr2M8BEz@v?V?D0b z?Jz$T(^yVWv|3;bOA((TZ&AhMjP_s}Vs@hYVUd#>?%h-N$sfaye}!2#Exdq6VD1zN z`tK{(9w`#MBl9QvsQ4)5HA9}|5Z`o5oR1==^|A|*Vx(O69OJb)RR(5J^IifPu=m8e z8Em&rCI;nZ4l_HrNjv@m#<23P6Vx?{su9AApTUizy^n@#TC`hEgP;tA(ZavK&bzwy zm`b-ypj*!y(vdma2o>6s8D2vfdSa3SLDtvVO@G2?yb`2MtJ;3BV)Gti>zeOju;+Y3 z@#lg?cYLPy9=p^n1j;dAs{BG+jf?q4O!&lgRX|-Xja)WXIHr+Qc3csntb6mmr1gC~ zPz_J6uPf#%hfRWi1LVgoieZZd`47I|dy%orIYsFxAer71lB36LJUz=VNsq1p_jG$= z;QNvjJRAL^0AGvb={rk)Vh$zi9c!aK!GDR@rwJ1pi4%T`{~CI|&{MXwCwdI8r#gQ$vx5rb%SJ{< zSDmY0z~%@x)$^~tx~8QhAxE^>$&>5xtA?MLDAc2~;lDb499~z&sLQ*xTwbMJo>nn? z`fl$dH;T}2s(9wKoHv87>$6a5aqTM94bUX2@q{cbz5d<(Q}_OJf8AB$z>c=#4ZNhu zCAVh9%5U-mNT`TvTMM5edHIOcu+EOyC<1y4OrdwQzZb>vxT4%(#WbyZ%=355tin{p ze>f!&oQ+{?Dc59w#^nDSn=cA-(j#1R`mJd9z{~EUx1Enq9Aed_M|V%?CL(p>a82! zOZ4g8^slTk2{^~vfT`ohQai{hPv;H^VB!S}(dQ$4B3H+ny~twP$DILpu^q+BKl?M> z+kx!+L{w6H7O#iG3o9d-V7_qFm$Tz0la|CRwE*SThJ5BA^+QbRB@FFWgXaC&zm75Q zJixr)HGV*A&};wc9IeONQm!Qv{6I_n(YRQl-_xE?-G)I)1+A(11@F>sG!U+C7(b6J zdP2VOq=(?qXeYSA_Sdu&&Pg<|Sh#8uxw+mgtH5-gs;C> z27gWBx0%lpAlOfT^aO^e!i&Pm>1(agZox1w9-y*~(M=*eXrQ zfdQ_#F<-s55?ZyLmkH;}JA+dgZ=k?u(sfwnh zVaze)-=z)&`Bv)Clo^q2q!fk!-crNXFE#b5$ROnbRnRa15Z#$&({OihodYlFSGIE2 zY&0_Gq2Gx=WJK)l;DEwnj{wv|c?S3i2kO!owy#NP+w&2trJ$+3;YNEqaS8icI3G_Z z!U&X)db7_HER9+w>yus?Q*e*;Qb~+h=n3xMjE|&96$ih_kae~O{Z4#yzH54OxYQw& z$FCYAY&?@lTpm2n_kwad{2pQ&zP?)VYYaGB;)M=k0oqnk~d~?IijXmHbpb{QcE6u59De&@%&Xrdom7c+@RRxFzw`>VBIyvSw*%x-RiIL+;8g9FY^}om zeEX-uZp1mc!n&FOL3TT}%q{Z`erW+`#OI4n4#V-)SM~_q%W8{A~qiwZ;gO;!G=jP7%EQnuC6E`IYNH@xQBaeEgnj zN4jIa$|m1!MGD^#Vo;G!5yb9y#@Dn=)q|y9S_4Cz`A5Zs9}UbVQZ}j96-d{}U5m_u#KoF^+B zHgB+I6u%5(7CbkgX4IAXw#{W+PoOo}OaE<`63oZuveVB?KT^y$H#m`ZHs4_6cLmb;A#oJ1=+U;Lb2JOY zTw%lWbW5ypD!;&5vB7iqarb8p20@VF7=iiAn^6==6H}N@sG2*fr_p>>t9R)!eINu{4RYVH4QxvODRMr6C$3X`x}eZ502Bc+N=RZ+Lp;DL)9f%8 zwfiJA6Z>W%4`syW?(vE7{;$)K-(lI7bGf|al)SZ@+IiL`uj!&P!VRCoym?9{{-W*I zxE%}f8Fh(cZ-z$;bxoc+r|kdxp2TmfTld`t!@e@|g~$t=F5S&}{!+M4%F2*H7ZHpnzool7wj7axz($({4_RY{$0h~}nv7A>s@H`;}OsFvW z2U7N{qr0=|ih0(4W2)GR>HCZ2>gwXeMGHX0Xb9aDcGDv9%v~P&eYYEuywvwXHbLqe?_DgRe0D%?T)q_< z8<)Srg3%WzF-)qkw{z0eSyae0-#H?lyUle`Nz`7JUbhT+7l>7yx=Un;CGSS}T zrhco3S@RT3SSP?D!lnSy!#Yz-cx!+|z6|WufH@C#lit?kzEamg8|uWbPb$|hl&D{S zOJyxre*3e79dSDdFo znz?#Rbp-*`?Zhn|xE{K3m9lN)h4>#{0?t1z;hU-lL1aF=rEDJ`JV*$F8ri;o0ZT5t z$pC)dwT%=mwv-)ZQT}7BqmyT@w0@`-UBaKU$B$k96gECLT4o0G`hEuwJ$8*4z3{R( zTIVP%InSUX>0mE$bmhx?oSN_1Arp|_EYs67Et|tvfRoI-2N^ZCsRRx(kl|Vv!-?h9 zw4aq-eZ$wP1WHyVS-hsX?NVaP$d@b8&K~mMx2q#1<>~4@JW31^sA?Peu&Mpa?e@(v zSI=DVj_yC*c~`}MS#>=G3`Ay+Gi-Y_!&09A8&~Pv%np#D)6H~qpPldB?emyvF22W2 zNs&K=#r9u!%fLb^htaLA*scuha#4c9+IT4v{q@}|pHopEvjde3tU%^U$Fno#fhA7r zl@ju=Auv``_E)_R?yabl_eG7Jr9Rn5Y6jjqg+BBn_zI}5{>!@WL0XdPo(dt~w|P-d zlIiRtnVV=Gd|5}*`e#IZLLGgYMdusTQwFajo#Ay{9+<%|A@p@#9M&Js8#j-TvC^k^ zE>{u%#Wt|^fJKjg0olVJ4u;y)d)7vC8K_hK21cjjrqKH0+&S~#$%&Sk2whKu%2w{J zGjxU}GLZg`)+Qeq-m1m7JyEsuD2h&>jIPtWApjg#04k{1@4c4&NStqYT^(vd*-`sb zC-s$f5E6bLBFQ%od2@?H^8~NjL?e?Ae^|35#F-e$y&vAfmRA{yvStQYbn!`$fT{ND zDaNjK-r`QD%A8P<9}4W+B{iZ%REb6NWLFc_E?%{SvpdI`QiTVKzm?BaS9aNR6ID8( z*3Le<-Jof(*=JAIZTTZs$2^>U^fiID`&!O?gvlGnRu8SA+HFbhHH4*Rpfzgw5)_+% z)`%+*EfFX-=gSm2)2G45xM^{ZG|#!H(BP;+W(#XC4S-#?a1__IlmSK!IY%p17$HnL z9_K42XP}~)NZ^7<=8lBb)cf7=nV1%$a~%sex(t8_Amy9X%`aGD={XkC03-f&;;vQQ zHH_kxNEq0q1gSq~XXZ=3>xj3P85mSt(OZymH01dCWoBx};N@?mgGHdVQ#U>8At$ZQ zfjxW-C1q`A9acJbw}|})sxT2KUX-1I^D1gnYb%; ztYfENMW0i2r>ka^8-0F<6E-fc+|%BxpVfT?JpPHmbVM0QuNA4^W`^HWOS}jWMT2TL zWOj29m1nGA1@&b!?+CYiyFO10VqONObs@^CMt)C!jWYf(Q!4Qng_sjux$eB~Qi-W> zCZ|csV_-Z5U&2r*@f~4=Og^i8(+GYW1xqM7cYI7B{jbOA?^`LE*0(ebe?7mNIKF%1*4>JO*O`V7N z0Ymw47=?c)z?TtmvQ_=rm*-v8l{MiKF$Ub7**jjA31S6PA`7|92F&_W>uUE4s556D z6cp}|){WGU2%~5Jd;)wcXxB2!dSSf?v16}}!<76TeHkc`NS4709Gu#`Tm-7tCh8!eHykTZ ztyL_jiF8Rzg{e4EmFBXPL%x=mO@b;wDkX2IUFIo=+G!y=Z3#UBHiCO^3sD^+C&Gqe zlrJ-4ZY_?lN^c&{)a57D^itH?b}lonNmrJ1{t`9nk5?dLuB)0~E`u9wNlL|5|cip{*Zt+Rlz7I|Tl#6@Cz9oD)X~5~xJ;^i1^fxT9 zo2d{?H0Zjh4`A)s0c@}J0?Zm8`R#1NOkgSTeL87BaUYR@e{+tY8V37RK57UbW?mqq}nl=Ct-=7LgA&c<Kg$ z)fyYWN69iA1{@LBhcg2(&}96Fn65lMy^deiHwGr~C89>)ljxDN zS~!y0WfFIkyaxPq5@TZOt}4nG9@1D_Zqo3YOT>ZYO|hk+n-;Iv(*2pnyX#l7+mV_= z!RxJb$n*h4u+wBg#iT{Cjjt;9>I;i)#HF%2)^7qW z3-r!3)O953{lo9WX|(5UYqY4#yHF= zVt-2%PwH>n(aQT~CcI|7Wb~2ARhKOA+~mmpE0RIFfpu|a57;FTZPygKNTOE*zeA9} z%ZFFKDix$*lr7SkDK;|-fjn4r;P%o2c?-?b0;JIRue*-vuy*+BlF25&JzY#KSwi18 z_%1&EatppTXcCK^_{g=j+R!Xt;m9Hxp*cFLqbKoQXC$ESuw2O>T2)m{8b1ANlHVRz zQ%<(TEb=SUNTWHgG81by#{n+wLFal-Qn<@UlAY$1;8gABHFIsWU^!wij#85N}V5-z=oDP2xs#~p7F>FLAs^gO^ za@#3xK6{}-=`9pgypj>enfewK7;#Uau1SpEf9F-|;YNRJYG5wb`{!d-v9bi_9u7vO zbA^NrhPJl>e2PRRgw#}^vIAgm1y$>e~0PV2rM!UMu;Ik^*fy(y6a%fGdj!BV63EA;a{fo364_!n!+tZqtim4%Q33M^uw4MX1!z!lYR-F;id&Esa?ixW zq@*9zXHO0HN?pEj=KdTsKl%5|GUUoH6Ujw*_Q)AC{k_d97s^uLU`q&koFPm_v{t_+ zouIU9d>Ch*(CjHg!pBswOk45-m7YOt8v*k}nem4592!cDhdcB6PeQ7;(k4#+#`;|5 zD^EW}BwAVdbxG!Beew{D{*Y+$AyQYk6f|T%weCawIm^Uccl4mcii#jSpya;)1el?* zXhLinZSDVyu0i6{m7Wm?X2A9^0siVeC%$^1_yboHaWIk*)4%!z^UPt5ZR_iK z#v|5w56e)OyfuIHyx**0S*~8`ZB`{sjk*EiD=P{8kcD~zxma-6ToVVu)5!3YM{>INEMa_47%I^ z+S00W{9B=GX0&UQmyx+TYj75Ck<9o-g=moToD7#*=uFvFoVXY_*~1bB%$C$SC7hDA1=cu#i9w?bX#ZPv}(Sh*k2Hb-mY*}Go=Y?%h zHW~ifNFLUA=LCgp*Sa2BKBM9N?=9?hUKff*!o)S+_#OEUGW_9Fn0|ucii}GIpUKvZ z@gk;(*4T^7n`x70ftG>}G|ijZmkp||2HwEf7Rrwsbs~uVr3?y&nbC#;(X%sA%YDVU z7oDpYa(B|g&xbVkkHDPA73KW_%fUR}7VxZ)W${;xKI{JrxG zxx%}(B>TRh0*CtEhZd-}f5N0v4)MQ%{Z~Hjduv;MIvq*^g$(f?JTi?5l4a_9Eblskup$aIm5(*rISDA*!k3Ae>L`Kd?mj(xi>A{U}rCL;8DOsN}H9str%96jXVX;5` zkxHE}_U>Ouv8{LX$~Z@YZtj(a9bsv+Z>0x>OjeL<;CL`2w#b<2u zX*mo0uCay~lO!Wh=CPCcC;?kROz?*izCdU3?kN*1a1}TwjvWmCt69@u zZKZSK(&xSpcm1dVjY+_uUXs8HU;rZk!JzO$)4ReOk7Bo0>VzCNbnF3q`iuySZRC17 z3nE=uanq@f%pQ3+kNT-39dNWn1(W0vdLKUXs1)`#{X&COq9l>22RzolIWX2<%CuQ( z$AIN8^fFa~@Go{Pq6c=4zoYE9$_l5%)8G<5RE&x_On7MzcDW&AL_C;@BIYe%e`&p2 z5cMmFc88ojYFOBols!sm`WQmX7gj%A!yEfAkFo*qaAC%0FooPd3zd_#_4cNS{nBe= zx>Q;_c2V#xU^W?hIju8{(oEfH=aq_P`I@U#=J@@s`XU~HHhHe}h@Z+$y|@?|OPCeEuE@GP1S^KD}$8obARoc&TT{u{NYkAqM2LAmV z-rKv7L#OLX065sU@>bk!ig!2kCs>&kCk$U<2L0ofp=0{vh7K&ximDTUGZ5mU*yd~U zVoj`BPp@c>*+_9I-a?Da%EV_t5rGbl;6rM|&z2OGe4?A_iaUdzgUA7Bh|?}0r53!A zu5udQY*WuQCnyi>#tC=DX^<$QKw2lOO!LU9QSZP$lA;))CPKm3rA8mkZe|%p71?+yxMBu=;j> zLXx@4%qzqzQ!+8*NK?7*O3&ho34Ck0F=;n6zy*y-CbG<`rgOhk{5t=JeQMi4xIHzM zr!p*5GA-w$iE@?x%yvRvNL#3cQxY5S?cD{WC`{%Zw0K*sGu5^+P0sbgELjkk6=Qs? zHYV$xF8ePjbVM_TgxG9s)24Q-dwc)m3rLrYj*YLb7+Tw2&82X?9oIZ$`05kfR$Vym zH1>&oxQm2C_PYZ$zseVj{<3|$td(vwqV$m;XB=PA^PJcfwo0dniygEKEHrb^`zqvb zcb2r%JiMawFrL>WoUPV;Y5cFQCBM&u4l@uQU}eK}gZ>1YW*;r)rM3pSEAn8)%K|7mS*7@tC3gMB1bi89 z3y68^VPa~c%GDr6(}(fN9OTIZOs^1tZ(yzCsinQG`q^S77pEeau>YjMdFcG;gujqF zCjG=x-txNA)qzHQ#iADPcylcYwGY)@E!e}&xD*?@ zJ)&@xyrl!R5GwYGyAFH4lbSYmXu222r}&hq7^c zLkVL4%|U73>gnCJ5}imuWn2wC_2&+w2Ap}eCNt->p4T}a2D^^7=W>m`Ivtzi&0*QU z%tB5q)Fj1S@~gms`n8H7^TC@p>bmMN%x(gFnwiDsClnHae8@AWUnVFk=Lq7yCMKbLS~Lnvmq{ZtT$xj znm1FyuE)e}?PEnJ#|Wy1p^%~6X7OI7o%uMLhHi^AkL)SE&*C%t^>ZF<26)$^uOMri zb}N;JAK*J&4NVrv)1C#y@sgqKZ{-aDg6W1^C1vE|=WaQfNH3Hn+xdMvPeh;4^-qg6 zPa*U91;xDBZ&w${b=FGoZpA$ZY*CB$$IjGBRavdIa|hGdfL%Wrh-WQItTEL+%q=$r z)OsL38*^V>$EwlvH2exUjDLW+OBP{M^=4@U9ZDxIF-wAxh?rz;+impRVzD8jG|Iex z8bun@aS83+nvm&HVmkym6x@8Xj{NvE;oh&?qbS+>c>CH`|SHZcbVARlntz?jOwR?wsa1se~0536DK>8 zDp>n?n`gIMe(*M$X(r8hN;xRYkf~id;OG>7s2Aoww3MI=pYi@_YszxpsXrh{?4&&F zJ*q{bgTP5ENsJZj%oZ&VVWlJD+iz3k4sA`PYPL*3W(`sZ#$PUy@pYuQ0wC;bIH3rw zt3ALX2SB=SEw1+i)4%5o@`fN7+-J=y5H<9v*KYjla-!En2PNK9m_UlIs=s8gz4O{K zRmf@5M-OucdEGsT@YHm$*mr>*HHQSq$tq2CFHOVVtDo#F?a(y;Hj%v?lMovebcJ9k zE@u9Dzn*;pq}IL?ySQ>^vn#bhy29#RPH|KonA8)xCuDKyZuYO85w=!hfFe zF{gQ$e~;wq2IfE?zCJq+XLPQ7)$C&08&9ix$9sk;5L1BxR9izRX<&{cRPpMM@N(5l zZzY-vdk3Dwk9(#Y{Qf{q4v)r5XKHw|1uZk0q>I4{cp{}h2IQ|u@b1$94@k)yXn{A! zrxcO~c^r)t?eX{5WTiGB+jC017O|AelG}>IT0^{sN9%;vNZYgmYqlz^971 zMCBzJnQ0pw3wAtedy6_F*; zd!@sjSwmYoRO@3+s6T{kgV_tL_5JxgKx_TynmqDf4tm3eW|lm|kC6D?{3g7fyw;sP zxl?t=V{F%SZsC3YjfY9TGb7*c?%p4+abxSUS*60Ku3u%7X|@X)6oh{Lj;8t}_)&Azrw^_1Vmn1uPkXFf zM+5Ix%pcLWb2+Y&hT5|7I)75~q?!7LXoqS79{nc%I$JN#GA%4D!bh1W&-rnMOSLaBtX7UL~ zN8odxAp#CT!6oWh5Se%9DrsBLYlnHFEa zmiIiFO)qd@CoF?Z^or(?RBTaT2124;KSPDQiaK`~x|8OmZRsdNIdk9-$f?D0fZ#7~ zr7$~|EqEYhp4xWH(s&?0RNr2WlFcDU5ROOS)n(U_7Qr$Vq3@71WFtOKrZcdXSf#xF z)c&mI$Ad}7?7!NtPx%YGe?&(@^&{nirBRUnY}2fy(PdK~y}b`EWMhBs8X~;>r90`K zS#?E>IWh=awR6jm^=D(610{xi$MnuvcLKEjyU!aC$Nas7)8g^n4Lu|4g(H=e2O@Jv zQFBkJgeeH$wNikISa-F7QT_XtMc|H-tL?PpKQ~Q`4Ju@yQoB zBFH#MF9=hO>*jaPac{QjJ-x20c;PdwP8AIFGTf9`!^E6GyT9cT6rCm&d4R?1bSh!5 zqqFDW+CXvWyZ3KM{HxD5zmPE|Ym5aB3J4pO)4XL4f0!W>O!R6+eAAuV2lz5vUwP|T zI=da+sMk7ozi|Ee>e|V-S($SagW~ZK-Kv)bmWIB1b~R`7OMdMCWG13Y{r6BBy@j?< z*4(n;K?W?+VQ(AM!$yx8ti*`&@+M?){D(wu<-y$9Zy#`bd|?-m)^P}{yeu}k$o_gC zl_B7E^Hz}RJSpC@-0V&ov!5gh#mwwy`^>PNr@sAzcO)>BGLyyDV$Qy~F0o6e+V&Xt z&NA^Axj%i`ndKNFlN1bN(B;>Gd1B*nxCY z+T)W$_e-if`23UX65(tSQSuuCAb@zZs20Y+6!#qca{GZFySS^I1ANgLP0!^R;+s+jGhYxR`UFFw{~zKNv9&aUQO<>!}ui}66)vQ$~hkLSW!I)FLIS#x;ar* zKjFzweOzbL!QA_UQRLxTtxKD6BWy%rBH~RT*uw~>=xYb7O`OYaG6b2VAFYHk?!VtU zXVJG0R<=xANQ9g@?L09*To)n!55a|*e3e+uZzlh*LWMwL`-n4HtQPMQ3XNLoaXkoK zf#K{K@bpy+X5n*JThAT4JbXtI&WAzf);6X@aAcTd#6e-9=Cv3W@fDSsl+JG7xrdbX{mLULjld0!-F zDfz+_YU|t)sQDvK>9PwkS$VJ|^(N3QpT}y6!xNE*nWM=3?6*y@hT6VZh&x!jmRvA4 zigewqR-A-5;_h+8<^oV@jLz+%r<-BS3=3QXfTUVVTxA;$Um!!WsOB${Ww?y`mc>Mo zg83N~k1}VDwhIgWHc6PRwLg_K1N=|2d-6iDfY32;r#em+JI@SPNxpW2x%i;Ki?K3`JXa9v6*${WH&ai=&-0hrYYm_$xOd2o3Agyy*nS%34=aE zE*mt==8sdO=dma0EmRx65cRllT0V*=fGP5zgVQ+;e%~o!HTeB*!?eD&K9=NdrThAe z3GKV_wgw`Hj|7ZE<{!e1TtW8Umf=5Y)bx1*Q(2uh8tx<%t`v3zqo&~bf;<+B!-wD@ zGEFc-laTq8U9gHK|IWB-mcN>c zgE09LHwXG+bVW&I)q{taKZqoQ)_#@b**CBBl_Fav&p8CbMR@0XG0gr(bO4Hh%hXiP zsf(DlZ%AS&FECwe1III-2-%;7N(K?JkNaTDT-gBSh!BLWjSDLO#MFFbyirwwwV`Of$X#`-R* za!8|@dsi`b7dDe^2H%3y?9B*3w+TuulDbT-M~|HMFK!I?JhrV$(~_M}giKZ@{x!{J zYSwI!avbr3g+5)=9-!G~E_#=R}$;Yg;bZKduxu zp8^)1>U_`%nVhytk#0_0Zi}R@uD1tmEA<#N6VHS}2bsu=xfwi3?F zyToE(+=aK{Z3_3OG%D6QSPOTqyfkmmIQ2%ovb3gS>C;G?<*B)7P9XHD@&@AjZE!e- zGm)lNoy?fxk+pLnK7%=BiH7qq?spN9U_V)h+fQ1oLMz~|mRM=!zntD=&9zYklXS>} zy%wLf(P$?o?p$cFZrOCEW0+UwdY(poUdbMQZp?{!S)!P-UGj9>MrMs`xILImb`boFAc5?YfyB7J63f7|_iZ$6HBQ8QLS3%5 zyFj<02)t9kGC>PehuZkxDwtFvn3ROp1#J(Ab~U7a=}9w%IbT|nJ*Eq0VVXd9( z%@QyQrcfjTQNG8F)%qfGk{N|}WAC1ivsAWjH^1;DtT)xG6|-DemC}n*2QLIqV_U&X z=D`RS(ZZUjjS1Ir-LeypGG=M0u^5I|jsITE31XvY9J$O74BscJ8CMha8wi_jmE5!5 zhvG4kcV^#hR}+;O5&_2g!$JD?|K=0a8|6WYa16Jz{Oe@SDo7(OV7=k(XTNMgWGU_a zDmlk%R_oF2j((QB#)Xv_`u~X2P36o-`>skPd1mgyOk-g|o6G{bS_~dX`u8Ual~GN- zd>gUmj|hQ;JOvFSx?@0XXgz#I?O$$KSU(n-yVL4c-wu1pPWx$e9OUk`YsdD+G5^>9e&!*ox{ZL;7pVr{03BK-`EZJjyg< zUfPSnqIn*EJ7_|Wt4r6t*G|6UQQ$oBleK5H=@+Z+dP95S{OD`wJ9}_oIa8ABgN z9sjTyM2s)Y91kO(PZ_vHlc)D*YRb!5wY;Ix0J75LXR+>{iEm6 z?IcgWk3$(E1EVVlbmSiIp5_%9%_%=Ttk%%f>mB?Jg1nURBBJ{6kuC1cVV&6c>g_|xm# zJi|YAPv|UE*@w~&E2v!C)cam?=<){UMcTCd$Q8JmJb%afScZJ`JIjpQOW(fRAx^lY zDO~>=bVN)#Mi>Y-ndIEm9hkM`Mz3D*nFAfvWGv1yt>~8oxJ(C~>2fhok3Q(eaqZqO z$Y`uS@pN3VuOBMn(Nx5=IEiz2#SyCQQE{xj_mtf&D5mq*e68E@GWK|Nmy#kKBb;9H z%#L__Os7_l7m~V3L_5*o?}ee=1OkF73dJa2g0o%a)G?2m~H+fiXV4jADbMU>^!&6RPtjXMt zl|2cjC$Bb64IE1bnLwc9uG^{d0`11ORy?b_=L*b<;>2+n;y!`C z5waSwx0U!x{<^v&Q|HcU$Gc!PnMOZjPZuBlo|D;)uDo3|s0W@(Cog_bj+q-Bl!oEl z);)wA1+9=N-&t8s^QdWB#u!Y73?YOfs>bMTCb9&lxB+njeACczJ@91>^T+bQIRGr> z{K^rfstua8XKrTSUs!!U^PhOOb;lfK7CzM)G^OG3tMXOR=B=kxo47coZ=$?bac|YW z85}3QIUs82gnhHL(z<8N_3LBz13WfWplnvO$IsTv{zBIn@gy);DoWzT6q~9*vvZV$ zm!wMemj<0mY<5m%q5{893qtxxGMmEzjo}S-XpccxTUEfe$)PH3kAa*M`(u$XKQpmk zwZjbCsufyi2bHN0)hes+Q9dyMDt0w`;)ny3} zQcG#D92f;mLS`RA&lOP0zug>s=X-4~=Qnd^u+hI~9AA`Ho_+MI7AG=|NcXGlSaEeu zZA8a3#*;*(8tU!xEeXswvsdjE`%dI4@ytopQ=~WU#oMee<|%^LL4KN*KE54`JUzMsQ#KgZ0swmC3IiY}~N{$2>T@`DsVmtNgwQq7wm6VxQq>d2-A zHv8R<2Zmo9r=bR-{ao6@L)i~s$p8J?TVSK;CckXm$UCLz0D?V!FE&>$#eYBLv^maM zp9^@Rt?-K^XB`M;!IIdenW?DwS-Mk%qiM+}=L%}-zY9br)_kgf_}n2#9Y;(v(dPN| zNEwT*Z|oan&_tB#!bO9X-D@r{{F-$P73@}<7{i@Og;C{g1Hbi%`Cyedy>ZnQvFMG% zn|bz_n-8dOzit$*K2AluE``vx_WOS8KF7}~i&ITHrD^>*ChO26EoZ`~N?8#FSU@L# z+N=K(a&B1Y-e2>Z)@(DgEw~{rHzPG;m|3X%LbTau4WHkkTfWdrtvr9?{rt_h7D^ed zZ5kWJ-XX<`9buf)Vx&n0ni!PXg=4f#)Q>!P#1l#-!Bl{*OwG$)%S4o{{*)Jf*Sxen zGH}u1nYMJQ9z5l~8Cd3fp|;aGS3s@mZty3K>to^>DLgf(I`Co;vk1 z1#0mk4tm^G_-%?b1qz>i-ft}$WP+<$?UjSMA_hE2A4J&NnibFWG_$%5GM^mr3io_2 z7VA=SiN*A{GCD^dHyO@E{2nCHvxENkUnlU>=ICT@0)8iu-?nS9N7`td9#6?oJgVV%|78r`YR>`zP@VV^fa87s;Tre;I!AIrl8a+~_rY{1&+|)$>O%*-|9}2%aH$y6zA}>r)QHCez zX}$Hluhf03sRCf{uuI=1)GG2p7K6BXpbpI!Gvj1s|K{MseNF4?;+f+8Z2U=Z>%$4= zjE{)}V^FEozMAo=)fybmJPcV7yhZEMm8vaSp9H*6ie>p%O~-452d4S7)+?B|rt_CT ziX7Ft{q1T|yBe1MnLSKbaV8h#^*d8qU1xN-ap#li8wVv1e@Vt>)u)Z9!9MjQLzYZn zpk0+-q_YQ@r$aG}0(l}WP^eWk%Xf9hs-48)%17|dgW{K;C~Q`*Msr1zztC&iF4a6a z=jWSbz^U+RFnc!buDdSdVkZ_3Y84bPU0W{h2h{b$tRluY7}K}6G-6y@ zI`w-*E7HU#UtVqSfRHlMV663Co*4Vf@%Nqj7w{0P*TY;IU`zDdHaY}1zSi5J9k4M{ zR>XtJuS361PB-ipCl?I6g0GDJqnFp8vc=8VBC$f65n63mU){Q(`G>$w7xBtXSOYE0 zWa{sfo#O=38iGdHjJx`0MwQ+%3QS4b|AP01gs(4-bQIWUW3_N0LWV@wNku0sXmD!g z09NIcjlCjUGS=z$aphN8EB46ohB$v7B9mnX^Ii*>o(C!mgZ_a$qO8Vnh%HoD@;}Yv zg*Q?v%6_lk#`iU*O{wePb<2{KvhT!Hzf#kJ7r0}ttZlUS@YT#> z$QnkQNMp%T4!$B$7!*%Qo^7w{gO{4yvyhW;=k_+?=Y*KceJ{O?-a}7r-r$b~;cY|| z|5k3c{{)`&jr;HZBNifkcl|AVimg=I#a>m3Rq@q-9tZ}KwlMdfw+{#O-Ir^I&9$KB zKY@BUh%>$^BdLeOTas7%#dHXN1uTeK2ZO!Us_g#B6SmKeUCxaF#|W@ovj3YQ5C5k- zXi9${WVZsqu8DqXU3KD*3Z+K~f*LwECtGsa!>NGsr|9*y=!hc2pc2v?x(=U3%TtF@ z@8IX3txx!*$`3o$VF9`hN%gZlXyv-t!oa>;K@ZM!R=0Z;L#B3%@JnTCNrN8T1ZkJn zf0x_)hE0b0jluwF3208?K_7E<*qx{M0`@FWA#Ic3xIx4>S1G1`8_w?1pH}enwpvd6 zJKo|+!*<_Ei#7pmIdFG-=|;uD8%HVMrErWgK%Xt?_I-6B5s(CI36bH>Li6*+>^t)>bmgBOuT{qd z_vw_3+I{;5cgUXTugPhuk2Z`|d&i2wP=Cja*qu^g>57#)tF>5kWab+^&kN^$845wY zZ*}Lh32G_I%bHpqCXcjFb2U49D6;qfH>Pn zG2FOiv2n6UfLo&EhPLza+X;ivaSrsf$u2KVy_lB**{44hTAmLwz_ikDU`;Y_^iX@$ zQ_JdQE~cBbJUJ=Yd^Nt9MiW9@&{DIKlJ`;c@FH<bkkKx6sy~x#LULL>Dn(Pj^T7&g2|Hk zj~6RMcDB}|>Ip@$=2o;9;v?w1J!9wwxl>k--uvt%h$Gv-0MpG_FB4=-MD;&+<0kFe z(>medAaBz8w44-n+wLB#Yy5d1u+W=4>m%&c_uXpI6EYYpyo(iu;XwmA7kf~QY+nRjk|r(SC|`xO*IoKdFoz1k?|Z3hG6Rn)rR2kL4k<S4o?JpVTT#Zxk6=+mA2{={W+-Apr}nFG-V5lHnA`6PSox_!%H71qS4E zFZ54datf{!6g-nPpE8cc9(;@skp`%FQM(W;9yr|)gCDZky9qi4|{r;u@xRB0iz>CA?>Xmx`1z^~#;Y+@ZU zt#Oe11@MoY3Epb?drfdQRI+d^-yrWlbFtMRtO*f^2T+c_1Vo9&IJsfdP7awG<`e@( zKrzZ(4o_*#Or`_VwW&ev49rS2Ox?uwe_4?><=9+)T51|x2+~1Op#w&Fx|QG z`ob|2lOgmyd;fT!ek1*@5ZXp;Jhx$W4}z2^M-U1T0YhY@Pm!X>;EdYU6^svoNMnS{ zjYz|b8k;zb`5L|=PCO}0z~LZtIBK$Tx>c?|%S5%FG3ibph-bI7H5T|hI6?5*Zt|89W&_Q!TLxIdUAfL>{xMnl1a`%{tV(wmp9 zD#|~`m>$^imURj*^fuVIyMy36co0`oH#~=9OT=9T%+>PDgmd$>m1=-h3 zf=FIXLZD-7C_DJn9=b-20VNHTgqm?D9su;tKHI3yE`@w zn3f1y{JaO2Tl%{Phd`**B2Fa-Q?FuqR$ov=y34HOMhyJmsOr`3Fh3Sq9YR6{K`J6} zw|L!r0cE=FaA})|0Da&RD02h1NZee$dY7P@G|MZMx{0ujaOH)qAqBr?OLD8@-X>M} zZ9ghy6(3n??LUAI+$rqBV(9Re7sm#O#^zSQVrY8h!)Qab5@)2P^C-oznF7?>hYj2t z%hr#8f)IEF@IbIIJ0W{c@qNw4Qu&*BU*=_f_IWp`7p)hCF@x%;grqL3aw$u#1# zMoEzEk>Ep~{?#WScVd4d`}YzR*@jJf23*1Z$ijWpC1^+{OVg#Et4)=9IbXnA{eo;c zKfQBGs^xuJNSjE-N_E+KdhO{^4ESWP8jx?fZ);Bsg-DA{Rm?B1v9QyO4GWR~3Yviy zNVijf96yA=l5o8BLUyg4t@?OByh=%#9BIi&9PY=saWYN#{Z~X9((IL+A{oJz@{RtG3csRz-2$%hA#Ojvt6-UI%+hUd!yM( zlq2R#?;%C*Wt=0dyjz=M2Ig4QtoMXd&>08b8kmX&O9eUVxMsgjVwLfo2MY*dEEM<4 zRK9%{Lr)Hu{UZx$Fx4$z!y@p|EjK9tvZY@CyEH%=FhDs6#udg00}83nZ)&%wA0+ZLNrgC z6)X8VcBlxDT^1>ghlNO=TsILjc`h55-&XOM5F2ijaR_wBv~x;6^rj}bsbAZ(&b=AI zj~aX@_I2=`y|hV-RdS}?Sg?|GcEf2CgX*iyr!s`9G=+ZPumxmhEFMHs^R$cZPv?8 zX7a1?>#(W0N;yw)D(@d9?7aHBN*k9B(Jbtg1N0dPP{?Btj>4sOzQ90X#R>_sYsjOj zu1NhIH1nV5kot3*$c3Mrn|47Ia!!1Nw0$6lCsg-{i;7tsvm~Ow!oP0iMxP@r+cQkt zp?B-aBZr~ApN?je)ZXo{WE`FuIiENt-;Y^tU=vBXA7HM-dZr*B2$mHXo{hUeV2Gib zd+pxc9|i{PUO9}~E2p}Mh}XJ7>MQBICM)kkK5m{LAQ3(%EiZ|m)S43rGdMG`YeHfh zyp(nd2T)8LHI@7R?+>f(&b%z{S4mzkp9StI$P+^ImD(-ioDW`%-Y0L+`p*HS=usGl z>scWQp-%#Y=kJar%Z}NlSdwnf+<8`)#W!Rk#PP7X(8RuJhm`NSFrZI81k!@F>`x1z zWeAVb1a(7Qf1~n%$hipz=E&cZsXAJ_b@6RB&N4?vsMx~;kK<+QSjlD^Xh8-~ZNM7u zv*3x75U}Eu1~mB$ftxD3m9WSEoX)>O-=}iCktu)`HT!VwEb72IJL{wGbkOybjSI0h zEIxW7Kg}r#t@P5=-B2dSQ%c8lP_M(S7r5MakK(U?rxjT{ zkGi7fwu|EC*JimXXXCVS-5muJx{pH<4}smLa*g1qPI^f8FT|Xq}9921AyI;6huc-%C$&qU9PNGofqTHj4Sk0$#kM5SG!kX2xO=^CQoCvQTNWiq@ZSCWaZwEj$3mhKx=qg>8pj^0+p0`Q zM0*lEz{5Y6fzWhjqg03S?_sqFI{NR)6dk*VhW$yBFWQ`Hv@Y-S6oXcM0n?l%zk#uc zaO-mX60fFj10Y}<@PL&*ZcNYJNNnE815R=7no0Eo~FPogHBptuOUadF^9~BdHKfSL2ZapYCpmnOx9J|fFo{Ld-cj{aZ zWh`s*m&&1~h-os+l#*I*FMaOKIwvcA{J}GJ>Sn(x&U;KWm2~l*EuTWsCZE0{J%@ev z2Ukn@4Rhf^GU=!E{U1s5B0=%TFk_2IfxZ`jnK@lUQNOd(KmW*0zbmhnLJ{%%A7H~c z>GqiYUWt1*{vc*r03dcx;*i`!CPTeWDeq((5UIrkaY=dpFp13x{{{~P2iPY6H+&%jBXq#Uv28v-VvU_?6lMHklV~FPezBXt zq@=?g6_hZO_WtlE>NTUY)M|zT4Dk0mMo(zamAqo>ur>m~SYWG)!1(C8ajo&r+b);q zV-S%w+PVJfKSO(SxXK=B2>+6q(vJ7hsV z(vIoIZz<#i%81*P*fA>sQqGGv26*V-R<%rowJTSAl9=2VKSbw|G~(!n0APUu>RX?g zZpWonxRvs^qnjUY)_q7#sql*77YG4Pk{DtNlZK17Vbh6d>U4&h}{mw>>fwa z@}Vyq&ctA^l^kvx1C*CNL@}^*ZG$XWt%XAERvyBfWGh2Mh>%H(pi--DH?~B_DbXU` z=`cGdaHZL#*DQL%wx(EnZq!m7;E8=Np9w%ptftE&L_B&$3qSB*i#&(=19H|NFMu3Z z75W4#hKyghn^H4Sw4gs1bNqwCK#Gl^LLzN*F%j8|=t|uE=&&_*s8QN$6UW4&ga#3i zafgjRaNWRwaLR(PjaVqCeabNzUPz)*%9Be0_Qexs9LD*da^O9{7^fnIS z=m@^l{`vDj0>-ryf2)4r#r*7tP-LJ1rerwIGAFC~xIgxuY5kJH+d$#2p|ueg1Js~l zAX#~8koD;h!4&q52xlqIk1e8^qQ6Kv_lFyuM3-5>$w=SA@^$2lPbk}YZ^8?W=(XEh z2wko@HfhIeY>AcHoA{C3n0Y}JyYuBXwzFJM$Gy_zomZD)t}Krz*X-+pX4S{xMO&uQ zn|6jdfVFgnEZDy=*|dd>uXN4Z0xUfV7{^+RB5*&?e{{7|M8CxVrjzvPo^hlPuqW)C ziKZkSYJ=uWvnx$RVYs3xmEKIDEaoZ^M|LacZwTCqsH`IFPZWn`_`Y3!u6nJgHD%1M zvPaq`H{I2nROrAK?a|C?ic&%$l=JLwdTViSroKw;`?OW55& z#t|{OZCZm1VJxj!-S@h@2srfjWD>F6QAFXX5WHWjTI4cDlwdMPlp+yxoT<_PC5HW` z7bpo8UA$UzbsbUYcWDnek8I1~L9@;T;N*pFacRCxIp>>7(*#U70BM|jCW<4nTIugH z{n=SMRmjMUJV-t;`kgk8@O=KXioXW0cq#2B1mE^TGA1*oi;nWb{4H`pvFR{>@MjfvI)=ct;21JmO0u)lGpFE zD`aRQsv_sXbI*wR7dFLVgN}bWXWoZ*TMM;K|9$G0YfV=5)aJG%^on;iWJ4_;U2yiO zBT`iLnt6fmyKW`QaIVM(Zu83qaT~)KN<&8dWEkfy*m5G@5rX3+pvJBG2{z`m9uTST zFRK=RKQdG#`wDu^Y&TvRo9qux>Zv&Sz%vQ|c56-0K~Uz2x5q^0uCd;!3GUv0K%f8g za0{bSNi{K5&YBt~l7dc;pUOf=_)JN->^hc8sT*0XV)k}NZZuD{L95j(BQhV-`_4bT zxQhJ4wK(T1P66t5#t;wY0tP8mymVL=u@r)iv>M!$?X$R`aEpi@8aWM3I!veko#(n8 zk(f{<010l^F^9YHjSMC*k#>lHV87pP>{~4b0^h4|V7)5;IVf^Qm_iXXd+%1oZ4v?l z7r}AbhLGT^_kmR!?gnQKR+n>uT?3Y!g~rEAX_w6b@v(iU`dJ{ZcG5}_ z(^{TT*_m$~UXkqx_o)t7Z5Q0Si=T)9?xM5&wP%DIOt}IpqF~wVl(jdIpBJ&0WgIWa8FZ0p*&@c;NSS7c3`JcRdS7hqw^M3jYe?JJIS-1Pg8&+(88G0uLp zTwH613TD}1lxL5}?{kcOcMl`~2GuTSksTMqL)X^1Tpn;zfosQMq?(ZUY53FJ|0bbl zK}?A6^r0qV4)vGrJxsVp9OvzduK!XgH2n#ojaepP6$xf>*t%%`pRQc#Dbb5%Mq5B00br+=j7a>GBeHd8OW8zYg|n=@C>GLY9E4(bH!uW zXTVriIdn;Xrh1jehf-%>69coEhHTY=33I|B<;!c_&5L!Fe;@+hs$mj@z(_w>BBJ)W zYiEBs8cZI<#8Ep0@wNu1-w%;~xMc_pf4)0YQ?9AI^9NP^XD^f%Q{VNy{9~QM!ro+* zge?Abg|@v2w5vC`Cu^H|7gg_m1P0I;PR+E+zVmM%ka1#rJ$^2yn&I11o(Rv^dlX{X zY?XT3e@z~aHUKA1dyd?H2SjDr32Un#cnSG5d+*SW zA2o-f2d)Jidn^29=z&%#*f_hv)n=2re#im;$HCiIp5vuIS^LMq&RTmKztzqkA*)%U z9Xb`NK>rZ{`caG9K&|=cL6V;=7$Cv5BHIG9iX!F4-4EYOJ=*1+T4tEoyDRQKS_fgq z#2W@g1e&8xKQBN~Y*!*&tok7&3kl*N#Jp^Q9yxTs}%3niu=y-l_^QAm=vzP_kFu96&;vk6r zT1j_D-}ZAno&K(1B#aLkIB7qE+#D=hjiTBd?D3yO_u!Z-_N!Y?1qL&SSy$l! z+$P@!;%if)7&3N|5&X*Cx((8BDgX?T(!ePk^7hzF2vV_5(gP z6DT9@4_12bKdFZqa)REQuQpsW0JnMfQ7$uPX#!$1eJ;T8sP~V$#tDw#CG+&rSO3gfpD>}+4(i_+?b64L3%B!Y-|Qqv zi>>~_T2l)d%DWY$oRfNcVoY{=mkjpf9fqKs5%a9bCXng!+i)3?%THfnZRH8q%B(h{ z(66By(&5#v&JT+lelAbpGW|%{Z9Sb7`pWr5&qr1iv_gQbp$6R@eN^*SIZdNsz|(9; z!fJA(iJ&%+G7VOpT9}u$(b)2mglA?nWG#9x&--Q0QvW`S(y(1)rX$ma_I!vPQE+sJ zZyCG#wW8t9ZDF4yXOZ@uw!Ev>{~0$;W#uB-_jwIBnK+WNtqmXHcJtTMj zGtcuN*iT=cvZ)`7TXcm~W&$R&bSZgR$jGwX`MF=5qcLA?nqd@b_}I>o&qW^a9#!b$FEI!;uaCCP)`0TxNG_IgEI z#rPYB@#VT@rc48wIvG&)|5kdjb@UT|n&xkmM#~y^L?%4ATt9mMrflR;t00c+Ku$LQ z$aeM|xS%H74WBdwyzE&JZaPOR2P!sz9^YqJAvj3toBHu@f4HW3KBfyi`(|zHf)_KM z-s2PI^~iV5i4FNgaeJ+&js#HZX)p<`^*-k0P{iqEeLVm)z^0FtQK6DkuWF_iTC9#b z7ut}k(xm^SDzOq|XL{a@*qGwB9KguGJswpedV&Me|E~bI3u8suc+~ika7GcCC2v_X zO+e9Da_QDMAFKRqnc84J2iA~xKMu$ z8FAL4{OoPEWC?pCPZ*A`o#;uXq-_nYcT0tQVZ5EAndJm|Q}`!He6>nD(H(zcvC)7% z(`xs5#uO$}2*`@UYH3Gt!>Qbg_Hb_bE7(6JkD9%63rZeDPx$mSl_wR1CjoS1j(d#} z*$b)kdocC0XMl*|D_(zIIh=n?Hm`uQbW#g?`z9d!20`>7!sIO+@nrCl0RX$T$mWr< zGq*?XIvKLhR?;opO;w-iz4^)=bxd{#Q`xn>-0pETPwS+UWG7_qeTji!g$tY?1?R@# z-F ztoNm-%J_TLl5s28Vld=akj}>5!(BhWlhoslVZ`I_^-M5U-yslBcf8T7=1|Op-64Cs z#M*>Hna{4`MIDo;T(^#oo$%&dRaAoOo|Xypfwa&} zZY?BMYyg1}09fxJJ$?}3@$5Q7;M+-Ze=3-+;%FF(sIb{*kW;}P%zD3cA_cWIpsstT z{Eh&yh1~(N2pN?52;m-QdbxA}2F2}=Wuhb7Qjwuqj&ZmjH55g)y_vZqtfN4b1k#sc zaz)vu6Ssa3 zK6KO7DE`S@H_xH_Pj=0uQB|lMy)-jH-ard5bALjKJR+jMss&3c?MH>Ci9kB=8oA!D z`=Phx2W_c57^HXo?pV)-%xTsq7!34ivR@;1$7%K2NMo<1wZoINtL5tK+GU`LKO0ct z-@W-pYxG_Q78Dj3btkWnMS6a1uR)Ykd9`7^2w6)EOk(nB$p5+iHZ8aR&pE&da6EU< z<_|wN%P@kd@gUfveH|?i_O{(jhthUPd*(^FZm7lCA&;*53a6OKpu0YW+L=1hJLk36 z=}A1l({S;ZQ_9($)~*IRkJUyC;Mj5he#A50XVH#$Ou0e;-||;p>Jao% z3SWSPyo_;CMB*xVO$X_-8dR^au!}BM&g)Fw*VPU8rG)?EZ$aI#X=`J&$U6C(P7aSi zaJ(-!^RvDdxAwI&Izwu~7*_c)165zvb-ElPllc4inEF8dy2v$1mvmWZ)-F9Ys6s)| zcY+#RG1PobLwBADs*kG-^U48xkTeNnR!k?OWfrW<+rRNIh?8y}9uyD!Ly6-=5axd- z?CvDZg^+McWZ;qcA5&KW)nxnj|0XC>Qc9{wmvn<7C5@z{g2be|;T2S*r5gks6X|Y{ zZjeo>(LG_K8O?VO-uImE9FB}f2IJZNJoj~7zXFw(lbxIRw4PnrK*20X$@Nu$&#sB7 z=*D$6{?$~2|Z*sZu7LIy-zUXwHlY7TzJ2z5IPjKWLtQ(b7?c;7Uz(38 zKg?!ab#@iz`mh^EiIMtKl=o4xsj}-Cc^3mH|2eP_*e(CS}UBLYTW(HW$(6+wy3%lU7S|EA1FiqSm{_D3_etc%E&$+ zOg~2t2L3x80SE0EIC4vL&;<=LP6jEnwB20}RXY09M;bP^_wWbVQ~+6|rtVW=YhZC` zy&sM%o2InmO`=^_`bOxDmbkQTN-8Q46nTS5k8t`$e4s?h#rZhe?ZRn0<4%U=uGC9d zMMND(^D-4TZc?3nuZ+~`w_CiHtZXVgoS~~!y>6|}0SfKs0Pr6E6S>?_AF%*5q?bTI zTG=nPzo)G<9m@Uns74m@({}Ib{iBdW8IQ@I9|qM#8$?|oICqAps~Xnt3=Z-xd_116 zZcZD@J6W{_tiN=^N3Xz#73{b)Zj@VkMH$2W(_0Z}p2_!NyNeCNoFpBo;uq@h&z&=` zrzlU*6dl0yRE2=X_>6!GrTP0U6#6j`SN*AMvAOiavhSk@VB}V14@7*~CD*Zp_dd7M zMR6Q?;{#$vn$%v;p4Hl6> ziTHCr{gC-y@of&=M6BIFk=a8yZ}Pae#c9V*oHhN>0ZH_d7S!jQTmfg_VhS68a~JAS zdcp|yb=Y*zNU&kgOV-O=*~TY>oLq)=juJ45jywS{S03Kgb>|nSFBvWWugiDFs#-#} zJY4!p1Wq25w!4nwP$25uJb`#-iEvU?)9OX`@m}Sk>sGJH(q^3WDUgZ8AC{XMeu34f zk>bxB%W6787`=;elm9+yxP2GI`2i^0fQH!4j2BzS)#C=aF ztiA%J_U8?Uru16mM)l~oyX3GXd^L|$)ET7`{-FDe9H3?>Y0xIbN~}887z%Z7;TZW# ztZIF=^M1&fL8|~`QI9?rjH%Id-S5&sw$>ROUL7eLd1)roZKY>PPAk}2sJ$zoHY%l}xf{=0^!JBOz z)98Dqt3n&8zs8f@&=N-fcB0F4twRmZajj@Ycu%A9&3P3^eDp>_(VsSrtI6E|0H~~% zte{qL()@!U)xV+dDfcrr`P(i5r^xDQ=-V014rOaGJI`+F-L*~aA&4}p4E>Zr$W zgzL1$C%S9}I=YA(7g_9}rUf=MFr1$Z$b$`b&^i1<@XzZg#d90RfMBj3^Hs~R&KxUSHx(@=Hi8Odq_38J;0PI?(QiaKk7F0FFfUXJ_^ zF@n_XcR(L>2jW-wk3cfA%blZ*Qo-N~L<22>EDWz-*FP%MZ9y?^f8toqYd}-%**OPn z*P9(HI;vs7pz3Il1MoRN_WYYIH}kO44|RZ9#dUMJ;0_VPZv3=&y^T$f#xK*&Q?<@` zG|;fYDImBF-WniEyPWYm|48VX@;-ubpRWdY<4v0!x;Gx8)K@g|&`h2jH;@O!w4rYo zT+yTC3V{|te`<@eJ7)bmV}0|#CS0NkayUu0@PG(pG&pxL}kes4ayi7Ew9zxycKf&i*A@|A8$r+}Wf4=K{h z-^cN^N@iP0l|D_gtMO61ggD|TE4ilsiSqZJy?a@HuyP$#`a}aO#r_PFOT$kB>HsU^ z|1Fu?n{*lqXFxw5k5+KVK~jJIt&gQ7!nxPMb(;^F&6UdPKC|UrW=2bJR~=!jy%OiO zb#VHI-ii~7Fg8>#p@Ieu^2DX%HF!~K%?}j$r6*A0<4~`-gbc?TCiNCILUxa*N#7c| zB6O&G;&Bpfu(d@jDnTCW;U0m0az>cjYn1_G*iaq+n~UfaftQFJfAxD|56%-CoGSdT zQ?mJFFQp@7;K$ed3c#*1SERId`A&Y~zK!N{Ag_Fxql6u+h^&N0oc*v=XHvi^3%V57 ztjzX*4YjXdU?JhIBLUDt*iP*px?TPfG1%;SQRh1zXB%HuRNGb zKMtpqkrzARE3yAP$4h71l6^@weHPp4E<~k1@splRGp#&$_CS;3FL4G}T^*Bx7_EQN z(yha@Mg!+>dETggG1#^ zQ3mn`bGc@YQNAPP;x8eGgy}Z__E5}Ig&*O&_1^^?JcREXtz#^C9IQNqCh1g>_Pv?o z2zQU1huAR;#vnmESwM=$=gZW*s#IO;h*YTgz6R~G2_|33X=7vYbIQ}*D=66UBX$g6OD2_ z&=wh=BYEW05M=ioh1{HMrJ!v)jS^mv78{=qClaXwPA)n3oTaB+M{XWq&srX3{6 z?lq@qMP63FPs&&G820O6&Z9i7&*`ggDh#KMj6hT&HNo2eoKGNr6_n%nv_2b=a?$j} zv?VH=zE-s?c6v`C-Rsano4e3(Bh2>!U$pJqQ=|v6N9}l3NxbDQCB2%cj7tW&|6r_) zOE2K_ren`ri);?m6%Cc^ z=Dr1hi6gpKWu}P$TL}2ZDYom>7lEk4^VW)lpt2Qz)!oT-H6eDgz)fPP!=7*Ic zraH!48pTnVy#6UM!d}GzMKx?~3DvHg3Rm_R<7T53C@$iX9?6r6XjP070%Sxj54)9c zwN}p2XWMa$3_Q<}0?tbAr=e55_8ttz&gMLam9Z4%OoXH5W>t$N?(XSQ1(hM`txVVO z0KcMXk#+5ATD5_hYR$ZG^=Vkx=E1Bgr?&;tGveNZ*zGlpC; zLslt--DY$Eqs0wDdA~#;jNA1@KPB&uN|K?NKPyu})km~SJ6cSa+Z*bKS7p|kMh|bI za8ZXsGFsc7{^}aWzup`4^sB%%AO`zZ9@bRF*2+@~YHy~|dm?bXJ>ksT43m8}?+6P+ zbFZpTGUc6Y65F>WHtoA0*^sf6TR#_w_|H1!rmK^_4|#;Ph$rr?_?Ch!se| zxg#!3CI-*W#_20=olTE(M*;tPV@FoG%!fa(m~Jm8#McW`+#Il#yk8wqc|~0Wx3XaH zUf<=%VvykGJRrWB7ODk`9{{}dd8FH}^|)d+M|^Z~#2R)xHI6>N9P2?Y*C^0b;T$nf zOkF=J4b^o0_&A@5H{NOD{{sl6SE&HS`dM25^5|D{N505_@x;KJl}D1t*2u9i9(`NL zx68HLFkP5i;X7DN2f-2Z!N=S`Hv*pIJ`RFKX8k*~$_@~&oD}ngJ*y67An?K@Pr0jW zhMtb2F9;7>EnsMA8U9gozNBLIV_BEB+{*0lO)aPyR`I`_r z*uGdnVbRd{;>y%|vPl($!2V5FGe*z$jp?GJ!2|=4lrIrc=l%h9;+Nz#P`@cU>Kp7oH8ZXa98zmc`d2|onORwJ zjf<a*bl)r#96hkd+DU9RAgMxINdkTDss45}0mC5--W?vUVz;iZ(7*0cbvGEwzFm{q zMv4#T2Z1cvXV95w4O*E4q$EnP4{cyxg643SDiq0q&Bmd6vP=C%4*RchlHd=nvWZ-M zc5oJBR=3s0X`ps)KxJjJcL6eP=(yyN0Y7;o|{ zG;Tz?0@#mnBhZ3@+86bqb|WjpEr)gQBsQ?ZRl(lT?Jo>nTA108yI`!VK^spBD_KL{ zss5N`=Z8p@-M^;kJTFt4>fi#fI3E2#sm&{uYakrq| z>t%hN(O)l6x|)7E-I`sEW5&LDU_1Kt^K@}FTXr>$CfXKVWGze_xtl_a7*{kWU!3Xb zEL<;n3W?KaCj@w}%r|6*Zqr;0_ z0?IXw{3ddrrXgM%34|oW(>%Z~v>%@H(ABYUA^4XPViFj`t^^k`Vky#O zlrdq^`W&O2Os>v8TzyOk9Ua>pV~G64ylCbRXJR;vLT=-7HapkpGbUB#-ud(snSX=4 z2T{tTe@xD#btE6v5(P`zK1&)`SSUN&aG~;{Qfy9 z6c`aq%Mo?z!;W?vR=BRH{fI1iK3}syuKZ6BZ&BsrV5E)9-$eAGof^f;o%3lJjnnZ1 zLxC{^#pOUoASh1WekM0bJj7+7$UbPKwmD%Ytaj!{D_un#Xro>wN!3w%my$NJNf-I& zwu818BVB)nm4(mTvqb&z4BWzMQB+WGFt_kQeS4-`1;ce5Ul*PGuEZoixAUXamF_Ui z1ka(@n8IM>_983leM0$dsAy&0!lWS%pAQquD1xI763Z6K#q~YTt%v2RnDRudJX;=W zm7O})v!~AR_fiw!S`a`4U)SyWo46qCKFFB}H2Q@i@?wR%jGfbp3V2PB9c`(K*v7yM za76?z!|2UUoDIW?kKe~2m>IdekCb#L_4xd?$9&VEqHoTHiP^nw-?OhM|MTP<9kV{+ z^QxJudMC})MtL(zB(0l@`e$72C8WLRf)Zw|4Fg|oEXVgv1UY1u?!9U#Ses6pjL%gkAXYfyPyl*8 zibw{=LNE~bd;%X%i?UykK=A}pT*M@XGI;-rd{{P|(^%Azp$=PVvX@F9q_+o`U^NeL zCx6C*J-bR7a>g4pPYc;ddf2o*5-(wiA2d_o6>|Af(izIkKOJmO>p3!IfKepwyt&!R3UBxL) ziL+YJa2Bz#?uqs5$a}l-RytYVmZx0@!;x;(ju004g2Z!bYhQ=VnIw*;jQm#f)Qa_0#*RyO+kv z=|Z#+j=A-gVQ>I97W&9tz6YYc|CnV=nz=z#70L}>Q77&fT0qipm<{W$YnM78WC(Iy zAf@Sd=-sXfo<{kpv}8d#vQXa+R}z-aT^JAuSE25y$#%KGnyH|wjF%Vlri&ksBNuQG z3pTzEZ)C>cMJhT@RG9WxEF;8X@(aBfgvGIn1hvn0y8i~oT{uYx(NODmM=+!r z!+1Ad8y+0e8LczBs#@#=ng2LhG9|bFRe84sJ@Q*j?B^VQ?Ho>pb+VejepJbTrGS~g zn0vrHdN9~V!~bT`kZ3w+ul$1Mk-VLAm4hVQ3VkrDoMZ$@C=_6P^&SZqKh#5>+;J$L zNsi5*$FBu%-MBH;xXlvkRne#Fm$!Bva-gHXogjkI(T|~^g#u-8ELlKB*Y((q%&M;I z7seR_J5^Ik(=H*`VP4)?qnPm`4mLHDSZ=*UwWM+jJVWaE-;I-My0Hs12GkVBE)iVp z3-Y6Y=EvY*d|R!{|4*ZINVJ-FbETkySn6rQRLq6$UEV-CmL-2X8PK7@24MaTp%7Nh z9ZP8M8H~Fs!6o9_VD{e51qR$YZC#Ernr@MMzEM=6u5D4RBQUfYoJpxchvkX#V-d+y{-sF zj?xe+9;wet1lM6Wx^l;m(L1*nb0sW8P+X0RE9UA79Gjmx-NzcSew8hI&tTX#{dF2T zQX=fN=w~yQDwU?+HvQTHo!trUi1WW(5S_q0=&0ay$aQFUd8M#N|KRLU_X-HU&IOz< ztYhXaY*urgK_(a69w@z#i;M{bWu0MroWg8aX1bC{w%wH-Dwu(^{Vv zmgz3|S1mZU0B_xUA*&74`-9INH(qY+e`IkT+0*3kj*Jrjp|5^>U{M}yk!Ofg-QBdN zskV{W%k@8eVmOf2R{CeX@nOe(ksGkXN#_?qPi1pILg0MG%rI1t~!Xq^?>3 z(NW^Z4$u<2m|kj1Y+u#GPrqId{xv~-Tjp5(ru8|~mX9jq>C-3cs`W{krVK(ByZzkm zBCs@GfPl9|pK>PdRgY7Ega(&-^D#SBQFXk0>WP5`4M%x(uwC#C8UIyy&~3OUnm(+B z8w`vln37%JBABu@=kPuK&PFMD!|DO>WXzKualbh)$*fcKlsA2YNw~W1@y!*#Ls&gB zE_R)7BqsHCGYv5msxz4X47%iJTB&9N?4~KxhP5c$0h&RD1#S};y%5?lA)-)e-B|-S zF9hr8Q2d~!GpA3{-Z#_VGYd^C%c4_y?Ew_0io$k(u-qm0msh`Z8I{0#D1SOxy^l}S zx10EB%|CD4CF(nHrtprud58N*x1r)sk!#fJmOt(~Ws{@xt>)qm9npgs6V5cuR5&z0 zSjE7xJvzgrff9B@ffhwG)jAs1lzoq!lyxo>)o^Ql*kU$vW~b0p=KynC?cw{`d$ms;`%Y6|K|TFDJetcJ zq)=!a6Z8vP^Uq4p_wYdDDJ$oAmP^v$bsQPTGw9EbDvr1bnyhnAv*Ln9keTYPXZc>l zM5rA;w&@OdC3wxaiMPig++Oj5k%JenT=ed^*o-VUoxoN zfAZsd{Y$r$2Gn#6uZ)wl{8LEFI@gb6IAuYF89NTxVnb|r=wmYdsi-=%L4Xcu)welr zf&;$Cn63ZDg<>w@7QQ_sSPaC1QU%rfaXI77Zey+0WUl8G{>eAj)^auGr0!`LxKDSE zgt0WaR-`*#O0pmhgaG@VoLy(~Ap&hM$Q1w4On@yIuV?iJUMFlK@yk3(fvLBlFSvIZ zXzqEH>(x1*FDFcb8y@ww>oWYcWc17)GXL6p;l9$h^gfStubJgpq6oHNk7S^*rI>h? z(6r1lU9wF7=GW27ROi^y!Kx|!%l_=^-&&z1W-gwx{|;F1jcypsCUlv;y3G;Q08d}s z(!-}CJRbP#QQnua_16O>z0JX0-=E?Kj8k?@_VdN}Ty6HFU2F2;%lEnJ+qn7OcKN=+ ziGvL`l~SxuwBBT(?pvkeNHyANjN@BZcTfQmzYHS1I$4_SMmOiBJPfizFAG5q!ks(E zV*W|6i-u#?ckBDsBxIXFN2Wy-Zj#k&FxsP>Y$dfs%fUlzcw)JncLD6CpCGrMuZlRI z{Oex_hzze!Wc4Xtow33KJ1SW5-~If}-sV94 z9EL1NVn52$*;0(d(F$aTR!^U=JHH$|n$S@Jv{vxSlgp$ht@s#EJmVzYz5yE&pqVA9 zIa&ybWav>9nC$r{T*D~|Hm}iZutZ9c;ry1oy8&4&H_)||j^^Yb$B|G$p*EXYu*_(K zo=`LUcCoX{*G;CJ{46Gn6K<2^w~-rCZDQl*J@+zj3b}~%AQ3Z_oZ;q$Q5oANCV@WT zJgQPS3Yqu!?4PLY4@yk&H(}5C9^bu%5}3X95iXmdMvedR(M#0h_&7@o#q`_5Uu9x5 zTpttmYE%DmOj+@wZ}cg|9vfRNY&T*30{qXsMt1C`Mk|X1(GYZHJS*g#nhdS46Y!(sV|#Gm;pNKwKW*T2@Ow^=DJBe#0Ax&J-;V-Q#F z-TS`E5HXrDM8-`e?)2Bh73>!nAC4rAcR`iLlVOoIdaeHW0M9WLye)G(G*gLOY_7!} zdm@aANHsy#OJFaUMeP68S87&+yji39_7_H;Sy5ws?n2o}10O+Rz{zQ^LF!O`e&Lb` z)%bY14(KGs;a7RZ92Gd4E{n9t0JbS$)TQOxwUgP7v_@$wA?EVS(f6Zfe<09kQrzm- zK(rF8au5p?;+iNDv4T^iXC&Dgp*_Iq8(X+i{LCFNH|wliy%Tp)yR<8J#|Zl~^Q`_& zI!TYLX;0yUl8G5SxcqC^P_+4)4H(x{u}bB0`*-7vknw}07#W2DOM`{&k^zl+=!M7} z*uC=m;7gYW|8m6z8XQvn2_voDwq5hi7ZFImMU*+c8lC+T6D?HmGVKF{scSd>hYL@X zl|4Gbta371kP?DBMSe#+`y-F0)xAoA#D!-Gir0jU#gK9tygIV$wVvS7rJ+)zvk}Bo z(n7R8$JQW*e^+d30pDfG55XAC)*Z5E;_Z_s738vDjo zu0ukeLhjgu0tCGMaFy%Z)hgF7hujuTRo9elT?^&=Yv(xmC%v9U;{(Dbh>-*(2JT-g zm0Msn2V+k3O67+lSw~ifQ=y})7T$!M>F&)sDsl`_!dd^d^Wg2Bx@hMCP4S%qa&ni& zHk9ATi$V*vyg@l`lP?A}eWLhR+CDfGCCM{J8F@(|t4Ljd`AEzkpy+Y0D9fjzDP+G7 ztVAdm|5VN&P2JRlb@!jl_Fvacn(^E7eJI|u3QRrsT&0)6y~nBqI7$_MI_K%IyX+`| zYzte3wtn)jjw-vR6H*?M#<)}y-*ic0@@3d~$LkKS@2ogCP_9ys-zNh+;H@$3y1PNG zR~aYnm0FnOOkveak;aCt7B7rsIz0HeKiL;~eTDkfrr6yq`-le5v_GZSsnC96T1E4U zxTb<;YGS@jPfF$5^eInusmP*j5`MNgeOaED?=nhAP!IS1=!=Q?)~OD}{>3EU)HlEd zx_x~ssoL^EQ(a4>$j-Ajkb%O;rN(Vx(7Wl?(M^>qkt*?`Ikv*?R8%v4# zI2n43>1pB4A*fD5W-sCat6Ke-b2U+h=@~0|&uYf}m^tZ;QB4F1YANFW>pn}$ zX|@eiPCpi`RGQ}wvdEhW&FXBQI=$OGr$M;Nb=~uu|3Mf1zt){#!>p%O>VzER_(S!r zw{NtzbtV=BYSShlLghXvI1V|DpvA}f8fV(O=5bYKu!=v$H-F~k4De}Q!(b9Ep!{K6 zTG{~j6(H0YbcjFxy!OaO-YtRBj@pN_%&%JCnptM<%_9kc^1ibklZ~;aRJh8A)}T$+ z9Us91&1W#=`X`kq4w-PSCAeigc0#S6Fe3>&+CKf!>492qBY+4k(6qb z_9dFFI-MIf>+G+~Tr<*Tmjhk8$!HVYxs)wsAUCQA53MHnkNSKTE-77$^eYAnaF-k}%TpU zxEB3-<|kkm?Z!gTbq>i<*j>vRN#~>~kC;kl-_X>0;#09V`r;FPDIVZ;0NdQS+%E0O zT75lQ!AmVITVz^{E>C32C9>2c)u!}(Apy;?pR2t&9b2V9q0`(WCdN9D_DG_et*2-d zNv|`xdw*^9tAKOp_D}vkB#%c9-V;uk;~kHup6MKouRZZ2Is)hK5Vs_cEna0=-acHw zn6a#E2Qo^KcX_nqHSDq!KVpyY3WS$b!0$u_S&oal9mZn#Mb$~ta)M_|j83*ZX$Z4> zR}#zxHl1aE*=tL(KUAkS;8maOoznm;LK&guudmXU>;7al4ty7J`7o;?b1&d^h@iM- zJ>4n>7v_YRIv4+gF}GwGUF)or1sNq@MJ6TJ{qv@}RI2jbxm`ZYgNR&Us9mSjadmB` zBrs$z=oEd|X0j;S*es&RJ<9kQq~}*|6;E1Z+CIG`%PW^7>D{!7z2E8sE*Sxb#F6sD zR@KM~iN;0!R1aKruJ+u2UW!cgkwk|dl>|%T&1gTe_eSi9eHhMjuZ(1QNgv z4n8jcFHPlw;je}4?jeHbvtwpggmTN=4EsLHM{3XyicdS8=>NmCKuerBA>%UrP-3%x z^_y~`;>1kTOWF)nrtosPyxWpBsj*b0jg!#x!aCY$qLap3ZH!(&)Q4^7U4!`*B-R}9 zqwO32@_q^qVABCM7$R*86R&iu;+x=zk-J&57YW@370`hvu_nfbyb`#$&0uJb|EX;r z9rx<%fjtnu32u$C$c?hwu+8)<3cA+#szc`^xl4!n;*^MOwoG!wHhukgg@j2%K9g?a zi>US=B3vuY7NR8^LP8r!9tMKTaYg{q0G?Vq4m+?NAorCxL7ssA?Qn{_mQkXNm`+-^ z5QmvPhfwg4kQcXH5#%j3C@UKj3BD_b7TB zfjCWP#c8Uyaz^q;z9jnD;_rPRazG9UoySJ5O!!^2Do=79oK8NYHtzxDhHeTku3)1@ zW-nUj0KT;5XPM@@+VrcR^f0sOSG{@Z(k2m;{F1Csu-de|=&G;*$vz~#*oLzPlgkk4 zC^9#69&}m{wcNXG4V7i>+-Z7}bZL1DMJD+ROCt)I0_C{6;2^)yhg}U@uqnu?4slA& zFL)`I;#1D`vy4lgJRXkTD7B@X7Vj6*so)_sGjOUiM9#Ta3QX8p<@XFNXxUw6Mp*pC zoFzP;-ow<_{07J#0g#?+-)whvPHiK-3?YHM`txT0!1th~tt(EF^k{BtImkVdWVfzu zkTlNH6Q3B?;iFdK0$iSw-6OvWz&cvnlDOASjnBS2$d4^r#h%7*n;0S&nUjV)so%3) z^Dm*gACpoVPbC{bj!uqkGvct&_truu=h!h+Y~oAP+R=$!E{a%sIiF=;JJf#vv*K(? z7x=F&5L;R3S4b{^GN`Z`bl{obci0Vmkvv4Y8p@~sU9dOhrQCon?axZtIvZQnyclDl zaxa<9pPysqvOGgJ@on77B)iu0S_nlKFBJF5k1J~4#ch~*;t$@>n#GU$mfV(C@fS>k z$rU`?0}-)BYwu!VC&dO7;u~t%G;>(V;kDH9_qmtpjI0Cq=W-%5`DL<5>3f4V@%@pq z8~0kW7WXVaq@G*jrA*{^e3E$g=gM%r`gjo29GNLvUYP^;dq^IMTCwEYZVKy=uaGQD z{-E*jpA|L~Jj3=%>xcdj!3A$7m+?51tH!?_`&ldGeevQ-Tqj*^klHWKyw>i6dwep8 zvVaB|lW8gKpHKzsvXV0E1XNYAL;k=D$aNrU_8)>nG^{VAgjo7I%;z@v zrkNjrx3XI`LHb|P{5QKT4R`N11AVK&j0=~)Id2p8?wfCF(~|Z6T-WaTbXk%b=I?8D z#PujK9L}6jzv)@e-;}X*9n00FGo491&L}%KpmY9KB^b9^rzst8%7mfTt70uvaZ?wt zjkNwyFjQHIP>@po*MEx%Pp8!D>F@0?&bEP{uRf8=o$)pKpfFV!wn>sQZs?iRsOM|V zo38#tCu-afV(EaxQ%vLtRcB{hyuP^-s&4MwPA!!SFwv&`%$ebY6;} zTq~C6M^t3DPPl_LF3#jH{@x>b0Zvk*_>6Yd&+8R)EEM^iLz0NDGn)?A@vmd-;$@;l zFrSPK$JC3? zVAb1kjgAOZgWlR`ly0=&$Yg|kt&XiO07f{X+PbPyJy;U=5)I?sK5JPNBNMY{df-MH^AqgzVLmHiv z-mV36xQ7U5j{P)|{cJc`NktmdM~U>7va5A5Hz?|d)oBktK?;kZc#{Jz#%YyL&zPL0V!1wp% z6W~ckY`8i^rF}Hsgc=rHx5VPC^b5hIjDpaap2oYJD_U2jQBhx5$Ru{6Zb1_}y%x;! zXe9KC>0D%m4#J(+7ZwPZPGYz*4Mbg*!(X#Hlw}tf$`U`rJ&G#q*Zr>)&mh#C)Dmvi zWOQrDnAEp5EsJ_tC>MkxTyTv1FWKkLC&g>2{Zqy*bE2VbF7wai&X=qWvCRLoiMhUF z{TL)7kL9f0(l)4Q%$H@`Ir}G3e<3xM_vv2fXf$utP8x=@ju|%+`0%L&!EJL z*DQubfTe}CM|`6ytaMZiNLy1)kWf}Ary9#Jg>Q)=ca)3$Yr08QVG|jqUf8W1Vfw0v z0m91`F*h_y$h5DcOJfy}a z+HqYzo(!JL#Mq5a38}vS4jj9M96}l53zY95_bWzQWAle9#F?KJr#6&}O6Q(=HYF7p zotE0MzXfaaLvU>T&_+d%60N-z0SQ#}6)An^?}HyB*}P&F1mYV}HxyLZh_F4x-Z>&s zGuw2TNgV`E8#sgao!M|#p|`K9NkEUkOwMs#CPB0n&Iy#78_)4pfon>Iv6rx zRGBj-4WR>jIA{=G0ln zmwiesbLrq5k&stskzim_XSN$*#859?&8LAIm!w7ad)o@vS?#y`=$6G3;BH8Kdz||X z+v=w8+MyY#e_u&9%sUR9^l#Xu^-dJ5sexh#lP3}v<2MQ)=^8#5VjtmQui22`AX1^E zHS2ia(|$$QAcMiz+R6W9PI;t}hhAXprKj_rWIh*7t}gqKIL% z{kMEZmI;w}2WMPGS{WsJ=SZ>}REt`BcZlA2UeCPaFt84?vkOHmVs5@Fsqm*NufA-o zC)YFyqQ199?3WeK_fLb1!>dbIC?YJz*hkyCl|EykH3;3qVP`J>u=E!#>?3&hCU&cx z!{1uzy@`blr2O8_L#TLiQxrq|j`Mq~-hBh_@mH9C+IECMrO=N%e!oOF6l zsu`q+x$l_;kaEykZa+!uHIRCSomvcHGEuQAjI4&dtur&xY#S~w{p0vyK^T0UY$|N7 zl8H4aWvWvUN8VKhRh@au!`S91+BE+(!Q^dhG-!?Xr0#RlWh@=k_C^z(fiVp*U*2UD z@=`?7`8$8??AhIPu$3n_J@_*4Iqnk_jEDw<3pp)ir;O^&-)`t%RDq}ilvma3&zR=l z)4Y1P2Et$amtWL8QTH#+=}yXm*)##g`VCtbQ)qM}SUTXguxOfSUqVK2G)bep@90sr zN%v}|+7^>9uEb=CaT=+hV`fN_+EuLaK{To$Gc^5E29IvRwsgvx z3A|m({^&57D|w6@b{Cl3!#f$#6!&0lW}S~j%JV_f?0?L$j6wCY^iKiDd-CABW$_q? z=&_i;{QC<(Uf`lhYJ5&chlIHL5p8NY^&CVVdO)gF?bAlo-CE9&H+JO}ErX?Mc{bgC znTe!Q+f;mTi0VP~SR5XvNPsLAteW8;9Zbcq*@&2IIZP(fO%XEL&omqAArmv% zk5eVcnR@qUN%I>V46HQ}xX~uyXk2=9=+T++^969{gG*?oY@Em;Id_zYXy>l-ZoQ$z zj;*Y<8o@_-6*l4zitZi}k;)Z#ATfuDpVC)hY>A`(%MXFOVL*Zb6)otQd6Zj5jj2!4 zzrIqT;i#WGPo*Ns^myj<%}S}#^INzNty|OPH#KA7QTJIRflSNTGGZeB)7`jb5q1d` z&h4jH;~hhM9$ZoSg?IP+^_Hv;j*7!}jN(5f(+#4hnh(%;=z9;Sg-S|+wB6No?)|a+ z_$&82Ux>Z3d8hOsHO1RcAr?)&;S3a}dpxp2cq8M4EtXRS5~`fC#}AoevK**bNo$hn5Teur#imw za*ph{NKo}MD#6&E!wuJi{F3aW&T-9Dl`UvxK=82ty^9?r}+E&@<#zy$`V(&sqIZhF?$0W3Ts1{B$*=7RXY!NfrEipAuEYF4kZFa8C z(fGq3^Y?r~lTqep!udDNzM+6N|F$~h6^931;<(%1Ob17ny5HN6^oOexRrLMx@f#Dy z@J*$ByF0qla2$tK2^b`!bflOm54l+fn%!Y~Ctn4YR$i{(?izw786kq;WmO%O^{Zn< zT`p`1>2Cd77V5GY@YbD#i7#=!M~#FpdRjY#9$QoziIdj&=r=3(MC2U|5(?$cLQvla z4SylZnwzTXLocQgxdmVo&W4>L4nc;_mjP~11OHguK9)askXM0H6Jq6Boju3`p_)Yl z5vP=oj--fPQfs)`O2=J*7bqM(zP@~c@CUkzm}JtVGp z%-~v%XHb@9Z+h=}5>7(+3XG6UG;fDg({&+il;EO}1Fqre}Z!7S3s zUvxgjwp(G{Tah6uB=Z#Kpz0$>iKODo+QpeivnZ@D2cQtmo|X`8=$prwVr>$$siyl*Q%B3h|D zdq`KbMu@d*Bog16h10ob>zbbS$7IyB?V1*RQvlRrr*85>F0pl41_nDicleTAaC(K@ z@Eev?P1mtopQz~E%TVCZ2`alSvN@`N*db9AOk)*PhiqF1wjALcx(i=%`)JxEzVyni zR&`~9fu0E9O7*5|c_gL(Fp*1;Vx>YKG#yiUpL9A|+A^fG^));b)V%G66L{$ww{j$d z?t9ec5SokKpZ*j1td*IE(!usm(Xrr$AZUE~TB7mGk%@HuviBHV3kYk$ARnaDoEkFA z9CE8EFY${m-X2WKXzm(Kzz=RXDeI`1{fv4FY^P7K4{4lZftR9fcZ5Q^_MO_%Nn4$Y zP?u+nBAkhTn!A5kpZ0oQ_G{lUe8|V2v9@L%CO8vSeu*RRkj*pU&@R#&R*%R*V2)cF zQ)>yNSC z?*?I#+jeZ|biUvNj@~I5;kjzr_fuzw*q;PZCvm*GBq?fQL+;W!z)c*w;S6AzVE>n8 zhTrB%WL6GJT+e13KHZ7hU0y(|@^!ocaVc62hvfN*YD9iSD!!uFer3mG^+} z{BWJYpwZdDFJj6D$diGQ(&MnE=5)+*@elVbMHf*h~&lv^K)}$z&VOUfKqkXO)j9~AZrK< z#SLn~M{Ly1F)!CMi&Fw?NJlbA8hx4V6Xw1#XPnPo_4);~0H+BA%{!P|n*6JxV)Kbl zfG*MmLilb9g3yO1H+~FGXxjh5WW@2y!3XJQ;p_rbwy_=`30FW}9R@9wtu!JbJo%@Pnem&!V^`c78K_&%oZ+6ZVuZhC97c zHcnCtkrA#uXsc&53??OcB2zp22#n>UZCG^eEO~3a09~Y1fK{DUSq023TMPFMZ$oET zbECJu;)OcjYC&ZLwn?@P!o~&o>Q6RaxT<%|;YL(#RMUUE*J)L!%V>qiWUuJQ$OQ-p zE5jI!%>GCy0g$Inb~kZNqyzG{dRTXW?Dl}{V^FUzo5rm@EalVLd2GYOUAEGCb7VuD zEQOZ|6y$XQpDl)bPo9lz7+zR6iM=|Sm+90VGvBN>if2(bK5kJ8EMFQJRFE;*e zKWJA7S)i991FXXa14Jg??YBi?IE2@9Z)DB12+h^tCY?|+_; z$IvNg_RBB)-UzaT#9^AExFY7&8qwL$4HjM#tn&%~nSWGs#yE1qPj~vJ+i%7Qx8X#l zPahWgQ|*7Q(4^{OgRI|BwqhwhN%oOF^s9eWnmC^(4=8c%1pZI3Q9t&+k9`o8%n#uT zM6^klv5dv%g7}++CU;ZA>#%_)){NIwDG8MH`gIMGyTS$=$1qBZ+@Z>#xR)jH63 zpvk}wE=19qsHl|~tL@MH2v9D@H}J;je4C3u%`@HAggZCAdNh4v!b9pMgXbYonV6j& zJ6*+s#7SU4!}tIGZQHnMKp+=j{sI_5IB*_@JUT+~!~>Y(+#Zq=8Ej(7W_q#aE+f+a z4N6bnoYo(tI1O&ck!6adbfsJGwj4#(@U5mLeha3I8o*06b};^DVM*Mu8C7%ayY?`% z!r`??$1cP;Llqy)eH9*kE*9xCVCib!&l`~aDl)1S$iL(>niHFg;rIH@DsuPzyyEpE zW5q+%vq%P*Whf&lYozQK^llK^XSvTl?=?+4J!7G|+{=~y$MlZQ|ds@&pE7*}_&6lxD7m`Auo0Y`A;9GR$L_ql#pvp~>^TEV%uW zq$Nn%M53$YHuit$w^-Z@ua-=it?Ayk&TdM#i(-~qBuD1l8fnmW&dUL)Y=W4E!Iurw zU?pW!8jG;kzy=R!?hs34tCALpAPJE1uk4B5-07$y2LH|MF4AV?WzvYBC7WfSE0f<2P`+R$Y8FX@m6WW-E#`)u( zH5FINzf5_a0s8WfonK%Q_qO9KRd9Ed<8%nvboRc@Z{FzuGL|0W;1j<d0Vyarg)${JtdCZjg$maw0S{H)u9cz6OHhO-5jLw?@aCx6*A5b(p`(cQG?k)VjZ zFWjDBIV@#0@cPlqv=Bwdc5UBhZ)ucKvzhc(Dr|#J29Em9IejlEUZpGrz4Vb4K4>)- z`S~SBfQt+4oxf*>UDf9RP=VX)<7f$!AoU(iKI|r~k_bYY-xFUL8M;ktMtg(2{zvBS zFp`2Bm|X+mRG3-o+f!YDbCiY30Dn>JmZ_xN&}J}e2j~||WGhY=-oy>zV_K{YX{ZhzVeY*e-rylip^s*8+yggC`Ly4)GM_8pqjtthmMsJL^ zjKqRGz8hA(8)&Im{_G(dWc=Mzl^U!4lwk!nb_kjzT6<5*PNms< zPf|Q8Wc$VqKaT~1f=a2BfOLm2T0uZs1nH0l>5dVP zq=105w16-WM)wHmZb4v-?ik(mUIYK%_a5JO92{)B892B5zOM89oxhl;{#dpjtD^ba z`Pbq#<5bQs9I=b1TA{rT9A!!DC;!c)4Xg&?sDm?Mlqtj_^S$!Z<}Ut<9UY+3xoym z-eYSf`Wk5vZguY)u54D~>4^Ag6USyA_Y&M_i2n>0fU~1q9p}!Uibw2CG(<^3>b9ADUu{dl2aZFE*9mUwE6pgPC8MDm>ZE(V53a*AA(=MNG>z z1NO0W8{>#N`$uHu&Hs60q&jB2DC^~&DtprmycNMyRy?tgKD5>5`uxN!r9W}|@_sT? zORd;mytS5CUC}e;`3|FHx3ng5Xy8T=x3LITl!(vf>Cy;34-*lXmCD~4q(2>FV!ybM z!&St*C@N)^)D9}|q*J!%2Iq2Nx=tt%>edk0uJWp2%iK3C3k8 z)xk=$TmNn0se8ZuUE#z`9Qu}Cv;b1gvgs?ZqVJ+&q9EIvYBmF4-zWh{D_g^ljjc&X@h|I<@{V+NETr`BHa0DOaZ6B;M=_xaZkwin^^I zOs^>JPQmy**GHWWHrp$I(D=ch%6ZlFt0&T00kGM7iVZX74W4S6ExN9rf%@I%!A(Pi zfv^^C)GK$=CpSn>2$^|!*v7e(|>(&=4FDQ?LawyROxJC<>XVLsDdzzL<&bAya4 zTxQHO@exi?LO?D&N)=gG&$}C~S20th;@I-XnT2TmOxup7%q)gnmUigRc#%pxdmz=r zK(&M1-mTB!=6b&3b+g@!pnP8NT;f_*uW^Wf&p26i?<3KsHk}^PVdA+U*|Ap%lk-jX zZwD@%RRjr9f@%E!-ojkh9% z-ma<4c~WN)rK2v8{JTw4xGmN5U2`dhX;D}@<@;>vd_1z&l1NH!4Zn5y;l|n#XXq6Q zI3cQMYgmLl`=hk5{A!xEaj%^%G#vOtH%`A z@f|-JWEaMqY%ZZYoFf z*KtiSJM8&|O)guJDEi#y-nh??L5n!DmYfSi=(pkVwNx-3LyYS8H^5eq!qOlh%v&@O zjU2Bf4Wwf9F11-%P2b8wJF_!sZTZnsXI`f-x=0~wv!A<;Jc_smqxx-L+bKz)1;hd0 zVaWZ@6EPe2_HCYI%7MnGi{wNW!7TkzfxX(%1Dpa z66bS~lgxT2V;LK=w?aD4uWO|-Z>;m4Dwk2%238WZ4eDw2XLHDN&9m>90`a+}DA!54 z3I7Qm}y(wAw0%*Vay~buWxlw z%=EsLI?jFQ`j8*@J66redD&!qfT|%TaQu0!O}I zrJH)E4uy_!*p>kiNf+A}yy^;w36sah3PGQn@>=mm>I5yGmp8Xv0hE2)9 z^XHWRzBzAwT6kazZ?D+&r^Za^AlUFh{&R`x=qKF^ zH+eyUt8^?sHizRoED_elTaqFVOoE7Ot$dAbH?2k#LHreFh~nA0&Hb?3@$7#@T=T&* zDiiigOBp*O_RD3*+NzNjyOoTgyxvc-_P+jUncA2$Ev^41Ij~oydr=a7ZFH)$Xh>yN zZ5cDZwDFEGirgKMIq}8}I~lZ8s+cy72M*)`ApCA`5U#pA6TTH3XW@4UJ7G_KyY5zV zUWAg8zrB2CaF9zML+RY>0^7ufO*eYS+DQ};D3b) z6tZiAuQO%am;-V$DAiskR);5~xWxfeB-0ubDOd*^-&l$iOW#Wl$(r85%T$tO4h0E4 zvPbrPG^yO9!5tU^&k|%mc}=zFChIX9Is>OyB6+&d(YE>1NCh=%X)#2A2!oBpPA-e- zNwnsaUS_Jg&W@XD;oivvAcj8mBQMPp*)|ML2fFEdFm?g;fijyFm90myVaR)o?)l^# z=gYHSwMD)%59l~^9Cm+PP#yls)Ti001?t}@*^$A9JYS36S^!i&w*^Lab3Zn<$ z5Pdw5I!VMH@!97S8X@zXx+UUs`U|#K`i*2pVUz?W;2|OGwB7?j6ha$UrPXSz06^-& z6j?Z2M#$8s?EPH?OHnz~F)IN$u=hSWQt=lvUgnC!25`u)U(>7MA}H6|5-jMxVo$`+ zQkrP=ZErofYPrBP6AV@Q)gbUAXMyTS^DNfNWPe+|X~T6wQAhC?a3W zfn3$BIc`Z!xq^D7`6|=8woKJ}vRfsVZIDTu&%^9ehABnlb7!S$!Qz^m+rE{DwX~F? z?FCS%ivnA57QLoU?5wR~%Sy^leEZ~y&KGmHx1WaI9D{PYeDj~K$(5ngn&xi`7pTr+ zm+DP1=-Kz#C|pJJfkI*Y57b|>giHjnR-OeqpoN=e3bk!Vvm?WIfaTuGyAbZ;3-a|a zH{P<67cId+SP23FEo@4x4qSJZgdvA`m?J<5Mvg8?-#qfO8{rePYc8Gryi(e_R9jg^ znp~Zw=Ko0lXen{ThwA6K#DLE$j6Xy5Da;{H@ITQe9Kgi`g3U1gBRx8?LrsKm$wPoS z0Qy>HOhMU=;lfTOVE-KqAWa2Ds7o1&yjw9rKe?Ifn%29Ye{17HnLi~Y>%DQ}Qd

    ?pBSI@-d?&ljzc=+k^vEF(Tc8_`K*0YDqqV#HwO&u|q zLBLH~Qyc<#JJ+n)BPF-8MOAr%($ic=<^1ItP1QIel|*aQ{*a9~>&R}d zUMc!SgZ)oKio-FN;~zzf&@%K%2h|@f(9L3&ixKN%x#q;xe0J21`3iUf{uE2~8f=Q( z47H!(D;S%>7^nWP^+WRC?H?aEa$?0}hLzwFQ%Hn(sS6-l0e=vK*WCnj0q^3HC*I+5 zcA|Deo>I4@IFz!QCIxEdeHbB*KWfFIE}c`#^pr8PQoqmidQP~gp863O#Q&>>=NrEn z;>|I45vWxWe2HjjnF_*+axEr`$~;|Ag+Ic*>bH7Rs)vI+X00|wU$O{|<8N@8r%6^CNz&0QC3m@j1)*45#YVu7p zu@ho?>GmJqA+LX=c05V*AVDb`{Rdri;U~+ju{@3{@4SLfS)SC6jkxzT! z;3D?CtO8YcH~hH8z3Zx<%CxG~d%I{it~UO&ZzI-{cOQb7{2HofUSTx16s#&gqo29< z1)x>Y)b_5LTyp^?yjAgM@&xWz-moeOXRJc37C^a>l0_?cjSFp+{>YePSDMWLGp^Sp zT0x4AT0{2HN3Q&|Yy1Dfx#qgu)&J0BMkq&P@k^LLZ*)wWlcH9+ZadMMDR!kDOnv#C z;u|1BRRb8Rdn6jf#NUJV2vQ!fkfZo|53qMjC`x7L8;Z^?!#QeafT>fmw(ZzE1?$;A z&gEiw7@iE+{I<=J1A`5sAl?A9nqZ*y!y8w2&D5UYHPBdqlUu>s4&u-m+VM_P({7Ov zoHUnFO~K#SKD7uJ3=eCKr&ae~5qxGil{M|{30xlcN5-mR_LIf$CuQrivI!eDRysEu zo+$XtNm>1t9PVl2W$Kr^3_E4Xz@9+pqO3ME9W#Jn6<$9v*gLB8vWz88iY4|?mSJ?D-ZKy= zaIa=nk0h`uyCW$BGy+24)nP;`oN!DXp|Udr)3}|Wx0!_9Yg_FUDR0gDlbu%2U*+rQZ`lj4>!TlNX3LIiIl*+P(SC5rsugB4!()*ykGrzNL!duqH_-g$lPkUL8p zxFvyYup<)JGJ!0{VyP8?j%~3L-UZcGR=9!>66U~AB#Xq_^t?8mxQ$qA6hoZEl3}w+ z{B6`&K9Wvz<~C-R8J9Jq3gr^oscRdj-Fa!t2B;wq7OXtU!d7*HW+J@ChtLX43bH^A zViP|u5qt)tjf>B`eNCKyY8Vni2&^r5a{vP`4b)r^*BA|f$Mt&ro%d>!BFQTfS-&;9 zgm?|OsR7%qu|SFX<@L;P_?usSt-+^bkNIh>#%;ZsSXbZeg)PvpKd@L!$_FHXE{NXU?#Itv#S>9#JFKnNKCDGV4w%2{Sql;gtIHcoMS zss7l=4U}aNF|{0fSk#h%DIs(3mYFh3s4pu2I5xHyjOM`fYd5j4Fw^dA1hvV1tzCH6 zct(Oarrao3j0?q+oxi2iS@fsMd*^iF(#ExqQKNi9VEzX#9{*PiL`%%>2w^?!1;H*E zrap1l;p@$D>t&xx_f1YYgurS>oHS#nHP8alaPyR9V!bx!mDQ{2Zlt-ZfR_5p%UzJ| ztq{a_6LeWhqn>$adGU&qhA81M$#3zCiWxv~9VD3`ra#2M4No*#>fmqH%zW3zgYzM& zJ7coLCr7kiDm7;sxs-3%DO9TsxX3(g?IPx4lk*$}0C|iV-%#@isAu1rr9A;^y*`LR zqdHq*Qbv4#{PY#ddoc1#xyqmX!fhglcIoa+p+1&f%7gmhF|;@{-r>DJkR(p>OCx%^ z3(WR`UV-n-&bd@5&wcn0;m{DcaSJFF@D9HeFrme<_E%l9piI5rhyk6sHNz?yL3Kb)LGl})%Ut!k@BJy^-#yR%?c!%SKJZH zHoiONV8th(=aEBR*5;kZ;i6bWPq_aRKY*iU#yr@;et%uxxr}e}Oj?jd`Zi{>HyW&O z@nL^$~vLvjqjZ4SWeOG zR_WQTz@{Oe7%XiaCMgE=5;g;C%67YTl6Iyi@#ZVVE3!p2aqaRB9*|lk^Jc;r@f0!M z%mpc4qUz zZ#;89L7D>dk*nZh4|Q?DnBIESdV4Xmd`-aIZX~-UpMa+sY3`v~f_VPchrQqw7amFW zg@)x!%uSl9?p_knrz49@z;6IMCBx<9C>^tE(;wvMLgg~r+?ij&&M$CQu6bd-fpR#h zC@h9Sf1h=|P!h8rstbhEBfTUKj#j0fP=Lh?&?q)V%}<}0YY!-#?~G#-**Qs0?p zE=6IjQA&6BlQNM^Zn3>KkU=`NF@3!;=hQ)MFrj3DpIvidG1VR|eX>kFJOk`x8PB{_ z;@wGM;@%~(=`&j}O9ILN|1eDC*h(x}1AG7HRK#~h zgoa~VWlH8^rmxzGM23zKg|XMtlebYJJ|ELKt2+GypiK7o)8QmJ@@$3eZ+cp*APQ3NlgmvU!!FrD+!&!I0HEnH$VlJQMg#xo~BdS<&v2@9Z z8o8K$;E!kkTk@<0{pg8M)tm})H>9qnS)jKWhF2zB&!yLpE~}^0lF+@NdMn&jmdsF!Ko+v6UN=VBlT1r7quWLf!zhl?h@g84@;E& z`mtMVSpJ3uJ?}P&;)d*@%HI~ZddVd$70r0fQP}Cbw`Q?wS^2;yO?S{ zw|{SoC0WjEnD|-4$e(eE^u4^xF(mi#H{?c`?AcyTWDI$*ICgz8jb;se18pLo&&tGc zbsoqKl;pqjjip${R8C3UWDWW&(=IL|;Z=@jEruq*v4<6KV%(0!ndyFqaS=T^^ht}; zWONru2QAWj%J8wpx|vDS)`QS%#Bu8|(fRvhwXv~sn`;}xF1J(0pWMUw zmbXovcE-`}3BA2rY&)fA{eF1YkvvV80>In=2w$%jYCnaidaA{a+8`rSloco7!Yn~y zNl3@NkMU^x$E^KC5B;bhQGEX%z@W0O=lz&b9F~|AGEQU$OfOGM4&_lwnzmN?5r zx}f33>8HzlfrgsVNi()M+cO&ne!lgNpKl%d|ANGbj8;HQa=c=NyK*4KYR>^@0!yyk zF!sa8jadzahKO3i0-B7x(~5=VSW?l$-Be%v)BaQ4PJmGHKeu=YRpiuA`<1G z2*Lk42~Sk0*5a1lL7?qMmqtKdRHCi5m2rFBpL1)99AISUfV(J+H{Tf5-(GZvi$ z9j1<5C1Td`&;n(mOj=a8b_XHr8_Zg^!yW=li6jk%r9@{TaPcQ}jxj9XAYfXI*m>E} z0ACsxYVcl_wwous{JAHIkKbN^+&bf}^(@m65KZq&adg4(&sNZX(5Cl&J!L`6C3efh zcgH^khRs%LPQ!sc52nXqA;(WPiN(|N9_u9iD@om8ehJRr2Gssi9o~I5IicQC%{AlK$ftRk2DHhgq;^gaFvfwiz$~A55 z!m?Pv;3&0oxmqy~Q0qaF`+%DA&yK2sNK(eA*>^~rX9Isz$YHtr)>*Q7`BF4??-odF zK3CDUxTkwsb(=u{8H4~Mhb%?oI=_suf-a5$z8;t*VTT)?#POoljx-Hz=iiYY4$f-OURL)J6HX;6xj~NX|@%fs7r~!j`zzcuoQJd!ylKHSY;c@61mb zRZGt?yB>hiLHU6%d!-LxJs-7Q%YAa$-*ECVD-i1Wg5A#Z#db!qMIDQYT$%46-`=36 zZ}9t};LMRg+KcK`ctU#9WMOq?soIK#PX`UDHo@zIFWw2&1Z^G5zlEKzxp=U{b5n0* zQTTY(=Iqa$-geP%!cFmL#D|raM@1iTss+3z6URrkhXzZ?MWY{G)RyAHA{vS^x(3ws z^8TrKL+5SNXV+$tQnFNufyn}6Q?`qQ+sN6pto!V)UUO4Uo+4y$P;p9se{^7g&$TQn zrGIAC3BmQy_`b!4B-rUe#{gtR=qKflXSRx%kFZ~ubjpLY?fvC95P%TWz9yVl>jJMb z^_pFpGzRHX*)5NdZJ{KoM#iq!MEgTw3$1jeM@W(U!7K0HcqGoNp_KqDkLO5BS4Wcf zXm!h7mn3ugmYi#E--ETu@*YaRUAXoYjp_GZ9d1a2(^9qbSm!<+;~ljI#=Uqe%S63g zZaK3%$^Rhp%ToT`UhlJfN@TNO`{V3GN)u!A!X_c9vAt7(Z{{>dg*6?`!ykNmMNzXx zvl`Ct@*v7}fKyVlY?8~+1-s}^7-DFN*dZ#QX+(HaCYcf$&zy#j@yOUcztNHwy?n-( zC&QyzT5Rw>eY-Ypl&0rcWD~hqfl+?|9TrViG!{5l!I|*Zo@;ev?Xj}gSjuc&| zB(4~T3^~39SH}w3BBd3wyEeh-VTHM*V8JQ)+7pqBI)J5-py%|5_(F#y;rt0PeeE+RxT6<2`>wp7<;MdcQ=|xJOF`SXO=NHRV zYD#W2>pbiXa%?bS4!Hqbv`w0yV?H3Tzp`U^wJl&E#s`4e<=kKXaCrwxiO+T<89{Xi4Q*#0kxn>4)Vk8MuO z`tZJ97{$ya%%g2z-|_ckegC8F2}R4|2YX{s0*A3GCo62+j9Aqv(r#yn0S|f|SQ(rn zZKz6e>$PA2BL&KP?ISsY#I6zHZiRh9Qv-q|PIq|8oeAz-r$G%~zq>&E1{&HkuV56O zv>xgC^Z!Yn=Sw=ug(F#93&~*aUUGW2uPkDxu-Nk~TAE|O2xh&AiRJldiycE8CY);& z`f1jYFNgE{AbQjBK}_tQvz2G9jtmfjL=YQ)#!8UrK?3f|SptMfA3xBL=S}XOmK+9z z0b_yNYMCPtDnTpP7Wdn*2fjHOs3htj9`tgDYr53Fu>( z6%3gASO#iGWOOehOaF5y5wuk$i;xPlP<3e+th$K-r~+QmphltltgXD+;&V`z)wdOmH*V-F|{7 zX@*K!%TH=cmwWAf^n1@AoK4~w9%ARbd`O8i$e1LczQXo-yfw+lR&3?0&&-QJ7kywY zpGZt^k!~*+a=!K0_A_mxV*^Djb_~WSp-J5AdE>HMTlm)7gc&NKd*QvC3QG^jJ<8A| zy#!nhIYtdDcPE={8vK=IZ93?dVCCUty~2|QAj!yPM*n~q$omupyjn~9yC(tbY)(^6 zSRw5^`9TX+sh(}T;^U7k`ZKBAwO*5sU`#xQTR+Yz<<*!wGQ_@8+nO?m!+Y7u6GzG2 z$@cif$_8wIUdTjS)tgQM z*hi>yO|EFmI%-r1LZdy=8;q>hkJJmk7q$hBFf*Vo0asUu0@=pK8h1KVk=5foJx`bI zSX^6{fP+E$;?Dx7_7IRNjm4izIi&&UPkaol$to&20jIiZj{c7@LK~Ufqnl;Aaxj-v zEla(-J)V}=-U=AO0;qk!i93iLzPFpYUaUM>Ub+4QBBTtU_~KzV;c*ljf1rg+;f3A` zE_D2~XG{<)wqAvJOmN&eMfgD?yM1_zfoDD>Pzbo~+dR!(s3DwS-o6sveb+**K2-Kxc0Yt%8T>;qnJN3jorr4H_ zVp0N=HyE};=8MX<;5nb+o2LK_!wK7Z3)C3=Bf*usQ71?(SU%FvKXCT#xFo!SIlc6| zwK<#BW)iNL} z5(UU=OdGEP4fi@lSq1*I0ksJLetl%o#i65>tNsfN+dGf!9LKehI(Pzqm{%M8C8<>@ z=w_|Z$i&^~v%D--e4xN9+Un=_HaAkfRne!P+npT>D+8dw(=&*faI4)QYte%o$>?E2C zm^+u5Yhr{Vz*6jbc5tP>Q$TJAMsSOHQvwz9hT5df-qt7c@sOOY-kfq2(b+}##$20s zdErL>UfRFT22mRWHZdDj;OM?x#J@95m{*uTTh(F0l*=+(_l&x9i+1clu%JR7->%8_~-!$is9x7|}CG@XUT-^-lp9myD0-K% zmQ-MrSpPLzf%Pq=9?wjuI|>0Db5m5GIT%yM7b)H#r~#VZI|5aK~LjK!U6hBp%329uei`N?WPFv2JTR06kn2 zAXW}`czT=+gydC;U+a%$jayZ=+L=|qKg9v6VUR=%oirY5U+=jVQW=lJ(qv}# zMw8>BweW%%`1MJdi*SgijBFyAuQp0r@!)bkw&q;y)HOUr&Rn{nMijjNa<9SO zDqTT~imx3S(#=!nj+~zRVdf&bg%G=9a}>{0-KVggX&y5!7fpf<_onB@(?xk$4Wt+d-+{25kg_>csdPT*nkM~6)y zj!evpJ~rm-t*xjUmZ=#;_c+gfCBdwly<6)#+MRfJs?_E=6t~!o+ro+BiuaxPdkF#r zy{s*H<$@m-(36Qq6K}j2D<3MRN2(PzTlBDy3eUQTmJf)V(;3XXqV6S~($A3E`4fH+ z1{=hT<@>r%5R^VtecmF9-jGHOqQ)ⓈYBwWZ_9SHtx$+AhW}cwu|sGWU{0wdt{0U}`7bjA&!9pCT33mh(Xo9` zOw_&)mUzp48@-;Xr91Q$&Ne#^f3+v1fAMJB65IaYTP2i zPNTy2D^2>%sWRp1!k$xaE-3~DMIeA(@I?_598RE}wG<~P&Cm&gFU^n9)@yfVLJ)#p zVW(ZB$e@VwmLG$Xf?i;@@`tI#f}=#HzP7FRP87_m(8n;7|0$T)1@F+n7{JY7J7cGK zU*4oU&LP2>t-`H9(-Xm0GY5wnM}8JCS6Q7t;Eup*B`Yv`VTp`X1w|Od4?D#hF&_(% zjK-Gh!b`}Xn4O;Ph@zik&m+3=5`d<{v}Rz%}3*m1~V5KpN=aeIeP^! zEH#1H>!T)!g9S8Lp6`wXjxCIi@hQz)6Esj*e3|V%gpDaH+5fE(!rT{6Q>^}tRy&<@ ze-80eO$suIGR{cpZHv+W#k4U;6Ln@qY`FR=h_;7%y@%XF*eDCa{HLQ!1*;m^P%y&YOx? z?^!Y`1?pOmP{4Zj|A^_u)7r{8FHkgS z=L0H7{LB>!Pzmqetgw<#i<oR_FJ1>)m zTt3mD);&_n8T?Ajm7ERF#({^2oZa!P|BA@Uu=hoNoeUc<&1vaBG0{tPrJfQs&65C4 zujB9oHbcjtjEJ2p$R4CJfJp#oGp}5EksllEKMGv#cK(NscpSEBKG zm(Yv%M0>9@en0AcSMy(=fonTdP`d<*)Jh1&j5Qrwb8b9HLQ?^MUF)6VIFDzoXY27H zE*XlZ-gyk@8#wZ5{()kcVuw-;V?!J$221VcNUIgRn>I~2j);1YFND0oxmGfc`?{AQ zd3`4RG{m#}uGZ-LVUNC*^6>bPpZa6Z#_M)>d0h4y1?x3F$Wv_d_9XX+HsJ7-gb5Os zOjz%3e0ycrYkxaXZVcxze&S4La>Xs*w6O)v&8d&e?lScri1T!)R@aV-Qtq;$cZBFI z$65fpu-p3X#<;iUAz?wV^3AwG&Vj4@3^jc0Ix7%#;*HPhA#c6 z36#n$-nGU-3_|V^{!)(K!-Exi=|hX7u^-$!s#FaK>d(Gg-EB3k&Zi|bP{yyD)H$JZ zaToVSBoUEo4fA?qG_SBjH@>Q<9@(jRg{+nN8d9&1ZNO{~UKrRkN_;Q^4?v zsFXb%Kak2E*X$y|VEl@xsc}eYg`l$B8!`TC4?iy1Bh6H7-%D3eco#akNu|Zl+(V`2 z3Yo_VO-cv;n=%gVL=+5FHRnk~d*sY(xO!D>xzUF#$rN^l8xelzcx5*Rj*#_mMU#LBoglXdELm(?B(^P`O8Hu`a;4+1s`Jqs}b3(;7yxT20{h1xrQbAb!dm;*Ah zszUDcu$H<7LU#SCr3y5UyBD;4B8Z35Chvo zsOy2=uD56r{hf1WNHD;wpNNxdX~gSBua9Q{PBKfwFaGawe*D;h8U8DK~a5$f8EO0=;v zEvdo|9ZXaoNm#K&9wJXH06mE*7*C48R znU2|6Iwk|!|Bji(NA6+DQY8m~%#aQ8`PgF}!JrAD0 zyzo+lK6-XCXDOJYP{TAlpEvmaXGiqE@4G=FZ+17;YdcL%TlZAG%<2(O5`qwwWP9wD zh4(q-3_n$(0#7t!KK7SnzY85qml^iH!{eRh$=|t9`%OU)(xi|!ORq(ucmo?VlLHJD zz)flTMvU>+EOgv6veHUD4SKWvD99{hslupv)HYH0w6nI&SGRaTm0pXR5W@i%Kh)j} zs+}S@AAqvsz--e-Aj zJH~wf+I$@>_N^*IeKu?{J2x9Z2K8#4g;Z=ui|9swQG3ed2lCDFkvkTdoa)S>>p49B zj}hK0Vwa}DV&1~<7fRQE9j@cZu<;~DV26S(hm$CEBY8b#qYjrdGY;#4@)%8v^oAr_ zWw9aQ)wI?_;gX=l&A#OOEorw}()^6f9dEMETucOi0knER-F+Z>zQZQRvK=!u z7Rru!3Y9WXMgm+con5k-Obi3TwmpdKt?E^!S}B^#8U?l@4}4Z1g32r~oOW zDzO_`s=psU8jbOp4Om)`IEL=&C|%_DbWFzs7X^txQS;2wh95JNyU3kSNyPa&Rx$Hq z(aL#&2w*dV=_>0t%%1aty6V*hF=pxzRxnoWy4SvTndzF-Ty9VpQ)&do-`9=V_6r+l zFAS|%Zia81y~VIQx?ADoqJF(lelM_9mlOelVYY*!py-}p9Rtvxc8Pn1S33@K+31ZE z2$=6>!w-E#Q%hrNuLo2o%T^=Q)S}M*$wAko3z%VQ;k$wT@kktn!^$aP@0*9C*{||K zp}N$cNhv-K6)*b^A4J-hhc`uFM;4GPP-U_9eG@L}ED3>fVCIhea>))=Rvrsw`S1p+ z=MR#^KZ9N$LAgO8Cj#BYiU(}Oc;F*XjjeXSLYIF=4NHrez9j;iF!0@ul_W8#UXw2J z2qh5T)6P*nAlsReITY?v7?+SPPR#d#`pRG)5Q+IU9(XAb`vLhmmQ1e#s?pjMqGb6Q z*d+oJ7A98Zo8!8>RsjTx@fO@Yd3L!c3=~U%`>6lO|PB)+LCmn`A@lg|)2~0W&b?g4s1g9cmJlbUeYBL4F zIaoGeeOrq9Z4&y= ztRNJ{Qfb#^AFb*h8&=^Ex>fkQBi38_UOs3VJH=&1C%P!!bWDx4KK*qyWsfGS;~J-1 zABXssnE*3YjQNL6trbjnyM^5i%2wA8)iVit7VcfD%ZhPSOY8TpYUQ)-tF(VFd+YiD zpPpIJFLp=#+rX?}e7V%7%dqPAUGJ$5i`PqtC4%AL%wAu#Bx|g|%8M8)JuYF@;?#2@3ChnPb3Z7fX|ah$AI(COblPH{YPq$r!=UVoc6e9QciS$)n4ha z^YZCs|H0z6o_xdQk^FPQG?vT>h6v*EH^1LYzlqdo34I<}95_EHnfNu5wu1Uy`Ff@{ zH4~w6hkMCpIt$~zF!$7w0~&sq+jn^Gekme)`7I^ftzLIGf!nk+f1GO5y>W@G*19EM-K_v&Odrd;7VTe)=b@yfD%8@|+!>!5DCDdX@V ztoahV;31(l#c{gtZeA}Mtml7B)mO&c;X~w?L@1=JS{le+*Rzl zRW;}7sd*=i!Rz=Y@^^r#WqX1W_H}69Jaq4s@AfhXU{H>G;WL=}I| zMOpob+8`IDiR3FNiC|M2(~6b1wRJG?-`*b1&nF!cw{x{=;g_OkDf5y!j@Z537fu#) zphk{6S7Tm)oWlZ&2M}Ig?29fF`dDN8!Q0(N5rgc=;d}QLIeR@xE;)R&i;=(t4@H)D zuS9DCTU3rVwM+92L~YwgE#d6JZ@?aSC5fptG!`8-nlJb-0Y88a7X7(@IVGR9#ycF^^-asB*ny+T$Q3^1SSHH zN?F^X5I!_{%DTDmhWYAENEWGxf)5R_IT3`Fg4{enA3qZx8t_Vuy*DZ$U3dVe*rcC2 z;K}qCWzX(dnIWG9OMlXyojjZVOeM>4-p1WRG@TU=ed?SQLL;<-FLO|@=0+L>2AW(= z$B!e_R3fahBG7Y(j-^&DX%S#1UUTwIRzymtc-|q1^i5_81;6a>T7E@jn&VQaDi>jk zo>s@#3P(leCo*?P)VSs;xw>Tn&O}QjU9X7{l!s^GPO2w5>h_lvG69Wfau!z^Hb~Lu z$h4%vMjDv9^2Uc_lrvn`PEN6fQ#iybKi;d{x9r`{rS3B47u73Qu4DQQlc2Jr0jz3U zcP0fX7D$%qS;r&UJlc%JBt;N>KBR0X*Y`-P@12%r@59q`yb?!6u1zz9Q7+FM;f#I~ zH4ji)Wn)Zb{G9;W}uF5-i5+ zF4f^K=A7Nj7jZtb_3P{#7B}*J=i^?WWih|ES!BG%`!(fA$B?aRMixswS$PZD*s7u_ zaNwHj5S(9GG@UPRcSmgy{h;A}vHh4=EDNRQ{spM;wS{lN2Ip;x4zc?{SX-vmh`ka> ze?0(eSKv))yd&~CF!@KfQ&w;u!9{S}4TxQjnWP=Ds^9az>D#|UpBHjeNU_awxBYi4st#OHp?^t?j>mJK~yOZ90C$^u5wRZ zon}5f|5zk(&edc1>LAZ(OFTx~+-g#2@~n9zXDfkxU0uvPA#m%Znc|gW=3iG7v$`0= zyAApj5|pw>hF%p0S%t%8BY!a7sX~MTM-9R8jpTQ<^lo15qGsNsiX*K<7Exu}Dgdv* zlZUAdSLE|+dHXM-0-RZ9rY>E!H$_#@(9QBqrk|1-RYYsLyX3qyURP;nD9b(!Eb#PYrAl| z)DQn6ysMx|_#p_rx}4kVGs>n~IcB9^%r-g)OlKp;t{>V2v|+Ovr0Z~5Os!U>a2^R= z+d($k=(H{odaZFCb3)hNO|>=)WS$lN_%QtNV8nQ*i&lcir>kdW`df+yXH0*M<@5h7 zU;J>M#ZvH*%NV*p=VN7h{3?^(`r)e?shH)*jJaE}MW#X}KYLa_EaHdCnO$8c%atn! zys$YaGtZ&`pzQ#-ggms07pk$kb$T(a5jLw0y9GNubL0~YQh1urdiK&3((74t@#rul zW$lCi(}rYko??!VzsJheTQdTXPUB{sBF;ASPd!{ZM~5s%!P|FKScKItbRHsFq@Whu zBt#VGwhGLhRO)c`qrK=16wmWlxo)Mv&b|#@7>1=L+D3d@bSsIvFB8ufQznpZq=kri? zj^cM^+s6r_rCuFd93>-~^69jq%Od=jTe~Uc4r*i|k<3U&YbtgBU-i?}VPKK6d+&Cj z8y!KbvFATeN1na}>0}^e6ih#ID~|=VS81gP|5^Oef{GOjEwC>TZGbX`MyP_Qyg`mW zv+r|Y_rN@4;5X;~V=cVcXG#Q)gi$W&)c>HlX|j1nL|!7kF!4r8PuqOWsCw=xlt7@W zJ{2A{iFc_P^FwWXDYz&V7g=Z8AW=9?NP3lnDV}xodP#cb^Ps@Zjr;5^Rdb?(xtM^FGV*De-9Deu*(x8wP0@RzoZ|2eInunEw zAkXtAVk6mJiZQT%I&?e)1?tg{viO1uBk38qQgs(1)Kz2+by*Al8^t)8QNfoEWCkNe zPVcUG+vBHjlk&>V;qln)y;)zYAe_37iSQ^-?{dV)nUE$y65c-5+MD@N7iszSkM*^m zL&zck7tR$;hS*5i#Lj^%eI^tACFDy=!nY_8U*{Au+{_Hp-^f5doUJ$R?j9X0GL-Q( zW^HZ~IxlyLrwP6gUR6ne&2lKVn5P(f1u^o$&S#(+2FxheLfZ0K5kb=+_(N8fBBJQ> z&yQtxPf%KkGPp}UsBr$Lm}`$w89icH{c{U0wJEGtcrVlHz-yzz-$s~8w8y)B>fjyl zoIUQ%oZ|RA{OjM>FHVj1=lAlR`)D6L9TIor5N;e7X8j`fGugsH(_9WjZX0JUv2|og zUH;1}+(`1qDNS$%yexL12ji5k(ZkJHi2ds$ zK)?@AKK~(QV|Q>f?VMe3TsUs)sKbBlTMbQk*=L4qmr~&i80LZ%pq^Tx~ zqIm=^VDidjC)?kHl#AxK1M%DAZuvL0emmSVWw(suPfv35g9%#)WzNG$^N#*X=gB?e zXk!Oe4>h-AFxLiyqxoTK=`rge)W5NE3uU-LXJcEnrgVSv$=T?n^-h4|ruD+j6;Je= z`j=^MAm43W{wv&BTYKDk?5%4Sf3sxFoyd2QSE8AEm>GcE3z*an7^AuM`Dfl7>Wu!r8fwx;n?=3**cCzfdT~1( zsS$XnCMCG`Hepi7bs5zq{P9%hGL2v(Pu$$y1&p+IJhyjAZeD+v*|+p_>252>-4WF^ zv@!6ns5eJ^ue6uwB97I>{*vUqJ@J%`fAe%`Bh99T(>~a6eh-VIdxB?j{1tOAhq7$~ zV&dzEU?+MP2Op_VJ`VNFAh9|bh4cw2bZg7c4F6^?LS1|2h|67Dm7mCExZ4+A#=)J$ z`Fk}aN-bf0?!TF%7>oEf%4CcA5b}j4(XsvI?Ac@}M>kmYz|>prq(Ws}8I5oyWSEk` zP@EV=qqDfS7~TRO)E0hWO@#_FRUSvjhx~bQyU)Lm=MByGXi)xdmGRM5MYXyvZ`!k2USDP`1gP4&! z_^K%wK^kXdx1>qMO%B?N&e0wk8(%V?$BtW$^AD&=6^^;MCIA5I~ymKxeiMO2VY_OLH*_BglU3P!6Oz5I z%xmu(*R}WfpR0cV$K&(xaj((az3+3*>-AhO;2~(4RGsvh70kv~*7M#~pz<7FgbbV? zD9d%d(w!nHlVw3b_Tb|PnoCOhwJID5h1ya{Y$nhAdg{r933tS)*N;!wFmvd^nK+YN z2D>4R-%~y3D>HN=H9I$$q<&N%XSin>;%Qr|)<_W&u^zmR?uv~n!=r|b;Agm@O23Z` zbA&frDe$clw4^;R6r3c#$ncK7<*5EscE7%?-w`RJF^h6h5ca`;j%)^1qbh+4-=o#x zNOpu1a$p?wqNVX;t<@E4^9}1=@q8s;2*h{{UBC(r1)dq@ilK6oC)ED~RBCWS`{6T> z(>CA{X9n1rN0HDvUx+E zwDg;g4IzZMyn$UM1AOdpI7yf*v{QvtWGc_6cUm#;&enNCy{eIEy^E^%t)1XV!K2j6N1a=qY3pu@C9X z)79!*i}JHq?I=lUsO+wHcx>WhP3?~MzOH4)ZNGyCboPY!~jg*x&&#V)NrM<{ovhp zmfK=V*OD5;K#Fc{S~iVcN{$zNXF9TDV)nPxpO=#y z2%YrE?xGgBDDN(qbQubOp&)ZQgI!_orT?8j!%La16czuW*>g!Rml08I%ZqD%%lEZg zf&uPTVgJ9EjrWvjv#$l2mY3f-u(RSLs4u&O#j_EK)-HReyPF>gr?6S-%va26PoU|y z7UqBZDU+e}VZFV6_gp4rSGKtp6GF}TgTBm`(Vh~nf0!zBn+PyR~sGI4{!Uu>_P~3z5 zf-o}yjC$D~g3<(*kfOLQ6WaRJsHZ9lPNfP1mx;Ir?ne>Ve0i}`&t zkk8}SsOe+_6%CI(4wGwQ zV=L2yyKU)-z#)1ucX!!}p)+Rg3SYWm-LvZ=k$l%bNtZOm(0ML9B!2wbM42Um?_Md{ znlO4A1+<84KvveUZfg7s-JY+O%Vpr)18@P66T{2An}72X;q)2htK| z2&a`6iv@J)CwUGr)G$*|o>k(;nzWdpKpEWa@1$Vs8Rr@NZJLP5%*8O&N83Ppna=n7 zbLad4a4PLt#plj>;76K`-cOIe2D&(Y=|%xZqUWB)57N(J6o4fBp&hCe7@cWk8^R*d z$5`u8^MHOeQ)a80au@}Wn59uum>KW7qk)VA;5glO{b+ghqZLsFJ9mW(37w6iGL#h= zzjEV-$c5+_5PIIz@0xj;AxzHO!E5YXMFh+$f8Z`~jWr=Ytr2yG5yeNzbdlmLNrVX^i96~Guj@IFLd|2cBN33+h_}!^=P^ey-$rV&O`AI zp-3aGTTSI&6nDw{03?nBRaUpKs9`4cWawLCFF&gR2|O*!?q~PP4=i5&hp)IO@C%T6 zplq(KZ1NyaeqKwGN*_3B``mWQ=(PI!eLRP_F+l>aj4$z=Jg#6_QNw47*T&Con_R3f ztGF>&)01L1sp8}%*mBRhc9_LMJ@e<_uL?o`)nu)tDV(BIScDi(Ct1LhAS>kJci5~h zb8at)2K(0m`Q!Ur>pa>?x)uEn_nBiqUm13po&?0tDyV!if`L;@1scFr*#}0_`U4p_fzDn1z=w z8YGD|0;j|ue{=kg_>qq>k{@VuE|fIbvv)fDs%dyRs+Nhp5<)D?F*edyoZQh&9)8U4 z7q;1#tz9#aYTJ|jQKr!>F|3&yoz%(yAd+`n(+iSff^Jrn)EDmMp`~fv)Z)DQ`N(w@VY-L`ss^ znAr2gvz68>GJON_e`2q)bEvQ}#!;))fRf~^a|1g4fP{W`YArcOz^ zOvB(0FOK!hSTV}uD#<~KBb71JP6lI!A&eb9f%JNHXwH(;uEvW4xY28b#^$z`)1O{MgHHfG91Q$a%2xtkc~F-H1o~W2KPdBL5jUz;6`X%sYrJ8+0u~s#0e1?tp?$< zE{NeF+zpur1p&{6CNjO=U=xSFy^7C`eyqD+N+|TepKxsX*xu)@{g;`4OtnEwd6AoL z-|0&gPwt*U6)^BG!96F>l^-iw^aV~3fk>zvT3}RP4HQ0?^RrJX!0MoW~#&0Qup)%MAzcD!xf6z0+9t5K;=vFBiA4W-9KUD5>cL0ApqV z0K1wKDBYl@2F|ITvoNWCDuSf|n(2N6<&Ez=-22Q9vW@oWz(~c&hRIq_N%JQ6zwbk7 z2FuQBb!~uoEZcof2^AHC@mHhM(+Efj=275)ueuvZ8FGVQ-!A1^A+M2-%u(`Q1Pr1U z)BOYTUj|VRh1e4m&+izDOa(#z%DA{iyh~>^_{|@#gVp*a&Q$;BO+Low7W~LQi z*j3AuE$~-))KF~F>)bG5rDm#Mv|uKyP1+0yZc*N)%!^kjJQ&7bT5{{DgX?~G)ADPA zi*mbp>0P&#N+)nt0uuk^Hvnz`tt_x(jm<4II{?Ad+D^^a01Kr?EOu(C`sz}ba8gZw zV7Qahi^dQ_sR8Ch|5y%9{M|;D=4EoF3+rS<-^uA^O(~-EReY&*ItJF?O=sj4AS?7? z5leE8A|!4nnl~zJ51p2a>JVU^E@AkAh3`Ff{Q&b(o@)bz(GpD-?N^cqH0ySC^k+OP zCl*Wb5k)E&p5pg#vta?ftAdq2^6af+W(wEJ3iF)D%8hjR!J7%{=`7UyL3SViQkk1y z!9J#7KJKXrW4l=KD?kdK%R)eLiyy&G=N*_0Dn7aYjx9quFBVyLaC*g8l3I|nj1#?4 z*(=S0nK_0wgMFcj9z(TTw-d{U>U;Skz%BUAbg4)q_R7kxn95eo+JO*%H!y7iG2E69 zt5r?c=TQr(nSZ&$vTN1@g5p*%s+2|f3tgNdQvDPUoAHoR(kGcST7ENW?0(;ac20NB zPP%w*0ptM0b9me0F4Z0wj@SN(45L@;qIl?7Yr|`qJXy3W46NJSIB;nm7hWj^t|4n3ZkS_c@r!9>a2XC@f?bMWebX0qV}O`N=Q+vgb7#M|cZeYmQ`63@JITHb^3 zQJE;ap@P_(;RRo+>^ltOqEPw>!k@$FsdP(R5nZqqtsuYA97#t-wGgXQlV`8@Ns)ErEl1UG1-Xh4M>6}yg#wGEIbX{? z6m4h~2Uw}?T8?;ie4>umXiqEc(kFIt%kS9JI2EWRA&`wzJe~>EaD`#bRc7B7ocJWp zGdp4ZN`eN$ar@uvtz+TsR0AP#HT4m1T}sk)>F7fbh68IlJ8y30k$ ztO;X{{lalo&rBsyZ1IPYF4wEUb{5Z9wh`>dQ)44fvRfsXR>1s+rh>_zJ`D3(O=0F4 zThCjtNw<$&GUnK83^r2!5>-CRI?q=lyo&gTpSIjXgYP;SF0mF0!s(d&0LL6VzqnGh zLjHWtaVc9C@ls7!`><(2`?MVF4qfb9bj(}yGl=i@(;TAFsOKhu3)ID(?kjdas+c3s zwPNZtXT3BL|1famIlVF${H#8@RRv?plR7)s5~Pp%?v*0M+hHns zMK4Ngns0V`x{AjZPM_o!-}Zc%NDAe+LZOh2M`2#aUFXMI%Iy6eNA$%SUwq%y6tEZcI!;~u3pVl*E*Irqt} zZKHHEQht%(-Qdv14qM%!mxa`7$N6_dbO@c+!{zyx!{%34;686xWZq)aWZGZcH1Qwy zy($;~hXY%QmB#$<(vhWF%RpNGbM~d>yPp13a`K{Lw5xjG#Y5JoO3<$wdX=R5rJEb_ zr&6)(1ZZ<$v}4Kzq2oH$!lCMve-zj(T_(j7S19f#zS1AU3bw77XS+*nF6=l#dkgPX z3%R=0#W#I7U<-{;c*(c4;R)Gj@L=W%t6Q)Cc<&N>t^9f|<&Q{Zl;(}6L68q+^hq#gfkd`x<*z<+737UmHes@g07_k10gEsJwsll^H z&L2v_=*zWArK&l%HB z#nI~!T{rKy);YRDD)+CoQ~Ee48Tujx;B8*MkGu z!JPOO=%b(#<5b3#{D2mJa1RgQUx5><$p0BS;OSG5@RzpV3z_t6JsHLk|R?1>f3T)FD^j|_Mvps z2`VVt_dWBtIw#ja8P)@vnQ=S(cIe`RUyoJFjkgC|f`Rh~w{L5nM~rfq*IxeWodPv^ zuHuUX8#lv5)1q7b7jByw)p7TP4x_*XYq^(wK5P|Igb?3gRY&P%N#G#&zZGQ$q3axnVEbw z&GQGagXB0nRl)^7Y@h6U1BRl3u`pYXa~Wx!UT9y4Y5F?1g|>U1g8b7Q!Cd~lzobX) z02@X$v$LW=4RHMgvM1&DA9LN1E%gl_GBVGf+?omIDj>{+?aNQ!d{I&6!GJ7scD78c z3}O7%nG7Z=iGooQ{?_?lqzV7?yM4Zk-TE7~63u>}Bps2D)HvrHq|-m|T~*{SKD5V= zw(E2)5xeHWF*$!y2ZFCDOwsJu<}5^=7+2$Z0D^^($P}+zY9F?6{WG+h^_o+BDP}rB z>y^Q~cV9>-tmW_Q2~c5WHb9 zSWxlqs#!};xQ%&?mchE$K>1bklZEE7esnEpt}{7I#gVeJ>F+%r(o?z{uNB%VgTOEV zskU_P!g@Ibxjnn?{VnM}K80Loq&rc)EVh}5Oa(xJ!SQ%R`8-E}!>Tgi)d|%Anc>u6 zkSy>1@*#_*;by&WEkCn!aqIN1+fQZJ_UkO-v&;NSuFdH24cF##p1qIwl!5;Xd(U3& z9tZ`X&F1LxYW#Gmk-68slgU}YKc>sOtw}FaKmIP*7i_M~Jh#zv3Rul^lc~HdUs`}+ z-I8MQ4$wuEa*Eg*hk%ugh#Ha+Q#5EGW6wi9MX^nVuwwDz!=Em6^kv7_+w70ZYn=#$93?-3souFso%KPoO>^#rYJJq2h%;_dn8qHjN zg!9$EEB`MNC?R?C$2dXg4FJOyb}|+W7b2<$pR}odvGOdRgIT5ar3INQUtse% zP4;4#T{{+a7Mkf*$4hJg5+%CToFwVqH)HjD5V=1%_yUNyX=|&4P1YK=loHL~zcT4h zK`1y2m<5{ON#_)^3=yeg;WfM+p=(>Y&@$J&=OC9!BnoEthU9?{94ODCWXgk#z*%H^ zfWu+5tH?>Z|E^ufIDYk#{NI2lq)yK#(iyl?c?NJTG6BM2Wj~yQw#l)>*zBM6i0sI% zoc!J1ZjU8dT&xs0mtE$-|rs87sLW3<`em zZVz~|#$`<@v<~s^Jxg4@___3JlJDqMs;sFJ)7@vI_Yf$Rk@ZD=s5_Wsf~UF&Go7GNRJM-IV<^S)dR+Qx!}?$tUbrr`UK>HCxzqeCoFny4Lqy zwcTPYInI?Vl-1VrQM7+*88UgeAbEm_znr=L3~Fy1%IX!MFXcxlB3v^vTV&5~fRlzn z3dqO-!wQDFSG>$FMEYq>dP(7aXG31}!%bvO&M)_Xu$8!$!Ov}U+>IrBdY--8%MC*t zBToqHmIqg*3Tv!v;(z7`v5lgR>@mm)rl%}Rit%b#C4W=+e26XcXPtN|=R2ZCHJW@S zdLkjHS9N~f?Q13@b#&~~nKwZUUHtf3%?RUv{YUW_a)r#Qc~Sst!c0|y)A=?e(@qkQ zeKW~R7NdjBwub59+BRUnf790yDUm1r?)u+*YtDc@^d6u4l%r!VFG2$3jUwjM^SFMP z-Y;8kLC(K%#Ayq2Lk5+zYTuX+XLu`G%i7Qew(Od1nBpDE(i=YwuWD-%P(8EM1Fi1; z9Ch&R(zm1rKTNu2C#_F5&giqo*;o#RwoLU{n$OhLS%SyKsK7-<$;xBH93^!F!D8DK zWnnfNz!?u)XAY+OLh=aDoS&Y|sTw7Pdvvw0_b7NL?8#GtGIvN#pYJ04cvWZ|2D;WF zLI^u`T3-|8);5nM3#bLoGaL?aZ}w>JtGAFPSbsY#yi_q>P8k%wermybnSPQS?7Me? zpT~j4Wc`vHP%;;Z1ctBAf3x*oW~mt27OXpGSFth`iPp6K{a;^2-eU{Dq$HmOx+m|= z{Z#|Y*b9R9*#KR1^9n+Oaqy;DW5`~oqk{JKqleC_jpIj2TCh{9Jn(Ub(L7lT2CtX{ zA17NK4MGjie&;sl5w_wFZPkM1y0L*2y~8s)!gNSi1AsBDf-m~szqjJV`?tlqe~T@A z%Kfbgi+ne3CG)u^yI%yVZmr(clr#*fT_BJd1ZqW}W;q`A?7&JT;&W~1hg_2P&m4a*w z5WCk0>hNX>{~l2fdbg68QiAgGgZ!Z#XN@jxZUE=rPofeU=^ZA&!*IGRC!*ftuf^|inmXuq+069q0+D7E+gK0ZR zNyfCbU^4W*TOQX(7%`pMO^POzsEw98V3Ssgh16|meu4c|i-A2`WC^+M+Cvf%rNzR< zU;8zO<8(8#HO#eVK{>LyjMX54UMZS1)Vn{3Qcpc}eHpqt@^02hi75Mk2BYNGOuQhm zbexz{F^5#S)Y`_4hzhsXE$({jdD3~Y62a56nm(;ZjYf>J_ri{Fznq_HFsT5-b}4R@ zHq8wit)PAU=;6IHui4Gj8()u_J+1O9?oXvDrr($ip|BZK zM?I{2Z4pvGiC(<|ZR^-{!3uWzErc~f2kfrJT>EJmd+8pyeth*%YMCYh!?x^*ebLfd zjeq&+mTq7sxFW$uWvJ7!v{P5?3xLc#H*~(ME>dgSbU!tPf6XwS%COo(k6F>~bcJH+ z6PGw&w{IJ&T~Er?1_YMIQMyLA_U1fQAv1BTq9oK3gmR+th>grOdbBQoXW>WgDeXz9gP8@#Ngmcix_T%Z3{c$@N%<8QIKbc4RfCYaB6 z9?{y$GIEj!ERH75y5#~l#j$T>p$k>OFy$+N=MMaXT)krOf&U^ZIO0LLc0%%lQOteb zFjpT^Xr?`8JTPY&XI(;5@slYtLh8%&iM-CZ3LDRr;!F`85G?qIHehYP%7@?i*r+b} z*Pl%3?Ke{Sg)boxPux9PWL#p+?v3G;-$4VM2hJLitE(TjhEK%LP?i8qUBIp0N6vnb zy0r9XaOEbM;nn~<6;iHERPomXv(`FsnWpQ$xR$8hO9TKmQF0Gx+=k#Ql2A%@Cs5@h zS&Vy%u`|A{6XpYt2~XUB;oB$?na^soJ3aXZ-rjXEzUOf{$0>8Vqg zEzkBce_Yz*%zK37LE;s9`f*VnC`V^L738~ow7CAuLA!6y_we&4tJ2aZ8?Sty3g|_p zgc3ufnB?{$lqs(eLe>spT2~0Yj_Rw9C}=qz-KA(i`1e0@A96{Rht=n;xn`W}c%pVq z8oCjApIRMif&n~y-VW*SFE5HpTk5-A z!Mgz_m0@Py8mB)@6Ygjta|kL=r=8re+Qg6Yos*dW7h*Vh0|`q z(hUIP$?o`lznGJ+UM*5ponBQ~s??L`i&sKfUg9lz3CvRPI$~m~`olsr1xWh?de1;Z z+fg#{cJdUy%4bOM>bKD}xHY(6+LT1RYKqb!VBw0Ahk4e!0#6qXbP_VaqiaBgLZSn`Sq*QXR6V*23vDA(J;BPBT?QaA`DwdJRt*pY)FyuRTGU#-7w?1# zBgbleIb>h$2_u9uAX)llvPzvcGeb4NLI6)_7)Y;P)=JWKIF8-s1~)ATy={r#=?Kgj z9FF6!4706ax)>NXUE;es(g8W~U+HCOpu!YAKh_eq?T!n0@Wi=9WY2<<+4&%d|AYGO zq^qgx&%pDQvDteW3s74i%_mgU^n4Ym)L2wnnbqGCdrQ&?c0gI@_E5>_gE~p%WKRzf zIxhY}`R~_#KzQ&N<{{_}l&|DI&9GI?|pfP!_&-Ra>cJ=A?;Y_>5JaMq?dqtG8iSi?`4xY8l)@K>4Oov-q|+)GQ6^yyxZ9M z19Fy0;=pi?VL=$S7T8?$?W)LLW4FB^72a@^LP@UCY%NTSTWckO@*QFn7gNli7O`R> zD!Y_d&VT{|%xV6h4$oBZxUhG(v`AI&zI9|6=$4Gg!8SPyy{HC*hlgFS3?|SR(9CX0 zA+51|l)4z}?enzS-3GK?vy}4}nb6NbNQp+W0JtqpoL#l?rWq+g5w78K3|*?IWVl4G zqbKu_VelY0`=@4n=+L@S>>t=Y$6q*)FEj-#FKPf(4h$PM0oCcZ-AaFL5Ey6z8!`PU z%;RcY!_}|iS<$mM-fu_n=E?I{JGH$Ji7$N9x%%m`_@Zph{Kp|ivv{WCYRD)@==6J1 z4;lHXdvg<7jUbtPdmkfMQC;WNY>|W6x^&JytM(MEbH*21d_Pj{0=mC!z)An{bS6n& zbK)}#b0xXXq`W~>D9qrOk4)N=Uv#ooT}d^M9fVpc0__lEV%BbRGBi{1B1S4BIYK?Xyj z5bB`FQ>HE`f1%(k2?la&{k~n(^JOXoV26lhL=H|e{LFuMU17bx*}L={6Z+sLtM_!M zM*t(q>?rly!H~$`7h}&$*pGvvbdnIu_gmGK?)-Hkz&NK;={lFL6DYVIR?#C5%%4M4 zgQ-`}N_IADSD{F%M}9Y~rDz_+q{A^HNh_r#(OrzBVBq1>6UtNvPMw*I=+zi;y1yIJ z$=5H;^%>m-C83<>zfWS~&{xWDW3i`LjOIUp&4fMX#v;^&w;bzaJJ-Sn*`)=I#Nv}# zP6bVe)6ju7k30~6-TQR42K$=gE!Zmyn&Mpi$loRZ1to8XLd&7&l}2J zM_0BuGtey=_^EKzRL*-E!z#XqL2U7-)k6RC3I#a zWgzITN191QA5q~GTzE3?a@B$690uSRt{H-j|Igj?wveB)*p1np=e_}XGqz=R}k#lhMU;(9nO^5sJ9(%b>L-Yfevo(CckRzRE=@g_!uy5g| zZ6B`NO(fZ`$^OLMR!7Jv-F6*p|92l6Dval zM!0L5%5ihFRkqKW5HeIq$e)Bc+>i(Q;7>H^+tJf*QTvR#0!Rt+#qwYq)57Q9E}*^3 z*f!8UO@yRT!5dAr|ff?R<<3)IDKX{DZ0F~-LPDpX_n zfqCw?hxNbO=?QxGv~+$(uf#qfqJs*tLn^7uWCFQ~gQR3or90vwT*dGGf6tY6QMudM zXRZSA7YRjTQ%Ex3(;4G|<-z!(J3x7M$ICr-aw|!-!oUn)7tz@zO=SHOWYNtwU@&oo z^R=;~_Y(Y!VBNxpN75KZuV@C$+`(L39W~~$ADrE zTva z*}%F<4=6JU7}IXNg`@QTR5v666dXa6Usk#l#%Y22DY5$C@2$zIcmL+iljS=js>xe2}t;~h2WyDea#+* zsO?TV``PKcm~=KD3}sw`FOXm(Z0j1!6-&R<`(VSvT~yq_?oTWidbU%p`q8tt z=1SZ3STa&E()SJp)?)l$wub4}s-h4`hf(x$iCG+fLLz;mrhTePHM%wN`vJm^*IanKlLPE z%{qDE*ZD%t=RbX?uWORXTUzewB%^TEV%qR2#vLmn2WFh@i{sY_`hq1SOYFkJNszC} z3(!szu;}a)D{H+l3H=A#L_R<`noZk^gqHi|rT`~@WUdXw*0MyOCvAQG39$i;LI2{;*0`W`WxEF{qZ67>a3Z|%s*~QYIem2eBl>4Uw zA0aqNz{}isF1_#bcT;dO6FBFV=oV}Bg^e3;mqKpG3mB{}6lY4L%@JqoTQ@9kkP~a2pSOL!Zj`=gl5r(_L+pU^C#jdeePCtwvjM*8~y$*a9+u)m^$vrn{?{m%2l+#t17_60Ol*0J}`zVTgp2OC<7T-(Jo zkuJi-Ibdbk2jfMr8Jt^HmNWYQTPb70#U+N$(ik||NCM@&n!IECr*ZjU-{>3ncBeZ2 zTYN92|07rYqE+K<`65c;pR}eYK}S_y?K5;jycF>{;+9EcHol8apJ=aBR~xB2RT=^8 zcsrF|#yyp&tN#$g_d*9LXE%>DQQb;GB(hYgf2-fQ@(I6^c-NXE_%icjdVLy(cvbP zP=J%jT;m8~U9camd3sr=LUr?vQ22Vz1nwK(*)82&`FKH*B@m|qCF^!q_Ns)EbW899 z0sNVDEpB|P^=?@6{ms_9r%5?D#m{@ICn1iP-jW+<*W%`vDt$jkt{No&%hwbA0d2!4(9fMk`FqMR- zPLVp%_g7ZldxgANPDj(#W+p zdkJwnko7xF)SD&xu=l2$d9mETOea$^@ASIp@_Y-nW+|3flBrEm;5Xfdllh(7y@ zCts-wCX&iO4CQ`$?l_Nz6nsiK4vh!8S89UeJbOXJBlf>SCVb55JcNcR4qy-E`pPb2 zI||5&`Ixx1qG+`Wiw&sG1{j|TgngXfruvh57MNpay_JYoR8gKpyiZV(bs_~x8$2{4|gjP-4Ag8m-`7nZ2GGA3i?^r`CJTvIb z%78@|Ca$ya#{7Ab8TNrdLuaA34dL;pfrzOD^BuU0OnTu3ARQzaqGgUbbWucSm~Hm5lz^uZ&!LqZUU z{1+;EUTBlJPk#e(p@syj`TzeR*>&Wq<%24spLuc9-mY)6qVr^Bo*1TPcsfmmaHtZf55S?fQqxg5i3hT_+&sS0 zgWc{+8HFE4_=XoEk?30bID_nZi!oQwi1#<2jqvu)6gKcl;8^2usAwY}g}=lH+|##4 zh*9>`-d*tnK_#sP+pN$?g5L!@O}tRl1z^DWU0j1|#DIV|!j~VzIH+yi*6E;9|CO|+ z*NnP6o(q8VexjM&Q=?b_MFqG~(#-S3+CI^kL_0UfLNz}hc zK=#jHw;tGmKtlE`asW{DL9E92BN>y@SFDJ>frnb)dtfDdxD4r$$uef%Xm1ZNp<#Xh zY`gFmgYtkhAa!wM5mC@n*W(+oe}&)aWQV1AW7_=jkm%UvrhZx!bn0xR$EPXY1QU;V zLU?Z;zgrGuUt6q3O+k25>HkA;`D~-r#$S7(WUD40+zJHc&(agf{%d0a6?$EUR(Hs` zM3K3_*x93sk7Yl^SdD_{Zxt0MCX=)R2P41wEg7)$Lzvt)>0>;lZ=EKgfP0Yy6bzC(i(J&L*xn7SJjKc`t$6aYMD|WlaOO^gZ;U@%SrJ$PnSv z4$4gV-Y(e)cIE;{({i@0_2~Y%$Kh|89E)lR7tr{`7tAVOEDgw+YeniXu;)qNz*>a5 zLZ;o6;l5pekTih5T#A%jz_a6&WQz9N0^OnQwMXEOI`K})Og~(T53Ff{E_!jvV2( zaqQ{;(`7X+d{A@su1Ikvs5S`-24Lm`7X*4&@Y0#kixpf31*Y5^=BK;&l;61C{fayN) zYyUXAJz4cB%>o}pIfI1yc!~!zUM7gozm?A9e@~^-Ki1K?e+NKzfSqq49)GDEt}9yo z0l0QnN&z4@?9n#r=BDWa8x?qSWR0?li|fJ+7w7z4-6mB@M8dq_^Q4FK0=Bb0D}~oR zq#V_G_yJ}GfVHi+gJl<+KKFhahW*hETt13AS)=*HWi z%AQ(lXJ-Y*IzEG`bmMpC3d)V+2+p62C~DmDe+&ZN8&5En2Xf6I*)Aqm^b@kDD*Ic7 zjue(?*f2b*8{V?)s{Xgm{?qZELqAFtX!2%<{nWiDQ02pdoW zLMhHX#s^vE5n^RF@~mK@)n{>w&6Npr##?8xqjCG%gj1i7(@3W4!NbPYmb$+>sqBT? z=aWo15WBr%iJ|3hJ43FGQfBW(+sU$|kjhdMGo?s8S;Ld-WMJfIxCk%_Or`HihBh<@ z$^M;n&Yx{k&TycsDjhbU28kxH*(yUQ#~e+!aeVau%_*8swki*9WoM)*3J(8INNivV zUfTTOGv3l8Ax(riXT7f|HI+ra_0NQBkoz)N8_z+bxc+!}f(0S^DVxYiVA|bn0+(jt zZ}1xO3a*r66?Ru_*~m$7W@56NI(Ft&{KpJ)S^~-w%(bi5oS}2f$!zO#M(gqL8(|GY zM`yzAhHsENm|NSY{@rdS3sOqn>OfdUk>H2oH9!r${rxa*KqX)zD}_I8R!F5L_-&0} zeC+EwCnKHz?h?=_ada#bB(Bd5G1q7!M{vi2(H6zAbmI#uKLDJx6qy&OKs;)uJtBmdw)4x_Kdd>}DO}yK)+%Ouo9EueZIuypWIKjL5cifKQRjlL%c}cTwlwfH zhLFX}s$SwL`8hAugBO(~scU~cS#oT(+j)(y0hChe_shC*wk>)2=bKAuKowkCK8X57YxN@N%ecYppFfYQ)*VnRo0p1Fn8c zPAF~)dsS*%9bVOh)-9TLlLtGEakOYjL^Xv}iVA|0U=?$cZ|{xf=K!JEXzJK7*AzM? z#MhrYfzOn@X!=H-#hYo_8*LBNyGm6xwB6%q?-CtJu<+pGmhwDZ*O$q6rAXL5u(f-oddf*;i`UGE1lDUgkzptK{5XC9Oo8zblHaVIduX{)W`3I3W6Y4 z=8l%`=*ak`mS02ErN!zT;{6uX30sjhKISD3HwZ@g>}LXY;1UkbR`q`dsUAd`EC|GW zmb;&FgrMEzB0v>MOP)3Qnttn}^g3;mU&5GHVT{r2fg-<7v%ge8U8o=I7Ks&>|vHPJz%c$b~cRleQZe0BZN z-%5i$9GkOFaV(@0Z0LW^wS3#nX4C zI{0Fa+fBE%DCYh|)&Ir`Ij8|x_ftGGh02zLc&nfC>Af33?6uj&$;bakbHc#Sr#W6c%EnplqP^v&u6_?hJR*b6$jMn-T;qYKGtWhevc_@yZ6+PJ3(zml^a0{p9A_zanz!`aBf z&rr(Oi5@nawI(wNt*z&~buT+T{4S!yzA*FZ_t1v9=rfjFFoaZ;nuW(Zvd_-|E~!uPsBc%C*)=5G+~j z(g5Ar*lYq$Mh9l;@2f|<0H6oDH69GXY{s(s|I86U&QEc3T2~*QZs{_ z=XWsT*))W%kp1TBE^>!MZ8AK3StW@PYq5dXF`1oM&G&W!(8m>ZFCp~lYt!7?JNvU# zm{;ck5s2*zYI(jbR>pZm6%q^826IZWuZHPZU0(?2*G$f!9xPO^c#hTIRFX_+=r4}V ztY_%rn9nph!aQ-@@2`G-80eZol)W?9^-4Frqd?F5JtslV`=N9aV#ycqx>*w>A1fMI zErDH; z5;FBTQ}i8F;O0o6a(Hs7?W0WZo$q5sny31=3BON5W-8HE{bPoV@96bA=Xwzh1MsC2 zRXxK0z;^^F@8)Va9k9f;>Yl@2TVk?SI~Oz~&8%bKK8s96Q+rLTMTyq`*)lSbk}Ks( z8@U{b!}+RCw-CfC`Di1T5aPI-#(RSojc}XX&VQxMff7rjd6oft<~7zT4p19>tr#EV zT5jqK4%@%h1~boA%5ya99MfurLF>g<|rgz0Do}769SBp3EOdJ-!i3Bmum^p2gr<E9es zD*wh3_&S=Ph}aK!4FezB)Cnl0RgA4cWv_)B6i$V$a#XkqIy_aHCLxS2=r-@+^KIvc z+Zm~VfJ%^BWW%CRkB|NB-8>X!F&+_OXzq=w-u?JQIQR%+h4_J58+*#eM)E+$3~_7! zn@?kWA8qKO$lwK~kMONDcU3j1TC0U?VXn@!Oo&@wA?zwB>Dl`58m7jZzer4sUuq2R zdX%bE2(e+8(ERWGX_sbs#1-!!`+SfHe1U;0i2rv5%$z%u{MjUqA9kSor7$IhG<@86 z&*f=s58h>BbhVunbZW=Zw4IErO(SA?lHEzEoWKAa`1o!>WH_$gqZH%%IMLy+PiU)0 zVzf9Ji{FDNfHeCNU7*3x?;Cz_&~IDTIW!`aa1b(acN023HHP6Oh(?!EiH7ak;1ozj6RXpOmnA;n(uZkP|7895uZ$Q7tjGB6G|iW` z;hW8mQ%BpVvkRvh8LKMK!(i@nFj^;jAEMmgNx%#NoL)k``@=*-&|AxHY3>eM1o2>k zHPY6!Ku|f}GM;L@bnS{{({xd4dFK^kDWvP+Q_%Xh$hzIAEs1|l#$h~Fm~Pl|lZvEA zfQH<@O9-(y>#5;I`{YSJHC)8F5J^pm~V3#7_c4Z;hk;ZDy=S^hEC;& z2SX<97++^B-QI0W&_XaXbM|gmSXfGq$^DTDbo_Ikiy?wc2f$`&T|+)Zz!L$xRTf-?Rq~$l=AXgKEnbzm3~L ze9-r`MG9PMSqw}sq@Bg2_@0^ex5Q$~u`Hg8>4o5pAGVbF`j2|jx#>)ronHc(Vu&lm|5 zNP&;Dw)blCo;2cpd-Q?M#O)_|GdEORbD!MCRqa`Tcau;-=JhVL3Wub8SoZcybg^g* z3bmwy`FiHXgwo0hv(d6Rj36e5*D8)wa`XkwGpo!1L?BV^!JAi3DLDR0s?siNVIf@; zgG?mytddAkwY7eFHt zNsHVd`A&LEm-h>$j#}yVc{tK7x8su}@6xLU-Leh+AkK`C6H48BizsBxDR!q%9bemp zkRY7P%@Q0UCCN>cYP^u8n01Zi64A;<-}1XJpg2xWXhtAkKjz& z9`*U&x-0wDhqAe{n~l10i;9Hv)rmgt<^_3(>MK;9)aIKjucH|Y+I03AhYYEzIL~jN zJc8Ml;A7KaA@y(D^Q56MSpQE>X@{lot1MPZph9mk7#eiC`!mq9t2{9)1myLB0RSSw zh)7QYZ*o4#z{_?a*G}X9R+|L3$-`x8L1&D77t!a*zN1y5YxuAD+?y)mBQtt4bLAAF z*EX2za>aQd<6%o7({Iqs!mC6d*T)Wa|9iqFK12dK&RTBY3qO6EZGZkx+gTA8s2guO zcWO8~{N1uP)g7ni*>batjABd0N3C)us4LN2@FDcSMgJQ0OYU~d(g9=kHa z$6r{WqvNtK!bk>H(iHCv%Yyl)Q>Ggy1`^mDtKV#MxjB<=WMn78*~a)+&$KK%h`a-U2MCKzArqmXYs;W_f6f3NabEjCtO;;Xp{dJ`wQUg zij+fXv=^$7Ff4ohj-$CoJsK|U_b%1lEqW`rzgR=5VVMmN8QvPhix)r=$NT+)nqTL6 z&YDJ{VT0U#UL7uVlx{2~=|w3YD>2)Oojr0m;N7rmmV(bA4)&j((>?~FS@d{CO zl_>!6mQ`@#>Pr6pRqeAwP1cRnf3797?0*o0>%K!-G`!aC6|DyHH`mZ5EJQNrG)nubGpWWtVWix1!CF4H+3*`F_winh-HYm^s8Y>^OduX4iBL_^_88f!ZXA| z9lkf`!lC1X!62P*>c1D^vBBa5+cdky=6A7yIcs;g#(1R&wpkb)S{_Yb zw66>}kn^H;o++2z<^yJ`MC1q0Qa2*0N5^HxCm^2#7bczqq|wB=;J@^4$nv@|r)Bb} z!3OoK!=KjQVad_4h4cMgf`#;*?Szfj6cn{eqVv$tPr1R~1l)Mc+kgNIheF!iSod38 zd41Qdjxu$ILUQtR!BbLY*0lbD@el#ua*H;@ez&{Ao@qd}(Ihmen<9~XoCzGYaCEJt zbXtHN{dF2w?RHW(y zVNzv~$#=TfMJX+SXXsybc%y@au)HAm*_BJnrg7g33 zq--Em;Uw8nz@G=_sltVLYF5D4px~rp>3THXpKRZB`%i%>znuP<$Y^0S>e}sSnz7O1 zdq#B^leRR5Ks(OeZD}2A`vWhIh19t#)@ysR@blio&@h*-*l)rYZ(73L1;-t~GMSwK zmvx@JoLMkCFwTscYP^`6D8{E7#lk=@04(|>$t%i9RI%eqVvo;TG8dTX5I+V=!6q;)2TGvyf=IgG^twoF^v9;HXi|8n2t2Wo&6BpBhq0H0>8sF(Km9)MVgupRJO$(MtUYO zlVm+_UjcCFIuJ;sF|~PYs>3zrLm$F=Sy3AiB$#qA3AD6Up^%;WleZdVSff^_sKZg@7y>nvToF)N8 zoC2xV>(?Sn)Qb22mpyfJ77wo6))J26W@ZN&Y;ew!xcbQdSN`rVD^kBdof=MnMsl)uBRBRJY5Vq8ha@ONJ= zso=f($^I}i=otYEAH?6Su8fh$)8?(j6Wq$h8rAK%QA|+b9X zy*gPVc40?fOgG$(I-=Q>4>s%y?jWU@zPitO*96s?F^avlibI|GBu|*}F$wFw4ud4s zywkQDT4x1oL26~!hQ~8bnYTtLoWx^s*KS&tQYoYyH;=9ZzS`laz^0?05^zDX=MU3_ z)xe(^QY8|BEHeWQZ0K8sUCmZKeZ#%HwFirB%rs-()#6DF$x}%wwUb3}(@!l6pKkus zkbLlm2_>?(qEa>m;u}HO;haEM%AcDCDYl_pSGtm!`AJA0Ehzim5{O2#yg5S)a( z)vv9Yxl`#B1k&_sY0}KnpIYs1h{L{_3loAQ60{?nwh_=)}t~M zr2lpqEEu;~bQgrcm?*F14G{)FJ?{qh~tOf6BlRbal5si@030XH~v>l*W` z7|^+LJdJ)6$b0(5Dd_j^OJ?0SRbF#VH~Dv3J^=aF4y_?n`X^t#DpFB(X=)dL@Ki+K zqe|qR)@8A(P5*?j1K>E|Zx9r*|6|2-ItPz-_8=2lc zwn0o(J+X0a@jRcwm``RuD>DB4wrD!ZDX=F5`PrtKAQ+owuiZL|dJ+NG!4 z?QtP!^5=G-y!0CxX6(~|VRoNi9@zYT`&hAs-A%GA%YJ#A{QgGy4&MvaYJrW(l3IMR z_c#h}R>KzKX9uEy41UrmS0dVeA=GS za3)TMdFTJC6{3_BL)H##7!tou8~UbYM8kbZ>zguvy1-}<_XLmDvzYP*#CV?Qm+BA= z-?zQD9wQ;Hl0I{12<_tE$5yU?Ezh%oTl*1% zi3kVpx30OQ4$^AaTCuc}VJF4;%~1#XTlmW07RUkMTH_Na~_4PPahG4yHR5kZ%Zs+YmwIQ~?W^_vy@U%~WCK7KFhQ7|eS!Urmp1U%L;- z?iC*{7=$cY#g>%1p`^Tn5J8lq`WZ@KeZ=|$j5)+lz2)M2@wgIM4Tm=V#-_|OWGctw8eh-PU$H-TvHAl6o6gF?jjS(;2@7N*F9L3p_UKU zP1iM1BjWOVnNu@T>zk~M$EvNLl$|@df%Z3YB5pJ(ST@;*dNF$DCO;nh=*ZL0toh4C zgh_1P*M}H$n8EhUA`8l#|D6uN%NlTQ^v!jTD09E+1u2o_OjM9CMF7PJ4g;xai@kl& zM34Nx1grC$rgeOJ?8j<>bllY1ZAK;DzGoGDxAf2#axjuZTnL7PY_sumG zKMRw;y2ZpQ&mF@mFBxsYC&bPae6NivLG5W91yQ(Q(hVXy!Px1qZG7gLo&|fp^{ZeXR?kLx^=1UCPP(&Bev_cL&mUm>px^3U_YW2YrKANL(Nmz@Az$tS}G0w25zEf zEbU#}2ixYeh|erBlOD)Do3{Fd={=jRF}7oWN9aFzIs1j)l8l3ck^g&<94o38Cq_gu zU$19mELb-?**VKg2IWLX$hl?inSgHWq8XXt z7J^c9c4pjO zIps#aj8H!Csz|igO_z&v=lA{=FXEL)=c(7mLSpX>%zHp&Vd=K~(xwTHp1AZ{Xc`7(X#*1%BjJ3)stD<=5@#O=3BKuMKkXgcR#7JpFY1fqdM_I zAyu2PNyE7{TGdu>4Y_J4H=JHRbtk0~{ON17WHlRJ3bVe-B3C4w&|);gKKxbz=Md)01ElzMTqGl4qpHvhYheB_*f z-}1m_D`6uNO~1p(5YKdwY{_=@`^RLe5y|-};aGgCD)pGiII7!V14#}2qL^a;{@y!* z10Nz-lMoj6p0sDFJA92w)0rRK5EK~h(OA2*Y%mJhGukB3bZlrCSS|gQHk7JmQe_L$NdtM(|5xIw-unZp(|>|&Cs#66c6kp>F?kT;YC0Fh9<>*IqVzkwon^^(BMo^AA5h?odO7SvZUt=TaKR3FTAktqZK#Whr?UsN?S;86Rn=jjJ zeWGsygEMwMrXfbtSTe$kHGDu?aga2k3=J*v49bPluF@-aj>1x#O7dpk_hw&B6eN^Z zhClo%XZR8%Q*bgi^p6e~DewQLL4;dw8^{(>tB=*V3IWyz=Xk?pCs#`s6voUr{^imD z6iCO_c1z1#R%)Ab#Di#(WcQj06}Nh&?i|GrxdcQg(X6o;+XjhksSHu|X#l=*=8vJo zgA`M%pIi7cA{m>f z!K%#dd>EMbX=c1dn~#BR&u;?t5tDD8`wMz0Ys(uG`1!^2ab|4bBhP;)*J-Oq>4D&i zTUDOS?^kA$#iC?M_El&x7bN!-9^<8;B3BcRJL|X;KUwvC6GP3Swf0hGX|!{rlD*bY z%9kejM)wj#91fG1q$l35IVIH4FFhrE=6EIK>&ZE7E6H{1@81x;H0}nwY}{^<{*VR6 zzgYw*qAsV2=ZCcKGHUIzA6ab4XO?=sV9NQatd{JcMB?KKN#8nfU$bk+S|+6$SH(G> z?%e?2v`u|8^oSY>1Myp9X-k%jt)8#t^3Eb8=G%aqXImN5S|Iz`nH%rq4Tq52AChC~ zd0JWpo-Fm98q9esh8%`MUMw7}jiVeRt2A4VAY6xpLyD0N;&T%EIf=9spH)?tYLSWq zLQ-_^W;k6xhz_*XYc~F@jXMT{?2|O>Wc?hD1GCRHlGs!LTXW_Ggq|W@%+k*P8`p6D z0*GJqIg{yo*;DUump{#)|H+yzk<=xYnk>p@dc+fo;iX{iG{(FL`yHM5$My89OU&;z z1Xx{q3s(erBu?tQd=;Fz^qkMLSp3(lONRUeJoq9hQVH92>TOvinvbbV?x&;>SN9{J zdAhCbVEZC$ZXV97-9mG4IFvB`i!yE`q!_pA$wOII4lC~^fjRqoR0**-`BH68*m&F4 z_zUOBnSDOdlmF<(! z#6iVS^*O6+S=duD{DX_FPdn>@?3i`5nX@~pNkV#5g7Jw3Iim&S>7Ixi^B%D(OTK`%tD5xmDeO?3YetYPea?kuykf+LnAwwIBue)hbt0SmBf?`_l2B>k%_|Km#4w zkVV!UEmor?(cK~gMLM3E76=nIv6QYdU9IOWCN-94eLHMI)e~t~>$%`YWaL>NH8K+6 zDCw^$xol+c@R`)uY?;h9ACs?79(5~0h%J@C@1&s)VvCXj`q7Yw%lk#ttskwZ@QZ;4 z5(EtIgeDkNf3!Xs&%OP`Sy$f~L~s#7SFOxaw39T#TK@;Ns;| z(z(qZF~L1Go8{uXHCZ38#(f2IiiT-sU?bPpk4P=k0zMFfrZ4q(JMT&T=^0J3B>WGz zMQdc9mvqTQQm&*vJ-7jyd@vaCTh5xd(Kn>1xx)d}L&2{1@jbu7=FqDDX%sY*DLQF& zx(ENJ>X9i@W@zZpm#?ce$Um%v=WCAqcO9W(pSdd}FQI{nybG0Qrcwb=R8fiijNJB$j zR7^AE$2&5_en0DML<6GRZ>f+JSHsUq+)|lWZuYd zq$gJCxogNGvR?Nkh~-kwuqu#-OnwsdbwG46JNHxC#a#}tSfG0XVRMVI4o~Cv1jauJ zGU!#^vV4$b{WRWlEH^83T_O{Pxt@kB-A!wK6V6pX_$GYHA8!7AK2-uJNoySMJR2`B zF>ZIR{b3-Ki6djl;%CM+7;G>BEH z(U3W2x)HAZ8Kj390+h~lhCtO0Pav&yZLw6c{C8Uba%52Va!Z2P`KYFq8s|V1vo8n3 zV!wo}>GG|jLbc3rhzc1Z&+~(3M6=d|bE|57W&uMp*j3*1!Ka0)gZ^da(VEw=@Rzu& z+sPuf1Rq*8ihw^Ly4@8@4vD;r8_mE>$gGn=2WO77QK}RPyiWjxcXR|ROY2=mu$0Y6 zKE~m`jCAw9FL};wzB0w$KRDRlXoATm+q>vH|B*Qlp2>nmCDZHeZpi*ls8Y0I(%asY z2ghJ;GeFkw$Tn15IzUKtGN4cD)@9-O9jdSFeH=gh;BuvcFVb@AFK~=i{I=a)e(H1# z1^`m1u|?D1PMG^|CUChn&TgHBq>DOj(@Ej5E(0vQ%=tHh7J^@b8zI++C1=xZwuAo$ z@6`b0#&MZ_Xp|IZ+yp&*V55YYw&9Q5TC7XW+MhS2Zi4AiMCuFX{JN5-i~O)78NKuO zM(g0rK6fHWw{(d9iN;*tcphqC-XNg{s;AW(KL^EOgVPmF>EhQx@Pd-dLQ8BnaZk@l zw4d{=!SO8nV1#&;J=EZYR|QH!&c{T8OI_v5!>yf#Zo((Us}c&wvD!6(h>XD?M2U_s z6!wI0^4*&!oa9y7t;2;}T}wD#lj+QZb+;!K7@1x%h-BD`pQy~oEg`w`5x9Xx-FrD6 z@2QD{Si1I%Lv{nnOxkiqMWkQnE2x2X7UZiT=(dAWdGDoNIUJ;kYPT%VPI7nuoTr|& zEYL3LY$tFeQIKMB+|eBI!)*Ndi`Tp*9F?ZV9v9Vae7j8LuIiM%q0*9(QvT?_jpNFL zr3&P7u9b+?A2k|Pl%V3@pOglsPBL-DyS$2{`tco33dV#%U*SMit1=-KMKQjSN6p_1 zc^Zi11>dPhH0Bw$Eo4vaNYK=_OQ~7TdX#wc7=0W*>$QFJ!w_Cy1(X zhbBzx&-HI&smCe)AQk^b#X&ulq_O&ObF`ogJkCzG9SzwMP%u+*&fTU)hDYz%C*}4N z^L{4A<{fjA4^ZQpj3%MgqbU0iv;8TIjs|QE4=Z_$N4q39OuTxOM)6v@1WL=Dam`3l z(@qet9VMf-R5oLxDG&-JY)EzZN_x$CK+B&ZRYX$B#A+wgU+WHPNtHy9dzL7+f2fM_ zoEj0LNwQS~W*|1dTdCXJ^Ix!O-yivMOQ|E(MTI`C^bkm&EzYiovGCrW2gc9rVW~1%1BtjT`tDKaB$q(AhAORM6LNMrj72t27|A$2-8!~*kRE1t z`YSYVuX0LZcS5`|T&ai7iLv}y8+n`;=6Me?Kz48K&x0hF#AJG&u1EK!Z$5qE>O$+U zy75Gaf`~lG-#t?6&M~Irk(27i#PtTt6;-;4nPAi2WI>}1U-~``8-jaa{b=u5I?A85 z+PxYi?#9xeQVsbZ4FuuFeuL%vSB-AoV$nay5|aDAnLXD~ou}fk4H6==xsJoj+8{gJ zjJ`Ye2HY2yScI* z7%&s{aPzE|(CoCUD=v`soQ`2UZl|VfA56Tl!CgOT2)MYv{_)Kgc__){J)3+sVa5Yl zpGQ(#osS1aax zmd3xmcPxr4;rb$H z%suG7$V5K9Bwzh#Iv&t~<}i}6&QR7@Ccba>ByWaRC{`2~S1Rr*3@I6cNhl~U*verc zQHM)`JN(~4i;Jr?)YdFFBJ5I(RSsJ5L3IO13|0RzdUHU5|F1;uEt(*nWkDzn;S<*s z8fIO|>;-FrC)2O*QsLsxxg>ln=ka(j;S<5~ZT`FaV$-iD=@2E(4cwli8ogU`ym_L6 z(wON&x0gpUiBC%55A!=tp4erTJEL7^2X6*WE6S{EnKv)@f9OZTtA*Ky>e$Zv|BdYi zzl0E|hzc(}wXsZR51ej5z($VS%Lh&DHT0|YbXv5m*ApQykf8Z^pf7g#)n)X$q%STc zp-~M=(&de-*m74-JZj|*N8EGXjK@tC;^xpAx)ol$a+>~wjtzrJo515kyfV7ob0>V} zK1i0jm70W0a{O9Wfi!KHG>08@-Ca=RY9|RP#Yx#aM>!gGOzeSbT#eV* zz~lDD`$>5MyycaS*GuhCq%vP{Xc}dGBN_#C&7X z833W2-iG`R4hbi5MvCHA{{r`0fJM)L5TZyiiY99b?_9He{}MMRzy+NMOm8?QvbrX8#&BXiKfRAcs30L-AQ1l42#RXMF~>^;2f- z<1Sf7Sn_=b6GJR*fmK& zgq^xgr=WxR$!6jL?S8W$PGKFd#_Ncfa;i#YasdLkiDiKThizC%bMRjX`G#G4z|YGF zY5n6!r2TsRpb-?bIR5a2EaNzS<;kaN2g|RL>|nA9HTB5xlHidG`yiG+;8^EG$@pZ6 z#922tSL?9oecWs$Q~2%=fp5_2JIzx9U{YT$!6Y^d~YAaJpMp^oG^=8q# z%ikGTkl{RJELvduIy`uj*of{LjLz7Ur}~%cD^{vryf@NL46T^fTUfe%bq!pH^5W{5 z=p<<`U-cr+Yvdu+&1a8Ve+rqhNWH;Kz1JQo_;I8uY3&NjH@uPsB%UCSG(}5J9Fjb7 zMMBfG-XKi9^l97Q8B^k>P(`Gtj zioM{gQhnxc17V+a9Zf*Qd_=^+n@Uy(244xvz4=d1--b+W`h8?clzyz;SyC5^U0!N{ zOz>cjO^Hq(rH|q2sd@19vYzBc-9CS*+v!4_hmo;!zLN_G-rfzN+o_n`U42j8;-tYy z^1*J8KXF(a+npJ^1Gs%M5YM9*Lc-_so8=8RSW$GuL8~@Rm&fNrkSM#un1P%z1T&pb z&Mq-jnalb9`TP==o!E4DjJw=haNG)|vY{m8Lrl(>d^4#!@o4v*;R;+9a@Dktm4($> zA+(nG#;KEb=I-g(hq1)StxV*B`T%2uZ_G>K9joe@G8i4Jidxl)9v%~~;$Cd?{iCo? z@o!Eu#2X(>?WcWq(Ur`lABOZ5_U|d26#~yg@QCvb2i-bPgs%?hW3gtRRXkh5^HOFC z-GHj~_PRGTA}dh_VTBngPC-PaHQ8yTrv7OW-BapE0u_E(s$7TY-WDprPNU$eJ}!0F zu@$}}bCANmlKx_q%4Sv(zwG01zov7CqEGbh^5K+xZivgKZi@_EZPaxpvea%`NyaC5 zVq?|=oVEx@&|HDt!eqJsi!4*A)OZya{DZ5)eQ`yT*?WGJWY(n%FLXf^j4W=Mt~shk zI5slyFFgg@4R|1at%nuS>Y-u#Kc+(XRKiP$OS2{=x|D5r$%=_fmwF$1P{k7r=_W^L zdp9UvPF0}M3E_J9`oq3E1Y>1fst178C#fYaom$K>$$(w;U(23bH3tGMCh7k`Xp)pp zir%vP{!%epPMz}zO4~i09Fn+;Gzz}WhGPM)AG8X@mr7lK?SvSb~(~09%vG;(KXxw4OMPT*iz18TRERWbTu-n$cpnL_B1X z%4Uvrr#}N(4-)}C{kQv;@@t{TZs_xY+B>xwJ)UnJn>3s@T=0-;ItA_|i#BqUw{CP# zFI(RGz+-|L6+3dZUysegAw)txYN;0Zkw?Q-C&SVZT=j7$rOmaOec=?#t2PS?UvNC5 zZ`({(nSiXi;WtRy)Ft-@)9dPpflKMFE;_}n#Jax1 zb!NJf@4PG7S7sbXZz2)Yi^0lH`o}%^+IdkdZU^pfY#MC9$Vv2Jw0H9iBAu4GsUdYZ zCdQ&y9QMb+Mpxh4dU+o^V174vqOOt|k|zv-031#ebm9*$$86G4>|5uK zjMYT70*5zkp11-){!_(SFHC&wv@>Ytjzh3{`{#Y8db-8sTn(9=4si2oC&kL9Su4dt z4(;>xK!>L9tSfoGA#MPJv-!gkz_)k6{jytSl*|(u8EQBh}c0^KPh=Ag1t zd}EiXJabxRy>5C?)42*hr8$Bg=iSOnD4cu%J($D6RsAwQd@Xyr6lxPkGA2G>xhwiB zBhJL7@WPp1g;A0?exElw9`3V0Rv}rXpj;bo$RB*BSnYZGt<>6KKukPHp+&o_gaZh% z!BA|+xh(pb{)2VYis9Jh1sh*{z9FRNCDi3dJ9G|y9PS7B)6$4Fh$d2&qySZU>2tS5?RvH#GooxRrlgNNH1$!+;n|+)p4e&UL^H`uhAqtTAw? z*);5Tt?P}IT2aCp*vEcPztng64zd%Sa@3GQnMWE~Gv`$85vZmHmF&{OAJz2#&b{Nn z{UWW=(v?L_OoWqlV?nt86g)ztI?HPncbmTy(e&d12pk~lB&xFSH|Pc>4+D#NRjB^` z-<^VrMnT0sqfyk9q=HTU^B{P~Qcap=Sn)%v@~Jh1a9m&Gb#|mVbD74db+=Q;Zyr@o z8sLJG;`*kjT8QhfSLpAOqnw_N&ounj#_Gn9VKJfYGYu78!ZoS+Tg7 z^7R&s-`rH4xAxsc;_k2aK`>%r@cqsMmkXO}SISj$6MA zu$z>?I1qq-P3RYJH9Lg_kE(&u2i~&DI(5J4xhx^~IykvhQytd9JRPT4bSEd5aU*i4 zj+ee4*}p@mCkti^0Y*!u0@)_oT7%1)fj16I!3w{ru1*$NK8#`l_#k-WWxEg7HpV-7 zMo%(nE6vEUHPy*+@ijJ0Sm%2qC>!MVncD+u;b>4w^rF3&>kyUTZs?*6d9|d39Ss)7 zlzJ>v+tguK2Sd0FYlnj;b}+8FwCaPWe!M>9?LMnfyl9Ci1~hsrO+fGV{oA05 zEvrw%^1#)A2VykQ{UZU;AvaVH#4#|BHwW*TB<2;sm7hK37EYUA*dF|S$?H@I{%h8sd%A7P9-!?kSb@P{E1p0In0A>)L@Ya7*Ju$ii8ZrLXo8 zY;>LnhsDK57LATbvX0m$#bn^_weARQ^XxoIG)64kT=_E&nuenXbEQi7X3cGGZC=>R<~C5Nw6aEkMf+~fJq5u^@VU-Hgy%_x4$rlD<< zaGM|P^|boex09MQM4t^mK=d<)*%h|`oaPpXV7%tl4Sy4qYfGS>bPU^_v&9B}mh&1s z{>X)^9RcA_eg%o)sDEgSU%z67jxO&7t9W#-xWDGuyDSxNeQx!)UeEIC3PXf3jpb`v z9DlK<|JQBzA}5j^L&?q(;tZW`tPj;3#%K5{*P?gajx`8vTa&b98tK`%y15mdj$0d; z)-pfTceDeR_?$@1Ny&4xhtqbWoLkZPrW)w4`zKL_OLV(*LjP)n%_Jegr~4uR8~$kZ z=f~On6ZniOCD$c<^=^pJ?_%#Cx)XSl&xr`K9E_3$M}g_7?<&r z9yR~&u&S8fgPJy6DmKTZ2e*9=J%=I%(vY(__o%iiHHkPqqV0e?q182}0!aK5)gqX= zk`O%!`A67;(`zNKZUV3_$6^9oRC$NJYIjZ8xw{ST}h9#NG~Z#e0D1c(UtM(Xlk z*aopHm1vxs8>P)|L05$67v+sh^LyJK!*qqiu-SIYyv^K!Q+Jq-4ip{QskKp<+Ce&1 zXMP$WqI6z3k2UD>*{G%n1%+r2UW*gCs>kW4-+kp|Rx!m>j2nP=sHPoy*zWnTrNr&L z5O(9e7~Z0If*4*9D1`}QwdvnOsG0FZ5m)dVet7+pgVrHKy=eP$mt9Z3SFJfU<_g3Z zg4D#FK-I(){b(;DV8RE=|LbX2a}&6)<%F38ocl_(whB!~w$WunRf3E| zM$`98GBKI5scyOUE0^G68aCB5@z{E27*vm!A&>L+HbuszeB5q1M!EkyxJ93!4bmmS zKqef3kvKe3Nn>fD$KdFBv3srKof}UYB<62~JxoQEt^X8QJ@_zW-N3-yhGhzIfXA_@ zYfg|{ngl9NO42`R$zUS?t9~PMkX=>Je{j0O(ac&px$abJrLEj=yc;a*R4e@QX{?*f z&%sFb9nV~6ld&Rr9{bsEKig3C0_Rj&**2BESv9K9#R;^!(<=AH({=6wF!RpAH}>?n z$qF^OsJ;%{FSf=|-)V4z zyS}UF`;g9P9wNq^Ie#ienLWkm;9~WUQV6XmN++Oy$fV4;@~TCiMyuEdMLCRr6VnmL zy~8%AXfSTgDpzgg*ue(03`AhxEAVl*8LfF$L>aO*`<8p z5ay9k<1v1la_U}G`|OVWetUs5LkCXh07q}5%7VSOW2;2fc)BA%jZaf5u+Zj}E~Way<<8&fz_ZAiKyfQxwUVdvcpZ3w2AU}4e=mDx zw|JeGrBBZs*CX<+PgZLd2WuXEg`DQFUw`e7u7v0|MfRACN6IpJ)VGI0PUC)_J^Jb; z@c!iegSyhXvNoc`GY5gVE3N^__dkjoXSjcnH5oLWE$F1-mk&cQc8JSS@W@3+x>uJH ziVd{cj`MnGiKmK=@h1lL8xnCY*j!!y{j1@8{E7BEH8N>;F42DY;x9Nfm6QlDu2#yL)<-QK+|ta2G5GhcG&t&sYS21$B%l)Rm)xq2Vq?%XY1{0?ByLf? zbk^4gRqerx{Tn(}U8fOWCMt1d@lY($*jb`;s7xcZ40?kfkV^c+`0!Ue{+6w-;ZvRX z3(T)GGW5|hkuf`+GHA&bJ<5%_`9)giYs}0NbHMl=r`ScJ9o~(L?k)Ef=!hVQy1CVW zvtBX~4sS#l&(x7rGhS&PPn!XRAo&`L2mvlJmnulNaVZwdi5?%NkV%(v%c2S;LKS{N zRu<19Ws7WtV^+>aq}jZZGJ(_dvUqs*)!@1$D!3jyTF zt9sxi%iaD^bhP0I;vSeay2Ti)sh%7Y(O-qpfvU32^h{Sb z5_e;Q$4m}^fie-+L9YmzhCVqcv~;VReP!^BH}q?|v|)s*y>;?dq(lLAhjm&dm~Z5g zsLoB&8tAF8Vmmm(+A;f;#l$Jbk$)E;mHVyAmtIdh`Z)-M<$?(fCN=R!@J^F)v8MoT zExw)>1??`e%bM&Ka5Sk(HaYFJ;&5|v2|zz-!P;4IkLB(J#5P`vi8BsRB9I`4wF?&L zG!ITxL?LOXJJv!*#=T*_C^T3Z9y(3wCe=B3zTBH!6_aa1{*KOAlZ2_*Q5|w$+?NLc zTX-@BwWvQ->EeFvux(a}C3P6-!{pO&nT{XgzDmxAnxf^AGHbNw?)uI>$~Y8fHybut z{$rtH<3y+ni6aQe5Od=NtDVzv-{m{hf#lgULpXjbDaPCa)`@Bk#SO=nj>(Ry@*qlI zZoX17z%-?M?pl~g1u0(^Wy;HC8o5-`xg%D0Gs>?fX?vF`HS`Y~Ci=65o9RB`Ek1wi zi+!J2TEM}qTcpui@zMQ173`sxn!{HBm|FmC<4gJwhWUn>XEuOnec47>UKy|CAzFMs z1THvSMD~j=m35C-#oG14J?MrG*ENwwH}vz>3QCAOP@yQhi;tWCav0R;O+{YEd5s&M zr0#vGefE{HHUvE1xCF~1t%8Sc%=Vy0KjXv%;1MQP+nkwnIJQXGiv}$t9{g3~=K#BQ zqPHlnmgre-h@r@@+c`&HevS|=WT=(?dh7p3G*(f-waIMZM!|?1TX%n-W4U7|sRp0; zI0z4zGr_cT#yQaE0}b2+HsP~SYN5G+tuZbd1FZRHYHyzx56P8N`N(E3)#nM1%X^8d z)vw`LWuRzVkcLR#iN~BumiNgFO1=eF-x|)RS!D?yq2W&#r7mP%Z}BCMi+6-M?2OA5 zW7wEQn^uMb+EYi@)E74H6uO-+m=9PlyFGeS9!-)Q3#F$+h`^62Op=~o1RFH1@o<)Vv?%gOR}lqJFr>zS`XX}(x@UH`e66 zh{FIt+W@fb!{1v?XeHO=yMUXm!!T%A+sF}cZ?xP!&6?_*4JkV+*dylxQ{dehcI_eb zm2ja=-u}r06!EX!Z2EnAv%?u`b`oQtq}1P3O7%aK&UtjRZZxYEsG@P8((0sHQ+jG! zhB0^kd^D%vADaG(cceT9_~|)3#=jqBi9;ab5Whtp-k+ho+)YND#{! zjq<6t6J*&>ce&HRi|c76^DHA}D)ql!I3Gt34G9}~1lZq6m&}2((A;lvcT`I2KSPzd zgca^x=#41V&nue{jmpjnCbF{UhrNJv9sN|5@r{RblhcP0!y$2!{&*keOk2XI%O?g4?y<_%t$vZQSzc;j zvR}^;_EQ2p3!l3%A5*vIX<;d@{dDr05F1d{>sMDWNCm)=zSC(IDcrXQSxjj}cbizN z5fN%9mvf0hC;P*4)~%u(6Gr^CuS$@rox(_WLmS;c&iF0WlKjN$wP~@f*=E^GF*Vfz z_#f=XY+@x|g5xL+jw4-dAWMR_|8X=Rns>V^wFg$>NO`)wyLhn&Cyut}@;C&0t=l!P z<#F-&kVjSu>DVtH#<}%l5hTS{#aj>A+|O^tQMiQAx1`5dOF}2-^<@tUs51~b?s8-4 zO?|RY_z$Bw`T)~Rmbx7^-w+d4|Fqc9SywP#WXOXKlkj`~c`Qr`>Je`x^S_<&ADB?l z<{}ZO99UOq&ykPY@CyO|XJSj|KQO6!XNK2bK=lqDF6CSKMR;iLZPo&p@f4H@2nNDaDPfG-N6iIHY|L=*PZ`uj#%|KTdm<%gbLZ5-xom#kf!b8ja z+1JXqaIe439hOtB&pXvuy>K=GLG;txnS)>NF;^4}eQl(atXLy9FnnqiF7j6^JsSeX zT)KN)adst_BaGvYVy?)t?npd%@A=F!^$V76KYy7o(YzUWM*F*cR)p%b~$BZ@sl%xO6DZbMy+KArzE9F~^f(Um zGf0rZLdg9>x7`=8YW2AF@ic%`p#D(WPAKCOWcYan9~pjD+SICFAS=%;e0=nlo)&~lbxP+RGU1$(os(KK2Jy6 ziLHLR;Ke30pH*rp6P&0PQfL3a5B*$9%Kz^%h>Ti+sfiwJqK$$z+KgMqOQE#^mG?-o zn5`)@g_R?>{jO{eCbrc6p2)o`vnBO@BLnAlXhsM`?=KaYtNW^0_oXv|D)+rW_CXTO zH`h#-jI>MVKC;)IJfqadNil9db!X~&|`^Qe~}KNm=?T}=LR ziCbwulS1)%m`;dA?G<~v6-%pEEL-2Zrxtk0ClV_0JJx!m&cd}_BL%A4a-Qr5c7xP% z-TTrukxU_6ILfn0 zNK)k5SPLK(q&&*v`lG=J1$kh1;1%3*`P?+=h?-tYeE_cBsniF)4+d#=N9afYc`v3G zv=gTf|LW!h7X@M&@L4rn!zWBdsBDj-r`UaY5{qky{dkVP7Z~*dq6Z;v5uB-77F_vl zy;b$lJ~ScIV@@!F{9aql!H`+BaU6!9Q_9}8`djuT!&i!C zOljQng8Ra9m-jiBm%6q?gr;I)vPD=WSTS_mbQYw_@}(5Jgbjn=EqU zE}SVX)oGz7uwOIQ)?IfsDCF&4;s(Ev)wpSVlywxxAY2oNQ|n54AO8dW=NB6xjo)*e zRK#j`cZ1W`#zjH<{xnO+`hD5z>6Jml!b=(($nqeN)6!Dh8G6*VoM0X@M1hcuW3mE@ z6a1F&b^&XG~owuZm`Z4|P!OxojBUu_q6E3e|W&;BLWAX0vGh6vXKU?hEGk!klvGAaWwW+`3U3WmxWo5=o#< zo@at3S?M}KZ6hL$Y$2fR}piAD-vPuqMz zL5%BNKXEGwQ4o`lA9d1$|GZ#M(+Rh?TdusI@Pmaw*h)qYMg?D8?ck0pN(ZcN{@72Y9e|`f+nYz&tR9;LuGkML#T|n#}QeZqR^$-+MrKg1x!qP>h{=~G|C^9dkF^HH zVLvhu=MmLscRzYK{d#?r&s`BFaqhT9qQ~%^>iBRAA!Ma)B4(EZ=KggsK zSJ$=Njk`HdpG%gV#qlOhRf?u=#=aFa&5(;f=&}tQ6cX?**+z*<<1b!vw*DL+k^Z!q zjAzPE)z0!qwr$7id)xk<28?qP&#eyuNjH;_aqBh%GLJ_)J=EKx3(YM38`3&*d!ASA z-W?6Rx>uO6RX>lv{4!xOPjtcoUulR`Cy|b|`xjqwRNhp=fqY3;=o+*@C!8->ue?)0 zsyLXmC+nQ>|4xMJexBBSl<~xb%hSJOLBkt#t3r|Xi+gmpKn70tqlY=kV5sY(0^;P$ z+=;XU`wI-U3Dx^YSB9?R1Mc!>t#X*Xnjp3LtAy*v{T!l6X9Nntt|^fO3KTxI7B1W> zizd~JEH7m#?7Y2TBzR9Qk>Y8cu*_Z&Ch{N}+`NT|kq8PtbM}aW*!UjB{V3Vxwi>Gu z>`_Ydhh&V^Rz^%X=0y#9GeaP|1>Z}*Bx*pCpfVpef)R@GYb3hG()$QXXVFXx$YW5<|J_cW2}AsGnU0Z|F3x@pSt6B-&$!XhPPAWTdiX0~zv|mn4wPVdn%3mu zXTD}Sultrn3RXEDM;}CPO*6^Vo7)u3y!)3ezYI_!QC zW@F#{x=-6=*hwcTs(uPMJx&`n&%NsoqlPuIj@0Du?GvS9xaV4lL|p*h0C5(FV>8bt zX1KNX{rWsO{&pu;j&DDgfA4G2E(QstppodVBgaI2d5vWr(f~}}ms^0)Q$K0?QIyWl ztS78?<*Ntvxftww6J80G(zdRTmvR0mG8oQjXcj__pkoV!hlG2FEkuhCY`H2?C4`Uz ztxrFbXs^4H6PI4K`j+CjG|b9N$8_%cE&To+<}zvxRF@0y%+=6*HxQKGNOr*f z9fZH@R;pEtVWhK7{z26Jbtc(lVH`QU<=?xRj&3ZtJC#ulge-8 zscyxuiAfA98=Y?UtPNZ^3w7sqJb=Q#v%S&7>LDaRjzO$8fIOMc(^H84ZD%vg*|GfJ)?kkJi#1b_JTy!qPigd)=Pf!=(R1 zF`X)!{_ps~y@5$-(=I@NzS{Q?GLBRAF{TsVQ1x*W!w8aR3aGa&zUOyC?fI`p{jXA` z;2t_t<5L}1eFuQ41#*#NQQLDV#5F^|>omFa!PE*7Vjwqej6)LT%kTq|$uE@VazRch zraXvh?cnEyFAB|L!`v`4v*pgUpNXqoe<=EPTb@qzxyNt9rOZB-#0^sE5Bb@AXub;s z3o+I*$WNVjkGsPNU!VNW^>K)$DzGw+?G534c)#aWpL-m|x8Iys)e~sGsFn@&!#CT# zj2^!}mR^#hqoMfMDRBjRIU~wiXM0#OLBGS;*andC^)|%#3oD}<6ZKuYmv)v%>9stj z0xu{;a?BlQ_~Mm-f!~F&Yj>i1fA`d+*ccTfD%XpN1Euk57Qv1T3J0q`@FojWS42;#vO3 z(4t8XuiS`UtJ^jNHcvNo@n4I>jsh;FTM5~wx#jaQ_;)$2<1@JoLk?c%Z~=sr;j}fJ zid_QIN&sR)c3i%-eaQ%`xLsA*0y$=?)C6?yh2Uf@!4_sJukbk1@$?fe(S6jaZ(c)O z*H@&6%I{kEDncYtW;pXv+RW3BKhDl%RW1PE0j-7KNrMcwB6*-bDrO`j#Z<)s0-mUqWCF8v~#J~cGy*>}iM4bo># zsnW-9)ybQ?8}dj#PWLjW;-p&x3?5|s@FThAtr_Vf^Ie9NT>QqH(mq4^PHkn>SE|Fi zfCh>B8u%=SL3i?URpwH)RPnWyV}wY#*q)RFNNs-% zGtl!78eVAKb=gbN_3DW7`zpYv0I>8R^88JB$BN|O36N1_dS0;i$q!$MFPwZ|_{PWA zL(#V&6^Nrg;mw1o?Y@p{F??CI7K*XuTxou2woU8EaacpULW%8I+paU?$<&yhzGcBw z>Zn(_ISZ&dKj*&vtUFeVp<*iC@^nqV$Hal^aja8Xt`Iwm#8YaOBp=UfopQ~Gh+KH^ zeFS>Y3{*~;*&cRa7)r!YP5vphw-5SVYX7eemv)S&xtKD+1aTfiY}FOVJEWFblnh{% zxyI|}!TIXrdtDXS(s;dd6FX{qPq*(Ek_+D4i{1wcKp7Ii#UZm>`9TV;4==LI1kE$oz}xh#Z=P;fZsVqw;9)5kzqi01>$-*JyOICb7@^NJrogK(V`fTD;Zl zaC<7%WwE;JrbA*ZxyvJW1Qn zH`A&z(BuD0UQoy{LWh! z8a~F0XtT`GepI$_1OXDCYeH1|?)&Z*Y<+P-3^48Y96PFq<`W!Z(nVPKKAs_9FvS;^ zNXOn%s=w}l*5<-60oNJrws~w8Z*9gKoZWwiWtDLB47lPyd!3uwunNF4bz?sx2_gi? z3}eG#$*yfLVIpY2`YF?%Y8U(g-ssQ&OQd|p*8wg$v2Cl}iefDRl2SE{f`*n&RHvlQ zQN`6S_2uv3!cu&6C<>JYUKOB-^OkqNkzM?baT?y>e-Lnm>AwJQtqUdI8Czw&1Lrk4 z_XFRQ+)6SFhN+`svTg6&fv+>*5Q-)Z|J-+~gz9ae@`!%VGbWP29>!Vyuw2v3Ig}Ag zD&pf8Sc2aMv0ETjT3S(B7QCPvx2Vyq=^`{Kc5<7`M1GJ_G?F%v=SR^32L}dqxJj8)XE*Xj z6AFk^LdhB->yL1Ap%GTvRyg-=@NJd4&~L=|quD1XC#mOW(|LJhZU8D^3;_f!Ksbd0 zcZ;1omdIRSU6t?!U=qQcW+L1+Xl*M}Y>dTgEz~hGGrpWY**y6#xa=DcPfQ3-{8jg~ zk@;7;pVc58SS1%E%C;N994|mHd=nQT=+N--#aI=^PzH|_p_r#HJvIm6)All#lB>>4 zLR}sqvZM7ms2FU+8H?Bl98?UNSqfqcQLJU<9EX)33xc8Kv6Efe;nMbn0I1!YQe>LG z=9H4SoyrppN!@;wV}AN>2Ny(hU_w7T0;?yA?|VR;6I zVgb{WXVI)&RbPe5CSOh#|7i+PPMh0XKME?0!|4`eDXpu#!8G1&vg(aY7k@ceT0-g{ zD|k0B3?8m$coZ4ha~|l+9KR527LExL`8bwYQT47T-!PO6x(*UDnjopGL)vNT`}&nq zfrLOy-WGwyGuAj*=HwM}Ls+tvph-n^tJt%WGFesZMOQxoUX z%97gjH->1m=&^$(DUbV4O1!^=`Bx>8DyzNgtA%jmb8* zZ{~T?SS7hkKmB)#+UH!R(=+$ba}p*61xyS|R-1s<7-AN?i-d!il?6$+mmJw~!vz_G zEVZyM1$#jFV1FEieyk-}wzNxJ=VF@H*Y39rcAn=@C1K(~3fKui$Xy)Yht-OhhB**)%~vc#7o;V7s83n{4Ui;2A!zo z@>dh#c)R|X`24;?kjml>%~2(V<6ZGWh!xYZt}NR99>PR$%QI@40cQ|F9$sF#um=kI z_Um^w4A#hk*u`9*AqLV>%y0nh22IxDvq-JlZcsP!Pt`ei9TW9i*l0vG^PEPK5&g?6 zjN9iW_`MO=LJ*WriG>hgX7!>oS_Gz!_3n}XF_t?=w#}Pf{rM@E1Ll7oTpye-Uyo8i z8hZpa5RMm9)oQybUK-6CsnJHPWmt7{+dDMLib3i-TJXMj+96Q(S5MkBk5gY<4O8&_ zM-a)%u^nSoXb7d21KxmxyM6;rY| z$k)g?d7GIi=}ylgi*}&oM^WTgD&G$6HoZO6egV!UlZfjh93w5SQ&tHcjei<1%}TDM zoptm+pF8#EY>NU-gFg1Q$c`XnnIOTbel%=F5+YyS)Xbo6-lf;!KQ43g>`B#{K)#Ju zzJ;dU?_cFf@j}PnQ`2+HR${!DX{%43dUkS|A4O1bW3*u);~-V}i@pz+yUC%V<)zsx zOBYJWos5MCzx@V<(TNhXt=uE|v5@o}QksX)9hdS%m~)n9`Mi7d0MRvQJETkLrD4go zVYX-!R)tx^qL5&EucU|VcR&vVeIKr@puSVgK)_KA;|B*d$LZ}-T$q?Q_MRc z*7!&n4XD5xzp@p~2wfU6ZA#zpi>8ba$59ab-(pP{VfJ;mJV-ijX%Pce&8s7NvMcq7{B zE{RX7DHrZDsB8a~yrp`F-(YqYF!+am&;Eb|D}UfJ86#ZL&8B0JJMGGn_U*@jrbJ20MS1bFJ%7Ckav#WC-B14Ho( zt8QEEoUjL?Q9<;ouorx53FLFgRlcj0awpn9^ zrCpXke#|V-xstPn*FZRF4W!ghk z3EAvDMJwLe?@erF{g$%>bGYcYuXi6;?gd?s`C2di>dDy%KV<1^#Ok$c&Qql-a-rAm;mqB$1J z1%VbyzU$j}CaV?v1fID%=yzOMNftE!1y)y^O^(I-rLAGc%+=6u#dD|qRYHLaUwjL2 z^?gRvpzI)ye}Bgkx0&-aH%fTq(Y5dd<)-34Q#0Y6ohE}oV%?X;w0ANW?gxZ8y7in5 ztgK%gNrjibqDS2k;B$uUsez-!kZ!L6acx>OFg zgrQ;})rLj7-}=$wm#EX*3J}Qk}9xSce_x`w_jq8Cb_4&|Go);(ER znYv}N9WgTy#fXv(iPK(pXm~eu2K5P}ehL_Dan3tFui$WwbNN3}EMWu33ImH~^?*y* z`WU*4AyJWc6Ngg2fo{l)_H-E;WJ&~J1&FQl<3X3rge)Rdq!x3vXm7eOsM&7`&(qsw zW4vgMLO=`mXsHOPAvNUsRe0pa#xaHnsKeyDvM$xs3x2bTEZ}s$k%*-%VY0kYGXTzK zf^ZB*Sa{O4^O6ck{$?lk$SWtg>K4&7>la72cWL=C}CY}q)(S@6?isx*_vyYnK8 zVS3xwrUgIxT)>2bf{ridAvP|}Nw;H@<@DyyDH*q*ca1!SB)F2{lp^~oA43++7SvDJ z9TrkUSNPYntXcNSC=j@$7D}eQE}8v^5K;+f1{VIaM2v8_-k*us$PYP__sCs zh9ML$ zKsZ1gwQAv(_;7yC!E^`MxX9U^I9)-UlaHBnw5VpU;L8_HX>{XYMr6-9P@iDvSK&$& zJDr6eXGHu%ftNq_nIR@jOSWoqC;EdNnMvDrBN(DcEA=eFbE!qdcgfT!=g^6@gl4%7 zl1E>%bnDAs#Z=UEt$e(ppg>^mZ@5N!-#kSr0)e7;alNI`zEi%F17l!Ktz65`Ar zbdAo-UuM!2q_Vx4CJsgf`dCe&z-+IhnQ%tay+7`_je6Tqjrm3}F4MMb)tMZoU4caZ z83SGPCf5F|?a>8k(bBU!JF*Aj?|fB+G4a|f(7l(r;@_RQ_|{#!9;2B&0QzP?1!=Ip zwg{=vc&ElK5Q7rFqO`A6>UDkuJT-GK0C^mRn0p`!hfG9x4w+L~#+u(RxOavn+jS_R zI(k|)j;b=jQoPj-%UXKoz+@_8i6fqRUdp7Qa?41$$4EUs&0!$Dq2#;d$PwD)ryJ6O z@7k0C%g@L%yPqq2;aOc!f< zL;!5yzfxJ!IKFPqRO86~N4~>NJ-xm8IGT{|vYUZ%+H+%-KtTVZiRuBtl@JNe4E#ta z@$WWpnKkI`n(8&pNi$wYeSPUaRE7_|1ch(v7`u6cioA<2F(Ay zrh#6kA7uBgRX@qJ^Dn1=f^hzeI_!?K6|cmPSZLB8u);~zjzo6Of2acIStt|*Ao0)k zzuloSLPSL4$3Ov8wOFMRRLcejFnyE z)V9Az$NOW9!OCeNv*J0nsVZn6OjSgixE^9xl!a<;gla@WMglMbHqYp@TqiC?1ijwQ zezY|MUVtPBAiIdd*J%x`&GAf~HA(|+de|TmtDxIFnQ`Ldc>usqK+)-RrLv&o8E>yt z+xktrD^M$ZygEOtyEWec|GT`+zucnS%Sd^}WOl|#zM^N=R6b$eVBz^c3)p2j{T2*9 z8ga<{GFvk65RU=TBX0Zbf<1+zbW@648M(n}&wb)hbJ^?c&d!u5GL~kjmQ)tB_w*r? zD4u9QdJvTu^+P7S>FN~QwZK)mvWaDQV;0U3tLURi=Tx_mSG7jK)lNPjs4VEl4H7<( zA{-Fp>*=sA)&0JaeHkLh$vj`VJ1X`2#SW|%i7Nuk+d>){a>phFCpTOK6}#foOf7fq z`m0(}no50biGr@!y3fBUYdCZ}i`s8f@bWcq1ev+LHHH2SaYQ}@J_Z79A8EY=1Uf$w zdkHj*f26%LpS@E%H+VV{o-Tse><(QYe76O7HPOneK7Z!dM_Gf`V?tmEfA;CFfzu)W z3Z&XiFI%9dE>=?@;j$QpxH;?N!pY*jZC(LUMq0;*jJ&cs4NrAMiIWTW)RC^BZA6jJ z$bc}=1cQka92Na6|3-p=(DrY3>#kOC%-4_p{&Z>3x0keSBtaCVsK~lUq&eNq zt$Slv25&Z4|Ip&L`87e$Yu5zS?I{OI%0anc*yZ_d4OCWzbVn@#FEKYnBgw*0k7(~iUfo8Y2H5%d9^Q6x=t6iSkt;?-h3 z(*CS2M~zdSU#Wo?7A@``dN-Xu(fj|w2s)MY1U6E*CyQp(nkm6TKff?1sGjg#Z%%OuFWaG=- zC&|Ry-oF1JWtYo%UJl8VW_5d?6EVzwerIdVlwr?Js*!Qj{7YP#@9Lr-0%ai)7)8ck zfzm{goSF^~St*tS9|&zp_VVMDye|)hZORiWX^qXv2@d0kdi2XX|5NTCSRzZ!%%^{q zxkR9fAkJcBfsKM7#&A9%uO3R}wED*#M(=SS*--v0HV){efQA4ncx}3#Z*JecxMp)x zI6=61%MW5-oE4D!#_s>Rths1HuV4FvC+7e zeAaDkjAz zOKbm{YHc6SjbJg8#ZD@}YWE(hG0Br3`m2`Eo`MJCkZ=EU6?~FN>~+PfW|27rq3z>6J|}wD*9= zxxh1j01n^#HPEgJ)jv^6@txE*pgmlrXqit29*XG;3GIjLM~N_NaDB;%~>m!#;sC@6QHws5152g`{}&I5Lq zqE@jFhG5CQdH`7dZm^>)&FBJY!LuLbEH5Z>W?ZK(|4>)|iRGg7Vp`o@EcXfTQlx1V@w*CLIeRXrO63P0ZT;%;>58XawFK2kS6<-`U4h_fhrsAkD7j%RhN zv%ull&nT^DqrUGmQ>mS+x@s8Cw*{bs1HLG-$CY1ZPRZQw{FlcFkO(%a8P6j{)8C;g zC-4z;J!KZ$0c6gEGAgFCU2uWgrB(yvgF+N8_R^!s0SE$6zBa%X8PBLs*SVmyNgtWx zhjLuTs=(b&u=MYpYfbkW4vxb`3O0e<>0?bam=sa}VL?`Ff=yf%DA4~t4R$>l+(EWp zhqF>XBEHG_hq_^UW2#GnU#{r zUp5zJ1O^SkFJ8^;;@0}bDcH-%kb9qWj=8;EH?iWWiyI!N@wq(UtZcnG-#C_ei8{yX zq)Wca)mE7>#1L(*vKRqeTw^MLmzZrH3JWo@s%oZb;izb0u@#24FwK^EdY%T^CJdBH z#St7MuTH08;>eeFT3I+;=v2 z{;3@7+^HXvuXP!@mQ9;yDq;3~8&Z>`U|%r{-Tysb)fNKV-z?vH6rgB+*Km2>inlN+ zOjdN77*sq=;Z)0`$Q4xpCjY>xPlc4%zRo79sKQd`N8K5*7yY@wC-*YX5;ZG$ij>#O z6HiMZ;oQZj1{_5=+Q#JSCjUV7RissZA`!$!O10Y`69=8`?bglIj z0gq>eXCRtVjU6=gNlUrb*mwqN%k&NW)d#C-ex72>O7WlN1jn(=?+C43930KA0#7V* z7AiyNT;or~mhYPRq@Eg9nw3w~>Dw_#iZFAQZH!SB%H6H!mD80C(U&Ma4DA(Kl#v54 z*_#y~?G1ol9)mHg(CF#VoUqf#UG3}FEhx)P=$mf&;`#LMI_K*U&9kCHHI_K4>BhX4 z7(m0ph?5WetiXilrG?UTeOTWc3f;+7IHxEgU?cnzmu8ljY%UH|_Nr_$e#X-ZtXS!| zYZkDh($8iZ^UBCk3L2S_QrHNC<|1p+c$$kXTk88w zAK;2ms5;Nylfftw@LpNFa#(iSgDitsbvv9YuBXm|{bNs77ZV4Y2c3SZVQt+-lt4wN z@_(6{{>wIW;v9R#;IyqC*MMzhr}G1DgxQc*EG}|Lp&}RS9b26 z;vh9C;X7^>tdi30KM7SwzPotooG5;Sq{2wjLzxnfw zGUG#FV6axTatr(J{9HQ1DT2=F$xvyXBUg0;CJbc>N=_+is~ewmgSG^Lz`k~pNhVYH z7FbGLg}kU?=FA*a*6Hnh-%Oo$^-Zp@Kcz*2|MNc+_?LT>$vS0W)6E)AZ~obmzNFWk zCXm<4t-&-BNO7sN)@?F6BiGSd;}7Cp+I#C$V8wfi0_w#x1uLx0le~eW_EH3jD%(8I z-dZkWmh(Evprp$o($eFe+-9r<);9NiFWIzijTZgoRVQxOBPa&4xU|JeZp2qR+N%K@ zX2pK#;M^X>LXi7#rkUt!j> zSRA#A>b4>IMwR#R?=U6CJS$h-gp3Ljceg7Wb_H{NNE=pp>yIgRpBRPnuO=9UW7egegq@Ez3A;7A zKQF8tr>wZu^s9OPc2YmS5b2jCTkuSr z#_YJ_G*nVSzx~%onnS5r%T>yBuM}bZgrQ_h-MUX;7F%#L!(CEG$FFBao>ut=t`(zW zsY3&ebQl`rFYG7)o-v>~t?M$9bq*hHSos0<-Q0Va5{G*@oo~_q@nG0R#+l?^N*ua8 zbcvg_d@V)i#Ae?sbL&NMvfXvOl@GAK=KoQqYaDFjg(!yi!MWVb*ZHgXWoEJQQ{raw(l13>D-I{u!YJyzk>s{Jyw#G&wwg1T8B>J}Za}?bQeB>9A*F;ALcE@EFOYFD8D#QEk@m5o~81TmT3zs6|xc85$aq-%U!*d zyJ{>5W9adyD`&8)VMF?=Xf4Qp;*xxkYEvx4YR8CE#kl?Zc?8+kq;XyAm$%>rzE~n= z8*BHes*T(-F3DS?^LK`F)vXu*!u$-5TGO`8+$A{-y&-1qlKgzJl%<38S^9lbM_E#Rv zPz6@ynPt4>a+!_dnXi@2!LZf2*gJ)T?xR0D;-J4&2mO=>$J67qA9+^n+uLexKm;t@ z61VXN(M2cGZ*c{p;|1c*kQkmfTR|u~3w?9?=9Dt8m5R)d6f5&pf6>%Zm=oId6HgbQ zvHDnr#A3e*UH!|zwGD)rJ2q;!<6ZJC2<ae6L`z)>8(QFS0d5j=p)q3&85`)O_Gj4 zKwG^`qqA_j>`05pc2Pd9N(%#KZF^V!m>@~4sxXQsy5ZgVK>MuIHppQ}xkgJf*3&Ya z|D3eXdhgUqzwkM{6Jl(05G7;k97i%nArBizpb=V=iyj266U0kqK7OT)nep>(pGs53 z#8j)QZpmF1-{)9it#_^sD>bMyQU8Nx&Um}!8EIIrOCX5~nus#-(Shzp8iwwb&o1So z!URLxM;Q4$liI&C*6Zgo&;3>sI!E}t!}+ZE}n7`r>o;~^fjac7qt zOjMj1+47kE>t-%P>=;_Pcjq(v=5qTQU$!Y^zTS*^dO;xIZuKfM{LwsDBrRai`hRZI zRC0ow8QFHVV>nnR2n$WAF`t^?Shb)fiTO)$3s3HE3ejE&L}0>_h$yjAdFt8<*H10Q z=NRFx{>&dQkxd_4t3~Q_AQUmUZ(S0Nrz!lIay4vcyfA_`pHxrG6Fk3KORE-$IDJOM z7kI1iP$Tv)lJ=EILy_vo&wk*Y`Ano;pBT{4@5A+SH-yl2+P=*FT^g@-;v^MtyGpV^A{*r zmhsPlHfBq+?oKvdC@p);3i?vU zY3y*`_*p*PP^pW1$Zdm+(GnokAszxpS^u2OR(XJV*9RuqTkjd=;LSp6 zEc+`%n^sB-#aA%VlW;Mm=TtCtrBoS;XHA4a&VNW?=d*R2bydJWN{}Iqar6rc4~^X% zDJ(0|b?*4iJ-B;XoMoVJv6^LoXQ$hW+4Jh#@~Y-;qWQ`uj{@2#V31E2$?84`3SL{` zU9P&m9%NAU(wL01ShtfG?hN$UZ%{5it9tdqm&jq!Mt>9Kz2c{ z{OdHkqmIl#w3SS`v3k@=8Juja;X}gz&b1}-wj$p-Iq>kx91DjMiz3a=M2UA%7kRr- zIbe?QXg6X|1~XMyd6sfH{K^$CUl9#lwrnxBbk|Q<6i#(;w@nFiLe!1m+PK@9eWn`n z3wS4DrMk)GDG_Q&uNC*>ReVM3hVz3r*P0eT`3adD;xc!}n^(&0oKzPJ)YBG81C^6lYL~V^viU||Lj<7g`NNv(OAr|}Pe)Q!*!1wHqL+$W(*BBq`07w%n zwYShzN@Cq#*MsQUsCMh!?DPtv+wE=mp+vX+HW_`Yn^nKi(T$%bruT>4&3)+Y?B#V1 zYcYDvWNQTtCY}4LR|1Zz%MQ6uvO`O$cqMHwK5=^7E~4{ZYzO z#|8=Ru!)PkP!W5b!*biZ(C$DeF}wt==B>FF$SKmUHO%7VZ5cWuUgvva;l+~6lUT+! z_zJ;#*6yW9qxVq7*6)==yDQ!EqGv^W(O&bN>tf%{VBi854M=};Jx%chy=&-DSO4!< zkz5gI=aU8j5g_nAFE?L5yoBv*PptbbVjaie4ZSm2Cb8yPl2os^qF@F2%_}#0uA94t zbF;W}@mUe?0$pY;EZOGrAiEdLAD2`Io$6pj=i{Md+p+?DMy09m%!+J^u{90iwYSC8 z)XI*O2<))tsU%EPcPhZi*47ykG<0`00j-BU_ELvjV%b)qESr8#AP2nGu_EYAxwP7x zYNa*6#@9w*SEFC&kOj-irjS$xUJ&lPlM`$y&IPbbkdlIyX}JGs1u`@@)xc8pUjm%r zchAd2>+&u9^keu7A(PnUv*v24pc>4sa{(P*Uq9t9#aBO~jP6#6N+wXh>)ADexkOu9 zOe7os8b%G2Y2POM^ zpQ2#daFI}1&?lJW7FynWlM8Tp)X&XO&nMu{=$Q{=WN>?6MKD(X&8MlY4f0cN*LHUG zDXvT{nrC@<&ORfQi09VV>U2=%c{j3wqwrFlY;b&!(@Hj14vztGi!ep(!)_YaDbXSK7%T9+Gfoz@oj zhRWvap$;7;&K< zJDQ_)?tM|?T~f`RxDLXM z#k<{a9OKn`_iz~y>=eRICFRp^@myJ)|9*35tp@Kp6}*b# zY1NL|z1-!#iE!mFv*$+IEV~P2E|Yp~PEKbUoBX&su^?~4qDq5|LKYKwaRy%9Pcb3| zRa6+6C5~E_UPHJN^!6yw_&Y;-X(6ix*=FQ1SuRXh$+Nl+S?12qr#qd?>w_pO`6MtQ?>}l z>~RL6z5sRF$MVBjDszKJzwuM~{M^nhBc8^V*P;7lDtjBxNLnQuv7MVezN&$R&t-(= zwwAb`bI00#5!qeA9VQT8Zy!_faXch?AqhvuHKMb%m)>G^rU1f?(T=F(QkOB)=PupS zyEwI!XuS~PO>+)()?H6{Tx4aS8Z};?3X^_(v%^?Gqueahtd>CVG>(N)Y4D-)Vxma*0%a4xpnFC36=iIn3 zpTPk+zyo}wnh35ea zkH4G=H)Nd0NHiFdOdgcqmKu@w`OU%eoOS1C)gQR!fmUp&$eA^Xr>4;P&ot%SXCCTw ztiI+v_Ly&WyNkT#@+o|@TfOVI(idx3W#-dlf@Pkb1y|9V982wN9XmD860xn;pRQ3! zrE2+_6wtrQ9-$+%7ENu4)-(_@*8=`&<$z;$lU(?N1Qm~kBFxG(a9)D`^BJ#Q z8?`6tURCkxPm1DPN^|jEw1lM#dV-OmPlTETgzxpHn1&{Y@UVpN4wcLIH5w7Cme-Zd z2`t~2PduOt&5=00k{EmrCK`SUg-$nIv}Qq+`s&QJ?t;)|SL2hI{f0Zu>&Yk+l$aL` z!)w6$Ja9uSY->Q;SXoLi3yjC(wQ}G$XpK$E`?r(`E zTlPJ>f%o`p<&^bKqU>eM6lbkr6!-JVjh4^hj?xWdd-GQ#u{4+7&bp2YAnyHQz!y92~9_go^XrJ3i?OWG~c!D&t(7F zCPL1I(_M15wVUX=I=5C6&2z*S#OoeF~i20AO zvj{OBJ(U6%u}BOXq+)o1J^2MJnj2UtV%Y~@#5;>u)>!jEh8xi9@Ntg)P)rf zj|YDZJLokJEal7Km0upgokxlZKt#kWpMzcLUkT?f-;%b{0kYpMw=Gr|j&>g>jRog8> zgaEt67ueiTOEeGcMX0;>&EG~f4Q0%nTa%&9f6IH7Q5k}-GQ<3tk(FBjb~NM%eja!Nn`l_ZR$uM$#^1m~yQHeUOpT$5c6RZsLre&9*Wb4(a zECJ7(#ll!U6|8vmgCkf`6?&F#PzBsrUvJS6qW_WYZa-d0i-@jbD zK;pJtxYC@*kf5&1-Y;#^TI1Cz+AUktHjvInkDjH5C z$xyx3Uq1kcDp$GipBhu~G_ ztT(+lq;1=|*m>omukxC;{^Y?FX94H0nYQ4#G@E|Gyk)zv8IXHgMZ8w-7DhA?gWLvW zJcC9&lO|cki-&SUsB+#n-;>qOr?W+__;OmV8`vgzqUCz7lza0WchL@$=YgCHRpPf7 zO;2gtTD}>kT+{VFK8lHBr5t`w%)9@iEO|WvSI-$NPMtZ>=M>qX>Tg|jqYmRT$S|Srax|&;9)*3$PM~A@ClUHI%7$aLb8{(N`4oc>Sml`fQzg`&Y8 zM)5c2Bk#Bpo1GRZpGn9vr?cB1SICU^1ZCgA39FU>tiK<5c)Ic^?pVI}OUUI#l(?g+ z^x3t&5BCh$Ob5Atcs4A95Dr+<{c9{-uI>=^HU$~~5um_=J56|f;SM|4eRO+tZJQAO z89N^N{x~d0T{v%PgljiNDycxB2F$n)#qI+wTmk{e`U$rb&#!&uwYR9^hp=YnT)|>a z_P|h2;a+avFaDKM=xR!iSWv?QKG^rZ_3x)VIR_qW;|ZwB^}lwm`M-DiQL++XGW~VWeOyQR}>r%lPcQUC%D<0yMetf>bALi5*d;2XeLrI8PZ>g}fU;H_r9AyLZ zbG2&dLJD`Y`|+z#I<15bJ=QEUuQ_~bZVxoGqr39Z6JPXRF*Va|vhE+Yp`jo4!qgt$ z``6n1e~+;#2*>8@AJ9u`n(uJDQa0}+y&sS+ zb|<$lgZtrqyg+$cJwx}^AI_1k=%8N6?^`XRk6O0A7!iyzn_0MOC(cvAMB3iEb?f@t z?Y40gLi)~YfZV-$9xwI zQ|g<^Ehb=wKm0A&T*$ulYx&ofYj3sVxIlX($Frq*d#|rz2O6r(?E%Oi8MzOa+FA6D z7>}v=Rg@<+&=qC-MP(~LR_YgTZ7t;le=!-w=V32XiR9WtCSQ?fj{U(=SscUk!uURv zwu`1;I@WXeAr5_)CSlOEitUrLL5b0LeM>@;QB9Y2&VI&lQfssl7xN=djm%T;FNV>) zN^+&fdcg9qz7N;ACqvfbWO>=)nj2?U?vLZR0j@Er+8h($ diff --git a/1ano/isd/quartus-projects/Teste/db/Teste1.db_info b/1ano/isd/quartus-projects/Teste/db/Teste1.db_info deleted file mode 100644 index e447daf..0000000 --- a/1ano/isd/quartus-projects/Teste/db/Teste1.db_info +++ /dev/null @@ -1,3 +0,0 @@ -Quartus_Version = Version 20.1.1 Build 720 11/11/2020 SJ Lite Edition -Version_Index = 520278016 -Creation_Time = Wed Dec 7 10:18:32 2022 diff --git a/1ano/isd/quartus-projects/Teste/db/Teste1.eda.qmsg b/1ano/isd/quartus-projects/Teste/db/Teste1.eda.qmsg deleted file mode 100644 index 32c4e12..0000000 --- a/1ano/isd/quartus-projects/Teste/db/Teste1.eda.qmsg +++ /dev/null @@ -1,6 +0,0 @@ -{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Design Software" 0 -1 1669986214664 ""} -{ "Info" "IQEXE_START_BANNER_PRODUCT" "EDA Netlist Writer Quartus Prime " "Running Quartus Prime EDA Netlist Writer" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 20.1.1 Build 720 11/11/2020 SJ Lite Edition " "Version 20.1.1 Build 720 11/11/2020 SJ Lite Edition" { } { } 0 0 "%1!s!" 0 0 "Design Software" 0 -1 1669986214664 ""} { "Info" "IQEXE_START_BANNER_LEGAL" "Copyright (C) 2020 Intel Corporation. All rights reserved. " "Copyright (C) 2020 Intel Corporation. All rights reserved." { } { } 0 0 "%1!s!" 0 0 "Design Software" 0 -1 1669986214664 ""} { "Info" "IQEXE_START_BANNER_LEGAL" "Your use of Intel Corporation's design tools, logic functions " "Your use of Intel Corporation's design tools, logic functions " { } { } 0 0 "%1!s!" 0 0 "Design Software" 0 -1 1669986214664 ""} { "Info" "IQEXE_START_BANNER_LEGAL" "and other software and tools, and any partner logic " "and other software and tools, and any partner logic " { } { } 0 0 "%1!s!" 0 0 "Design Software" 0 -1 1669986214664 ""} { "Info" "IQEXE_START_BANNER_LEGAL" "functions, and any output files from any of the foregoing " "functions, and any output files from any of the foregoing " { } { } 0 0 "%1!s!" 0 0 "Design Software" 0 -1 1669986214664 ""} { "Info" "IQEXE_START_BANNER_LEGAL" "(including device programming or simulation files), and any " "(including device programming or simulation files), and any " { } { } 0 0 "%1!s!" 0 0 "Design Software" 0 -1 1669986214664 ""} { "Info" "IQEXE_START_BANNER_LEGAL" "associated documentation or information are expressly subject " "associated documentation or information are expressly subject " { } { } 0 0 "%1!s!" 0 0 "Design Software" 0 -1 1669986214664 ""} { "Info" "IQEXE_START_BANNER_LEGAL" "to the terms and conditions of the Intel Program License " "to the terms and conditions of the Intel Program License " { } { } 0 0 "%1!s!" 0 0 "Design Software" 0 -1 1669986214664 ""} { "Info" "IQEXE_START_BANNER_LEGAL" "Subscription Agreement, the Intel Quartus Prime License Agreement, " "Subscription Agreement, the Intel Quartus Prime License Agreement," { } { } 0 0 "%1!s!" 0 0 "Design Software" 0 -1 1669986214664 ""} { "Info" "IQEXE_START_BANNER_LEGAL" "the Intel FPGA IP License Agreement, or other applicable license " "the Intel FPGA IP License Agreement, or other applicable license" { } { } 0 0 "%1!s!" 0 0 "Design Software" 0 -1 1669986214664 ""} { "Info" "IQEXE_START_BANNER_LEGAL" "agreement, including, without limitation, that your use is for " "agreement, including, without limitation, that your use is for" { } { } 0 0 "%1!s!" 0 0 "Design Software" 0 -1 1669986214664 ""} { "Info" "IQEXE_START_BANNER_LEGAL" "the sole purpose of programming logic devices manufactured by " "the sole purpose of programming logic devices manufactured by" { } { } 0 0 "%1!s!" 0 0 "Design Software" 0 -1 1669986214664 ""} { "Info" "IQEXE_START_BANNER_LEGAL" "Intel and sold by Intel or its authorized distributors. Please " "Intel and sold by Intel or its authorized distributors. Please" { } { } 0 0 "%1!s!" 0 0 "Design Software" 0 -1 1669986214664 ""} { "Info" "IQEXE_START_BANNER_LEGAL" "refer to the applicable agreement for further details, at " "refer to the applicable agreement for further details, at" { } { } 0 0 "%1!s!" 0 0 "Design Software" 0 -1 1669986214664 ""} { "Info" "IQEXE_START_BANNER_LEGAL" "https://fpgasoftware.intel.com/eula. " "https://fpgasoftware.intel.com/eula." { } { } 0 0 "%1!s!" 0 0 "Design Software" 0 -1 1669986214664 ""} { "Info" "IQEXE_START_BANNER_TIME" "Fri Dec 2 13:03:34 2022 " "Processing started: Fri Dec 2 13:03:34 2022" { } { } 0 0 "Processing started: %1!s!" 0 0 "Design Software" 0 -1 1669986214664 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "EDA Netlist Writer" 0 -1 1669986214664 ""} -{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_eda --write_settings_files=off --simulation=on --functional=on --flatten_buses=off --tool=modelsim_oem --format=vhdl --output_directory=/home/tiagorg/repos/uaveiro-leci/1ano/isd/quartus-projects/Teste/simulation/qsim/ Teste1 -c Teste1 " "Command: quartus_eda --write_settings_files=off --simulation=on --functional=on --flatten_buses=off --tool=modelsim_oem --format=vhdl --output_directory=/home/tiagorg/repos/uaveiro-leci/1ano/isd/quartus-projects/Teste/simulation/qsim/ Teste1 -c Teste1" { } { } 0 0 "Command: %1!s!" 0 0 "EDA Netlist Writer" 0 -1 1669986214664 ""} -{ "Warning" "WQCU_PARALLEL_USER_SHOULD_SPECIFY_NUM_PROC" "" "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." { } { } 0 18236 "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." 0 0 "EDA Netlist Writer" 0 -1 1669986214766 ""} -{ "Info" "IWSC_DONE_HDL_GENERATION" "Teste1.vho /home/tiagorg/repos/uaveiro-leci/1ano/isd/quartus-projects/Teste/simulation/qsim// simulation " "Generated file Teste1.vho in folder \"/home/tiagorg/repos/uaveiro-leci/1ano/isd/quartus-projects/Teste/simulation/qsim//\" for EDA simulation tool" { } { } 0 204019 "Generated file %1!s! in folder \"%2!s!\" for EDA %3!s! tool" 0 0 "EDA Netlist Writer" 0 -1 1669986214792 ""} -{ "Info" "IQEXE_ERROR_COUNT" "EDA Netlist Writer 0 s 1 Quartus Prime " "Quartus Prime EDA Netlist Writer was successful. 0 errors, 1 warning" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "603 " "Peak virtual memory: 603 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Design Software" 0 -1 1669986214799 ""} { "Info" "IQEXE_END_BANNER_TIME" "Fri Dec 2 13:03:34 2022 " "Processing ended: Fri Dec 2 13:03:34 2022" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Design Software" 0 -1 1669986214799 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:00 " "Elapsed time: 00:00:00" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Design Software" 0 -1 1669986214799 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:00 " "Total CPU time (on all processors): 00:00:00" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Design Software" 0 -1 1669986214799 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "EDA Netlist Writer" 0 -1 1669986214799 ""} diff --git a/1ano/isd/quartus-projects/Teste/db/Teste1.fit.qmsg b/1ano/isd/quartus-projects/Teste/db/Teste1.fit.qmsg deleted file mode 100644 index 8b1aa84..0000000 --- a/1ano/isd/quartus-projects/Teste/db/Teste1.fit.qmsg +++ /dev/null @@ -1,49 +0,0 @@ -{ "Warning" "WQCU_PARALLEL_USER_SHOULD_SPECIFY_NUM_PROC" "" "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." { } { } 0 18236 "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." 0 0 "Fitter" 0 -1 1669986141764 ""} -{ "Info" "IQCU_PARALLEL_AUTODETECT_MULTIPLE_PROCESSORS" "4 4 " "Parallel compilation is enabled and will use 4 of the 4 processors detected" { } { } 0 20030 "Parallel compilation is enabled and will use %1!i! of the %2!i! processors detected" 0 0 "Fitter" 0 -1 1669986141764 ""} -{ "Info" "IMPP_MPP_AUTO_ASSIGNED_DEVICE" "Teste1 EP4CE6E22C6 " "Automatically selected device EP4CE6E22C6 for design Teste1" { } { } 0 119004 "Automatically selected device %2!s! for design %1!s!" 0 0 "Fitter" 0 -1 1669986141853 ""} -{ "Info" "ICUT_CUT_DEFAULT_OPERATING_CONDITION" "High junction temperature 85 " "High junction temperature operating condition is not set. Assuming a default value of '85'." { } { } 0 21076 "%1!s! operating condition is not set. Assuming a default value of '%2!s!'." 0 0 "Fitter" 0 -1 1669986141911 ""} -{ "Info" "ICUT_CUT_DEFAULT_OPERATING_CONDITION" "Low junction temperature 0 " "Low junction temperature operating condition is not set. Assuming a default value of '0'." { } { } 0 21076 "%1!s! operating condition is not set. Assuming a default value of '%2!s!'." 0 0 "Fitter" 0 -1 1669986141911 ""} -{ "Info" "IFITCC_FITCC_INFO_AUTO_FIT_COMPILATION_ON" "" "Fitter is performing an Auto Fit compilation, which may decrease Fitter effort to reduce compilation time" { } { } 0 171003 "Fitter is performing an Auto Fit compilation, which may decrease Fitter effort to reduce compilation time" 0 0 "Fitter" 0 -1 1669986142029 ""} -{ "Warning" "WCPT_FEATURE_DISABLED_POST" "LogicLock " "Feature LogicLock is only available with a valid subscription license. You can purchase a software subscription to gain full access to this feature." { } { } 0 292013 "Feature %1!s! is only available with a valid subscription license. You can purchase a software subscription to gain full access to this feature." 0 0 "Fitter" 0 -1 1669986142033 ""} -{ "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED" "" "Device migration not selected. If you intend to use device migration later, you may need to change the pin assignments as they may be incompatible with other devices" { { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "EP4CE10E22C6 " "Device EP4CE10E22C6 is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Design Software" 0 -1 1669986142080 ""} { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "EP4CE15E22C6 " "Device EP4CE15E22C6 is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Design Software" 0 -1 1669986142080 ""} { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "EP4CE22E22C6 " "Device EP4CE22E22C6 is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Design Software" 0 -1 1669986142080 ""} } { } 2 176444 "Device migration not selected. If you intend to use device migration later, you may need to change the pin assignments as they may be incompatible with other devices" 0 0 "Fitter" 0 -1 1669986142080 ""} -{ "Info" "IFIOMGR_RESERVED_PIN_WITH_LOCATION" "5 " "Fitter converted 5 user pins into dedicated programming pins" { { "Info" "IFIOMGR_RESERVED_PIN_WITH_LOCATION_SUB" "~ALTERA_ASDO_DATA1~ 6 " "Pin ~ALTERA_ASDO_DATA1~ is reserved at location 6" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/pin_planner.ppl" "" { PinPlanner "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/pin_planner.ppl" { ~ALTERA_ASDO_DATA1~ } } } { "temporary_test_loc" "" { Generic "/home/tiagorg/repos/uaveiro-leci/1ano/isd/quartus-projects/Teste/" { { 0 { 0 ""} 0 22 14177 15141 0 0 "" 0 "" "" } } } } } 0 169125 "Pin %1!s! is reserved at location %2!s!" 0 0 "Design Software" 0 -1 1669986142083 ""} { "Info" "IFIOMGR_RESERVED_PIN_WITH_LOCATION_SUB" "~ALTERA_FLASH_nCE_nCSO~ 8 " "Pin ~ALTERA_FLASH_nCE_nCSO~ is reserved at location 8" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/pin_planner.ppl" "" { PinPlanner "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/pin_planner.ppl" { ~ALTERA_FLASH_nCE_nCSO~ } } } { "temporary_test_loc" "" { Generic "/home/tiagorg/repos/uaveiro-leci/1ano/isd/quartus-projects/Teste/" { { 0 { 0 ""} 0 24 14177 15141 0 0 "" 0 "" "" } } } } } 0 169125 "Pin %1!s! is reserved at location %2!s!" 0 0 "Design Software" 0 -1 1669986142083 ""} { "Info" "IFIOMGR_RESERVED_PIN_WITH_LOCATION_SUB" "~ALTERA_DCLK~ 12 " "Pin ~ALTERA_DCLK~ is reserved at location 12" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/pin_planner.ppl" "" { PinPlanner "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/pin_planner.ppl" { ~ALTERA_DCLK~ } } } { "temporary_test_loc" "" { Generic "/home/tiagorg/repos/uaveiro-leci/1ano/isd/quartus-projects/Teste/" { { 0 { 0 ""} 0 26 14177 15141 0 0 "" 0 "" "" } } } } } 0 169125 "Pin %1!s! is reserved at location %2!s!" 0 0 "Design Software" 0 -1 1669986142083 ""} { "Info" "IFIOMGR_RESERVED_PIN_WITH_LOCATION_SUB" "~ALTERA_DATA0~ 13 " "Pin ~ALTERA_DATA0~ is reserved at location 13" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/pin_planner.ppl" "" { PinPlanner "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/pin_planner.ppl" { ~ALTERA_DATA0~ } } } { "temporary_test_loc" "" { Generic "/home/tiagorg/repos/uaveiro-leci/1ano/isd/quartus-projects/Teste/" { { 0 { 0 ""} 0 28 14177 15141 0 0 "" 0 "" "" } } } } } 0 169125 "Pin %1!s! is reserved at location %2!s!" 0 0 "Design Software" 0 -1 1669986142083 ""} { "Info" "IFIOMGR_RESERVED_PIN_WITH_LOCATION_SUB" "~ALTERA_nCEO~ 101 " "Pin ~ALTERA_nCEO~ is reserved at location 101" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/pin_planner.ppl" "" { PinPlanner "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/pin_planner.ppl" { ~ALTERA_nCEO~ } } } { "temporary_test_loc" "" { Generic "/home/tiagorg/repos/uaveiro-leci/1ano/isd/quartus-projects/Teste/" { { 0 { 0 ""} 0 30 14177 15141 0 0 "" 0 "" "" } } } } } 0 169125 "Pin %1!s! is reserved at location %2!s!" 0 0 "Design Software" 0 -1 1669986142083 ""} } { } 0 169124 "Fitter converted %1!d! user pins into dedicated programming pins" 0 0 "Fitter" 0 -1 1669986142083 ""} -{ "Warning" "WCUT_CUT_ATOM_PINS_WITH_INCOMPLETE_IO_ASSIGNMENTS" "" "Some pins have incomplete I/O assignments. Refer to the I/O Assignment Warnings report for details" { } { } 0 15714 "Some pins have incomplete I/O assignments. Refer to the I/O Assignment Warnings report for details" 0 0 "Fitter" 0 -1 1669986142084 ""} -{ "Critical Warning" "WFIOMGR_PINS_MISSING_LOCATION_INFO" "5 5 " "No exact pin location assignment(s) for 5 pins of 5 total pins. For the list of pins please refer to the I/O Assignment Warnings table in the fitter report." { } { } 1 169085 "No exact pin location assignment(s) for %1!d! pins of %2!d! total pins. For the list of pins please refer to the I/O Assignment Warnings table in the fitter report." 0 0 "Fitter" 0 -1 1669986142332 ""} -{ "Critical Warning" "WSTA_SDC_NOT_FOUND" "Teste1.sdc " "Synopsys Design Constraints File file not found: 'Teste1.sdc'. A Synopsys Design Constraints File is required by the Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design." { } { } 1 332012 "Synopsys Design Constraints File file not found: '%1!s!'. A Synopsys Design Constraints File is required by the Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design." 0 0 "Fitter" 0 -1 1669986142424 ""} -{ "Info" "ISTA_NO_CLOCK_FOUND_NO_DERIVING_MSG" "base clocks " "No user constrained base clocks found in the design" { } { } 0 332144 "No user constrained %1!s! found in the design" 0 0 "Fitter" 0 -1 1669986142424 ""} -{ "Info" "ISTA_DERIVE_CLOCKS_FOUND_NO_CLOCKS" "" "The command derive_clocks did not find any clocks to derive. No clocks were created or changed." { } { } 0 332096 "The command derive_clocks did not find any clocks to derive. No clocks were created or changed." 0 0 "Fitter" 0 -1 1669986142425 ""} -{ "Warning" "WSTA_NO_CLOCKS_DEFINED" "" "No clocks defined in design." { } { } 0 332068 "No clocks defined in design." 0 0 "Fitter" 0 -1 1669986142425 ""} -{ "Info" "ISTA_NO_CLOCK_UNCERTAINTY_FOUND_DERIVING" "\"derive_clock_uncertainty\" " "No user constrained clock uncertainty found in the design. Calling \"derive_clock_uncertainty\"" { } { } 0 332143 "No user constrained clock uncertainty found in the design. Calling %1!s!" 0 0 "Fitter" 0 -1 1669986142426 ""} -{ "Info" "ISTA_NO_UNCERTAINTY_FOUND" "" "The derive_clock_uncertainty command did not apply clock uncertainty to any clock-to-clock transfers." { } { } 0 332154 "The derive_clock_uncertainty command did not apply clock uncertainty to any clock-to-clock transfers." 0 0 "Fitter" 0 -1 1669986142426 ""} -{ "Info" "ISTA_TDC_NO_DEFAULT_OPTIMIZATION_GOALS" "" "Timing requirements not specified -- quality metrics such as performance may be sacrificed to reduce compilation time." { } { } 0 332130 "Timing requirements not specified -- quality metrics such as performance may be sacrificed to reduce compilation time." 0 0 "Fitter" 0 -1 1669986142426 ""} -{ "Info" "IFSAC_FSAC_REGISTER_PACKING_START_REGPACKING_INFO" "" "Starting register packing" { } { } 0 176233 "Starting register packing" 0 0 "Fitter" 0 -1 1669986142428 ""} -{ "Extra Info" "IFSAC_FSAC_START_REG_LOCATION_PROCESSING" "" "Performing register packing on registers with non-logic cell location assignments" { } { } 1 176273 "Performing register packing on registers with non-logic cell location assignments" 1 0 "Fitter" 0 -1 1669986142428 ""} -{ "Extra Info" "IFSAC_FSAC_FINISH_REG_LOCATION_PROCESSING" "" "Completed register packing on registers with non-logic cell location assignments" { } { } 1 176274 "Completed register packing on registers with non-logic cell location assignments" 1 0 "Fitter" 0 -1 1669986142428 ""} -{ "Extra Info" "IFSAC_FSAC_REGISTER_PACKING_BEGIN_FAST_REGISTER_INFO" "" "Started Fast Input/Output/OE register processing" { } { } 1 176236 "Started Fast Input/Output/OE register processing" 1 0 "Fitter" 0 -1 1669986142429 ""} -{ "Extra Info" "IFSAC_FSAC_REGISTER_PACKING_FINISH_FAST_REGISTER_INFO" "" "Finished Fast Input/Output/OE register processing" { } { } 1 176237 "Finished Fast Input/Output/OE register processing" 1 0 "Fitter" 0 -1 1669986142429 ""} -{ "Extra Info" "IFSAC_FSAC_START_MAC_SCAN_CHAIN_INFERENCING" "" "Start inferring scan chains for DSP blocks" { } { } 1 176238 "Start inferring scan chains for DSP blocks" 1 0 "Fitter" 0 -1 1669986142429 ""} -{ "Extra Info" "IFSAC_FSAC_FINISH_MAC_SCAN_CHAIN_INFERENCING" "" "Inferring scan chains for DSP blocks is complete" { } { } 1 176239 "Inferring scan chains for DSP blocks is complete" 1 0 "Fitter" 0 -1 1669986142429 ""} -{ "Extra Info" "IFSAC_FSAC_START_IO_MULT_RAM_PACKING" "" "Moving registers into I/O cells, Multiplier Blocks, and RAM blocks to improve timing and density" { } { } 1 176248 "Moving registers into I/O cells, Multiplier Blocks, and RAM blocks to improve timing and density" 1 0 "Fitter" 0 -1 1669986142429 ""} -{ "Extra Info" "IFSAC_FSAC_FINISH_IO_MULT_RAM_PACKING" "" "Finished moving registers into I/O cells, Multiplier Blocks, and RAM blocks" { } { } 1 176249 "Finished moving registers into I/O cells, Multiplier Blocks, and RAM blocks" 1 0 "Fitter" 0 -1 1669986142429 ""} -{ "Info" "IFSAC_FSAC_REGISTER_PACKING_FINISH_REGPACKING_INFO" "" "Finished register packing" { { "Extra Info" "IFSAC_NO_REGISTERS_WERE_PACKED" "" "No registers were packed into other blocks" { } { } 1 176219 "No registers were packed into other blocks" 0 0 "Design Software" 0 -1 1669986142429 ""} } { } 0 176235 "Finished register packing" 0 0 "Fitter" 0 -1 1669986142429 ""} -{ "Info" "IFSAC_FSAC_IO_BANK_PIN_GROUP_STATISTICS" "I/O pins that need to be placed that use the same VCCIO and VREF, before I/O pin placement " "Statistics of I/O pins that need to be placed that use the same VCCIO and VREF, before I/O pin placement" { { "Info" "IFSAC_FSAC_SINGLE_IOC_GROUP_STATISTICS" "5 unused 2.5V 4 1 0 " "Number of I/O pins in group: 5 (unused VREF, 2.5V VCCIO, 4 input, 1 output, 0 bidirectional)" { { "Info" "IFSAC_FSAC_IO_STDS_IN_IOC_GROUP" "2.5 V. " "I/O standards used: 2.5 V." { } { } 0 176212 "I/O standards used: %1!s!" 0 0 "Design Software" 0 -1 1669986142430 ""} } { } 0 176211 "Number of I/O pins in group: %1!d! (%2!s! VREF, %3!s! VCCIO, %4!d! input, %5!d! output, %6!d! bidirectional)" 0 0 "Design Software" 0 -1 1669986142430 ""} } { } 0 176214 "Statistics of %1!s!" 0 0 "Fitter" 0 -1 1669986142430 ""} -{ "Info" "IFSAC_FSAC_IO_STATS_BEFORE_AFTER_PLACEMENT" "before " "I/O bank details before I/O pin placement" { { "Info" "IFSAC_FSAC_IO_BANK_PIN_GROUP_STATISTICS" "I/O banks " "Statistics of I/O banks" { { "Info" "IFSAC_FSAC_SINGLE_IO_BANK_STATISTICS" "1 does not use undetermined 4 7 " "I/O bank number 1 does not use VREF pins and has undetermined VCCIO pins. 4 total pin(s) used -- 7 pins available" { } { } 0 176213 "I/O bank number %1!s! %2!s! VREF pins and has %3!s! VCCIO pins. %4!d! total pin(s) used -- %5!d! pins available" 0 0 "Design Software" 0 -1 1669986142430 ""} { "Info" "IFSAC_FSAC_SINGLE_IO_BANK_STATISTICS" "2 does not use undetermined 0 8 " "I/O bank number 2 does not use VREF pins and has undetermined VCCIO pins. 0 total pin(s) used -- 8 pins available" { } { } 0 176213 "I/O bank number %1!s! %2!s! VREF pins and has %3!s! VCCIO pins. %4!d! total pin(s) used -- %5!d! pins available" 0 0 "Design Software" 0 -1 1669986142430 ""} { "Info" "IFSAC_FSAC_SINGLE_IO_BANK_STATISTICS" "3 does not use undetermined 0 11 " "I/O bank number 3 does not use VREF pins and has undetermined VCCIO pins. 0 total pin(s) used -- 11 pins available" { } { } 0 176213 "I/O bank number %1!s! %2!s! VREF pins and has %3!s! VCCIO pins. %4!d! total pin(s) used -- %5!d! pins available" 0 0 "Design Software" 0 -1 1669986142430 ""} { "Info" "IFSAC_FSAC_SINGLE_IO_BANK_STATISTICS" "4 does not use undetermined 0 14 " "I/O bank number 4 does not use VREF pins and has undetermined VCCIO pins. 0 total pin(s) used -- 14 pins available" { } { } 0 176213 "I/O bank number %1!s! %2!s! VREF pins and has %3!s! VCCIO pins. %4!d! total pin(s) used -- %5!d! pins available" 0 0 "Design Software" 0 -1 1669986142430 ""} { "Info" "IFSAC_FSAC_SINGLE_IO_BANK_STATISTICS" "5 does not use undetermined 0 13 " "I/O bank number 5 does not use VREF pins and has undetermined VCCIO pins. 0 total pin(s) used -- 13 pins available" { } { } 0 176213 "I/O bank number %1!s! %2!s! VREF pins and has %3!s! VCCIO pins. %4!d! total pin(s) used -- %5!d! pins available" 0 0 "Design Software" 0 -1 1669986142430 ""} { "Info" "IFSAC_FSAC_SINGLE_IO_BANK_STATISTICS" "6 does not use undetermined 1 9 " "I/O bank number 6 does not use VREF pins and has undetermined VCCIO pins. 1 total pin(s) used -- 9 pins available" { } { } 0 176213 "I/O bank number %1!s! %2!s! VREF pins and has %3!s! VCCIO pins. %4!d! total pin(s) used -- %5!d! pins available" 0 0 "Design Software" 0 -1 1669986142430 ""} { "Info" "IFSAC_FSAC_SINGLE_IO_BANK_STATISTICS" "7 does not use undetermined 0 13 " "I/O bank number 7 does not use VREF pins and has undetermined VCCIO pins. 0 total pin(s) used -- 13 pins available" { } { } 0 176213 "I/O bank number %1!s! %2!s! VREF pins and has %3!s! VCCIO pins. %4!d! total pin(s) used -- %5!d! pins available" 0 0 "Design Software" 0 -1 1669986142430 ""} { "Info" "IFSAC_FSAC_SINGLE_IO_BANK_STATISTICS" "8 does not use undetermined 0 12 " "I/O bank number 8 does not use VREF pins and has undetermined VCCIO pins. 0 total pin(s) used -- 12 pins available" { } { } 0 176213 "I/O bank number %1!s! %2!s! VREF pins and has %3!s! VCCIO pins. %4!d! total pin(s) used -- %5!d! pins available" 0 0 "Design Software" 0 -1 1669986142430 ""} } { } 0 176214 "Statistics of %1!s!" 0 0 "Design Software" 0 -1 1669986142430 ""} } { } 0 176215 "I/O bank details %1!s! I/O pin placement" 0 0 "Fitter" 0 -1 1669986142430 ""} -{ "Info" "IFITCC_FITTER_PREPARATION_END" "00:00:00 " "Fitter preparation operations ending: elapsed time is 00:00:00" { } { } 0 171121 "Fitter preparation operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1669986142434 ""} -{ "Info" "IVPR20K_VPR_FAMILY_APL_ERROR" "" "Fitter has disabled Advanced Physical Optimization because it is not supported for the current family." { } { } 0 14896 "Fitter has disabled Advanced Physical Optimization because it is not supported for the current family." 0 0 "Fitter" 0 -1 1669986142436 ""} -{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_PREP_START" "" "Fitter placement preparation operations beginning" { } { } 0 170189 "Fitter placement preparation operations beginning" 0 0 "Fitter" 0 -1 1669986142791 ""} -{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_PREP_END" "00:00:00 " "Fitter placement preparation operations ending: elapsed time is 00:00:00" { } { } 0 170190 "Fitter placement preparation operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1669986142806 ""} -{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_START" "" "Fitter placement operations beginning" { } { } 0 170191 "Fitter placement operations beginning" 0 0 "Fitter" 0 -1 1669986142813 ""} -{ "Info" "IFITAPI_FITAPI_INFO_VPR_PLACEMENT_FINISH" "" "Fitter placement was successful" { } { } 0 170137 "Fitter placement was successful" 0 0 "Fitter" 0 -1 1669986142878 ""} -{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_END" "00:00:00 " "Fitter placement operations ending: elapsed time is 00:00:00" { } { } 0 170192 "Fitter placement operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1669986142879 ""} -{ "Info" "IFITAPI_FITAPI_VPR_FITTER_ROUTING_START" "" "Fitter routing operations beginning" { } { } 0 170193 "Fitter routing operations beginning" 0 0 "Fitter" 0 -1 1669986143025 ""} -{ "Info" "IFITAPI_FITAPI_VPR_PERCENT_ROUTING_RESOURCE_USAGE" "0 " "Router estimated average interconnect usage is 0% of the available device resources" { { "Info" "IFITAPI_FITAPI_VPR_PEAK_ROUTING_REGION" "0 X0_Y0 X10_Y11 " "Router estimated peak interconnect usage is 0% of the available device resources in the region that extends from location X0_Y0 to location X10_Y11" { } { { "loc" "" { Generic "/home/tiagorg/repos/uaveiro-leci/1ano/isd/quartus-projects/Teste/" { { 1 { 0 "Router estimated peak interconnect usage is 0% of the available device resources in the region that extends from location X0_Y0 to location X10_Y11"} { { 12 { 0 ""} 0 0 11 12 } } } } } } } 0 170196 "Router estimated peak interconnect usage is %1!d!%% of the available device resources in the region that extends from location %2!s! to location %3!s!" 0 0 "Design Software" 0 -1 1669986143311 ""} } { } 0 170195 "Router estimated average interconnect usage is %1!d!%% of the available device resources" 0 0 "Fitter" 0 -1 1669986143311 ""} -{ "Info" "IFITAPI_FITAPI_VPR_AUTO_FIT_ENABLED_AND_USED" "" "The Fitter performed an Auto Fit compilation. Optimizations were skipped to reduce compilation time." { { "Info" "IFITAPI_FITAPI_VPR_AUTO_FIT_ENABLED_AND_USED_FOR_ROUTABILITY" "" "Optimizations that may affect the design's routability were skipped" { } { } 0 170201 "Optimizations that may affect the design's routability were skipped" 0 0 "Design Software" 0 -1 1669986143334 ""} { "Info" "IFITAPI_FITAPI_VPR_AUTO_FIT_ENABLED_AND_USED_FOR_TIMING" "" "Optimizations that may affect the design's timing were skipped" { } { } 0 170200 "Optimizations that may affect the design's timing were skipped" 0 0 "Design Software" 0 -1 1669986143334 ""} } { } 0 170199 "The Fitter performed an Auto Fit compilation. Optimizations were skipped to reduce compilation time." 0 0 "Fitter" 0 -1 1669986143334 ""} -{ "Info" "IFITAPI_FITAPI_VPR_FITTER_ROUTING_END" "00:00:00 " "Fitter routing operations ending: elapsed time is 00:00:00" { } { } 0 170194 "Fitter routing operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1669986143336 ""} -{ "Info" "IVPR20K_VPR_TIMING_ANALYSIS_TIME" "the Fitter 0.01 " "Total time spent on timing analysis during the Fitter is 0.01 seconds." { } { } 0 11888 "Total time spent on timing analysis during %1!s! is %2!s! seconds." 0 0 "Fitter" 0 -1 1669986143440 ""} -{ "Info" "ITAPI_TAPI_STARTED" "" "Started post-fitting delay annotation" { } { } 0 334003 "Started post-fitting delay annotation" 0 0 "Fitter" 0 -1 1669986143444 ""} -{ "Info" "ITAPI_TAPI_COMPLETED" "" "Delay annotation completed successfully" { } { } 0 334004 "Delay annotation completed successfully" 0 0 "Fitter" 0 -1 1669986143572 ""} -{ "Info" "ITAPI_TAPI_STARTED" "" "Started post-fitting delay annotation" { } { } 0 334003 "Started post-fitting delay annotation" 0 0 "Fitter" 0 -1 1669986143572 ""} -{ "Info" "ITAPI_TAPI_COMPLETED" "" "Delay annotation completed successfully" { } { } 0 334004 "Delay annotation completed successfully" 0 0 "Fitter" 0 -1 1669986143857 ""} -{ "Info" "IFITCC_FITTER_POST_OPERATION_END" "00:00:01 " "Fitter post-fit operations ending: elapsed time is 00:00:01" { } { } 0 11218 "Fitter post-fit operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1669986144120 ""} -{ "Info" "IRDB_WROTE_SUPPRESSED_MSGS" "/home/tiagorg/repos/uaveiro-leci/1ano/isd/quartus-projects/Teste/output_files/Teste1.fit.smsg " "Generated suppressed messages file /home/tiagorg/repos/uaveiro-leci/1ano/isd/quartus-projects/Teste/output_files/Teste1.fit.smsg" { } { } 0 144001 "Generated suppressed messages file %1!s!" 0 0 "Fitter" 0 -1 1669986144314 ""} -{ "Info" "IQEXE_ERROR_COUNT" "Fitter 0 s 6 s Quartus Prime " "Quartus Prime Fitter was successful. 0 errors, 6 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "944 " "Peak virtual memory: 944 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Design Software" 0 -1 1669986144450 ""} { "Info" "IQEXE_END_BANNER_TIME" "Fri Dec 2 13:02:24 2022 " "Processing ended: Fri Dec 2 13:02:24 2022" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Design Software" 0 -1 1669986144450 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:03 " "Elapsed time: 00:00:03" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Design Software" 0 -1 1669986144450 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:03 " "Total CPU time (on all processors): 00:00:03" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Design Software" 0 -1 1669986144450 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Fitter" 0 -1 1669986144450 ""} diff --git a/1ano/isd/quartus-projects/Teste/db/Teste1.hier_info b/1ano/isd/quartus-projects/Teste/db/Teste1.hier_info deleted file mode 100644 index 7f57f7e..0000000 --- a/1ano/isd/quartus-projects/Teste/db/Teste1.hier_info +++ /dev/null @@ -1,43 +0,0 @@ -|Teste3 -F <= Teste1:inst.Y -D => inst3.IN0 -D => Teste1:inst.X4 -D => Teste1:inst.X5 -A => Teste1:inst.S[2] -B => Teste1:inst.S[1] -C => Teste1:inst.S[0] - - -|Teste3|Teste1:inst -Y <= inst3.DB_MAX_OUTPUT_PORT_TYPE -X1 => 74153:inst.1C1 -S[0] => 74153:inst.A -S[1] => 74153:inst.B -S[2] => 74153:inst.1GN -S[2] => inst2.IN0 -X0 => 74153:inst.1C0 -X4 => 74153:inst.2C0 -X6 => 74153:inst.2C2 -X2 => 74153:inst.1C2 -X3 => 74153:inst.1C3 -X5 => 74153:inst.2C1 -X7 => 74153:inst.2C3 - - -|Teste3|Teste1:inst|74153:inst -1Y <= 9.DB_MAX_OUTPUT_PORT_TYPE -1GN => 26.IN0 -B => 27.IN0 -A => 29.IN0 -1C0 => 1.IN3 -1C1 => 2.IN3 -1C2 => 3.IN3 -1C3 => 4.IN3 -2Y <= 10.DB_MAX_OUTPUT_PORT_TYPE -2C0 => 5.IN0 -2GN => 25.IN0 -2C1 => 6.IN0 -2C2 => 7.IN0 -2C3 => 8.IN0 - - diff --git a/1ano/isd/quartus-projects/Teste/db/Teste1.hif b/1ano/isd/quartus-projects/Teste/db/Teste1.hif deleted file mode 100644 index 850b5821788fcc4403272d297095ed4cd29b93a5..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 426 zcmV;b0agC24*>uG0001ZoUM^vPunmQhVL!$A1raRT{N?uI!Sj2qXo9o0%f_4{Bc4p zr4EZ7Bt-e|bJ9pmYC%jwwq^Ug=RNjg-?XCft;5%i*#OF+ai+GIk%&eVpSGsbIAsJ= z`Ym)u!gBQ!&y5E>)y9WhL^DKF5)-tX&0fc1=(D`&_H%fIiXk$z{C0I!1U0|d%><1` zc;&-YLT%ZI<_TX5zjk;u>XfO~2je?OesMM*WCQkXeqSTnn zEKXxiCnaJ@FdOTkv7##Khwd%$Gdi6wfBY)WrmM^IMX@-!x?G&2lizns^b*q71_Fy( zcQnt#Y2U+je6MbVYU;wlpBAhF)Whmm0{{R3 diff --git a/1ano/isd/quartus-projects/Teste/db/Teste1.lpc.html b/1ano/isd/quartus-projects/Teste/db/Teste1.lpc.html deleted file mode 100644 index a3bdad2..0000000 --- a/1ano/isd/quartus-projects/Teste/db/Teste1.lpc.html +++ /dev/null @@ -1,34 +0,0 @@ - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -
    HierarchyInputConstant InputUnused InputFloating InputOutputConstant OutputUnused OutputFloating OutputBidirConstant BidirUnused BidirInput only BidirOutput only Bidir
    inst11404144400000
    diff --git a/1ano/isd/quartus-projects/Teste/db/Teste1.lpc.rdb b/1ano/isd/quartus-projects/Teste/db/Teste1.lpc.rdb deleted file mode 100644 index e2dbe647ae02a8da130cbebd15bcaac84d83de16..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 450 zcmWe(U|?9w%?KnJ7_x!T1W1Ra78Pga=P4K&=o#u6Dmay9=AyUv!j)**mUH`mKoML~N zxECqBILgnl?TUk^iZB1)s<|dxV^@mDdX!mho_Tl4;{)u;2c=$2S)%kip`kQF7bS`Q2y#+x*Du_{Sp_Y9lD;JAu#0@X(!iOP9BKxPR4H^S`84 zd2NyQl&3B8oA$3#@=rRo>s9Tt)~Q-c|8V@-xuJLU>{W$Jo2nPzns#a3zK=_rW|yfa zXHF25zbrJ*$NPq=Ur6|)s8m(;Q(Rs7mnX7KP`SscVJ^0J>iN+-G8Gf|U!TOp z)Opzd11kf=|Nj+?Nr?$59SI2uC-na=L&A*V=WWY> zXOdYiDd=!a>g4NZU7Oug|sQE7!7qe71OG$G%2on*a9l@BBt?&!@$(G?_*hiJcG+_ts->RIjOI{bAvm z+H*zmCExkrRrf0dilZ_f_G+CFJM?PSoQx|$(%z4Q(%n>6DJ90}#Yv~uK0dZ}Y5Lqs z^`N(V#Fm{}6ZhiO>Y!)A6E7|@TY4__Y~Ewu(7A?|TV4LKE1Q3634W3}JAZED{0+}e zJu8X|`c|}9%74>^soPF{_F7g{ce1bk4wsO~ry8@}eiOv4(iRp>4oG<2THjFH{Qdv& z1K%%7G8C!?{B@3+c+a@xPR6e{yYft0&oBo!>=j*H{;tj2q~bd-1H=FS6^u!#2`L!~ zK(H}d@I1$onGF$=9dns#9?$*b{5M9XS?MwJpJt~=wX%O@?#4Dhij{GenJd#AJ5xfZ z;ng?Wt2+;|ExFkkA++lJ;mb#lUgZz9Ha^UJ^5qyB*A zE^27R?}pPZ2VcHQh{Y#bIKmv@4sZ)EQYxszcc~q)f7Wa`jIyqSdzfa_!<`oqXh&jim*MXA;NdauzZ;LsxNuffSm2?hG zeKmPa^iIrSD<6d+u13QH`2{`2`X|w`3yk_irs)&~kzRQru!P^3sba~|B)yDD0 z0c^iZ1&TM>;1-I|bIGj@#*02<=D1>HnQy74*G`hTh4|xb)`^E0XF`nmP`nDU<=A%d z?OJ}I(HJr`$6lsjFj&9*bLX{>V7-l>AS%dP`S&vsuoUkaeX(Lv3B@mbRix z&e*uQ)ok@+!0m-BpEsEo-VjQvTZqc(p$f4svQ+tm+>=BSN94fG)aj??V{AwjISspf z#~0ICZFkDUQEAQVtM_OP5XM zt*x#^{9FZhG=c-Bos)Vc$EfsomZckH7eRA*EuTJ;`m*?hq~5l2nUkxKeLbA|7A#>I zultL4azVOA{#r!kR1%T(Bfod;4HxrV^s^Oe`R|{6^2&kb1MCI0&y;zDKq?3}-Cf@rV9NcrT&KC-~ZcaJaonhE};5TTS~#fMCY# zLf55;HgbF5V7nb=wxqEg-Ezk`Ott#WrLM>4^IrZ(Hz&Mli2OOfqCl|0+1MH1=i6Z_ zky}Sp6Nso0p!+Mkwu=so^vB}PQL|{H9=%hx!hX@rup%VBceqw6T6?7RE*vRod5A88 zDA-wdZzTJsXABM1#~*lV7rq}?FeafT*#U0PC2^bf_!*(3u&>^qas)iTJyDM-(FoB| z!`v#Uc}Yi`FZ8wae-Q(ce`IKZI)M(R1Iif70Du43n1Ko1n-k$Xb_L3jp8_4dNzI^3i zl*f{-U?RWP%lMFPDdZirBOh7?I2U{!fI+sjn|(c)|D4mtt31xoz>RsICvN}iz<&VE C`Q0}F diff --git a/1ano/isd/quartus-projects/Teste/db/Teste1.map.hdb b/1ano/isd/quartus-projects/Teste/db/Teste1.map.hdb deleted file mode 100644 index 000f4e03ac8fe805efa2ca5876225f0591852a44..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 11227 zcmV<1D4R5H!?6F zF)=SOF)uPOGB6-hN+3*WbY&n#WNCD1Z*HPR0RR91004|800000006`b0000000000 z007%700000004La>|G0d9Ywu9t%!(Pu__>9J=9VaX~;>M^dTZ?a?&11PIB|&alNK_ z6$&M(X$mc1c@>a?2#EOLp#q|!qM}wrK;$YaC<s@%-0 z$0kl&`7Cgd+a$w!MpHepx+vwPjWRXyNe+oqQ&}fDWQ=MK}$243||H}GG>ZGjfpKvPcU8(FxnM!$+O{RL2epxPY z2co@<^4O(E%E=Va%&v%CL#xJya<4&dZh{C%=1quG5U)mTLL}>CI_ZbWaWHuvywvA` zC725tr@S8j7}^oLf|PkvJQ8)LNQ@e$;(&1}A}8Za=FQlyyS|d; zn@4 zbu=Q?Fp`Jro<+(G~?BXdPz27oR$3dB4!cgKBv-j z7O*n9uu_gGWx4mu26>sP98z8_)5)pzGVhXOy>FDdq@A`@%En2hU2$ZkjP$8I)}@po zH|v$%&EI&{z6@}P1mk1hh#2& z6%9h*9IDzydl`t6?KRa??zvFgRXpo=LA2qi!&cAP+*VIJSz{r4l8>#f7_!G9hZ9@9~$iI?H}Q0cyMUd@?(a3SFJsve`Ez}GKT@m0Vq#?*&Gf5c9;wt zPU~@iq-=0fl?>#VB@dL87U+2{n`?kTD4@iX+tM65`oRM~JgT3hPvIhbsivRZB6ZI?+8QM0-zKJ~}io zv_gykiBmDMj3c9Y-N@>(m8-{O8B?7sO=(=Wd^o1)qWGds@kJZ-qU)70K>J^h*tdFY zr1zMi;i1vKv7y0UdXeLAA;yE81x#rca!I0`ohnU}=t>jg@`I^fmP=dYu}o$8wo0X* zv{Pws+Pq;tsV6*M!gBIBEay6wI8z$No9qe8xsKD~ap{ruruLc2B~IEYQ5Y>iObKv z71(W$4IMMOd~7WRd9z)24Y~|(Ks6BuZC*lFark(EK<2MJvxD|y5# zXW5T$#&H<>Vman5BF>^}|8S&7`lo+n_3&Wd=-S>@1AW5~DBU1no@nWj+mNSYXCcZ? z38$|8%Pqh%N;!Ef(=6)Lcp#1$rMxMHNGUX*+R8fxGxKKRCvMQlQRDQ}WFJ~km9 zfGB;pqo**n6spjl(}N>kgzqf<`2cLC@4t+gMWoMVs`I}b@8LQdCW$Qhe52GWZMLOS z)|5(HO!cO6>NM4<<>WWnC*{KRvYaXL@|byfEb}szGD%a(tHVnjG7Za^$|=V>RbI-5 z%cU&K)o=jLKaOLD3lW3@m zr7#2S;pgoPuS86%J&%Kne%c*T`rDO4v=pYnE`CnWK#sKRk~~j+-Z6NpbE zb0n@2k3_l)j`=}5l8lt0U%vp%?TGV{S7kVc$-{6H;@=UgA;+?2L~*;12P9bv%|{|K z&oUZS5+FN|8JMR)0}puh$^+S5EMuN!i+ErY5D#+PA4o4nx)iXdk)DoN_7DfXP0Da6 z6OyW|LVO%fy!upI)jznbbd-x8?HRO_w2U0}?0sTTwe=}P9`o5A+VM0}+W90>-jCgk zlsNVXqwEb`J5U`vyzi;=1}ID&)Hl(Z`I#wD!Wm4?V#sE)i1qWU`{(x!)btJY5A{{o z4_40`s;RE)%k|IAEvU)$&!=2Ca3q%ag@4Aybo_%u19kIi>gom;4Cdco?v;eI^NBu{yX2&Z%` zBRf?X$6jP$FY*-waS;5I!tCAgSL*0DR>qR9wMj1&KBAxa5eu#0*r8eMXE6v_z}A)( zkaNm=Q1fC7Y&xGqrEB?M8e7k(=5Z(j-9;}kUI$s>KT(s(oQ{-kZEJ20N+O90m;En= z&OpWkex@J%EI;^pe(()|(;sa`{L==T=XiDK;kioyw=3spV2@KOi}L`92$$mjTM=^$ z=GW!wt83>iSTL_{Zf#YrzJ9@i`SrQExf;xbHRHn3|KT=0Og%yPJW{(+VYEat{Q{zg zNA`sw9`JVo&KYb`LrX)kG2cXsbivkw1HqBJ{d2s~RbJ?WfYvx~7Zl52YdD{EOy2N! z1I>||FE%V{$xD6V)TX}!44es@yIWeqIC;#b$nzm{h%6o7PI7f@TsyF0WOyiB=*h;n z;f{&(>S!p?xNt(zxQl3l&W!g5P8i?As3V}o{GhAVZd;rhJhDI zZXaF(2A%$AfyQ5^Y~~M7093C7KL_M=fQxM%tqm>N#LQ6we!Mrxo9kMYuXd1IG zS`y=QIvNJusDZyV9=yi@&&G%A#^Wr&@t1IGus@*eiPGyL$d?6mFmBW|{t%~nGIXHr zG+yVv_c|HaJbF*p{6(mY(RcEEB9$6?19(f@5o)oTC&UC_ib^g94c%RBDn`xQ@O`Kx z_xM;=QEJ}C(2q)w_74Q`TvfHIQO(=55BkA}0O#mSr2Du)cf!kwVL$jU0OxgdLrY7x zy`gJKr|PosRAw4J_ksj}p>tPIJsUr2N;YjC10c z@S6gBV?#$?)v4yCetMsIHQbK^o;C@`{ciy$rFqR^Efx1@I_?2fR=$GW7|ehj8phv& zF~%&g(J+brzG8!9^K`y_FrG1{lj0#i_#Xf#b@D0o5kCX|1UR2_;hNBjeMuvxh@)_< z4Ujc1E{~^0^k)#oUgW21hS$GA5My|4#_;Obz&n7A0l&@w?~D)E4acr{a6*sP7~dTa zegllx!F&ARNBF^yj1Skjt`~5QQ1>*aLmwAUIiWiE@qX~tfb%8`Ps40;p$l1UVEara zoCprCQq|evRa!6#ZTORbC%1hrf>6nV*_si!Ca}V`$t1_4!R$U$!?8972V3%3z`?v4 zP6nPj5^lCm@q?f02Vdt0KL>Db^*ZtmErm`@=&EDXylp6)>u1A<{NU&L!8iE9&-a60 z;0OP(AN)c;_(%NU7x}?2_Jd#I2mfe%_*Ix@!*kB1fV0fL`W%OkrgY24*W)YK!Ef|~ z|1Os8RJ=jc@`q!g*BM&=NGvpU>l*%yU&Faxf+6Wb@tXMXbsER*6%W3_;JLj4r%zh* zO@;1OWVC@>X`Sb)fyQ6rn?ZBq8E@*iIyc;8c|D*!)8-g&1DcTYfW)7*%_i)85A4Fg zV)NW!()w;E(zc8r476i-n-sqXP}Ui5cA0>O0EoXtK7OwUb`h`%+uG=c+Pr+PAN)bU z-3y25Jem`1pX-brWi$Pt09~61UG0TF7-&a_*-RhygMZKuex@J%bAIq&1CGB;uBNJP zf27)AbKWLjg5Q83`JlZYXg(HKfnEj z57({S{|h+1*L74oZ`Y}Ysd-!f|0#C!bp|{hplj+>eQMsO`_EqJzX0vg?@xFs?}j%# zl=lSi>SP~Z^(N4M=tQsd0nW!7`6x(lq1f1wZ_O9G8d`c|d?sz{&;A5v;rU=`*gyif z#3Ee}IIS~pts=G`Isresa#FlN@@j8wv^GZF-z}HM|2Bv#f%#qQGwk+R+O*Ut1)eiq>T2HEb;e72!8+mT<~-O|vJEhKvCWj)CDoFHrOXj|OT(ArukF3z?W z5L~PiI#{v8kb>30ZA`m~k#UCk2jk!NCVSJJFVwe74ZqusE+Z1cf$RK7>k- zk+uPFzA)3?x~RJ|+u734lgNtmL4z|U@dSH;C;r2p_zOMpAMwOrG|4`eCfQ{mo5oY8p|i8llh1bMI||9J2tN)c&T@rfSH7bto>VP17Z!JSSf5n| z$T&lN0+r&)lEyAS(>|G6BR`dvNtdT)(iN#OU71$nu1d|MPp8K8nbep*n;O&AVDeb@ zJ_k7d67G<$^Fn_fXfC;hVxbH70=n8d8*!u!G?6<~-38SbQ0d`;FZ#j1><9mfAN&Tu z_l94vzijL7YVYpKHgyzwxGP-T(bio|w5j{5pGjW>9DfN%)Ykz`ZT-D5O|oyKN%qY& z$-b2)*+!7@&1Q_KLXiWitD`Un*9cw7-nqLeUAph2+0>iUB>Qf<4ZS5z+inF}x)+bP zff0WRPx9{p>M>*A?g#(Am+g1@DgUt_{3l+v-{pnA+t2i$dMW>z7y1s2w*B>!TK0%S z*OKfJ`G&)@?f6V$PIo)xv;h_v_aJ|S0?mlly_TZe!aN5o>66{3dfp5DZ@uZN3v4al z;s@uiJ>oCv{lO`f-JN7Z)Ub;md=EePg?{jl0G@o%T;!+xV!(O#P+qC4URCq9p>qj1 zcsAs!v#m?`&DGjqWB4c-c;njm43-UiDZt77_c5S72JU5E%0CV?{xZ!7TBo7V*7Q#R z%-$oOAqmGPQ{ebi3LKY%gKeFcs1;YFV8NB(@E8j6Io@QG|EK+we?||FyKsFLaQr2{ z-F>wu{u{!|8*Jy*=lm(I^QZW{KgAdP zDZc1WalJpqm;5Qd3<}=f7H>s06*?Q+dh#8Ko|gCun7CXedd~3%AMjUwz+VFxe~C}> z8$I#g@Wg-96aOtw{6W4u ze$M$((7t5bxS#2j$K8khTn|@$U~Bp>{NVQi&M)9B6!|boS2mdoehHT3=jE@w(7*O` zz$QQVZ@g^3-%t5(0Z)8VkKjpWkEwX2AN&J;@KHbbD!`ME*D*iktNq~oelm}KJIN2e z2JqybTkEI%6u|jvdg*g5b&^!`wh8l8w+%d}E(^XIK>dQx<8jN2pL+9W_KxYTUAAmN z>FyOF{O(Wt?-CNsCv6rN`RF_j$^xxc!wRUwU#7FIyQ49$)`at60Et8#=lQw(l&Yjxf*333Q(VOoAbJDquW=OQ$pK35T$K zA55le9blxY?A$sn5$g0rs526w&P;?lD-r74M5yzCatsa*0`4uiC4QJ+=pBR#AEk$) zvhjRAD0zQ!)r!I1V^{YFq>+Tff>6frXuALuypte)?bgD<7R(IRF7<68u3fwpHaapg z*1NKAbZoi&K}TvL%Dy;JLT6XJMaqQcLd9QG6Voc!#9lnn-izm-Y*T9)nc}C?s#OG| zHk2V1iPFkt{yfD5U5OSrwqs>bm$}wM)lSvzTobwS%QrUSuZZgY z)3qX+w3k-J%7?deWmI+2V=|Oh$I5kJsm9k=FX|=2vSz0kGMwV91 zijk$2vtndv^|W{B2CP#U>}7ANCRsh@I6}%>f~2geuF6#zw`e?7DaEKP3OK?y+#quQ zKF*MBSw1$D%@3AVK#GKejgG$KPm$+x3u|f@=H_LyP5H)r>!N%|wz}rPY)!TKM;^*X zu43kKpsQpVQOrHw4UIJB&IjX8t<7!|sUk3Ei2K{ov~W=M90OH7iF( z$9f0*73#9A&4$w;>CuGsfYXL!2YN?VkF8uiR;E}vh}fX~RKod?;|2RTL+`TXD~47n z(6VfVNBO0Kiy_H@&KDOKx3u9WH}FbN7dKO#WgN;ugifhD2$i#N+2jC`eKrvU93 zHmsIY(uQZof2m7h$eik?;C#XLkThy&La_E01hyGWEP~~#%{ea>xlgq9&E~lp6=>?FtMDWB=p`~qc7Hge4 zY}9-ig)+XDR%p4x*_RyJ4=8Ud6x+Ibu@7icSj)0yRx35jJ@LcIP3MII{byd0O-6sf zt<*LNtn!1e2D}P(^XD=0M>gi$yZA#riwZ^Y@-sGJ7(j)_Y`#T&Wm53IpK(WKYU7D+ zBTdkg+)$f)*7(8K`oT{IoIC7QW237F##WCGDTB2vYij-3Zv7_cImw~tCWn5=4YhUG zd4Tf{c6-P8hfIaVWo}XqOwH!k^g1@TCg}NYsLl2Z0{Gna8pY1CtP1Cfu7-1Q;4oZ; zGp~ksY6toub9WDI8%KFi%bb&BJS$1OF@1TuxM^ePE`3effnjfQH+%Rlt6<<$XLB4>QgoHlL_7^Z0$UKNYs*??hp4a2L0X4F($jo1=U?5d&I zE1oB&Vt>u)YO01}?|2lu1{7QV6`k?+iAS+}K=G`G;?40WrUew6H54;I;U3-71BNFw z3~$vksLz*jQ0y5n{8ht{jn|BQ0*c2q6#K<8Vn)F5n1*3yU_{P7u4V=lk7_911`7O@ zzl9NY#O#3JAq~N-I0Od-1P^Ek_6I?xI703;jzk^sj)9 z>9zwfH$%ECRAX+&^{4Z7xrZ=06CWOLHSy+l6MUJc`eWSc=^UzO%V%p^a4aa;D*IL9 zqXENA4a0IL1Nk2VgARS1y9qY<@qn`f>+m8l5^b>IR|M*7>_3NLvi=0Gwhuee>^bO&Z=eRJ>S+-I0LAUW7R8-DkyQgN@p5~^Y1y6uN z2R}WQ{U-DoUg$Hu&}aEIe0>0K>5jY$19ypF^V`{g^Pw4hqjpnwBfjn~-(ma}GaJu2 zerBBO2mg>C{5(JS2Echai6?~`ijC$E3vK>8KLw5pQsDS-3LF=v!10k3I4%MQK8wiU z?dW8ka&ZbqTmlX;G2^#j#o4I&XB!wF^~7K5iT^n8w9mdUm?qXwdZ9lRpy$rlI87AU zJa>73uC;y$X0rSWFZ7jOmR}X1=UMmPCd)tVh5iiC_{$`6+GhjRx%wm>c6Fe7ZW8b{ zf$C)A^ja_U=e*F@d7(ca8?C!k{8lWq=~8W@7y8>?=$n9!v*9(_{vA*J&0f~u0(6Ya zER*rKdMUrnPx<%Ua5l|$js445_M5Jef8{oS>w*dXTR-@Ne(;C<;D7LgKODdvpC8%o zFZ=}*_6>pSQtzMcHjskr8?P3pYpxdm<))yGn?a$2KdEhl`U#Xo>+bP96HhsvsJlJ* za&X5u_j!L%2j3NN*ThG9+x^Ae0uvm=z;+71GBCq-myl-IhSKgaIc)b0UKKRO@<_aE zFugja85~U z;H@l4O4s}zo0d#>5z66!5HxDNp`Y=>Fn(y-e_3%Gut$41v^ zEdK-0an9LIu)SV`|645W_ZiTC2O592@y=?(x!~&>@T8l9{Yv|F@Tq9L!vY)pb#6G> zsom6od)yS#0#Y!l;X5dB4_t|)q#ADaLVwo_eGAYrTyHY{RzLV{71>TB)KDMqj)2Mb z7QnInlCMej;;HdOU(rzn4`1@?;dct;7A&Y$ZB+B@cat&q`?cjCe(>i3r)4eqo_ve- zGYFIY9R6%y)j?{D)x53sd!W)|6wV8j+c%sh)8_-t*|)Q~v0F7*&D)G$;Ai~8%HV8; z8glromDVL|dyN;m)(hPjplj;X_NaNA*IT`G7roGf0oweoi_P+(0G;dyLskHdv(@}b zAuHujSDm>iIL9maW(DQNz&y^7H-WBoWN>Ij=kgQgG^`jK8tu!zqi1Mz`HGQa-l?im z^U@r8L=4oRvKfRlvjTGhB$LHn=p|n0f*1NQFZAJF=oT+@Yb-Q6X9`!<#h;-e~=NF#zx8X}j`30HG^L^lbf;?YR=84a8yoi^1t&-=D zrps~;BAF*f=6QRCc}z}Q_XzU%*3hl5SMt<{c{0Ot88;(;J)#_lxEc`iQ9sfrQ2s8Y zd|9=KJhGWH_>Z%en7MesK+9zTt=RSw)aa`PXRd?8Eg@R1HlGgnHB5ZvnAOP~9==+( zPe*Y;`C7V{lS2##wHrjgSX~&iZ>=1M(J)o5@Ts+cUn^qw2Y*D|F5xykjlLBww6taIG>*|o$RmTrIS6cRMj z?vL#=PG4GH-=SKoo|S1Vz~YXKb?}RYPgU#ohkM87o@w@oZfgEZ2XG)P|{ju%r~ULY4HP#ZaKUxMB6e&svh zBL2|(^lD7A!c&-G#PYh$=Z`e{#l5~nuCyX+l-?iK=8Ju@D&bzmgq9gfzrqB)n@-m-dqN3rz9WJl6bIE>B`A6z2+r~J%9-uv8_9!k)a zqkxG|h>-pOzLFCDu$fv{+(uiTAfd52B`cQ4H zTOy)GUtrZDAf6KLM`!hhsjZD^M+6cn(6)tA49_{&hHr90wQ|grAJ|FKqn_U$3r_#=%I*~bn!lHV78$w(N+T9H8-^Q{ukNlCOt zRhwFY+b~sar1>$Ota7AgdfKF-ah3UFhi%JFY*^%?NI&S(?)@FsW8&V@Hg9bjPL8=@ zRofD|t`ys1RU$#ZxIZOMV_O_CEMj`(g_sB==9J@}ly(3KcAEaWQnV74k>*DV+!sXx z;%==vf`oc2Bn`E0jsy;h%!T}dLA#U1)mo(aYQa(`hug_{a;T(p!Ud7O70p*65eavJ z=t@rxw-zB`9WXH^wz;LPPOnE=dvq8ghLqJ(_a&hIoe`DvR;tzdlu`_Trm0#9(d(#; z>Gc%v2rVyA!T$pQ0RR7Z0en_# zOcYlXz98TmP!z=n;sXnG+ak)tWxFuU+}$1Bd30vhvhl~%NQ-L|DuU6_HlgVsY)ov^ zrb%sVOiU0YHPupVV$!scrvL1(KK>a^{9%8XK0>P|YR@^lcXpTEWs{pbd(U^zIrp6J zoO=~k#Fj9|E?piBz{I*>tc4L#dR)VFR6}=F-QiY9l6f(k07?DfZ|)YsjH5h-)FqAE zCO{Uk3$XOr^(UjNVMfRb7^uwVGHNSf9k4KY>+X4&2!Oy0YmQ`vxl_s-V0qiV6E_gH zwUn{tS+FvapZw(O*~&#pHS1GygT|-uTZ%=Jrmcp%r8LjGur9pYvj--QP+_jdl|3&^fip;?wj-1ws zU)Ah2klozUP_a0LtA?3^8pn#uP`QpW0#f>+%9SuO1HGQ&*Yefjt0+ZsiJGz_hUJiB zuF_LO>F7N(J}P_@wdur74uB1$2e#7>K?oQc?(_SHhL0x(`Zp5b(~l-bgoYXbkk|8K zi&Wagz6a^p)OeLZ*Dio|dkiJMnJ5V;`r)?iFvx>rhZ4sF8NT>3zJs;wTfhz8|8iWI zS_=QsF*%}|TS)8lz}!t(q@;-Y2NtMK+>NR|mSn|UQ&O!uiqgmj4R;WX#UQFg;m9#J zrmN0YHU@$pzMDJ;3?hx9JtZ}6qx444Ui$^aBp2xosZj_uH@U8GT~@ihJtyd1?IvT2 zJDPSdtjDq2kNxNoGFy0+Vl|@bb7~j6IYuG3c0D@!{jz4dz&9sm=c(bHWayp7wzHs$ zP9l1~95v+qnCItm%yt(vJHG}C_KpTR@WWsK#@^4$V2B8&OWjT06^*PH#6P_{(FhZ{ zxDjSbzM;z&cX)O|HL(cDe;aFkCh($Mvg+=6I@Za5o}qD4E6P3#M(xl?WnW*t^Asiz zIVcJPyG`1>El0Q}y+AQN*EI7vYQ}^Lv?iIZ5lZ7ROE0o+KpcH~aYS%!6a2^HzMRpu>3 z{Gb20Hv?KI5TccPK}*J9H^rdt-Xm;Rbh#r?v%@aXN}_j161a!efuOUb-|N138U%*n zmY)Z1&7oo&fb&;C(&2&xY@@m?8XPXcuw#<&u0gvhRIa0jRBpACZy&Z#O@qigZI*Aq zJ%?)@r0mD@f%i}mhE7xw^#fH88GCbF?*v$W*E4Fqp#Oem!v5Ioq$4sV=$myZ&<)o~ zjG4W4AGeTuqPwITBsv(#X;h*j=NEO;%XF5qvXLi3pSY)0WHj(QWCU~0>j!ZD^QKF_ z;BmX?U3un>JBlzVh?m|>cDunz1*ZwZXR@98v;QVbxg^EV8Yw{e*gdg F|Nj8i2iyPv diff --git a/1ano/isd/quartus-projects/Teste/db/Teste1.map.kpt b/1ano/isd/quartus-projects/Teste/db/Teste1.map.kpt deleted file mode 100644 index 4506d373e280f2db23f16598da664e32671f9190..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 204 zcmV;-05kur4*>uG0001ZoSl)+3c@f9#_xNI&E4LU*|D`6OngELwuJ6$jya|WkgSnAzFT?GM_ zRw{&TkReNBZ-;3wk2OrP6gW?OWvOr1b67}1nAMWJmz5Df-r`W1PHJ+MLjoF%KgD8$ z7WEz(93)ylF$GIPAbCxEhmaN8g-uVC%RiK+vkL1UG53TRcL&yc@Pn(2Jn#0AGP(k9 GQH)a-4OS=s diff --git a/1ano/isd/quartus-projects/Teste/db/Teste1.map.logdb b/1ano/isd/quartus-projects/Teste/db/Teste1.map.logdb deleted file mode 100644 index 626799f..0000000 --- a/1ano/isd/quartus-projects/Teste/db/Teste1.map.logdb +++ /dev/null @@ -1 +0,0 @@ -v1 diff --git a/1ano/isd/quartus-projects/Teste/db/Teste1.map.qmsg b/1ano/isd/quartus-projects/Teste/db/Teste1.map.qmsg deleted file mode 100644 index 26ca47d..0000000 --- a/1ano/isd/quartus-projects/Teste/db/Teste1.map.qmsg +++ /dev/null @@ -1,15 +0,0 @@ -{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Design Software" 0 -1 1669986134544 ""} -{ "Info" "IQEXE_START_BANNER_PRODUCT" "Analysis & Synthesis Quartus Prime " "Running Quartus Prime Analysis & Synthesis" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 20.1.1 Build 720 11/11/2020 SJ Lite Edition " "Version 20.1.1 Build 720 11/11/2020 SJ Lite Edition" { } { } 0 0 "%1!s!" 0 0 "Design Software" 0 -1 1669986134544 ""} { "Info" "IQEXE_START_BANNER_TIME" "Fri Dec 2 13:02:14 2022 " "Processing started: Fri Dec 2 13:02:14 2022" { } { } 0 0 "Processing started: %1!s!" 0 0 "Design Software" 0 -1 1669986134544 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1669986134544 ""} -{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_map --read_settings_files=on --write_settings_files=off Teste1 -c Teste1 " "Command: quartus_map --read_settings_files=on --write_settings_files=off Teste1 -c Teste1" { } { } 0 0 "Command: %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1669986134545 ""} -{ "Warning" "WQCU_PARALLEL_USER_SHOULD_SPECIFY_NUM_PROC" "" "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." { } { } 0 18236 "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." 0 0 "Analysis & Synthesis" 0 -1 1669986134656 ""} -{ "Info" "IQCU_PARALLEL_AUTODETECT_MULTIPLE_PROCESSORS" "4 4 " "Parallel compilation is enabled and will use 4 of the 4 processors detected" { } { } 0 20030 "Parallel compilation is enabled and will use %1!i! of the %2!i! processors detected" 0 0 "Analysis & Synthesis" 0 -1 1669986134657 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "Teste1.bdf 1 1 " "Found 1 design units, including 1 entities, in source file Teste1.bdf" { { "Info" "ISGN_ENTITY_NAME" "1 Teste1 " "Found entity 1: Teste1" { } { { "Teste1.bdf" "" { Schematic "/home/tiagorg/repos/uaveiro-leci/1ano/isd/quartus-projects/Teste/Teste1.bdf" { } } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1669986140555 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1669986140555 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "Teste3.bdf 1 1 " "Found 1 design units, including 1 entities, in source file Teste3.bdf" { { "Info" "ISGN_ENTITY_NAME" "1 Teste3 " "Found entity 1: Teste3" { } { { "Teste3.bdf" "" { Schematic "/home/tiagorg/repos/uaveiro-leci/1ano/isd/quartus-projects/Teste/Teste3.bdf" { } } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1669986140556 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1669986140556 ""} -{ "Info" "ISGN_START_ELABORATION_TOP" "Teste3 " "Elaborating entity \"Teste3\" for the top level hierarchy" { } { } 0 12127 "Elaborating entity \"%1!s!\" for the top level hierarchy" 0 0 "Analysis & Synthesis" 0 -1 1669986140582 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "Teste1 Teste1:inst " "Elaborating entity \"Teste1\" for hierarchy \"Teste1:inst\"" { } { { "Teste3.bdf" "inst" { Schematic "/home/tiagorg/repos/uaveiro-leci/1ano/isd/quartus-projects/Teste/Teste3.bdf" { { 152 504 600 344 "inst" "" } } } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1669986140584 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "74153 Teste1:inst\|74153:inst " "Elaborating entity \"74153\" for hierarchy \"Teste1:inst\|74153:inst\"" { } { { "Teste1.bdf" "inst" { Schematic "/home/tiagorg/repos/uaveiro-leci/1ano/isd/quartus-projects/Teste/Teste1.bdf" { { 176 416 536 400 "inst" "" } } } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1669986140586 ""} -{ "Info" "ISGN_ELABORATION_HEADER" "Teste1:inst\|74153:inst " "Elaborated megafunction instantiation \"Teste1:inst\|74153:inst\"" { } { { "Teste1.bdf" "" { Schematic "/home/tiagorg/repos/uaveiro-leci/1ano/isd/quartus-projects/Teste/Teste1.bdf" { { 176 416 536 400 "inst" "" } } } } } 0 12130 "Elaborated megafunction instantiation \"%1!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1669986140586 ""} -{ "Info" "ISUTIL_TIMING_DRIVEN_SYNTHESIS_RUNNING" "" "Timing-Driven Synthesis is running" { } { } 0 286030 "Timing-Driven Synthesis is running" 0 0 "Analysis & Synthesis" 0 -1 1669986140894 ""} -{ "Info" "IBPM_HARD_BLOCK_PARTITION_CREATED" "hard_block:auto_generated_inst " "Generating hard_block partition \"hard_block:auto_generated_inst\"" { { "Info" "IBPM_HARD_BLOCK_PARTITION_NODE" "0 0 0 0 0 " "Adding 0 node(s), including 0 DDIO, 0 PLL, 0 transceiver and 0 LCELL" { } { } 0 16011 "Adding %1!d! node(s), including %2!d! DDIO, %3!d! PLL, %4!d! transceiver and %5!d! LCELL" 0 0 "Design Software" 0 -1 1669986141136 ""} } { } 0 16010 "Generating hard_block partition \"%1!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1669986141136 ""} -{ "Info" "ICUT_CUT_TM_SUMMARY" "6 " "Implemented 6 device resources after synthesis - the final resource count might be different" { { "Info" "ICUT_CUT_TM_IPINS" "4 " "Implemented 4 input pins" { } { } 0 21058 "Implemented %1!d! input pins" 0 0 "Design Software" 0 -1 1669986141153 ""} { "Info" "ICUT_CUT_TM_OPINS" "1 " "Implemented 1 output pins" { } { } 0 21059 "Implemented %1!d! output pins" 0 0 "Design Software" 0 -1 1669986141153 ""} { "Info" "ICUT_CUT_TM_LCELLS" "1 " "Implemented 1 logic cells" { } { } 0 21061 "Implemented %1!d! logic cells" 0 0 "Design Software" 0 -1 1669986141153 ""} } { } 0 21057 "Implemented %1!d! device resources after synthesis - the final resource count might be different" 0 0 "Analysis & Synthesis" 0 -1 1669986141153 ""} -{ "Info" "IQEXE_ERROR_COUNT" "Analysis & Synthesis 0 s 1 Quartus Prime " "Quartus Prime Analysis & Synthesis was successful. 0 errors, 1 warning" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "398 " "Peak virtual memory: 398 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Design Software" 0 -1 1669986141158 ""} { "Info" "IQEXE_END_BANNER_TIME" "Fri Dec 2 13:02:21 2022 " "Processing ended: Fri Dec 2 13:02:21 2022" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Design Software" 0 -1 1669986141158 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:07 " "Elapsed time: 00:00:07" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Design Software" 0 -1 1669986141158 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:19 " "Total CPU time (on all processors): 00:00:19" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Design Software" 0 -1 1669986141158 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Analysis & Synthesis" 0 -1 1669986141158 ""} diff --git a/1ano/isd/quartus-projects/Teste/db/Teste1.map.rdb b/1ano/isd/quartus-projects/Teste/db/Teste1.map.rdb deleted file mode 100644 index b25916b646215b304af9f345f2e0c74382016acd..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 1330 zcmV-21t000233jqKC0001M0BZm=00011WpZ4R5H!?6F zF)=SOF)uPOGB6-hN+3*WbY&n#WNCD1Z*EZv00000001Eb00000006N80000000000 z001%t00000004La>{eTE z4XThYJ8hONU!6=&ZQD6jUj;fieL#!ZVn+1D1gYuNI+U*n%9<%HwWc2C$w@EWwJfLk zPc}M=UXrcu%6#dmMCI0og0tt=Ygz^Jr3LQ(Hm+~5sq1M^jiKgKt z3pZ2~b${Tb?7?Uw7v9@Ki6BcVZ4n!3LPA1L8CYr3C!a$8+9F6^cjV*d7g+?#gYCTu z(lx$!ys)NJDG-CFDe9}_5?#PQgV5&dxbcNkEn{g}J1N=rVXrQU{16eM=xOCtBe#Mh zH0L-Z==tjLlAf&gfcX3=8KP~qD#S)h!pKhKVl=yr;UPU&;R>+_s!=Hz?3D=A-S7hU zHX_s8_ZIrv2udZs?1nz2Hs!=qBTTGB5u!t^H(j1QXF5O!uMB75zy|~~!V9N<`~DlU#` zVVh$KvP|=AGP#EmyR-on*T}}Yjy7=YcG>Zhm>{h(2CLl5nhVj$n(V?nyD~Wwre?oNTTxE?(H{0e|z_BA;;(xex3*76lkx zMNLO^?i4+e1<``$4^L)`lf|4bjz!Y>35MH@d?uX-dDHeGhQ(|;pU&yqsF2UEapTPA z$N1|npY?YjXOMK3sv`;UOZX#7metTx(F}Lmn^@)tQqB{MO<&sfNXu*7UuPz$(Cvdi zOmG%I7md=xuZnl^ecBb;8kEa3I!maJF{CIan%<5z-?@WzP7$FcFbJ|yroR)A(Cw|2 zbnZxfHb^~^C5n!(mt+RF7TAo)jS{mo+8pZ!sg4*yvYD#fRJNyNqMlU}+@g z9bWICzJS0#rRI*<=;FxEe)}%~00960cmd*OU}O+rU|?waXrs#rq?v%28;FBkoZ^FA z1N?(Rm>42}{QS}%>OhKt1&Cqt{!U)5&LPYU89=c|&)zqI^nbBl7K0bgenUT_4RcOii{6&bnU(is@liZ-O&fCij83d zP;@)TpLswEY&A?3vRUj556R7RYYjQ3_OPjd&!o9JE0?(*b0bg$l0cCrIzd207Hie=nf4N2 zE2~W*U-a?;WSydhUn~_wjjViRVj7_sK47eV*gw&^_uS9t+;h+8+;h*p=emJFpldE* zdjx^#b_=v4hVn}~jgbOJ2KXWT5bzx=+L6QX5M%%xf$+CGGQdt_qTtaqCI!CpFpX)i z?Hc@(ynMsr77XK9l9O# zC0<^uTAe;GIGy;2cfeudMvuAH)opuqzWnrrdR%YZ!pl~(c@5b4ty)>b%AAM?nW5UM z23P@0AlV=`b*0IjJaBi9Mad!!L}AT$^rWcHVcz z$t-G`_4@lH!@N#s9pMk=HwhBU*ct2@bH(HYy1&VC&9rc$!3v`jIuy5Cm0Snp(y)hZ zw%;mX_}Ij&+fbCis_C$~_U86u?h?{YqCd7LBnBfDX?p2eijRZ@@tw_VYnGQZiZl{6 zLF$J(1o6c_>2c9c17|c6D$6Wll`Qeaww;m_>T4NSCDb6Xv`3*UHktGMxq5Ux_M5MCCzxcwkOV1>_3R@ulz!hJ7Y5C6nt%!V%u3p zNio-$H)z)Jcw}IbuV`lT%53WlCeEmWtjF~e;|b*>3huKATed*HuKm1XMD-$z8>Y3m zmcCMvvjY^*-##CIKlC1WH=ZhJ5@cjGXWi~Y$5)j3b*Lj^<>6domo7V4JAx3H0rG_2 zgO0Y0&OVB)^N^Sc3^Y4i-)EFL*6NY|wt;^6A`r-CyI^HKvd2$|0UlQR?(?dAk zTTh{oK?pysdra|=hMTwpGv(YC$ir`zf{=pz!>Ca6pU}!Wn znVL}AAVznR`u6>Rs+3zhb=|?3;Vr@B7Ey){d~y~n*I;_Ai7j5IkDsp^ z;lJiwjT^((-~KL1&UyEv1zvV1*SE560b_MUOc5TrDC@c_gW71?O%m)1hD`B diff --git a/1ano/isd/quartus-projects/Teste/db/Teste1.map_bb.hdb b/1ano/isd/quartus-projects/Teste/db/Teste1.map_bb.hdb deleted file mode 100644 index c73c92b37ec17ec9f276fdbe23ad1fafb53404eb..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 10451 zcmV;^C@j|$000233jqKC0001M0BZm=00011WpZ4R5H!?6F zF)=SOF)uPOGB6-hN+3*WbY&n#WNCD1Z*Jo`0RR91005dJ00000005Z_0000000000 z007e{00000004La>|G0ZT}8D%MMOkIzy}D3hYF%dOCC*I5YaR_Z4V?Txp@@m^%C+b zwv?o#X`uyq6ev=#KtWVq3Sw16R7AuIh}Cexjcb5R_-`|4;X%bIzW} z&R)Bvh0K@9%$`~Qzt*goJ$v@^Y@5sF_MDK*?TU0`#67^v=;E%d*V{VH8(8MeZ5OAn zd~b00>|s5li$mL0nQD33XGRyF#%r9J>N?G%x5tudzDkVC9<58`LaFBc0NTr_w=2`6 zJR!M_S+8xT4xQJjO|PzFT3Jv3>iV(NX<6Mrm8q@|rFrN#qn3Bsr{jJY9|z<6!RvJ%n2kA* z^XY_x@QBXbz@D5uZ%tppRCIkSGl&|Rbz-}S*G(4 z9i4%gzmET+a3fOUZ$`9n>b|S2)7-k=w856AI_~dh?6g%CQ|jeDe+!}xEayaa>YHBq zo3>HQnlgIYGG%lwT+8S>owB}Cm#))kRx+crxh7_+ zjou!49xBU(Xc@KdfVh78rSEjuaIDZJW=dinHp->Q$U_Gu*E3>c)N7-%wUnDep^89O znz})f0+eP6!v@wowp`IRe#|nBGt11p9;-Sv>&!e%*kEflw-_kFuoGe^ zQ2nR`GA^MRNrW0GbPyDqgU^=N19q%w9{g$ISoLHrZ}S1mv>sQzE_cc4@{N^h{aL5l z-mG~m`Lv$OF;gk0w=3mB+ZyLeEAcLSD&<1kS@DGQ=z3TCT;&?4?bNADzOsC*@*1!8 z>g}x4O1pAK;LQ2XX!{DJoO?HiL+ZF4_eRV+qV8Q+dNXj0x?XSVRF4Xs?hjc;E$>PpG8$H6oaie%Z%66o zIxgtT<%kmy*)9g_t%>3J$ITx&hSjT#U4Ss%v{R5L-PVZOMcRcZ8VxIfe_OQWnrK#_ zem_Lbv$?0EjYDPy+P8C5z}3C>&*q-4gstqqk0R=R+thyF8@g>#YKM)JWtumSJR{p} zhEvd&Z;cA_NE;Df&nsh&k5!0FweOOqfQ*La@GGZ9hBW?M4IFK_4v~FE8+2;3NB4ha zoez^nmVDBv^=g}asg`x6+7?&6tDHJrb!IvFUG{0Y%6eVSlz6>u+N$$9)iP;QQ%@y_ z)}d2ZePubfD|t0XWx1ARxfzyXKj1iK;FxYiOtU|XUY_fRry-zcn&&wSuCG0LTofF0G3a)F_0rGyEM<0;N-mX03y!?KZp2lWRAdz z-4RH)#x`$bBN>&Uf4>U5ZbzJsyeUI2@-W$ZdG%uCsIWcMmA^~ zBH0;v3!c~ceu=0R@zIsBhL8X2oL*vhEs7nx!JcWk2~f(#wO(K&o693konF;5y}P%j zyT7NuyJ~7*)wKSas=Ds#o+;G_)>QYfFJghVj`FJ(vNd-8zW(02X*G3qeFyec*Y!-R z>#m#H+dHMMXL{|l+Pdlk=f%iTz)QcJ7}RsObl|ky2cXk5ov=J&?bP1B+Me3p+9}g| ztLDv{-aECYs;;hX>hx*d&{fq(IUTOqcIoA?T)CS2>EYc=`{xY~9XEOD3DEEmdO`2) z8c~_jv5f4%^>3um6_nz5<>R)@>kyMx52do!Cq4Si5xI3}+j5_Guj?TCT^~z^aDUm!SIFRJ$l&M5;Hvldf6dIAW2A}iy2S|Fmh3jk8 z|5y_YGmZkB=B-~~7@)FjjKMJA=wt@W#Jqk7o~<;HO2xG@_}69d>j0-k>1Mr0k}0Qu zM~8sn*Qdj9_AH?*;k^|W?>!f^Uo)%#!%7RozY;T?0)|x<2A&XvedyBv0?_y?dtjjK zfKO@{_(dRjZd+<;Yp!p~H?%aj7Mtojitg^z?zjn!X|ptD52#D9JFNq}WP!g|2G1vh zy9U(Wfa5RaXx;}<_QdGp;K-K+?YrC1_(Ls?KP0GLGq~LM(I5kx=W6~8R3_*<7rZNi zH?0S`&PPy(MkimZmI8Soxo0{^i^&PX@%Y4R0MFDco!TT#zvc6*Ksz485 z*SplR>)i)vg06SL@0Y?Yw5U0P?_!sHW!T7TNr-}#sovb<&EEs*&sI0#rCMon8#%B z#{rj3sZYub_!HoKzJSMsW~@sZFhv|`jEu4@WSxF|Dl4KtgDBBKem4xSzgmXZ%OFTF zycPg{r3KywtN`Ag4DK3^9m(K5Fn+DY_)ZyojtqXd41Pp1xXX22fOCZUr#TmTflT?a zGWZg}d69*?VSZ+@qinY`HrPH_3zmX|N2#j(z+wYZmi6IJ0G{0b4XN(Mhi245wEpDTl(Cxd@l245|MpD%-7AcJ2hgRhanFG>bqiz&7TcYnD1nBii; zS(fhT^X+8HT~q%JGWc&3*}euXUutRj6N%6+)1MTfpO-b9$4fAzJy5(g8GI$gR#+Uj zOES32bGri0y5>S-v9sA6i)NlnX-ZX&*D9d#m-=GR6q)gLVYtikserOIlbn|UUC3!b z;?LV=7k0V;dr)Mtdv0)P{csRTPq;?N!9WLww@dLy0A-!?VwVee2!QxY<>QYEurq*7 z+17?I)aT`2$>5IyPI~v?@F|~!B{b{noE_yu9}uByQ=zLw=!1a{bePX{UTYJ;KPiLr zc8@^&mu2u@1CGC3bW1(tZO+f=Zck3wGy9tMS= z1D;G||83B4C_X|(L(K5|WXfIeKO}>@X7&FAoZjm=vXz(XOvB8)&wGDL)O?o#PetgO zI#ZvS_v!w#2>lnJ1^xcCNO>nbB2Ydjf>))xebpt?-VHdPIpm`tUByyETcNp7>Zot( zN^now*PlHyvwCIlGXbY{=_aDHMCg@4v|c2s$19CzS_YFX8Lq`(gAVn1Idk_q7`z;Z z^`%so>OUfbA0mS> zqEL@Kx-IQxk<(=G(*dV3>Gri1GUaCg&W}rWwiojC?fJICtj?zTwtO+w12boW>|Gnk zTH9J?wbeH_7fZA9t;JMNF`orS-Y07Bs4q3vw>2Vb4G?qAWh*n_I2#;%HnbgWX)5H4 z&GoYiRzpzev*lB$6pXZ0fb;d2*5()KO?F zsfS!kGmEo2+q{pt0_2>bK8H&6P)b9G%(TyE*2qh9+Ou9TXrYo{)+?AP`^o7ir zzL*))Rhcne4JN^1@5_MWFXakptqA=Upn1qGmWmy?jL^~2-heG@pow~k$-Ei9iUNTL zz9xfTCxd@O2EQI~zA}vUWlLvAYiCEkv8_0VtHN1rEuE!Qi@I;hO!^k!_)9sWz71$* z$KM;WB>PU5WH)9>_T4PWZUPzKSjLDdmN=j~+KQ8Kj?j_rb-kOjrTc!COY+YUH%3cY z);Dzc6d)J%)m8cC*>lTa#)gmKA~0|lb3VT1178eqdjEX}Xu-(4M5O$)K;thr6Txs* zS=QI|&jHNdBc7oQXl(d6KA!={r5SKs1`gUeEmbQn&%lB!z#$k4S4Is;H~D`-ru>Tz zc)|nMRe<9!_2urXh4?Q4za#Xw&Z(`gs>!#s+h!0`ic2#&Y60M1D~ z-SPHTney9Y@E^+Hx69x^0zCEnbqBz7d%6?s9|OHF=b+ey?)p-`v((;NXeiDs78>*I z#aXy<2uW-39lY<9Ip-%)`_c`opE;B#T!;O_0XLlBYx*x`@cRJgbBVTIPTUW$@pKY=20m{9(XT@6>~M2wE@|FOtEJm%)c*@Wp_qAFsnQAJRrvkfh54i(3lT89MUq)uwGXOGw|CS*Q%0VCl^N9<(XpB(f8T#V^a?j-s_NE%722>$XUkL@?OmL@y~9BAZfjdXIUX-ilx8*+@vKrpr)$fQE&&xkuGHDu zh?}(%rlqNyb3$t5vdDVpTU} zbo0zxcaL8y1VL%$taocN2#h97PKmVWntA<1R*cSPtLfo8|0J56gu zC(-hQOZbxWAx4wRvM$R@;gb~?v;|PV*)C*jgq%3B%tm9wh5V1OxirbOC$`Dp?P0jf z@XqwmIq9KCriac=5B<;d(El1E)L1kg1t|V<)%iuvZ=|_;rwc%?@^K@f*wivBKfBR+ z#j1>gV=W3tkI{0CL)x7l+5;#LEv1%@F1**&Xt0)L%d9qP<_qxy=}jL5G~M)Zd|0V( z5?CyQF9CcqT*wdZ7LI5rw07{*-!qCO^-cudk-z{dHslLU>a;C-47lC!d0E!gF-yZn zx}Yb7p+5JVD1$GP!IuNhs{xCLhnDmXFB$4D^OmvUYW-PZ{Vpg!=9FNO$%Bir<13ra zP7nQ580zb;a{%X(x3z8kIc720)d9nktHK7jJa%pv>a+d42tK8?#;~U>Yr^Sx^U4k9 zXqMGfO|G8oJV!Jcm^TAIt;N&*^$hu@`NRGBLf_~GAX8N5eRL%V?T%PoeNav9LDkdp z`NjgS-pnYp<*RD;&(~DdINMp~VZ+P?pi4O>j}1d(jrkZbQ8*DP>&LJv#c!c$^fF`u zcE^-!EK>e#xB$+d3+F7-f8wISq2aE+9^|dTXTzyL2y8eLaN6*R-mbwV!;6-v->I`1 z5wpQq)SL?dU&dX}&^2%V!hYxCCdR{pC|rn?1D)?a&uVJH5B}h-zz$y4avqstbeaq4 z0jS&?Y5QTt)-JqAT__d!N?>VL7q*KtyRd%hYHn#%cK{7oS=PrtDVi8keieOk1oxbN z`m`^{`9AB%F!GI-odk4X*sz)t*G>N(8EK1@84S6T!xVbHsGJkIstRZ~o37w2h{D-O z#{f5wir~Yz(!p!#%|*No&x?g!Ev01N99M=!Mlksy3exw%?IQG#MCdz2=>Ha>|3`%W zu?T&q2>lZg`YsXrZV~z(par|kPesb_6`_A7LjPRW@L!0O|4M|uPlUc-gnj_%z<6Ob zq;fa>b7W)=u1hd)hP$xATTAWr&FWJo&7Dp78u*b7?(gks#_Pd27mSq?_uXLgPq&)k zS}+`CVYnwT!&)%(SQvhqnBi(L@V9X*TXAn;V7S4;@LoFuN_EhKq74i;Ss3!kn^6MAIt#^KiH$fE47XVrCPqe7hsV`SP~2gm zcpoV6SMfAIOQN71F#`m5S_t+|N^l4W?y(T;0|HBLT1+?y3_rIp><8JvL_-BHJU243 z11>HwZ-&dTQc$-83iz;D!F{`!I4&x5G&#PHLQ5kI5ffc$!8#H82O{(>Kqqh>q*TKz zO}|wJzb%>V==iO0MTbV1p$Z+3Q}^&Y9TC3L_?lz`XB!86o>2WUVfA|N+X^OZ0}oWT z;1i%=nft1XW_ab9k&*2z4D*8wB-|t}Y{a}!Rjd!6J0i9&@yYNFn?b`n5VE@h*`xgfpXuk_R3^X+aE;zd2 zOGL_-%9NiVgP$maFO$KS%it#go@Cs)I__lPIWF9DmT%O2GHkpawohZ&zRNOfPYqMh z0vG(WME1MTr;E@lMCdbQ4L>u2H+9CI=Z3pf@cHd5z|kAH>DtoR*??~{722G?(&OV< zDKq128T?Z+_&GB8D!{o`#l5KdQiJ=^6`%jk&4A;)3^+cW0mteLIL^<2;{tHtHck$& z@TKdN3o|fc4LH=qjNdv`d!yx_Z(v*`#9u7Le-?P!=RYvGCf3i3(3eK&Dbp=ZQ$;?{ zT^6BhosTN`(3gwQSBNaXGD1)DuD@MA|AGkpMWFGQOXakyBGuJX({$L?k?JXFz+Z|~ zryHl&h|pgaq1TGgUrB^^ooszqguY3H{+5L6;7>&G1Q(}WXNrFTg?~Yy zd*5|{dM4ZkP4QQpE$(JHTl{C3f;PI~&)VC7QXPB)==o&IU6%*n2<`;?z6-t$;Gv06 zyU#U$Y#W)7U>LaeqBloo_^uLaGh9PyyD@OM2Gd)jrg$z`X)|1-Vf!(d@e~H+o|Xam z*2s(m9{nRICR!-o4hsAQR@yG~J0b%-ejvFE{!UaT9aXe z0hYgDvHWqMlkBrQ1OJ=_|F=ZiUFg39jX&RbXEm2+t_D0CreMFi;9H^b0SkQaox<=? z1AZQ+pat}Sj>wLNYTWP$4_u9;q#0^N=vooFAwsA7!R}^}?ve=I7opuxvzk3t>GS>w zo$k}p3xVbl#e2D4cQ;crOigwnL&GaAZvq?(PW`QcE<8z_>fO~6c=&$d9KLK<7v*(1^(HVI8&+FiW>~nstbtR_!878)q=e>z!8>U|4Hpo zUr_M+lp{c@Wz+Nv&H}}j?h@osy>AXWHTb+P40mOi<4_uAs#QMq7VwKo{+{E{s`@3u zrf1Q&s`=iw>=m?>i%!3TvSa&{h=)N4w(03pe1a_<|4skf#0X$p6dy6By6pB z6e^CfNrd<8w1#;{P(sp;&Jk|DT6%7DJGkcXk1=(^81CD!rC|=Q1XoagOS3r6ac$?< zvbl_)O=HLAGEO&5Ysa+&mI_%MfYn78?{uLKe6h*E3kk2-!t)2u%iG-^h@4yZ3QCakU7XDx}{3E$HC=s@UcWV4vHjO{_3fd8Fi(5j?#nAAl zmvI$L|C}^69{CaqyaPs&3MF=;Dj|m&xF*Ltf~4vwWtz7_UTl zqK~QFC!?^;)Z0wn#%&Z!vmlMNB@5D5iQ~1@mRHGzDb&XH+t*O-RSd27X1=FzQj(wV#g@EKfKLX3ujXzd=yh!mK*(& zQ0+>iUle3TkYj}bv7g|Xrf1O&;kHm?*+DA|N!U?smHxQNXui^7O4wU_LnXv?P|VVp zVd2K9o$ye@Qr=39`(vHY4%C%HVr@CPQbL(NV5r^KD&cKytof>d_AC8JWByPQ%Z;|E z8<^J{cBm^8a}9}n(6F$R?UtI2i+L;z*}^1x3@7hl^(kir-E(REv8Tb2w`v(M`ZhcV zDJMT-n69oWOg1z7xlAU16& zOP|8Ywz{8=e)$d_T2cFos$s7&#G21qfds!k=+~m2PK~KG3(llrUOUzVB_;+XR7ZKW zsP&jvBFyn%26lwCNF?ZahA;7XC2s7eHgmcAcHV;!<1=pdi@fkn(ASdJpXGtdJHzZ1ln6fn5gy5# zMk3sE;dv~4E1o~g=lAKRY3;a{>}Q8@pN{)9+Pk@zt6-kqZ#m;5JQr>`Z5g-p)z~Y; z$I2}FMP0)2u28ZV$ysn@(b~<0;}XtnUaxO1mcEwkNIMGWp|jL|N~F)|TNG-?LBSsM zP|B{<9N&9!%=bN1TZmT~q_Jlex=|RvMsGq?-+Bu!&<)dC$XQ03@Sc`eOBQ1+=8st8 z)O;GY!;}b<#xgrqu`sN}LUQ8TM5l$6)y5IwQTl#@dw`GV=WpP9Aw<jj!fR$XjVMHHTvwiJOJEK%rvQ3vWiC>aRBjmnS;Q{D(AlpakF10qAyc5n)hyz^wo-^}wS5Tmak*;3Xb7wJ>-$fS>Weg$sk*0`1|Y9=K>> za0^&o#>xTodi(ue=qP5)epc2kN7fU|Srd#tIQXz?IrMmRxGD>UpfZO3-Z*gOD0F11 z%>~7{=5Q^kI?;p_3uP?}262Su0>}FY(|!G~_SUBRhr%n!;mqDMm~OIF;o6d{mzZ*-=y@v6{U0+?uQ|b#ZH<#{_7) zse(K#ThhQVu3AIb|KfigLFQl+Tb{LvUzL<*n5G}%@JzC^x0aI9{KcWGIE#!CLb2ky zX_Gf6(!Gvy+;eJdL^u?Jvr`5KKo!}6<@7@k0tSbAgTcX}W9k0B^#u6%!|`EZp$Y(W zzH<_W{Mf+0gW1u^u?m5%Spe;H>ygw(;u)amhs(A?qX>>3Odktn#S+N+4p*~p05@>& z@|bY71pZ@Sa$GTL$m&%8%nvX~nHHjkqgCvblTf-%$xJzhq?ns1OT+Ki-$pPJLr_1$ zkvL9LQ|wxXivRxGiE}^;vM6d!#^Pr73XCUCU;70*ny-W&NHsUO7U7z#aBGV% z>7F1nImH!?TN#RM^wy&wMg%p^i^`=cqPF=2+39-q3)16QFes~r1H818);z+jBS&vF zw4Mf2v=dRt<%BNp!*ky5bK8~I?Ob8yEeOxjh9CU;_hzwSkwM84`gJlFKt1aLe?PrE z-T)nixWV-gWkWW(&2xp%>1#O9V`4IR{2HZUO4tTeZimk8+bLCe+Cg8jTY@whE28N0-LQFkgAm~>C<=RSA;btqv_Ced^6ij)$ z&4~@TXLGfUjQw~%^bVdjZbGPt>Vcv~^>$zA9RQm@^eoNijeid_V106W!bW%41buTZ z1-iC^#F*)u_izbeMa^>yBnH^wD^x;}b4A@4qP>)q3_lk3#O13Xqk-R1{YBq?g8-g? zzq69hyWKE77Eir)J0e^P;>|85zn$b4k3s;kn!aSE)GjsxUsv9H`w>Qq84wpTy+Poy znZ#FvCHW$s|J@_WQAaFt=!(TRQSQ5^+m}?l+%XI8Be+qfv$@}SZ4f4MsAEVbBoiLo J{{sL3|Np}eVXFWD diff --git a/1ano/isd/quartus-projects/Teste/db/Teste1.map_bb.logdb b/1ano/isd/quartus-projects/Teste/db/Teste1.map_bb.logdb deleted file mode 100644 index 626799f..0000000 --- a/1ano/isd/quartus-projects/Teste/db/Teste1.map_bb.logdb +++ /dev/null @@ -1 +0,0 @@ -v1 diff --git a/1ano/isd/quartus-projects/Teste/db/Teste1.pre_map.hdb b/1ano/isd/quartus-projects/Teste/db/Teste1.pre_map.hdb deleted file mode 100644 index 2495ea31e3c70eb458d5e7699a1fb39242144fe2..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 12072 zcmV+@FW1l%000233jqKC0001M0BZm=00011WpZ4R5H!?6F zF)=SOF)uPOGB6-hN+3*WbY&n#WNCD1Z*Cqg0RR91005dP00000000OK0000000000 z001a200000004La>|G0(Tt$`cL}Xb+mxm9CfK3dDLc;V+W|AO_)6>&4(`2T*?e3W* zxZ0V?OdybCVloLyKn?GwyhTJrMZ_2DD!woRM%Hy9qKk?wq9EX|yNj;t;tGgD_W!GI zb=SRhZ`Zwb8v&X6rl#svo&TIur%pZYv-e0O64xW{Z-@ta>XQM-EN7JTGBxp^ zELY3OTSk_XKch;=dKJSw%NR+^G$^XHDo-iP%U3-n&%-^u)q3Vh<1LGNEO{`MdC9|D zChh8_3o0ic*2yUOs;RU&%e;KmGL;AOtUJ<4{cx;j*d4Jlv0-W=^-ARCTSPz-2Oz!- zk>1^lNUqcAv>m4XV0s+9)aQW#%!Q0Ij>bQRB4Wi!nSZ|HQs^LKt8@X&dYFkE<8s6U z5;O3hVKw4GiGvfniKE)TJ(0W|al!mlX9tToe>7Gome7**5<$ZfmC3WacI`4Sc}!zM zmJItkVCJdwg!L*#;27sSd_CfA>Q5z>Z!zNIO()Rzev=uBLtbtWvO zrimGnEm^XJdWsq+kE9+{nyXPN>6s^vk$DF4WzX=KwqTxtJQ`ZM%+7;)Rf+&tJ88vw zbq90xqZD&O8>t44YvBf&@k=R@<$EE@MoT(2U`FC5@n4oHUDqgT8Yw(19nNyPmv;~d~*H1UvhOi3$u*vu!LGL?sml(M9r%~DAl zCY5@Hp_Me!rt;V?B@KDAj;X3I%jB`dl19>c%e>{XK1xdd-q;M^jFxqN*r0OKvd*tw zmYM1$52g&9erMFOiCdkN$+r;?M!eIBcOy+YQPr9DmpoZ7>ttTm%krR9%8@BpiCN{$ zeP)W3`cTh%Sm6Xt8I14w%`>}H-DN#f))O}qCrpxtW#lJ=q~WoNW*b%_Nh9snjE}67 zsh=!aC(~(~nkA&2rCnq?ZJ%lTyy$#m=8XE>g(+9;oHT!a_SyP8#(7f)lfTEUryLWH zX`0$PLOZm?_h!u4Cf72#lO}Hl*%#+M@$CCdvNrEw*5|Azch&u>CZX|P%3_I0R$Q9K zDMv$oBgbxUpV}FPI(q7~9i-#6RBzxZ1*sa8q#(7ldV}lVp!7f`s(Tfd ztH((kGpc1cY{*IRh=CNe@;->Yh}7iyPVa>dJg<5J>28Q>;2BnBavh6a1(GA2IyU3^ zRy(eIb^|xOPLqTSu=%P>v5xK`12J>t0n(p2d16@S3M`N!5&9E!Y`-P*8>A70wvO5hNsP{+yJSb<(li z@rezqPFRbBjcdn8*KetASTVYmRFA_LIKvX%O*DOgGJlB!+UFyPat}p0-sZeej`xCYyTcyrD%sb*W}RBKX4Tpg*uH0R<%?T42Et@h_H@k3-Xp6d zq8gqCj#q*iNF#AFr7EvN#XO{b-I7GPjO5SmkfsmnK3OkQ$yXjreoSQzQZZ)}3CtVbz+At4F6+O^OZg6N=T?LfPu+wE1MzD*No~cxMu}XH*Gc#OX}Gym9#;r)Fr6iublGy%2`H!sy-}}#}Y#t zNh?!XCTW_b&1hMtMwL$x&RgCLpHRYM7zZ484D_j9#Fz3MG~NE`{-5^$Ki@pSx#wW4 zX|%aIG-)x$3_U-6`h!KR;PJL@OqQby8=QXY@h-9C?H9kTWCDD-%hb3|Ux^}InD zhe7whMk@7T%5_N|GcO~u_3#jM-P<`LzE!%u1^UniyyYOzu1u+;hDg2-z5dE6Adgn* zCHb^NKb(v2`ZajZK)f39DP)$Sd@0i1aLn6}ND`8Uw)`|OI}jHl??=P(g}|>vJP~wY zhlfJ&Pgnzm;>OuF)a;E&jx1Y*Sik3SDJnKQk$Dn``lt$Z0in0vS1V}Tut#~kp^X* z#b)#Yj~SR3iq;+!kZRC7zW~_-jL1_Bako?wuqDgU$RZ+%SYCV3LsHxc&c8)uhul(^ z;<71wLP{w*Qp8U)ZN-4J>&+>L!px&0H;4Y;MAYW^XOI5$Nh}+Fl3K_q0lruGnrBw)u$J?;Sk z^>7~GsFMJ+1I6r<{iPn_)^nW#HQfNKUf5oHa6^)`p<0@qy+cLB4RcB0uZXMbsDR|L z4SDj=99eISt7E!>kvuj#JY1dYuZQRubd`8>?r=<5Cj!gJJf|-_UNLW8?TH9-cnWbj z;^By#J<9KBJT38CuwkT+)an{fT-=Xx#ztN3sSRnRZNTh}cC!iH$kPNammuREh((8q z>qflf@)Ro9p*8n2BG2;LlNFMJY)~iy^May{hmxXLs}%p47ZffR|4-l^Mh(qGiBc6; zf6(?xR9=lpV+l4c$M^zjP$2^Ig2Kgv#*%?~LE#!oZL%6E^Mb)>}8qcvIB)YW?-HKX&y)d zijjeN67;a389{~we7-?^AfaR4*!ar&QHn=gdd0h{Jh%@|O}6C7NTq2DmNBAw7tL}H zB1b6mq@y})H9L}zDEtwT6ABU$c6aimAs^B(PktN;Paq{9K0-j599YCNvix_bCx*&W zePUS7$fs^8Ps(JSTL15;+ZScz_20-7!+p>nkSCpN6SBszKPX1fd*s13y%Z$|vPC(mEOI?~Xu8Uy6m#LIAJWIvQK%8*2+Yhl~i!qFA&qZ4Bj zqitQ|Z3`ya+d4;6WAjss+EZhk)3m@bQs*y*+_8ejkN^0@ip~Y?ot@*0##5bR3pz(T zyH>22-#NChV?jq}YSBuKIST04^ym5@J~nX}WU8tYmPG96S~1=+*0G{v{(=>4D_1UD z(KXiA+1c5(aKR{KwT+Wbh8y(JEVmFpmCTAzU&nNo%~&@24Bg>PnhCTF5d+7Q0&T2s)uxQbO&iNhlQe9n(7A@>bbExk2~ktEEsyfR z37yAW)U3=$RBj-g! zVwWW~J_<-5LvhC~1G91#kY!AdjwZCbfqg5GRLJ|KiK-uuxM6V*$ zyi0jS@N%oKUCu59$px=tlG|G_E}2)ufhaHx7eXGwY0Nwy_#48(9|(Xa&2ZX@aB883 zIVfORaI=Z@n;8!UjCJB#j>=Nz$(F!leHwhMQ7q9rp|BtNZALT|Bbr>)(1QX)KLxe7 z>OcnzOH__(J_x!TP)_FQ;YvZJSMx#GQDAAsnxCo&H6Mf?vv2PT2b!AKrb<%tK~l%< zp%Z|TZDg4z*|&79J@g(xd3u%}984C|m4UKq9(5`TGSv^j0DqqGry^NP2bk?MjDCnp zgF6%cON<0R_>bbj?**LfgXfNB!heiP)1YXEzt6#E(xs-m8#bPN)NnrvXg3Sr{t2LD z*Syi}uvtDG_5h&KSDoLW34Yvu>NLY}ib^RLCD-z_v4~A2M7V#?akUjM0fZ9)s zkJt=!Z^`r=Z(YF(KRve{#;VDc+4p#b9o{487@w65?B3PQ{rdt&#L z_wnNrup!{v;dy@WvKh{n5$;vIVulhz-#E@k@!@dr+acKxJ`xYUBp!UJ8BViNd9T^3 zfU+!j+6wa3lfnu08{a3}LpK7-3ltn?$-Z0#S>F((^(NrCy0j(NZtw-7FbI1Ju;#f% zdRe=Vmu+^WH{0+Le;<0v55FY@p4t=d?dh$+;?L%~<*D}2)9j(|wTGSqD7RmwYX01K+J@(Ku?4h5uhyEB){3TND^EwZ$cZVkv)I0aZ0skw&c8+*IkbJT% z&-2BQH(Zq#{RD7J&v?YBGh4*jl;>v5tKm_zU_vg&LhA?rg&EF{BHSzaKLDl0D$9zz zjHN22=7akAzl_ZeLO$jo+r_h*sw|lgLjKAc`D-98`}c8cp~L8OOQ9nUv@QDWmuf_* z-O}p#wiTd!@{5lhRCD=EDLa(SSJH#k5cfxe`fMzsI?6h_A|kZWki=Pl(&0m{Xx$Cy z+0l@Sy61#K-T{wzn&R+Sl6XBiJCe&}tC+MZ*;2Kb&MZ#%XIXy?EK2W5=R;p$ejUhr z-TZQSblz}YTtWNW=o}BwIF^gqY;U!{lXu#j0?T~a75PM2VdUIIQ5 zjW0((RLu;e^ZBfpJ#R3xgt5d*Al03X-9py(dnOvbdm>sDZ<7Z%qU1g-bH<|Vo&|*hL7i-id9*nN&L4eVymE6)? zE>|6bdx859?$+jda)Y^w7+e1fzR`?JRTZ{_!Z zb60fkN4dDynD!21)hHH9m8!_qMxZc$UDD3zk~j+MRuAaQ??z|)da%tbgO2$uU1a`Z z-9zpMSAP%T{an^?gdC5Dkg*6Py1Q$SmlxBeN=^*zfn2tfE@cKp51tGfzFoO~a&oG= zZglrjY*ioXYrvf=S#dC(5gUeTI*)l5GkY%IugYTHR~`!8 z^6FFVK(2qFT3d1|SS7?%xEAurMxP3OnS*i;VxuvzY5~)0%^tPIhqHKpjr%0H2MpXG z_DL7}^x%^8vT_xRPxb9fi&dvPK$2J&ku`P*{IpU-^Gso|P$H*ygA?zMrMeb$&2Q@z zuQah-24784D3-ChQ|5-m2yHl;Fz<%Q=H-IkP}1R=UCLWB`TnYh{S?^m2S6z+{6$Rl zgSkv-Ujg)prt$_0{khtFQ_A*ZSrv`i2&o*Y!^PforMA9A6q@uC${mcSeVZbi&*Po7 zPAQfOLj`E+;eJYF?wn{Eog*iOEsj0P6^vD^IoNnQQx(Wv!Pk{Q!M&!lfD8{-az$+6 zirG@RkWUMPp9%pHhY>l})j-2BhlmcW=6b2oY2e7YQM=3O>Q!13p)gJEp(xqk9 zLa~w?${jBzFrnsqqN~Xr1$w8L?sq(iHmsDnQs?r;;fmn!Q z+{0CS#5qU4&|6zo&W+65Ju5ipG}!!Ls;oN8qi|!WkT@UQ0|pzb88~u4m3R!1Uya}n z1JBN3OknNHi7o30Xh<@Y&SUq6Og*R%B$Cl}*yqr@8Td3*CSA_pe9(p!);Jd{dHR|j z%GDmMqE^jbXYlH_3HnIgIO98(%M32A;sI}*1&J*fx%ybl%S_dd%$)fHr|X4MZCirE z1ewt+uIpLNSiKy?<;t?!WlExVLqT7CbvsURtEos;_tx)B| zEm7s#mT>tF1NNJMSmjK8|7UO_G8JB|2@a(7*_<+tlBp)l74m`a()s`F@INpnr$3f^T zfM%U`gUJNE5*HXS~W<=m&wsU!o5|HqDy`+30Ej*+axKcyaPL2>+p&_-kU~ zKMXv(YC&YpuZ=1BBfwjB^>vQY(I@AR+6(=dKQzo@@^L`%XLVWj`WV_FPK+ZP=`S>T}!EcNQ|5QBqr{lpt6A%8`c<|4~gWm)= zFT;wr&US$^)SF{qzZ3)eBVn+05kna@pP_pJI)EGwVC{#`tHaP0_bY-}4t5X3z64 z{!kdG9)cE(d+eb<1e9Mu&f&!YJcO2v-sB&FgWdSL*Bbd_`v%`<5B*nbeebsy`V&B{ z2IeH55we^z*V#kgZ4X^<58VK$oexgg3*BfB-DD3v#U8pDP&+Gau@`zOp!~#6{hmpE zHuGeHCb!eV%jT6Ez7;1q$9TByLP+Hq+=kWT)e|?4Nsit$Na>3J=YDN;x8Iyty%Y9g5(ufJY`8Z89bfRD5B#h6s;==P4IQwx&G z-Yj14=*gCnZS6-U+uOo@CSAC!BlIMsmUG(45ux3VNFBp#1+-ajZ^D3PT|-$xV%RB`uByP<}-ygbamyb)e{?h z8KBU`L-_{yWvHVQ^C`>z!2&)~j|ZYEd_klfij_ii6gqwmj?0U4MZQgt&EqvJ@m30s zbA8o;-offnp;v5F6__jQQd6m!>+A|Fz9Kr$ff~Nt$$5aDtA?$Z)*^Vb0zDN-gEx~g zg>LovT)=5&I4#AmcEz0zl{TLXmIkGR`sb~f#CbKR@qTR~Z~j)N&>bilGGI>y`fINZ z%VISRQlQs?;>}oXuI*$iw>gJ$K>%V3(6FzGz?j=7gUN*3xd4;6i@)vPUWJ5oITsEWaB7E z-M8DTTe63i?V-c=&=Gs+GJELp_Rx3OL*E$@s%+2S1t|U!spPtFOMJu25>){C&?By| z=kQiq66>&1>&m)3QW-_dBWkWN9kqv!0m?Ije4)ZOe0vqHuB=OIrDj!3{91d}c{*h` zZM@rF=mvY}MnLDmba=@$nO&O67AyRjj-Fgzy!M7op?IA!nH?1GoI6j0M&2QAvRCjF zd+26+=oWkERzP`Xv0-Zc#uZZ=*H0*p>Bd*K(_Loafm^Na0@j;<_c0q$JZWET?pWyW0c|*KY1Z(E;=z9&5B_jG_#^S) z{~izi2f*z;jvwZV(Qh*_6~utgj0fKna5la6W8uhXp_$LUA{KftNA>o$z{eh%34dL@ zmh%V9W7vIGJoxM5!4C%9-ece3i&pPgHnV#&p6Z9h5Hr-ffNio;C9O_6_|P zYoR}|M*h$m`6FxOy+9g#fm0Bu60>~HRNCMunBST{yh!|SaBf1pcRFhiHxCEFSH={7 zf`#~QG{*@i0?rl%Kk?J7?W>}rmHVP6S*R}cMtU;f?6^)mUab_nvdxrV?TBv={^-00 z?{08k6RYiOqoW(56O*yf>wu2q@#|wr-w+Eu1$2nP9yHoE#uC0Mp72xR!8gZ)Z;1!r z8V`Od;BkEJG~n&$srSTF{(bS_+hXZ{dMxxAvCwD6Yxr3X9G^8-CNEc3n_-pOvjOKr zAoxC3?{KD)#OG-EV3KcW#^;=PYMdJneqKEI`SIWv0M64qJpGc!m%Dp?jfcW!_PekJ zJT7VhkN3BL$Hgt+aY+k!TnZj9huy_DiETRNvKA4 zMd3rS(APNV`3r3<_hAR!(e&m@&@SfMSm=+$()>CHy})YE{n1$Hj{%Lpgq6`g?ubrx z*>u?Tj_CO|;7>TB?M||8h=u-SEcA`B(4Pv8KFsID`YWN(kK(l45B=3x=&!{>-vV@) z&2EtHU$?<`H#~EDbnTVX@>_upaf%hB{B6;NH#AS&9#8l;q_5B_^!-Mx2#sC&E5B>9JM!tVq8Xdn2? zVYLur{rds%-2o3X(FNi6aKszBJqZ5Sj(F1*z##mdG4Zc()Nk^LG$;~5;`fRv{*{h+ zOY7|iIMr*uD+yBm)sFBeZSQVO^sfOtvgas^)BzUa8`2ZAY{V=0*8`8g5VkwkXVe`8 zC~rqJ|7m0L--&_O=fmL;RE9C%9Rc{+z>~kb@4b_b3*8NJCmiOBmbFaD+l5xT?#Sq9 zh4oyZL(Et%;R?JhJUs7X_wI1;?J&C^d>~ZQgV4vt6aLwF@Xv*ZpX6h?--d%<2g|MX zfgglE57F({1i%lD2cH8t^!E%G#jQkbknls}2|p|z{7v!TZw4GjO)SavRtBm~Px^z} z|CV?f907Qkxh_cjkumXefw%Acd9lz(`J%n^bWqFNfW}|5&l|E~LGV;OczZl}M?Coa zc<|16@Gii^`OL*Wliiu2&|5Jq{m^H{LZ2NPeYub3KL8tFN2T{Qrpw8=URXi0P`BM&g@ZifjBYa0a zwP;aCLo;{Ir9^k5?tWB6vA3fC|3-u=QvU=fEh&B-H1K-AOJ_we9hJU1kH0DF8(hfl z2Fc!lS+;h8Bh-CP)nFa`67NDFIct{tGQ%ppnh#QVQAC9mo=1m=3a3^KoWi|Jpqp%n zUHU3Q+pUou*2s*5Y=0=iPb{rpV zX#`)AMm2M-Aeq7S^xYfrgWDT7tXfs$|8(PfQwhKN8ddH8^Iacc1^3Wyg`oljskV42 zk#N%5iC^91kDN2l*Mes$d3Jy-=MQ$vJbyk-<~ayto?oSrd2H)Np1(A@x08oY?%Geu z?~6R<`NZQWr)>TLC{sobB=UL)W&EN7^RtjY0_g+zjL+`KBbzv#|EQ>(bV(SoKsZ`c z9+(r{Otk_bUgg1tHrGRZgi3|b#?2uXjid3JGv$vRyC^h!XpJ*B|2QvGv+DdPf=dtn z9+s;>6KiIwsjfi|@k-%#+a*?mnDyW$qB##u3V(mQIYiT=6$KoCF0oqU#=I1*5NqaP z?m07$R#0eqh&5gvw`M7v>0Cw@r&ZB-n5CF0I4isKFwYL0j9n13l;?z^72WWhDE2%t zHBT4fWJUEcZK!LiYcsCqFkJgx?qK#0jj1-nXnI%_X#Qcr!wk`8Z4Gj<*FfAMxhz)n z7MNAnc!=|2wQse(gVxz^^okYt&Qu;+TPzAJCP&R3%=R!V#&)@^zQS9e@!(mM3u2+i zAzmJu6j8vBqH(v((8E);R(FFYb{~q0DMjn3R~wmX^M|IGg~ON^EpyMA2ePK=b5bCN z>APS@p|aCQu8z{$A|CmU*_F>pTg*(gwwNI=Sc<=-w!C1CtSP3A+m~QBY=5%x*1u*C z&0?aU57Vr$6lNH0o%4spoMBqgFXr_{+vR9$lttsT-IjS36H>M+{Ss2_IZD3>$Qec+ zXDAT!=DQW$U~UV2#kFXeAt5`e{g{MyndYcyrPFVNjzSmNRpzd zSXMTtFuRmyF*D>vMlq%s`9NQbaYm3mXKRmx6&^`nDQlI!HP1o9$PXy0i<8xP3XjE< z@=Jw6KxQix+WD>4bJ|j%U8)c~R4KygR4HGh9%IVWOs}RG&2jgIENSFh2)P}%Ib^{j zESUXF;}fJmef{;@`1>z)ir18{cxU1|rC`@3I}g#NXkuGkVCx@E_0X7V1zOKNPX*e< zrfIrv=Nl4<*P=T`4bp=<&OFG~l{a2=)n2ZGr*3?6ccO&8eT;5^aPD2#ejsAs@(WJl z@_-a8d`z|a<>agu%=Q*&HZ||1?lbbxnEDsI7mQ|#szl-wyiZ3>85w?vk>OJPZ7fyW z;?MNYPocTP3q`SMJ=_ix@*F6d2R$cD|2#eS;&EJU&HnSK{(J9~bBMPCZ)y_>d9$JBRx}s5N ztEqO~QZ!Q4gI`Z_HSSq&fhJ{;<3r`4QPc~BI8gr%59|quEZAQbNQ-@$tz)gE*uzUv zXDT6Dfv6x}QfL>tM^PD4b;(1Maw%(6DIu?as1S{*xj<{22CY76qCYYJRFQ z)f6)qXgpd`V7}Ab3Ms)nMU>@+IC4{`Y`m zZUZ$hY=x1{w+6Ho$b2%QX{r@y7rV5Lq6X0lG(9w?8l)8#)0k=nV$q1!*;-pPrdom4 z7Bdfx!mOz_viU-nYrBCPweA8 zR%>h&RTRE0EtE&gqflC2mPfH&S1pA;*w(gpXYcL~-I-ZuW=l6Dj)ZDyO$vPwNY$jq zKh=5_o^y8Z>~6R1BsXVf?sw1o zoO^;ShZQi!-g&pL2?#p{YaOg`!0#AQTQzh?)oo!0xgv7eIP6p$`fxf2D6XO;Qs@?5Yk(gYzMVb?ga8OklV)=>Bi|Nf<^k6LxWENpTNqpi z;H55@FAUxT;AJitRaiicdT&GXau*EtEd;Iw&MVj|06o)ivl9q`R|8G+y5%TFq>xp^ z-`fZ8lwsIb!opK&CQMG7fElKUTKC!triCYg8rRs*ML3vm<*MTvfT1VJ_!hiP27)z5= zJn^lBaN5-Gxu62cE=%&%gyt7!CfD^86adhJoC{&4`?{1|So8j&OSp?EBg@JP8>UUV zIRSqeMWo~8*sxSjmZu$$3IJ?i<#6_wp0V$N5TB($(N+X(WMTNa_4f1=^0@1N)8ui& zY$8qYG(Lz!5d(vrKHtD#e|K-!W&)gfWqe37k*3cM5a#0+_Bk9MnHVdU;oJh>lzp?p ziD<`f1mX`+UIU6A6tW!_X>jylcYjlwF5a~F=5qEi;QD@jZ%isCZCAo-bSrV4?47xW zY!M-w2&9r~$DN4kH@O*iqFgn%k)MZNuAD+JYA#DHATUv#n6BE}*$8ZW@#%%LG6!Uj zOK{x5o`n6#smnhAA&DhG(e$7ifnbTK&;vqORAE(QIo)jl2`}LZ&rXI#J#zi74>ih% zCACU=9#IcwHGr0+)a(Y}=CseJXi*31z>ZmSG=fSJ^juXP-Y#T`yf~DIp|oM-s#q!b z^vpM(+2~qKU|F{N)kr|$X28+7&O^fVWGUDRXmm@qg0nMf-d6Pxx#sqde{Ed?%nD#h zU#B{Ylen6^tOK^c-alRigpAn;6y-xhQ%qrt%;J5Risq-0+WQhOOEaT$JVIt2zjc4H zgvlkTZr|qBS0Mj9?0n@!Z8) z0nu~s{E#fTP4JE8&4qRpwT5o0n=O|IP;-o)3~rR0zz~Lq-R@;wSLd%J&@Ww8OiDZZu=%d)PPhLZfzs|S!TE?GqZ(XJ|@j;iRp-)>xiE!vlti|f~f zo9fwV0JMGbZ=sYcGtj*;oCLCg99n+k4yGx?5Fks<3OSI?oMxs3a4#!|jmEq#_vS#m z5g39AWfs_%mDk95?z~QzHg7gJQU>Sr4d$UK7)R{WC|8AsVXHw^m`$YH>H3LD*mC`n zp&KN!Ewsmo0fO*B2V-J#CFrzsQ{{eWMRa-KY|u=CzdG0Sf=nKn3)HeyJ!rIKnb`>J z<~B2}EzN6dE8Q;>{T>gnA@!Ce`I%e~37#d+H?O!6o^n#`uuVW_8| zp^#kkgal&hKW@df02_w4i!VKY7xSwGmK+giDuVGhhI5Q1vNWH262nNyL#aq)PGZ5V S`vC_Cbb4|u_xuk40RR666q9iP diff --git a/1ano/isd/quartus-projects/Teste/db/Teste1.root_partition.map.reg_db.cdb b/1ano/isd/quartus-projects/Teste/db/Teste1.root_partition.map.reg_db.cdb deleted file mode 100644 index c58d164f58b8622d55a614aca415591653932ae9..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 219 zcmWe(U|?9w%?KnJ7_x!T1W1Ra78Pga=P4K&=o#u6Dmay9=AzO@55c2>!n?QH~ diff --git a/1ano/isd/quartus-projects/Teste/db/Teste1.routing.rdb b/1ano/isd/quartus-projects/Teste/db/Teste1.routing.rdb deleted file mode 100644 index 788ba14046bb5fa19f3e20d4d664d2055892e155..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 3460 zcmdUyX;f3!8itt>jL`-`BO+j3V6@0&OGFgH9AuIqC=RI1SQ$c? z)G&oH2tq1o5h4)47*Qk8hyr172tf#t8^VuE7e%;t_5Qf)oVCwBXYcd9@AsT<@3qcm z5fPD+^`e4SMC82S&=FiwX#W6DAB+lAOG8^jTg4>E)5~222Gvs0*47l9P%S~%9-(s7 zGZ3v}=I$9Nm}>x$6o#MzgclLeVnpFh?1sf>7eq&y);@A4wo3Nr6Dvk?Q|k!(?TBfz zdWqg*Rp(Du+0|c#JAeO^C*+*DTkLbAzPEm}-({Y)nf(rZW>%#j;UToTGm{sunf(|) zF*X))%tl9at^F5U^F%jw9$QevC0=D&?7`L2c zbd0x_<$dB+em>S1I9N7kFi7PYrSQWUIU9r3ls3p%s_ja6uMZ)l!0)gVV(r8{OHDxt znfwR9#eo#i9WTwhyr=v^ieZsn0xv9>>N6E)-VnACh`;rRW?%M1v}?R=U-mrDKU{yC zjSqGhW`9)!t-jpk)dnEd4-F-pNKSX7v2Y`LC@&&hXG!T;yfF+}9D_WWQA3 zI7jj|C1{-b3jV4!2zPD_O;HuQlDo|7k@d8(-LyJ+@k1-VAWTTNo`zSbr)}|w>ud|o zZzO6o2ca=-f%FGKnV{sq$$yFMx3xgJm)V47O>XBA17_X}IN@d59Y*d-)`-CM{laT+;f$(BiAYH?MMnR)5t@jsk{Sf2l^Isgp zsLx~0VM_8-AWOLXwP1t;uu@Z9Ugt=;Iv3w*&idTr?+y2clhfdA1uGV+%pl)%8Ky<; zdqFaEFZhJZ%P62zO#Ng;I=#D&{%67=_Z8*~d6)G@qhMJL5{}rM(nrkkjgX2^d)Thk z4Jy(K(&5grrz#LF!Kopvx_)3m^PazayQM&c0}szghkASh4fU{^w|F#428J0Rtzh!2 z7rH|w@8QP-zSQwAy+bV8e9wG9m`oL>LODRp`+2tM zeQ5`~2b|HE^8Bhb&{w4Sbii`v7M3YjxqkiyH=szMcwYxIwu&NGpjgQ;qH=pAYhCzn zFk&UA)WZXwF54KE@O7yaZTKkeTf{JOjuz1LD}|E7?HxgXlhWdn5Dq9Z2u0YO53UAk zl*yZ+4cWF|Cws0wV=5@RV2)Iz^4 z{2s3{Tt%xW^IZ+F_f_2JAGvJ46Z5X_39{rwzViJ^zAZ9G*Sw!rZl8G zdG(EVLx42+saE1Y{prXluILasUP5+$1%)s4NoxA1bU|ILqCvI+r94;wsx{WiGp zHs$qMv*?m?fv{{hg}4`xmOT=C^PQ@rZse(d#z(RB;EW{!yD8jG>X z2Jy120lO{2zzkoM7d+z2D8rzUm#2Gn2-*FxdDg-1y=^u5<%1Si`pYgHE2XrJ|uY?GkUha-& zhJ8R}p!UQ(xtgQ;S|PZ3`s_tRJ1GIKZc$qsA^oUT*kB!-_=3L;KL3K~FCK30o7~*o z&d!|8qpr*UE$X)76`WJ%pExJ+S)9r3tvjP;XS9fIMSv~I8OaEueMg|iD^o?mY0aEtGCMq7YX7~5b;?vUHdUZTgC8kS8Izr@s50P zs6h)p1a9VLDoG$F9xvCn5HahSimaEllV`_}w&k|bTj&hBW^9(RsM>zHq(~j9dlK(V XZqqlRAE_E=UmX90q%Zs!@%jG(L$rN; diff --git a/1ano/isd/quartus-projects/Teste/db/Teste1.rtlv.hdb b/1ano/isd/quartus-projects/Teste/db/Teste1.rtlv.hdb deleted file mode 100644 index 9ff0a44763ae6b8f88aa5fb9a6a71cadc10fe29d..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 12005 zcmV4R5H!?6F zF)=SOF)uPOGB6-hN+3*WbY&n#WNCD1Z*DX#0RR91003<%00000007<#0000000000 z008AK00000004La?0pTK97UP;1d-zqdHGO;2sp%mC?xFcW;Y1}?(FRB?vUM?ab|Xt z;OS&HyMaKGjmah?0X2Mo%D0G!sEFvP=P7<+1dN>LK|~J~Im814{?7Lf@8$LI6%d8I z|9?%_Om$cHRCo0tAX~q!t?H`h|2$7U^;C6rcXiL6iA3UN#Dfg+5KnzF;F#r%l3u1J z{<9sNmyYFX8F|ada`Ivn4!~5gID`JFo3y`amI1@$52G9I4SclbzA}+WNei#U|A2l zA;-83@!-S^{AXB&cxd9V#O~s#_HQpFuSJ|cFV)$>BF-O;GZaf`$$E*PVTsD*gA2^r{2 zT%~m;ETyK28ImnovV?ky8YhpW9#op^Q7Y+~CytSM2J&Uk@R+t>o`F0XTDr{6gL+kp z09QL{#d>uIbM>PXb3z-b299gt7Mbx&DUs!SBg#fgIyPWN;!EPcEK|C!QPjwh1^d3< zzpSE0RDB*e@jwd9#kGsxQmrvBZ)_(t69h<+46XO8(y14Bw2Fb$-~O za?-NSuU?j!>Lm}R44i&v)Ut^?oRrD;5f4MW$BFkLO*&E4nf8}FSug8kUe?R4E1^x z_}M0IJ>{5qOw-iPBea8GA4Im#jD|nRl{9%U$iBGf>4rblY8w9~&89ug`keR7uDV|} zIMi~I506^Xt|ojMmmD?O?*F`I;kAUDisx8)`BS^1P)ARlwu5xMm+B3?q##v;k`$zt zR&Q|qUno69iRxN~otD5)Hd9^&=;UnpYO)Y)ik-|zpZsf9%l`;eo)g79I zenc#5?~-hSsOVm){=A7yFq?0pqVhc^BEc$D6VoPELitRaiHvHsn~11ZhmloHqS5x0 zDDKTkspKxhPA4M_u|SqG^`ojuG^$UDt_e4oS2)F%niQjel+j?+tJB1aZEQF9qcB)F zW@eA3VxdMydnQzO8wW?Nk)b*=lvA{4w2n+VhTiFQsK92C`sxi=q#30v;fK|N-kd}LWzo{~=D!lbI4F!ZD$M%qKg z$TDxL(l9UURX(!Jn}(tBVtDM8DUVr4o{X|gO@qow(~PgmL*^wfKw_O`NiB<p&cvk2 z6FUQV)F;g+aX`jgqR5i~vh{Eva5J4F(s+$U>{A}4-h?t;sm-g$CRdTu{sF2y?Wl49 zXrwAZ=ZpJ5LmMzCOZ7CrWWXVKY{PE>n4N`5x* zGMaFbj;Zt@%2&e+xC6_b-V8~^Uc^`PVu5|M7y60|ZcO@io`dc8caA9Um16sS z9ACa1Iwuin2broik^ZNa`O&HMGH;?|ecUKzNj;mTk~S!nx&+nxl~bNyIm^gT)rV#B zSYk*cX=N(QBu%rl87=G7sPYNIdCQyO6H0g*nt{*4|vSLyim0Eh+V2d@B9j64=^H6HNaa6iO+#BhT`7TA<#RCEzZl3?N@?i%V6%wulF{QVJOs zp&_*-?-~!6BA-GNZwLK$MCOGe!owt!oe;hikv_t9vAnhgLQ>GJHaU@bu&Hf-kOb^F zsmJ{wpdQZFhdK#B+fmFuIY{au9@^F^P}2>t>V@sKEf12U4b{@@>>Vm19yLn>e??qf zM+GF0t;myy=E!!7 z@GRmo#G?>7dz9bP*k|xNuwkT+)an|06&^x4W23IN-$7bwD=_<_-E2ZP@-%_VCCGR? zV$osZdW0>xJd4V;Xw5^6$g{k*CqYt>4GKkIUQo30P*N0YmEu41g2LtE{|Veq)X+?n zC{=Ow2W_83<@Ja(mSE#@jIW>u6(TS%C|o>fEE$*=6t1DvCaaM$FDP6toKz^77Zk#| z*y}Vi9sGV|9-`@;vOc0nN&<>rhKij)B$1cxb!kH9NPuV)B8@^|FUusC?I`3i1M?(E z^FR_%j10_^poay`2r?w#&H(XgfsVOj<16Y%DIW3EE8geBM-Lem6);( zw>oxsrM3Z05VmKk2Kv_95&!O)izIkuoTlU;|BQzI7nU@xeM>kHam|VAd?#44#oRE0<`R8}Z zg}g*~Q!$&Nkb_t#M@#QSA`e9lkJt|-c)U`T6YX8?AklW z8aX!_5-TXF@v%Vq7;0}6%fPIh1!Ni17K!CquiZy$yHP?p@MT` z-|*m|8__s&VNYz7^WFw0^~~nwt0vb>By%IlaPseNnCxWm@G)6KNNpKcH<=>-eSosO zHqomHHSbbh5xmSSn2tvQH$y?@)$oOI@D*4~`oX^#5B?><&BKDiy?Q@v77Pks7v2gPMvT2*v%t ze}ZUU6yyt~q4Z!fQy41d2Gf;X@Oeyfdk4lP^J+K*1tI$2QCy+I$3HtP}TXl=6C(YzaKkr@<#0#S*;(3j3koWkgdkqRB-K zJt#2rQ&4-W4s@`vSmmhZgP_X*1(sH<`KgLf^Fin_`}Qt(psBfS zsw6cZBz4>#IsquzMwWS+eM{HaL+=NamuKn0!DKOA87QmfQKzCHQ~d-C@aGwSDw4Hy zfLT7n=%=VOxHI9u!AS6f|12K-0l>*Vc{O71N4T@&?2OWGSU23|zVdKe14G*D! zcC+y9UjRyW%^S@Qo9WYG4+9$gtn(zA;K%KkPBRRbsDx8xHT-*IMS?u|k#I`TB3>mP zwTJ!+Q2S}|F?+%P1t>S|aV{UidLe_MFK)xD)|L4nn?2DACcgy}3NW64Ux2HF))k&d zL5P`SFRY&OK7L#RHUxYdJkJkaHpAI6!o7-D%uqt;8z=cFJ{%5y7bN?^N8-U3$Ad31 z!)Z1u?=@Q$P?iNxTS2~hS~#J8*_W#z>l=c!-UvL;E^Wy*>wSSJ z48ooPta)ycUe@m8Wt$x7%@%ybKZu_4!*33Or}o5qdwL77__Mihd8R$|EPLn&?V%R{ z%H>xnn;y)SF&QK88-lv}LVMX4*+Vb3hhAb2z0@9hnLYHw_R!1ip;y>Lue66=We>gD z9(s)#D(9-FLF%0^u64?qpBeV|xo7oNv*4g{cbh%*mqvYMYyIw5?KGmPGVgYI?ethE zq~B@qkM@n_ISgo<$^7+Zs5F${{joQh;j|QQuX!zX5TLZfP_{QWJcNvI2y%@!pz&vQ zvt(X4rTsdoGa|IR(Wov!X@fa|EAgPV&Ib~IR&8An6|3BLJtRf6)PPN zq@^pL04Plu`KAzW9^%i+zVC{PRqWdXtYup>_Ryc$LmvT@E*#w<=3oN=k)4q{=U4~n z{?rv%)kDy*X|qNi52R(stg(lFz#e*zJ@m8o(4PZ}zeK8iZs!s8?(k%SdgsA7;C}RvqhXud2PnL8Xh+bCggIQX#L>7HpAIbgnK3b zZ$N3W%F-flW2s81`JjIOFJrTVkWV&&DFEqnt;VM}#&Sk~j}g zI(*18T6Y6_el(<_?ggQccf%u|qd06!60aU-M{=2L6_ZvaTdEe*nMLXTEbEVlMd>~1 zeCQj@ZvuI*n_n)E&Ku5)J7_0F=XkKjv0Th%d#nAWbg$6z#OOSa0MDLuIa@74xx#RT zZO>K<`D!^mlGWO8yl9QoRoo9b|WxC~88ORAZ{LS|8QaiO#* zU4kuo3HVGjz8n?BQns8ejbtU~C7F>@H8YUT=d)t=yv58C#u8@&sqQQs59MG??mR$8 z<;#^)T69?{TZOp7a4C}&PZf`X5r_)u(4Zvm;e4(*u(VpvzI!-}jb5c{@4L$s)0gY7 zHg+a$18;+ky^7N>YJ7$r8%1CQ(GVLp%#g2+D)~HK75?#?l z0i#hXxh1(=t~vzw0{0<2t&>ur$FfEk5C;B@7t*W-wY_YxE)s`(+@$9Ow zxMq^!vNP-48=d>HF77p^y~8+b6bq$FRpe?TP#C{1X-9NP9EEkO2lV9+qqBW8*k+bO z$9$G9GH;RYA@_l+zlZR7E^9bKPR1r=oCFfx-L>uI#dN8X6GM9-mo24BnE}y*r-O!X zPOh7roT{!JT{pE-ek+Dd82OGvx?Iln=XnCgfd~{MMNtg%d{yK?Bn?sdY=w8jt60~G z8G02+NnM*v2vLJCPY}>$8a(j`Mx32$Ghl7Dst@(m;LbByaWI_`3x;Ysk9ij}doJIv z%3|JE9tz#^>Qn7Nu799fJLObxmJn0n8ptCXeJb>24$9exjmE&L1x&9sd(=)ooW=WV z+$X_3VBiL^PrBHr2N$Q8ma91VRNuX%SarGsB#E^VS!0F3&n7iA&lCm=C31Q{IPv*d zs%w7NytYp9x)RG}@YNKB;xtxw%G{6`p$$h9=3O7zygZ>dlytaem++BHzQ5{WKLz#& z08q*be-TstU@jBdR{%Y_sl35Lf37y)l(PLet%^o%fK-mu;bL#PQaisy6q@uC$`y>K zeH$a2&*Po7PAQfOLj`E+;eJMB?wn{Eog*iO&5k|F6^vD!bFlDqrYexTg0Cxqf@@9Z z1Ts8W$rZ7LD`rdOLOv}FekKG&97g0=R|5^l93ncfn(L)TXMrQjOsP;V zS9`eXOP7{b3&l!qD0i}$z=WC~h^{7A6zH8|y5I36+OSgQnL3v*4p#)<4}vfC3O`5j z)wc%QTz&+`r1!e{ekd|uPOP|&D`4F!Mh5u}=dpInXEPP7k1gK8jZ!xx&ESIgAOM`*LE*IszJ!45jl}y&+Q%>V`xzx(VwXdN%`iLuJzC46X-l zSm7M!VkIwM(?hx1#wu#n>~#jOZX2PG)QvN~W4X-WqAE6c<0?oj!N}FeVqRvdc4X$v zAGlmElxoWo6eh@wW^vumYR2ldATC#y)^1Z0y*mo}(&hR}4~GdagOgCkx7{9h-gG0) zB!E86V3iD1jJ?WOHw?0A7%3!Fnv)zDw%S9_29)gdc~Nl2KdG-*=sEU6&joZpu*Q-s znJy=BRv8{lmy)^2yRpv$BQCZbMwqT~={_`sRZbEs0oej?8q}im!HtVJc@FmCJb`5qvmrt~!K{vHTl~1;W%cokx<y@3gLQceF~UJHe=R7hHFN8~!W?>~{gPoME@y zL%(OO?+@&S-eV8F*IM5l*2o{)t9zfd&>vYNx%-2UOK|$~PDaVaxynFtaW=guS;YRE zIm1Qov?Py%rl((nxsR86ZzSKs#G~1V~F~yv|zaM}fp&q7Okf&6@_<=z0LzL&P$8aq>6_ z|FM|(8)D)=4m`VReq_yWj4AmOz*~0pO^(vhC+APv3;mQoG|b85(}3d7>bC67F|eNj zc3;RXj&!8j+LMJ+GCPz?MSsEI7VzQD=(buw&Nl@4_-Et6Z;c24Ts-*azv3qY*~<|OtASx%X2?V<0thpw}St_Rf42dC_XZm@@Lw1=Ky58VW)os~A*3q2E1 zeqyKo%%nb>c``wh+gagd^Ue+5ij$mUY;L<0Qh5cpe${yO)D2^jqc;sw`ZB<|UK`yo zH5mpUS93)#?z<$r_O`jHxsmTg@pBt|KvhF02Uku_B(vl7*Go{Ng&-SnI~!k&Nu`c! z?>H_sKbh>!;`NT6Y$@5+eoV5xE!=0)h08iZPeW=sr=1=V+UieK%DI~^)*z7Q-8N(c4NJ28p#ZcgL<+Ctv^txlmkP%>n| zo(lBWUK^IhY8s?KuLH%Ku`0Qm4}xY<0UyEFEb>{EUd;z#`yH_s&Q-LBe$~-9^e+}D zO}PzN+THFW*I$c;{yNZh+(ot6fKLWxx#eR9y$gnuMF~q3g7VURk*scF0GZCl`-*a z>{aLGl-;!PetV(o?V%e0oeR_9CDUYfNhVvY@Mk)Da(VIE8y1D)b;e|NP`q>Q>;{dz zLfmMt;2HMNP4>{u_RuYW^2%cU)VdAJr#7scP#n{h4f5Xe?PXtJ553SHdXYW!VnBIo z74KR)pCfUZNI3|y)+P4BFSUnW=0N8a+Z7#MSW9Y?KFblFvh$g@0**iT zKAW%&pB)E$1i*Tag%$k{phG%qyU!0id>m(NaRrjaE9}|kccu8W)O&*eE(&zz)v1C; zC5lvP*lvydo;C7rYvey!BfoEr{AX+A53G^@VvW4V8hNiZatDwG=MQqfA6g5&&l>q7 zYvhmZ8~U%-LVse7{HZnaXV%CEfHe35ryx)zX8K&Iw82p@zct;wNc@wyHX+_SowbLX zhlAiNVv0Y-LVP!x22XDUg2j)ohPd_yxn7sONJ!g%nD;=wPD2fqYxUglx6EKlpv2YSAtq(~@}Z3m*TlqM z8x#Lg;O$QeAB%;)!9mYkU}L$DJLrz44_AU#F*n9SeJ{Q*C2!(zem*sxwZ^lA@ zD;D~8pu;S7gLMD44ZgeKncJdkuUwYj0d$B$CyY$3iOJu%Zpyn=r-@c0X1 zyAypz-JyW;aYXZ7k zIQUJl+!`PFq3H7v-F`y={IGcN*?>cT&u~%PO4J4kKO&y+Bjdr}77zY*z+u$H;#_ZK zpxX4JKdAlhh^N8PfQOmug2W#a6F&!d`_7*m3w^9F+B;7NwY&{z{5AW$AsZG1PsM|` z$AfpogU^cx?~DiU0z910T<$a3of`_h1;f%0eO@f|`JvHQ`)K|vpu>#SjnMr%AN>Cf zC4F50`gcI%&*bkx@IS`30OU1+*n2t+NHGCTd&7GAx?-W6J35GE4c4J&n-uh3okDl6qdRPX_)^LkqqyP_k1${`OP zd|79N@2IC1F6?M%=FYj4=x)?Kgo-HkR`ma$h)_l9p8=&M#jk?~-tTwmtO%x~(pTs5 zH)VZ;3)$Tu*%vU&*3Nf?y057ktbvC!&2nF6Sfy99jsDjHr&nw?7toAQn0o3w=^7^rBek!C2^_P-t}044w%yo0qS`XA+XR zkz|$~$E_`m;7ih|X08<^Gk89I{|5Zv_J;K*k z5=JZ#j@Fb1<^(rWtw4xZd9b0)^$;JSQX#Z)bBIObXuRf3`D4c}3e6r`H%<(~ zlN3$G+D?{cAL45<&Iq#SZ0&KF!XxP`WsTCe<~c|h`C&zM zaj`mA;jxHPexpzb$Sj3IyS~+WPCFH7w<-h=Rf=#rRm!)h$C$F4=?xU4Iqv?DC5?PL zA$Q?1hb(xE1+$)Se1i0sZ@zgefB&UU@y7Bs?@ipK6zsZU$KkpZO>Cp;cuOL2Ai7i3AU&+(+(TVmdFy4@?d>Xf_SUy|CraqsC+G$U7v6j0 zMrRQj@<99v=^v6!~ZOf@MM z1)3LXeyTCm6f+lSJX%p;e$w0uDZxDCXgEIlXb5I1YzZgUD5m!axJS0dh|+A@iUMuC z@aN23v*m0YyC$Vpi2ZBgq0Ju=8Yc(g-+4FcXiTSfX1pxCQ0tcnogFwiPV+GItu|Y} z%Dch;8F0*Hpyq|GFtYj4fOZBlUyNv)Y6aTOE^VQxL9_x*4~?k?X@$i!rdokGX+-O6 ztt}c;tw3vwnTJMU)>IqWe4)#=+`xlccY&tZGB1VJmaLazj<-OAm{r#xT7jmiM)B8F zFl4l;*4mT35Uuw$h&Eklw>z}Q`FBbwqEB(~TXKyyfHXaV3#61y-ZdSc_PWGs{&9(y zLVMRsyK2x7~hlHuNiI8i&e|Jr7-~kV;0| zB_8Y{R#i}&0H!$N04Qi&X$od6#;6s zxS<2Gm>q?@dV4bP4}h}F^U~Q-wRmhDX>Gqgv8CR{ZGaiI>V~O7c~~~rK``!GPuPE> ze|5_kOPA9!@vVe#+SDKUU;^nbb7g8m3kow^>IMo50O&!$3xd`M8yR0o#Ws#)>6+ zD|}P-%?c-?ouCm)K1g{DD0*<)4j5#?@xxtx{tR1cGTsB_>{Gz?{`SF`R3&{^qH25_ zNuBJOxrSm9A)5%Kl4>WNm>M*>nRMb@HMbMbgRfLhAs7=;mRd+)qB;p(wRf;#Sord@ z3uk2x$R3xHxRX5v>#@_9e}suNmcWdzht(JaOT>j961t)at0ISVuK}c3!X4GS7#8*L z^}9Z_s1Sy<%4Hr=59Ks~7FTO-1MqU%=To$}19f00tT`G%B`JEos^K((<{zWv-rYB7Oj*&bA5A%&YEN8>t=2(voJz*aybEx88H&Zv1?)x$*1?VtYM zCaIBJr#p+2I+|)&JLvo7;CK~G7N-bbitejcvBFY&T8vpUD4@ry}>b{Rr_oyh@b*jNNL6#6Ux3qdoi3*L^68mSXue^nlG3*kp@wj7z(|C;M zZq^Ej?tAA4W&hj^-$?IVXh%`&=%l*Ya=9NZ$LPu6#<>Xs;qtJ@JIqi!LS*gCI<5D> zVYZi@0>Js2u3uzXY=m!$uW2N5ysM{6N#W?#Lns%AtfG);Qx(xbRrLMuH?F`Et;@s3 z<26N7BhgfT;|?YtLt+uASrG?vl+#Tp1#DvFu+Uu4>757Y4FV%@@0bPNmy@k1dG2gY z89Z-}`l!hBdIt)S7>o{fU(~BY8?e=|D$HiG?bXJKNm%l{&9V*B(-xYa1Rw|>qz%)J zD`BUNn<@`NgP|h}4FUpjV5vE3@>R}_0<7P96o7>HdW;3s^Ep%#3^i@}b z7&32}E6?P5M6~9p^UbR+UBL5d<8&z4R5H!?6F zF)=SOF)uPOGB6-hN+3*WbY&n#WNCD1Z*F%f00000000FD00000008X*0000000000 z006}a00000004La?3v4J9d#7PC$&CM5vdY`hy+0q#gco|G;I~hqgE75Lro>EXi#WD z1qE9aT$HX|xOCy4;2+S13m08*;-m3=&YAOjOn!6k%uSa)aPIu( zobx@e-(zNyy;W816^8CeSOxXZp5*4{GiLxexkQMX(U-3{h3HBDzhO zq~Td%?*H4~-iG}i8LZ^~M6aYm)6UA6QPba_VhFqb9k;Am`0pUZ!ze@$koMos)wW+^x;~G{`MH-KeJS$8rVqR;+^SJ1J zoL)t&M7PG}(9}EDb4v4~v&V#q>wHyWcpiQw7uT1@$R18?el7TLUw##HT}@N6&}S}k zXG{K>`nrTb=oaCF!t8>h!q6U-+SqK{*W@bZsMY_DU5QHPG~ z)n$!3KRI+^a_C}!@>~cvGH7cPXDh8brN(jJR+aC3w7zHYvZR*gk^8s}xPxU?huCaR zM|Zsvaj9mx=YwxwxLsvd2}4HBC5G&AVXuDJ z>k#-ss$p9Uo?nw+PJt;o2XZP!WocmtuLSeH$mM_}MoMilk!!xIaSxMAN^Mx|3~MJI znEu$f75h|capPX(KBcytv4e+6y?x^j>$xRfZ|rL9Re$W{y&A5@Uj5YZ1i_B^9QYBk zUG1ahgxcDS9nhgcLHs7(I6eIIVHTR_2JV)GA;w_n(qX+rl2R8BVDMmFZ+hUqwEvN7 z`UmyWWuiQg!WJik9ab0~QtDDB7_zhl63V=2$Mv`}=jZZ#FIxC6fe8xR>gpSKcxcyw z?fqfWo`D<8?Rs_DgT41MS;(umuIuqMZew`c?G>mQxX;Adi^Xm{@bP4eAZ+#rMKDEg z;Z1$AAcCS;w?`E$Z-XX7_aXaNLeuk@g z@5$W!x5@KK!JeLK;#pLr%fk9n#WmD4v|2N3V%E>AOb!rY7I~Ij5dKVMsuyn{MV&m zV8@u=wQikD`(XH91Pvx75sSPW=j#N+-+DYZvo2%&gT-_6c%v|U29p!EXN5hEh+A_@ z>jAf47#bDFGsd28qAqb#3^>OS%cI#i{<0b&?0-(;sIaBilf>~{h{K;8{QgGAnIyLq zlkSBZog3e*_@oCZiMVy`q`7^S%#X=kn|iCN?~*uOd+i>W7tVk#^Yc^ z0t0iQBgXxLf&E^FLggN~O&^SV0^@&Q&=C6L+9+YfsSJVP@39^iSzB%?W?HPeVBCf{ zT`}q2?utp{?3*Ar@^c?D6e{=4ZLpstPFIY74H73MS%$594&P7{W72Xxe9JlJgp9pD zvo2#?N}R6yG{unTF-xjmhpw%K^~G}`&SlB%qgrm(<1wEI=Htv|8I!K-vq=yBm2ulT za8Kkm6y{8T%$I9T=D%q@r;|ARuck{J-csMLopp`#X2&>b57Othg)7(NQhGpMUf(E; z+koNR;jIzsTF<49^`vo#<@Xw_!`>c;d1Dce4+z(9v@fh>FSPfWrXjWH2X($}Y`-x( z;^wp~olX%x*+0>5?$cvlmIr9sDXd_7w+0d(z7V1{Blt$g z^ZLZKP#$j*9TG2&f1Qm%8H>2uu^5hSBr_hW}#N$0n|Ao%tL^XpG0m-w#cn>qhOdHXZT!_Qv0*>NNN1_1m?q zv%Qwoiy5>DDjYnjBqT}7Gsq=H9RKuv}E%ClA#3R<< zBB!S|$FX)szHhD0T*o^bxD~N$=Gk@*;)j|q3GPK;jec^r^6jpwMCZAHKds6<7i!=y zfUg&H(I3i)!`GVH`%ly{YFmTotRH1un-2Nsp*FC2Jo?WZmgXHS^H-|<5nKt`I*mTT ze9;mQ9ULj*7~#|RSu;=89=cZ)oa0z8S4H<&Lr1K(^@#^x6D6OlGkmJcM$gETm|W95 ziFYMnavkyZ3&&>}5+g>eCF>=!Tt}>F#6tfA00960cmZsZ%P#{_6vi+0Y(2{g!OEi{ zsRfZ}8Z*b0r#yAt7|r5HuD@Y=oVEz*E#@NW={BsFnDbxlTG2M0In&hs{4PiObo-(A%EorAqSto$Yhn;sH zVj0v5{a;{OW5UO(kUu_MjUXsKKzv+tg+9&=S;nUE9qPZjp?+kdnetx-5+=7b+eoC# z*)S64txtIb1vVAHFT~X~hgYyWbjh_p>=g|L3*6_9EH0C#BP-R$TdtpHi|xAbGAWa; zK4lpR9&?F`ct$J{_+g@sE{r^e-?;cYqr0)LJJsMoVmtml(gTg!+U zr~&#m4!%?)Dqv&-aTkeFg3->dq{_yQlWEYe& PSB^O8q*EUN00960mk;37 diff --git a/1ano/isd/quartus-projects/Teste/db/Teste1.rtlv_sg_swap.cdb b/1ano/isd/quartus-projects/Teste/db/Teste1.rtlv_sg_swap.cdb deleted file mode 100644 index cabfd8987245507d3e3a8fd75d25086c162eed75..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 812 zcmV+{1JnEz000233jqKC0001M0BZm=00011WpZ4R5H!?6F zF)=SOF)uPOGB6-hN+3*WbY&n#WNCD1Z*DRP00000001xo00000004yn0000000000 z001ll00000004La>{PiPzDWIZ>Hwl)YO3Wb&5(^wD5keM43L2W^ z$0vgMKqB-pIzG#)5|3RF(FWkd68P0_PdOq$=2Xc>U81`zB0jFfB2lt=O=8IW){_;9s z1PvsCJg#Y!ZUB!Ck4z`AZymwNMRQuxXyC%35yeN{u-6f~5#o=au5OjjH_(2de~s+n zvHb)Zmsvmr!m0ps19+R0Ha0Le;&kYznV;_X>8_vd`ROx19XT}GKLraE((!V_*eyr! zZaso`3lh9r5$A7)#+jI)U7=qNtB}KDzZ@3(<*?W)$?aJXXtGXo1y3$eZ28@c)0HSXx3# z#K8jx5^n7Y?G^MeW;8SxV7IP5wtEL#^{(HBh6M~i4H$wBGaosssu>n`RMmB6<;ycX td=8AC|GTX;U|!N#GlB6RZ28@c)0HSXx3# z#K8jx5^n7Y?G^MeW;8SxV7IP5wtEL#^{(HBh6M~i4H$wBGaosssu>n`RMmB6<;ycX td=8AC|GTX;U|!N#GlB6R4R5H!?6F zF)=SOF)uPOGB6-hN+3*WbY&n#WNCD1Z*DbY00000008e500000002P(0000000000 z002=J00000004La>|FbI+sJhm(@nEtUrCen{g_JY%H9>hhhCO!D@UfJ+KKH|C9QL| zX^##>fF#x+Km(v;t&a1D_m}PW%?zFdMNwFxw*DKya=3LKN9!b4@9fcY6$V%i)>f4{b1+^X5{#u zA$D9>NU%@@c4!A@wq;bm_D6vjg|_g!<8_uoVcDV6^F-wPZn!L5zvpyBcjR@5G89$Q zvxFb@?LdTnH##>1Td+uj^V0M##Lx^P4=}2FHL1TWfeGP{qTwhKUB|UU(GC28tOuHa zTXg-v?)hYX^}geE+>ym=%RU2V#4zxCfjN+}@j-?&7`d{;3fY6Sjj9=je#bE*+Y*-F z84YYNQjne>RoB%*4j%_clt7UxdX2k!wja1=90l^KbPST7vN!w+Qpp$~k+ z5mZX)OP2LYv`QwS7?|FuYj&bh0A8P7R2Ah^2jF0d?h6?ofte#v5jfvcFisc+&gm%f zgU}G-z_m>fAJ|=}j}}0tb4jO2BlI$ms%Y7f=}=wGsM?RBVYpSVcZWSQR#C&DaTpzc zP`9BhMisWD4exJpSuk{QG#U)d;38{)G~rHQLzPY<2<+|14x^JW4_EmVKo#P>ug_b& zkzNDM@c0f#(jhvb12ZsPSGt42&{5VQAxr*L$c$Sg^qQ!PlxgwMCAVF_^Crv!2;2lV z99?wMkq<>}XnMAL;+Zg}Jba}>j=b`PhJ;S3szxQ@*)IWcl3D5bv8|6q7w2G1)l@u|~QGke4GDLC6p2 z!n4K^!Z(x{2Wlio1>tF~!ggXKDE{93VbX}c&=mh1w>#FE>2=^vp4Y$BBxj)?;YKTr zsUc4spQg;fl2x9|5=`%7R2A7f`hqy5YZ90)NVOBkf$4?tT{(R{PMeoncoM}>q88fG zXeerZy2)B#cl`s}6{~4YiN{bQ4?e-Dwl4BKD znm19W6M~}1VuYx@9XU3BGM06jL6K?vB{0CiEZaf|Vz52hJKWtnQoKNzK>!sUC+Liv z2wh{CMKDAFjw3oeIT8{}l`#8AEi*&+;n8o{P;zAG4#GEs>(EUU8M+UF3v_$FSKB?< z8K?iy?${2*aYN!ORLrkDBn^mgrsJW92WHgIX~r5rH1kItsDTbCd9Ih>$n=5+w~Ui1 zBu}N1-%&%ewu=l_yhMUy_Us%5085UFOnVX9?4}V$rtx+V_I`|~e}fZA>H>bUjx2GL zVt-)1EAtG$Mh)FPrTAR{#&I*>$?1qQeI{oixgptEs_*{-0Nr~SO^CEaK$`vlX_#fo z3=ROLN2PLveExAi8o1k!`?hIqKXx7OjRYzy8Q+9U z+mGuCYP%XEaZRr`f()N5q&OB7>IKu!NRiG2^`a17SC+=%trJy!D)m7`1io+qJOe}h?G~? z7UJPBLiwrLdD9F0k!RI9zUv2D;=J!fHl82{?Kxfojy4;Qs`bz3PyN8M0hb=l;XCHp zjwKd1_BVH*Za(_FF6y5P1t~BF8A4?+2C_qMDH7*?0DYF@$Me4J3Fw&bLdkoEVSHY% z&JRtC1FlR9t>HT|tlDovYg&>Y<8&{sG}m|9YmdMz-IJ6;-6=epj6B9}Zb^f?R7e)P z-R>haji(uO0yJbe+H8QA;(fK>0(~nuS8=Z8VaRTP441_zbH=wLKe7vGG>Ei642xha zQNJ8y1WEfiT}Kj?BSvGf@YsTtS=K}lNK-fv3x(o3Z=in|^o2tcqg=DgsEKhjMm**a zUXEj_Q=21WfQA@DK~h8XFxHZ$JrE84V<)S`EW5#R5=OZaToqhG=cEQv-&}JTLL=iV zDP-O6tKr7AFr$R+hx`W>9uqcz(&CzHcO$7~Qomy8np91etKztaiTGg#^9ai{GPh>B zNEiS-a4gHE+0dgUrL4nNRL3C9!f`1|^muQV|G-DuQ1n(a62ocaWpdo97|Gzkd<%kz zf-NuV*E)U2weGjPFB)*EH^OY6!5#zuT*<3|wK)OnzC4QbN;jej_MwC^)~cj_k+Jhc zbtJMF016z9{Gnu;A@vX4sm`A}R@C1T%|-)K#C`0DGLkn8KRRgKX& zH3NxO2Z=3ZmyhOA-4Eq7%NC<9!u1*DnhI)5Eb4Z$vQ}b_o7TEjs#vN}`>4f6113|Q z@7r{U=pCQpzQB%DzogREBox%cvu#VJAg8cd>zfgBCz;41>-$E}n#nmAp?j?|2o5{-X?vURy1og1YO!eD*{}x?WsH!9oT!S#o*hg&unWHEWaK6QC!?HazB>5$s>lRY}b9hx0O5UZwHp1W#qg>gujE}!e zX^zRHYrq_7uhDF(xn@Id!3mxhh+IGE7`TR!O@i!eNkX7>OW)QU&$8c1Hw6KY`zY0+Bu7!V8D|aeLVvp3 zj@3g)7_G>8{NMqMco9?3oG@`m1Mr|aUpu!?-#8HiEJ|ux_tzRyfeR0NCWO=C6X1eK znTI0XudAU4(@zXTT0)j%xH0Azbke1yrF=qcBJ@#dlEk!RXbp9FKO$X8Obd8=! zf*HmWp_kWaWR^kosp z5`+_dF z>10p$lM`E-h5XMzNvT2~>NhDRUh?bfP(Q^>W{AJBlWa_){R)?bD#>BuYKrn)=_8Xq zT+!YvviKbIl**#ii6z~@1>f+@<{?wsKdghK-zj^!Dn78^ncl`MoTuL@#hCR9fJu%U z`JJgP%tA8#PAS4$@jKUQX%_P7cP1ds`GbVxxVWj`xlTK?&`!Toit`FTknP7!{LZZH z&C(zGopStTz9HL_>-nAQtb$^Gvl(m=>$Q?hT94hjC`*V-$Bjs`uITtSQI@`V#;eX0T zsR2b{e}siOZsA5VjEfzPU?5m9!GX5N;k$HZCx&9M}PfoC*18Y$=uq?uRY!+d~JXd7M z>fBYbHKA%vzWETZ>O1nh{2kWHp0GkFF7LI!d~wpa_x^w0vtg2>)ib8&7a62jU!M@P zfO60n?}*D%q`(AQV8R&pCRal zDaTZY*!@U4#49W+Qq?#BJeI5V)jh#>)h5Y(xlmaZb9B_cfa-eKQTSTysZwqg(7-81 z7VaIaw)fWeTCMiFf+Si^mYj^zv4h@j-@%YuK`DH+rp(T%c|2?TgHvvPP+&j9&!H3A z^?mG6Q?{z{eTpZ09+$prQ11P}&dLrpEnwk@s?ILI8Vye4?YJCpt9zJogk76heFq*5 zOf1FpG@IyD9;)h;z)SO;eD93*{qwjj!Z6n0NT+1dE3$A0YX!K9np;&Q;2fh~(`fJ& zHx9zYxKo%Zi0Lc7;+_m{kxV*EbZRrlJvr?ozDn9;4+M?`R_Nx*8LZ4S8uwUtZjP99 zfT3vBbQcMW)#OG?!%~#kCee9=UmU!J0~-zHo$Mw@*XoWUjc4Xn2?eKLvZ(@kx{?`W zqMe(g0{k{z2z11SK=Q%dC-{MDz4;A!vn#hRbIFx0j$a+6$iSJK-2AVsMA8l%?E@%i zZZkWIuqGQ5wF)gg>?^E)6vtdFC0^KGFY2pD96Dzo2`m@93ZOj(KogW0jb%VIm7HQwjjh0xtB*i!~zSqhCODw5(SW!TmHEhKas}8F0>VTLhhILhz=|B zWQ^YY^YQ+Wg{+_N4tvSc0>Kn(QkvnAiIz^b7XQu zJgevL_mg{eN-hFb;6z(fm&D@_ZH;Hz-HltEhBzgGR?&!inIV+rUfonwPrf1Bf4}qM zc<*rMWVij|H@{aE$bdWU&BQ&gz4zrE_oVtvR=2SyQyewZG7$Zq*+>|utJV>|@wxbJN z;vlakrbuk}IljNZ&$-C7N(-GbZs5d`x%?$=^Ya?h>x|EZsIhNmDugYpvMJS=zWi6* z<{L{ZW_Kr-*B*bprN_91c6CZhB>GZ}wVSCqya7 z)kD5xK3u`v+i1Nm?y|a>t?A5UXP#FzKNykQYvVxFfR{d2{9}b_M>)o^*77iuDJtc8Hl-p( z1t7)pED#gBEXT*6r3}wL`waE2Kxo%Dfxtp?%t{AIpB@%fUvp1H= zdMY#}g63)hqh+$0$V|!PVUGW*Y;E)AGWkeJ%}Ql*4xJN3?xsvCYsPx>Dyfi}w39|_ zV>OmkES6@zpwU>53n!fyFwOG!Mx3-)?q;4av! z>?#{4KZ8o$1^Xn(PIY1%MspobY$J9*+R-KMC~;z|8%bFlN{R)|T)ba3R&sHKm{yG@ z0$G{^%;v>DR$8;cW%Be^P87MD^5C+;By6Hw;*j5J6hq0z)oIwO(I`p7S~*e8CYCkh zqa-yel@As2H&r55lu9nAk|BS6HL(S4Ef0%wT1g6M8EeK0l8MwZShit&pG@pyrIpHc z7xZ^T!h=ck0m&ebUxiJe?}Gka(1!)hIKp2~f&v{&OL^>MY^VazM&h#K;Ii_tIB;1{ zW&vWimK3lO5Eq?T%UGXj;POMDG#grO9`K7jZGlgXqD*oEU}z~MUCp&z4FDwfO492O z$0gF7DcXPhbY|so^H87f=Zdk$1T5jEGPor2lesd|Zx$ncYb;S-$>j%_^UATGm{yI= zTp7Rg`O3#19JypnS?UjgQg$nS;~;x7N1uU3LfqV@3fP_W;C6oOpHr*z2bSlQ8ClwcH838ugvQl)$Ev5f1HwoE3S=zRMk>OCC3jb);m2*xP7~_|9`7z z{|5j7|NnRaRFJ(+0znjoF9@=Lf*MUQvCv8z8!e^TWky7Ic6AsMXxv88ghUb&?WHij zfrZg$FgDs*k@x~UfEKpKLSu}D_YN}zi<6U``|Y_ud5FOj0CYc!t1N@VbXd7oVR@j0-c9k`B9yjQZHPYr00960r@4Fc diff --git a/1ano/isd/quartus-projects/Teste/db/Teste1.sta_cmp.6_slow_1200mv_85c.tdb b/1ano/isd/quartus-projects/Teste/db/Teste1.sta_cmp.6_slow_1200mv_85c.tdb deleted file mode 100644 index 1024b3bf834093ab53a3ceb7dd6d91b6238e9d3b..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 2190 zcmb7`WmppmAI0G!DM*cU$Ur(r=!jjE7)(G?VM5`u(;NU5NN$Y>|2 zgfyGPrJD%|3SwfZ#rUDTy+Ep9 zB^4zVkX``F(**=k1%p&nl+UaRKC9NoAXAjTD+uO-@;|%fy!GD&It|7@^k@3RfAa~; zpB_ZNm4D3>2m^HYbkCbp&_!_W(jqtm{7FKUjNXF8ec6~K35+fP#uVcZlDL;6HBwrv z3%B4-goDHk?h`o}IcnvF69EZ%05||(`CI-UE_%l{@4)u&In4(z%qzL(;N;mBBE)<4 zG%&CMORC`_lsr9Fa}yW>2Jy5KOPJjrl)FhwyOs4It;z%j+m1dNooAMkmYQ|CtDznc zd@jmdcgivP+pIprc8rzp7POiD+t{H^)R=afdN(ilx%~IK-E5)ER<6LFG|Q7Ot2u-5 zwd)7irp0~xtt(x!G_}jw+}4GdaF%|>XcZP)Tc%~1cQRi&QRljYp@({i^|M{-JMKD`!bXq=WY3ktT(n5s zTTnQA)(Kdky1YCg*L*W z>QMFJ=ziNFQlPQi~m!9LGqP(KSFZ%{oTxhnS6 z-aveEC4K*?tCDyc+OH4Wt19rnW=?6#1d_asT{tW9Cg38m!agHxVxq?7r+7+r83{T* zr(#AzL`-ehIpn9=uqeDQix6H#10ZtYH8%X7bvEFX{lgcv8rlv?G_e#0HGcncovm`; zwa@&M=onn^V&w6AL*5S^e;*eaY7X9pPIv^aehwZ$?jb+OosMeEgd#2`{@N)BMJyFm zcaetFFZ37fIUQbRSPKuug*od?JI!eBhu0KjES6MZ$Tf{x1Jb8Xc4*kACY^5MeI)Se z_+CvLng5*EoyF5WCePe{t5T}GXtJPGy4uc2w2K-xEf$*@!XH@~GB zQAAbz-6aUkk8LUKCNc%r-xcDwV#AHN^^&~+=HYG~?D#3szyv3}Bf6zcoEx2?6B0=D zpr|$;G%)GEyd5byd|hMcK)ar;{*BA?yYg(#Z%Ud4lug}b5zObEU%hHjtG;cp+A8eb z+R-Z)q{$Odmbji@SUNYmJ6Jf#`Xir5h0SzwdP}I%#!?BvG)w%D#WJdcoT`O>LQ7>h0F20$yc#2pv#8}a!D7~m>`nC@v9m~F}( zn}hCOagJ?v#_J4A`7#KG6y+Imq$;F-?n=){w&b`uom88%53Et@R;Xm3jH+BUacM5i zY7#`AAnnI|d@8ilG(uX)_VWFmUP0*rf2~+SfjSz@u^RTGHLV6O|7LN072ml@tS(h+ zD}JS10x#!)m`)@e&_P;%s}2q}I!>hL$!7FX8LC+3Sr(ybMc}5f2)hbZP7i7G;)x_! ze5Nk18G>P1ZcoRY9)>^ySxdcN9XB5PMqrMsIRj>lFMqE^Ehv(0y4$v6$-jsTMC8*O zkSY*9=(?V_vfjtx@!)dvAwP>tNT_OB2W<R?N_Jl;tBBi9Dx>_?!{{sSzkA@TqK diff --git a/1ano/isd/quartus-projects/Teste/db/Teste1.tis_db_list.ddb b/1ano/isd/quartus-projects/Teste/db/Teste1.tis_db_list.ddb deleted file mode 100644 index 73e5ec929817aca7a133e4903638f01137ac6202..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 294 zcmWe(U|?9w%?KnJ7_x!T1W1Ra78Pga=P4K&=o#u6Dmay9=A+q^(U_(8^M5q^k_I&cg9t{$I@_=?gToEWSX`NU`8xOudcJ%tFG);$z%Y}U zRpD_@ngkzZi diff --git a/1ano/isd/quartus-projects/Teste/db/Teste1.tiscmp.fast_1200mv_0c.ddb b/1ano/isd/quartus-projects/Teste/db/Teste1.tiscmp.fast_1200mv_0c.ddb deleted file mode 100644 index 6b56fcf7c7a9c0b114b3b6df84bd07e0013f551a..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 105610 zcmZU(WmFtpur-VXcMER8-N|5s26rcDaCeuW!98dg+}+*X-Q5}7T|b_C-*x|dYi4zy z)2mmV(>1$x?W$>P2ndLBB*@Pr1ccS+hx7BSZsO=JAsqC-*W4jbbrDi1 zevjH;QsYX;GKx;R6ht zH^KuJ!&^z*d*~6}{ouZB;HsX!aO|jwx8W|Q>#FH;tQ&jdB&M=#;IQZs;p5};#Dp&F zx(i0bqa8F|A@@OzVPv>{nHF3Wcy>T?gB#*`ZIjH)*l;-~fry9rgYGH&n*Y41uKOaB z-F`q1exIACjrV++4dZ4#rMerHrbmrYDk0=kEm9h+EN(gx}!& zT{(V}HDjOKb)l!32OkbexnwNv#`E!5D3?c@HsAf!?W*Ik^5FTaO);r<`nseNakcrD ze%MtxFhNy3Icbuo5s=AOc5-i0gJd{E(w{~mx4WtNFuRzpXlmU{vXkOwZ-`OJq@6*imiUlYAIgHt}<8T4s*wP4FS>Jfb|P_OK1?+W+VR z+Kf35etqXXWGt>+Qx2nNp}PCQ;vi4Zgs7mL>6D46o-TE4L@Hb-52J2I=u_WnSnE=O z++djg;|!h!DzUJ~W9O;=5$)Xp9^~iLyFfestyrNNCliC}F8mEt3m@-!))OGBvN(HK za@N8?(tIDnkS-%G@-qD(QX0rm9)IATEbrF8yV%Y@1P0*vKK zB-YJu8kNlYPX-TL8JG~TqWkPG`5D(|X9X>S4_6sLHj`H`r-PeK&uhe@udJkkvYZ{@ z@j0U+4`7HU~Oc3+nY~z)ON18{{>_M|j*647WEN)zKZr~r10VVr@ zPeq7*=Rm88fay+D@hF{*3X{oT>n!isLlgnxs z%Vn6CZX;x(UtUpUgy6j8UG1LM7L&Xm_P?_je@q>Yar}_Tp_u%!qz5LSm7UQS# zP2HO147b}EvWw0Sa068*U)z#J?~|aG<1ov+dVz4VFPj3eh_wTJhCU!nb(udI_y>CD zMp1(1>|Z|d<_)QAoxdEdhi%#)Xg~*eGUdF2K!9`v&+N*)xdIfumC+QpAu0uE{HScuYr8QbZF{`LwW)s0qOsO|-d4hO*3cRD)N5V{=#NH}Ua`Ki(AWRmKHF4!_0=1$;PI7$&TIPL1>LsIAFa1DQ|sd|<3A(Ze9w0JSysRq zKQ;wUw%a+U)*r9NaW~zkkZ^ssKvB59cYK3M7HKS54!2>0q}PgdR52@S0>*9bDi`F_?YWrlGZv zHVJaxI#`@{{waS&q$l4=_kM#afC!L)@dz7#>>VeK(}9tA8c8*H!j|FxIKMM@t}vCdbEv3H-LJkQWgE1#m=XNN{s^n-9GJT zHk6*9xGsOCLAwa&rUj^dryg zyw)HS`rd0L`i01TYW6FU`;5b=!d{S`A4jAUwyWYD$leE=^j9L~*20h2lW2p0x<#%o zVlyImvE~W6Uzh34skPRr3g6A`3uhy5{nHM8F&n?>0bp7hA3imy4LMa0W@JGLy+W zqTn#SJwX(&I6)E))?$=jVjZ2M;r~KzMZ-^gLr5=*^W!azBmadn7_tW+t5nBg=IGs{ z3h%XtjwikrrrHwf0A^Ek#=tOtE6BgypQQq@OQ4s2<9;<&=__{({fqys%>%VZumF)= z4N-8=rz#hH$hI)bhDn!pfGLdO6hfu$5EKYcgdFJ!0pSs-^X*l!$YG5&gpw#$sTWuw zvqkn?w&OHc{?}0qIk$Z$2(&7tMf#QE+5>;jgvi!;o25?{`m;Uhp_LFO2WnpL^pQv16wFLTJ3g`u_V=Dwz(jRaBj<6WmP3Zf$jHXl?N7nniQxq0~;MN-Fr{q5`IP z>l#J(b!?EvaR%y2TjZ>?4{PKsLWnw^4Ti2@NZ=cooD0tTjN%2B6bl@?gH4B~8>U!g zi29nv=S3P(1%`>D>byDjrfA)qR467C)2brbJnNBcFriwQBR|A;Pi_3gOUBjJ#rXN4 zm3E07I=$EjPX$|D-6BU~)T8G+5J@{@WNn9xZ-C7TsRpH#s`hd9)kfZxm@gY&(Xa{OTeR2^-h& z8(JrFy;gxT4c-&h%#}Bw2F+#BDr+BefGsI$0`*oJ{J{;>3KR@JxIk$3n}R>&5R+r{8dHMbX^Ctwt_BfRbECP-N9%fuo zC<#m}c;wn`Y$EC}c-h==T2|vm<=_x_uio=z9o9B2x*qCXqF-qCqx5BQHPTjj?>yB6 zw|LQ7X3ISC5CuVl*HSSeet>i3BnN6gv5KYzzXB4fF}7*oH)bv-}s8vHC>o88qE z``|SuwHST}2y$e#Nr3Dqbzw>Lb6WM(LCHDmfH+-GeXZ9Mvu=awKCYw{1 zW%&ggQCN}Qkx;Kt6LdO9?rXq1WaW&;DnsbWdD`Nyoxy+|RhNY@vmh6{5E@LPg2x+? zYkfGV1?(YMo|?SWbPOh-GmkR(DN8A--N6ciuEwRT_7G*Mv5a6T{qltx;cQ&kg@({atw`F<~oBsIUoF~ zMy|4Xah8KWI2fTk4SIg?zWp>Y$~&SPT{|Hi;rz!57qYVYlzKiz-qwJg7s)1G!lfI@ zDKMMuYe4XQ%=dv6R+NK`8yY>Rg1 z4l-(hFbrC`PA6ZW)u8<@*jE-n@W~+T;OA%UG^VCA`e>~`25iD98SHE(zGd}nH9DL# zw+VY|%O>KV4B8g7yH8Xd@FhF7ckrA~EF^Oy4MEZE8M{0}tXxhfI*M@AlsRA~j#UiT zBEs$*hjfinyW$XMI^CG?hZN|yQA%RHI4zsIuX0*cx7LXstu{S@#dCh`$|`w^qKKoMWm?TjqKFk|}dbEeJCXJd{-f$TQi^VsmmzEv{~4{5Sxh1XEdMCS$eh z4Lz+|s|OCI)%Qw~orE-vZp&o}{c4N22PPhZm%-rSg_{2;BSdp-HO2Qt)tZXb;B29| z;If4-9TADYMjog0nmUmWD8Eeae*+a=pxy^W`7dzi8>F|Ud`rI^uc#x0EvtuZAANa| z76On}rmU9QRKx=E_YDpRdE_mdf95sGEhlK95J17JgQfXl$e0;zRT%n$Ui){RvD~ntuYdE}&(k znwgQoLZ>iNpm#5<(G<9%Q8Jqydj+jh*_$s0IRW1&pPEU##n7qAn^uC;Z$1wQ!p+NW5`*9ko&AwfLs0E=R%@r4H`KV>))#@y(O%{TqHi@ zu?rky1i@^}-Mc`#jz8N(q3vX0<8U7C&z!wq3Cu9e#cOvVhQ0=!(tZ;^jDeO(`76pz zcUq=K$!4V@1tLzM6;#2wY(nm)>~k?rcp{XiVt<>w2Mr=bJD_pLRDwf7!~bAN+*~05 zCSq&Mv1kAr5sCY2tJ5&vnB57?epp0Cfsm#HG*yf}W!qJ}|M2i=EztH*%>d^aRl$sF z&Glu!!q96PYa~Sj-1}l-nZH?S8KAIn=0K5}DVe+1z9I38px3n9MNW~zCB<@M#M@EU z)v8oM1-R>cdYVleDn2Fu%Sj#+`U%qf?fr7?w5AKu98uhnr zXXpYq2?~yGHWJdY-IFKsZ$3DK2f2a`eWLZ3c0@W$>!h-M3U zx6=Gb=)F45LlIfX=tkQ%3%x^DARo_KV2!L+^#-@cVkjm^#n#xE6=K$ojgzNg-_yd0 zmWon`-!bsdRODi8!(m=$`jvT~?cY}t6;e_l+}dC$ig-guSTxlOCbKaO6#3L~%> zQZ2Sf_#D+>6OrigOkgm%ENtTgX$ljbD~D<$F!#+$MEHOfgEaQYK~4H4HjrbwzI?!| zh2D=ZgYNgxtQ;fpw!@m3OISoS`{m$Z_{PT!pPqk-ln-S z#@P7wW+m&n%)fjyeI72R;Kmh54m999yBM!y9r%5EIPi15=99En= zRSnfIY`e^b2IpBjLJdL~Uh_J^U833_7!-3!&gyR|HNFc`t3lnR?*!x73w=eh^)5Ij zWbm^r0@-Cu0>VWm>2s4!Pxyv!)&fCDsl7y(=$RNFo1XBi7mlX zC3N@b@JN!IEKVS2eAH_dJW`Q#?-C8l@Q65L8X7+qlKJ{g5>lACb;jwKB4LD~C%ZsO zfq?s40}<`7+GRu6AYwzxJ;VPMO!bK^12nKK%iTO(EUVJG_bjuO|5!d3(_mp* z;UP1SP#fZ6<7(iZ;y^B;0w14p)@h|B|F4QMiDCmG+!_iSkZQCr6`#V?*_2YlLI8h< zTvM?g`Bm$mYVopgGq;)OIf=&A6CY2s)7ZZ{R32?lm!Uu>(>sC+i(7>%>LQ{uZ7Nykr%ba5X(MSv6EXVq2#( zncof;c`IYoq87$krrUmE&ocPS*ZA0RSj>V=`GQgIuUqVi=XD`uQx zj!REfBvrIqx#gJ|$z|nP$u}oMF4MLT|HvaA&oy$Bo39kcOkYx?Kz1Y)6KG0^G<;v7 z2CZR2T`Urb2T<*mf0-tlSiM0bMCmx#+6!f8{}DAQDMt;6EpH8U@}rWNuKfNN(uR_f z!VPjKC30;yNL}1I>bh+~efSMZQ9m#vUUcRSq6+v9NC{uI#U)vf=oWE-WxEhHSb_Z| ziGbG-VA6mR*Ju4g9yVLZc`TzaCph{}r){zXwG35J{~!6wPySkz)DYs9&Hes~o!JIR z!2e41gczzx6jV`5w;NuEhVdj?^u(B$g3(yPbH{Z(UQq_t z>q;r>k^1k%nRR;xmerJ?|jp{DkzQ|w1t zZ5!{tlEl1qpF!KOF$iCO7Fk?mZbl6_4sS{LWzOgc$qa1DhXq6Y`KevnGnC|JW!0ce z(a8$}Q)+yvKfGnahO{h;^Zy8S~B#IA@D3h=BzG%nZS*{W?>{|)KubSTq%oXC&Uu==Jz~lvTfcWKsQjq|zR(crp^UrgAti#M{aYV*XrGlH;a9^6Mkc#HV&9 z-zY^Zv=zR|lSq;d3Cf!f!wPoCokI-C_bMHB03z1X=&}S=^fL6=*CF^hrs#=$xP4=y zBK%~dc8X678GQ>AEg18JC_t)3NXflk=hnqP^NB)VtHUy;2OY#vttfF4GHZ((15(O~;{l6w+iIqdf4<^&QyNHC z^D;u491P&OU+ScYSV&9{P+qD8rOFG@L}*p25!EvN_=Kq0e-N!Ytiy#B65$K~Dsx#~ z&qbKsZlpxQmRX5mWtB;0e8Q4~;yMV0dIpcHE-7*b-&n6^NTW06p-q)r@W?!?Dv)9n zkZXGok9%W;`bih+*0FiN9e%P<8il2N^VnpSH$O-gfb^aj2`yL3Ks(=&Nkuzf89`50 z82u-QNof3B-uF)`Zf+Y8$f4Hx6Te8O{!Di_oZDSZHEcpTy~UtxPd5ZxAgcHWS~!OlZGHQ-;V5MdD(HqD0LuvHAvH|m z6(1Uj#xP}p(?pMn#C7cR!0c;VwzELa@U7YmGFtGAPpNOGoPeMr5nLD%Gb|rViZAD| zL_#_LbE9cxfpEX=?v* zdTWBJhqOB2wzpc2qGx`Zdvwf*Kh#+EivZOS9Yk}oL<#AFdhxi}@G3cm+KLb48C|hN zPO7KSrff)X`D*CCarl?o*lt`nPR>sTG*;b!9h<@=7!1~0ppH~lFUKqu_ytSTmgI0? zu*WW$KiH4Vtfe(_TFb6&5^R)OpBg2*QoeKJ=J;8nlvle9EfeVcC-2oOw-Ni7WYWqJ z!|6;dqtTyb%uN!KLdW%9jEfrBQo6-2Hb!_?({qa~u}nw)8ZDZe$m(euYIEJMtyrq9 z4mOlE=MkYQwKttyU%+*YUF-_sItWB zR;)v)9ix;&i9Au6VR@Xn|M(nY)o`K1mq#wi?t7G%%cg}BaCIv+Vh@MH@qh0o4OyQ2 zb;<2!k6LBC(+DAnXSmLq4>OsvwUpGT$+wPZuR0mZaCF=(0b+e?sA9UP1H5?U2znj6 zu@i;9ET&5Pc{QkPhq-8!N)_lIaOBzY$)WT{d;jyS|0hrkJNwX=1VzFjM)7Z>Gd(Kk zPibsqnbCuc_)iCsdwI*ttL`nLSUQ!B&uV5a_eE*VlF}`*8X+pFTq6fmGUaJv5^>GQ zCJ9PXodu9<%lS`v=6_c)g!3~_YX3ifSd$}f%%Ds_K5>4_&nHwJB!e90|w}f%phwk801;yI;XvPt1iQyCM8?2>@xiyP1r##Fq?zJ z#2t@{O6N4Dto-M@XDu@<;=^kn}SZ1SN9y1xLCUUjaLHs+DM0 zU*!rLj-}d}yBT)Fzc(goS%4<<4s7+A(Juv58`6_P!y19TEfQ!fnma-W1kqC?xpDJB zRiDUEQw0wi;QW1Mky2^BuiS{}@%Lyc;us`L&)Oz~`4K;yc$!z}q$l)I3R5l>6QG81 zLO4UxUWOy(d0bVC?hY4bOVX1OR1b6fAN z0xY6CW5=@vpHF5@N~zm&lASaKOT>nTjhwdEe3HO}E7CSIfo%=KVA=P+|0!{w!K|74 z6bp{%K|hJ75*wQF#4W;$Ul@?;m~!9qg4m+vCGzXZ`Ff|a;wyI%*;yo z4{{<05?w5Ga;m9J1>x3gwoQgV(-Flb9y#AYB*yb@SI#WWM|BvSw=%>Arm3$5IZfcc z;pGeg2--2OPUREgRc%I#_+!e7=J!r`ZFQeALxy^v7{CqCMlZ9xzzZyWpaPDqAhl-Ru2dhNYycwcxmf|u8ks5DK`68FD?!lw- zB*VjIQ<=5BPdG)G#(RIeX~Gg=%@APV;dDA|s+KR&1u1HuMdllHAVjY$j3<1OffzpI zj%KN>az^!Yd6IR*w}x!crj<1hgH_elss%Vlt3KjHTKQ}z=%)tT&z@##K!XhgA-VS1 zN>a%Ld)Nz`GRs_xzLU0m>pk9NMk0~;G%V-eX$Dzf>TlsjcEguMaD8Hr`+2q%cy%xD z-VaPI9J=iXBlRg0OFP7!%zdb_&0LD^Qk9lQ+~w5%B(g2RRJ+M)v+5d&k+tu%3(gFS{t`?1RX-|x_ zvg|g_PW-5~#9d6>+o1pS4Nfjqn{|NEDr^--&Ae^JJo5yYk@m`Bkz{wdHePfUwo3p* z)&mOAU2Rjm1pg48WU0Pj@Slx-+7&-j_N8f0a(t`XEN^AL1#^==Q~F6O83@faR0?3<5 zW3b|{Be8zs=a(D(HMaTFxI1NI*E6`%nC{?)614J=50mYSYM!G_?7j)iIJG_#s%v@k zU$0)jLl7_G{@3qu<{6Mezx?3aC#J>4mf9DZYOP}{|{F`Y|sVeiJJnd9CV zUWx6nR4C2I^GsT;(Gng2#y4q$6i;l+BWJV-mP>4Cmekv|@QaNB3oVO`mHxH4eXG1W z&xX0Y{D|7)sFfD=#+A()^`_(AonE&%~ zaI|yz6|8aGUc$qZHX-VMwNu6j6P}&f4Qd_KI8pWI1NbxiMeN+R*&UFK_ z6P5l6a1Z-6ghx1ZU5D0NstX#1n?8lo9pps<0-=*6bn3#&f8w*s)izLa@A&OwqzTZ) zs0Y)w?@5`;iW;2cbm&xXk_t9oNvzI6xn)3aj;cJwx)9Fhddd~Pqf+y>=&BF}E4fG@=nzaslNV1MVEDO0uZMCyFJdtM70yOs?jYP4Eb|| zzq!`Lo6t6P{@bpOGqEfD->L1t0qe@Ars)BJ0fbU4-t7ri!pU>H-`yKm*7U z8;}t>i|!}tTwkN0B=0y-({f(!52dIWr9`tQLI&xNh7&|gJ^aTh#s~!l%R96}6(IJ( z0PlSZm_!ChLi9D8T?_7!K`Br9c$`v0qUSitY4Lx%jmDX0zz<;XN7pTCi#`O!>TNsA z9kt!P(~*KFwysP^p5zqigXBHoB;x{WtU#S<`ZhhJ?nOQql5G8~#?GPTnW-$Nbp+O0pQ91P2_>$?j!i$bY*>buTY&dCzT~n%M)3?Galz=b)xz zB^N6zRwopXyy60Y1@@p9xBlggbbwn{_fZJiv=V zm}v@`rjHwdpepEX#8vnPu*nLLd&(k07a;XAgXN)%Zr7*<$dOfkq*U-F9kb3uVc%lS zHV_p&1HkfLDGSSvwoug%-bsi8j9Ks-!2lU1ZeAbI>~j@l6!0wygNbylxqzqs(}3WM zR630xf%@H3xCu_GLt0YeXe;r9UFm?5Zew05BYOykHdM$2wHYj!{qWwUTnZQ z>pOp0#-VJb&=VRVX8H~arf`O}^Lx%nu@k9MZhAn|s2Z?yBha}+?w!6lOs9onHh1HvN3?9q(aG%`c>2$BK)Z#QAP9AK)D|n* z@aL;n=xE6#JBAcKiDZ}6DjA*hd)T-n*Q&rk*Tmqp@k9-8c52Ce0LDsmvu;$jdnmGxDoNE#fX(IRVi&|YTiuT#W4BA+puP?(X~r{clW9F2)CPx7)Gd*k6t7-{`u zpU>z4Pnz?c_Ql>u1292sVSmdX=X6LMu+nXK@!dPa#-5oC;EpRV5~6_kvrE-sBVAh~ z(M$M4(d2kd@DBp1K&A_F<_kX1wZfC3ASQp6$1bB-3FPE9t-t}8qCe0XlwgPQ2D_?z zKQ{Z5U19*l+$}Mj?l)%{|2|IcW>v~M{JWMaw&gHV=L>Fd0;f4~VBA=6?*gSuPVN=B zZWkh4Y+;uZNh1o91{3!==t267V^WRJvZxl{+ zCC>djUUa=!^26~w?zqC{V1WD8TT_arM^ap*kUxxsBSc+w#^IBmLWM}aK~%#|@(2Hx zAQNkvh1G*;URi6_1W_PQ+#qMVnK+P17`v=js%b?|M->R_g6b8<2Ac&PI}C@`wnT5? z5?R2&;rlqpvrkC0ZISt-3%vOkb0N0G#u>h#r&y3Nv@w#YxC&6@EaA~mFNA>7&ZVu?4@dZAh@EZJ18cRKiA#ktiUFE6> z1;ARy_Yf-FxiKdDv-PB7V@VZXxWiEoYzF6I`ExXhKI0MR=e?K}Nmkm+vjecm+k1+^ zy+z&NyAd|}!B@%3N1Y;LjCMs~kTxVHs)*Wc2M?NgNUl>04gF!hf6SKix_)8^mO!XO zEHh~EkV~3(vy&mz2^<&{sEBjB+XbgsIq4E!k~K*P(x)TJVeuD+-x75W%Gpu+n>`|! zifS#Q_1dS1qVkoIeBshgG7tT51{-P(RRY|gqzdCeq0}&dgKB%#jSs2%yN_U${_w)` zw!f4Vk7Z!{y=Q4~=d}Mjm@YBn=$j|%!zldcZ_OwvIO=x(Vp?&eBrOWoGqIoG25fQz z_&(RZiJFXX%^|O-=dxvAaPREy&OM$ZNQ(J$Xm%+ELNHMy5uu+TC_F*c@WGn)#Vff% zF^l35=M(8iSJaz0!tv(!GI(rLmwY@dwp9ecv?~a|nso+Esrh9nmXN9v@?r0T35#jV98~V0O*$)dA9<}@ zL16Pdc`WFlN2J5<_Q@|h{wqS8H*@S=F#xUfEB~bC9UjG-;a{ZB-K=sXe%b43?xZaV zU{*o@#=n@bRm|!{2yV}cp@*OL3JQwJIR5rWWl94Nit`c*b-H$X&Ay22oi{krk=ad@ z>o;``N8?vRuwy3G@)m{T@nQm5a=Z%ooEvbH&<|=I-Lf{eM^xKIbR6(~m$)MvUob0s zQjPNnkbuNo zEhXYTCD59~+kXhrMens|{Z06AUf(};VNZ;*7zoku>b zB7qPT$?#pnS02u(#~=KpFLOA>Dpy*Tk7J)&QGn$TI=yWIJ-U<{eJh~kq{H+T+-)tI zhm^_ok5yfuS13rN$X*~3*hbKuduP0F`gF+&r}M{2*p66|SIiaQO1wcB#)HMg?$Oyb z#5*d~#kSAE)z^&W*OxuAs4L8yH5I$ruZo_7MsOsjp1xdYs`bRLLK-+ipeG~&G$Q#^UVNAGaOyx0BDB9 zcTNS(cQ37vT*vNOfs&Zfb)*lzG>ZMQ%rXogxkQV_H#uSVeGh1$zPhUJ0}_ly%|Xzi zQFzJ8?MlxYU$>yfeYKT_wE$I%+q}sYhdXxMd0I=26853+v-W}C>b+nn@y1wB_CY@C@rYE1 zsf5Ps_bt@y1sqgn@#zLaVs7Rb0kcHz`?JGzFHvRBaI`Qzw8=92``` zg0JdsKC$J>zp>9(s0U`}cxGh(TvTk2BA?37@eI%QOv#S-Dh)q|7ri4Bt!E!9x&B?? z??*Ai04gSLcEMeQIm$Y>uX`zYELZx&XOFl;H>r22x&^I^4!J$=v+oOYMX>}?mUu@g zN;R1m8=JhZ5u7*UFW@tjN`}-u+B0V`7V>a`@dfA!A}wyi-RBz%IBOXK1|4`-mQx`|=RLi28j=s`+O2doP#|7VHRPk%VNB@8O#rmk(}1 z>Ur0|^w!e!x#cr#_=@eE$GV*h&XvgceI{H&9$J95+&fhB-rI<4B7>w_8Kbw@cT$9c zy5SajxBbQyS$0u8Ll^9NVV@Ic;$z*>UH!DOw6*rjd82!a)1v`3X9<`wtcrdTqCw~r z&HcPYO!Sq2XuaaAb4z#g&`{6pN3k<(8b7KsFH!4xdqHE3QC=8XX%6p<;@fCs9OL|Z zu%*laa>W$pbL4ct_<|EMPR3B7>NlCkOE1^(T4E;ffKrNo7!U5g{D2>skSO?8C)}4k z!Z}Va!3qo^e5vY6)Q@JF!1z|6OjWvbV>dt$qwYO0;fjh+8ve1ZrH<8xn+6oYsmrH|>!1MZj|wn367SmOQ_XfDkGJs6 z{Wfk!LVEL)62S9dp|VHr$=d2MD2hUclVvno`_u=-gj80Qxb<`h#)bKT)+O4!>cP!d zG0VXN^DE(7_vmv#^)^O8mqg5sR3NmK3NI7_hCKR z$bs{XHmso;T*=aGGOy)TvF9X)_5(3M$lX`LR>%-kQQP{bO?P;2zB1)r>QSgNCB_MN zEnOtk*!YX|;jYf0{Q^g2TMgF`M*5qj+occ$>By;Cjs(7#7pq>`FR3Cjt73d<+Z7zO zMY&6U#WAx;>vmuM8jOHNdzA_?Hk^y#Qbtn~wR+Tqt=YCVL#+&sxzxx>d3t?gb+>~@ zZ@SN-|MfidGgcef^CR2CD9QB2%-C);&2rq}=(lyI&XlO25}lS>iz&gKyueXtk$$t$ zU~lCKh8|kvDQR^qBFV2u0s6v^Kx_YrmkV2|_WpAxm86osF-r};MZo1%CdS!Jt&u*<{{#OH4ffbR9orC!gXPKx90d|IH za9a27|?8X!7$>J^aP7Z; z0S=oZ*kQt%pG-94eV|j@&B;OdjMN@Y7rxnV>)05c>EAh+W&%Z4zdbL#2Rv-4y;xuo zKP@^7+JI3tnzy*L=yAn-RcqQjV;N`CwTIl8t5SpzXWA2;3`MtGE+sT-Z?A(s`1ghf zfbPM_6*%C2To?Mntb}|UtFDjP=w>d&utjsgiXXYYDj_t zGWoOL%yP4lh&)3H=|3HQc6X6KPHfuH8c@ZLLV9q%w~^PIA09*c0DY+2*itol4>K5; zYLgWg&vaE`JM;$cTabeUwGdjIS*M>TyqT^Rj+-xo=ok6L;i51WsQEZ@V)w2qf@>*! zh;4x`95e7|YXmtw{1~8CFww5=fh0&y*Zd?n>G9{<16&o&wcm!YIX#H}JFwgSJu7Di zTx2P$J=F>0^TSN9cQk_4XrgVmaT@IPT1D~MR{2R)n&pIJradxYQ*K}4$@siiWKgQ< z$pIS0#_7x~Cwu)(xmcM}>oi!p(r0xx&o3p6W(=cTqEOVLGWCH^2<+25rH9#Wyd+Fm z96+0z*(^22apwFUS{&Ta2DC17sGTvZ+rJaek8uLCiv3sDw)}OXhm5tXbOAx{tZhCr zV`{)>AdbI|T>rGcmGyA>pi-UqLsu7X`|M4IrYhzR753Rf*i>&Utvg+HS&OFy!o0yH z<3f*anViukd*8-R7PC{`?B|E(NtkH6v@(+k>eH;XIalyX_bQ!0mr{889E6l28jgxg zimLFmNIqF({e;;23QB)Tsjk)4FU~A-TUWrD#}kmYSaSI=vwz;+B|6}r)e(9*61|-; z9{*{?WEGo4+J@e?e>8f>b2QkPPgx*IvSIdkElm_8ia<&h$J}yY|@q}vS)P* zXYdC!F3bI!WOb0fdWA!Ax~XfzjdpmXGfB`=#Lxnr$oatOfmq&|6Fj*6pEmFYn={ke z(6Hcm$&vrL9Y%iAdE1NQ10D&Dn}UtaQs2beZlCKxdqgDZakDLW!>jhb_t#F}y@M^} z_fR%Uu#wz##ppLPk2RITng&jicdWp`3v9BkXj-?98xzn{$;7sYG;(!Dmz6-|{&z$j z;pOcm7hSF%n&-Fx>|4tNtkE3DacjhCg~IQK@0etx%^%!6>;Fvp0b<`J@F8)gMH7H+ z$ulj*z-Em4_C@U*iP8IW*b^kf7r+DWuHY)Yf;}n+D=qOCyI#>pWOT95zHt46OsCv{ z-NV1;gxSPJ2Q6Bywu|WNC>@1Q7M<2KS7^zU>2|UdJKQx(3nQu5K;CcUKX2`?Z1mi} zQwVhdJ1A~ZHE+v6FN>^?UZ<%_yPdyjR;JvX?(cFvG&rBbs!Rf{$X@USpM$!aspfuE zI|-92xw@Xys_`N5Za$WciOUXv)%UH&GnEUd9`V#h7D!v=V|+a>yb@V9G=?rAX}V7? zJ=Rrb@j^7L@PV==k9&8JBv8f}J~~>7hhbD}A0D7ad?J1xY|fZK9QW$r34s_4L-=XO zKfRON62Tm%=O%9|`o=3bkQeB}J z!d+a?xjtL`JoJTPc4~mF`0L>)bw*T$L(01x2vTo5mL^BD|Wg{-+MHOTY&y;1damYQ?T0usIYfH0o>y zF?=!CjI#OCvk6eTA0GcIVR{}IvzKrv)s01e^!;=FIy#yFC`ewuknffd7{K2)8sWXx zm={ckzx@Ny{3l7}Y8aVp?R4oQX!c+Fe6%;bW`sT z%4ulibARzc9=`MJ?So_qLLDrzMffsE4?%;{8`9@N^OJ?M%uggq-ydi9a_N93G0GGc z&I1TV>%SF{oW<|i2e&H4%;zsXN-XlJK8CY0qC^h-=3 z*8j)RSw^+dZDF`L#i6(bDQ?Az2QBXIX^XoAcZZhZP6L7BUaUA2C=S8hiv)_h!_9Yp z5v(G;7`|LeN^^Bmhz&QgeEmAA(^)G7!zcatuq!a&XsVVpUzBn@Az z3aKIgYvZgl7;!#o4*+cBo4ab5JwHg|-zDaYu5Bz_*&0xl2U8B_MvW`?e^E&^h~!Wd zd_fVnUGQ88XD(*n%fXVlMD2~%A}Vhcgz?%cCqxeo~QSK(jEORXW|4Jkkct`|Mr zo6}2W&BXWOTh4N7q}o@ZsN3mdRv1Ryu-4C}C+&anxgD{xx@hXc%nImo!K!)_&nx8v z_ovN$+cI8zuZqU|yaGsd?r!oe6uHSH^>xMDu+tHFBL4}e=uNmIHyt*TuId19x_O*Q z;y;lQ2<_>sq0L95q2bM$i!xzJwX-t)IcyktpryQ9q6;ci$GkAt2m53Pp5iYo*BZUk zNP@0V^UCwsWY=z^rM%1a`0u;()b@*qY;-tr_%s0e@O_ew42FUv}r2E)@{r(*sG366y(>{!FY9R_;mHtpTn}j37X~u56K)O7v}Gyz`??j zd;vk0?zQ!k3MUG?)N?q0m<%T}NYcRLe8PUuEw9z$`I)O!I-2)QY-TMjSndM@gPw`+a zA#B1ef)3D5L*4<&pB6E_1kePw?!mwVH3j7Pb|ZGOHmc<&l-7Ee>5zSpS(u6vC}}(K zY?}Z0ZvJ_JrQYX-XDlNqdZ?w$ExQA5xr}>=L9F6^te+OU^5CR)E3R^Oc0$`%hgDy| z-SxV>w49|p@6Z)O3t(m~^I=|e1!B06Id%@fx$23rOqF0tSa>?bfTL18}< z2)K=c`r8MK{dQ80jZ^y64S!;FX@o!EmBDS)Xn1y)yjfqf$;iPP@pbP-)nE9~`?H+B zvqr2wX(eNBVsOo%1!lbIYrTLYH)~4dVEH}Q%Hw|2zbCrF z_(dU59Ot!2%jb4ER^IpA6aciW@MwRb8l$dQsQol(2z< z*l;m?mzmRdR>WqTo65l=_&;RuMq;}v!{(B2G5 zKvjebftrnCM1=hP59>GA-n9QNIj9!&j%i)5J;D!O?;FK=lMV^Lq>?kDnn$!Tu zh9}n@<)^>iqERoHSTdVYW7wJl;@Tq`LIxyx!`Es&ykN%|{QrdBd{D9--15~Cz2}I6 zIdQ%XKqW~K67HY-`CuHkcJMh6%dcwcXCA)n89^d89EMkfk{9jRXTt_4L<-w*J2bIS zRB`i}bog7L*R>LX@8fGERtm>MTWn&};Ir7em|2YgkKm{W2OL|p_jR^>5!y;Z8TxR1 z1nb3x(b&J;T3JFL7+zXkpm1{G%;`#|^(ecnb@{OWe!6ofW6NUwk8f=j+3d;fLL&iM zK8BL#bIb6DPirLh{cyCeG>l7bMasQJ4|Wxu4mU-vA!CN>7&z!lX?2y>gyTS$SD z`f1nY4bV%zmhQpplwXy~$}QK$)luwPINaiHueDbqoBhU=$_hHN5jV3SbnkSaepFFa z&yR9#WSR1Q`dnnvaEm%cr;RiW7kWt+4vdYk+PsKdWC{?x`3}ZSUv0#Q3Y=iq#0=_b`)zRm%Wp+rImsUaZt_!}elN>aGGO#l6 zQYUJ&b1e0r1@w(u6Ms=4ZG|h=Qt!e5uqoO5sG@EUepJpJNFAJCbi`3myKZ{Sp%eVe zoBGZhyb=C4TFxt{cUsmjlJOv&z9ziOeSHP7PkzN_3vr2-rq^u|3jb{sR?@1eMRI8> zc(8}KA05IYri=ho0K*gRxi!Z{ZBrELxI%DjZ65zOpdn$7_7MkS;}&q~J&Y~7aJp%~ zgq^FrUMuR|V()ZF>E9)^s*Dhi@AkB&f4XAb<4U&1TakFY`Yv0Cv=8eT*lVxP&%QT9 zU#sfVl;|I9T^L*%)sz_1G|MafxAr{-EXqkWclO0;FtFOb7rTz%=N>y!Zu#{apr6LX zy8d{_LH>;h^Oy=8)F;{vk&0{^0;Yg#1JElYedA>a-|Yj%wiD(Hun* z-_bX&*WcoLyd!VZVV`Y6g_w)y&g=2?^(D&EBe=6zj4BCE?v%M@rOf_sFj}sPF1&!T z7r@6lsq6Mis?^G`HBt{!YWQj7t|8QZyaEf384fPn1ZQ@O7%UFJvSel0{~NO*fe^9e zm+AI|?*Tb3u5UpQf?LA}#qUO&8x_}OoJW$D*Vjm%%7R#!mOc-Aw7>H7`hhkaxGh!u z!VlyS4RQ!#Dw!bTRiX#R{Q672{1Y{v8o6u@Xp0bozpmZbvr~(MmE7Xr`34tt`=)jK zR&-DLXI1+?gMUme&edS!z(kl&=7cQY_WsG|RDU0!F7#ZmE`#i8Ec)Lpxq%j>d35r; z^OYRm_{fb$i!eB;`)ooGz(`^{{|Ffp+&3WoFXYo<0B{#Llj22O zSMfFmkxtC~U#?=rg>K;jRxw#^ez~`lLw5FVsX^q>tiaOCL(?Y zP6Z_IP&w6|KtNZt4E#7D$baX7X9$hO?KrI%^+YrA$4VLlL0SMr?87F(<}-~uyOD6p zhSd-|ayNbJnkJG?SV^4|O#rQU1b&S>et^_|nYp7YH=nQmC?ci$e?7HpC&4L=ZX6qU+5O7F76wkC~eLHxOs+>O7*5F7IPqWh(vU(c0 z&+O>>xdp{1H<}aDe}{MZVK4QiX5qFx&_!YkA~6z6I|F)Bmd>}s8}AkGimpkU!_U>x z;-Z$6?G$s8e4NkIGC{B}hoecu#&y3;v0+=yp(L3le!9)Xzz>W1NUQ`ux-eiQ5mbmM zUsFf;pJr8rOIjWlIrKZO>36Npdn69oWw#@i3mNo?RnrWbv^M<4Qa&&*NwH7$dI za52H=mJ&iigmZ5w;pAWH9*kHeBwTvR=pBJM3EJobv|BgwH~%g%3$dr`HhA7L{_zIi-x6My1Z%w)%ePRa>9j9Q3>5OY%@3 zVR4Yel;Xg!oUMVK&zE!8AM0}5$KY0Oj%_eE?Uj=1=ZFnAZX0Sf$&uU<~)wdr>*Gsdo-Fc)jD+$G2=6O zQH@Q4K-f%Jh0hb-oAi90XN?jKB&1SEFO8lOE#0F4vnj*NZ#G4*Rw7J7Y>iSM5Cz(1 zhb!gjr-JkVb{NzKvl_Lh;%BcO+Fe@DW=#@X3>bt3$(M_^&{_-si-nZfZKItNj} zO$M<)Hl>r}(H52)z`z6`L;V-<-6CJX(5hI+p8#}n^YUY<(YLxUUDmStb;%PGHb@4@ zn`Y``m=R>c*T|(Yme2B~J{iZFq{yEnSJuHKn3E&9#q=AI9^IRQ3IX47SY;3_M3Y&L z%5i*di zPYfn!OQS(?W#(&P{csA+uqqOw2o*;Rjzg{CJCv0-N~d+d-g$K%v33&##{acb4V~5M zSke@v2*os@mVk44t2+(0#RsxDeONTOqqStxp$jX|gM!s9V!$R*9^DiLZfKt*b)Vd| zj-9g3KQZVPgM{=~SuSlED)R8r0;N_FYMa3e*X+-{;7m7c`S4ngACi=ib=O%nH#)}1 z_PvDc@tp%ZND27~8sPOr7G@hp5kX7OzL*zM`R4{18^=a5gPnC5e>j;_&6HkE_uqU`5 zM{-h%B$}RzSNvdT4X>x%A}%z@5c96Ctq+RVny^N%E0_=@*!ik<=fJ zzqqWW{av_^d@t7LmUS;!E%0`UQaO5pEkTGM0Fh#ma_ro7e!90GY(lhUZ}QZUcnJ zc4H3BUdf|jrkri!NwOj6C|Xvj*ZYs}yQUJ?%dmud7Eg-tu~0R4yifZ0beV{Vz7 zzWyV!j+|y2iti2T*btFqFvZq8X2apv*KZU{9j^rb5b*FiMg=SI>BiOZxOo;0N^7P>i8n`Ay^74 z`H30nLbodpvL>4?9=fzF9%?*Ydhaj)Yggw=C^`!6M-;&Ja;dX)@Rmj)f#1G7jgx|K zDo5=2Uqc_WsO+jY*(LUL`3S$*Cck_Muh8q<3wA-GU7Cl{y@OAx$h18r2bbV1-g`!! zBFI@4%Im}(6d$@*e^=xm@i7_b+$7(o4_xS|v!l39ZO~JpZ72hgKP$|b-Izo%cJ%DS z<16Xf3*KDN+n*G&SDa^bM0>D!7S>+O;UtAX7pY*v%Cz?Wb>WVYs-~RqOVMkE3-JsBjm{Fo~6p?0L;JTvT%ZNLXSO;_d~EY;Vv?#is5N#+FN2pej@wJFo+bpwz$9!?=^ z9QfH`v>{MD_zVjEHUPEww@jw?`d{EacB_6P=A$VW``&5K5GogWTz)WnFviE!e zK%3h`=UZ3Viu;e%!%Q}}nurBJ2#tCW#qwKr=F3X;XIeP)d`T`Td+%`chUHxNb#H`(Z**>`V4JwadmN(hwGp46 zJ)1cdv;6!&KopPxR!oipI+$60E}-%l4#pQEO(9wS3|K4EOGC?=RW!R1PScSjOkY|w z?v+{lbo&@R=_;#tEMDR4dI)*;Lx)c?gG9+5JJiGLJ2x*3!wV!r=WD1S)x&I(FYkpD z41_=2(SjWH;OQI)K#${rfNLYGII}#@rToD+$ldW)z^J43_o>L}sojt9w5ag`9BA3q z=7|^w73n1&f^*MgmwxU~uUjDBr@&bAm)0WeFw#2XCy(rH%VCXKjZN75E-%|*{uLUV zc@6ing05twk$*^gh}M1B^6y&^@`+v}1a_@RFGkNNusaYp6oj2-=o1$nII2zh4tUzf znXYvexnhY*5B(Uu|0T%^2_t0%${l1wfXZ5TO&-&AKK0!#37Z$>;T8|gpBg3at2ovQ zhPE%;ip?EAEkPwS?}HjPQ$SU;%hBEl_UnFn;8%3bu`B>Sr*pq>0usAH(=$i=q~?pT zyo@7~%};H0{cF~yn_1^Eivte7pNrq6G`yY_bXH?j~=`#AXyO`Zsg<%w9KG%n!xY{4MsowT) z3I)uh5W3VF%EIxi13$+=9vCgpMw7c(UnC;F=&p6yoCiUM9&p=7#b-~+#B(+1?}SJ! zsNrj4*?$Pul$N)j648An-4uOYMpnfXqw&$ka@-RFzwLp5DLazx$`NVgJFj1SV-AIo zN~0ag`Xx7Z=u1j#aZi%+jo&k@Ooq#pVu|VwxgTqk_umQ1@Xo4s0%ETe%PJSMYlO)j zzxAfV@KyI+=Th32z0xrK$(8m}Sq27~01}p4At<=7*!J*fskW5q%)GP02paDzjjlKU zvZE+Zw2+myQT_O?H^$WCZ_4@!Rl_s(ymrN8@kUGdTCFdvv)uAb{8yOf-LG#MTtTcZ zv-3z+Kp0*5OfJC|s|q z{~#(P{`|Lty1Xa-F)~YDmIp8W)z=~x#<|%$eor40F7=r4Q(U#(`@5^r=ErI(M5fLA zeKVkF(#9spCq>(7ROOWg<|GHMjp}O@48%TSYf)7F6`JL@_gDtVLr0Adp9&IIZ*J97 zVn;Ih!(6XH0~haV#|wI`A`v_iA{A&MiMqgu17c*J|Ai^XNddVLyc64at*CAYZP-;7 z8>;`2@~Ln;Zfs9?E;bu1KFWUAa%lctK9YckdXyvwO5x%$QJsI!Av`=lWvykm{2^!M znGEB*r<>mkHvAVB0>6wOpLwtq9vFE@$^O2@uN>&C%dt7xTjco@wbZ!G4MGLGuMOkY zzaBa&p0|W1byb{|gRiTM+YmwhUv*(#2^DZ$;2IG_aihi&IO?0g>-X3DE-$IeYTsM@ z?gG~KEY^RCnrsp`l>Nhw@v_8FH+f~ZSh)Lskt}L2qaW5jBf6=2=@hAxXx^n9;kNqj zvi8C6GKB{oWWSsJoOiyna*Xx2tzMW+&V~)L(kVjz@<&$$C{)hJWZZGzuAN2}+-4+w ziw(m1d=u%We)966*vi6z9BB9&U^3d`K*1ID%^bk}htK17y~QjH_{w*XEER^f?+$mt zW2aujj^CdS_!RjiOiB?mQO}nK*5MJ<4$-`$;nD#LQ2@3~-Z2FV^+hY{tA443c(nD- z^pZ=YJ-_R{a}KwVP@Z>&!*m$4!t^O&FI9*O)lRt)V%vW@GW_GOO%ALufST#yj}&WC zriT=IJPt~5)jUJP{{-~!*(m!RiP6kKzsLtI8@ZP~Gey<<4g^TM}+9UE?LbKWSkNpMu|iew|QDi>wL}#u)=(#uTEDCo8=4AjISAV+IYl zBKk#y_DXLOtRl$T0b|dE)eYJBL#iuR5ZngTeuUQ#i@Buuq-=Kg^yZiz2R(AkUP;W&M%i`iBIW?s2ynVVT8SkEYu5%c2<(wO4{N)6_L|bz5fh zK}5_5b@Y{Dkpe4|y7PPq*!PjM`@*is-`~e!!Ly{duHBGkpd1x#iTd2TdW|Cshfue@ zfAmt!54{+Xs}rF>u`>^4p6zIh4AEXu|9V-EFVccq|}i!F0kwbXod5AFbau29ZF+cy34GGJXn;7 zhv3Ud0O0y%0cT`A#DA(?_C+iIp&D$8%j0X_3pW)X{T9qW7I;{#ceHAbj#AHe4O*;pKa);14MwHvh=GiQFtxK`)L4pMf>v-NR zf%x}XLlm?C2^85G*l%44Md>3PRYzR2tr(cT=g)PDeBbgC=GK0xps~b;w4du6j}iG( z^#XF(iE#v?J8qeE+Aw@9Q4}nr#}7iDE_xFjW9&DwK6<{{(>B?9$9Fln7VNYNwSN$$ zn0DfhvGj8O73pK~2N3_EME#bo@$JCKp$Agt6E$zoZx=f&ZK`Vtg1*6@!`r)j|66o& zup?xn86|xo1v}5^p6hA?;5HuG-t=#QxqC9;j6xe^-+tA`A83J^jaiRr1EqvgzJx|b z^@5<3KkO>KF(oq zs6OrfDuz0QU@hJ^x&so3HXVsrBZfsf`ojmb%I7&shw##SmtKR_wk|j=c;ACX=EB@I zJ06QlKl;}GBj0?WZYGKWLtpD6ZwmeVmg8;U6bpV4(4}I7fg{IbML?K{3^$O~kYoZ; z4HDnO7LA4R%-Oy5JNWG@?WSIvuNNPugFAGTSVR}3{B$x#9dGLrRpeZ0=V%LC8t1%t zrfstHc>Q<~;zOl)eV2q*bdiR%4O<}Oz{N$c&8tZgxIo6iCH+v{KudV`4Ur4PbUH6Z zJYO!##qs+#emdnj<4rH}__gjaTD2Njxe4yrEPzrkK2f`K6w42kESfj_t>(E1{MBX_ zoi^NJwZesyvO=h#pi=koqcJh%K$uPo`IGVD0?eUjX;l|mpqF(XA6(46+{obt8fYRH z8`lRqCh{Tb1W;}Pq_VFfZg%xVb^>`QXid`g1R`Z;*46u5viSo(N}{^V+MFBN&+4(4 zpmnfpyc*Y8RoL9t|Hi{B>{m7U^E%l4&FxP+L@NaFJ7|f1Ahb?iIvRtzwj6wr+0yOJ zTF%@iaB)H0mj&;{fyUdL_-K$!gTx0;jdJc!*^$#?gS?^7#lv=80mpW~2aaJ+hE{iB z%#^2gbrsi85}UT3x!@dBS{8GKh9WjA3DErd@ycV`XC3ojfzQP~L;L*Hz%egu7e0n5 zj@MrfF~_PWtobWC_cO{@d}*aN7fy=7gdEflUcO!T?IWpurSq=_a$A@ymDI09V1}Jt zt0PC>h3nHa_P;&OvuPA6^rF$hI+T5NKixC0X&7#vsxy|;Y&CV@#CO;bY90TsaL>q6J1we+Dt#$J&{+qQlq3WqKXyIiohJ?u{*Grvu&bqt~l6bB8Xd zzJ84<=}h+hz5+~%C0EGw->(RU4(UP@SYI}Ua&pT`&lk;*01yy4c~|Mv-OL~vLMb?!?C0P3aTF@Bl+c{zeA4K@>H+D z@{-A4MtXw1`635N;!D{IR+v1i*OW+h)yun9L3dOV!v*&{1t*2>0mYpu3}BYDt?4x- zJ@4SB)x}0{ZMP9<8{@K6W1?r9bALe0bAjXfBh=*pXmu+dlcG~zg)>Wrn|7S>kk;6d ze7xdfNO~!KDr#~4${++Gm1!Unq_Qav%r|U6udZeV3gG>|mvhyinmpiXWw=ER7Y?Ne zw9Js1^!{NHK*OI!^v$@ihmWUPg?+PJ0{3>ev zJ$f|AQ0ZSh)C?)xl^e5uJ@nlQ*~b!K1Qv!Mo&mDkahcQxU1FH|=UdKeR>FAmvcHgA zCgy)GUD9pVcFw0FA`6Cxqw>2U91#c{%pqz+&eaFM3E|2ov$iOJ)dO%+a}!n*2)QJD zbOU@+Q2H?1lj1k-1SS;<4InS}w=07Lt|SoN9=y0_qQTSa=$TxlnRQ@_10~d;JB0er zXS99VxnO$HzDaNkY^Xf{>v*)xen=exD7p(!jtu|)_CIlGMh7+Y_GU~%_4XqZxlCj@ zDc??g&=!qt4m**>8jz!JtTh#;oo4w;)ZQZr?@%7>OhtV4sp5jpY49pPWc`cEh5+6mJZk;EW-$)ZW`;B$t>IBIl zI!6^Ct$mWKpy1c^mvulFOEYJI27kvjyW{nb<{Xh9Pa27T*c4KHNlOVNi#wYy)1%-52cc5G zebqBAfji6hHfp16VylGG+_u!5)-?oPHt}hrJZ#0n^^lVPVdnW!q&K=Agzf@0I2CaW ze}&m4R^~n|R+LfpJg#%*buyGG*<>;!5~VDUr+8Xd&07Z()CIk-<9?@m@`-&LdD7w5 zVj8xk&(LQ#1zQ$`)TiOwbm6Q4T{ggmC`bfrTYZLCi&o_?7rJ$AXdiR`Swvxh)riDN z$Rf{4JJ5^i8Ws=-)%xg(8J<~5kw%ysbjZ=RI+4@sxgX_DAO!*MwkSDya%)Dd1B@mw zj|=%NZMm~XxIAAVCyb9rEVDyxtxSljJ#it+{p!fo1J>#AOC2Gnb@c#LnY+gD^1jHHIm@6o6qgaf?;h!qUdSP>lc*1TVPl zJJa^-8~7J)gH#5bGvVBJ3FT)E>^wCU-l>n^p>IisVH=MiX5Pd1bx*_u3OY?N8%kmQ93m#p)!?zPAe zxt88sGaw%I?ySK%2?HHkVCoCgs~(M%IKj(+W{_mM_M#Zb-=$L-;d5G->X{#v+trp^!Gkpr?BsbXqSz_bi3q4Ifzx-|G}JFh3m?0NpE* zo{%zyAc@p=*Oj^Bt5Z>jg2$KC{Dr zX%aXKrFw{GAQ}=PssyhukXfZ5z41cG7j@)$bisUyXfi~%1ocO`MMk2xiL)%=OjfZPU?Gz<?NU$A7?|1Ul!w^ z5HA-xlLk#@opkwRv?NY`?Cr{~OEkqwL(p#l*$?3IgoYnISqA6i_%1&Z);7!OR+;mF zcXV}F_hh4#GSLYu{#ZmHq`zJ0Cb_53Xb91v&Y3TN2|^)xY{c$#Lq>RY8QqK0X?b8t zL@n%9c<=iA$UQ`*n#ZxpifupTIT;rPt?Z!~CEDWBB~20kiD&UGu}5RiSp}iC(cbs4 zupr^ZkSuf9Z19i|exb4q?L>s&OTXOj z(Q?Pct}(QIB!X#;;L_HRFb!$^cP+O$-M(E*fMi=9E8I?iZemz9FGOf>1lU%ty}ML+ ze!Q|3KHtqSc2v+c^Z~JeKXf&x#W?M)80T{vyboxyUwkxx=V2pXo;hrdym`Jz6w~E) z7yg!<>W-(7aCi5bW+cQbMi{^k!aWe}U?^ohnX_QGnUmz_z~jYB`u3OI$Pcp7l?u0G zI`PtV4Ig4#ib!R*mkY<`ir?X^C)hwk)b}P^WXJNRqhTVuLj18_1_;(nIHg{pscUt9 z??r5RA_jvp-Y`bwI7 z{TRZM7G&lD-Vp&j*u`ChZ(J~R(5daLkO2#<%!>Rlf@lX86^mXL4RQ8sVX&$Sx-tLF z)N$^w8eIip3hcm@@XWeI($=~KiDHRIT`3~!>b9uy&(jRGr+aOy%jTUAYnoMMU!qm?L?j0lwM7gDjs@mkvhs!1@EiE;2F;KZB z;c97n=;=NGK8BAxTa8?P^+)lLltTIKo!M;<_JC9#pPC=gEf( z77!nk-+I}y6>uP)pmB>!#e<6daMQw$?r#LEO|43N?0$5Jww^!sZP=15u1E)ar7tPT zCwM4L5AGt>Dze(iUDJu!M*CAXNnT^SAFgZ1R~J$LW9=;2TX8DK2fXTsJm2al8;Syx(tOfTqam!Dm*G#wyVaIb-AInsh-)7;kZVQ}al|v=fZc=Tc z1Jp`fCM0$q=t~&J_3j$4CD96#EQX??uUjdlIjU288|9P@w5uXpRG7$4467VICrV@* zXuB^uh7s9W%ZH=T97G=xE;@wy5BnLl(bhr2-CNqWhmP3*c<*BoejcA`ykdKaA^D8J z@&VT``M)%8i>?&siwadjmDL$pCUu4!8V?F*1e^T79^a68sEwWFy1)AdvpbZuj*qJ3 z1v~HPLH=nJ+$nKOKMIMEl`7m>m`aJSk_;y2cfdYW+IOr z`iq4lCoqiqWjet<9 z5}UD!qp01Lsjn=wPANX2$xWoWV#bsK*xzecTe+W5y9WJ3S8d$(d+7UeLqrN}N zGru!Cc+(3G1*%1)w+sg5Upb$dXNX0C?=PPUns6#-+DXe(`=xefODKM4GZ`-G77hdkXzoPR(GkvW`knhI{Iu z*-L=2J=qs4-W*!8fCZfK@Rrfa)RqLdjz`HbBmp*>)B?0lbUtzXo;Sz1#}<$-c(*|* zt;T6U4$dZAS{x+2<7n#tW^Bop8*XWeJ+Wv;|CoE$b%icjZVOaBq3ELW`{41`aPNrl zu537jIzUw{;oM#kPja#=bB#Z&KSk-8y~8FzW73f?8(+)+(AS}bxXIPXZ``MDSQ_t? zSEMOYENQSKb(is85-48}oRW}yc0{wDkT+i}2IRgbe%;Xe?;jG6&y@)N`e$pb5T)NQ z@T2pXdN;X$6DkHPfdxqnx38SG!S+ednbL9#(_jh?p`pyS&NB~5ETF@-8>Mu3q`7%5 z=W!=cE{nm)mrOJL1+$79oo|NmhgGLOE0Z1**k2qjn~_vmq8ioT5a?_#issm zjI9?=tU4x=%(27s=b*t{i=o`3q1^doiQs_>uv)d*HtpuvyYZKwW@+EX57O6DBv_Iq zcv$=ctdkvFP&vY8uEqIH-~uUk@EXf;UT__p#ZtrZX`j<(Dspl?xBn<%gp?gOVC#9Z zWYaZxKIeWWH(6fc#xg$Mfyw^B3}CF?%HWwdDU_aXR#tEqw!MGZOE4a1TC`Sr^rdJ; z2+wN$RG^Zh8x{M*?Y_PAMA}qaSn$1<$CvuAo-d_|HN{6o+~6dl1m5m|;$-=^oh?MZ z#a{k%0vb52tpWRhJM$L%cwX1|D}F)nk%z$HEPk@Yxc0g6gP{HAFCGS#CD>18f@8_z zctx=NRu92DzgNf5sOwJ?ywBYhegkwO_vg)3Pwl9l$2@6d&m2daZr!Hl8(&Nb?UdMu zruhZkGHM2`qz#vP8NU;a;ShY``ca%SYcO(FYfv31`UEUza+vOrVp)oGfAMuF2~=|)zq7D6x>}v)JzM;`7rbWq9bl_=Jb+z(Q z#Hx&=fV+|{%EIBcwz@Laj4(?kiuOn zYeO)l2%qAvzqB%$Hpekdw{?Yrb6*PLkFzZ6X5!1gbdMAgSbOOnyr z>QGuv;+FRf!KzwD#SAL*nPq$@Y!afTY7COt=1$vMNc#>bc}tv3H)Dq&!NJKMEJT=U zL%|&&&!C%r_qi!*N~ZYJ&{8fw>G`mHTs!l&*#KZNjY^?M{Yo)jLPV|YSmogD6Xk)z z&5z6JZ!wT+S}=hC!%f4thA!N!!Y44{s)p~0U}slNk%$w?e#ZVi)*?9N&FF;c=W#T| z(+=vtc71@`*TGvw7QqI;f`cd-l%a-YPW7+v;JQDIzAQ;%*sm#wys*}!{wzlbzlwz7 ze%4m4r`9s#RZsPAA25o-@x>)`g0jb{vxsQR$Q&H27v-w4iYh;cTPA^1i)WmZG#RGt zPJRnAIiSj+o)9Z@SMyiZP?6HbExXuqwD`1tf=aAon(DY)O>mzVgcKHLe6Y%w=>28A zm$6vzHZ%%q*sZlBp5ukD2o3%LNfkcHBtzR*w8jrgYn40QHeuKWOwfm_EO#8aJn)Gq zq*&5&G!eNyjz~Xbo#~FSV|G8u@eldE z(lDsjN=GweBh(=7xT|8t*aV_|c#M|7cfVCx`B@?852%Jefw*NY(I*z|D|B zIAO5XuV_Z#>?jzJfo{!Y1TTy#Nlx{e5sE6>mZzomV?U(ivb*;f%ZOZ9B=jMz{$(&u>PI}`eTZ9m~Y{9K9yMMI8>!Up@i%_iEo!w;m-+;puD z71yYKh(sz#*24cM(9|yBxW71+DS7J0AsLBri*IXSH7A#2RM4cUwf=BQI~nc$2d?4G zTBSLbTbq8oj9T{dEmzNr)$XM*CbH>wb%mF*=F(eX!6l4gOaD~5IC~`Z1mbZ;gjcQ_ zazefevJ_-J+{;=SopO>U)guaYE{Z|~+`WFGd03B=u@Y6!x6ixl&sHxJ*`W&L(ka|j zPhx}<5gMtVs0q3o)GX*Ot-x#d0IQxlxZEw2clt17&23#&iQi0dyE)?59_Qq?;?nBM zU2hX`dG+U_Wxsi@A)2qP9*mz+LfC1~xpIZBf6f%pqa`Nkl$i|(h+{+S%+q%^?nA44 zGQaIT)`vO0_gByQO?$kmzz!$s)EE(p@Ys zx3Lg;^}(ARm^JM(zoG4?c)lG^GExmdb{}oD%e;2A#UUjVD`ApBlbr^n0C&= z$=RH6Na}lR0R|gZHK$FZKY~NXQKgIrrXNX~C>I4LMm@P?FR2hsnkWMnW<67?FnpuP zTK@~BR5DM~gMFd${!nCwo|~OpYqjm?{C1DG^g~KUV$bjV)hB}9zD*TKlsA{ZpfN{5 zR-+j+^h}Ex)aQx}l#Z`H01za(q##~}wp^bcC4AY;ZKmWF7O<%nHxlNR#52kUV$td} zKm2@Udv{+GGN=luT&^rILRM4e!HmIVWYJMKpx-`u3wPO`O<_G**3LTqzT@#;R!mAk z?iXIG_PvLcM;G&ydEz#oS=O+}hv9iAG^$6(0|_x(%#8hjodeS0zU&X+nFdh3rrm~T zK%R;>dNFT`+jg3wDZDHRw{fH>mGRsv@Ck&778L(LeLXx(YK$D#CL!@xj1pUanT_hN zLoLUxEXNBS=F&;OiVOTnhz~C!H_3jwXg^i2Z>wwL`_PhZ-Wb9|s5v8`GQ9E?7u=cH zRU4%37hL^B&Bpzr%HQQcD~q3O7hLrm22aAdn?Sujy=u%hcT-G66N#%Nt9CX!%dzEt z?efXPGo4cwKRg)qIaFb_B6gi}HpAv%rANMcwoT8jzIynL?fou0Y(9H2_Paz$RJ)Kn z+Z{&L@shpAAj4yG%Uttyk_hveBTfNS`V=e^F=b;b5}x06C32ZGNSC)OmpO>lW9h@0oNx zTKu`X)Rg^tdcUKfW8{tz7-rduB^R?yplKMM~@sTg+;N_gr z?Z+Msn`Y*rKF?I_OIder<-#OJ8BpkPI>C8$0ZgVs$$$s1ipT6sV&=V$yZJ=!)ITIU zOOi2vyM)2kP;0FkLkfdy@<*MF`Dy1Gm7fkqAG9Qm%YViK@GUtrhTWc)tpe*g~>71qdxus9@S_4-RD&c^h-8tJZVb9KV z!EP8Rf#W7vCI2&7gRNwoR$M3zk&@a_{LO~aglhY7D(%9ZxoaY=5(dk_|IU7A<13yX z8cHJWCLq%|Tw@rq0gd0Ii|`kp8ximuyb*4Iy6w6bTPD-uuTXSvnlkusm@#%X$t(1} z2PaHSZ9xkLqq}ew*N;U3_yVoRR;Bb#tM5|TrkbCC%rntkFVh#;o5?|%#h`AHczigrMnl)C<^MgyJBR~fB^W)}5w zoZHHle%36kc@|HqL-mSyH9Yxay?GpKlZQiXGfeKGOQsBg*MBI>#&ZD}B7p~Hrt;5P zc~jLc*C98ad3AQ@*$zvfnTOm(R3*W+joGKMuQo{+fXCwmLlFe;@f3#fKPV@q9fWB%|;;3eFR_ z^kZq4a(I519ozTcd1NU^Ql~`NJ79g`W<_4o5gVwq;G-DgZ_3@Gl}_d9Y~3P{lJ{6V z8Q$$|T7D6d?)-(-GGX)@X>!%CtetuBVNW8JCpTm4g7~u<$GPH_laXkv&gO!@0YZ#w$tSAjqY0Znu5pLCl&%AMr+el+1 zkI5*fX%^>-C2zeeTAeF)-RZMOAg)V%Yc_PAgM5uTtuX@Fbs*6s*POob@L#gaL-VXP z6x;i~V9GivW2L^@fb7WJSG?cxX9;JGycdr5!oG*56s2*J=iVJpAZy+GMx|r2#C&+y z^}@sjXbn6+G8uNgZYar?FN9-rN{RRekSmoP{E}&!Qh5XjR4z|?(85RYLa(;-$xu0b z)|nnvgDIN)%uV!;!#g4^OKS6jDy5@k1Ut%i#9OUAAHf|xDWIP4u+2c7PN#WdNhln&KCn;M5 zqGA(o<4;3)$L@P*v0ZDBG$6HQS1H+W5+?rskD-CN>^J(q;{QBsT1EO6-Z%Ymn6MyF z_>(_q*1`i{tdf7=J3>o5x?2xGP=oc8AIC7@kT`TfDqDFYbvM}|Mdg7|x{}3hPP6CG zrWK1NhGCodn7sL=6yL+@m9FH0NBry5oyNT& z6ZA0p<`N!{#QM2RC*WR>&b$ru@8b4*@zJE`)9ERf&gIlUk?c0xO&AU{y!Ije;u24K zmHVrcA<$;?yTo_D2FO~P{~_LW>SP!pcCIEV+ozR0gw%wgsj{odGEv~>1Rywi!@T{r zJn20XD!5KO>pHS+{Y)`!)1oD_P@Vj6UwqCx!KC?_&4RJ0>QNvgZ!ny>l>eo8#;Qfg z9cPSk`NQ`ECbjj>se`Dqf1ri{726S_v;3$~2QU5zW_06L zWgNj6YW*Km-x<#4A9vqjR_&tp2vxf!s2OTgTg{*?t-WIJ+N(y)Qlq7)B5D<}YDbOO zsl8%vvFHE(JV^ZX-xbZ>JC|4UK&X09p<0Y}6y?>C> z$s1*R=2BZL49ex+2+G0JLsMq z@WbCOSlb7uQG#$4$%6;`l2VDB6%<%oC+eh@Od+@5cJrpJDP`qtCsz(bb9E;u`BakM zgyy;LGY2s(CqRL~P+Hf$9{3K`7!9f1WNoOeX7g5SQ?a^U7yPsN`YI8Rv(RSCdqV+k zg~B;d4Hyx%z1TEOK>O&8lx;ZyXET2dR2Ss-pLpGrF4Ehd5zM|57Tlk>UyZn{dSfz$ zsrq5{>9RK6>$c`?fh8?uD|~Cr%c{)IhwJ?Q(@jA#Q9Tgd2&(x~hwtr z*(Esw;KpQEE0wnLa!Q)I4J^>du30=Qw;+ZlMo-~B4Eovw*oS{e<>l{Maz`U;dFaAq zR)hHBx&|Pug@;QL?=JPPq|@GQGrUA_A=L7no&D``Ec0P9@5cn3Z|HT$ax@M)M6S_f z+R<@-QH`EsT?JQd<2fU_-y$8cl2yK6hFA+7a!bY4B_uC%IV%__%r8x43}G?SFNPl8 z@LY@JXp!95VWZk`u#8>R$ICXl(_wWig*_LBJ+m){qOXM6;+sPJezefMYk}9{p}m(C z-?RafzDqV!X(w+_xAh=uo#B(%Y5Bn6mp^GEfxS`MWB_)YAOgoXfmA0fw&X_Z#7HV4 z+6(Gg>99wW)HZXPAGEhOHq9D8sQprrffTd+9LJ^PSkk2&>o9A1~}zNM?mK0T=IOa?k@er2YIk3Wo^wRA?Ck5 z(!#u;@cMaJwiZgp-RZvMau&8^hhvkk-rJTq&Xs(8KF#4*&vnz;rHzW8y+WR^c_{12 zXy%!()bJZ|WA5t5jlKU>J26O-r<>`SY?~AL(1$0VFU$F9#c!MP{%);3f;=W%;#mu| zMLfYu+6*saJ!b=sbx48d4B%!IYHhD^w@)__U(aF+L>bPJAM8>gC?j<@{NmJlOA-lz z2A{R)R2EuWhKBg0^3aGthmEoy=+)eQTV z-?C3zbRrEY$Nl*BPa$oDL0-$U#X{j6${{6)jSJzu;PYYZ-InSHK`$}1mc({~r zE_|*yRcxfR@hDPOKgGIi=Jg1;$`I8^bZN7GS1_*?_y#(IzHfwk&TZ=5)amR7TFqIg z$Yj$+Ja)jMD69;v#*uT6YlQ6m!PIHuMWg!nMvlr>>wfutWSkVRwXC^Ovs=Hri*Qa@ zOFhdKR!ck@x+)%7WVA!#=uQUwSK3^Rbo?}HVtz3dOPi=Bn0#C;nLEWDGz@GU zdo@7_u3IjR?m#jmgTx%M@JL;c_=M+?HEph1@^vs%e59v2lp>_aCGo5np8-yyMwcs} z-S2UobLd$_y*})*=oG=dykt^SoPjgL6kgxLi2un2CC*39%4DUA@E1~y$avzh}dFHB^Ybk>L2?y3N zuS0zq2G}FDRpbh}2SnyMN-f5W4NVT_SoJgh9+Rr*KL(_USnNSV_#xh96sR zLjt1cJ@H$KQx1tlfoOiqc>vc-7qg`wJVy`?9Y-)LNYf8+9^(ScEm@hjdDNq}@|5=S zgvBm$Z_a`$bE?;eIX2&hH)S9~jVK24q9gahzEEMFgg514ucU^X(rllJ_4o4Ad9RQS zlYcMu|J9@3@%Nn@4sBv!VWV@^G~1z;m>wq*L*NpU({Jl_I!cdlp(LHFl+1K%?gD1C zkHvIlr{^6vnJ8P@o!sTElsrQJvZ1mWI`P|pu)kXju}_0q{yetRPOnI$rbEwQZ8%y4 z_XS5%)K+t2L24N4|He!$$?%)+bt&`%LRTJHq9 zC|d2?H)~5syaqAZLE0;tM&^IG{% zbDALMzQ8T@|8)Rv2~*PKgJ~D{L2V4f4(MYg@!OBP_z2$((9^w#8DoMa+dd)mW40Q@ zx3!^Llmi(=i_fQ1W`tIMr|{HK699L`PX$a>SE<;%1Xcyz;xJl;aQ{4RRe@qN3e4+H zPZ0Z6>a|>Q2Zrv{(M3JEVHPH$mWxGx_HcpHInNoxH}l$9a6?NQc@?_82T~d2ew163 z4XSogAEO-=h~_k3*!rG|Fp<7B=cZ9Cawz>6y-(fK^*ydfV@7K9Q`L#GEFEWYCt6X6 z1dJ?rumPO+arU=22hjl#8;yA1T_6`*YIO5&ubXK)+pIi=!+S>dML>yvc(^jN(|%Yo zgKBaHZTGtyl&>DD6r5Y#r4OB4$$i+6%`tl0^L&fLzrN@ip%W2d>8D2LB`l=69G1SPt|txA{Z ze#Sn`q>Wlr!$c^$KV{G35b$Vx7 zM|YAtZWg7My-wHv^+N(|pt{wI2FhX8x;Ky81(fS3Jigjt8_stZqES!2k!h>ZEo7=a z@m)0tetbB0PdL2dH0_XxDT!eKbbRQgtYFxG;sNGPUNaf|0!4koR@`!_x7hV1pggWM z@1ve87;^c4-cnuiV{`-5<$@S@WOr!+q9F|c{?6I2GRNC>Ie#}^*Oj1f6jJV z^*?P;av!Sy-7q`H6vC*gZiG*A>?fqii18O500s@!ymes>i`zli%b0UX^ z)ykaq;UStSKgIIcc}#pZ+~Ugj|7dUYCI%dOaEI&NI}Ogl*<*WNfSvk=(TaH^bDR~q z!f*P$@#1Yyz37MLr7AF%v@3onNt|jRa4agFE(2$n{}yrGYqe*{UQ2E?jN*qEHhTPK zuW(i>bY~mB;!7L+61_SQ?xpTpB8Q>dsoWbea6XzLXS;265=|$oLV8xyqinE=Tms-e$}5; zXE7ai?ZgYXABB!K9gMiDX#6X)dqO|ZUvl#d`{$l8c8ln1_Cxt_42rY7s5H9o(oC86 zVKSph80@)ac~RW1okheffB~XHgBjZNIUU{n6%9xKJ%y)EMBLHTvXY7%1D_5-Q=^G4 z9y?fPzrWMvGTn?QYLjYY@Fg`_*Ul0whL_)qpSaVOtNiTU&(!P@J~cW=UOU&hLbpqI zji3Hf573wjgN%aiV}t*068{+*HU2%vvohk9JEA+0yZXG#@A-ZD4MJ7W7l-?lHVNN7 zk}PJqI1ZuZ0S^`I7e<_kuV_#uMCjXfn*+S={2(;MZJ6qyXbG4vX zcpgT5AWDrArT5B3Nz6{_I}!s~tp6ZjiL z6DO{S5hj9`;kw-d5Ek`Wyj$Qvsy`EG{= zxQ)gzYnj3=hxf7Jm-+*(C9AX4F!n0eUf;XJ(s@Z+C43do>&zmrIX1*2ZK}1X^z1R$#Y$>`s@HbY@SpG<~+a0 z8;8N~<$#bUGd9Sm#AT&jyU)LQ3u+_~gj1s+Iu>grd-?#=I8UgEDJF$B-83DQEqa+G zrBLn8)*^~athKGK;UiI^RO+3<)0ALOVQeAqyRSH0)R0Pex0?u$%koWaPt;6qcTbk!dGN6>V2 z2ApOLxXR{7uyF>yeXnSa80o4R1bnb2Mlqa1;8f*!_scptrDbmw+|)YmUyy zLJ$olwxwt|j!m^Y#azuw+l-4NRArIjZ7=0wQ&@g_N;2|Ur+rg;d|zwNP9pjO*erNH z22+&aJik5oZ>3PUX>-U-j;!#8-&b%dST-92c-y&T4C+QntouT$(9<9xOimFop*>J* z7RUI20T)1E=JMSGnYTHNmF6`5JTf_!erEEOa`87__vysR8>jt`coOkf{H`XPsZivT zpB5fmBdDiPH;ym(=JAe?>OIDEfTfKZ8?RZy{~&q0(%c}SVELrSg~W)lN`n1T$1HT3 z5vUj8_Si9?hgjqe=kM6?v-i z-Td`yOe5kEgNK`0K3wE}28yARW?F!Qv4QW%cFt!ndx~oMhZj))_`KTa%YLJbA?x%c zSy}3Tbszn*%A(;SUPR@Ig9ejZu@iCp_)NNMuYRbTVWA+r0KiyIAOC4Rn@@#-+l|V& z#1A!hIE3sJ(_ed!sbWWJO@fR@jro)Yau3LZFi1t()8C5fl>);xGAFg-@1~W7a1P)L9&8lSG&nJ?Py(ClECiOIzpC%}GEOYsc4F$x@BGp)TX6}v3 zt_uf$7Ek{~3GLga*6?*>v=TEmR-T>d-nibgFuawc$`>!Zepx<;x~5@WNmM#>ZdjxJ z;dcH?T&0L}qM2t_9k#1k%i0~K5ZO0u^Y-P?sKw~E1}sS7SY*yH73!EcM;P-#XrxeX zXqPAfU{HdnJs_{!(!AJjZCUy8Y(vwMfHPL8H+wmIq;%D%UXUg)%OLj7mx}(36J1QI zn{#NhVH?5&Moo^0NR)Cj?4;?MMwdJ!z1I0Y^Q=tR?a&9A@Z@P9;8c%!rKfz4=^En4 z+RKzq&{!@k*c|iCBki^>7X%_{b z-Tssxnm4KY-}yzV->{*u3$=_#3sywRAK4^E`nMl05zTATuFhn>@6T?}eWAtARY(6l zJ+5Mf$wLg1a@FDAWP!*0X3U5uIM)GNfj8WGH?TR`_Qb>L$o70m zm#;<3#fJQY+HyaFIaPICE%xPeA-bpwMWOwrS+t^w#sa>mB-=8+@uZTQ-!GEEN!!zk<$#8+D zWd1866CXSilx&l(r?2o1?)~CvZ@YF<#CAt%Zxp7%*Drej(5jQ)BF6UTna}rmtM;GO z{=%wn7#H%uTMqtgr65=-sy^=Ge`YmRr=3hwcIH>uIWGvqDhH{<42i%OSQ;4n;nXwb zLdrdvE$NeQY!Zbpy4A2wV0G)dKU`Ii4WuOsTG?>#$6~78iB;Y1~V>!lg!}3vb3CWS2ja)sNGEETU)Cj$u40h z>~I^)27L+QU1$%2NOd0Z_j#_qsjM-o;JRE>@8Xw>;x2X4x9o1eR~s=6b$8j4BnKkD zlAT(EzW^H!sYJ$9{$rE1UfX)7uu>{8==x)k9p-IECMf3JYg+?%5ck~1(=2Dl@(1X` zPnLy za!bUSHE{OYK2OO134GM|5$$Ig?x$8x=(lZAfw=l_5g_o2McfELAeM zRP_v5Yl2k|HZHUlMs%w}B759V;>WK{6C~?Y<_{KawDv!v4#o~=lBc?vGH0k*;DYH* zAT`<8nmtW$igX{7{FKRIpW0#N_rT!%hTq4@ftfuo_M+fk=}l6z1Gi~Y5TWW{E@_#9 zV~)-r*{7)nG>F>_qO#&_8d%h)d{^Qd$}w%#$G$ax?v1qU9|pZh8@P z`z#egL3r4GBXyOZUfRIEwkqFvZKh6p{u>|~>b;&IuGe|&8p@da7qYa3hW7NT5Qp+& z70S7ZHcx^?LH4FA+#3n<0JX!ip^gX;#Y3 zaOGbxgYvjF-JhQ~r*um^M4M%xbR!6Xf`xw1Z?Uo9iTR5F(~okBUL?Q(a*0i+WzdMJ z`9w1?Ly3#deI7Tm3oa;kL+e{x_?sUK9tDJ zeI!=Qjo+_yYz@8o{;_u0o*})7S?y%@Uz=UBr6zbLtY?axYlq+0KRMvxN+2Y^VNgWW zirrzYQjPz7)p*hx_M1^GcZ#&-)~d|snq~U= zlnrE#qlJ%eYxp~>iioUJ_y-zRtihh9Nh9c@yT=@Erzfdtw-2GJ*K42lVjC=Uu@{a9 zaiAZ7d9FX(4)#r@fv3YPbHDcKm6Vc8aHBQ~?i&8J!?QYM7B$~{)_uYlJ*Yk?c3(K$ zVT$zwgQ~bh-=VRS+tp-~+DCHH$hawOc)m ziH)9WUQM)J`@uA?X#M_kgh&;WilP>=gn(dOe<7h}A(Qc=X;ow5iKnx;ej4~7I!DI8 zon<@?b8$|_$MDCp1 zOfZ!1#5nvIoHs2qee{jrLP^yz<>*``hw`erhvOK%`IKMNVpktFn=>^^2U+ItSUGBD z&F+MJejka2(Vm#r4lN^JSn|l!)D{(FCNr*K^QfzHwdf{bCAHd1c`IQu@l9AQ;B6{| zelTrub6HSoq#21O>s+Z`8r-ALJ>~HupEjq~DnP0pGMGe;9_=twG&VV%LG4c9S^7(sVT3o1UK%Ga{?nI0e4)vvi{V^qo>xls8QAt*iUdq42LXsF;K zRl}Ci1aGZ-UheUSRIt74FJEUOjorAsA8`%Fmz>~PbA~G`>il`{?9s(|^2;7`8`&nx ziV~Qx^~mc}hu*Cy%)m zX%_zLI7ZBzk6lIzdj&VvddCasMhV@|7`01;>0OkGQ8-Ar<2I>Lr4`Fl$~MIX?M}&C+M4YT}|hE{P1wIG`f4mW2%5@hdhtFf~?YBNoJyhqBMy8 z4snZ1{)xoYFim}va|pkys7PahWd8MH2w$OhvUMBoaQf)su`w#F=NyB|ceeR0R4DOse2p=6AI& z$C0(`5-R}=Jl?cO7);oIPJ5Y4kZY&al~#s<&g@LL%GI>wKX-uRVubY~z4fA>^`ao} zc#2x?7bA$07_N!&_xZi5^H){pfz~4a#~;w4EH=1JO6zZPw!34cbSV+VB@ypUzI)`1 zq^g224l%BF%Qj^t!y_1QyCmhy)DOHj~ zDY|E&4!yJVUR1aI15#_l)refmQF$3$~-|$FQ?XCR~5TmF28Pj2^Z(FP~i>wi-? z&tZo@&7ulSP$(UXRcai%U7!MqH($tQ%n{E|=f|su6sw^=+vTZJsrTMe|Lk%5GS9U{ zgEPTnbGn$Zn#Td5Ho++Us`fvlj}Phe8UabI_6y_B$$|#dHS<)q;GaBuQUtwICm@Nb z@G`_oOD(Mn8)9~<$piBSu6a!;vE#o-k1>7Jz-Ig+)t}x$yp&0f8CQ{nKcDx~|QNw7f=$%d_g>!L~k z%R|C$GfTaq*8?a|V0+bkP~!BSCigx$qHUy|JxJZG`QFdl_5Q2N zI9fm%{_`R0Xe~EE*s3)u#KW@1gs?0FdU53arB?h85n|&=^%`N9{)yQK63V{{{t|3K zH-`^C+@ImSv%HX3C=v8))Q=f5t>>`+EH;nvaJDHfZ{XM_FtD7qUNQX}pV8~rXnf?Z za}wyVbu6g+L3p8{IkB{>=pm^+F+|E1Guslq+C5}SD-pektVkMoOajy%sJ-feoZux} zq_QD7G*D{eM=x;JBSrpC-YjJn+9o)a;cS_}mEOWg<$A~yuCXhw43zu*_#H&)n=jov zr;O2C=CK*DEUzdBHr0i!B5&*XZM7Q-(bPBdroQu_9Y4Mwu;H456%BvDPX^fD#9d?f zb)*~+tds);Ahx`^N|4LENo#qFFMm^5`TGTr; zK}eXAoTHO3{M{l&%OZBgsM5niCwSK2xM!jz2?%R0K_L5RsZKdnt}l#0;-v^G${44qRI!K9 zi*5;H<4rIBueIs@L?`-pV!^+|g65wafsEb@4$)&;(fbVVO3RmmIfuUI%JTJZ;*-jL zh3C?ku$J3u;>zE+*U5@;erCG**|6}9Ax0KY`+JP4h=?{*)hmnz+h1aowQph}e|}tx z!JI0OY=deeonDDU70jqD&DzfBp3us_y(!2afHyU}`|p)@z5yZMM<*X5Q}N zin`$fQ9+7goTh?7f9Z7ELH+3<3E#t+6uLxN~ylrUdP=sf6dDeEJ0p zu}^E`0A`S)xlktl&FjMCxE(vJYJS^w4K}o2O66UP$5Fv^+MAdi(%&klzsOFa0Xo2& z+nVb3%wJn5NBM*d`7bwOgY~Es)F7jOI4V_JjD|$7A)9`40MF_4e?MwLSBPz#fbg|R zWJ%t3N)b(uuH~Vv=>>aor~U>^#)^x!V=#x$lvDcbtTUtpD$Zl`QiGIS3Zp2!%}pMH ziZ<7eUmP3P&OX-2dfREf5&z#>T{8~#)TURSnavE2%#m!Is*hv6V%Xv?^tQ#7o-Beo zX7x|;`ekmJG1rIsF`$!hPblurexCr^?R0=VoeI5jeNG- zM@=zXuIGPGhQ3OKJ$NKzQgnb!aTzALqpPKEvp!T~IyeJBW?#{S*VL2UG#kT*T^6&i zZo`01R6_8Ch<10aldNZ!Y(=iJMb0dQ!X~-+=5cc+jqf-B2xifODyOvB{|gD?N9f;; z2RxszM3Q#}JdfbAq?>Z0wkIw+sN@TxIf@TCZ?KV1^y*@kOHRx;T(L}JF#2NY_M1F3 zPFNyit0tU5B3bz_bEZma$YA|5vhS-5{NUiv!Mh2vSegxQ97itCsl$(%3ND6(ezdOj zToE){J)qy}-?xqwb|XFOpl}YHPHcbMOWaa2wgdDdwc(~=I7}rm{q#JxV^5zcD0Ip7 z*M3693Rg!|%2<+-KB@049{k+yC;Ke|T5whAv&wMD8{6OURJb9MiNEIF^NKn1Kx06z z*<;U{syg4o;?@jxveEk_Fx2SEqk>mjg+U>v!pq_B*K_)Y0dy9`9|lBpcSsh=AsWq z>-;*LAE6-=EZ_9BKq?!_cjf+TTWK{RyDVQ?$ClCL(*+zLUloDF zzEA)l+yM3*GdvPcrN*J+465!ZP=+e11dwzEBh&ZjHpjUzkm6d|N+BHi>W_4;AAIRL zS5Som91)E!OjMYr>1QcPvh}BNp$5elL1M&({4%BM722?gZ)fLsy2uUdd27XCv|Z>D zg{vA_hSI1@M1^(tJH z|CH_=$&lX$bK~ocaWBz}b+>2m4Lt-}5gTTuDJpKaHVgLFr)B21sGArku|oFSH(kei z{_~%Hy_lCbIwY2$@pb&Af}wm6U4y$(H;?~XBmi%@CdsA3et%>W6B_^+e~y(Dv=|!% z=H(4$%5Ho;7EC`MR0p6i);9fWY`entA`C&QiVtXE)Cxl19mi=)6T+ z@TZ3>?`0eK{yKdBx&PceZ-|N?{+9W2gi&Ke^5lI>Mq!{C~PI&=+o{q;9q37;$w+28+#mG?I( z+RlquJI~P1WYJh@feb^pGFn*#$j<#BpZ?}>kx%u(Y;OCD*HnH!U`@?PctsNU3n|QU z%(Cxax(Dz*D&9Xiu!}%#eD&BC{0uyPlC2u(wmd|~eb~RRIFBm0pOMh~5X!?`G9|;l zhva=T`oB5pv$gP~QRNmY7;lw) zQo+_8fUd2>N2DhF+OC^l&7&jO3A{PiKy6S!-ZvCK>I(!W1B7EuXKd${uD3+9_lvAK zsiu!h`+JXYrNe2ZKYwm%VEfrrun&-0d8~+v)OW!ypghhUGr}F7St{&)LPt|p9x&=# zfhJAf0B)RgZOJrOOXFwoS@ZZUNf7NhK^GeRG-!f&We#=NR?5Mbf<62F5?cnmV#Ol} zIoS$=?=c=id9dirHvq7>c*-ZB)a5gXE}RT}zLXiVE)_f6MsJq{omk(T6O0oNqvK~p zGVovQT=;#wVOSwgQf84W>;PHR-!#P5^oYrUN(szoeQxlwq?X0EMZ-1~>IKaV3 z*vsKlyg;1n=|Sq&tU0WwB~o1{^?$tbG(32aoN}&iWQts^(cL%wm6B*U@c$^o?(Jbp;!++A9PP%ReLRrc&lMqU%y^4U*9pChhEki zIAortE+I-Z8?$WHKvX>+zCW4|k9B;^8TV=_ub~S)I}Um3&KZ~Pxq3ABpxhboPZ%M% z6D zM=z$2Zzy@a=Qe_dEYhVeZDeI2f8R$Qs&6D-%;4d%vi;$JF|#Az$Q^r#jGxfdY+t-j zcH?`>RoodU?0}cmLiyW>aOYwyuT~*%a=Uci8$}DaT(iz^nwDWjqrF-2!gOcc9Ia%u zv3J}gjbDr>nBs-veZOQKA&ptiQ)2mXyBJ)&vDF&`jepZB@ZO%9Gw#ia%B4cV1L_73W#b7l&!NJ`RH`aM_NcnlllRbyK`_F6UX3SIgmdUsaYa(_KC3NIg7l&Rk@#mHp`=bZ?;10VwP`{Bg3kfAoQVajwvXxw3&Jq%{${Q6GQ>vH<$@ ze)uysNVSTe1{8*0#}M3}neSOF2I{N(xL91S5w}@QvGnbIk*s(ZAXLvZEOFWC=^f;< zd#=ti==~T`A!!TW*wl-k{+i@r5J zR9Rgd(|Xezc2bq_?v`H~tu1`d#)kKlk^2W+R%>N&*$f!o4b}fMyy@L~O4VLXwV&?) z@)u0BVXVnm8N4y^$(@fytUMpbF;Wh+zS2SCkYIk_{~h&Lyg3+H=KD^) zx3$bH_x``%CTDpO@}8>YzK~U489e^?wVB`h1l^9i3OG(VON%d<9axK$u6-jS!AN;% zitKyCipMR27zbc<&d6V{iQ;N5~A&%?Mh?*`)bKe4sg5 zZ1_poWPUrCq%ENDoL7?6o52%_FUKd;WsWzz;{@Z(TkSATWTum2<5+|De0bx?I}xRqa4eT;N>sTq^@ zIWn7KRr2|0{hH^ICm_QM1Q(yN63?mHXn>EnM4nYjwd{DCKlFIZ_3@@p1Z@%N#ffvQP&1R#BHVbIz@ic8oX$W z;^{i~L(b#TUj5}UQypIVp8z7euEihh=%Z132IXH9N!-ir49>X^-)0p9Dma_bTAtxw z*`(ZGB{WO#aEq7+MJ{7eSspno$pwfMcY5}`aR|V%BbSf?z?mm_SZi=o@eZAuaD{}( zldPx)W0@>0ez@zGV9)%wJ1(3I2oWI;e}vxmlkV!habjVT#P77n<2Ax_Mxuu7gv8KM zJJ7WtmpE*G=y1%ROHEmZfL^sICvW>->6LZemzbwmrk75T{xLy;N9RjBl@oqYAgm{1 z@}+A#U=T)u6aERZ)LQ4=9Uhi`ilU6srsiqp^dAQ(X_)P|7{_uW`4SDx2jX*kYe}q3 zVe_HrKeb452Z=R@7J#4#JgjCBmY&d7zXjI(0Cv>yr4p)SR|fB{L}s@52f>e#Wvqx@ z<=oVj7x6^9wz+?}V73cXzFclO>Zr8vY=xj8#x7nojpV2LbR$S&RZM!t=_Wlzz(?>Q z^SA}*$E3QV?eRkDoV?Mf+BXk_`X?xPAm1hHtAqNGq=08(jg7J-BIwHjgo-mR`xSgY zjm^Xeql;HFM2Jv+H;_JZs_GAYTTdCZruWGIH=*`}-2Qg`DzC4Roi>CUnGUyi3nQD) z9%E!vD_(G$V|GCd+Xndbs!3M~J7w74>k*(PD#F^9&%U+G|dLC%Ga!j2)IPpQt*(4IUaG9Kratd;l*j`*5u@UOM zrM@cg7g`ljk-U`{IU3`vOBRIkEx00D0!;Xy!i#|JPH2zoD%7hh4W{?*OWR^EmVd3- z5AR(Gu$GkE!;k-6P~~;?76#5%5IlPKfHstXc%&^NU<~{_O7v#KHui(h#IY&`)*5E9 z1t@*O%`EZNiWUP|GCw#)ywR{BAI&y5@Gi=^RQvO~@B9t?`LbUHvbLxpHCIRrEh>f$ zDFsAa-wf)mB*sb?;*d95v@9B6?J+qZl*0NN8s&ZR=V#U`Api%=S!@;4$-z_idgaMY zl1fUKKbR*RnY%ggnwQ9M)r4y(THoD3zF>=&9twNC0>}X`OT4a!{{mLPy89U?aiMb5 zNA#MGx(?b2uv*2;Za~NhMRpYB)XYaRQG3KVCa-)-eE_(~p12ksW%WluJ;Phn&yled7HQWMvF8QV1Gz%*481XCMP2XcRWhc*aUF;g$U%qmN~xAl z|4To_VWhU&;ifg^N~XCNNHb}f;cF=JB~rTq&_}HwAg0}>Y)eVyR#;dwt-7RtYU^0h zpWNrS4a^asA6RznWZ8YJ%Uc1&IfMo2YA;ZoKXJ25`F?0WKiV0UN`10m1^ZQ{6ECL~ z-znq8I4RpVq`+q*Y0g;hXkMU~(4tEU{_Q7W%?9u^OQSz_hYO%*tW|QOsA>Q~ay%u; z_EZgMp=b!V&3%OZ-s#}$q^3@QU?iKaDcWZcm1;tC36a+j60^9vLBO8O^U+ccR=6ZVU|vxdxU_!3Vz9(3G`& zyS$r+IMgR?FMwBb4=GYSEMb9G0dOfv5}Qj7N#jR=dUT&g#++=pH4cnHjol zey+lvrmW2}vWfQg9}g~6D!&| z?oHTxVn}42Bs!rDnv%e5-g{szIUAdomHk2eYu~dvUslLCKqq}TCAbmIS|{DYwBI61 z?N%20;k`~k){2P`aB57A|2Go5GFB(XwW)4TlUrkrB)d-qsoBY5JwHc=nR8N+-|g^* z%{YEn1oD_*x8xIMTkRP>cWfzoE5I3f&7s$=iyk^e2U1j#mx3jX|Zho}X^v{M|#- zR%;1g<9B*(BU^F?aU6{w*8WuQYl9T#6B;`6->*;e6abfvrnfG!ZnGYk!J)cQUj^&` zeo}eV#A7ja;kE6&xD)TN3U2Yfd+g}407Rd7=ND55_eNW$cP!7D47xuVs$IkbL&gRl zaaThDt9}Yd`Vc2GHZuJwNj}5(&y{ox`LN5fg$Tmx*drAZ=~$Ww7lnlZf-YOZzU$4i zjwJ15YZqTHaNd(F{4){mln!+9j8!*CQj4QkS}1uf*jy0`d4lzLsb%QzaQjL)?#DQbbwZ_^qjm1s#vxApsNf~Me_PF0kV#^=sl z%3cWzoTy&d{&W9;B7rinwb{x~yWiuxeN=W>B|mY(P&}Z0rvtR_miqDG{tok6YE~{6 zZ1;&_9xeBgPuzvE;J;mk;jV%8<;|a+xiXo?@@r2tU=@@)L*T>qGI~O`KoKdyKJXxy zNZ=}Sem<{Dv^3}R%rLZqcB!9|dA`)Cm1;PsTn<#Fo0L70#cmldS5;hjqq-5+aJ+&9 za(w_P_^ZOQ+Tdq1F?xRPN&JV&0QJ$qUL4P~)V)5uJt^Y8NUi{!?LnQSoC{yMgU?a* z_4>Dvg4P2l#}{ghN%$MF5P;sznnmyw#A~z~P-XFIv=hyeI zr}z)=E6F{41dW$|0H9qpVgbIZL~E3@mv9)6WR$)P=8(K&^CU#Wh~~3|H_3DA9(jO# zD3k<)hPI3Oe-W}S`x*WIr7&dw)6V$`mc}HK1=g}emP|SzzSh9PLH-v8^;e(gG9NDG z2<`>voYaP9^Dx)|F(#Qs%bRudx1h{muVU_rFoQC>RShu0=Is<*uN^b_XeI--*0ideJCQO?gCuwUEYMN#T+#|VW#cOQhXFA zX^db4^ZjJ4VIIgJ=FR6hJoJv;G^_$grfnQ)JyfoG#1J;}nFw>O&Vn{7?iJVVvr83R*3SNbY{4`U62 z$PXlkaL8-rtg>!k$Q~gd5PtaHs12ywK8OtNKD@iK4K~k~)LgSsF~>l{YFkZ3LsZ z&`$`N2Gd5YN?teM*LD~gW&!^+Z*1uu!%xQ|Iqw`)Fsub9xPt~De@Cfa+>tuEpE$WN zXDvB|N!N`DzGG}Y`ljUNXp=q{!a6#u5CiQBahE5pJ}I_0RE5Zx(eHjh-c zRRP4ubOio-OS-SNY%4B!~Xd-)8tuyU3JBJ2GNubi>bhVWhXsU{sK`UJ=0=e#yilKYR(~thvi; zfsCR8Hd0=GU9?e#-|CyI<_a+{Q@(4dxRGZ{pHtnS;63#WlNqVDXtS*LGJXbBNgKRzC^GeyZl1^qhp4>b)zLTXq$5O8sDhMPC zWTpPD{_8{lq-|QGLr*L(?`5DiLNu)JFG;3Q>~2WvH$U*-f8M)ChWSbaiK%#0&(M&Z z?~LXj=MoP+Wh)e9ZdHf>v68QNfc&0wT-I*m=!_j^CsUf6RtlaGM<4dB3B|t#EK(RH=14rx-j@9@}RVxS-}oZ>oA215DP9`wLUW#T_TXn9AiQ_u?TtB=H&?M8l%WC0Y7?tM z@kqGR|6Pz`_l7EiJm?N}{vT^^85Z>$b&X01h;&IabfYjR-Hmh%jUWg^cSuV&42^&^ z(j`(ucb9~83@u&K@6Z49p6fXu&d2kOxwz(+v-iE$y7yjNYDL5{rj;KeYSdPVd#S3} zxR<=LR-grvNcqAmhDcd9->iDXm{T&km?Ux^yJkQ+6@7NvS-R>~A zETD7i$LgmG?mA0!J9>dE5P#X0@G9m7KZP=aMD>B?OHkgoGUIJI-RD7z!ymp9CXB5?b-icamR@XSKP z_!q9xG(V`-O}tD)^;wwu?J5r;cT?m?ItOWAGA%5E+eF7#r982kxQ zN!7PxWAPj&SBq`f0^+JU=!1ba@{GJD#T?J`?O(dEtJa$yB5#9 z>94-Ht4KPgPxv)${sbXB0doO zRb(|YppRkx+SWPkQ$8|J<-7~~A^D@qC-5>kWVk}Q?^Y%j#nMKd1Q=qjWi8^ci zBEGfRLN-&@QnoA;HoVO}HxMb^?U8hDWFb#c0 zT^-g;@+T#tKqf~s>P347=S%e-;D@)HPqCjKa@Y&Mu^<}&+iK9@etlH}icIbw;rcat z&fngNra%R;T0>nK3hR?;Eaj`K9YlPr&|_ToA~SBvrKnZF+#2Kz#glsXfJibpm%_a@ z;wIvA{oFj}bf)d_3#WbD57qv^{RwUJ;wm=eOCgsg41Z_T=)O5&6#aLJ9gX5FoU{xM9S^N$ZP;V%wOkW$CuvnIA-N|0YK z9~Bf*=SqjPRyDR{e;(A%yw!q!eJ>=hILMkiqeX`;QuFq(d6iLFap~OOE}p*D7XbZf zwpivdmztu)jsCNl0f42oh@@)yiR)ANulxWlM z7M9>sbNVY4NtDN2@-81asX33@`J>C1e&bFlBGx;7#G;n@0^v+Hw&+b!BY^n_Z(ayiIP)Aj zJQzW!L)RW^nWd&8tDO#L=*XQ4UKHpxvA7-d3fS$3m=1){iSw z|5to$1|{G{5wCFdak=I?`=Ity;-4qn=C#1#fv`q4AYer^%~cn_{y3mHi;q6wW$r$1>QDg(hp{DZkvyil~f$4mS~RdIg$Ct^ykFC&To-^&z0*h6eh1<(TZ!R)?U`oh*rMs~ajBvbQ=td`{QQxonQ&P(;Ywo&lK8+yABk_HAMhM=ZcS{uYURbC^L+yq zs7d9C1NrM|$rir`IGsm%hoZ=-hok3r7APk(tH?%=|9_DpU%|H~$`s+Rl#cv!)i^Kj zcUNA`2C{z4hhDFp^HUt)8of*IEAlS7 z^TYaVZzIrvdMMb%H3U++KLbK(!KwNX_kqVe zJQzf<{f=N32jan7cPC6Y?&_2kz?TG+(j$?t^YJKTowzqQkH3Hv4yZAwnT(E;CVYE` zTOU)AiISb**J0spfh>Uxn;O%iKO`Iakx;KNM_z$?$K%a~X-KEaR5^{=loa2t&hSS% zp~KfIj9^YDw?PhKr|q(i=>wQ#?UY`T>#4h_(=|`2=O{qEn}rPJJ<$14oa2EN$Kp$7 z8(*2+|HCvSYR5Du;NCn4c8Sy!V!OpzLI zKYwj43Zb1i@hOuX(;ECsGx$TSL*<1zujF4}$~cWjdIi6jp}^L^X_eRNZH-H0zc~T* zYizC_fZpLF8l+X0Twj`|fK0y%kVWAxRQ=A7V8i+BpM{yMhnU~%i+^Nj%mS}WiVYB> z;ko}vo&G?ys~=t*x>VU zrnb=JkGD>OZ1M>v^ zpULavQfeMS0nW_!=uf=XWxS&cdVN%b0xecu83^pUe%f)o`!B$T30DAEz|1C$d|TkM z_*t=O+@8VN$kb%HJVsSndo=shCg8l~G<;qHl-LyOlK{MjP2O2Wti-FGm)zuXD;D9? z$6LhKSCL`FbW(bpxCIN-y(HkF0s1%|UpsIt=sxVV!fl1Sa0_g9$jR$m6{)$Aj1gvn zy>HFwDKv1qv9)Nps^IzeZyXF&2PR!x9ddlp0GXR2%n!EbY8XE~pbflIf51BE8gO^{ zS+_6wMYQfDT`!e>Ijb{4c6NvoC?mYa;dhZXcbjrk7bn*nbb#mqvTv!oNP5CY_CLum z&E^-V8HTA9&pxGX(G^l@Ln7vnHDX1zH4k@0p1AbPThxYPgaz)<3HopMC~_|*IttlW z)NHL@g$ia4AL!;qM&6B=~Al*=oTe@oi4h!g4@szVua|4q;qMd!*HC4brO(ltbUm z<{Ql2!oaeGpzq1v;X{BWsfuxPbM1Cs!Q?Vj>M8WdP2TR($UsQwE5%Lr?0$VF_qAdr zlv)zzkB2C@Xeh@DbGoBArlSG_$*`6qRDKtp>pik%C4m6xAK|!lF?Y{!I&)kOzI6LC zgQSD9w%1rmXqitMXoY+K*1=IYj{e7q2A`|3$3t^l zE5B{j3|Ucf`1;cVKKSQ$b=KWORR7BpemZJZE}hzqcSb3A9c~n^+a{q%}%BPC;lnQIVOnclLv- zMg8Y50kc83#;BxH6}Nq99C2~TIj|W{+q8X41sa;+Ck{%`zt@zr|SKv=xy^vV2dle>k7X$Z5lfbuv-VIxzYdBTb9 z5ZeCE!Zv@cd@w!eXn($87=eemrQHOU>q=?p)!*21#Xd`Wx704Ir_9sfBz^4y`V1Cu z03E_{y7R+r&?Fs=_^n{1{}r%1N&lDA9DF#AEq5NV<;W-dpj$Ob7j7QkAz4M6$k;G? zcOCkblwB(=?TPxFj~DW^<^rGJ>BpN_lB!2#KxZ{De!5=09`*W_=l6LRpC?;?OjIvk z$$p`=)$mr=pJT*`dvhjfio8-kZi1`p5G-A zY5jrVDO^|VnimeV={sS%oUKlf{?fgxT7GR+{9<`P8Tx7MzepM{%Y|M44I}P)bBBuN z@TCIN4S6!}6ffZ2R&?_oH(l1@SX+6qVVdsXEE_2`=woV*QqNprr-RhV@U&QvXA5a9 z5OMj2wz9UW3h2snYiyXC(@;`7B@4mxYDM@6S-V%KZNg%b=x$po?7} zQs^#e@Q`kA|11A-zJJ;8|NPWaH#Kpp6QDs1@0A!5m4+FO zeU7JcBH02;FKs3cc*>>l?-M^c_NQh6>+o-GU>B($UpWyMZXvY8Xtzj|;KMS& zGt{!K|Bg}oMMhhzUv}AF4AhM1KEK6xcq{Di#T^W-oSNjG1)5unf2D&1dr4SD=@e&r z%^uzkX*N5{Dw@q4-hN4(whK07mQ=MqqAoq|>cLtCPInJ6wCJJ-u*vcSq*P6fv`l;9 zE2_x=+$W(JjP`3+BQ=3ONb<|yu?y+`g;Px|6no9hzEdDP=Ue#x-H5y4LWS|~rGZuy z7(twH|4X$2M*&}B8GjhzBGwKWgJRVg|hj<$TQ*ku~GdwBr;(E#}{b9;v4(AibYw|m!I>pf+-Zi1fXOdb2iv{#gm@R za^3jjH}B)7@w=rv(d!jozxMOY^ZRjqo2u5Uh4Yo2wP(ueww&$aj>rjBQ5yP`X%oTK zM3aB$!2E0i-|GBhiO?GN!BFfw!&5K@!m-k8sG7(ntMv(oNbue7iHg8t#l)0!P@}d; zxk`Zc>3G7GV83BjwXE52##H`#dR2*uOImGGFf%z{9F(#3ejI9ZV$u`M zGG%>1;}FQPJB^^g5Q&Ad%yG;l%tSmF4MlN{FF)=HZ;C`Vbjw)Hpv+mbKEvK|&dBo= zvOjzVNpxuBJJEt_*l6j5q~t))uyI@SptEG-?BrM2tl5OC9p&jX7_C_jANs1tws=Fu zwQ=tHX!Wv{Y%e76P$=Vp(+Qh21*v_(Vs13A$}5D1{Dl|OE4LwWIYPiIsYovdmD>Q- zK$FOOZ5GFo?&_W%_HfF{4@J0PE_^r7fk*5A zMnF7QHPL}Tb(*MmrLi8x5IFg4hi0Kdg}Pq-RJw1sRFzeRTi>bRLXlObwFIF~QEj=85q*vnlpc9ih8{r$k*rkR*+ zo#pIxzjn4G`V5Yo!l!;0%7J4UCxT?m$16}V<~o&@5M@x9ySNHQ-5(mRNZ^LFU$_Hz zTa1&}=EUfwwL$~&ih^rvMDu+p)*tcsj-+kA8O(GXS7tC`ImjMWc%JO#Z@6sM#xu+L zw#NHYRUXf}x};}?Jn+0yDS&_3#=tdeYh|ZZ2H|2VrD_7thgVDBVwKTrYVV&|qj>iR ziXJ_}KVeH&e_F(?pJ#l8R7SqWJ{~m7xTwGa`LKM(Y*B2JVMD=HNl?B&R@=R2#EP9u zjflF0=DXbXlIcy$2(HQr)`{Bum|$Miiz(O}bI*okpxMh7t~+>VDcVI;nL_iahU%B6 zry*lV?9H6Q+)sbvO86G$ElY>ii3@hzx5PBH$DVCfowwAEBjR~!)6t4kDDIdyZ9$o| z@>$#7V65{1TN6}loB^*&T}i)>l`6U{WLa3IqOf~9jA|5T$rA^{HRA*u7Plj&;DgSF zQ54M2-!spH*=Jt|_uFz|vRDaTFec?S_I8z8*}pA`4en@l!`H40^_k;Hpg)L_kpi-) zj8nAU41W5dqLn1ze8zDs_I`uWdoi(*wAbk^L_?tsw9XcJ@IEzin;&Yk{*7Md^isNZ zSH;JD|3QYDfp%J zlbgBN6FX#U;p>K*VDEttf^(6{IdVgegkE5-@MhB})b<&14yx&h!@oXJ* z%E0@SLU4h8JJ2vpSc&(Osqz@V%NsGC$8#@Sitgpm3+i!G)7aUclUX<6&vjwW`B_ST z&U}e>e8(Q?mUt@?EXGiR@|!%?kfYTY7Cdudv7#AWv~f$5s5wVA?nFy+CTmq|eHF}> zER`mjeFB@JxsdY>S{1p|IZqkNcUNwV+<1M~oyy$QLim%e>RcoauQ-<`%`wE0#mP4{ zUI_Dds`It77Sp{}BOY^H>Yq<^m2ThP|BZIyPi;eCPMOB!F67Bg4Av%UQBOaSVk7)O zD&O~prT7m$P>oJlpkNRc;-k70A6cenDcp}V2)cjYI7D%yUI4M^GDrj;2G6jRr&C|3 z?anb6g5ZIT3%_tY)H9Ccvg2*g`Pz%_+|1sH5v_Z~{T0GQ55@y$1zdaGA{DUs$@qD~ z2?w;BREoecu;u%BNS?8bp|IvX-lUI&WJnu7{$~vDuc5?eL?B#(GvjqsbesX!7ps$h zoc|sUWyK#!B~`^?a`R`FvG_@tKBNj?qnJXMv2iHHFJicWWhIlk<6+*WdyDcExKZ`O!s{udgyn?#~p9Ti$g zp@hh87#;UnyR$ZGeRWXe$VIyp_yC_Lc~g|Ornh9aQD$L0yp8_GV7~e}PW||GK2tf} zP0L|&!}r2_$YHk~r+;kO)IE)!+q?7b$mbp??rf>!G012zU+ri$YU^)*%4+zwk31G{ zTEX9n6C$yoMxFF;iz7T~mA|p8?R2d=At$s6#PEsGe^h>>jKND#3Cvr>gH4Yz)B5CBQep|}5IoZ$^v-sI_Xutpc z?nI_qw{|6{yGe45M>v(D#@D$i*O*t=*trS+uJ3~F-7j4D6}<0hNZWUlQ-O>zrS_~} z>8nbIA&h2i>K1FZ)D6Ks}lwbR7=eul+ zE&vv|RT~A@ueA&gEi{ari|?JKIpkKD-&aHx5@1Jdj4_nst#HAdv|teB{VF`sMHT@Z zk>IZiXVKEJaOJE&(YHq39#Iym;nQZfp2#b{hy5SCTtv5$Dn<<0OnQAHY1Y^xaVbdE zWOUxcD+yK<`3}o^d2C2G9B>azxhx+1g)d)Xm3GPadaDMQorv;LNtZyt+|dhK##bM zqO^$yg5skIVfY!;v94XVNc&(9LQ;k~sP0)Prt? z3K2diFE&?gA9F))ezk&Cvi=1=(*i_923$Pi@NiUWm<-CxMFA_D^xe1q(y1Bu_`*T< zz5clnwzq5w1?+(DQY3;>??&NrEj6ZW>NSDpPozk*Pgvv^)*rJh{3%1WL`=e^mJY(` z7-^XE1=q}fF+wT zs-~(f$g0f(KZv8}$6)C$;rgqHzHdUx7#^Cs@VE6uHewhe!GwdQKw}J3S)aa?%FLOw zZ>jIQ*nvf>5P~N*)RvFHafFW~Kkcpbm0AWG#wyl6&aFx`5rrI^l0`hfs1a4xNj{Q+ zX@ZF!WOPB9syfOK%)xVQ;sPgShF-1G$zQy(lD)%jWsSN2B?o|$U%(gMSCf9p< z&zVP``62uh6UZw|d#fz#^}AWUm{CF;d7V(#FIB>FQ(XqN%pH05+P)~NP?DQK-&=>? ztg1TaEDLnhWK2=^m^3Sn${e0`05g2pBIb7tD1#om8(R{454R|>Ys)i_#JK4sGyf=| z`iIjG%Gu&z%i26vAw*YN_WB`T=k&C%FasfDf9$WM++_w+=JYxVj?{rG$!sqWRlcS5 z$|C*~gyL1~!G3hMpTUM38b7C(%=L+L9KOMI6=Ph{IDAlUMzffFE2QZb^P3}bFE<#N zgCKBTQhyQ!6AK>QZDF348zW>-Vq)?CMZUO{dX>8P4-wzc-Hdw~-HdA`2VHZvpqD%u zP9*y>*m8`VJ_HmlWmGQgy_RB0R5!+&yy@svo*K)8s$S5T`E#yQeftR*( z^Vh_jt3_x$dmbZ^;yK5l9t`opA%teA*Wpt*Wv66&r0e7JkdTR7Zk6N_)7CEt4r!7* z4Ty8CXhx~^P1)eP=JT2Zg|Wk=ql5Uk%PGhK^`*?|w^w_?ys%T2ddc_s;ZI$nwQ@60 zL&O+;A7say?k!F@!^>j$+(Bh@?WxuSar@I{ncgZy+Q~kAg&)3V3I!oJd&c z@>#1&68!?{P~i>5M-+C}VRnQ4K-{5ALo>;%jJK9l)3utCU{M=Ejmh2J8O$S9;x|zf zQ5&|kx#I?b@_ap!%3?$}R8E|`sLtBtChSq@1!WW5bc4Dvdzq!{CK!4!;l#O*Rq}@DO}b4u%r~#|OtF89 z%4zB@T`iWg54M8_%RwuO>s@@tLU_(40K|iOuGA^N|9VM=SHiE&b5TM+FQ+3nPfi(i zQAP$@!=CZ8(*1S?fD(kquf7%jp%le);;9K6RV&O46;FRUz1hN7q|^aLEGzMUO_|~P zR+;ME8C(7_?7;^BgqWqPEW-fiP&8uZnP!Qb`o?ben8<+N5p=cOlaOSg2jdin_TH8= zlgG-|p{1BC<~qOiW2#RR>(_=-2D;K_t=&nSpvtRLDwD6MkSr$Qtt6lL=9hHo3r{w& z%odSsil|A)MkM?b*`DQ0Cb+seMYRoHa z)r6CBokruTh7Zrrk6pvYbwf4LJ&|hS@9)e)|D%<$6^+IcuM!>#;^b~&)&h%WuVMH% zl(9TAl5cp)ob&I&BSosL9wop_vkTgd_pdk{>>0Rz;)b>5VV+J1ZOth>6C?=L|4a;v z4J4BBxFU0q2=sAt!lN3!8;TZVXTA zxDV_F_nJ%Mj5~ctpqD_(RLg$tp{5FdFq~qmrUJ))$NmRqJq2b+xya@^|EhXb$&;tR zdSM6AZUQ+dJU_u9Jy*`g4hF}vFAfIVi``cwG{`8&w25e7XJk+RvOJcE_V}>2#Ev23 z?+M(-Qpe1q$QJsc0Ds~yx;^1OaqnL!s|hMIqVMKp)_&?(NT;6+Sip4*IT;xFtb3Ls z31vx&L&!l_=&Q_1=smxobe&d9o;1|+PhqOl&w+^uQ?@|n63*%z0RS%;_?lTlnP`zL zg>F?)NqKurekOCSI`%brMP+A^6Z5)1U{hb^^En! zTyb84Vk1)%ix01%%;uF7s!3c4PIB}UAP_ZD3L`1!81>~YMHq_0XbRWUYBOC8yBK%1 zw|dg(sIi1gE}R5q_&NMViw)OkUYlZ+)e4H!X14z_^Nh`J4Q-LhOS1XKki7MElMM_T zq4q;@T9z5u3g(c)xI0&6y_m)j0On03SUrYWT`^=pl9+<-aAC~)D-8A#{~-l;Dh)kQ zx~imS#)HW5u;pzT5_sI#qX4oM!mmoiqZ02(m4USPWsSASg#keZ-dmCamG0Kd!o*vx zb}v$!c}(sa-De?Vmmm3i4ye@FN0lR(QOSjp8^|;{eli62vo)o7rT1c;&P_~aUrQyB zs=*(R{$;46CP&?}_|TTbOr`K`PU$**EmN!A5jVa;2{J&>ac)f)PTU#we=l+>Mc^B0 zMv8?603pKJ8X{Ne1%?PwnN$0-kzwF!UN<9?C1J!zO~{?eObMmDyHsCl z!SWS3qfwbQfnm32^eC;|>+I|G{+Rwy)1cX07j~W@UR0Aa6t&Rr?H@q2K09Z2_Hr*k z6Y7`MLx);ZfebZiG2aK)-yEXuJ)7BB`8D=_i>R0J7pYEDjk%m- z2sXPBWv6zWB8{9QRm?Cn2_2ZgNB4*&FtZNUsh&rfAnHv{LT`vCpr9m9y{_o^Zn#?D zoPW3SS%f1PpMG=MAg;Ug4~i>ZX%hP5`m?hoHG^(w-j`lr%JE_Mmli>-Gc*lH{-OaL z^O<(8aw2M-LXe~5poeLJdeja-k-~J@hM7PtOZ!tP<5xN^I4@zd}K3IQ;FeaHh0%_ z^~MrP0Zf0=aWtHqWSl)?0RKIYS>(G}D6+#Vv?}sj4r*!^XXe56$F(!*?v(cQF2Uq@U>EN= zd|O1ij&zceYw+dprZ`9B#`m+fvu>}h3P2KCsY%dVf}8-0jg2GRlbXRUG1xh}rgGeY z(Uq1T`1$;dHxUa6KC>BiLkvmp++xH#3!qmR zm2znm2YQKaXM=P2dOpj9XbP~Q{s?H1850oi5Q@cgCa>WS(vT%NE z4d2=Kj}|edqsZ@-D17zn>oUJ#|ggu-Ou^_V9&+S zbVqm}L#f)Aaxg!(8W+b?Y1*2^ShLfmT$s9dQ(rFW>{6~<5NMC%q0PGZ`tRs9!uz{i z1&^Cgj@pRm??Y;cZu|r~IRfV>*EuS!j7xcyuSV;0uuNt7v85SiW5e%ne8UipND;z7 z?lK=tP?;Z%`3RPADsWmyYK%m_Q@npRg-HQe#Nnr1Ga7)8? zVa74N<%mQ<>vSo`44iYYRlXg;!x5tu2tJ4bY$dzI2!%*{TM7dDT#6GK=u+XtQ>?3> zEpxnvp)k#T!$-=w&a?5bM9+`_?q|2TV6h_I@=YL{Wy~=MhB=}+oxbcsVzA_fxik-y z^lxySzsbQcYOBZ`V2uPAhAKsji?%oV$us9jYA&)6=-`yamXw4a{#UBmp%9M@jN{R&-Hg|70IOJL=-HFTB1lOK#yw zc6N=JnG%&nS+j9fr+=t~?l9Ts zHy*>RULiL;|HiIQ_5_ttBj0~A2bWJOptuW zC{|bY#j4MrWvEQ&G6zO-5;rASrGOCTlG*gZ9{rgr;PGNzSC%77k<>mavv?Bz8BuXZ zegaHH*qM)qmuftgiuyD7K1yS_Q_>#sCo9oqB>BN*!@b=-@E}AH z_%299R}(^}?7-4`ON1s10#Ytu5Q2{V@Qn0$0HbsB$oV4cV>6hG z&0S2zEcQPp*fUniW9WHEQmt4_q>1l-N$n(L9tM}GxOmVp2N!dYU^uId<;h>GC`Kej zAEteFM}j=41iYoT+!MFAOP(Bp>jw(( z$PN3CC06rh_p`KD&2j^xlUQ2i()tr!T{3*qhkH46!tO5wCdT+)u2(XUoP=oMT=8x= zR=Nuj+VM`~kxcxbq zUNLwaoq+06h5yCZvBThu95mnIoB#P7M!)&+2^1WJ0!{E>N-2761D^TyND0y9K&2)X zNtXpR%3ax5Yd^ko8U-fn#f8=jfZpMCZRVLUW#mR0WPeA9z!rpqc_VB@^Ad`>CUTu0 zQ$N?!zN*%QW&(6UJ{+X&P4XkBWPv>PHEFh%oCwF-!R|5uL9fn*~sr*xMIC6 zXo{Rr2})65>_WJz`IMe3q0fIMn{R0cyImE3MuR-;lA@mW39Q_5h+A~o!M^V2RylZ0 zT!fS^vmN97EJ+0os$t@L+~*9sFRb&s*0M zzNi4w=6c)fjoAN?#aS)AvbVtL2(IG{u8c8RU}TQ!A@F8}(#KBTRwRAQaJ79sF()Hp z*lN9NBb`H+P^X(AE7cY1sQZmcVC7>k3c$iAZB=GH(IyKv87^-X8PA8#Kg#38)fvg; zo-g&RMm%roz{SooAbk~eE(NW;{KsNv7`&i)34w(@2B`A!RRp!zgTLeBB{s~4&vhe- zC=_<#qUZd1r<2qyxlTJ8WuvL|B#eV{>TumNQ|WHvEh+olI)jUTb*N#t z8GJ6J%>3&8PgROR!?C*1%pvr6Q9F2{x9@)TGvECIYqLzjuGuwRp8;jTB;$LPpfHxA zWv}5WhOomCSb8YmMaoOM{IKjQKf4tvJmnwiDF33l<~|KX&J zYJfGo#-6jvEV3I)Nrm;s=1l1Ecw^ZN@I8{Ul)qh>lI3YA*X?#;xoE(j>N9Ab0~Cyj z;#dx@lq&jvUneflK-$U4RCWk8y_1fx^-9P8@d`wY&Oj=YQIyqD=fDDbcm`w=#HU)H z_l00#ibfx4aAu}VzHG%5LYoFaJhH}@_y+XO-%VYRQh#W=&7fbK`ZD7F0gwhSocN4> zX!tlzaAhbN;`_b0gwOL)r0O>OFcIS0GdoZwTKed{lz;U$Vu9^v8a;}05aqkKT#h!P zy;SCnl=oG!k#l2Zzcq4*sZKzNoyNK3C_atb)@p266JWM^wt$ zPr-SXNx%j24f~r3YlTz)Z7>p&6WbXH#hr5j;Z=EBxz^K<|G?FTg~EFwQ#*1gZzVc8 zcPu7D5T&#sgrKX;6{l#j$xHt1_s`TJH=K3t0^BOn))>jBILFSn_B1O-#K<~vzo17T z0gAyDMzIgwcyCktbf`}K8`)y$shg896_%nlFe1D~T*p|yCW!JHUa0BLktq|Cv@z`V z=PVJSxl_${H!otaRo*35M{a2TLseYF5P6*SPzGa=Ewl5!p=g~+NOI+20=F^+skx-H zIvjKwqmpjy87n2V(`t0z+gA_s4C$~*nfHGYIfqH^NOVh$C=<51Qrfsh+Y7ozo#pJi zEtk`=$l!#zrnYt%-R$50yC|!v6Zgp8qF{LV#?hTMyQ=PgDiD)NYd=EE@Jw${pPD05 zO8@V^2Y~M4hqd`Cwu>lOTIc(noAmWKQp=8B?~647lefA#HS5I@%DoCVyxK!EGT&ul z=JS7C5wr}F6L0g0;yJDb$Z1=^>D3cEtp4ZK|9>Hnt9(_U?Q!;UkE{Hsv2^0xI~eQ> z=1iFp+p3}yX1Xsfs37k8_=T2Am^0K{Ni{2Uj@r?mnT?jvAuzJkw8WO^_Lpzm1oTJV zpJnPBkj=@9DXQ>K$phuqQb!do)2D9j*K&+-sz#@#CjPUW4Y~V8(RmEGEc8v;PWYa4njh>9UsB$uBrPyTTJHuy!@_~(HvnUlgZP(VxaF@9*|GCXv3?1E}z z{B5Y0nQ^(T#&?3E=e@h*u^0#o3pYFK1VS?R!hvCBh@SJQaUJlvI?mU{o z&GonN0KRvK5ksA3peG5i|&EC@zc{ifr7vkFWB6RJ`L{yiQN2PVqIKCgZle(kL z4OFp8TUyjy%lR*rg&1??HD(x1&=z)8#wP*F=Hv9siLY%!Yq`KC0ralg;Lei*6MsS% zzC_O#d>^ziM%{ZXhG?v#eA<>I7L2pamlubZH43HDXH^TM&Ijs(eM34$rkf-^c_JX{ z0|CAov7P>AgP`h$*Q3YbHq4}S5MX~&H6qkEh_OoROlNjCxcI#aF*k0jWcAz(ZtzNl zuO~LKx1@&!mbX%dAP7E^PYbCxrEt(2Yg{5f93r{4+<5^ylpyVG?>PgMh?7c|E0Qs^ zY-2Uo>R;}2XApm)_1cib?%XOXXTxsy60@m*4qBt%`Njz1$nnnCw9E<5-^d9f9s8c4fMrhcH<3#R{23pYFxeBToLi0v zF(DXtc%(b_M~v8NVzKSfC(1A%M$nyt51vDuH%1lVpc{f{<8wlC-q_5A4uXaPBICjw z%^XH=`h5q>6@aN}j8~mN1(o-NK?Fi@bh%*NOyex*Ie@=pPWR7@{eiN8FIuG14BHgZ z!tYI&C80xG`}IASvOvecu^1?Wk<&Pw!Yp^Sm)!v&m$0J=r*``SB8O{t;BIZaD`*`A zik`rWk)kMPxW#k>%Cs&8grec??tqYu!^;&Axpolm_iQg%o3-d(q{jd#8kwc#@^cVw z*Z%Ol{sm5f;I%Mpr0EPL?id^-DoD{oL|-9u$s2p4srsUY}_EF`=fFk~8N@kLNuW zj@FMum$BQl_0M;*O7xF?WS^I`{+Vdpq`6174B>ylV68)@JX3^1WyMzV{|A^v_~vIk zTJN-LP<*xg^hQNPm5Q2O*C+(1@PnV&PqLo-bj#6f+TruN`m}AF4P<2zH(_%_V0>FR ztxR4ZW4@Q@q@ynLH2>mJj~ga*;EoIu^#$Uac3=$)a@s@^N6`Oo+&R)Ks10;JG+sW#0N$e__eQ^fjad*b1FF< zb6s41Zy$l)D%F}cJCw0x2Ut5d@(E&-7-Vkrn$|FzKb4dZmE|H9-~9Gh9H-#$uX{@R zRINdmDwfO_xnWF>w5^>amcz4u$(DDoO|zJ>&%J`yQFw|jjjSk_$rfg5s!}*nm0x?k zp+Wc%n3=cMRKfZEQ4x|x)cdvMapT&n_Q_C+oJ(R$Rj`hxYZ%&d*{kL6MtbY7{?K~> z6uVC>pJm0zP7mFZ)LF}PlWb~N3Db=p)Tc2iil3v}hbfVYK~Xq3Z2zM>*OHoYsPc^| z1)hbQ>&on%KK5lV*k_8D8|SXq^puVS z#0q4Z?F%}mF>%Z|u3*1E1)%4FdxbUJZe-4bJUCQnvZQolP< z{Y9R+1*Ekrz$TvpWBoE6OSgrzfNe)>V<83%IJBtbWOo4+)qr8gRT3v>qUq&zid5lw z7Q>E)WC}AX^;Rcz>H42SX&(LoLm;T}ilK6qV02%)juQ0y9T1Q=dw(dD5}!CER_J89 z;$2F6`Ay2j4L$H7Ny?);aiENRd7QarjcUeQM`)1#yiCd1dgJWyW3^0=-RW%u2mE|_zC3p9Q5*k~uuGyJ>9O18ESg=kv-q#PM%}47cfg;0AUTk_>?3C_&ur2mcu{0a*0mZIN>?drl`uTVK zXR`^k^}NG+-x&N;;$;+YQsv>tpG;tNL?9XmkU*By%Rs)1H{kH;G{2ZG!Pu^awD`BM zrk+pOafK7Oj0m$AS<_|SzH4FpGvojvDTe~}!vB<5@u{2lkq|)=Qb~`MT0w=CFiFe7 zOp~qmm zcfAQ-50+&iF8u_loJ^4aSg%vnpKfrhxTKr7v&9a;Ur@#uCjy#bfbgAgGMccbxp>cJSIJy3Iwt){jW_Bd2Qk zO|s{*)g$ks?o$81haakZnOX&uWNrDDSEm`|8$1bUq=@yU;I31itz=aYRdg+k>LCOH z+3^E0W%j0;`MT8_xjeJ&2j@&CRKJf`_5dh?*Jc<*`_m4#OnCkh!!M_rU=IE^tl@xC ze%Y4slw3Ats60SLH2YDK7uZHS9cpzO70uDi@KzWrw{psz^3lk!stlle#GMo5`5@0M zoH1X`fjEBEN74?%8M6H6|^;Tr^8iD_?SNbf4KV2sHVOrS{0QJQlu9t3P_hG zT{=h;P>R%uNDW9QlmOC1I!JF)1QBT>odg8wB{U(lL`vuoNFbCDc=`R``}9^m({wv^izbfmvcz4ggTy7^6STYWP0)CFJPE(`5~G}VeG z=5JE`MrHJSOHNF*eR?cA*HwEq^zLoGi5)KKV3T?Lm-28pb@ z8^#wpb;g9ASUjp+y)dIUHz|PkSajt@XO6rwesJ>5ruMt?3|pHGQ4c@z7yiGCqu=Gw zRIiU)YZwdPjBq{DRNmctWA5KVp}azy8m*u2fl2%9i0AbRTk7F^w=HL@<$Ya&g2F96 z|4%VQ&;%rcro#?RVs=9y;6K)0Po3cwlweYMG@I zk>Nj2iS|(UK^XgpF^a9HKduZ-8qP}LCu*Q=C`Z~9R@BP8qmi%88SB3Oj0tI~PFJ}E z=huAm02!xuXasRvIjVe8jDs@vjm)XMI>HcPQs4hzQvT)?{gZ6b2Iq}DU8(smHlN;b zW1PW_ys)!TU5w9k;QIL(mnlELe@hpLe)WqnnbMp7>f70(LeXX#FU(P`&h%k< z2#X;p5n=tURFfePe;Pv%FuHTjuV2gYLZkGYl!rqB*6_Tt>zCWtlsG#cc7YPPB*&Hp zSX4RSf+pi$kn9AK@pa$wW~gv9aL^}9_YK{xchVd$t4p`zf-Jo4hslVPdv5H%TeXke z&pKiYs+R-Tiywr+t-1K}h~j3INr~uUI%@pgxGRc`wFZ}qC#`V@(mek-Il-gxVOK^9 zr^D8*9xE*&4RcLG)>+LTJ7g9>l(3tC@fJ^w(Q{%)l8sZ;j@+|dX&YSdLG1DGCm(Hx z?FlB)5Y&(+Q648>`Af)p>Xm_ETUAon&z6@$mW=b(+QUMaqHv+BrTxE~*p?pQYw{JU zVYKD+LEP&^_j|S3ZGpb>dUmn*g1nS}EML~y$Q)l1n-;{sUVHl!cAZLnPAx?;GiROB z4-NYDUIE`<-I=Sn9%s+2NIR%nkjG@5kK){p4$GJk`lOLWN!$I3QU75U`ZhR&rDc~! zw^bcpJXNR@A#cHDKxkFX@Cwc6x7Q#;_{Ro?ENSEjrSA?B9Y6*4j`y`jXI^P5|FJ4D zEqagh78ASaa?}2c)$8}E{D%xJ4+45#Rj!!)5cq$@{bAT!q8KudiBji%4b6X?X=_Y? z%vYi8YNY-fww~tb_msH*pS!27<86)US@_h7cq~owVRfcHHUHU$u0SVk_&za-hy!4A zd<2$&Fivu6cZ(upP}~|cQ#Us8`M9`l@1`L7$G@E+e_|>TJT+8=R}$$E zjirG#1S4-QkqO@(SM(w}tIK!l2v^Ksjb6JAWzPxPon|R)rR?-VUXLqU(-Yy%8}^N0 zfoAP>5y!#*pv`cD4f>1bK$X+W+Q8LInXsKgn!kxk?1LREvq=;WPKuk#YmYVPio7?N z=+I@wTK)&yyHw@MN9!Hrc~$J5b|qJg&~iA(m^ z#7bL54HP?@jjSM*DZ*58+iKkhcW;_EO(-)F1ToUmeC6jmQ z%!lE=3J^%q@6^K-6A6g15y6451pe^sR7gxqk=d-L$(5233KnO}+NNGy3LokDj+qm# zy3`A~snx)ldBY-o+~(?%cz)=*Ta@?aCANyGqi7L2FI%(&OV-@${pAZPu6fvt_}Kbw zF#EKPZcZA_@ZK2)7|J+vO??n$?37f2*;Ib%c{q3jHYjEI@`h!?h1ch`sS|!45kZdz zSX@Nfq5|);bYD=CjzWb+m|W$sqDuc3Sva!Mm76flc=5bkswE4=5q~;a$C2w%-$|q! zgO@sJAz}lc5KkDX-J4@*6dn{FzMv28K%XOYa4X(}Iv?-a^B!uPL<*dhkcDv81^u@8 zc8{cBIHvteay^Q$6+95a_9yfxuMwSpo}inEN`*{Ql{y(X#ifpBr71270vDY?cqA-d%6kURoiJ zf8SB4R+*@ss!zI>jlkJ&Q*TfMYrqVe6@eiVEA;BN-fa4Q+7(SvI62_>wPi zsZzS*MSo7LORWlBviSJ%v3Z)Js)b>W?%1U7SI@h!mW+GYG>zQTCm;Nah^}{l7zOJ$ z79XDnhRB1Z+v@=lcVGT3A%h)0p>C0~`1U7fTx0R`SnnH+z~Cq>okk&4E8zQrH?~ENRePx{JTG(x7@}Q#66! zO9UT~)-CNg7}?mEa{y_&z7U?C8MpGPs?ISqX3*W7PE z$hJ+Uk>OQ8&cTG%e3v)VtKl#t;vC-zt(E>7yezZs4=Rib&Rd9sB-0&)qx@-Jam&{E zK5N@`@*)EjY7}%mMX#UuXSS^SFQ0V!vi&s&*#;Km`#=~rIe!G;NSZ))bDY+E(Vex{ z`!|s;!2&Cn7p<4C^3W#d)7QK0$Y%<8JmH>XQa0?)OR~DwwZQMh*}l5xP=gA>-G0>d zx^X8}hUnvpzh@2YTK{7w@hRnZeT~F8VTv2cSN>Xcu6n{;Tuk+AI-xYpr#3@;U(FoD zmLIQ+5~IUDHe%taB-)R|F&7G!y87`ICnnn-Mr5EcTaSiLs93Xh;I1{Xug-8RPq}Xz zPa^VS^uJ$~h81S++u0LSrJ9?o@jE_2TuA&3m${vNrO=AZWYlbJ^Dbu)#ycl~)31oJn5netY*FYGJ3`X3olJW(SPjcX z0qF>GLVoo143rHO{H`vY*;cGb>_|<5N$QYJN7cEtl20x@lNP2QyBW=4WYgKRPf^-d zxNf64`2E*{vu}DYnj6~`^Wbj|xX5$>$@FS+v|{4iP)3n%EJg1L+IHddaMJlzM%E5= zqh>13Sy;uu<_k{4@dDve(#E^EXrwnGgb{LPRR)ZLV26Eg@XV!cz5?o3CoHF6C4_=YFeSbLI?w0o~I-GXmOM1WUP4A+pv%bU5R z-Ah@z^Sq5$l8K2goM!~dO;=U$Rp>xGTPwq8Rz+m9+y7}T?U&wb1ePAb` z74WK+@z)*evgVN3=L?qf>a>8lxZRRo^)kLa2tqd2AafKwx~WV@eB8E6?plVF0)3{% z$ELa`E1-K|Y&WUic*EOQVp^wV^`2jzV0AQmPkb(#hVQka4j)a$xf^$0PtYbQqLt%6 z3F_A!2x<`n&z$Z#{3Itmdbr*HwLr0~!u_e#R~ghV6Ec_=+SBJ5?C| zY0y%NYtR_^Ky^pn1*ge|iDIz^ABl-iE{avnR>DDIov|;wY;2wQHyNk<7n=39BZ=uI zbe{L04n<6Adb;YGh3(k1eqWc>&1b7g;;3ZRbFTdDFHNnJK5f(0;g`7wOi$~<;QDo? z#hOZ885Dda)0>~EpS_%V#0oQylvZMZF+NbU+`Q5$HOfDSU!_g$up9Kwe#92|?5pAA zKl1z9Z{%xYh10QC>W58Es?x`mA2*OM(d*_J3kITD)`kr-3%{T~)k4jd`LjlzGJQXV-Cl9&uLOK}Ib!zZ?{V_C z>MC#K1gAl5uhp8rrmyLG_pm?ZytBS~(0YJQX@u_@{yBzR5pWZ7a}9Mu1>ErS9c zz-Yd;tk2&Z>GxYtzGck_rLjzJmd#w{a?-h4%B2;|-5Von%+>z%HN9$Am5c6r zVZHi}BEi}mt9d_XCsZZ|%FZLQN#mwNh-wX@+LxRr2joW`kwXk70#6BY>8{#!7)1@1wGn`SroVqz#^*k2jsftb;_H zF4y08MTGgAzkM(!q(stmZ?b;;&e%gOgLjhJ7^=?;nxe(dU*5PA!x&TV_~Y7GhNND& zF`Q^L8wcuo){VEl%8oavtMX;x34CGr2RQlnL4pS_sVdrPF~U(uK|9~R88 ziSqj{b4pTMk6h-y34Z>k!W@$8vhYJpCAk%M1>| zl91dP9G){K8SQXUBJ^~TXy|E6#wHoxWLo#L@j}GxE7gb1+6R@yXfNS25%Cq%TWg?o zw0%^a-r|2Xtej1*gNF+$jfewe_iBqVeJ-gciyr7t1$J~?Bg<(n$rU)MQ_pv133+o| z9$M0>JVTvQ-VAQJFym^npqZoB6uU20Kk>SWUgql+TWD*#NzNYr)x`Rz_l5zQS@elT z?n{wGMe}pXF_nsgF9`ZJZCt~lGG-qWk*PLCIN}LD!|OVn1TFe z35LbW+np`S1GZAB!9A6Na!vEw$ZIq&Jk4Ab8nZD*DtK^8??axs<5g*X6B@donAJr* zhQ}kTeK?I3kQxdtlka`qUX3T-ny!CG*R%iL&KJYqmq5ow?I>^Zk14Q-bY76xv~ba7 z5PLR~R)8XpS74~1g)Qi76_#V{$yU4$8wv#9Qzpz!y& zvuc#}b}p1Z07}1=pB6Znn+V=p7F-s8WWQYG(wtmi072bYUF{6LG1Qj%yoQ^O`t;|y zAz7zFn8j2az9{9z_9^Y^>Ukl_VC)`DRY2bTMQ1V}JD0vqHp5Tuq4P)chcu-tt>W}f z_YHp+n_6~etUfATVbv&#+5qkB55{(+jnC8m<TSf-A!+m){rJY2nkF{inue)>&<;e$42T zy-A+W5YwxkcN)0pIkT-F*j`2^v`R>-OOU3^<@zsB0vpp~Y{8&pwbJVx(YpQi z>8j<$jpatj#fNUqi%{YOVJgHCvzAuZpS$wJF;9UW#Y#r()@5T)O^G)}Wz))H<}tn_ z7@Y})UxlwSF%~1QxX721T~xFiBU@ew$5>2zw|g1e5O8*@)q)k5<{WlbW4_jvsT_9G zeN1aj9q301wnpioqBNvSOQ}Ie@K3jP-|+Lh6uXwIKCG?Z3+mET<_Bh;yKy&L;u?tT ze&`5d=k1=e<*RsWIVn|5#4_j%~Qgd|_Nuhw}t7GESHK(RDMm}8v1OJ@>7 zeQt;+Zlm02&G9!EhZHxfMj7yjk2yW}>;JcltRJvzYqFinvi&TO^-lTubGvuDZ+pC& zZsQ=Eu3i7MitaR|s<;Q8?J{j_l{|Z&LsJC?{q6p^sDvJ`fN?&!d4%s3ubepLjXn)0 z@V?&r2C5u`)?^IEUYau;*b|j(O~Uhsnp3$*4JhqUTZTuF)a0)Q9`yb~@-aZZi;ul| zzU74OCjh5m_-ikYVLLATYk|*`bEVDSka*#6$_F?EYDk4S?Ui_Zp3n}KXlvAMO-t2v z5=y#Ro9%d$=XIy1dEoe+!a1EJe#2>WY5|dy8_~pq)%%UdOW#u4O?or*`H_6;%X`Z` zd#=G~jysP(0v&8>4>^Ug8l8S~b(CJ3ew!zYtt{xU_{2EXDFyZkvMD?*s#jUw&t5qf zZ}!b6JlRbTD=m4h&xx2H#yhoI^e7)4WndK_&j;Ce^D>$t47_O_XS{|J@Dc@`|rX>pEt$jv6q<1nGMe%Z9;}5cJlGA>m79ur<+!zMv-Uz%|+b z2JgJ&+*Vkz-wvWoF&Gv$NSDmLsysLUHL0cZI4kk4^8J;%*rUKte@WjM|Ep_EDlVX0 zKM}0`{q~cVfJ5;94Obe!9wijvHHI602i3$NfeV*>ME?mig6~-8g4Ydi@?7c_MKCDo z3^s03KuAgXZ_a6;PgK`bMdKOmTRSbnXqfK?9qIj?f%%7)Y*Fqt_=t8*iQ4t^+%7yb zlV7Ara$~-QfUhbAQ7Gf?b17x(pS=^Ze@juQ8gBlvfZLxr==dd=^;V|Fc^9AefYe?@ zw;6m3{qTA)fP~XrUPdZpN%BgQ8A%noJesqgPOR|WWvT$(=(0D|-FEnT_bp% zkSVNxLa&q>$8hIUJ=Hnil&P6ebYqMvnW*-#svBT+%$MsC!iGkf_D=4Q(#xJm16!Vo z-~v&lj{-d!XF$C8;+*MiMj1^Mf$PMB~B9n4zm=n!OqM--Xr*`XKw zfTly!8_{XNhnhDnpapH2f@rAUtBTKShV2D`VmAeaO=iDbf-)IRyI)+j+N(T{m{Y49 zRwl~M^%bbGROhrsr@8y@ujNgc=4>C*wijgHcEN;9w9(FvI2(qn3!Ht$nKSl=!_`J! z{_Wk42+4t&Ed717t8*kgq-Fh=e#R6Wd02UB+bXp z$>c9%OMD0O?)J_JG^iXw`kShX)Pg1~=JIFu{n&0XCq45`-6B^I%@C=@aOW&CTsS_w z<$;MP_}53M;k~il)qYQ*IY+6xab;KFq(qSvCCeT8RHM@lm;5DQ>zjK4dcz&sk}*-wfYlA*gpIQzn1$xJ|}Pyxj84g zZ&ByIxdgTrXz#weE9>Ap0u~H(PzA!i8G}uZ6C~lZ_&467hT?&(DT{Ds>w-fL;}Ak8 zB6dzGt=aIN?2gw~a&w#;eGgYU4nYHS+wBTjDylBx#6ntOQ{{>{3Citn`#B7T_VBY8 zjfw}$2Reh0Irg<94nlcwSSe~z#+=kmRkuO-IpmjDG@J)q=D4G}U@;j2$j~78PVA8W zs_q1{Ky1GPy!cHWgpcEGimN+%v}cdFOZiqm1u*#iQKmVoXwGNsFuF31R!PZKadDQ} zEjwauPy~TIw zxBT;29(bB{b7c?3KlKt7*t_9D&Jzn%5k2x={D(LDqNrswFhO&tkSmW#Agg)Or@lk> z2L>Vfp#*#4)L}lo`m9mBpHWE^ED|_zEBMEo=Hvm6V64qrh49g?3TX&o@uJH`F68{S zwVFVcXPk%NPPXjJ{HlVLg)up@x`Bqy`>y{Mt#0>-^`>MBE>AsdnNnjooLTIKR7Qz5 zr!si!SaYr1+N~9>!-2jZSGJD<;>2^r|LyzOK}+_Nf_B(9rNKbSQHMz8HTeT%4(r}s zje-)sy$M6^o5C%>g*|27YFrL%UqwsgNemP+JH1%wy3y?5+Hx@bwK*(WMwH5{i*Lzr zG@Ls%#F8AIuD!|P(Gm?%Fs^Xjc9h`*=+vWE@_K$P47rY-1nBvrmoVC47n2 z=Xy;F*PKx_+{V-BBU|foaN()Y#^3^|tEy+~F{hV8xzj@N4z~y5r_z-@R`od7p%TBo zH^{Kn7TPQItDrrk;$-|WJy}mARrE)E{Ej~F=t#LlG_2(6q+R_g3+1dN8|5>#-ATh@ zuh7(YK>w`;@Tj@#`wF-73ZHF%*>zC#pw^`&w3&81J6a9&wAtGiWovx)h(Y|4a<|Tb zGAt@@7Fc$gmcRWEX*^8c)|)b4T~ky<={K>fAWw&sM@P9UX%}m}Xm=KY_QGV2i#(N9 zJMvj*eFD=kl!3a~y4OqnpVVk*$_L;VyF1~AgbSbn*M>T=Q%rGsM5n=`-mtwxP;w;o_PA=)QHX=H8r}Q@2iYI(mX`Z?rxm-J|@l!Ul6D)ZIAHH`7SI zs7$FEJ%=X@D_VFYw7>Vj1`c<8IW&A^clNpU<+fP^_vyP%ov?tjBmOZ9TDADsTxQl_ z?Mv3?y}r;#!?mwaejvMMf4Pd%i<8FAsq(L{1OoObR+?F*G=e>N{^+K-m)l{FyIYyx z?gDX4yR0MDd^O7Ih~l#& zY&&Te;ijd(HoLQ)e3~j(Dg)|md^~k~ z-$E_H!>z;M_G||(ZQ* z+s>TBUZ#w%h9A$y^%QYSt~1vWH5Jwu2g=L~hV|Gbmpat@CNKjw+bN(^OrnzX$CKQ> z(p?=paib)nN2G`TDcN@JBpHoPxXdQL_G=FL0)x=I2f7|5-Gqk`PJS$>ffU@eSIGye zSx!+Z`468K)wlsdbq-7z)c2Hq#sxOxzuNSTr$499Ie@$zMOvRmPsrCfUVoGb*OAz< zs_ea>iH>QGbu=c6m59x*lwGjC9m#$vNYYI^A4UJDKJNMar!bQ%l0_Yfr4=sCaqhU3 zlZQbxxt?BgX1ylh(P@RQ0rEMo%-pzh2^>g>{klO6)Zdqokz}8}&oV>kd<*wn(f7KHF9JDFkfA^nH zpPr;aRR!DDm$lM!6)zWPWsM+B9mYy)cT`b~uuW=g~h->`$2Iy=~}QV z-=B}d8*j6l+t6KuzGtfSqNr$uMGnW3IKOHu*uZG8pJ4LPI7W>$yz2t`fZ_23wSi@j zTb!Ae_#Z3(7q+l_TH0cjpFQdHi&sV(8zz(Nl6UN41|Cj+k(!M{QHVBySM!jf_$Zx_juRs>5 z2cLXoAG)$j?W#BbkugE4020?L!>L{8HM61eCc&N1_^nZrvnJJT|#$d+@k zYfdd_?x|yFmF;VHNL&373sme?TX;g~7Q9?|gtjj&%Jm16Lkzu+NN5kBzL7KynCtg7~ey)cTV9G!dT#;q6T>GWGouDQ8v7@rVL3*5Q$O^ zb{e=X-NtU9=O+o;)1s*cnWg={)9w)O=rQRZ7JlMSK&lBnL6rdel=?XqS<}#x-I4V_ z{^infU7$Rk`UrkSFBL?xFAsIK?Xhz@BI1^_ap5?@6S)z<82)hn%C?) z$R$O{tc}FLO=zsvwcSYvUHIS!LMQl6Rx_!>T+_olCq;m=(e<|17$5%yKA3!EDX`U9QF#t3a^94C3OQgn~y{Knhe@BxgLPG zPI*=8uRY{Bu|F#V33g4iJrO`T!4uQH;9kE=(}wCt1xEXWYZaepopln;e%G>*Hm$`H z;ZTauKdyn7pH9o(5Y=*oH>bt%`^?qFK~N5GsMU6@3_gmcie!1xLMzXM9snuJmkKvs zetGw_EDk%g@;(W@Mesa9id)Zr0axeb2<|KjJ*p7iKYP41$T@uPS6}z$+G=YcVv$#I z&ALs{-7{WdukQwA?oR8enPd{Og@3YhuisZ3nTHWP25Dmir&xiyXOHVERMZz$46GHr zI+ADSa@m0ZxG%f@bP%^H0vPERk5qo&fjUVLt?3T=%EH);R4-~Hblv+pvczdI*$i7Q zeK2J^BZgHvC{e6MG88|fx<`6VL$i_3;hyK!Zc@~a9OIs>6~yrP{yP7DwKjM8OZGE! z^}i>t!5;`kOpCvDB(m0>uFsMmx+;j8xXmYcb7Z#6NTDMcA+|u?D*98v*>aQC@NvRyIj5a$N)G9%yU4{oMJ1lP?$?AnS2wVw% zTtx3%uVpR#pH>&;_V(J(?)SGcL|$ikePq7k^E_gCu3$vgZso*gbkzXu6X9A;{Mu%# z&F*Nh{cjB_M&|CiG`HjKDh+c8dpK3b?3daYarsYS%98uC6e{~{cd(93B{yiLveNpZ z|4J!y(bsnsW*Bf3u&s-WQVju3P^!js%7T%z#cF}k=f8EqNcZ-N(Ay%MWrd14$(cou z0*(}J99^`KOzlGoBb^7p3))$|~OWr*Q_}!}P$1Th>5V+cZCDYYZ zs1G+?y@TuvetNoDaq^yY#J8?xlGbOTMK`4PT#_s9sWA;0m?HA>A{>7-kZno$7<6$~ z_EB)L_A7bKs3~QPgg2H!p(VoGXG_xbnqqq{fU88b@O1Z4F!XmXrzo^+x{6kW?|w2~ ze(GM;kL`tgd`tXw>nP#*?>y(^tXPh#H@20VWoyF|sQlm$JY6gDv;J>HP72e}9Evu6 zcX5(wD{zoH>BZ!1I2Vs57xG8Sf`}dWqAG6kB8XYoeIpcMfC}qfH(Xk
  • RXwp?q@ygCxp)DprU7*Wf_Y6~G~;^vFtv+>8vQddg_+vEUUw_PIy+C^M* zzKHk{LY(BTT~F|*HiyYu_(C7OvE~S?W27vDegCVI>KQy^r%Le;JnIZSN|rlpomTTb zhIPyQSzn%1wK0)_pJvym)h`uukH@5H)H z&E~h`y3X!A)q?Hb-wqYJdZfD-)2@K^-N_l4xv!*xY5P#wtSwTompQTVmA&)r#tZtJ zJ98kyPLe!L4y}_B4gA&m739YT*VC8uGmq~WUZ=_`_*S?Ds&Y&BpqC89gJ8Jf{%N2) zR>;Z1y|j?zYYB*Mo;*y&x9%prJf#4v#&3I&G0|(-W;TBNWPc&X>{c<>D^!#0Ib#fg zu8lR*|l zr@WcS)y^5aY^h#+6YzINomga8Bfb2F}1~o}^ujvV+z6NtK9a zklLy_wk!2gUixcJ3h9%NFK498niuoxe9hbs)^ZCIMFgA&+QxV67b#*^nHxW5)Qcf# zXTg!bl%|H0sekiW>^9JkMqSRK$4XJQTUj=p^bc+Gq;!V%zo*N>(>N*_J2=mYL{Ih{ zE7nOF_2V=avWvq#rKp#sC{=%{|A7e1 ze0!I16m510W;iMD;=WR*Kp2h!_x2~mTLnw|y|WSirk68ZNOw+1GZ*wJF;7nFde9ND z74PX_%%?Ayw{yPsEeTljzRIh9P=XQn8zCxE0x450nfj%h%wGppiZwnD`N1{MVQ0t(9V`*+=nnc>b!UAEi}FF(V84&9`LkOgM9 zB;eko*xlO_S#wczJ@%g;mObAohAl_7;_m}r9+6YfL!ss%4G zf!u*(Blv-On*P!%Pntfw6gKU~Jeg{tn!2D)F8Gw~QS)%@x?;<|L@^GY2IFQi8aOB) zgp(ei$QzqI@MEm}od+-NcN(1>E`NC$+!&_UUHxZ2KXU|+vJV~u_MRL)iu!JY&N_4T z<942b-J{aR?;5&N;&|J>+A3aSGrGQ|fGR^h1)e6e)_64GOdCJrr_sJsPE$`nthSLy zJftgD8jy!A4Omp)9%!sLWs|!mxLiFPAve{Tcp1ZtymB6porr`uVXwI_cM`iq73fE9 zd=u&jTPgRRo91vPSi%z2Vcn&SGs8?X_ZEhIHLgPTMb2<$+U)+|*426rovV|}e)Bcw zc@Df5D$y0Qz-QzSbFxpMw}qS++2+k%mCF!6v`u-+08#vqU)&~ z$k(o)_4agC;rNM#2#oZGQ7(Yo<4l94HUu&VWURpUDqGyiD_Etp8I7i#XtdIhNnPI z`_kEe)b`^;5L>mQe=NZ>)=s6Gos=hGq*p$4mQ;Jx2e@|z5Wc{z^*@#k2E9N1)UNVT zt&h9wx$D;tx4AiY&pAn4V~Bp3JA4dis!;OdFmEP(c~#hz>fow@`0Ijq007*_P z2dz2&EpHt7$%n_FH}Hqk32k; z_P+k10E2&7r5*)hc1Tp`fpUc18-4tXcAfR9LbBC!onQ*&)BeZUZG!yMK#fkMS15;q zjfSxh2h=u|W$9@O3ujbNq-J4c8bZBD5_2Dg-ak z?X)k}Nh!Ulv_Lv;R{sWyU>R7O1};5#jB{D2ut{P>GB*zdbX%Tn~K$Er)6RgbA| z9vPx!}IMA+v#Ii`l(3#Oj zj7%4`eaWQi1GwrmxA|Y82=65+fcK&pzacA93h2T=6z-xM>Fsg?Zs@}`U^HiQ9A4FT z5+ygfjbu&UzwfXRy0!cuJ%XdR4eOGAE*&A0p&1_U`SVhZw;XgRdt`;q;s(l^wNqID z5xMz{ov{4}@ZCHxI z>s{iWTgRG9caOn0%JKqxrQ5(t8rqeJ@VGm5iaPa)pMg;)e-GB9U`XI3YU#dJ5H9G4 zh2^}Ka_ih)rT%-G5{E*m!!#}glZV?2#N&a$f`8+S>+?VEXH1=?`S-3ypAw%c5>1RB z_}l;z?GdUc(Lo&*oV27G!mMcQ8*(FlLF75M`LI7P*y^)*K#?T+-#1VKsYzUam7f;@ zPy``i)p@MM5U?@|oRlSU4d~t_H->g14P|3kg*f2WL^0Ui6PpEgVG^=_K?wg^Bkf2K z@hVhPVlU=$-j(MI)s!lJAjRc%2T=?l$zfiX7vNuASzOf2PJPo{H8|51*m(qMTg$E-xJnl zOT|sj|NGrrHdlIeB!S-aA4Y8Dl9?|!gOgJiGov?M$U@DynN z_)SEQec2AxDLt?@Kr$k7sQdX@Rt8UqV}#jJz(I9IK{kt%*eXalfCmqDK%#MUZ44|u9| z&fEWZxtv}tD!92#2SRP|N`+5R-QA%+s^kic82q`F*W_b4Usx$s*JFOJv(*KG>wP43 z9&V-1Q|eghgC44@=WL})IjN{2_gSlrSrmt6%Qg0Wj|EVYK*QFR8QqywF-3Y&HJgA zbA~VUXJMEHpnf*-h1LFOJd~VbRkgXiUpzJQL!XLPDWJ}GD)-4H`$iNp;1KUM8^VV0 ziE*|5qWc>-){{TZGJhPMl6ipol3c3)?fmkU_MTXS(k+5@T|j`Z4hwO|3M4q|*cKE4 z<-@!;#6DvUWxca8l>pD`fxpnl!7aWzHD+;sXG@uOVIhw1Lkux)!MR6*n@9DF`bvVlNNDfS@Uh^DNw329 zPg@-6TEuB2L_CA%iY)VoFGdW%U%hzEvQsGFzI$G#CJ^b(us=Irc>_4?RKS#bG3!MR zB$Y~qjP;EYw062EC=2o>XTfDdbmFP(DU66Y!CT8w#MMrozOBFJeM@-dfsSZ#!T7Ed zV;T!hd=bK!dpHljEtXYISUt)ByZ(~q;%nuLM~L?M!Vy#VS2k*c^L$MVS9iMm!rLfcoYDK1oN7t)LXrJeuWqo!ROe%!tgp51= z8aZjMrh@Rp!0qR?K_OR$^X8``;)7FMb=th|DyWS3y2Z9j@^_1qLS)Ji!(b|wd8V8R zAK9H%t1-g{7@e&SGuKICX$l~d1(oX}P!&|l8>an_llJD|?91F(X!=C;CvWJ>v>azp z$b-b;`VkO+8l;)W_Ui{$ZYQzUdSuredCbvulq^5zkYsNSrF;xjm!eJ!f66CqYS?oB z;SyePkaI+C3KuXTd*^Gl%=A>MwD8fqflQu*3y~IAty1!JJRa7H=Z@3i^_MTa4Ee$Y zgmV0h{@6=P^6>FH-u;>xa^@|^)Ig3pgtQzq>a{Aj+VL;8Jr@TPcIV)X7hInNS1nYQ zfKbbkMV!Q#-X#s6=VVNy<;}WNxNnl+OFMGXPm+K#ZTtH7W|0f)wz zHUm#sftEtT1XU_Dyn4S@vYI)U)yRG@T~O<005@*a$_W;g>Ain$Ng{ zE^V#QLyr%T?>2Ul{ppvaZmQ;gO%?E(-InUUTGvz#VS{iFcydt#ERLD!U?{@7sylw3EU+sDO9+Smxfhe87 zGvs4%kGpK^#cjsh#%o)?;GyqtXN8-JRCJ$}1DA}H;{c+$WDIM?BhHA^p1-Cn2;QdV zC7skHA}?|VT8l>^COjbbve0mjO0YEN`u4HZX|~$egLSq2Mvd$BA6cOnxsa5<8|b$= z7E)(bFbVfV^wYls5uk%XE?OLdC4|^rw|mmArmRQ9eSv3YeP8=dQFcjl^p$fkm+?VSlQ1(?q$e>EreS7X)W&XjrGAU$@X_Sg4935N*Fa7;9F$W>{ z@3sZXrT*%HNiSd?j{*{QN`PeiKrVNLPCmxtyT`-cON|q4${Ocf!JN}oBhN$C8yWYK zx%H-BXxNO!+@DuZT=a4V=(lVXKJGq$ADt`wXuROGxpbAZlx_a#i=7t(eW9Az^)^2J zt?YUJT-QSB>J;#sANFV`#+H14DALZdx~J6mu1jx1$9Mw$8i6`^kz+5%5M5*csogwX@Z<;0 zg({{w7gJ@TM{i-dblsM6s<0ku1`HVXvJ^O&YsNUK#?&LiDSC@SEL||5A;3Vi<4%0{o_DbDOv$GI7U*cq0EDW{d74q=|)WwhB*j zLoouXn2pXl6cUBc(PQ2oudCvS~Tw zrde9{LB(fdp1vJf8jJMLe%yBBlaiKjgg-CxEDHsHSjNb6@(4lh^js8dDN?8z?rAI( zZm#ucc5=}6kMk{VQC zA7CnwwPV!Z4$x3JjSD{3@nXNv`x9GjN~Dw@i`Pp;Cz0Qq?R90Lx*LPDHs|6KktbMV z6Gm>(HPgoD3ei}nCVT_go>GPe(h|(=*-3Pa5~d;_-E4e%eb2ztT6Rlfkq!-sJ6+yuuH&1%=N()Y0(~(f|iu%iJ6T;XP$732kT#!@KB#3OurnS6UrsfAK z+`E1-aTGd&6r+~bFkQ^4X*X~Ri|ZVJ9dE|o3JKN4NcP?C&Vz3vsEr3X4Q6wM4Q3w~ z5Ub(Oe%W#f7EFVLW;pRCb@CWgZ3*Sq=9m6@g|*7R*59Bujz7?#=$DMJ6j(a)8Sdaq zRT5+!#zR~?6USI;#N?5_7ju0mA&!6mjKz)`l`>~Ni{r+hc~>q{XHvVL4Ne>h-ivGL z4bw%<(ZfG^VPXi)(Te5{ExsXTF-}zf$e}1&wdl2<~ORLOT}74>&p=^%~cpI3)^H z6v)k)-K1@r<7LYJQL)B!`DxMzmf=puwseEtPU=dw6op*XL3Cv^EYvJ%!Ia4mzTm1o zG-zg`7WUm^f%_H9$KH&}wJ;gA{jqi6Qpw7*d#8UHw#HSqW}Us6h>_Hr(3OlW70Q*r z;@r=ajXTBi9^C0jxN({Ggn2LM6}5_>?`=DG;lWwCB+yA!__+ z{dYt~hLDIwTw=Itoqz-`>z6-y18 zbfK;CDC~O$CI1%-KKX(jhMrP+7oiXABw;u){=>(MKF-L_2%Gal)%hVw(DuTk!}$~f zfnVrGG`_Lw3kC|3`PsJ^*)?RasbG!lvoHnQYK82N%aakoZnGe*TTpTE{M;80zLc5X zxkjH*Y{|(^n?m2*?k!>kGf5)0mm@amzLWD6uJ<&KOr{i@xpB<2G;b7jK|heE4>7;o zm7KLHd6y+$_M;-r(r`Zhd^L+K<4|;NMsmn`b$=kf(fSH;Vz%Lc>ABL6LV|JxXfbV# z2QTOKj4&{sAg}M`GnpHb?t7^9lbO@uuuvDtG9lRu=EV823k?mH-4u(B+k0vMr0bfp zJc=Fo2~e%$h=Fe$A)%Vg@iSCV?>h4;8}*QBvG}fm=UKxj>a6<8+Bb1fFx{?FLvuU1 zIa!TXcr<&MfCOcN>MhSm{!gAC7K^XGj3xc43Mu${W_0ulh~&uwFa@-6w;+&PtWWQd zl~^|6hX>`8ZD$F5mx%iS); zoxpTRySsGJ|63!Aru1j2o1dm**!p70=XnIsVx8}U=6Du;yHSCsFNXT`1pkcnb|w%B z%A#^8E_2z=ByDN_5#THLWaL9qhUD+{`~9s5*jFl%#8Np3NkxWxM(FX#u2*(V=EpXH z*iRdGNQU7&1eU?<S0*)363x!biin++>cU^@1wE#VbR_epFm0@y9k(|=>Y>wFJE4E zMNUlaf`HkUUDl{Y#!&OKybFu6BSk%k6b-1Cn@^O?dfHhb3)?<5t#(&FUNgC&I~JDT z`5L0Yme@0rYgMz2f` zhXUlf_(Mh+DEXm^of4mHfl3_@HYDTqt0yBMAwh%`B!Z7sYc5X>-jNEqe^>R@{|JVp z4}EJQ@t;@54l+|HnFK#LD7mk56Gv(zF`!^zHqrxGdi{Zp-HS>P-5Bl9`#V^^uGGAB z$Z%{^F<;1&QA4g{b6XrpXkJHa*ure-6T}V~ z0K5Vro`MvPf-p@f7A^Whk@wM0M6mR?PI#pCY@{h-q|P9?zleJkTawJ@L}eT)C*S#G zhZ|cU2;?C}Szt4ZF?%}Ia%8Pj>jc87Z=?r#kV#g`p6DCKLZ?ai6+%#x-;@3_*}Nph zJ1D-Ywm=&~*37)Tr8I4vv zINbyu!RF}qGuw=LknQ|MgirrR$x|XMH#^_kL32s`}tt zYjc(VyDa57~D!aJ*8w8#WYkJ8b+5F2@{y%uxg~M-+y+#2T zG&m{{uM?-|23=)L-c17eSg3S+`l{p*`#bK}gKxYBqGWTpk53rV2Rf)Xe9z#23UAoy@jkDjgo@3(@Gbq*{`=AN$#1QKaOngDgW_C}5atlF?sdC4hKP?Tkg zg#x@Zw3k-(ra~%10z1+RLFRH1Eh_+06`QJ?d;jt}8N?rI<8@46A5nk~*K4JkuK{s2 z@)EW@*afa8D;E`!S;DVX5OWqy#2&sj0!2Oya%V+ufkr@o9{(A$FuU~@^?ZvJxXq~A zZN)NkAK?K?M=%!p>IldchB9;SPbFFyazgv4Hjsf{7n)lJv0M@JLt$8m;BXmj`nsJQ ziiqq|D$B)^FhZ7zkpHG)Y?Gp9>pp!^_=^bZ3Ma;o2H7V?um#t5t{xyX4G2fF|Lz=X zMX@pZ%^O<%udd5mj@!T*c4EYmCpYoHWnZOKlGz{!dK4T5q;;!%)i)l)sWxuZErXmq z!zj70T?ZB3&VUAtiP)e)g5ZqT|J^{%1>*dHf(7KQ$ijK*kXG z?8j4_2YgDE5vPHE1o%-G7Bqp1ngwi&uMDi*`pS3~({70lhePQVQDRVpuL!DFS~Ehd$XgIjTQFkQFvr8#y*&Lc%K;XX3SpLf z4*^2Xp12T}Q5*C4x&mn%dFL}Ne=vHvd$jTOAnNA2u*yR0Ylswal%&6F`S93(ulTY> ziFIcb@ot9me&00POVzNy!bWW`MC&y^7l}{`RZ9gLYPr(ivjBitCxYTNlYQH&=sWJU zaSn5LRz~O#%AWOebNctaa~nj--nQw;&t_(xL$`U5?U}T*505V#siVj2+poRYq*>8F z|I6?lj~2~3r=w&15rIm4%~_n%=mY)6@DveSRV)85RIc478FD;;znKSVRp0GtHf_rI zah#~0;s@YHpj#_S+bf6V_PaxuW;3s2)QSXk*QKY)Q?L96ljN-(S!OM=hwoM1hA504 z!t1$7ZPRv8?A_`i#t&2fm$0%kWI#@W%+kP|5c*5*EV*)BA)9sG*1_cbo7R$|eI>8? zQRKen<<~T8>a3l>xWRC=;A7$;%ndixJ>w3lhzayBHdNuYn4d-d#|{L#YjluSVZP>uJ_0pW-YD$eSNRov zQ~9v)u3uB|&jy079%90NHydh$k(^&Mnr}<{BOa|lIazjre;M|1hKL6FDPg7XXz3r* z(xt)0aMcb7HTd^@+pWmrZXN;0X{OC^K|MM256i7Q1Qim$yLgy4)?@nESUBO;d;p*n z;i1bjtf?w$Em#r%`Kdha=Seo|)k|5H=n`T#H9JB3|&G=vQGKwn3-T!yKWAP#{3j*>?4RJ#Y4k)9OMv}w_O_Uw)^*E zhr{LwBnxWpC8Q9PzJ475q=R27ll~5KmKe7|Ig8a^j^GKz{kp)SK{)t{$0Ui zNjs$3{!2O>a>14|3+f?6g=$L^g(_t4Ol^}$yUC$g^gA0+IEwwRHEsTcV-BE6o~RQz z4>e4ON>^*Cgi2D@K(rAYhsS_W)-5xky3(ER-rJH8s)CNP*$PTb5>%wg(U=$EBNOWs znluGn>on-&YaZOEi#W~f9LL>ff)4HT<^U{(=!2A%$i)Jz$>x<4hgv?UmbF76d)RWA z-jrg4(g6lbgA^a)$@B!Op8gTDlQcy@isfY103%ceSsl?zO};%mh}hF+@>vhZ-^51L zW}FlBt~0;JQ&{1dI0xPfnx4mh0;10VAXl9uf&Ha7ph|}L9;y9JZAYLy!9&@-k3`rdkuLs#_y^oYoPo8nW=7AxDvtUt# z-qQEq({4Ed{`3e4^Qx06rtR(LIW(6BjJM~KELNdEV$vB3u~EbH>^0@1958|Q6BTJf z0w!1)$!!r8o&ue>u%r>t!|^c?N&~R0ew7{v`7x&^SB?IEAvU{tXi?O{;wpw%C0JCtykQ z=K&@CCsJ=$@JEgQt&Q*I^|Mnr(zb7$AI0+%H7GI}ooDLy$>5O_M)ILU?ue1)p3iOz zaYow!@Vd2Qrwb~x+B@|wl>a+Ks&`O=-RJM*{oc(~|DT=ZB9RVs=ym*6YHf@-6oiIR zygVY_Ue|q=$6jE|5GmMr<)V@L@ee+A`2%$x+0)Ny`cgd(p(cxQHTi1$Wd)y@xKF+W z5Y8S^7Twba{lqE!*{GGCvnprVWwW&P&_c%i;M!XvB-(8nzOS^TDtXcJ0_OVThimhT*;N11i{Dei1HV0&ExYIdvOWZ(y%!)^@gjGITR4I~>*s4uxr|pSgeI_mrd!-jc0~T8Nf8 zbsCk9jP|uyFn2Y>M(>zrwESjncKo8~09e!0{n_Yx1Rsln|E1+Fs{DTLom1V14g4W5 z&r@GC4i9P$_Z=!+xxh?r;~DLyHZ6iUuE&bm?C zpxO})qT5UmFYmM$aY03COSwMDI6ks)TCaHc}n8TR-BjQ$0O+;gUd(?M{-d ztYbK=LRQK+OkD~M`l@=6@%qzMWB)8toeLQfifi%{WB-|T;7i*1gX9~7QE-9@0`;Dl z{qE6?n>X6XkCxkQnjWlh<=F|A&PMhj2Zye!ua#Gq6qWH#S_Ok*{FW z8gE@&v&vLRQuLYE$5sfPYtXsT(Pg%csKf7}uI53HPzBed)rI&j z(E~=biL#@#QefZkqcUH1ckGYjr`QOp)WUCq&YcQiL5^4}+z|Fn=W0axYtFz-PUBearR`h4Zofdxd1=j`MJ zanaEMd@ia8)K|j;6WOOzB`z&e2=W%U(8AYzU*!Badi6x&0zq&*f^noDGdyW7AwnnPg@<=Jv>OCWR5#L;%i=pc|B{Y7hw##b5d5+@! zCjMIMjJdqZjW|0T^m5~3bB1P)fb z?f#cQHlRNZOmigoWkmm7fYkpt%h}EW2R_<7Xe#y91$K^JYx3a2w=>#^+{syBvBLU) z6WwgmNlaqHZf9s|E@&KY#MAAcq$&#gd3}uNSDVD4Ixtz?ELwgSH(qmc5D^;e2zYGH zVXd~2q9F$`meQH3ag4c7HrhyLN$>Ken|D#R*115k8zwI*yCtJu?>dYz&&`JU8Mw|? zU!DA75IY$E;BB<1w{enQzCyr~yEp!Updan1O2XVT6q?;amTpQTb~47!)S4=|FZg|* zyhCyWgvB1ne)%u_M@Jmw+kdqU{r0u4x_Uo-Cj-c`8T(dU;8Dt+PFBSCiNcoHe| zB6o&sjqR^6k{nc!;g^7-*Y@Ey1)(`RVVbBLm%0+>(z55h%#kNDKnce|^-dS_`2glX z`sW^>RS{JW)KBK#bFLQ7f}sKpPl99frXwQI58-)qzOpDX49V_nS08LYb$=#M5`khF z0qx208!RVNayb_<#XR!)EKmNQGNFSe^NiD)_#Y8uSnKjz>b^a10H{!(VCWek66BpA z>G*TO*3C66I|33H_-L378Z;=@%NU#%EW{<9`uGLCy2`| z?sC6pG-Z>V%BjrR`mYxN`WmKv=Z-uiVF{y_gyxY!UUj8rj9Ml4On?6QR`iJ{c0O>s zVf91i=ulcp!TwLTLuP+WUkF~uck#k+vtjQa#&7FrM zFjS2hY7@0w;zcWRpkKb`#iyGvHUdlG2;1`V{qcjkzqZ}y_fds$-3jtr2pL{Z^(DS* znyt=C%uFiKOf*#DUBGJ-9gL(TRt#YUC>%~UP1W)v{TZ8FOb zOMI47Jh&Y_p{m=+{~M|cHUVq;&9gG>Qj~}W>0L+W!FXV*O@;J4_@{Yju;ygnlf@QR zsAUOGOT|oAD^xCeqCk>-V%GGkWg}ehu z^s&y&ZF6%y@q*)N1t3;d>+b-TbvbL^{m%g;t1?+0V%$WBcb!tJNAyu+#4$tMUGi7` zOO3341-9C}v4L$6ETi?r3xT)Nmz<2!FFDPd(u5}9m)MHDzNBl=thulI1UNW;z;tr_ z@h2u96)|>*8yf_8L~=qm0YB+UTiTkhtyY25S7Tt2@#rMvlTXW^$$sMhyqB^j_t7v2 zB5V-1kaionIQWk?2b124SWeHvmj({xno>l)BFhX&^M2kV!H+}p1~_Z>sCs}5@Q2z) zJ)A&(2gC~c;}@P}d=y7`EK>xWV>sJd5NZXN(K{CpNF6J*leyo79W|k>%~oCis^%#H z4fLbmP$nyW|BMdK#A>)6Y0sgc#LE>Nve?=xw(W1YGb;F9 z8MON7KA;QU6aPCAh=Q`sBkjHI5F4FT(m3)N%O!-O+ExhWi_Qg5Add}$cJBl5BKTg! zk$+y5jYVZS2~4$42VuOvcfUw6n{QM~-^V!BMoIakjTUpRZ48*QX%&aSjVE*zFZIto zyA1x~NFkh6S@f;gD$6a*|FYmpz+sMISW=34+9BJy4y9gt97#?~CC(ZtYmfYF@+G|I zZAd^6C8UWlxKWA={xdmzzVY;~6vNxV0Su*HiL6b75-?h;Cg0}qdJ2Dcl}5 z)QD5kjs=mdy6B~@^V-mA{tTod!gR*32tz^u;(3q|E8+Zj>dSi%$tkJrYMv9pwQEB@ zjn=I|eWLu$Yu)r)<=zo~E)_RU_z*Si%%u=3<3=k^kaipGH=b+ezlRFc7)hZiHkHC$ zf$8BZ0>Uq;q9rT{tWO(`FG?>i=`|NGVWnqZsCZbn;34{2qm!> za0?}V%bMr7jPk(#C~{N@8rd9NIKWTDwuqGdXJEj8iXb8jv`ZtaR064|dJ`k~ zE_XngB>FsgVs)+1(~3B!z($c0!97MhJbNbl_MV;Pt5TKMYP74zwSe>N-26 zhVgzTHxQzOA3nYH4whOE!%xBbWlH7nAjQP{Sc}4Si<}&|IQ{;hRWXK?4}STRQu^gly+|v&JWCn@ z=Z*GBl8&Wzw+ms8u?{_!i9o9rvn>SF&}jDdch)^g*fJM3 ziu=|F8cu+Y*Z8S+u6z>peZsUpO}xUY>T25F9nn#o3L~zcn$XsH8vC=7dN1&-Uq{QGOLjmMD=0(1e9Cr1 zYm`l0z`@soIXD$Gu*0?Ux9i5IjvE}jw&Rs;AwuPycc09kuO`k1bLQZ%=ZU~9eiL2E z7qRsY3(gO5j5s~<7dP=b^JSi?AOx+@Prut(0&?zx8@!3c%W|{TnZ}fy-$g`l&tos! z@Q{=o_?v=K^4sdmcMKQd$$LYsR)IpSnK+E(qAY3r{FSG|gfP>o< z5LMoI8=+h#U8&6cAf3vJ?rMqyhu!tgjOApTShE&uXn{{IQ*dgu_t2+jlL9Nuz=){Z z+$17v`<-4v-opTNS%O-!!y8kL47YhsDELam1SM2|o#g%WpR3OYbT>c!J&2_#V9HEg_}8No&jeu;Z?f8{7#Ot0PY9F zMWh>bcp69*xJ8zEU`bn0k@TyqKBJPpEw$54?WFxqGi1w2=NG+2o`!E+#sftlK$%tw zddgm!xmCnozn8Gh|62((7yTo9@LQ>9`iE|a`Z1M)7Oq4=G(X_g?-@eQ@EiZ_V?zz0 zr~tSVOF5N#`|zHGHH;@zIx3h?K!Y0A6mAn?WSujiRk`TOZ<+ME-AdQj9?>YwD{A(P z5SiH3rVnVzJ!|7QG_DfT3x=AwJ@ONUYxb^ZCbEgm*~!ZdX`q1h<}_&og}KoTx&BXo zSW#X;xl5%s4#iWT(i)RtNxi^9gM}!>kF8DjpH}IT>`B?s9e=KkqpwJNrxPVmXJDy^ z9nCu>uREM?&?5ARK`sbVjO^o0U49`k{wY{#znb?YTH6rqzR@y`U91SQ<-?7#kauD6 zwkCmq+6LGn{1jG__F{~1BXDB*EEogs)wg*Zh2gK5VIfljH`lvY7)r^da_29@e%|ow z+Aq0tVX28E5@Wi~cxA@RC(jL>@iz5bgl`h4uOlfd9AfcS$mQE|*M11E)8l4^{}~!&0C2YAEPVBq-_REPwC2o8KxeHY5ffhaAsOX8 z3M>bOsUgXVBU~ScnZ%`z5Wz?%c-Du10QFT9rezt2GH;&#?~Lcl>Pq|=+beYVi>EMp zuvY;9=oA(>RJ~@={S$$+ZQ{&Vro+RuoIv#LaSO-pNL(XIbVm!-rT&|ae8`PSBs{j@9qs@#pOTXAZ>UY!+wANpK%&lG9hv3#&?4K zO14|R@HBlW=jl+B%+1!- ze-LTwyk}?oQNgY5!1IEC4^PrOdc;FYTcd&JO`^)tT?I%>;9*X@T}lFwM$or4V)k=S zT!ATGSC_v=mgf+9XjG&0iL2$Kk*6F+3-1*jdLMr_nN_Ac2iztyCDtGFX0y$VU7BhN z^#sTh;{9q|$N9^gFs6H$U zvx>1C=kO=|$06f7yV>ZbmdKPTOkV#`mrhqZNgz|u5#INw-7Visl2=l5%r5*{dPBN& zLqJkOpj_YOoPmlJonn-DEJ{rq=4hWZwm!hWB_;|7k-dcgg6l4W!SEukzvEA)8P7e* zO4DxYEk;b%eeA<}fNlm5`N!eKY^bu;uPhfX$2a#OE}|_O0NGLi^q2WU_HIR!xk2CcTdehLe)>VQNY+;Y@70%IsPfv6@4)a z!=2An2uVFxD`=Ny8%N54dy0|1t<_AxLz&q+z$X=*4w3`9XO4K>UVZgFyRi4P$Vamx zED^ciYq-hfo_`-Ogm9bF?s_|$C&?sq66}UMF;405qU*8^)!q%(?;KLYs{A%Sqb{S; zBVJ9l`H-!r%(3vK7YG4o1)JO4$9=x>9!^=vpFmIazto0femT?|?)-q|8xCbvG|hvJ zH%}MblkF!REVjcXOBH!3>NtQhDW|~6_yx3ZlX{n1YWNecY5bnuKGSsy&Nh5^mMOK! z$s+7PiHv=_UZ{%N7%s$KZwYfsG~*$A@Xz>gm-bhC1VU<~W%}Jd%zOJkfMs!kNN>c9 zrEMH-s+K zn8zl5zos9FqZqR?LCJ5*ZARqW2$T~)GI;Lh^^KC5v3I&z)j=KJ47u68{WK%Ve6B6Jc0Y+%(L)u`!ij6 z#(VB9gt%J^64urN9#YbTQE$GhZ00i8BS|92y$ch_pG0V$3vbv9X`jJ_JheiN;uwpxKVD_j@!jJXv24)% zx+UO6GK)?sMQvA=IaXI2e)Lo&*A1bU6gqa?5d8J_x+Rm2Jw@mS=LeNAZD7>-y*IJh;0%6xSA~NGQ_aPLV=!mjDGyad$5+h2o@maECkn z{onVwAMTfVLWapqCUef-Ywfky!9~-$UK0;rmJ&X8oH}{(>~h#b1;!%V>}+%xAUMh{ zi+O{~9MD*@VPo5aQH84=Q2ZA~bO3NwAs*xvSM&;Miyoh@gS5+-HF%sr0X#B6TP^Yi zxWx1=uRtZQXTReor>K%dc2_^+VFSTQPw9E@DeKKE!K<6rSV0%fQ#AxxgX4>vmF;j{ zZk3ab#nad=@`61YY+|3-11(eb1rmkn)HWp4@y6^Zebj#n6Grc6RDgj(m!UYGZ5fxs zE~ER4zJ2^KF;gyh=0|G#Eyl7EOF5b^rLZmLL41aXv7zzuYG(6TZVt~7aBS;$1>A`G zq<;7QC#rGdh_kTB_`RQU_(-)fU@0-;D~1slnt!Gkf3hOA5%|6E&vL8tH_>NDWu-SS zc%vPi{_n=qh7FkYk6L-7_k1E{#3e|p4JNG;ovq5Fj`ef)CW>PAN;fD-@e=tvJEhPU zmyw9A$B?_Mtkc-y56n|sW%Np_eM7aBmMCyghq65TYZMBNkTws@0>F8BgXr3veGa#^ zh{~8X9iRP8>xh7Ite4rjxB7l;AB;&DILHH<4FC;YH_ATB^|IimyNL#B%I0hM@36+O zDqSDbRy4b~K9gJ2?ZHo;f@4oeepyrLAMXs0N{3|s&XRExZ#`%Qn zL-j#|rhYDj#4{QGv`?{+s)uSpXk1i1Gd|Z#W zJy)>xJKt`g4P5yQ5FyQ0cXpu#ILnJ9f$0@y|8nb~>J0dh6{QEF~ z7Lji-N0HJ58Y7VZ+eYwY0mgTaeuE*;rc7QK5=O}8wM&P{cn;P}#4|EG>Fl~0`qXdQ zeeGkXE%86YLf4(CTyZ(2JoIGRkM>xF_FCHMh;W42Ljf}6EA#sPpY$|rTGg9PO+ zG12-5G3bzzTbm8hIIJ^cyj;f8{=@L6`_m3FrR52>vT4ne`Lpa$HrJyUckAK&^ucyBXJxvGc7(PG5jt_dVou2kBor zZbfq?f_`7h8@Z~xvi=1cjrEGncGe~n;wHhHuY+u;H$;kX7p&4ClCtSoTx6_({s8R| zHdmc9`}syKJ{Z2v+s!o3mlfW(Ta6WA=P#N2`99a}$2^H-k}?{WziL53>mIC<)8k4x zh#P*6Zj0i&)8d5QZVm`bboIY(?@tx2OxO0~H^n*5P$ci^Bg&kt*2Qbghu^OURdMf+ zTI1xA{Y>e;^q6U{og@OcCi-nddd0g6eCk{u;q{BsefUKbY?jNPZ*$bEPu+v?p8DJ~amzt&d?#Msz zV`G%qit%d#d;p3LRESa4Ds5-JTt7*!jEr(C22#4?)kGn9wDX|QyO+ND|6Dcy21EhQ z=za`NCA2jq+I>;X_v?85H|UxV5uV^EPwImMTjNIW$NlPB7oA9LEmwj;26)Y>-@2k8 z?|3c8{m=2jl5-L+~Lwi}e2*?{t|f$-%HhOBF5$-m19 zNf$-(94tQ(j_%o<5ogT&8`w`X4!7SJ^&k>g6l}fw1+w>^JJOkZuR`)WTaZRZxZ{g! zwu0aS4{gZ6zFfW^1n{46^D)oB#t64Fe7B>UD?~HOu_q0dP3Rg}mihqLMmjo;P7JhD zbJ?jk>``xdmEdm$d^{e^+-rKPLj1ky`W(6xPkK!GWUhVo*r)0}pV`rDC@M&yNKz}h#74Na= z&5`4;aW`+i^7iup`wphjm$ASYWOjS{k-V_Boyp^0IFUYbV=zCbndLyZ>G*F~;9x(! z6Qu|?tK=ww1IN(iVpZ{S?2>_r%l;Bxb;6%tLV4w@iaZ8tsdxLd9+i2_4)B@srK z+|v0xr{nEyHi>tgn=u(rFrF{c@bG?%b2XTr(T9UcsNn(PloX8NhLV!?C2pSJS&e2n zeML_fxfwJl=9{6|P}5-!v&&7R>gIERq8+3g5;$7$_?;v3$6a*0E6>>>EAJvF&l!*; zvl#DbS+W>AuG&LKQl~3_oU{^|uYWgB4u5pXQD0jVs@*r=)knugMeK%$;+9!W4j~iO zmNQugd;YY@3=*ViU7<$(>apo*-q-0C@ZsB;iB46@fK!#hOeTC|dVuUQcvtJ!N1*A0 z%wuq0=Yw@h2%bZ;=P}B3LqBpx#ZT5*1dR-di0Ib^59_o<utk*TEuPJ+xjUMLmK3 zCybzo)`qsbF}jg7vdAEztzcO{^cz~qamK;&8T#MhOMZWMGv5AeG@1LyDLSdH0USVS zd(Q?M2A2d3C+T|BOUhHV$d9dFeWEVlRg48i=ORie-ZM=x@jgqX$Be>?c7b}yov9`p zW5H-L@I0Q5*yGiS zb2HyQ(i!gv5?x;@Gat`)X_);6drmy&4nSU;1)u&qf0@ zXcJgb|3OJna$!>2u5~;>BrxRwBD=w1*^_-pT6hLc2^oUHzH-Kko7|FMmZY2F(+EBo zb(Dx4gL<7h+57wSeXS3Nlg&2gyhF1YLmiXmdT;(Gj+ezgZM~(pg64Uj1}{cF7}1$t z#736mD^F49$bn_2%#*b=E>N~G*(a~d$};Z}pCc!dbN^Oa<@vqRjGZTQllmuzmh=!Z zJc#2U^meE}{pR#)3dv*eUNm?UO&s>#{%$Ri*)<)p1k@f0+f}k(E2g{BrC_SF*B(sv zn|hsLimof1LQjN0vv;pyerBG@{vLFXP&pGGz;Ib#bwLU3e>eqwXy(&QX-J5KvFZ#+ggiB3d9@zE@@Nv@oEO(f!%CQ?aQ z#Ij04DGF-JptLa;z~|_i`TGM+&_ap*f7z3hjv8KJxCt=iD4t zJlWrM)61x!n|t}o7z$jM|F%*@%&H#aI}nz6C^>HaZn7;C&r6KOerb;%O}4Udgt!`q z2LjC8N~tJBBfmD)1@J028ZHqinR5fVC_gap-Ztrsyhr7Ic1K>NQhT~+Mt{tD7D#VK zPfB8lwChNNbb_B_l**PPl>#S^eAln6I*YNxFZ*f{cUJtHr-ZO!2?Lw%xzM@W_*=?w zU%#>=`HR$CjB$E(4hRCbFxolQIubIJ3lPR~aqN}P);>GRedGqL-$@f)4piE^w$G+n z+Pvuks_0jJIBxm{h6L1>WsL(S=@eaygL*_{G}ll{13t=qWbfbv2^Po zGOC63e8-#l(w*futyH@)yy5}EZ1zD0KJNmavq;xMP_ z?n8_rK>}F#L#I7z<6g~H9A#=*HvY`;oL{2(@DlRGL9rLe^H@0zi>GzjB#*5h^15jd z(zB)bfJ#@p>_ZH>GwRi!JFUPGC0StvrJZ>Q!ZDgzQ`HB(-0p*}XPl4WgkrvWv&Uf5&8)KXy;yn^Z+89ok0)rm01g3yA+BZM!e$3 z4j^w5OxdGfEvc5y&SP+=bZZwnsnKTA-ix4AF7uF$O2gQ}pnDEb)*JPx@$<+33OMV& zGIm7ZVBQbZ_;c=Z&uDU?rZr)-UD{Ykzd-oI~2S>Y;`$Y4#rfy ze^WeyW*GY}TZP8`g<_y;ZQUO8p#JfD2wvxi&{O@WCD3c!HaO7Pl*)C=x*_`Iy0pD1 zWlLa?UTRr@b<_kVWRf4oQn-`vEYt}Ljj2l z9%k^@F5A!hbrT2vg>Ur4(0{q@z%f^geK=j5fgX&^MMCH>>Q-@v;~VwPm|9_y%^i}B zR))18k#h&=2o(Jb^H!51{VJ_`W3Z?NHwIhR<|pJuUm&D$rc$Y2r>a{1z3Yd6xr%D# z0+`{)9)Djd2|*K-NOF9QtO@CeJ+7^E!2!T0{p4u4`sF{8@nx?as2liols%S9I3F9A z!A~6g3qcDC=C$!z?AC7&v<-EC;7}2}KEuMu(LNvw$PEA3sYf%*f_pMh`f!Cbi{3+L zUQ#m|rJC1_9*{k8!}5s-*`@wehx-;2>|na;}R6mxidOdYct8#60(de z83;)7mFZ{l#Xd`4ElZ;yR{skV1}3~YZ9By568Xm;)nRG=M$69C2yIjeXFchEhWdOV zDN0D5<)r_mo)bcDrh=divHixG2n;Q^shh$I#rqi0T6_o*;cwlp%WyR>_d|YZD zfQPn-^uW$+6B-BlQod4#h!q!OvzF@2E3P7g)wQ?EQeLO^Jz!4iKhuFjsDak)!;bdz zC^u#Pqr$%9M1H*JTu;CWN2#Vi4@yYnBRqRgTcgKvUHZp>2N`Il{G7@s6EBaNMr{s} zpbB}r%Y9v(wEi=_L@Bw}Jrtf+{n2&^O` zBuNbtjapiv5v-(X5RgaG(qmks5)&oA*!b2lt~0B)3a)FZ`*?ix``1~3huu;7UiseM zp5ThuX(i6h`x5-zV-50SS-;ZeYE&~t@=KmX3dCee;dbmj%f^!{)yt4-O=%IzHEp5} z_Tvk5jQL|aSjw+=GIaI4@NJ60uvH@P;S{L92<&BU)LN&P8V()*)htZ3K6xEw>GKvc z_=e6BSTW_aqGg;)K{E(-B1`V7H7Ze0;qJIHs9jn`n`jwZ@O6zN%e=K>=jmV0K!Klu zTw*`tN?NX{p64rC;dehKlW<|v>o#L@b4RHZco)YH>Ew~r<`+)Mhc(~5M3miS>nshp zply9XlwP;;OYXsk3{7W_gDX_iT&X<|Lab}=F}r9wYk^8>B#;yv#lK?zg}v+8~A{hW2}ST>OB#>qT*F2Hx4X;w)Mz zu(u`;vSGCncYFN1^D!KSWw53rk?9(hbbOL zJSB|j;Td#3EG3Ejca8NZd3(-(=Q_2E6e~3s7j5d1VNro`eDgvtXY<>5qLFuBn!273 zFJd($U)`cGK$+Ut_d6u2^NN`=_hCE&gWEx=Y!7aAtsvQ&L@{(K`#lKC6n?jK=%`_ zlxE@tVR4sdLkEkS7$O z;dKm|SKOfPMqW-Y`R`C|8cmmz3%Bq133>}}(;+=Ar(aF6`r#LJX0 zTF3uJ!x%V3R{Hi%lRj?Iwr=kP?+Ozl} zr$D%N;(Bcwq}f-tE!X_TfMxajk7*7y7mK%PH8#K2p5-|7{XC@zcMLtAeEBL(X@zQ< z*2M8tA%I%8{ zI1dz7Ad^i5(V++?iV2t$6+<)xF_D<>BS-RkK!F{iG-ux%ap09hE6Y>u8s}hS@sWe3 zwm`p1_5|toEQzOt5fc0LhK8(LdoR13k%jo;XL>p7*n5hY$`eeBI;c8^{!pEu4*PvC zpUi@pPzkX--k1eW>vnZ2$v88VaM1WAeMTE*6XlD8ATS*yt<~l|uteCPaU2hIW01P0 ziBm0JAZ;Z=(08P#*VpzmRp3j*fy$pZo6(15(^&q@?fBjFm|Aeomc#~_)SnO^IyLI* ze8IHV?ddJf>)}G0?{ZwmmQGu>MJomFi(1>&dV@B~&N#`OhrV!M*2Vi@wcE3W)X9c4 zI^^cNl3P(4Z+d!_-im5iXQV8|4L&C5k&6%@AA9~l+C6&~dYibbeX>q+F|F0pe?t%b zR#}NgM80>s?VS-&dU>A*aYEq}#4Bf+%OjwuFtP+!e&?E%*&SWTU(i_UcPqssQPpBT zuat%rif8p&hS5^3zTK^DUe@}KrCZILy(!Tug{UWP)MxOQO8F@DRW$pT^o>(=??A79 z>~rcDE)JoDYl7a-Sfg-_Sc0NV6l}UZl3RS85!^Gv+hj`eU&gNpPEpthr%YH^civ`+ znb936*>snbsVt-nLzM}DYoSWP>`*&(*MtNQLrGFFzcc;l$Z|Wb)e*T5k>W3-ngf)UDwaJaBE4mxy0yXa zcm^;@NcF~5>ok^X`|tK9?4%06?!)dyNm@kQo`!V=7K?ObwN`0Ful*ky$zgaE1AMO1 zUd=-r1DElk3oq55^F8ys>O5)@x(eN&-b;zR3b)jj@UO)zjjE@}DVY0TDVq$^ze{wN z9JL&F{3L=&&F;s*1@W*~Oq*I0X=J~nc)&bLR^OWsFOtLce3GX;cc4sAZo-XE5$NT4 zuRtfIeXKK7$t29$t!ovBJYq4T>mFN}B8+*S@ws2s*&*SD$H|57G@T`j=E~1W)OEO~ zktd~>Z4SNvJ7I|ya7Vg`!;OgjHU0+5FBjkm6y20e3Tj=&&IBf&kBbJc7I;;t2=?%u z8$jKmgimbHj{CvvgsSsucEY&*K|(zS^{+!eq_HlB4T*k#VIH;OqmFj0u4B__8~2Gr z$&_CEsdouo5MYXD7M1s!uI}AeVos#FAc4S_aAmKl9yHZx^^2Oqu2twVZZOg#hgr;e zx(`*j6cKYh=@sDni<{q>5>-mPiJN~wHN9m#0R=^IAg}G#M!6}q1guKYa*bT1ErrJq z{?4)YE0sOfeogId2>FRkF={gzVbQ!d@TOx1w*Uv<2mQ7J8a1Cl$757gxIy; z8qBOu>O4}#>a_BZ89Ii>Q{eoND0S#V-xg52(rZlcBNQ+6Mce(5PIv#!sH8<}xrAZU zx$-q58P7Mp!b+2slSb1XvM)ZNK}Jl)nCoJfbHw)5nR&+)25~wUKS7n>6Mn7dfNISd zFE;-u)lM}u)Y9;`ovlbb$IcD)_z?OHSb(%o%(BNjBgeQB{fGW|zF^m$w!G{6c|*B_ zSlnz{9SmcpS}8$ojlWvEpShFQX7h? z^fs(2U7fn+y(v}gr8-+41xe_f)!TVW3e{AmM+GkFRu$k;gGTSB5c^vc6iF`2Nl-Q{ zAVmvGZFB#L?A?eQf)W7#gqd2Z#qF zv7}`tD-%kE)CCTq6<;)DYrdv9`{WkQ9Vh}_{P#!2#mrnb)imX};lg69blcyOprdm9 zONujb!o8lCKB2DQSv~U_a#UeSU|!EqRGq;RSGoStEv&=)Xk0jO!WJc6*dDZ{bXzI6 zroaxf_9_Btb!e}kjvqq6%9#x+*E0cuHxJf52bj8hKf1S0P)A)N1Nfnpgt)jC)CF!6 z$<+z|cuhd2Lv&oD;gdUPGq>a>(|#@Sp5lkvg9OX&X9h+^4D4^7qPiI%j4o1X1ZDWt zIa8DIrCw3%87t;2h|blqG%ZP^5~0RM=$-#&{Q#;Sc!rcq^>UCc%83PTrU|Y*cL+^S zYNhPv)L|;*#gP(>7pzV~09}d+Svh$#yL##0J?WM0M2xM+PEAB0Ume3+6ndEId(?D9 zoF%ed6_P@}w0N%_n~1AiI@E?-J79+<>*WlH=!pmY=+2TN1bLky{q#m|as&rtO38BB z^08}`nllUO->MREyTul&G;$5ORU+26I1xXPkNNx$W_)Ed}|+%CfEQ%$A`-jf@RlNnGy!SZu^oPxeQsV zimn@CZ)ZktWHr_U;dM+jSf97%$y1NXMCHUt>xW|lT^gowA~Q!C)G?IFru%3M(x zKb2vihPhP@{Cxbd=9!wXf*9O$P3-Z$2&-e=!Y9*T%EagnK}+SlO#ywb)ggWwtrx+9sNLC+j8fXFqSv6YisR29~Zg&(wD^5onR)G^h^NRSr~&^WzfV*kbEEW15+Gq)$ONyC=BoQroc<$?$xrl z<_1P7f^6fr=7C)$ERg4G5`6aQ4I>#+tSqt9?I`~+7MMLtY=HR04Kp{Y)pjL_S~?@3 z?;^ODyb@uHiAzmP^K(yRtBHnZZ?$j6vdF(8L{jjwZ_k( z3cD4nWxLy>)IpZ{oSQma29|X*wTx|c*|a24qKw`%u6QlU{i*vsrfQZhspGrZdgTu( zeH;;a3A*r#h6qm(PXMX{USM3s9)!z~_z%GVAJj!2MUk9sBCS-5c_PiVT5EOijL~xS ztH~MT(nzf61luK4RGjyxTy_qbu~qHFgmbkdB%4@?aE7%KaZ~8UcISf;2N#skCK6Es zG(c*!m7VV?Mq88Qw~;dN9t|G%;_2_10=|{vm+|>#>)OC4zuL`$Fi}SY&QPy$rF8Oq ztVR5#Y-rN?lh2X+|HO#o*y#d`ctUy+JZJ>h+*RusF17r@KNT_v5Fw zeD!J?Vq$&uQkeP5sN||t2G3w@tFKlJ8~>fccQI7Xh;@)qxBcJSPS9W0-Z-{lou35# zwmG6gNBkdx+W*pNamG8!>BNA3P|nr#{hyY$cZn6LobmXz2?tE;xl^t2 z&MaugQgwOgi4|c++>Ux|A#K%JDv7w*In=?fY_+w7=XCRO)40hyUK_mPCnts~?@IE% z8~sXWClCsmG*K5em#C#}lYh0Y1LknT-1xlcbU3Ac6rnyRUs;;k5ezBdrD=)1zR#X| zK-scdIiK~87goGymNQ!C8%p8H*L%ls$&*z?2r?kdxut`foL}7k<#c(Qub~PHPWkzX zg=Xu@yU-X|7OccI6Z%n?W}*ipZJuYZf;m|jGnjOr%?xZtot zjDRzfy!ylTa^Nfdg%_F8-F7l9FtY9rg6JU@nGk4almCbBKcu~OZW8K5f=yC(R=dfP z+<@%zc!>Kyq(_o8?sWT-F2k1*Mzc2kiG_f%b{FKFl(Z!%^_6L7B?qp9z*q4bf1jfg?$}t|+h*F+ zG)gWZ32IsN!IO@%M8OV4&t;85OCql%uFB0woOZ&^@Nnl16;9NG6G@Fnq#{YsEaosw zGDFGCm9%|VlC%Q(X4Hqq4=9ca#>EIQO2V2W&kZFld0vg(YYs@c{J)4vZ;g1`_OQ)-jtq#h zG~MOhXqwhBaho@O49L(zAR<&8{0PRqReV)c^ZoFOkx+QpD5m%(A2am1L3P$lB?bbkgH?A zlB%qMQk3APhvOaSujPg{(+{OA$w{?VG(Mf`;%qCdWWSnVew$1subL1(bwyiqo-e3$ z`mTlJU~^C2#rI5&tzgFkxruFTcI!<2+c>RmhCJ)hadGAy$;QDp?hn))J%mIYbZv@# zD_F)))6+KRkd?GCgp0i#L#2l;R1!O@qM%L#V|Bbl3M@yS{iIYR8JNcwn|XGm$0P{P z7u?2k1{B|ncLr(2%=xHMwu2WKUc!lJwaMxx6`EcLb%Y9i(aARN%?pu zdK=c-ML17ugOg~AZf1B{L%^V|Mi?HHA(5Kcs5tNI_aAhPpFK1S83QZsEy=StHFh;};<++;ksQ$E!SDG^`~sbIRlIuVMp&#n7X22S!KKrI6pJst96ZILOgsZwWbU7{*= zSCx=yf<+2r>uq2kf-{f~nPl-nOPQ9c|9 z6?`@BRALWuH5SA!kL{YaAr&p&xVa;b=s@1oH~YqSx*7(hp7XhQKKg`5R8L>`LOK}# zXw8SOB-$^W9!JnuocI}n$oi7)zH>_hLb-8cLiqXbWjdJTr@t-`ztQnZS3}@AggNIS zo(Yqp9XtAC8ajW0#v5cWg|~hi!?f-h!4TqPJD3SWH*h#04@3B3^$oU3#rgOzOBB3HF8sjy%M4RQPsa1@iq_!$wW8SFM531)d zWlFf+Qbbg3$7;?YQ(FZxWKR-5GgDbD(eTHI=g6Y9?X?Po3Wp@@Lvbw)`#gnCRX%-$ zeAda)=#;MSj=gq5FC^^~Wva+u92q8&mqHMeVU*?_F;Co+@3y}5-I<8ARdZaI4=UOf z=OEz{gG%>>{i>S!&bu4U%&C6!2?@Fo{58xKf6+BCQkJ8QrM2VW_J zDR=pTdZ)D6`h0bad|CpDPl#-~0nMQfl9Q%R%V6{bE-SRHwk$>Q$kSY76fK=Oc5CTh zy>4lVSP3KRbES1>Rhs=8)w=t@6*Hd+6HHXT-cS#5TOnON@&b&h~{p@2#=^8N+ z#9IF4X-}+~OM^PQ&ni|cFgxZep($WwUCrfDsUb9RtgH+D_iJFo;Hvmi2K2}EzW^0% z9(ly48T^h{g7l?5%OUjCEKtX;Y8909N$iUgSs5E)MRBcV`-h%! zzlSxb&$7Q6;fCbv!ts1La)@Q-yq0#N7*i&WRra(hmF$Bo++dw2$iF~dke zkevL)1%$|Q0shkgsTyWVwW)$qV;1NYN+unrD)uNlLfT=Rf&7U;;BRuj^b6<#1-?Tm zX=I%zAK|J`R03Fl*V;_Ay%7q{|i%2WA@Ld2u|zqk#iu;RLd0rmc#!=9Dz49`zFYOk2eM0u);B{s)w z!yA+O@ppBXZ(0Wu@g5GZFws4O#qUbd-W3}(FAezPg}VuyY2;5!K?k2v z{t!s_M#ER`!5(5b>BUG?SXxco;5Kdr$fvEYTO<@yth=0QzDsihNAuc5k?wjZSL=!BV_w6DVz4L^-5sYdn_3bxb0cJ#EL-Wn*f@hUIgz5)~t`Jlt z>y}}FGQpk^&2r?FG@qr&*TBH~s=I^{E!55TJhekKwS{pJ;knTotts@N?Tvx!TW7BxN9ClgZpfaQSe2yF+HKBz`bAoevbD?UN zAf<<)uC*MXN1V-uQ=PjAZSAu=)wyjv$MSWdZ_@^h$@V1wM)lapF6wFY<||qJLMn?J zm!gA+;69DOSp|^&SWQt!ZZ9 z6f0wad@50CPrIs2b+;f=Q$JNP?C{5s3eSif=c!cr<`crAwK=Hi$T5y< zw7tB&f{-Io$?|tY0bn)Ffu`(!Cs}%FB}x||G9K*tL28raRfM`&tTGLYS7yJ^U#}n5 zP3LO-tqfSD!d5z{CRugoOdN3GDXe2UGj3z3CMT9X+H{MZ+Qdc)tx45 z7wEOY*UgyR%?IwM-{&izGT_;$UcUA#i5ScUYtu0im*=JuA>qSO@`;A zXx}pda!eIq?hWc$s@|7cP_m(B5Bznjwk|r$h(gxu^C-czu&~<4I8HYwdSb#cB{lIM z5&tbS`<=e^b7p*lPVIQ~E4t*?P#!~o{fXVG5C@sBu&xt!?*mIFfL#iR?wRO5;=2pQ z`f$ijb*SX|F!l52({03RUvvrAdRy~v)FsD8`IPDjf+NcyrXy0id>#DJLiDTO6a-28 z{QH2CZAZ>8g=%xlCABk({F@lQL5a@=0C}e%4>ww0w{|XJIQn-hr4z#y=Vtj*36N}O z>sV(7Slb$%C8<5BbZ+%I%*2q{L)<9DiGW`83;T@c(%6QYUsTyf1<V)e1m&cNN>kOzIujbR327J_Mp*wRrai0p_!Zu>i@T`=YG79d&cr@ z2%P7AXcIw3KEiOAc>A7mW);6 zN@sG>Xs=~$^W1@Fc~<6@8L(yx(iUpr?^H`18w$&flpbOc9^7^X-x9m`C97-RyS<1D z>928pe^KuC5f+sOY7AkjR*89UKs^3|r=Ik2J*e-LlFlIHa8n^0gnG9Y)UYKa^*#7b zuKp|u1Zu0p+gg(_+DeHmVjU%?bG;kL*~E$tbv4svAg?8?@2M`)+di8MK||l>6$#Ct zz&+H+xcXP%a_6DjrN$1vyv10rEA-&5e-JcFOA1p41`Y!`%Ys$O-T@m58Ud@-U@tYP zjnnImw;=)LD4r6xb+1^``|(99fvWn!vUqG*=NS`UZk3?Z!lUP9iJvSmv|zLm0^^Bu zcDBQ+9Yv3QEPAbhuh9JEM2^bzw1(C~Gn4+9L+?ogR#Mv)ZuM zif8krtpYn#rZXk-meJa0Xy*>{KYzlBag0A(b=`gPVC}kiS>izGVweJR6Dgwg*!l4e zhXyoG11gMc;9DPUDfk}ND!gOEW}M-p48Q$x_Z&F>?T0l^O6-H0H2?ylRG&#FDi5A! z78mSt!sz@*kPAHsT^zb^Og2XU)Fx4AR5|uUp6CKDzt87Ot`Y)(MIS;VCqUM^JEIO= zw9(j4PuG`O9&} z#gKxJof+lhGLxt$hzs=&Vw$*(sKl4bzR0`OcuFs=1N&@K9Y?oUiiDZWl8fS6e;WRL zr~PT74s01e3~ZdX3~6fkPhs)pM{&lOf0#6l`$#+r%U7woboZ}_BA@(+p1%;yR1f4+ zLm&4d-^sFIqtLr_XkY_I9L^aG_kSbq0_ndIXGrsJ#ARO~0hH;gfwZKh_k;aUFJU(aT?L zALPRl=YR1b*@4n6+Yb#sJpM4v!k;aWhvd~~*rV2Z9<{lB7_A0Kt|)}7ks--&wH76e!M2D4J@NH9&JcJguH9mclE1u9@(pg4`Q2Fe8q ztYa3?duYO4zRg?my_cs@F=tNQK3~)6BB`pFa-HoS7xqVW!7By4OeGJ2-MZJY6K8kD z`uSzD!*+q`1Y7?f>*DvqA+)k!+ZSMUQ0#4fy)UHmu140U98SOD5MGtit^J_t$rVL2 zvd1R+k^F|bZSfZ%_t`WfG8R}!JRnRi=1$O!r^8v)7r-a~8}cvc7|@=?>a87TBfe#T z2t~qOc^3ioL6%gi_-DDK2DS4E{;yzVgaZLA z7H0WFaT|rRlh+zX%$5lu$bX(M;jbN$;_=`V4qP6XXR_nky%!)%W@i@ zrr^NC?Q-#}q_^cH+xSWp+re-_Hkl{(?!5alGyL+0SLzrWaUQf;-+ncsJ>Sq)-<(<; z*WDA0_4u`KKjglf<@ogqKWdu&7(`^esaxKW{krh9*>i4D;Ry5twH}Te|0Zik&@8Y< zU~*aQ@DB=WvFO=ZbT3?CkMNKk@{XcG9mA#Wu?1A=YS&%DatW%qJ!+&@x-E$elXVlm zNSb=k+WNNnCgr;+4KNZWzPI-!X~UzH5j%l8QJo!E4lIj2O*unPrY(*N{7&*0y&U3cW2u2dJ_1LXUH) z9xZtp#sIm;w_SCLexcumeY?#gaT^?}75aIl7k-HrMcs)_U88u&8(AF5=xJW>l}FjS z6u1>0wV>!La3EUqBa>EOC*Cy%B4bmL=Xm3VbMu*R`g(`_M!v}pk>9^R8hphRpnOU) zB>aA^v{1jsXN=={SHhpN03Tvbf2l7`d>#hlUIBopWMngs%CUxh$WlE1MIqk-CwEdq zp$q+9d$;R%#VY5`rbUw$=mF!hkCEuE`O}>UQ z4jG&H5px5#zC*e2qa%%3*^1%fhFBcik+O!0FDJZii&J$t)jX+TG;y$eL`1c9o8^?m zjA}W(K{Tt7^q7jyi_#bSm}$=?beYho3q^Gg3gUehV#<#gb87x0M?#PY>{nH#@SAPHo*FvP0ISVRO8 zahpXRcu~qYs?@oZ-prDJSrnj#Xm3Y2#`5>>`=R!hVay?a9!}J2d=Yr~OjVP^Chr;B zX+^KTaqtzH;HZxe%gtM??d?StQc4Ak>is~dU&fh++IlsryZF01>poe^)b3<&7rS1wu<&#- zYvTd}WV0L+c~y*t4Fsn&wXN~^P2fsb#qK7SIU+Ei1|TQtJ5c0G(CBVrcuj6-dK7q< zP%URW`_)W2PmMN^^EkaeeQj8v_(v#9 z>b=tLyBnIYeFc(+2=}&v{<)c<3pMB1L7clWk)}V9 z%1b84y>o*X1|bDFm*3087A5$NwAA zN*_7&)Hm}oOU$~OYCWF#lw#qk_7bLUbKeQM+ctb|+RX5;E(?T`=3~pZXDoeJ>}_IO z#ec$CFJkY?I_eb8H%uJj&S?QecSq#No!>S89MIzVYqLB$u6q#_4yfVOQ(pA0%0oVU zZzcd(DOvMcmMC8i9of3HSW_SqM8kyVH|F?lm@C^$8HD?7h%;vh4VB}+(|bUly$+wk zFteK?CAEmKA=U=kD*i|CQ7X0HBpJYTt!e}`;=y<7&Nt&)ukYd;t0~*IUAO|3c)#+y z-)I|3>sd@=xZbwYLPyFVJ7N_jnh&AA*K9)%2oLBoGpbuU>*kqGAg5GbyQ0l;l;!{` z*qms>s0r%`5ZIL#^6jJ)%DOSmnv*7->y|zWXWKt=f~r1A_+hl#J!bH2G}FwQXDQ|+ z?p3##kTsQqufE`1eKF`X5|jj$r5UKqsWR|zM%9%)ZjgNtWg0yzcuXvOx8jWY_>CyEsjWW2xwDUY3;k$*>T> zh;7^3mKm%KsFTY!HJvCp^|Zb&c2nvFqJwo{GdhZHOSk1{`g((v2Gw{q(ee-Sweyio zY|b1<*y+`Ja}l+Z)`r+hyprh_56d1P>! zv#0>)9}K*vm>WoZg2_V0K~G)Tylz-wztYdY3akr zyem4OdVFeUd9B{OK?@C3@X~EAy)UgcQ@5G1vzHrSC1Nw($43rS&L7fO5=ay7!<~hr zJ+bS{ev+tcG-Jb^pvO@MWqj0BIc6TeZ~Gapki!^>8^|5`v3MymzBeCp&agL5&7@^4H!NCJezlwxqT>7|9k zDMCMTq9Z~sh(X|FsR2DgPPiZ-yuW)O6^Z>+h9(sBh9hy%GC(g^V?vu{r;dEmLa z)#t9_ly=>Bw&k=8KU@_lzT5+FOf4$+UUV0Zd&fDMv*3 z-TDL>!Y+&1=aEs;({`F0o0Z(6LFahDqV0@k^@>L8reXic>V!ghhW_+KU$i|QgPX#D z1-&u-K~ox39iR|l&J>XE_)7{?aieYED;KfdPF=*Lgo}bpsLhKmS*sKD4a&r?o=4nP zv9B68G{Dh-7Pz3+2f9ZA)Wuy8#%w?3W)^sG+U(Gg5~z{S4BLCHR^K@x1`E;HxQElH zHxz5-gqyYC6sqpS#mU0Xu|*(aUFl1loimQuY4<}~l&Hvgw+uD9U4O08ZH%T+DIPeV zm(*gxRS>y0nWqZha&SVLXoLwrQ3uUdBd;CT{{K&@>Y$c_#X%Y47rTiD8#?2^N~mrU z#H#HV$SJYS&Ia2e!&yi&i?wB%Y03eJv(y{Dul*l>0jp&;(Eo>&L{orD=5q5_xynYS zKT}QM!*-;Ar9>RYnkD>8lDNKu>|Xa-ogF`fN-b{5F40EMpW2rvO;DgFs)jw6;2&|8 z1OpC(o}u-o^KZ@VzNhm2hqnBY0kmaH2W<4g*$aqqS_eY5g)!*YC?*9as%Z zobPCWX94qjJMc=Mv#s^9O{@gLa^eH~cq-h>*5Q9!tl)o)t4?9fh9b!6PQf%0#M=d__`lGr5Ux3{l$3nD9S~N`VTiw(Dq3NsR zntI>&Ra6iJBm^V{q@+X%sUaaDQlfMt(jZ+62|=Vqx+Ek-X-0!|Gn$c;96eyb7#rJn z@6YS^`)kjhbGFy(ob#M>?)$o~`@VYWt*oL)viC#0*lerY zDrfNBqNW1TQTtcNIUgX8cLT7>vX?!_{hzp5^|yBb#s7}Am4<|6TSc+_H%U^cQqIV? z(#Vm9qO&~^{yw>K`N)ld?&sk6%W-0Z;S`cgWLGqX*e|MIhLu+&OKhRn9%oxB-k8E)l zr_waYtpDg5#p5VYU5YzjgM2R5P+6VU?|29@N^NYII#SrpQ!}R(%yV?O?$ZJw0rNk- zZZ3t^bx}?TOFVpeOs7neq6E?=--8xUuU^fxd2RyDR{yFY3(}RpX8D^2WKoyv?^ygU zxY&YB=@)n)Cd^mXpJaQ-axKF;)boF;Qr&m2tsc^9=V3mV1^C?V4lAiCLrGD90pL7GvuK+u?tWl~mg^hu;PM z3b7P3ws+m|mV&DP|3M%3+h-ny3B%TZCMt>+zRqUGjc&wxcJmfdE2&fdu*GC4n zF?3xT1&|y6jPjs{rwU?@Q6%-X-U)Q7%Yf~Zh@o(Il1`zItsJ*Z!MY!QK;j1GUhX53?6Vog ze|30omH{xp_f9thD>c)f^Z}8CIT=@-0cj9KqF=WBE={cZMlBlUpZQa;IIo!A``Ofk zqBg!O`}fqbp%?j*NfIK{VyRZ+*Cd-^k5puN+#it$1C(CT9B8j88bl+IGtZ#*c1_fP zcg*gXHHa!?xrI(h{+=OW=sktfS5mgJN5!#b*$Z+wN%igdeJHZ>r5N`HgD8{DLZg{9A)aYLT(!%JK3AH~nSA(b$PGgwZsmwfCp0=TEPl`%eS@ zqYCz4=Z+~%kP<#n1$dr>Jx+kzRGh!a7 zci&ohKX-h0QG70`xn{HNzocdAPYqv)w#AJ=%WJRExSpfbHhPj=EeX@5W*GDoBAh)u zgXh3DzD^%$_tKwcsXx&-qbWsve7wf{HGTm95oV!o$S7FwZaOd`F@4N42$_q#~c zGEOnm`yi!Ou%dxPeCK?S&`@aU?V@JS;?J@#TkGTp5Zg z(hAX}KJeUm`Gh%)^Idl?%HF0O|A}kIU1gUF+Ch#IYv{*u-#Nusudd}4G%l#flBCrU zCu8BHkolkN{ao@+OR1&UH%~^xx!E;>1afj_C_4nW&@69jSb`o+$VRvd>hS*cCD46d zNSeI*lk_A`2$=&CLb-M23tG_%w6k`FG485Q>RXxfT}_?cQVtHz97?l`?Mt#i)h!Cl z$?rO~ZSu{@d#(I0;UK++WM87}-;i_Wo3!)9pPrcuPjGpdz#2G!;vb6V zdEQVYw{L1#azEtB@NkZyBHEnL05jIpZ54}9{IW&BN(z8 zl|Zf(C93}^!L%jqpez%uraW6eA~U03b&M53-V`| z7}DPVX7{5OPHsXiCB-xr6J`%^bBt|-_MdW|z9iDb^M5gYf6S1(a&5~{Y` zAdk2&F&S7b(=T2f?Z?73edTxZj4m9*238{JTK_S%y&S(ycjJ&| z90B}7>QLvy>rru35q?}h2%Pi3?Z~-feCfW@JJDq195?OhK(vLvj1t=DF@&bTXsO|E z56!3!DgA>?{7akM!1}p7tyh=)?eO!mC*2z3f0AcGcq=QGrZhmN=*`1CouU*3<`5}5 zf3S=d4FX#_VDJ6!kVr$fIE4-|sCvT|MmH8~u$+m=LeBL9q=UBRK)P0gt|OqjsDOii z)l@6*p5`F$b*cSyw#(y}bjyn?5aL*HT};00f}1#hv7MbI8 zc|giBH+{H|P4_ySO9c}@oB5to8UhlAB9!_Wn-)o>1xXP7X0Df$l|LpE=KfT^IJX5P zeM}}al$O2&i3JMHG(|_6Kz5@}upINUPZP8p6TW_%b^@!Muf7BC87yTaxJH$`1d6q# z1;zS$6s)EVM2f^Db!a^P1%SkTVKgPR2055q^?LgA7}7{XKA&T>?jM8R^)b$V+pSH= zuilo(F<*_E@a7n2yw&gf>{SkSbnh`B=(z}llNq&joV04uiESotX)!_mxbxD2 zbXqG2#uZ-~-+l$W0)mzEKNL1{>D9C=0~^&Bvz=&2KyN&(b;E$p<{Zn^d+PLcM`Hl+ z8=WQ8f%_eDbGGga`_w%l@HY$W)0C(vQtGU{?(;P0Lvz^kn=6&x8yD<4^+#rmRD{EW zv@emylvQJSarEyF*sCRQPfjE#{Yd6U9|hI*nNL~n?!5F;uX|0UDXhRf9IRO60xx>^ zkNdAB_Ia3aV)Lcs&uC%`S6}+>RFV3+{uM9T&JsjW!2p!~;UVDctR?C#V1?gy?Ecz8 z9Hkd08MjW6%xW_9h02BcB)_s;387o_?X`63tUAh~)r>%X9}oJNYW3Q{qQu}Xj))^p z#+_Fc_X@UJP0#NC>hRzhgFGw;hdOaSWKC`Nd<%CL2^5`aeTxEyzuz=U&Qxh)jC9=_z_ZD~ zE~4hFp@F@n>TyGzSOFA4C|cDRj2sc5_mEJ`4Q{`+v!vGTH#mkLRr9d-WE3j$(lbUx zRgp}+F=J+**T#T6222>*@x~qv=Z+kHR>C*8ff|-UPx4=w3+%xsT-4T$|9D!`a6#?Q z@$(e0TXk#?llh~kQ41ewRdNN&PjD8*H)J=2^L&YU_fq-B-~#>1)SJYQ@6>+fL`2GJ zOXQ9Jz9;bp@Va|4s7TSy{!&5tAWxK+C&iCyrxACrP9W7%g|JbCzHQ61`Fczr}5ny!b%W@=T-+Yqb`oJD?A3* zBw*HWS~jI7OP;ZvG|nQ*IQ;lb{it1bHo;%{zg(Iemh{XzO(F)bzQgUB^61TZF@_{^ z75vJLx{Zs4G#h#_)f369k&F}S*2`Jai*|>bDs3JZ$Y_~+X{hT~Y97u3X$>Zn`^hwnmGcyWN@kZ&|X~iHuWG?i8`{Rx{i0VF_N!F*6Y{n`fZ0EqK%@RWG3|W?u(F#d|3y6 zR{0!t3K)4~ve_-`;zrNc?rdA@&HsK~;y;su-%%H*g4NCGU-5(qa&>!0np>II#zqZFNzZT6kRqlBS|*go-gv{ z7Q^iVCWDko@K0oGTJOd@#QteN=)+Jrf*0mC$qe|g^*DVi4D!Z`z&3d4et*DwDC4b* zAC#fX;L%=r5o|`SR(>>pO{VtQn+Id)BiR6%9S%=M(FJ3+ldOIYEu_F;q-zp2L~oN8 z))^wu8*@-gH-Gea5-C_?o4ov}_ziW|l|F-!A~(*~EiMV&t?iVTEf`|@ASLO!D4aDY zRt}oLn4u+{CfYX;WWZ~#{i(G@*KMY>#lES=yk!q)Q~X$}W>drGGr*i6>*9G-!5E}b z_T1VRS~#7(fz~!Gs~6@@FnZ8?@~?IJL?PKM#hMQw!!SA*{ekTyB555hS8tQY1F9%U zCq&qF;u>ql^74;UX-QQ(n~Z7T)->i0ka^g1;`hs23VH6PHTZ#J*%porJ<{*9HJw<8(wBdEE5B9iAb-7iE1EcN!&p;=@+gWUJIlvMA}WA zH1(&`=Xq9aUBZ@=E$Q2otE4D|E^Y^;{Z0tt_q!}Am@~4YeQD=QU7tPzs{6 z2Umfzr>d5K!9G~mbYcI#l?m;^l13@VKOwH$p=|20~2m;f(O`S5y8nd_K zJ~w$kI!@a9)g;EnraENMZoYrI&ILO#Lf{V*=_4eAM4+qhS48oRB%LQ`@3T50vuwts zI>P)A5bX<27NPc*(;>YK zDs+MTdd*xaXLIbQeX^NvTv8E#<3x<>pUruZIz4IjrWfft%5R{w(Jz?zbF!Q+z-zU9 z$E(srW1&NXXPv)~oxjS@$uB0up55G|*cb1?hFQEoS?*w0)%Nl9j23CKG0)WTy)2ybZ)hVzvi_CSdbBX`&~#B2~HL1xcm8%Jw=+g-_gA0HiYczPH_0&EAd=3Ob(`A z13!t~s5~eQcgl(oMTq9XQWFlA{-D^~@>U9ub$Pi8=>Ks)c2DKcZ21zY(M=Lf6KGTp zk$pR3XEvOk(Fe)>{}t|gb--EIy_Uoi8hV7DXCfpA*F~p>Pl8(cpg_`2q&mc5jpa+b zeWz4u(wy$5!V2kaL)v$CU|p0XmXm_y$JS8Lodd}>84@NHVdZdK?Bo7=~BIQ`{- zYZ$=1U29FzH^`-yfc~`r6id2Q+qL}BIMalnNLD z%z;9w_IVG~vZUubt$G}JjcC`*F=X%pAuB@gvWWDl^w%7gDOwc!#`&dN*C1O)WJLkh|{Okk`<#Dy3KC z&M=^UMZG+6uCJqVQB>5{pt`qkna5I%{N*?d6hEw;-ie=otRm+mzZE#eEDiX(A0N_y zv-L)u^=T?-DBZE5&Ioo={8%^>xXXA>89 zm*QRZsE)K3U;Xt+W$;oVj=L*7m#$I_V6^(zW?0rS>4FoU6>2xeK^unafFUhM%5qMo z#Vn_{h?^U}IiaD4a|Q}VB6%+OBdSc-O@UF`(mf`ItaaHTFq|E}^plV?)4Tjz`^TJT z?=`O+iVzD?fwk6*>?*P%Qn{WePoMpzha2nX>{t@_`K)Gs7f)7RfpZ_1&`{MX(_y#$iG7*qG=IdmFs z0m-=zmxHY6d#74&%LC_ttIt08*f@po=Kg&cA_&iBVp^BwWZL`Q=~>FMe{H@>RRDBT z#8%D3s~o_#rlgAz7%1&#$5aW1PZDlbnZ9cAGZK?J;Qj6PwDpRnX)l8a5W-Z^S7GDE zB;X!^Zr`g<#Xl2f?nZF8tvpm}q?y+1Lf3AvyUP{92I*yraxk`$;hkV-B01b?THbF5 zC!vi9y;RafyllyCcUG$CIOTAbD{@%KW0i-;I$VL9v0Rt0tES${nxEVZ7BfQM6)hRd zb#AMTr3hs|I;sKIU4Baps%MNmlAZ2wHh<2Ur7XMSYei1+Hno;lwZw0!f@ga1N#UaP zJ1pWER6d}CEMca89~Lc!RoR>S*q zJ$oj>v)cRC`3d;J`|jJSdl*;w`@)&`03M66>hk3t_(l#x`6bJRx2TsovbWn4a1%P) zQLlXJ;Ry7yf;a7=?Z`Ff(2&-auE3isUZFJbfLq;C;5@(PYlqql;R%-|31xR7`!BJU zg3yfa6Lvye;{sDBq5BK`J z>2{CbI_27L<@2uDiZbfN&yQ2QMHHw zhJj3jon+NdosK|4-{bDTvkg_pfd|mF_nL+Ic1IJNk3@hMqTYR*_wm#HhHLoM^=1lT z!+#~(Cb7}V9ZBq2?w&2Kh=MGZNh*}WRIAjaeO_XK5m}S0r*ozrwDl}=^H1m&CpRjA zaDm-Uh~do*goK^YUa_DMLx>tq%n6-up_162tDCV>1tRR?_T^PGAN#^5Xq1Cvd%fQF z{K;DX&fDoJQ+Ca!Bc~_Ji~ND(@VwBu*D4v2j0+hhcN-rm2PWsl=Gh5@4av3a>cqn*)uh2wDfzGuz9YJmm1HeF&wiHvSsQKCY*UMfh}cR_+)pN|DY&__!)hO^^WHac6yvlE>%avXcV*XJ17_Pkfu zhX?L(B_Pf3mJpu=UEZ2U8R*V#ey%>mjMMJjn8@vEYlumry_ctOC^3F1a)xUTGHY6* zu)Xj4?Cxp%Jpgk3Jqy=I;@_f;Cl*dX6#pmzqLBYya8~GpYbE8{y&2E-=}i1xF|mM zigpdmy2Jbr7}I@rSgO|67B_Ue8k{sjoL9Dbzb zq2(Ix$I~m2>oqj&m3d1ltV{(5CNNYOf8Qx`EurIt=V@Ct2i$J#+G0xwF42m&eMgKI zP@kJ~#o%pVo`XBM&i?Z*RvQKD+PdO}J!vehn`-CBDBOcb`Z;a#w3Wb@Kt9!tMuon2 z4STNZd1}q3YJUy4O{c4f$NYcaf>^qV>I+923B-Ki4C>5U!uN^}A95ZR2f zJ1|pF>0?HbZ>_o6skJ|KoX62q!#O?$@jEwjBm!vsb03$W=4u;z$#=dwLXxJYY>ox3 ztPs;F%gZro#m-2FhTvlo{6NGv^y#$Gqq(6u?X zI&Bz+1WsL)xn9-=KpKm?|M|r%EcFvT7+M-ZbB|F9?r+EXz9DR6HdNuWppT`5iMN%^ zuj4C?l`ku8(<|n8QmwA$y@FRW!Qpc=2Rl*0OH)0Ij_=HbU}t|0ElfEBafbnsJ9z)N zXMNu?f7Z|btbLF`*n6{y^W9J-Pq}n?P#?ck7{8!=Qrlpjka&}vgh2%!J-=8w^yblJ zHp{ra9})?gf1=Qjq4ly{s#lIg3 zrujd~SzUbI$$Z+_O*{`)cK?SzS~}oSe)Zr>3GVOXu92yu*ptvcY_bFUDcHus&qQmV z*0MhCCw%XO3(m%4Il&oDu&P|;Bv&is*lwoj;3#cQ8~NPQ_kcJJ&{>SKI@}>VW)-h< z&BqM#`ShOC-}O54RhFkCa$|&KxtY^p-P44`%caS%a1SSUjm?0XftPT|@XpiSE$qll z;uw+~z<#_q(q(nu7S2oO&HR`_-!EhFMCRPk-;(J`GyL|EV?>)|fhrpfY4etkADGTo z3{yQ>{q9hDErbHMPGR0t!tC z8%OLFd)w#&fWHjDRt-!EQlzQ+i4d-E7}`9!cC6aLd>nc;mpUaO9@0FA zdWU;)+pXn8S(;tLgJS!Xua-)?zAhS^yzg3-$XsDo@`5GvvUhlm{SeosLQ}sw@r}4D zWBt_n6Omm&pi0lYoh-6iqy$}6s9X~CrbG46+wX35Tf9onwX571Urti+tyQuPp@;!9 zPog`DpFPR``zR)L)0~52jgqxThSON9pGLW%^yvDsQvxmaxkW=CajQDe_i6i<{{y!r ziJ##JTNF!Rh6x(KACQc|3mlsQL6 zFZHp-E6vUKF8(5$rzPxfD$C#*@tGGOL&)uA+bwc3ET~~;_k^y>cgg?KMh+bO72dmd ztB1IFvc6tIuFY|HMv==A!&RpBLwupn`8h=T`$r8m1zN2Q4i3dd@g$kR=c2VV_boeb z13o9+S)wYhISeBN-Wcg;Q%1ryr#j;=m=xaANP18S4*U*#a9Ur@imGKr2+^gXmQUHhrVjNQoyGz%L{+ z1a);*seWM*AGab;1wwqP_Su%uCsOXroi3{w%Aw}s&gCi61Z=<6msi zu8T|DVlh6J?8k}2&(&l(HKA=Vvr?+ci2&)bT>>c0rcQo?2aPq z^moYiyS;-=mi!)fpJkPLh5uP={Yvs(UOS_Pr+L=xJVXieFrPzoD{@oK_~YU*-K7Hm zj2l&yE*_0Bx?YMkYMH=XtF)KE7)(|g6^-Q!B>J$Qepw$e#giK|6utKX6=%~DebRpV z9*y&8oAX@Y$`SNk@pNCP24eX4Z(jCbq!2e=2%uyh?w z@KJzF@BN#|E%9#O4hQJq#ezsn81`%%n0tK^7(9x64qtF=h^EOUl46F1Dd6ainvCK~ z3$J44kYCH)GfSEG(`^F8M)(6PwL@4*)C>DHkdSY?@E)_AId6#r&IHN@q(RG$6Y_v) zHs7WF(Mj8v_z6gNh{LH?-z%c~qsufM-2IFS0&dy9spIp>B|x|`3hME8_?P=5g`o(- zTpCSW#o^++j)rqj;!|IMR%?PvZa}{Mn!V4;=i)h&Eb^Mt&2R-z`=axdsT!N$QRZWk z;UsQk?&rRW`6czY^OaPv?x8_(@74D4bI(=%XtD(%vNiz?1&Kb%1>e$uhYV1z(E#Ms zv-ZR|w3_VlT(c~fZE&Axi35esBs~}f!Zfn83Zoy7LoQmsLo{I*SJNh$N}qyRFE=rW z0|dcifyg?1MR$QP0TaWY`YVQ@0OKL<<5H$v%kFaD#g~D3EACgBCs}UC+m%Zk+Uu`$ zhSOfl5c)#Bo66B&xu?=inBkj4sHq-lO=dVn zKqF>K!lM5K_p7>sYiPN6PrGfI6Emz{2uj>TUtYGBSt=~w&ce+4f-Pir5|#RhI4%xj zz~a!pN31}X*k)sug#ek-_dT82ccC7^am*ph&!&$Ji+;k=*u-u7RfBzfMr4o;mwvIb z9nSMBzHV((KmaGnw9wuG7xKgJ>3{~jh57h_j@&?&;8mwv$Gu6fdl&DLy*BqDXX~2t z;4^>aril$jEvPj#FF{%AIIS2w^PPquFm-QOqH}PZOAXkvOgyM_ziruP5BFH~tT|>& za0amFtRe*y)N7Y%GNuaKvNl~hX6M{4jl- z=Ad{k;aha`rD>=lKOH58D1>qpLFpxZ{JUZapk9^t{_OC{{c*k*=x?r03NcWdStFdq{L^xmm*7NEv`ypnLSdGc>>$s zh*IWu^iE)~oUFb1!h;It^LX;Jf3D1IQ^I=wnSM%&vXb&y(fz?y_yj_P++-m8kfZl~RxsVUvLedU=6ddV# zFk3U8lb`QRwLNZqXnv)#9*Z)((cR*MM1s0XctGRvH#l}pO1d*?Y+!2erAS*E>Fpyt zueZup2AzK{3p-px1QO<I zrn?<-jiIziwY56(c6vWE{9B|V)!>)~&X5z>%nQd$48mwOHFHPQfQ!I2Y zq17QAQB4zpqX8GiaaSxiBygT{UXKOIUp?4WIyzMdevN&NX2cJ;$DdFo^nHtK&WId9 zFT2J#WwAJLUlb^YKlVqBMH+uy9{ekkHz=l4WbV|7i<^TeT1JL#7>p*h%>B4gKQki!>1w1(if5mudrf-k znabUGirTm$#S06o;7@joW-KFYzCnv@{$3FWHt^4p`Bva8+wRJ=dJ$}kAaaxPBqr@a zj5-FFipfN!rG`vjH%~c!^V^t*@-9fPhx}Mk^Df1{>ultWbB^wI7nc3n^6bFKCTowF zoVzjZUa__&i(9@388`9nzep9^uY0?15}L#=05_|0c&^5ryQi5T3;+)*@q~PPnZ@R# za-WTeKT3ANWZid6OOw5#h?<@@X41m9vu>zbuK7Co+=yAszo^_1lA#ajfxNq}2rjwt zguM>jVeTyR6BVlt0)n*czkJFICfXE0kx51{Ed^T*V)3;n)(mnjOCe_Y2{+~Hh@sqZ z){osu&U@aR-2|oytjUeOTMGOB>H%f8RoL1{zjE;-hvPd(pwag)kn=iy9Xun*dcXr) zHWrS{9~J1=k$y3Vkv>nCN9&4_%gnZHB#Fm|Fa2YYIrk?--;-9Qbd>G!lKV7u)vzL+ zWhQ5$feZ}ZQO&;SqPWhy=pxe{AOHThn+ZGOGj&L7q}Z(I{lXZ~00}L6{s+5pk_v&a z*_uC?WwTv;;O`tk`a_dVQZ4fmP-lD8KtaJH?Im18bpI9|w7~W_cuRMRnGiuXSN$C_ zk?W60?*%$N$I5KUlpklY4sQDs{J6M8I`VZR55!}9Ge)aCio}P)vmvT1y4cB4d`umo zU=wlC)(4vPK;7KilBe6AYxAP!AZEM_EN~Yz5CMT6^~a6RVxje1N4ovEmn>w;OKZo| z40rd4Iq^`@YSitnFs`9T1L>vsdwOd34hYrHXQN&2T?OfpEnQ~=T4>{x?GxM-q=@Gq3pbQ#9d{}&$2tFOm#jgTwfM{ zBp$le_USGz#a8$wOW)@gQC>VttDN|U>j8AoFg*Er7KQozlcTe$L~^--fc@nYE?}rU z|GW2PTp#;e2`pb|Kxx{1B2Fzc%)wE|dq&nzF*?0^qs8H8ej34UcY;<~(e(lwl-6X> zAK6E3!#w*gUXN`Mj7YUIGOk4($m67)MKw*BG7^=`Q2MIQ>F&v{*y*u66zc{B3l@6@VCQ@M8e^X0Xg zUJ&C+n`OpkLi|+{IYh|$%jx*B*l60{RdQZ5%`1=_V3igT^lGC;DefmmWe4{T&bv1U z%v~~RKnQI?@?!8P@<@QW{&)MRPE*tWx?g%zq-O<}F~I(!b!Lk5Fh_vs_=KLqWq*ar;J20;v_ z9G!zx>< zdg}Pyt$ZgX+xLi=1a&O(YOHf@&z`qm!#@MzCdE$l0SgjSrNH*ptzqD05j`L59Kpk< z)YW_3AK&~PvRym;B>pt#%o0FY|1NabVbS*25{~uWgw*8j zR%3FGq3_3xOwDVbMk5V5`#|VHX}z1SYc?IxN*HCdkqk2UA*>ZPG`w?F!PzV#6vVY@ zI?H#{Qv2s7!lasNs60T6mAk|JF?ij6KFA~Xw;z4hUomqH|4yKfO>I}tW)f&s7tf2ee7NP_GLDosS_tJiSKEl@>CFUGLRML z$=09Q3i+1UnAG)d%j8&0@p1bz=IDnudGw}&AxU8Cv>VscD-&+}*V$SpUFR%mdEK>U zD&U%(Hg*i#@pS(*xJfiatndc01_7PWbW-~k^fVyv?}aNy8XPoWUMWXPy!f|g!yKma zywpDh#r7r?1l}x`R1FS)NFID5zWF@Z@f3mSoCeo0-+J^((v=WkTj%mH>G z1JSd>?5-?KTm03t(CSk{M}L*>R$;s!7*MhD2YZ2nf+G3JlAFS%LvSt)p0aCG{t<_Cx+X9-L)HP7(yP; z19hKI7KWf&PIJChBF5aGKM<$G6%;8qc^A!?TgOy2qaOMeQZu9cp2rMwl;bSlUq!A& zvJIZ*%-|hr>YAFD`A6Iv@5x!JW-fk|`vCqmO;`G?by;$DAvw@98q?i)8O(z3-#L)~ z&J|>-Pf^$=M$sPTXTFVSeW^=I`KtXPm6UgPZpjs8VA95k$5ruhXH`g33y-+9YN&01 zI@~OB`JU|_MdZcW>4pFK!Tox)iK+Of)6wSd(Po!Ht;P1@YyypcfWLR3#aNI4e2jh; z{SBAc(UN@^ciK63I3_(HJpk69o0QhJGQ2HcsuxR?@{==?csV2=E3@IJ%;3qvxLZB7p%z4t)p8Vv$>nI!lG;{& z;aVUAoHJFjy+ANP;a0kEM&BuWE3htY{gsJnf6|od6fct26es3zV`y2i(3^QL_7k=_ z#RI1e*?d=mCBvUr)p)f2jtd>jsX%sK=*V<9QiGG-Ej4BO%-1CTDu_e2eVX}euRf%n zP&(|tl?Cm4@xah9pE0>S-pG@ttUXr!yh>j5^?#6b+}hQjh5?ApvDqlY-Ey2&6>R24 zhsUA4;w(1*kW<)|qp$f-^B-$yTl}6*0#KHHvG8ul>~3o-&4(k<#_ozx!WrnId7^j> zW#Rw<4GORDXD^?Om;`F%Am;rEV@#XYRss`2Y%B*~mOjj24|3`zq6`DN&!2lk@@okO z(5nl#TAt-9J-u9HF7guDL%9io1?M zLJpG|;;he=4gvx)5&y)OvyB(>h@`ih=Wp~dLFOqz#$dRdfNz?*_&{W9Cn|a#bmSj8 zN7wZ%C=_-6_W&54G6)fcQBmd(0;!6EO3-079ZpuG=m8a{8i?n4+P?Uz`hoo?x zLC59^AC3zDLkpC9#g`@y_>ghM7h%YUuvwZ#UKJi5gPsa>2THH)fsT`6D~Hpa)~m1P zD;JM*O4pW5vj@tm$O}w(T2nxcUy79=W|d$$V+&Fay>A&Ylb0` zc$U@)u?ITx;Wv8#jub?Wbwl;3D*0jLx7#0R70h1-hH>H=n`vZB;qJWq{gK>?IfrK5 zv(Z)NF563aOmW+sQq8@*`*hu@LK0_maAs#kFZ{dp`p6!MeyVoK*DVc zn%z6J!t-rKS$thp;`h4NuGqIfc7$h-W1{H%+ZpNq`d2+A^JQj*nnzrXS6TA9kr~{u ztz>*@*~b*Q%xJsE=KnGRG*HDxt!lc72=9}3O2|sE?uPz-A^@V1%}2iL>aG@zpnXzQ zXz!Uu{7Yb;`19Tu61$x$)r{kHv+VYWuhO~O!rvwRZ2xihLzH-=t+npnQ@*`EvRpjcvoae%ko#1{g5XFOeF!$XVaMhx%cNdD>HD=^P zP_el$TlDLJIp}EYW=oZ0Z=S&iO{mC}uZvb8jEPPJ?0@%$w&44ys~+;B*I{X^@n3Q| zvK4Svr~9twBg0kCm$(OO?OnUtKAh+yTExU)d1VTtGMZcs^(5SM0km8vHB?YN!88s2 z2CIHyerNOMBrW>g52VniyQ=aG@Ik)fv$;Jx$A-loH;rG4@%IZK9q4_Ik(Ai=Vc{UR!YiY*JyU(N^<>#i`&}M6_ z22YK=dejAdx?e-FIQArsO0jKu&1c|K+vxW00=)h?+b0wdw6`#UUCK8mRok zW}?WoYH2YaZQ1yz0h~?25VW@hf7L<3IpSyJ0gEk?m8pRP!<`~|BSIms=)kFpE~=Kn7)aCScc4OR*c9wCOKFZsGLo>gs*d) z+}pN$unoJW$~Dw&WtWbMiAP?vlTFf|J^J6WOT6l)U`qhyq`f2=Zd56H<8jikJECr% zPBW&u0Bp7_h1WYYc=xX&uN1nVPxgl>a81e0#b(fCm zSNSMdi+EceU=_dpL8`gqC7k>&&LP761Kf`eT5nse2#B=Mr6dul(t^}bqd*8HA&@`>FqeAR^ye5y(tIUgUBa&QVOK{(fG;Eo-bJ!0R zlAg8yhTEd1&u!ezQj@PtsrS;y{)S^BXN6Wm)ta!6(;{U>oE*hM4)lfp>^pl02c_N% zLY8g#NqFmjQohK6z*W61S$th3mI}XkmTvaO#}%W-M?E4$oc{6kUND$X>1M^x*KyCU zct6f`5#W8Ke5OzP?Cj56gk&R2E6NZyV>5ElkzB{#ZyT&Si{u zT=>OS>WOQguiQKng*Zg?(igm@oBbeIoas=PoO}o@t=&Y7F4*pt%m?keMa~+MeM(6488`ux7DU3l1m>Zu3B5SM=_YRB z0pa5bs$YyxZ@|1}>d)SsZZ8HQSV_`}(|?ow;P0T!3iF|BAiG0>ZP`?Z5uNsuS|d1R zFyR?_x8TJOHO0vsk!SB+BSd@)5*-VH8LdSy@DihYW;Iv|)@Ip59nIA(oHXeBlj{F| z9Oc3}y!HZa6n<@M;U95hqU8j`0)+2#`u_Xy;=bP=3?zfqbvvk>8omZsW1Odb+Tx3L z5)~qlKmV~5?zqJ1eL|U#%I1)2i_v>jttFneF6sig3tSZ49C)nyME?8U| zJPcrP5Rkexue%Gner`&us~zbz%-!k`5bQT_zYVqPE+4XKpyk@j%IGO#4!T~U?u_sR z7dd>kh$f~ViC_>hMMpn3`Of0DoZp{j{`%qg5w?VC3r5xP(aW0F;Lwy(r?x+bQ%+$3)dRGSNH! z>zyOS$AUt`i0zcdV|<;{YD)RZoC3(e;-w>p?Mb$MpISh&DsV@Q;XXH&c3?$QaJm}E zl%re?X&~1&@E8x$tQiwU{BFLqkJv|h}S z?ud;SDD@q`N@@*NWI(-CSG=Q*Dzf2Bx7>@}ZACrWll)fjQk0Ax%i%1Nz9ZHp_Ocu_ zbTITiTzD9%DR9`?%8Q-t7q(yR_E2CU9!anIj!EE zdyTf~aXzB#_iy24l>uQ+M*PD&eph{#4raO?K5m!j-2s%Xq5}Ofy=6(?X^95 zG86f-FQnkA8@buAXX8RBnEb)ro}?Ns;8v%b+AV&m=BB$@@W}(aNiT4F>vr4ix;zTx zAFzx4@3LT&hKTerB=bR`;|P~gW^CyfC_LI$AJ=|sRc@h8UXhE^1 zSz;|WeOcet+!3~~^sI~7Rn1>X(JH-(s?W$tu)Sw6&{-dIIpSQDK1~g;m+5~=A7Voq zy-0>64YK{^=u&;n`FcJJC5}mFu<@`3>Kd7}y=Vm?J)C2j&wEXUb{Ki1w}oQY=2k=F zD6(J2(fbz{+dqpCS%!|_3=MBIkI?6W+aAI22S-D>gXKXnv}M27@9EY(ccRw9nVtQ^ zuO2(U`D+tmyD5DT6Y2DTaHB&(k}B4Ld_Yj-(7CGk%%jHH`F{ISP^OOh?9D}lJD(0R z&Z>cNKQi7^>vnOGmb%%%JM3UqhWCEFZu3w6f5j=NHb{z1nBq>W?$AcpiWN>jA1B%0 z!J2$Nl2a>oY(QcOg0WpzzXyCZ{FiyCf6iLk={u$PO>P@9ec(lKrpWNH zAXR<0Mx5kt^SOR4>j|o7!k{{c5+S^b{gaPMX68_j3Yj{$5>5%Cs7ulO4t#nlTZ-?DCVLKf7P{)md5T25OT74qBhGM3X{ zF~*q8nxViuJQM-7fb5!|ZSu?rypJhW zBVYDB^sVVE6si@m3Xh@O#ufj`4JS;sJI!Zvo(ZfJr#Sp;OF<*Y;XX@@<59<rK#g^Zh+-hbu!Ee3A9y$d{=OYV zWBfFMk1wi*J}Tu{Rdxj<=}(l9`P6?J_Q> zUnj>mRd2)6%Er$eH7=dK7+92t4*7%H)v}prZp_;h;Su>kpPCbPXdXkmf5Yj5_1Or6 z!k|GOn9D9+*m~7wUK0ri&Y9A?t@g3-smmYz32aOlNQ7_0vE^pjjA1B+!&Ky8i4nBV z{Z7}TFEQYbzF^l47r%8zkc?KDz2eH#z=bXCAUq%a4al8e=P`UNA!Acx|35!t0{OlMMY}*ZfhLDWfWg$X zm8=tfaSJ*+6#l(NEZO&8-XLwlPH*|cek2@wXDgy+*-8~))2bhCF^J9>Y`WYW`L<V0;IfW*JfrI?S~>hZVsamIA#( zMKWsg1?431&tDS5hyHszU~PJSg_M|*2Jzj5jeG8^Swh{u`q*y9tFt9;H##`mR8oSj zstb?fXcFKgkZPjSRoi;yZ}2ff2epvbA)hSewQ=M6k8r;s;P@cx5HK@HoBNEiB-@^Gd;p&$Z_K zO)cYGo4PS|Q~F%-FIt>(ikp6nE#m@sbs0hzyT1^H*6?yK+9SXh|n>I7oTA9OA|-GQVV_Wqt38*=xl+EQ4TkP@Vfg_54+eq1~D^0mU@2f=gLf4WhJdA)ql zQq%C2tv0%?eQgeX@Wm^!Pe$m=>JmqY524D#O8K0!B}P66HR^{?)|gnMHTS$03dlwD zY>sN}i-+I5J*(yb^EZyV8M`^1e|I}0M?)>{O-H6yCPVq!?!jLi4i;e{eqxm`#dp&f zi0qF*JnaiwT%r`RY2+LxuaSo{%AGn!y3vTIWv-3N6)0DSCcLc8{Op5SSB`_@UNS%S z1(Hw8;M-+}{f>*hR2M(CvVWgaI-aECYvj8V04+pi%(vMw*$(qzrS+7lgN{CmuG+P! z83XqL!GWxi)4g|&>LVW!JJyNN^)s zBQ>(|RKIm|KwTJKX6XHFh!>ht3>l^kp{ojNZXWh@?h7EBr-qm3@b7xt_UFdkmX&`Y zIm1Wv0k5sRd=R-lg_+3|I9hQCA6Buf@lWr^0Nar-EGonrS9h5~XbY!y&V+(?y|p*G z>z|Xoqx7LMyPIk5s(WWizx)iC|Jwd=Mo`HE6Hu{1%|f57jeGm6^i0D-r@SMb?KX9E zlqX_iJApKvhPGZ&|MxapH8BQYjOju!JE`NSORC=&;G63nVH zDtg%Qou8}r%NJ)m7*GGKz8SPAef;9%V!mqfox($xmZ$URlu$vHo10OFm8&;%sy`V2 z@VZP9Qqft24Y59eGkT+fJ)2SY^+9=fDZ*ff1%Gs z!~svk)hLSndd6p|xSxemanNSA0UP`AbqTyF2z6;xY^E?H>IOw|U$~z&e9l$y6!>hd z-)XSR=JjRUw`BHt&cbNaXah=e2rb?x?6=eT4;hYtQz3juRQmK*9*7WojvA5 zLoQjhQn1g$Tqj)?4zC|wxwsAS$r(N{wH_EXuv0pb2mBP+^-|I@H;z-TIhz7;RuIH= z$U$O3biOtdxZ!^pw@!kSH0F5Mj_70B85xM?!2AsivdaWlof)8NyBW~&S$4^i^ihn{P#3T*IN&bzX?T9~w)OVqoHnd}m~=q=)UbPXet5McrLNsO?8H}laU9Si0J za0m6Oz)mNG{m@k|y4%)SF_`8)|8nkTQ6#c_U}2Hvc6| zt8h|)jepcDkCpD9E9Yg2@+S0;#U-2fDl6#8mJGs&roe!} z&Pv&+o~-8wt^~RB(Z7P+&+9nD#}W(f%SGKepflKjzkE}f$90l0#_aS2-q7?*LOSp`H30%@+sc`Oi{i+G)NW3wH=F zI~ML$*8Q=;azO#>3)gY4s*e#KGkECDs#6Q9cHF7()SoCko_r%7)%!9kIpW;H5rvVY zUo%A7dkga7h1$b~ishfZ&kTGYDT8w!YRwR{{6lK&D$EFA>3HHkSUM*K%w&CFjk(vU z)e{Bg%m0OvKF~Hz9|p#7s9W^kGjG(2L3|80L#5{yVPAg5roJuBL6kMfJ_3XM%cp+iolEor;)zsNn#3DF!lC#@4ZTwkIX)zJ#P z$bv}@Q^1vaGtMJ8zEHodq)|zw3`IZJqx2fEG`ONc(5w4Z;0vjZ^;~k;s4%|9fKIEO z6OJjkPk7pKr+S_Xm$1|nHR+)Ie(GGTnOn=hbz}@qER)(KO?B#!;&+g<)tmi+glJ42 zHjT^An<6y^=C9*^aXZ&#RrrJpY&a_fr(Or=fJoQDwIGrNI1w~^-qKl>R62f&6a%Qz zC>ejZF#gVQHo5L@VELxu!{_vlE|aiN{nU}u!J-5(qCRhx*88=7w=_LS9Da3;d^S^~ z+Hd2$gN*Hi)P!v(DV)i{Bk9S*k-X|myH@I^c>o|e|(kN(xpSZPA7}$a9^s zHNG9+AL(@HG&^aTvUeFtw&O?^ICXUSF0&lM&7-{-E=txMhDFQw6OYZR9c^8}{5m0k z17`+An+bTI-Z1C)R<4zaxz|Euhi2m%5cEXi4cv(_$TPJPs_53aBvMFlb*$fju_UT< z@{0AE>IALYST3CJc5G+jkc-o5>7GbKvy%+{{tNBbt)ES=fhE&t6Lw^$CDKb>%CP5S zdm&w+Qn&|tr$YKTfmQOtMqV1kal{^OB=f}^!F%_aZg0qXP@wD2G-0FE0b!Y5*t}$i| z#63wt+^V`%c99y4$BTQY%Z>>|MI%XQ0KMG$mQXqD<|^dtT9$;u)Xo4i(e73E+$Eys zGYU|$GZzQwyOR%$P1!1buO^I4|EVvB0r1kjIwY8jZZQSD0pjNg8(mBzc+Gj1c>-Rw zUQa4i?U)*lsP+J9^W+=cX=Zw^NN>f>T$ueAt1PxC6<0r|ZGE*QG^hEBw1I5z&9CE| zwmiKz(}@i(rhby#eYIy~G8PLjm6)pHh33U7c<})#*Y20|`?Jq~HNM4fc&p$bqmchD zLo(>VR%9u>3APLW^F^HcoX zJWEhh;>KPhqVZw^7~3wPB?Opgm54f8=|oG& zGHSEu5JV$PSLlww`k|Ix2P;u8q2uV4L@})|=->Jp@B8##bF_%0lNE9L=*P|Gpo;?(yGS;I+Yj-R`arl-5zo&N z0mjFrHVIm=?wIbxjKKQDz`1#QCjL82{N@P24&vA~Pt(v!T|hDITgJyGfOTJ5yTX zK0T@|`EE&LAQ=$#q1OQyb%^|&u?AdIb${{8O{gOO6rD zuo7ZBXmdJUU;tqO_v58=bucq**ESo|RuVcOUGrYB54MDQ%E{wcozb?rfT<@V;|*vg z_Rd%lWHg65p{s%|dzSO*NxceZ(|c1gPn~o?p{j9>2r89|b$s=he5 zQ^A=1X$awRTDW7M6PY0n8qBG>pY{BX>>g)sVc4`>j_k3&*^vrSF^fZrlMItSUoMwV!9N_3Af2BUvOh}r>Enw?D zjuyE4@9Zw|>qSQFZ2)L^$qD!T1Tb06$%ry&70lfIhTm~>Ua>XYg&NDM_w7(br9Oz1 ztpF>HS5WEeIKyCXEJ){I5EB@a_HAsu7>K~!subtPI7=^MRf2LNHsizVgigR(n9OO3 z)lqqfO^_upYRO?XFxUcv?%Xr>h(vv z2g*vmrN9(YU-)Hcf)U!WE%B(wVN)7rK&1&UhlsF{r+x1~?n!X@J#Xcbf#vYs0$tTx zPDbW}uoi3g!FedaDj3`UX*y122buaKOM0`RV_IoBmLzg1yexU)A|>Z?)Cp}Ph2k(; zLq3-)nJJ0YNMCAwBX$^q{X}XL*3}4VkH9`M=@<9c7)wfT*zMqXBfkf?9{e5YFFcw5770{DE0ZJGcz~gf3lk zhj|TJ(H+ZnZk+090ixZ1f;I)0J`ZovmxDp{`LMsy|Dc|S?NhZ_4zlb@pIb7{jn8{*7*o~j6w zs}==iG9}IfrW|xtZ{|o^%D|DUAI~7orF2{Ork8DHix{P?p2gtuYDu}Qd%m)tn~&$e`olBcq2n@_ z;xUP)Faxn23Zn{N^F>9@)jlFMk)+%a7MePk6QSu|eUSiirLa@c-g)(o#C;T#Zy}dpC#YCtN@)gx$ zi-t|dXGQdi4>ladNcWuZu0*V3r4SJR1+1|CL3U(ALa;HkSXGN~l@z})c5IN0L;^le zeM=9gnM`MOwYx0*w6`X^5l$|=az2ljjE>x$V6eevQYRxDqV79S0~_Re|Fg@1rH}J6 z_q!Rck>xwdTZeDC1GIyA(Z$DM9%ChS5y|)T2f(wP_O&I4k@HqwT9T08fhVCqq%|R% z)de+A(cJw}y-aShRCvkjj;J$7NR=b!$d;Q?eoPSzxKaJdFN9gea>6+fy}lhOy`6)# z{Nm8v+`Gc2IKs5rkGE7E!~@fipCj^FU0g!LCTy|Zcb!I}ZZyS#(DiezU61f13`6=+ zKCDOqj*_6(#2j+e`kkSQxgJSjI9irGNZ$x!mxxX#goqfY`-J-)3fHL49be|?9J8vb zS_`#TIMus!UhuXV!PowKxBOh2PYV#8Br#XzAjKd$Z<`$)a@Lb)sm_`ionrA(;ivi) zu$e-tK3iTPt5XseV|JbT5ma%$%^uE{SaresL@h_m&=qPrNQ9T3xI=1e5nnYe-+WR| zFRP?~0d4TJ@^6w9m93*m0-Odi=BFb;QAPxj7(^=OS`4D_|Kbr82UNCpj9n)h6LpG; z?=rtgxa$fzMdfw{@)9Urz5;S&sr>7h2XbXC7pPaMp)xcMSB*1GinX%rvN#*ed)#2u zzP?^j#=c_79~{cc%RjHCkI`(ODfzE+ds=SF=C=xup}%?NQb^{}?<1QGPBEcpBQpC@ zpDm67WHi2@k#A0fQ(La0%`@C#!eqkPQ1IdhclUvMi|uK2An8~!X&V_hO=E;My05zH z(obx3s}k|}c-^tcNN`{MSjiAdluURSDuCrB>6jLZ0=_P2kqMs+3k#&tDNFSu($;?| z_c!Fp8g7>trv*!#!=bu5W`*6fZdK8E7DiTzef8@DGT*_gez8dC+UlJSLtBeKsF752 zmexr;vae}zI;$kA1GxQgO*|si-Q;K$s%v?P^Ki*-MCf38v!!>hnYO!R_444Ce@>?2 zW1yZcn&$)9nQ1f#c~}rj;laebHy|BXkHsX%5DmS{W-w22n?p-+NBN34Zr7F#j@eX9 zRSfZgcWy&-$Qz3TUGRM09pgS|q+WU(-O$lG+Ce4G+eIa3)qYYG&50aVBesJFH0RatkI!lgWG@tGE5 z?k6!~kthRSjh(|XG8>4Woi0zNF>aAf7&=b~a4k6; z(kYok)y}nX&;@E~r>JJGtr`a>mFFq>76iqTO%yNvSS4rI%wi6T=qMts}tIq&gsffgsCRH zuTcl}8W;f@OB~{to3SMDX2$9%ta9dwc!ZU(V5eA7y?%~@45~=&9M=&SP+j6Ix|OtaL0j}*yv7_zFjBLdG~AR!c#3sk-MWVdHhaflkX7TB347DD$` z5pZ+oxoM`u&0scqG{~WLPNhSN&ntZ@GWs}y?>z?NGLRVA$0OR{@ZCA=XbrpE?{glu_$9^t;aEbZti`A9FEA)Qlj}v0zHL)3IPd z2=CCpO@Oh}d24I91jx5>_LY8sPXS)_zOpLY#-j^8-Zd9<_9`0$wfIf6q%YwtGivi{ zqa^LhRJ@Hd9i$>;ez)GBed#N*dCa|LuaiuiS0tKUEmiRsokSS)zpbPo0|O@g#UQ$Hvc^a)~pBRy#|-}bM7 zC98to-!X1A@epqv7mc~6koeKJl-Qk(y&g=%L9OEcUA{=r%SQ?j&-EITT%cvYp#U?& zK+Id`GQ8C6i{h&XWG5lpa$|2}f=dSrbNc|&;e|ee%XDq>d*7_>jxoDds`U*b(R9C` zc!!|S0hRqVyOgAsG@88)N98HAYp1tj(@$;yd?YTCDGG*$S~ z`H8)|*uCO>zZ$$wyNzji#vwA)11{>ROPH|#Q*H{b2XCNT<9hU83YY5RBSAb|0vn{7 zyGE7f!jJ8}teW3OiGO#JCT38rp>>Y32b3pkKupQgYM)MhfCTQ&WclIE9r}|N(t~*? z%t9#H2lf||FP}|&Dbv`QVz;O^?+{v(`H}TCdDFRytQv#9$}p}w_3gLD-XX?arsQGf zB|Sp@)9nX)hwph{CH=j4w$S8;RGtg3`xSrd>&rBb^>V&wh#HO&G_D-Gz4Fi9BOob}c-=OTrv!h!uGt1sE4e6C4(y6k$%mZ3*8(W4#H>QnY`ZNhQMN}zWd zPE41XHqZH3tw)vl4S5kbj4{`G#XNiXYJves>HX$0lDsYSs0t=Q0;_O8V*!mgzfX+24HSH9D8??*!RFC*P5cThayk&Dq z?(8c?9d(|%7>Fs}Aw_s_KjAZB>pfaIR;J4A@ZPRe@7dA|tqZPpQ9f9+->kD*FO*6& zxx%eidbQIfOMYzE&Y$WpP^X`T}^t6^x z0r)Bt8HR>QXvH|%O|}1{Ip*`zI4yzJW}inZMceMN0dhemDR0f!y#KU>J?C*jRJWE% zQzKP>Y{~+3{H&VzS6rx!GTr$?L$^EclJugEf8;!dkYd`#kIYFq`Tl!R-UD%D&p z&eCveCtqBAGd0vM&?53P>gQjLlV>Bp`p2Js1wyB3Xbjz+<0A)U(nB&0`Mk(L5;#%k z&SK62A9?L^bLH-LormA`yY#LA&^{6$g-JkG6K2f-^Ou$R@$p_Bp-HhhQU1eAVUYJo zodCYOi1n28??XTElH?0=XkrijFC>Ol-%4Aks*{*XX5Mo^y}&xOT`T$Whx5T0!-5G& zmEP-F(h4d37YX}|{}FX#rECFkA@F)(M2U6HV)+j@kFOL3gJ{Gt<0* z@CC-WetdgJINcB;K9L8zIc5G&H>sr}f~SQaeY3^#57Dhy37}W#rdnt#eI%PIUE1A# z$zp#&%7f=Kmn-ykR)wn537rqwB-ZRsm7;;PucmXe><8V4PJb&tV>{%UZ^MPV!o_V( zR%9s`pAD<207?TR_X=CmjW!Ck24wN-NoxHqLr+?z2gCD?)4LcCCWu(F+rNn!+wQ*;PK6ITW4E$jA}Y=;ATL`I!BQ{-1hsy<{x?Hfy&zP!6t46 zY(oK6{w+-p_d<1MBCJoTr?I+#O(EP3RQzob*@&Tb^$;h~a%3C=N@#W^K0cJBa!JCn ztKj>1XJY(5!m;-pXR__=ogcRasG(mVHXZ^E(x%9W4h9SFR2enFv6B zHUA3e3phpb$?02sklyV)P{|XmAs2s=R8VC(g;pc9y*r6d3?4-HxkdhWO{E?ZqF92v z!tQg%LDdg^`F2;zXQa9V+^*{u6`r@)W3ufRUyruwgIxaqiYr!-U!Q&%*tlO|T*vcd zYo=+M=QLr@siWx{6%Lx^hu(*aCg|P3TyUkGUI}lP@iA=8rpnAqwbx3o1yq3kM=rUR z;3S1f>w3YZo`k{01-KS)jJ_Z4U7Hgn5~4Qjy4(Xi#|7 zl2?&~rmqh6-m#3N0|ZG<9&2}rWnq1qU!YLNAwD+ijNB}l@blFl1O6RB;z5W2_YTid zyafe{lFQzIxw9#!1l&)#Sh+p$44J&sUVFW!$4mcM*YsXW#-C3%OF1Vsa22A;KACOmCPjJE!8^zGpQJ8)3e|XqXotVMG2xe`qr8n9g%r zu!&Fh&JRGCOD7B!wtftVXu|QXN7>OoyqKO%Y0YVBs6fQP!PhyZbyn#fxo*WjlcZC> zG;PPLxlarcpuI}n;oefjseb|b+kjbt1CTWoJW98)zyS)GP{gk3hYac#=0rPJhp?%f zU@*MM}IrU&`x4RD2kKyZHYuFj8kww;z7T>T)M+uZ%!H76;@4f-samZ52Wu7 zcVr&+SFe_PcHN3@k2OnROmLj(fBxlBt$Z&O;3A3XcjhDcP&2P(4>0#a1AynEP@6X2}B<5od)@4Op`CJjYlh zEi%)Fm@3%VPS#Ecj>;L-7^q0+E*{KL3<~8@#+$7^R9GI>)+}85Fh$eOZjv}RBVW^_ z3Pi-+lAq72S36rahYknm@FhP>#jJ{Irz7^j@kt00hRdiKjlM) znXF^Nc~tBClh((R>4!Hoss$@A7(n&bZq`@mxVN6+AA?+pDqTj2pZ zD0vq7;5VgM2gkLOkYNWla1L$*Oj)+1en78M*jXW0X}NyA3%?xEYd3ti(c7=2-ObQ7 z^^|%B=1hmwc?W(jPC6}o^7-wt-mh~jamR;A6B1_E+mVzbY#kC8w|@sI}G< zNCy||b^l7Wj+o$H)ETEnovj@!VUfi}Z`G8r{7pH3$+>N@=f4C>ypRnLhZG{hwNnT4tuul(Ulx2fmIyZ4Zm@PEdx&a-M@zX<%J zF(HFsQ#I%GuW`^z_^CPNk;!B^Cq2oYyJR`SuBrPKar)t~S|-KOa4Pkjt@)DsprKo@ zA(f{-N+@XEh|&3IGdLfGJnEvsZcAV0q1}E>ia1In0gj8mFQZ#*A^iIE8?*5*-CsE? z;W^m+G|}cPC(84;P?y_Z;D>Cmsvg(bql${Jj(eW-S8Wk(0*wga@c0$S=`4)esB@R* zIXssDaVVqpzsP7giM0c^up$42%K3i$-IH}OO_@gMvI%CL@a)E$)L7iaUq-evl8Sy% zJ{xKi;RyMc{}@(K+uDi2FS3DUD368*6uj=Ox}>OCl+WWA-5TRqfAT3g7NYQrIegC} z3Rj1!!+kgmwBJQ5^Za-3ChX!V8p#EzS-269*0ZC_bo@^N-=q9=@RHq5!H>DzZ9hM0 za2p89+hzvWOPs%naqjZvBb;*0It{*FI~_A50d4_N`D#;7t*nPo_>{4%%*b$ukcZ<< zIbMbne()+uovUPMcxWpExN;-&a1ZI}59uoBg1B=@ zmUV*<%I$L4<`+N81rk#2{($UCIiD%7sw4(^-qOfDd~}jtbk{r^#$`4oWu|7laH(tD zoIg}aJMGiASu}0PW<|CSFW#qnsS3(7p*R(ZMApo0fJ(R;76Hy^@iEAU>7!$Hh>JjN zmiO$_eM-aUaaS>7xcln&t|Uy=6O*;qMNWiK(Y` zysj~`w**^K@Xy76A1zj%&n9s_Tx);GS;-|X*qU!RNJ9nV5B>f+VQtp-x%54rFP`ZsSVBNX6c#fP>lh3K5v!UGIl6SZRG*f4+Ir7X`$8SuY5R* zU4z|knW~+GlpuVj7c{=k(QSRxSS&(%E$CCiVOs-Dy$RBqu2YDs=J$?rn~s_BA)>tQx+{QNSwbxBz98GGh1P9Ev#m@HyFJbL>lJY=mOH4#Pwv8BBx;k zH%y$D$0&4FXHltcQ0WIPpKLcxI=HVjJ%T$WMwr7tCC*>LM0QmR%Jmrb-dHjAWZD~j zeI}gt@%deoB`c%b;S6$j7BDU<3YoGBVKPR%UbBq7=LF+u4|@ixkQKYIJ?-dmQC~-T zL-Gdfs9evX@F*oLDpxrIta7t{L6B^^JH19GjIm%<8ML_&nIIQREx(E$F4wDAG|>O; z4nRlTd3FlvS;a6Eue(2l1xCm+b(EeO!i^T~( zR-4BGH5OU$Pj1jCAkJUMEObc?K<0pWo$Ab1&brN%Cp3e}0(o2ts6{Jg=(;*kiC{eP zbX7qpb;Jq$0iyd9FIrMN=e(}3`>S=fR)M)6Sv@;^cz&jfB)u<)B#S%`J0_xtZ;tv! znt)z{fsliwGft&-b2FebJr{L*14`On*klD%_zz~?hgxD5I%DqT|6&EmFIwmvNv&bN zb?VsK+x?=0t-0>BN3MY-j);6zm{BueV?iPqYfu>^SiTV7AWUyQJ9zKF=kaRbp1ZyT z&KVsrgTJ8xJRtj0ac4WsuYp)X;(<=MDtrC-BuSKU>X`e1&@ZqvV`NpjM6!RZDmLYU7bM%y!=w1pBS`yV8ua&Irl z|1KT91pH{0O!j@?%%bm!7r&oicI$y|#;gB>tTtDfK3p0#3PYD5H9RKX4Msi*(M1-2 zb?`XLQi|c8kdJgWZXRj%=L~(Vdga0MDA>qV6PZP+{jc@yq@-F8*7`E(QKEYx7e zA(mL)p4xWGH?uVjeaHfW-Jmynd9Igwb+d@5DSxjectW>RM(zK+W7a)IYHTV^YQFZl zA3J)WY>d>{fwN$_-#TCysHXdAr*(eDLOlmpgdrXmGDZR#w+6Wu0%RLfTYxi$J0E?U zxYnjN5k0TMoCXhj-;y*WvCUBCcP4}vWrH&Sm4r?YG7kOvsYh|O$ggZ#7Zi1wEa?fa0H3F#5fF06tMHj`PJY_6BExY{7EvQfrr?W1R=mN%$PDiYgsYA6 zQOS5JV@kFu6WiCidvh2oep;Yu>tp!B!=UIeTH^-NT@+aybYv?{1xZdb5|o0L#jVk z-J4B<1i2o8lXnykDzSWCCZ5EWN9)MchNHOu@j~J7#{6rTS~*B2s0np;4xwfph=mH0 zoUQ~+M82e(nj~X@pt$IBXP6G5p5^;u$7Ah}4I*D<)C~U4=^$`reT?`=M&Lu8Z!b7@ z@5i(RoT~L=&ogJOkQoway=>4r$j>Tz{^Q~l-m$Q|65Z6cEPnkw{A~+2T!T%5D(YGi z(DtB3hb#D+`1UQC=4@Q4fKo*7yqv~^e!bh??i~^~2hTb($7Py})7xM;lfxvMM9SKz zBe$-nNUP84U$#cR9<4}K`Ve^UGPk?P`m+8L^l>+|X0G~*#W)2&-Xi z)mO*g8vc5j6z2+mvF3An8&nX048%;d{pOie51JjR^+j9>Z`FQ2uXx6`m{ED~XwN2# z@VBXmXV}hHBrJtX;>byn;Vu$p(o}?R=UYy8-my|BfKA8wifB(_;YCZN`TD~Wr`iM$ zQVrR!y@50DEK(RSVbGK3*W{*Xc?S4PM@6wqOl}xs)$B2DEF1LUW9p8I(q&p`3gV#* zm6!GTV9E0`G-ot-{NH7K-e@PUNV>?U`&lo%`}m9}Q`;wHnXmiNtYzh&n%+yQq)G*f zX;D=qcPB*3nP2d#|J*h)1DpNQ3yG8)y9V6!B%%P~d2@oMX$EIBYaQLD>da03l&EX76zFUX z`Kb5NCnhcLWK)hAWj|!uB{VnGDhL_7`+(|z{5dz50l8m#dUcVZBh$E~p#8PT z_ImqC*mV$+Hquh~lamuKzLFOzzu|3nzL%l-I%r!oZ$7lQ6lX8lThOUc5*E5Tb9D-i zd&S+Bp5Li}Z7!HPRhdetCIZ7GNyMey!npRW&Cm&dn zeLgvHSMTmMfT1`VnMO4e>4TIgXKy?z+tyQ(nbsIWdXA7pZB)Vd-i5XhQjC@@*{mMe ziy1Pd2V-hFDRp&6!Jn$olu^nPyd)DmJe9tXxAt1MQE=N)Fi0yG(;X9WOQJOtyhc$X4s)1iXdD}!na zV@y7dVTkSe&14?5cPYUfxDu)%hJ5NG! zF|+`lFK9*Y6wlBUh*#;6Y%Z9h(5M1lbaoV?K|XLj*N~mvK1S?dMP(Qc!^*?T`RL#u zRtv3ej!JL~EyeZ--x^HWZbL>ZuaPt<|Igw_VUty^vszQ=XFJf5G#r?k^uFIj{(>DwZUqK@t++0^CcHu%)FFkd zhP-sV0hqn`V-MY4PH8oKA+!pSEs$;qPgKA4Qywcf0)QSs?h_RAUhc8f_)^dtkS4n&hO`d&F^K~!lE(|meo!y${hPv%NA zWkknL+ng)8|88NRE;R{I6^I2wEuqOs@kO18o`z^6Nnk!$??uN1 zDFQJXl@&DsGEih))yALU@FN(9D=*M*9gWH|yek2TRf~sU1GFf>^LYFau)1U2Ynj6s ziCXO#iVsgD+`zUnOOCR)TIusq8l~?KtLG)>wSNB7OQa?O!PD5v4W59W+I=mWr^rJ6 z>Id*ooZ#dQhIul@#?el^(%tSE_nl;SFdL<8WA5>siEdHBjqy~#HbEnts-rc`c)EAn z09@z>4p57pN03^$+7GFY9pj?cwUu~TCUKUfl()VLJ10~imz5{_m0e>Oe58_L4z%Hs7@Z>o%dUCXKvE}Iy)VipWz|zJz z3$jD{b4fbIK-!Az=!Y>cnuL6s)+t%vjV9`Qb9|!cmQcKaKum9vd1wS%{__GWXGtUzd1n^1kG=OCh$EgtM%SIta%4L8(5fJ~Co z3x3IcFq?Nk?z@+dm<=M9$EoN0?oj)RzM*z?_Xt1PYDJ8o2gQX=b~`a4}a z5gTp(in~Ot3OF8NHd1vW7Ff4L{w)kAheiI$#XmPdzY2|`pi-EfSKS}v+zTDc-3Rm>HftR@j`j5dv*)$X^wc5_d6Le0sPYR&b2#Gb26D z+U(6|o+n_)#qHz+KY};=YDX(CpN-1_%uLe9VpW?0Xyi;wlyjnk1`ovY<$oNAeSt)k zw)N%y5>bDt?KGH5I@S*iO;HD%8#r50=_>f-^Xf~vJG|z!2~s|O3}&vC_U#BXxOFJ) zaO*H|Ej5r`2JI@ib%>oKZ<D?T|gBYDoSietnn9C-aixf=wx3iU~K z$*1FU2|&zN09}1%Zw@v#|7xAPJ6uLj-Y*tkN`X83JZ0buq>JLG5GSF?`4al|(ejZO z`X$e1_&Rgh%&`=fcO1DQsdwd<$sRS*SXKkzv{$TU)&8`;;eeUc<&t9{#BUJep4zH% z^bmx*Gd{Ja+Kwi%u7r*C5s$hL?x__{ZRJ~I%1p^Y8s+4o{_{$fUad^|(w$y8HZPFC zV>QWWETda(pYoF^{B8y5tYX+OVp61VULjiScyks%YkDU3bj$JA$-|;u?ae6HV#XXm zjv2O=k$^F}|36kl>eg-WG+yTWII$>_^!J$#5Pivz4f(^npN;Bvucux2>r-D9=}`Ai zB!`}|$4$LG|L^iFz?UbBOjpB&WCZLX>?@)U7e6LbVyc^}ORcl_SH zgeTC<`UyMqS?13b0@0K0{X5=>3y+_+a&>HmvDsxJlTUDxAG=)|(mdMeD%HGeMv_{> zH1h1Ek#RjxS>$E{v&hja@fhwDb)O&^O}s~dc7Ujf7ucT8!8crK7l?=G9Yon zbP~|w*1wn_+<}Xn&^-IR=_0doO;l%%uL#x*c0!+UiZU|t9rB_X$=@ZElPckYU{{aM zUIlVPPf`%foI`NCZNq9M4SNX;4R091s-`roUi$W8wcBEwzXm&%!@EUL4bO_x7%q#m zZKEhW*(V?uE^i#Snvw+@a~KuVcB!5=#y`OO)-EVq<8K{qLQ4uf7UP(qeiIvp#+~5K zSf_aYf9pRTK-^xvhy%a++3I|m%DVB6s-Go8DE__Kb}3|~HOY#dIa>~?{|=lQh$wl9dnw<`|HCRT9e$FKwH`P>vfla2uVS$+3{=|o8%^eF)WmF=o|4(c z=}4<21_StI3C_760`#*Y?1y?qZZV{hb~Yq!HdJ!<{05f3E>vp2a%D2#nu&o#%t$^= zvn+(Ic9UJ^pxtOiquFpsq_-KyNi}w1Sat?sF7FW{&4=3JgF)e!PZK0URM4R^H%gzxUp!lEY#qc@e1F}E`)#Eq9uT<-+?f?rd3`&)3OR=xU* z<_8|yIoZ{I@RF484nw_YwbHvEQ6&qa-X?p`Z{)N|U|u4Ay=#5)W$G>D$+4#Z=4I0_ z&2;OQpo%rnW4ePZIg%Gssb=*DF-ysaLm^;cuKT;KbuFFo?9>k<2FfixVTi#_YP~VN zi%!Wp>IQd;@j$Fxka&mtS8^ovlO<(nnZY9&UtQho6oTIgjdxW{85Yy~j5#`k# zZUm1%Y$&i+<`lCtYwVxThf%Zd^_1G1WFrWBE0ga7PzuVuWoue*8v1zpL= z{K{q^VQEabdEL83)vlW8<_xGqkAx^8&w|GhwQghMLerU%z&taRgD(f(z*4>A1qcw8 zgLrE>LkU5R>TNJo9Csw9!aL=Z)!NTkKzdtV*xest-%%D`dKWUnzQ|W=0rkuLpyq)Y zLkiHIaHqDtn6(L#*^EDyBIv(q`{!7y6~G2CTscI^b@)$>xUc ze{?$XnLX!=`nzpV{!>%tkp4ij+*Spu{S7E1G3O9nwlGmdn1HL{l)R$I` zLON-dXSRAS0am})E+z>f7$5_?NqMtCwFVTAyIS5@1BG+jR%uJFYF{(EDAIV+1b>~1 ztp)Jz&p|adUs+UqSZ%m@2=+bmGH_h{-&LHDEQc#t=O{S! zQnG`7%h$i6sDmFzm!bIuH487oz;?q`8~epSleMo@-jyFfhG5oRX%)os-<6gD+4Ini zecwN(E1#`%7(5Oif7&0RpJ5cV^NL2t$0!$WkAxROL|MX}gyuSJ;Hw$L_%_YH*$_jN zVi$)Nt^O-3V5d@Ks;+v{r)t(_##yfZKeG)eZPZJgv}$9}N^NQCG(b3zZ|m;pzOSmK zc4=*r3?>N=O9chnf5DnnA|U-!=dy@ZJyWso`nP-kU8N=XdNHOs2&j)rJq~m#u8A@} zfI86LmDEe@8QT3&d>?9M=bM3x!wN9LvZ$E1H?prwKDj-fLri_5zLJojPeSitT5e!kSc-p4@&9!}LcXcQkk{aTGzJR`3xg4MDk#&)gJ0)DfB% z#-WmKFA*)?z!~14zkI%CX4e~S=*Tbbh>2<>UENQtTJ9|l6X;3iEVOuKx@N(~hl$9U z1D2hU_&}hIM)=Vwt3=<%w+%{t8)Jr-vO4Wqi~01NN}r{xAASGhg~XSp1PfFj&veck z+_QZ=?l{!vOtfm>Ei6;Jh~s*}nOBtcM*eNk11Gx*CqcWjtCHgqXPjI(oSg(t?a3bS zl5<^D)z9?htg7^~Diky1E@!(esE=K;F&$22@h4GxM6x|}@@!3s5O&aOpV^$mCeW~; z(##uyKN#-x$u|=+(r`!UlB&jalS-$)i836Qxc{+QBe&5;%-|BE7E;mfk}T!T%58ho z*f!4N>MX{c0`TWcRUYy!G2+R+_G`fLM|Vq9>R8tU(+|-@xe`s^l8A5hHGAjWxVa;o zHZKO;f4x?11{jc_UXT?zF0L7@Q;O6}+F7`^1R73k@!wzc3=!d}aQ@z*FZta;wQ=f{ zsVF2SqT7h4d8hM2`B0acmo?YN;#+|~84oxSl9{vVPZO3oik%aUaLKO42ZTot4E~`^ zbOm3(e17d>MWjMf>3cd|W^T#MIe?|th$BmcSX`cCU#*Z|q0r+pDto%J4BQdM&EMNg znUS#@u#GzWX|j{1oBTN5rD+l?(Q9oIjL3m$XxLu2bN&c0vW;24`>BecmF*kicktJx z7f*godkAH!nJ$R}I{oHHpSCNgrWcH)4h)+&O(Jn4`}aQoy*5?;?QbH8z0vT`)BAl> zJXs#>mt?#?6l4#rJgcb5_Hk6<)F>3p``Rhi9SeD>(`hX`;~32Kr%-kT9us1Dn1w3K zB(jM88V0`gF~9ZxS-}YFreV-{ME0XPqoh7crmp2brolefzvE0teLoL<5}dg_acwe- z|Ir5ydrrv$!hb$&w&xz)E{4G41pOeBw;`~bb?VRln60bblkja3-YDdqpBVY5>&-oA z`_P5);QXs|r}MtBv_d(v_xR7&wr&?Aa@~Q5WG4_h6{z(#LqX=LphGxKli6uxXIq!g zy5rHk^|I5X>;;v?1p}XMRoXDe>tL`8w>nq)ukt5VK5vEP45PlVE5%La@c-u_(K(`P zht&5qMukPO_2^T1ozt3MM7FS_J=<2Ud4TcN-xj)w#J?&io?NyQVfn8Mu6?wa*fM38 zIz!O=4Dq{|06&#d-q}^}msltK^QHj!QLe?ukk(4hMbmTg{)_rmk*lZ=x(-*bdpcaZ hZXr?s8~rO)4bz^qaA;k@n^h&e-Go2OkpFjQ{|^iFj_d#c diff --git a/1ano/isd/quartus-projects/Teste/db/Teste1.tmw_info b/1ano/isd/quartus-projects/Teste/db/Teste1.tmw_info deleted file mode 100644 index 1bd50f7..0000000 --- a/1ano/isd/quartus-projects/Teste/db/Teste1.tmw_info +++ /dev/null @@ -1,4 +0,0 @@ -start_full_compilation:s -start_assembler:s-start_full_compilation -start_timing_analyzer:s-start_full_compilation -start_eda_netlist_writer:s-start_full_compilation diff --git a/1ano/isd/quartus-projects/Teste/db/Teste1.vpr.ammdb b/1ano/isd/quartus-projects/Teste/db/Teste1.vpr.ammdb deleted file mode 100644 index 536a5979fff0fc0f242ba4caa4eac8ac5b7cc873..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 264 zcmWe(U|?9w%?KnJ7_x!T1W1Ra78Pga=P4K&=o#u6Dmay9=A*j)+Hfwi+E?-L})Ml#PM5EPlV~V`B(c1j~EOUKh3=H zhJE#sWc$!3j%^^r|NjRXo0O2kk&uvJF(LIsqo9W|<3tDZ)S-1W8eeCzI-A8s? j7#bEZuqHAr2@vS;{@i7J`EyXP$fL)`pS{lbKuiGuG3rs2 diff --git a/1ano/isd/quartus-projects/Teste/db/Teste1_partition_pins.json b/1ano/isd/quartus-projects/Teste/db/Teste1_partition_pins.json deleted file mode 100644 index 08eb5d2..0000000 --- a/1ano/isd/quartus-projects/Teste/db/Teste1_partition_pins.json +++ /dev/null @@ -1,29 +0,0 @@ -{ - "partitions" : [ - { - "name" : "Top", - "pins" : [ - { - "name" : "F", - "strict" : false - }, - { - "name" : "C", - "strict" : false - }, - { - "name" : "B", - "strict" : false - }, - { - "name" : "D", - "strict" : false - }, - { - "name" : "A", - "strict" : false - } - ] - } - ] -} \ No newline at end of file diff --git a/1ano/isd/quartus-projects/Teste/db/prev_cmp_Teste1.qmsg b/1ano/isd/quartus-projects/Teste/db/prev_cmp_Teste1.qmsg deleted file mode 100644 index 13103e0..0000000 --- a/1ano/isd/quartus-projects/Teste/db/prev_cmp_Teste1.qmsg +++ /dev/null @@ -1,12 +0,0 @@ -{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Design Software" 0 -1 1669986104537 ""} -{ "Info" "IQEXE_START_BANNER_PRODUCT" "Analysis & Synthesis Quartus Prime " "Running Quartus Prime Analysis & Synthesis" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 20.1.1 Build 720 11/11/2020 SJ Lite Edition " "Version 20.1.1 Build 720 11/11/2020 SJ Lite Edition" { } { } 0 0 "%1!s!" 0 0 "Design Software" 0 -1 1669986104537 ""} { "Info" "IQEXE_START_BANNER_TIME" "Fri Dec 2 13:01:44 2022 " "Processing started: Fri Dec 2 13:01:44 2022" { } { } 0 0 "Processing started: %1!s!" 0 0 "Design Software" 0 -1 1669986104537 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1669986104537 ""} -{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_map --read_settings_files=on --write_settings_files=off Teste1 -c Teste1 " "Command: quartus_map --read_settings_files=on --write_settings_files=off Teste1 -c Teste1" { } { } 0 0 "Command: %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1669986104537 ""} -{ "Warning" "WQCU_PARALLEL_USER_SHOULD_SPECIFY_NUM_PROC" "" "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." { } { } 0 18236 "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." 0 0 "Analysis & Synthesis" 0 -1 1669986104642 ""} -{ "Info" "IQCU_PARALLEL_AUTODETECT_MULTIPLE_PROCESSORS" "4 4 " "Parallel compilation is enabled and will use 4 of the 4 processors detected" { } { } 0 20030 "Parallel compilation is enabled and will use %1!i! of the %2!i! processors detected" 0 0 "Analysis & Synthesis" 0 -1 1669986104642 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "Teste1.bdf 1 1 " "Found 1 design units, including 1 entities, in source file Teste1.bdf" { { "Info" "ISGN_ENTITY_NAME" "1 Teste1 " "Found entity 1: Teste1" { } { { "Teste1.bdf" "" { Schematic "/home/tiagorg/repos/uaveiro-leci/1ano/isd/quartus-projects/Teste/Teste1.bdf" { } } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1669986110676 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1669986110676 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "Teste3.bdf 1 1 " "Found 1 design units, including 1 entities, in source file Teste3.bdf" { { "Info" "ISGN_ENTITY_NAME" "1 Teste3 " "Found entity 1: Teste3" { } { { "Teste3.bdf" "" { Schematic "/home/tiagorg/repos/uaveiro-leci/1ano/isd/quartus-projects/Teste/Teste3.bdf" { } } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1669986110677 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1669986110677 ""} -{ "Info" "ISGN_START_ELABORATION_TOP" "Teste3 " "Elaborating entity \"Teste3\" for the top level hierarchy" { } { } 0 12127 "Elaborating entity \"%1!s!\" for the top level hierarchy" 0 0 "Analysis & Synthesis" 0 -1 1669986110704 ""} -{ "Error" "EGDFX_NO_NAME_FOUND_FOR_BUS" "" "Can't find name for bus" { } { { "Teste3.bdf" "" { Schematic "/home/tiagorg/repos/uaveiro-leci/1ano/isd/quartus-projects/Teste/Teste3.bdf" { { 184 480 504 184 "" "" } { 176 352 448 176 "" "" } { 144 352 448 144 "" "" } { 160 480 480 184 "" "" } { 160 448 480 160 "" "" } { 117 448 461 160 "S\[2\]" "" } { 144 352 461 160 "S\[1\]" "" } { 160 440 453 187 "S\[0\]" "" } } } } } 0 275033 "Can't find name for bus" 0 0 "Analysis & Synthesis" 0 -1 1669986110705 ""} -{ "Error" "ESGN_TOP_HIER_ELABORATION_FAILURE" "" "Can't elaborate top-level user hierarchy" { } { } 0 12153 "Can't elaborate top-level user hierarchy" 0 0 "Analysis & Synthesis" 0 -1 1669986110705 ""} -{ "Error" "EQEXE_ERROR_COUNT" "Analysis & Synthesis 2 s 1 Quartus Prime " "Quartus Prime Analysis & Synthesis was unsuccessful. 2 errors, 1 warning" { { "Error" "EQEXE_END_PEAK_VSIZE_MEMORY" "352 " "Peak virtual memory: 352 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Design Software" 0 -1 1669986110731 ""} { "Error" "EQEXE_END_BANNER_TIME" "Fri Dec 2 13:01:50 2022 " "Processing ended: Fri Dec 2 13:01:50 2022" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Design Software" 0 -1 1669986110731 ""} { "Error" "EQEXE_ELAPSED_TIME" "00:00:06 " "Elapsed time: 00:00:06" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Design Software" 0 -1 1669986110731 ""} { "Error" "EQEXE_ELAPSED_CPU_TIME" "00:00:18 " "Total CPU time (on all processors): 00:00:18" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Design Software" 0 -1 1669986110731 ""} } { } 0 0 "%6!s! %1!s! was unsuccessful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Analysis & Synthesis" 0 -1 1669986110731 ""} -{ "Error" "EFLOW_ERROR_COUNT" "Full Compilation 4 s 1 " "Quartus Prime Full Compilation was unsuccessful. 4 errors, 1 warning" { } { } 0 293001 "Quartus Prime %1!s! was unsuccessful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Analysis & Synthesis" 0 -1 1669986110819 ""} diff --git a/1ano/isd/quartus-projects/Teste/incremental_db/README b/1ano/isd/quartus-projects/Teste/incremental_db/README deleted file mode 100644 index 9f62dcd..0000000 --- a/1ano/isd/quartus-projects/Teste/incremental_db/README +++ /dev/null @@ -1,11 +0,0 @@ -This folder contains data for incremental compilation. - -The compiled_partitions sub-folder contains previous compilation results for each partition. -As long as this folder is preserved, incremental compilation results from earlier compiles -can be re-used. To perform a clean compilation from source files for all partitions, both -the db and incremental_db folder should be removed. - -The imported_partitions sub-folder contains the last imported QXP for each imported partition. -As long as this folder is preserved, imported partitions will be automatically re-imported -when the db or incremental_db/compiled_partitions folders are removed. - diff --git a/1ano/isd/quartus-projects/Teste/incremental_db/compiled_partitions/Teste1.db_info b/1ano/isd/quartus-projects/Teste/incremental_db/compiled_partitions/Teste1.db_info deleted file mode 100644 index d96ea39..0000000 --- a/1ano/isd/quartus-projects/Teste/incremental_db/compiled_partitions/Teste1.db_info +++ /dev/null @@ -1,3 +0,0 @@ -Quartus_Version = Version 20.1.1 Build 720 11/11/2020 SJ Lite Edition -Version_Index = 520278016 -Creation_Time = Fri Dec 2 12:19:26 2022 diff --git a/1ano/isd/quartus-projects/Teste/incremental_db/compiled_partitions/Teste1.root_partition.cmp.ammdb b/1ano/isd/quartus-projects/Teste/incremental_db/compiled_partitions/Teste1.root_partition.cmp.ammdb deleted file mode 100644 index a217a549931a0acbac7475f1527f7db98b644cf9..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 236 zcmWe(U|?9w%?KnJ7_x!T1W1Ra78Pga=P4K&=o#u6Dmay9=AEz z#*7;o1gwAkI%eJWATCK!v0)G!%VQ7=3)og#9@{FBo)E97#7hv@u&BhX zS;viU5?Q&BMjDV+49}BC1=;mZ!a7qZb zR9BA--<|A)I<9Lo?T2QeUco-vBuu?4YhBXZ1r6%`=#s33&gs6l1fN99a55}UE8-`R zQtgg-9E~1H@}`7@rykSQ%OxCMubz#dQVNwRSopynLSR5Z-kCG*J?~`!m(!#l*oDbN z3W*XAu4Ovt>^y4w){;mD6Q})K#dB%rjX8-7It^sb?~_J1JZD%p$+1}c1cTc%@PwOD zDl5th*yIwFqgR#p*60oy%!Nrx1b0P&8T!z+xj`L7keNXtiRBGSD!+e2o^HP2^TlCS zHpX0&FQ7kkWXv<0XR(UZKCEJGo!__M+H+?~!GAH+FPBh+o8>lVGd3RbDAv7~o6Kv@ zMH0^1_p&`?iD-84^TfI3&pJ@_^Aw-jXJi7eQ0b9aCd{?`BXox$5Chqpwlh`mz~9|_ z<&!qeq6EK+@3t#a_q(9x_o-I1uKymgt~i4Y_D zJWJ*fv4xnc!=Rj}eWbQXTCLsKxIK6iRKrdbDqw=(j-D7ydNsk4{X^DMPI9QmKobDn zV?!H^1vbKg7Qs%iN;R&?+l%3Nb(_OjG*EBQ>L9r-6U1VwDQ#!f8uMHAU+!(sZAR^F zx1%2ssNFRn9ogAmZ|I&<4y@aallsg{@EdjIbB5{Fo(lksFigUFa=U#B)5muoN<_%y ze89NitK~9!!^2wi@B4g9dRrB+W7$oKW!8v995;Qi4i{Y#qn0CMNOs*=s4kqQg?He{;mkp+fI|VZj5nY*db*jB)tl+U_u=TjF zKBT_Vf&zVPGBTrx6%?h2Y3UQglX&-~1rkC(cN_-#NGFUhcpYsp5y>VuIdr3lXbIv( z;Aqv-KPA#8QNch#V5dkrG9_5;9$oapVq%`RSWhtG_Jr_W)Nl`6o1~uy=R>y-*X)B9 zHq@62@rt(oab<6BBwBmq(Rl*{HO<0#M$nfH$8uP$@b59jodVS1{m5iydi2>zdadA+ zU)Q5-rp5$!v?&G^KTs^IA05JQtp?W6!plv|bF;Ld%M8X~u`ko$5&vy;Nn@F5Tb+w3 znuRcsg8j$t-hJOvO8TWX>S1&P$s8-dH%n14Y@P{tjh}6M=}dDJyNY&iq`wqz0AB0N zw*8emNw=jGIA*Y!q3zg7Vy$YFR#Iq?uT7jIkbgMUCJyK6N%5@pYE0}XFpF!Xjdi*K z<~JWJN$Y6EWzfNc@wlYrVBvyKh9j!0da*{y6;*tlTB%2rGf~qe%=H+Cb%moYWub3k z&8q2(yh-+t>T_xB(w3F3&^ubEWM78lcZuTxUh*JGGnLw`lM!W&Tt!kBT2}I|{l-lL z>fX9TZ;@0*vdVJ6t@QZh+tbVJ$)dG;VzCw3l;J@BAf8&iZU;4O#tl&c;Q*fKA~M{b z2Lj9>!vRduO=S4hc8BowSRR`q3fX#9HaAnYrQJeA0-UvbszL%p?tc=D=A7d-+?J@l zK%^`bXCJ;wV?BN59Jb%|5Tv3+^6v20-;JH&DO%e52%*k9p5T{1_MCsAE$Cw9rOdg$ zwLu#HtHEk9Wh}KrmJ!b*mpSSGoWH*{N&bkptsM}%>rLbCIPaU8Sv??4v$CIOU1kGQ zF&-EB9=gVHPONjV*WO2?N`8|WJ_U7FR3YPp(25@ke}23lcR%p_RYjaI2tHmup4D2x zE_lZ$n>OF@w=qGTXh&%1VPzt2K&GJ;RP9;680_E>lKt0};&keE_nCH#D!EQ);Mx^} zKpExZ6&b-4*W($|PRL)BNfwW#fB}?Bu{p;r`?ho!d9gDFSgXD_V*he93u#>l;>a z9KK>d^JSJNZOfe(H{Cx4p3ExmT&4JH&UAri0Q~`mQIrXJSOYpVp}(~xmv6p zIH{ZMy8I$xHo+u+uS@s+5o`zXRZ(WOw0G&i*T)ljcB(Vi^Uqq=+(eLI#c-`B5Eenb hbpG^&w$H8ZHzTy=?1?40eOJH6ep*SPsrZ}c{sRlA@dE$= diff --git a/1ano/isd/quartus-projects/Teste/incremental_db/compiled_partitions/Teste1.root_partition.cmp.dfp b/1ano/isd/quartus-projects/Teste/incremental_db/compiled_partitions/Teste1.root_partition.cmp.dfp deleted file mode 100644 index b1c67d625638bb473b681fa4acb00be38889ece3..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 33 mcmZ3(&&U7*6?3%pJax1>&--+q_tkmeb=JGlkg@C*Cl3Idx(b*8 diff --git a/1ano/isd/quartus-projects/Teste/incremental_db/compiled_partitions/Teste1.root_partition.cmp.hdb b/1ano/isd/quartus-projects/Teste/incremental_db/compiled_partitions/Teste1.root_partition.cmp.hdb deleted file mode 100644 index 0cb5030d90cd3c21b37fac4260b7c50f5026eb31..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 10746 zcmV4R5H!?6F zF)=SOF)uPOGB6-hN+3*WbY&n#WNCD1Z*E^T0RR910040$00000002u20000000000 z008|F_XTvffFYW3lcPgF#dp%zr6C6l!UgiMo3I*?3amI6MXL$+e1 zO=_BMfMqKM3YJw|08s=%#eG8rRGuOtZh(jjQuXumxqLoEQ4yi<_q(}g=6~Js0}S7nt--ptE#98X>SeDEOe zI2yJy#Ii{RjTOYbc$<_ldtL(?oimvsLCce zicY0~rk;&BFt&PnEb{{78Z9CqsaGJ*LA(~R9g$pT(^)^vj)U2G@N{1XmSQbrobwv| zQ=u1`0Yg0ACuSOs3ae;XX5N(gEInG4XZj33o`{r-c`Efv9PT~K%gy|IE)teo8Z%7| ztfEcj-J_zWL_^@)hNeb~!z(67P9_~ulJ!&Dw{H)y-Uxz9*cOll1(L2*;|XMmVWUsW zJZ;;INHL7$LvjB^%1vuM;xiG;oaUmmZgT46O-M_M$;uLGM%G3%A#DW{R#q}$4Yf_p zQEbVQHPn-lL8UXL8Qm4l#eO?!!gFd*f`{ed-_?3!Q(kRa=8dVO{ z?6q%tlcIsa0l$(jasNWSqEUF2DjJq|7R_n@`|uwqi@HVG_0(I_`#g^dA+T?yUep)i z45qaAiI8ooUGbd@x(IwpE_vwMIf``cl*4wW9A6C7VK2lvk377RZIr=q7xF5QhM4Ww zY=2VnSM5waTUyiJcww1iUDC3hV`*+WHCNW7suW1W{wEy+Q%TEq#<`(-6iY$zV9LNW ziU)0$^`upSn14VI6OUa%kJKX%zexG(kI-4#R9ZrnVKQY0ZAJ_bv6nfD_C`9h9-&a0 zr=?XmgXW4r9A`j~iX2(PpyAj+x<-#G_>WwvYKg12&61kiCuz%E(d?B1ke^Mu=O4pYoKQ=m49G>LC z)9Bdh@slQst2eA1o?L;J)T8JbP9jbc!jw520_-pq*iAR0fTT2#*v7J&V2ajbw#_Ge z(660~bbMmN5CmcTF_l~I9oNBRXt$M%jVg*H*lltqeBq(l;BOBBe&aavLhQJaZ~ zGnJ0;W`H7&68RsBaATb!V=75iJ$tZY`51eRrptQ#WXzfu;7Pn(>=5MSkt{a~k8yQ>St-*(51>#81#WdP& zqS_FXrZ%!_iHY-tk`dRg>f`8C{ffW+Fg{M7 zFrBGfD?TPUsy)uWxO#9Lch#-}%skoNp}hPNk}i<*4>B zuPB_t63@Wv2^@W^$5*adF*H3s>5aDE`&U3ou@G@r>!m$ipLrO89Eo!g!@Y>AQur16 z=|z4iZpl3uyzuAoA!+2r@9FWC;}a*b`4^(=2~(~<#8>HP)TRBXRNGnUe8`Q8uJWUJ zvQF_;6N`4s@KgAT*ZmmMi0z0^=YvBI*@YM8I$z?W&$B z@v6+cDyzIo6^(7G_(tKC43&my;_6AqHc78&!}W@m^)lRx`NuVcVJl)g;?sFOowa|q z|7XYl&RYk#_B79#IrNt6ST%V-OW}K1$9R&tpFYY*20 zS_*eVZ=Mh`Q2vfye<o#`iD zepLQ8r64VZFG4Rqa>76!wbD!RIR^Sc#P)6Ajcn?@i2p$5c$_01k901|yy=c4wGELp z^y`hld<}6C@{)#Qm^2JGBR+yy2RhbuAbOYk6q6Gn`FKP!WF3_%5s*8}49t@t%L30{ zSy0`@I_6oogasXe_k7Vd5Rv*sMr8hh^bEwnvqkhaiNYa3v<rS`k}Gm zv7x%=(Yltg`nsl}%y467aeZc(L$V@pjFA+|o4E z)I2iM*fhMTp{1cIvv_$0b5!sOHP;RA#SC`r5vkWfrc|Ap|hwGY}nwl523_(`iDCtzVZm+dhL38ho2`+)h4XqtpJ~_2=;o5bO@F03tEhY*P zPU%=icI=FE)gz3iERC_VKtg|UOp@;$z6(Mq zE4WzB;gd=%F#eeh6rm_k-acm@DrBH@I0x7VBzzo^GC0;~FjLlZ9`QLg<|&(P3@oGQ zNhB{yR`Voo{`}s@5XV3nRFv@)wz0wwXCS3MJsll>O+_N%0_oA6iT@7pv)tfkyTQ+Q zgKq(x{^%*-Uu4jEZYvNDp5q-Yy>N~c_Bg51N8z6zE=PI*VrKE8rc85PL(AgDElrIL z3p35lix)3y&NOD~v0T+F@^BiqY1`M!+u;o$)+-f8OYS$K;NX${VQ^A-Ygzsq0Ou;R zB-@oOwB_2%Ey0}5f-u?wnXkN6mmwgz}5zgBi)hbjNj)Nc7#-n|E;YR??k(w)H zmvrS6&v05HzqL%!2M$~{I|jSD%C*59O;PiKORj3=(Ii*awhbdICMU+y`DN+qb)Zsy zcr*A!xKK4StuR5T@m9d8-ppPoQ8Hh_;f}H)Cz=%iMcD^}@5k(+AGe3z1Sk!%TR?wm z7F^*iVe+GH@V`0f{&y!d&x7=S13_dz{}T;t3#{&PlE(p`Km$*43q5_^*{*b3Pj_#= zD?5<4>$?4+yea zd~92`ulge&q11!E!IoqEC&`R%Eld6>kdC{OaQ@GHGm?yVq0Gs&n0d86LNy4BA7N*+Y-BhaPW+>ReR>obADVMs$VO8aT2PqvP!s z!duJCPqu)U(Wy0n^TG$GTDl`YAesVMo&9UUgS$^%dSZ0|BnmqGI^f;boAtg1i4GKn zb{lN)q7P329)GsiQBSpix0cmE%>piz-)I3Z3r}qZoQG<7BfBf#kHuQF1+uy!aITvU z=efbpcY|+ngJ0kVzt9c-E;smAH~2+v@QdBxm$<<%b%S4Kh6i@o*79t+98mim=QC!( zy1DvU3%JnzXGYxvR+o)VZ8IWuYX34hS}c-}TNo`==l%!|i8ct~vlp1*0jCMYUv7q0 zcuh#&7jW*)-MRMsU^g<6Ax?N5(D+Ms0Y^w^v`}8ao6PWl=B;IHGobX#g4iSe0J02B zwE&4ft<7=RMM<%uqYw8rjz0>GlX`>^sT(0j0&N)Daf06nDBEH$P{jd{0uX;zK0Z1r zR`_@cu$H#AnV}V47NhEiZt#ZyC;Rxpp%cCU&m6G5DYm0@=)-(;gB7~Y34J8ch7QxI zKH&y`ryKk%H~95#@E-w=zf`7vVbeikBnGlN=RM%c;KyJ<0d|A-L7@3eT7l=a32rP4 z`+foz4x{InM*ZW~w_lkB$3cH>hUz-)w}8`Y11I$IvYT*5Agg2XJEPe;^zVIieN#Z7 zDCp3Ca67{z=6pJ(gN6$Y|h zMaO%^!xn0Z{d&X#UN$PV32=_K1Pf4W8GcT3bQzr5TowB5K!DJD*+@RtawL`q4yJ6u z>bm(G-QavAKB;ukYpdXM!%T=<{XT3Dz0M5fSfIBVnU~>p(3o|0W91g04JPY^{~TBx z;c1VDb@+!Y#ETC5GvEnr7p{BMLb!n6<#uHJZ8!McZt(B8!S4ZF?|3y)IAmp*OeXjg zVkyZFS>(}eiLPfTEYdUF;Aa9(WfIIaA^a>i;b#NRZv_wb=hE5!bYHG>uq)e_&Rad9 zvI)%ifl{AYZ(mPmU$(nDU+7Ht=B=JAJ_j6mL#KZrTWHVrwF|=oS&#+SGBKYw+wu?+ z&IJ!XSlJI-x^n4!ceXPpngiJiT}117Xml89TL9I^r*K#9!=)zr+!LDe!KSRt(9@YRT;KS~7cgEty>bW_<6ZH{0KzUzSVv z=lb$?XN2zoC$4h&0=}hO@SY$obmTh+`;t9F+FI^|_kyGMgh<8g@(Af8PUste z=9Zf;Wf>W0px;K76XOkKKr>6-&EQP6R? z3>qz|GXpq3y%0NI^w?sywz?kR;HV4z~jp`;BiY0czgvs9QNB=0p}ua zx8L68Cj53c_*dQFUvqkfeFcDoboZvy>Vu0a(Sy0eA!V4=S^*Ou?d=i1Z# z`A*z86eB;7t=PO<%guMXIp=Gd!B7E(hV~Fzzu#c z;QZ2JzQBh`2GR*!Ahfs-Te61UNof~{T;P#%|;3oW3!1)?_>Asd&f&y7;7`I@aX4Zjo z>I!_Hl`PWY3w|e~f_J_7>vks<8YPZv<8?7Kb3Z^0ysv708&ttcCPsQDRIzMu)uH_9e|3%32uDs(oAzpb7Ngo zi%_T{OEc4=*0lFYncG?4akP%5DmV48d%jN??=3lp1D+7Dxq)YNmk=rYrO zDD{+X=bp%&U%jzW{f;P)KTSJgob=MJn0$B}cSb3b9#f&TJ0_P|+96}RCQfK+mrRT- z?Uad;rQI?yvb19+MwWKX#K_XlnHX8xJ@p;B1^W~RyZ4(HYAo37o(hYUmjubOzHVV= zVeBCqrz)u!#ioD~zHEcY8|nVv2<>r22{N#_a8}zOa zUY4EWJBJQ%{#pw!2#l>?H90k1932*{16iF8r-RZ#hfRP}hqsLsC)Z4`S~DFGED9Am zh@b4Z0CYTIpW#qkKE7gXwSWe)6@0`m1Y8124s^b2*xA*CpSr*+Jp(+L>JRV`g$j0} z?JzXXN4fvld~XqNLFNiMzRy$WETWw6C@yX9Dt7m@dzbG8ERfalU*KQ=wEV8{LLZ*w zd%i-qQ*cO5?GlW9fj$*zhhcM?8Lrj}Z#fl`+t5JCo$)UTC@9U7q1}frYipC$G9L%c zp#lEJw^j-{NiXwp*iK)prlaDZz4p-007{Lk{>>0!%4dP4-}RrBk5l?{PUz1AZ9gvC zVKOy8vVh+@5lf6P4%s-(3+5>m2kik=uXY@=*GJBq7gVxbibKB1tS(ieKNy*pq0bH8 zZ-&PyK4=eJW)D5V9{Of`=zrNm|2rX6oT|MQQ2eDb=~b~?Xgh~l zpOeEz<^wFm_&PD6=7Q&tJ#-jQUQ#IZ3>0w;&@Q+JvH`6{%eW(c!d`WrB+!5HyK$V- z?=TD1Eds0E;A;S12)+3;7P;fwa=iom@th_3g7@Mx4!|&g@@?r{m-o3O|6RY>qp>&} z*P4}#gRV0}b?#a32H)TYKLv0eU9X;=S~D`eW@=0f)<8DS`g6?k+#|`a4eOdz{eU1=?XG{K5_Xup4}v1-um)Kl~DDQrpD^o!?l%m1v}o zBPJYit!4N>k#*304kl$%cv*Pr8E)|B0#4I2R?mn(&k6l}U-kMrE8#D9vwUAS_L7qC zdrT`@EO3VaZCE5|R@CK!D^cm4UFGmGxLo|zH!4TGibD6)S5aB1yrht5=4JSr6Z-2; z=sTRy|Ko)Ih7WyH^mm=m-*Yqk`%c1t z=!Cx434Nav`hK7d<3%m3pbUgMG~nD%`;6Ud5JjaN;K#_6^EQKB6U49X++1# zU4;hQ(L808s?&M35gn)VG#V^t(Hd)^Ywd-uvxly?hi= zg>^&mbTb?jk!#c$);gbQjXcX5c{Y%iGiQ?z?Ha6j7euYDposL*IcVSmBlwzh`(PVB z^_J_a?w7k1W2Bqy#h+^rJvL+Q|GHv?&V0QqGH z>@C(B-wLGVLDg;6LT|Sh`c*Sjcd&e)wa)jO1%o1TdH9Jv^dWobFYKWY+e5ecP|JHA ze*|2=8S8rYFJ`@U@V}bjpor|^$0GHXcS_=rkJ}4<7L2i+yUzyHv{30>wU;m2vYVgd zi`QM@(userBmQ~5`kKe2b>g3IBfhnKK=1-zd=l@88*48Coa!Y%YS_mYtg$<8oW2xL zml5*{vuN7H!>g!6to^DGAE){&fhF&Ff9WK@3XRlA|D)YH4hN#q#dY(5C;y=Sa&f7U z-U@O*92|*OtxPM>Vyqo%M8|o30g#rfQ=J)Fp|dck)eMhQdMTh}9dxze?VVag4bWrE zLdiI8e1FUh{&6!r&g4hzp|3*!Ch6kVvLj!e5Gsb`d_d{SD*H$XJt(2jvbw2*6GDZ) zuT2OQQeO`!USCQbmv0|fT1b4UuchWRwf}-NUgVrHrPWO?V(Nf&}KmG#>Lsz$W7MBbCMy& zID8mL+j;kY$)t*r^azmn(~V!<1`khy4DRnyJ3HI!ozM+V=r$i+-y|Fz z$m+b_?IgS4gdX+LcJCaF`DnWzU04A$W~=#*R(PN+@#lDgH`K)oRyoX&S3qp{1V4v{3~X69x|l6QlCH1j0NPr|o(SkL$;MDmzIss zL5Z$XFmnw&%oLs*r1^BXt76_~o5(rV$s8W8Qg%*9aX`6h`f?)=Z#c+q@I0q=VU>NW z<#7xZlVUxeYAY5RZQ&0w>pf;xy%v4zxln7%fksVv2B3FPEo|W-nBHS%e|VZ|JNs2N zkYd(jSE1lWq4%k|=Z1|usMq?QC1cYQc1e^Dvw59S-bpJ$OK(A7kF7 z2Xo(=H8u0lQttf6y~E(p62Sk z&J%7uMX!;CbOH9@-I9B?Xs%&vh!@ zAxw9Olv-#id3bY9R(O6n7=59>G5jm`OUIMjw|B6Y5PpaYp88=K-Pux#nS$Sc&`a@d zgI6$>6`QCo4N{%Wa$t;I$_g#TJR^nKmVIi# zA5AiCH@GOKdVf#i;n_6nvC_=mllpenmf#}2bNu0*;p`SOYWLA}=k(mpkutmD)_zu+ z&yn{euRmry$~n+TVZJ`Lb7q5Cin-^^>zMgU%|@fg%$m+p)P82q`gGQ({y80Is2Aj^ z`O|BBnAgImmp^8$O}aXm_sUxM)VqYk!RifIf7%8vnAKt=*Mdhatld?3TtP=`y}qkx zx+m#jI|?VzS?Zp}U-&35Cyl!Hxj#K*(Untxc{inz{yNO`p(jk&PixUH`$KeKs(Vc3 zo^8IbUJE_UcLB{(%;%NnrwGhzA-^}L@9d|H6lPnxxb#~LDcN)JQq;ix*5m$2_NE0S zdXNepvcjX372f?ySuq4Y`XObOamq4L;{Q@uhD z#|ls5Wd7KFjN5%QtvD%)9(0bKz5DpXySB8$t*wQVt2|(pwp5%~dWXf5!lI+hcZq9p zSX`l4h3cLjT3f1Ia?Fd;EBn2xOYSu41Op3B)z44WZm(d`t9-h@& ziY-PS=C3!HFT0o*HuIwNTBD{rwr^Fx{9XCeb1s!)kmIx#dYJv;-J(#s%qWpq5Az5z z+Y)~qq&)TjuO~|fJ(GKqtnj4#n=1Yv00960cmaHuYfKbZ6o4-X)F-GYf{Nk;3v}Be z%EM*5FgtU1cXa2`nOS7xkExLs*Ctd1qoHj=(?7H^u}vG3+Sr(wP$;RXmSPi=J|a#3 zH1<~?|BNR7us=v2p;Z&L=bYWU4|dr}ZtgPYyXTyH?m1^JAy&keGR8jrY|sZ2i^5nB zBdi2m!*o4gN>~THn7n!C0!#!Tm|@M4tPpofSp&S@zW?NP#LN=LR%BsiBtP}xm$PHV2{qM8 zxxy{Ahbu|veY-u){-@F~K-8xzXAa;*Q1&nj7TA0#xk!L-;m66a$qRlKk#y2U3_5JUqJ@v_kV!lCS8?DU6LKr z!J6(!s?M#|l!3+NkKY#Dx=haIk|l@T13b2d9R)$$eSPN)gzy>++y%NK*|r+eHLg2r z*`M%k-~5%TQke0uMLOF?)RH-v)l5SNvXUKL!q_L%{(k|=axaz6uBw@lb!7Fw@L$JJ z8xFCJG>5;|6W4odsusOMZUYSTPB$zK%E)#k9UMTmioFD~8(SMHR>6#H6$}b$3@a`J z-9RS-QhE@@C7FS)ruemdapVe0F}Xxd*sEJ&b>b|A~e(hN?tFBEvC|D_8myarp7A;y`=!{1`H)uODPEy zJ-BQK4D#Uk;rIz(hA*Cs-~KJ^Yv2a&eLgNsErY+&F*&T7TS@Em!2At(NgEgS4_Tl( zF*l+HEXj(wrleYR6s3`O8*U?v#UQFg;h1A?R9BsCYzze7e=~U=GKe&a_LR`LosKth z_UbPnCb>A?pc;WtbCc@|*JYL4J92`as@+6PaYfTkhV?jh>!BAt!ps(4C9@i-`kdPB z0uZB+Tf3>$^?GH^bRplIsGX;Vcafnt8r#l-D%y$Y`EtaN58ydJ&8i{l=YIOu!Qf;1 ziHbX@Mig1H6jzgUDa5UY90$9B&hOyDYF)I;G*W2p|N8ef3`-dd5$kkQa1&pZCKd+q zZ)2@bV4}^4LdmMQXHva)c@h)(vf$&g2YRoE_H^o>Cuo^;imK1jNnP_<3g-EynU9gh zlN9x%Nv3NAvkU(@)(yn5$CpMhrWo7JFfdyAXjfLBXQu(UTmT`yH2AYs9t!FEj7(1w6~*RisJ-K-yORw6aKZN$ z8b($WGqojE4;sFlZFT~df14StXVJaBz)V;lot>oSAlyTJS>Go5(E6$FW^dlZCFGuH za>+i84tC}AOHu9%J4RDC?WL@2jMq;yuUaIiBagsbMOJ sMd?(?zNrOsf{J$xI;F(5y(vUzGuL%>2n1QFV@ehT6aGc`9{>RV{|D>;4R5H!?6F zF)=SOF)uPOGB6-hN+3*WbY&n#WNCD1Z*C0>00000005Z-00000003M90000000000 z006)Q00000004La#8%B~6G0T8q^7M>TdCGose&LLM5R?IQc&Dv8~g}HZHiE^0ilIl zS|Q0z7QB1$U(mBxj~@L4Jb3U_JXG}HK|wHWI{todW_D+j6u+ol*v$L-z4vD3y|)=u z<{80q=pSC|CSEdl)Df^_c$Xe1HHtL~N|m{LL3On-SB|2!hs~8mt+lTS3#D5|Q_m=M zuoF{-`M4)6mV)`~bcc7o9M!kAp4?d-)e!L+{2umfg88sq4TDNBE%1rnDuF%$blB4q zH5GkAPEe>|%M!(FAO;~RMr+N+qh@0U`~dhuR9gl66xIY3?!VNUsZ^Hhjpgat+G@S= zm_L`IsfD{r5t28Mb$IZTHjyPMhNop{EMLI0e{6D~F2(RN3>i9q1t&#RU{IM8pm|&W z`$b5Tkr1jM3-=ZZH3ZH(8Ah zDDK|XsCUGoV}qo1BHj%7JQYGyxDtDdc1{`N@CWlS(j8le&^HUIyVne{` z9eETZ!MBr^RtcCyAa1I#nYv58Fncy7=YY{ zCC!pi=Tdyk{JogL?K9DTdUB1j?yRJuKg3j)P4-rn25HOzW`OKiD=(vO5Zr|8W#PYFm0+kHf;m8C4%a z8!Yv7_zwIEnbh+N(wmSlav!qdCqipBdQNTNw~e)>C)794v});7b{;x693#)6btBEm z%|tLA+ppU2MBgUA$&UOuE9qk?0bA-bq$hk+jMo$)s!=a=l46O8^A z#oGVjM0Rs0k@I}rFi)f$Ll+a)Qv7r92AZ_uJ0$tE2;3J)d2c@f00960cmd*NU}WH6 zU|?9js?Y{VF)#tK7!U_JyTyC@g}4T}IXb(>hX*+Z1h@t&;gn6J! zp$eE$%n|@fDnk_@%nI@k4RMWk_V)`8333Dq$NRa4urLGw)#d+qWy24oK?X}g^ObHM3kh?I1qQf?*Nm>7d05To5SG2WGKSHO-zQT|}~&7i2=+m}np-8y$-Y+q?;-u0KWGd-&sTz2xs*gfkk6s9Q(kP{)IJ`&R z_f00WUSb$z+L#BCOg~hnkaD$3i^mMpxN(wDv2%8)z*QND6dyUj;=7-!6lmgac z^q{|!XS*C?{e$%U7Vv;o6A(RJ5bbpkQJ<r|g1FWM#60QUd7sn(oz?FbO^b|vWV=tLl2&s%MhdVEyzd`m0!~`9Jgh!SBkeo z3f7}{<^sJf2VE$PT5Hh;rAM`AM|ci_dAW5a!9#^^(K*vbxF%C#g-M3+qbhb-#8(AL z#x?_$ft0NCfN8U3=k&dd{3U+k+9VB#F9IrlIm5T4B{FIYP>ra?(rs(nN)n*u%!?H= zHb@C0N$eNn#++GMZ3&_i2D;!PqPlC=WHu}{KK$oOvz6Pht>}y-jy@?>E?qNLVZS#7VrvCFwd27>sGw2sGiQA zpalgCR|tk}`%ct1z3{T(RMWa<63Hb_7VPBD2}Hw%l0_YQStNC9!N=VDBde#7)wvCA zKP%q+N|*J-g*zFSjh)AY*Y0@}{}?vYMtM+gbk%-;p0saC0VYva+W5+FhOW2k=Bctg ziYHHpS++HbN%O^?62jnSZx@Ch<&#VqPbwjt9IjPp0rclyPsHJ#81?dV7eB(d9^vt) z{R&fK2NbwEy6RP@p1C%(xfwjae_bS-@{b};0rqm#;n_$qD$Fe3em$@8)2H`3%MGI; zP-3A65tlM~!t2g^0`{TPMQ!->cXkDTKug%{{ky{mlgm3 diff --git a/1ano/isd/quartus-projects/Teste/incremental_db/compiled_partitions/Teste1.root_partition.map.dpi b/1ano/isd/quartus-projects/Teste/incremental_db/compiled_partitions/Teste1.root_partition.map.dpi deleted file mode 100644 index 6710869327792e97d5c0ab3d4242895c840de6dd..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 876 zcmV-y1C#u$4*>uG0001ZoaI>CZrU&yzVA~+T(39@4baI=1qCK5Rv4vKcNIB_0k0-b z9fuXE4?p8jnv{^yt?PO~Nb!I4fB51<;PLH@YIDpZ!h)lcQPxWu4m{?QU~*Kt7j z-_+&s{8Z}^fwi`;+(VFdkabOhF4wYB|OFV&_SoS22 zz)oRjo#zLTI9k|08NY_$o+?Oj)V>-OrsPercOAtuyHfT1id*q22Q^P0kLzC5)h)}a z)@!I{A>D^yt-57Cj);hCS<6q_N&oAh+iSPv)CqSJS|A@=yF+e-BY};7kGb?bPlwiE z*gtKb6!)MARvd>c0x_Cn!r4B>9svdl7$A{<53l`@vme+K5m2Fmbin@^R6h2snrT`7 zp>J5O#F16?yaUUvS85f@I2`W^s*CgX@C8xz0xMa1p0|Ul*RfPp>$+P{QpylKK5M`!!7^!2J{~z;OL7X5*|ddvl|Pdp5(V40GQ)v9 z{47?h6$C4CQ*XzUptuo0umaDob_$!s3drdOTU3h(e*$nr`MNv3JLQiA+eU_iKo_s}HeMC$VQv3^XMJqZF CyrXge diff --git a/1ano/isd/quartus-projects/Teste/incremental_db/compiled_partitions/Teste1.root_partition.map.hbdb.cdb b/1ano/isd/quartus-projects/Teste/incremental_db/compiled_partitions/Teste1.root_partition.map.hbdb.cdb deleted file mode 100644 index f13005a976b4acf436aacf9fe008425ba212343b..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 1601 zcmWe(U|?9w%?KnJ7_x!T1W1Ra78Pga=P4K&=o#u6Dmay9=ABt6QS1`W05}Vh`DeQQeOs%2zwSSOsb5*}+x+ey z_q_Xmo<98&e>y+*U&Wl-KgZu&?|b~^=jO*>U;X;^_&ERd@b~NM{)hX&x0gS^{`luF zUtjv)sqMd>JmGO7yY&yt8!sQ6vogQCQ**K8+UMWS?8-aD6}BO1J@>V@cc)xklUBMr zE!I4dRXbwib=KG4@=j%iZQd2TdEM*{O`>aVylyJ3HcvHO9eHdM zajO2hsIx{xYlNn)?#sUN_y1(f z|NHB~ySv*T7ykNX4J>T_|F2-I3Eb<)10azzWsAAD=@ugC@A0m z++~CN#;^@tOPe&pR%mY!o?YFmvBR)o{o{iM4QpEuvTThJYi2oju6>tW!uCTO&D7`R z8YD`IrScZKdFrm)(R=au^Af$4{zd5ueRk$QpWkkn&HI)2@u~-#y%O%M??J!%Y^j~(;e{e_x0LT|QEC2ui diff --git a/1ano/isd/quartus-projects/Teste/incremental_db/compiled_partitions/Teste1.root_partition.map.hbdb.hb_info b/1ano/isd/quartus-projects/Teste/incremental_db/compiled_partitions/Teste1.root_partition.map.hbdb.hb_info deleted file mode 100644 index 8210c55998f9226aeba57897397a9e541102c45e..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 46 zcmZ3(&&U7*6?443e9xch_SZRizE|HvN9&}{$usA>&--XT^E&H&P>qM-_Bvrj0EsUW AW&i*H diff --git a/1ano/isd/quartus-projects/Teste/incremental_db/compiled_partitions/Teste1.root_partition.map.hbdb.hdb b/1ano/isd/quartus-projects/Teste/incremental_db/compiled_partitions/Teste1.root_partition.map.hbdb.hdb deleted file mode 100644 index bbde05e3ff9e9d3b4ec19bb0180b635bef35faf1..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 10076 zcmV-iC!^RD000233jqKC0001M0BZm=00011WpZ4R5H!?6F zF)=SOF)uPOGB6-hN+3*WbY&n#WNCD1Z*BoG0RR91007$|00000000^c0000000000 z003Mk00000004La>|F_XWJR^^L42a3f~Y7UG&mpvGo+JAGBYBONqRC3NhdEG%kz=R zHV_z+A(Pnv*=E?0U0eVcb`en#5s*!uBCE)aVQmKz3mBbw^_%zxWP5h(ns;p9-H}iVg#M4$k z9X!a}M8kGQQ#-M7QPC?MRchj=cqp8nsy4+#l`BaVzbM9}kCLTuu2k{eJ(Wr^s&X_f zmye5XUA8NoDMRH|8Yfq^F^#sFHbtw(Cz`5uSE|NQrHbC9ld0V#U)3wzUg$5Q zD!b$;I+X&N`ZvU`p{3(PnfD<#%Oe7k`WWIg#48Y+5Xp5aowCD}A56`IxBEJ<0Ba%R zv~BQDg?41R9PwbZnpE7xc1H^6?K|i{Yz>J zGz1>eH#XSYzj$=uDAEz7vwmys+Oo> zp}2n{<)*U|@g0Z-6*fm<#pu{kYmgS$XJTt>^x9Y^WKhbasJ6g_wbV8>O|d0Q)=*DI z29-{fCUjRc=ZEd2iRMX9zO*dcXba{Uid3gz3M$u-q)^HbR7A{*$!6vXr5fe}&EADf zk=mD`!Wj+&#Wi5B6S4!-Qdb54C+ka{WwLD|M>V!YjHhHLCb*J6k8f^3Oox$W6{m;! zXdBC{z zYDI$%7ul73iF*U}ibmm8s%ThVUo^*Wk@_oTQMb5$y|r)Z;`dS^1dgq)7xiTzPW9K+ zPP*44eb@19-yG3~rwm&=z0B55J=xBb{>MNawm_Wr;@baV8)YycTL^`Pt5Bn5==5Cu ziijwIvwSP06MnDrEKJ}Gno9zEQM@LQgdB-uuyCv)9nTV?fn2F-L3Xg5$8$}CPMsq~ zQ`3A?^@?7VqbYgoG1{)mAe+>+U?;XRY>n82$lm;`)BB;rO|b5bNR>UADqXilerT|- zw||tI^x)9a;loFIm##Xxe{?ZgQXPQO0oCMJ-JuI`z*L|+U55gaBIjgTO(aDdFnc~E zd?Kvf9O>}L(s8bMD(Ju{9s8gH>GlZ=ijM8`-_*2;V@4wOs1Qs<4Fw8hOhog_A!>9H zai-D`!3Y43{Rv7v#X#lh$*oQ_d-9QFApj4m5rvTR({ zF^!|8Dvc)$kCaI|uYA&`@<|)wN!MsTK>fEt>{~WI+I#rW$k15d_|RZ4O+){i3x7~E z+?1w4=M&Y+Q)%iWD@lyY4yJZhuXIsmm8$x6l`1(&r%KA@F>ig++*0AkPyiM($b+J0q5!RpQjLZ!wOx$A=Cd8y;UpLSEpDJHPBl8SG%9DzZ-5NVOBA^5vvThN4xxnW{RKsy3G6 z+M~SUa0*L2!}}5GeM^UrUbeVze0VhQwgbYYfD|(kH?&dO)b+U+Hm4_Uju;(9R29NJ zjME3gl4;4k5A9qr$cG{JD&zR@(ZeH$vw2@_=m}G%eh_)8v>#$Rj7qijl}?A;xG0q$ z$CGu6ubNoww;txgR(#loA&uCC$VKk$n$kahj<^S+vfYNBLe)a3!+3r$Eb%0KV;RTC zpet>E17aGHHdkq!{ps6`(KZ{#hnD=LQOQ+0+fqeqN|i3Ac2j+vt>S3O-=v?SkG89N zro^i<^Qx@!DpfSTsp1=lS29!@r7_i$j%_-J)P?R z)c8;N|N2`8xb{4W$W&j)%E<##2s5#c@g$eQXZ(HxTvUfN>hu`ZNwukCRa1Mk9&8I? z59s~=utfRmdi|k{XAybK71c|X)qbhUDpmE-6s!tiH}r@1+Zo=Cm{fm$4_>qrFFz`K zyHb!A!bhMN@6$7oM^btzK7R&JUKacv(rJiaLVOjOgK>^{Fw)IY=8bkFDMdrOUI)xQ zh_jK`Y3Re`!*DC&i-=XAW8Hkj;Bp@eWU>&F4@P93byTWAK<+FvFi(O87I^l`f*LN? zG0(brEZ7Kw2RT$n7J_&oV6P%Q39;xQ4qBTmqoIgMiLw&$QMcfAi<+7JgNq8KOlfIH z^pl>>5hoprYhOWRna}D__Nz##+sjCK4fY>MsRl=ZQH?;H?r1JMkY_ZI=cf=q`^uRE zY@>YYGTFPiscBGvE1Fu>kWHl#>tZ__7tg0WXuBz?J^v}x7sm}Dz zCS5epGYk9!d(90<;`k2^4b;|G*VYcs8O+r7*Vp#d)(s5Is_ma$Q(se?nX@R$n`K^C z=4=n1kLGa9P3;buy6PlLBi7Un4A%754Aji3AE;WiX!bx|e^qU5ZQbnpKFF#XB%KOZ zZL$0^XdXPc%;7w!Z~4%o(XpdvE?)r&PvQ9{wFD?cG^Jq~IpBeEYBV^)e9Re1At+*w z{KRWLv>ThtqOP$~?-SUFcH%7;qNZJR$otSL!!xEg;&bTTpm_$e~@Y8m`Y8T>53X^*x% z{>28H<#@Izu-t`!+lA8`IO3#AV;&$0!o~Q%6Jlo0?AlCSRZabzIrX)(YG!8Y>gLRu zU6+}asm4lJJwaUhKia3~DJKlqBDE_OMJpuJ>ktJN*#U|O;Clkj6>MHZOGCaf+eD4x zf~^O8fro$pXNb@pzKrObq|emkhO{4S0s8r5qcibj1f1u@4J|F{_J*znox0idB@1-MIr}IY*Yeu$!Qd`$M0I z)mQJiN$9VDbWIc!_Q??2*w9h_k&mX-Q(=caef(+fPX7kTIqGH{6Iaz$Ct8drcnC&lP5V8jXJwSm70u;*&h z0h}ka(+#zmuFDNKttj38&>ny2LH^K#-B6pUdI4v9@jjzFsSSOkO!!eU_%gtG;e%5x zJwMl_Ypv&PJy;GN+7HfUj^}NjmPM7I$h75kD41SgjezpvLjtu^J8T?!s{5%=_d>Q-# z8T>*S{318pw8LHuD5sO(j`KaYVB1{1Ne2I|Q+HFZpLZf{YQG>tzb5N6_eXH>-5}oQ zhMSDGts82y+D8HB-rSmP%5}Hu%ekI639kYgf8G~xX33PVb;C`X*8xhq%rGADn~?QD z;?LS=6Lz)$J2%wWye62We%gt&dB{FMJ6zi&_%ndA&3J*z1l$)u{CU}UKLK_gu%3Nw zbVF@cepCj325_=BJBLm93_NeZ_FCgW+0c83=o&9{l?c5L(2fDKsXiiue@+HJMFziG z2LBb{_)BG~XV&hDG&b0b_oS4;ufc!<{G9eFp!vvJp69iGHpG;Jm)&9q9hEi~e{y!)hW>MiuCDcw{TC7XuRsgN`z4X^ZX9L_ zg!hE-D!*Gzy&lr{pB$k+!1*{KAHnF&_FOdUqC+GAe(V0oZ3HRQdnn}0?I-&Y1-0-HN#f@$@; z(jR)28%kfGwHcY$!|u?Sb$*-G?La%aY!m)FU~z_``tP4X-8&T~-gHl?gt8SV{^*1M(O)-|HDC$>1jgPG$V&+9@*Os{!X% zTDv>5>4wg9N4B}UrJ*C8^Lj#M4VZmol39C4TXRQ4YilmwoNmu~Jz0DzIP!*0XIDeM zsiC6@+1LOw<63rF0z6I!4?bAgiN3UC)4A4$=4`AYsI=*F1{wvPb{61#iKV@DUUz4@ zv!$WOON+C?1~bNcfjviv|GW@?t`L8o5P!Z9e}NExA@GS!+KZB8c5#x-zK|rdOTdh8 z4YoIQcIJAr>CS9N&hL!yi{QjnE|>4hcI1O6Nb~b^&D|Z|&yaoz9D^rB8oOkweL1mC zekCcDE=^3O%M#;sc~YJGYGNvVEiq1CPmI$y6616QI0?4Bs{zNK=MmC1BJ{ODbIZ-; zb6vO=(AC!2h*E5zil!5)>(D5$z&B;^8)Wcr%iuQx&i9dVeA(9B)!yBeZtBSO@Tjo4 zqpdsdbx`*mnM&UU9Dkl3^*uln+kbCLlG*o@WOj3s%zlt0vs=K7Zxq9$a(OybS4VCJ z&Jnu&-gUb*S$4N2+11;VWcH(EJ9i~()~V} z@cU)(2SmC*C_+CZQ~hC)@JB@Gd*N-n#xH8=gK}L9(g$T54otV>p2UpqcF@HJXka`B z`2z&Rc(ncsi4Fcbux$6cPW6Te{bs!Cx(RHmza@k7m)`K_dwps$yPJgCo9rCS#qs-yJ0jl)IYzzf&LXRmDF zivjkx-xq)uIPN7P;a>zAf2sKhx~=rQt?OR`n4?ELgO1blHXdJ2fX7!7;BhHuhZ6$#!@>E%9w|;&$creB+H0@OLEO?*fcJ?@4}>5dVE4{$?Tm2SWTULi`Vbm+scL zCcxvi1bEz@0FNJmhhV?G18^?le*5j6GU0d0;CIX5_sHNs2Hbo7x))%Y-R}hZr$B#_ zYf#CB?uLB2JKx!!ZOqNjWt-BSxn|rr1Z8ZnZQl3EjPtXwe|~QDNWAcJkHdZu57%vA z>-sNc@W%k>hc9z^K1|Y;_A|lb;Nm|opAeycB{RU2GWf4Wx<4fo{xsm;lX?_SG7FaC zB{KLiGWeJbz7%kOe;tkm|FGs!sNh|1{@mT+y)}y#%_&^HB81=EwEsRJ!FY7S`V*t8BRM=8rD(~4iP`tC+k!^2jXw2e`hy47O9G*+cH`^45 z3SR~`{F+gBdlPQT`f^$B)rA#asFgsuLbR8wfcX$W3-DxW@4S|_#shoX8#=mjwr>ei zMwHL79i`^Vwj@>yt|t5B46htUqL0lF%&(WsG{;Ibgvn z3Bj+78yy|*UD7u;KCFINk&=kAZ%(9$)2qBq%7o@d#a}fG zmR0VFy?CO%7tcT0mewL>nw<)}RvsKq67;}v7+nO_Uf|-!$05zs z)z{6cs;$=)D#@~&X|2|^_vtd9XCVxQ{jXvhdF+9@5=sH4mG6TlPKCX&;_ejoLnD%! z24>fW%(Wltdg^B9p2(eFy|GdLj;J4hx^_g9^un%KxqCZzMqMT?rb1zNtXyVchcph2 zNoZl0tQc9?DJw=6cFT&9g&nhEWMS8=7+KgkD@GP}Py2wLg?$RnUi7A_&+e)G2q`ZK zl4W((%*;&VAsVMDsTj3Q0VRCd29d|_6CBbl!{bBg>|kLBBuO;b*wJ?UN$^Z&ZgtJv zOno}tlx@tm&dYYBtE%@(S67*TT%pKwH8uH?ZzbD^rtVR0Xh~=GpTw_3mhBZ>`O{uu z=C8Cn^ez!TDLZwn41NOOydW^Na>?k}c<*4p#=0nL)8Rx=3c9ccaO!ZxK=0_X@g>W~ ziv(+h5*@UkC^#E*JYk>U(7R}O@z7EYT9hr}qy0j_1)!v(^ToyHmNxv<1zzdt;=xpB z5f80U!cJ@38;#RZ?mRHp-ix;&v-vFF=gBwsqMV!GyP&D1x3#S)xO}g{in2ETGs5ei zp5GOo8Nxlk=X)#;$*Elhp0D-nIG_b?bG#d_){0;`)g(8ffs&ieF9|3pyQhby5Zcq& zs8{QG6Euqk*c;zk$!B$XJ#WG`hhi-QWrDW*L%#F2nl#V5r<4iW2B=+a6S6%-?y^g==1`}%OQAL zDA&@~oW?$AgDKZsWG1a(>h@V~1x(Ph-B6qE=Y;TC?bVu|MOhur9bFHn zqaZjU|1_>#ydNxDbND_BZ&q1vn<^6oN@&}T&$SMADh&4{4K5Tmv<9D^Y3**o=hhEt z^!vEs1K>vH<~3Efr=~`r&4VKJLn8FUBJ?9b3q0Xj8T>gJ{COGt1sVL0GWctN`+M9! zWx}UnQVNEBGa39nfV1lvuV=*HD?;;OL-xG7%1ijRvYvlb2H#Ew-(Cja5paKx-6gzpjx&-nSwCjiG^@f#84c6_=7yeq(^BUY~HJ%DyB66{vo<$_C58Jt~Z z@iDlp`RW^$BVI*h3*l~5mMULpMn*?7uZMd?=pT#F_lnT}B|`s1g#M`reV+*ZGZFfJ z5&8iU`az%_6UFAL4~c|7EJ8mbLjPRW@n499KPo~$CPF_hLO%ht!(Y_G3d*8Tg$A7a zSzl9A*yxg2jfOJCMxl!YVh?wVwatzr0B5`3nc1)t4T9J$>`1rTCg@RU;2_oFC0iu1 z!Gv8Limf*Pyo3pQG#c!dG9gEtNZSaFI?*P%OVHr!&0|ifHl3F`(I%b8(cn3YmU#}(*4`^(CzBcW4v)=LSE*LygWo!uI*PtWPQcQ zv0w8>ejP~sr7AYiH$st_x=IJ-icsXNN`Y5~BF!f!>9=S>|A}? zANq_x^jUxCbNN!!G4DHQ*{P`s!0wgH^#Resd) zp-`};-zGo(FrX5T`IuWY`^00NxZ|De$`Ef-eS2WZ+iWkJE(R|MiyoB9QpA`LAvAyzGXX+2H>=AOSUK5V!T#v)1U6o z_L+NY9WKh+&|9HVFp~A5aPvW+P55jya+U3z-`HKGu~x9*=b%xb{M^dm(MgcO{XJ^u z*Y|1>x<-U<4AIrKnxTubHmkRaWamZb!4U2D&cRTK_WRL=#Xw`Wy6-oy;2edqYcv{udiBz5#mFFc8 z=CL@f-73uE%ZqEb(ejjsbuz_z75{O(-BVDaR0?LUgNK_UFoWKG8eFPa@YyD-k?9^%DeGsT=ulEkw{`Le z+(93PU|g&wEOTtFJPx2@y4b*`)(U=}!EO)!5VM`at$Gq;8<^1Amz|xO@(jRkpd|Xj zLomC=-1Z1GwGQ^j6OiK8V?&|fMq#(9d*u3^Jj(2?)e8e2l~Dw)Z5?c1#gbsU&Uv`I z9~=&>b3J%KV)wD&(t~?!-I}_2SSfgTW!E%`eU1lyb}41LrQ04G1qGF~+hhIs>D$Zd z8&q%gS((HJ99)sHI)AY7>0;gXa38U`*AJfL*?m1&9Xu4%A?`if-P?ddXX>s9CbAo1 z9T&Hh5(@Xx=ebU+^Mu?4CT}q;TuOdwzB)>&G5DJN0n)C7y!MS@6;u!ykEB%<3Nj zk0dC#{0F8}={jM$PNXEEsm>#qb9zN!m)$TH^EfC7zvsBje{=2H;1mNDkK%%--7Vt> zTbJUd;P)TwQi9vyB~0~-HPoLSQiIKMk&j)-3M<7fP6~HlK9m5DCllb|)>J}4%QIZ5 zCh`Fj<{KC;zfG>*dsW@*(hez#-r)_ z8M*Z%WopGuepb5AkvAo)zwA89+1W|qzCN~oW`kRbd*s~fnEOi22~LZ-HJzeJer8YE zbjqgTIUQ$c5cE^?x0ipo*TT1#J*IkF>FVI#E0eHka0!Rb8aQk?ZHq3LCE>|Q@JOP! z8w!t0XlSd|Hxx}bB|Ut-a0G*;?pgeuFEDV@sB51)&_W(VIR;p8QyS?XqD-H8-F5vm ziE-HhqKl?_z*OI}-S^d#(8GNf&@IJ%Ug>^{z`Yjodvo@|e#=SW?n?`oeh-(@N6suo zIquJ13q3iQ7LXV~N_glM0i|9M+^^It`oPETQf?{dtF9}>$g_xPFmH6RZl>`RN>U&p zDkXqeDFOwpdIX!em7+v3H`5Y`M@29jbj9MI+|emY6bmZ&FUTkxmO!i&WvcGxA*2YN zK-5()Q?U|=TaOY*NkuFVQZc;l+#7D@f@ZueQY>^O=A^fB|{PvTa(c` zm*Upcy{#p2m)O0nxiu~GQ68a9lX%EC@DrgP>*vJEZS@U>!hPs;3`w|DsO4h%1~SDq z6cbMAQG9^rwnq{Z*)7FPp*;Dse6)#$Kp~at6~RZB^@@OLNriAxif39P#iPQln9ejX zgI-}ap|aSzQ@unFVMU;EW%k&3I=As?T5?hp?{vb!-gxX0Tw7Y_y-mW%Wgf8VeJMGw z3=WHR3Xg$u-z84su((9A64e7etbHkS$#E}A8vq46TE>Z$)gJDXqQL6z-s)1^MovPk zn}>&iO4dStf5L9$;B2j=`+CJfCl9xgv*e*Ooe`}lnI1v+bw~+?yCN7$ArH5dfWqx# zZi;oTX{*!fCA~c~3JF}ws;T=FFt`(-^9Wvt(kt$y*jS5^is3I*HI{;v42g})I+LRM zveNlV#7Ed6s~$nGtrTZDdAPsc;J)nQUfA4=(kGpouG_Iy%#2J-QCfhM`vb{jX$PFT3nk@5sZem2~Gdd#>6&lOlo6e zVnU&$rdoy1c^FlTTlA5Dm-7SC_$10E1C5_uAAdA=~ z`1H~B$HQx3Mq~vHRAzG-wH2{8_%MF!?nRggKrq9aBUxeY6ti0Ry#2tb8;F@jj4jW? zN=SbC!!M^t3X^IoJh{RxwTmmB^Zwlpje*5!7$9_N9=HkMB`J7jVYmh0r72ii7~Tu; zvJ?z1FXTpRLt9{Z3WmKe1UG}`6>K#?ueQzfz(nvGm@}eoyRs2o$r|D7gCh?s@z_dX z;HnG?p;Zj+y>|G@37AM#s|SU-?s7e*xuK{O_Gc{f`S1(L8cy{MBzpT^?x{}n4F*cd z;Ov19Fx;f8%+n>=VI8dLj-=|`T1^>Pxa!HoPrQu zhk?65S0vk3!@9(L3F+G$d(0$Zl?} zEnV!f_+SoY-P2ZD!wrbYx<* zOwd~vpxvOM#H%PJfuaYO?SMfZoH&v=>Cf<`A>%!;g?$ZN|NYNLg(*A&bWDz@=2p@= z**AL=KGFe-`iCq~owyrSgO+5)T~ku6YKqd(yR~-^#$pgvqHxSHH>Ru3HZ}r+@4p$p z02xFYMSDtW+)l?EJ$LOF5R+USZ%B_d4P+!)shW?xTsf?y? z-Nz;5p6FR#+eHThIqgqW@7xAZ-$8pRDH(b!^ohT}is=an7&1C@_Ui*U|9;c$VczXZ zbXz_9${j_R6iJ$1Om>507mq@K*o#&TpIvER_d6N1;K4R5H!?6F zF)=SOF)uPOGB6-hN+3*WbY&n#WNCD1Z*JKF0RR910065Y00000004Uo0000000000 z003Sm00000004La>|F_XWJR^^K~Plmfw%wyHaI8>Go+JAGJ_+e)9EA)o$jW)lbPZ9 zY_bgoLNaDDD+t350}iW*Y%05o8z2I*$x~!i1Vm5}aQWWn@_i~kAIdiG|8L*wu6wI* zy6bcU15ABgsk&9?Kj+k`Q_Eembtn|N6mf?tac5tBIN+G&jEY{R)%eeLaeg|M>t*Dv zBFo92QKw_Qj$xiJ8brY&Ew$BJ`eO_E@YgwAO5J2aWi?OjGI!h(MQp$cBoXfXE8F2de{O7jE5lZ z7@CE@4C9Eqgmw*W=^PdRyaUNri0$(ttt~9#d{SPqSVGH|N*o%N=uBSTxN&0@Cd~#D zvShf|1v5{b_eCVbhY%^`d8BN?Iz%pjB|)rQH92+o=}61^RVoW!yp*eup+pvMgQyy<$k>2cp=+GKs!Z#;a#1-)7VP^{|FVkO zQ1y2^dGcecc0jxyG3-Vjt2o=u`|Eg28db08gHn(>u6g`+TQpI%I}oYOj}TR0Ia_+n z+g|?b{cfS6)oGMXXQH8A>+mCuqE|ealJ7eaPkCN2Sd1NC8hnAhveF3K8zppS2Z=%perHC&1ws5lc}qHQ7F zJQn!^U652|N)nJ-S-Z^jKTxX99+>LB8a4VOdUuM+~H(Wwt}?LZl|Ib^0K5 z;5g(0yQQlW5+`s(<|mgie-}vhate#hc&*j0S3bLe>yz6g#|5s&f$mbQ7Np>|H zG0HAFtt#`UIt}xxUgx9A{An#TehiQOGSxBb$dgf(>1j|oX=dW9^H6!k3(!*703Usy zdqjU!KDs&GG?wDc{jj+oHia$W8_f^7{;oyjy3F~JVK+o$Rp-(^I9?c=m>xZJYHWH9 z3D>&|JM(0qjB_;dWXuI$Edt1v!b!mG}bz&NA}T z^4e(Pgep*nT(VXcB7KVV|};JV^xQ2DSR4wyxTn@ zuUC5Bpp360z8z8N!<6fiI%Zx)WJ}>-=*rsy48%7|*KdIrZNOUr>g=jo3er+I0DAGx z1_OCCN-xFd2Jqx9i{B%ig?K*VzmQpsQ_#gox5P1Tl^_Wz8rt$|VD3PikGxJp9m!`g z;%dYWMADY-KjGLBNFr8rApH*FDaf;|8__xc4A8l@G9gGaki!5l449zEI z{2eb`=#Y@Npa00{aBF*WYwO5@kx1)Md+T6p+wkzb)}i?=?Jcd51xx+BdCWV_ocWvw zyy)Z$LwiD|&YEOl#Fn<Z z1fNEznc8{8DTw;_t`s$jDT9H%QnH#;;{Gv*{Qi!aX=;MVh%{{3EI#-~L$Sa~$zBz( z^F0qOqV5e5*z_0BIQAuHP_~{?J*J?JQRD<3(=dF1WQ)#X8ULG5-S}HED5%qY zSS%FLvB=m!6%Offa_I4L=&5q(dO+F!bP9he29K3AiVesMt;DO=wF})9zOLk+i_C`* zBMauYM%tQM+7~QnZ=KgNH`3O&V8Q&hNXxutOqd)w6@%WE-}1l>S0SxkA%$XkHKNc8 zJ3|2*Xb0sL!(M=LV(W}1qp4WDOO^Xmy}f$f-l&kZca9i2SB%^T$O?wq0mUjX%UOVp z(!;)Dp`QSf9OJ2IXELty)bk#>+7yyS1!u7C{$x_8*Yh4MACGebciCJRV{3-TCnrY3 ziGgr!^0mev3?3CsrbICHh}VYLr%}O%7Z*z%q31nlJe;KJ4zU#6p@2A3vxJtBp>J41 zwVt{LP}xxUm8DQk-)AkMnw9=ftnYJTB+vgWY@}KDc_8r%d1mCmfvYw11)$yoU@D#M zizdUdbYCWsjOG*e>(tKB+-4|f+JDFr3Vl@w%b~LY#jn;SxkHHzh4ui9)-F8|T&^nS zSXr7TwTA9zCsJd(zZlsGq)oqQLJKZ5nO>xoda|CDYBh%d%85DJpHFMWo@@|y5LjBT z=#w6Zb`XRflDBu*g+}H!X@Wf2AgLpA=qR9MYc2CIc}piOp&ex-JP0UHSEI>fI1|nH z=E_yLZp4PT!DA|I5d0hr@bitkK%`=a^dU>FHR!`~=pz;N)p$F-R~qhG#V>%A4uVHr zY%H3sZC9eneavmFz>gmnH}+RRHfAOHwX1%ur<7XDpJP(W>qt0w&=dF!)SAcbpWP7ck>q5X2` zfE>C=4qYsV763)p`qm4r;{)g4!{kB_mqS+o%8LdZO5yHAUe{F52aTeYz;o4T3QsK8 z>GgaNb``Ml`D3*!S+Z$cQwMe}u=v${4N4*k3wxMt4n0c_JzEYvM-Dv~P}yqmUAfR} z<?E zK;tL6z%fs*bgLz_quiP{Ksj>eSnsUcfy7VL*7>$r%^UZ1HMYMP`3XCuW~GHd3f=gV zfYM#8FXZe8AbukI?r)3L?Ar;fu&psU^yhNulYq)5|2eqdO=PQe=j`JmTda{yV&p;~ zg&i{?hki~DJx&h2LJs`}p!kI%&2wA#Kw2>bP2i8#1O6qzGDmz2NIqAX;<;gsH$1g= zJr20gGoBIa{9C!u-^ro>11K$(Uy|YFD9s3-Y*7FHQQX`=xya^Lk09+JNaml#$iDz7 z?AK?-Li@4(5egk}p-uL;N(z=zJIaP11e8y$@pgY9k&0#GeeqO2nk>|D-*U)O9c}#_ zwuF`&5;_4;iwQ)t!HITAP2H1fLN0}tozxVMN;of{#Rn3xcmb1DKAtUPqOk*`J#p4A z#3-QmL{l|iDCUdNU0!~P6gsazC$qb`O1RDTYdW)~M? z$#m?%!lHEcz-Sh>=px}|c6>Q1GTC@8o*jrQ&WmFM*+Q&0no7l;+4J)1EMY9?oJgs= zJC6GjFeaY}Ku4u=`E1nbvTVEnaq0eSEbiO^+!ID1s`kA)dH1IhncgLZT>PN^I3BRd z7P=0~QA~HDr%-+(=w|S)Vq?GJ^ot^&epmT{SS*n)B;x}`V>|(d*iBmPxyiIUjTXBq zdRU__^rXF_y8uR`<`at(iA13f?gj3BxU-t*Oe7O|XKei)eC>=&RW-KB{(Q8vki(7q zuJj`35yWThRpkSLz0qt}ES*^bRWs>D=rFiNd@=OLkVr-yW$(7%-+x%wwh+%W=h~X$ zxdQG%r56=V(w}o@oqO!L@8jWKWZKn_RU?zm<_k`)I07Bxmn7Y5FNveDWc7f)yw9HP z2f;SB1UjbTbdh-nnjUgLxK{QM-jihwM+jdm#v%~v=qNtAo{47j31?{cCgRy>HrDI( z;NhU*yE9XhlhcJ|gHzLE>T3aH!pOHWqPbk6C&dLA2Tq_EC5mF0=i4FgM^YA*isyOd zyMTS2GeeJql+?w^gb+Pc2JgbXm+-l!rpEywX(Lo9O8+6qlSlRtaY+oPa#CF{i?c%t1LDvD_F~HI3=DXpiFJ z!&$ti$bAyrF?K6mXA5E<_OOp87e$xk3Rrv!2QAJN-0lELXqhEzJk7`tXOuOMrIYC_ zIeiwKcn>Vn*4{R+sgQ(z3wX271A~gVG(tEvpkFn;2=o3*=2q4 zY)`y^9cE%6n#5|QS>f2mFzifpC9;lLkF#dT=0`ho>1-!l98c2~x-qa+qYL@8rtR_8 z%y(y>sex16r^WIG?%@iZ&N)Xa-BnywPPXRlofX`38f<Qb7Uz2Y-%N; z$H|2r59oGai$y&g&4n>9^(Uj*aKif8+Y`Wu+clRF<`JCy_4Q$$3}Y=(E%2v7Ejkh0 zxD8OVxF4sEgYYNSi9Z>5zT%OC{>gYa(dV8-D7ktXq{AuTA@rj4fO4mt>Feyzg>%X1 zfV1J%rK)_8^wYosBf#o3Rq;H%4(#Xaz;37mdqy4DGwZ;fRR{KLU>h>{&S?~*a~s9z z3yorQ9vBsOdN^wwh=*~ZDq(+3|BK+l*(s62*cAz~T)ICUI^|5Ba!3+lvQ z2t2#0-CFaD>Xdvj@WQUX#8uko+34B?W$43j7X$_=yJUwRK>xs{?y|9oX;H zfxV#)?Dv7KXVtv1PW(-E;%}}K{{!HKYu_z^%Jx>b%7xx0hu$uS-XVwn5Kz&ab0>f_ zul>p9kAeIs=MLjSY%~?_PvNP*SfV=-?+WudNc)>vcgc6Sl`FwLLUcIG%zQ( z5>J`S1D-y|SM2m5wy%M+vNTI%K<=%y40H#q_cj(>SgeJYJ)HHu^MB^O?dTy0CO?d~|t51}OCK(7xV$ z7V7B4e9E#Xna1bE@jz6buNdTNVxM9+uU$6QlY}1 zzy!`KIpw!A)2ZrTY;<&2(vil{^;Dpz_|mCXQ_2Q8N0$r5dzB?xUnU3|M+N)?-#|#k zeUQ>&5Vpq^D{!og9Qs{XOu!m>xC(N8(*9L zw1y!I_70Wi(+)vOKK#>w%2dv{$lZ7MDp@`XQuiRax>-3iCx`aSp#yU05;^o>IrKl} z(EqZ8YFqP91BzcL5?)r@@?Q3`Pys+b@Q7>c3B1!3#u}{Eda@pm)JD-EmYQo!2j$Qq zKzUY>O6U20XqU#-ll5q=)r{4NpOCB0Qz_ZB@maah<#Ol>KhEtb+9(^d4}L%{BLmk@ty__luDa04Y2@`HdXW%O@ItJb&KW=)uaC#8UlsB%vbUqaR9e$5@RGqDV&o6S z$UDWz{}v;EBu4&NjJ!*X{D~NOw-|Yk7CUY5( zRvuh#XlTk#s;2L9JEW%XG>|n8R(66OR@e#cC}USz3f1&oWeF_{4Xu_#*T|u3<wN%}k$@D}0O`x=yU`v0~(LV&w7ihMwR;@nKla=$*+k zo>3?MOyIWyALlEr8edNqG!>owtU86C4ZJgX;{UyQ1ZoFCvd^gld#)|EqpZ*ufwh>l zwXXh>9kMJkbb*VUH(x9CWI<4|hc0xHEmdy__;(H$iIErEY1>h5$R#eay|%rpX4@~@ zA~pv>H{yyeAN{hY166oJlXQ>$#2?AU6J#2Vmkeaqv+95TWSJ@%8c7D$esmZ)SjQqYBc_Wb0&ErkBSgmJnw$oU%?=3(I zPepFE6RLI1ZE~TvTS7G>J#441W~4_fg=$TGTn>Fw4*iWB`ji~{v(N_@BdmtHGThCQQyG36`C*o+fu0JOIyK0!m;{RK&2DY)~;AVx4zvKTl3W`%~0>K z#cJL2PFH2YW3$`Xiq%wpmn&9asqF#9uh#GNXhPrX3az!Rnwj1QsMITVv=poP@lLj4 zwbp(RSo~_WlO}Z+z_@v{_y?#RW%zEkI+vlL-7D%GI9X`Ok5oiz1m4Z8W~aUFkQ&Q5 zKniD>CONc24($~;^#Hlhugjs|kVF3_hwg$t6?W^ca_DS8p|fDP?jaZY5jpgua_GkZ z#cMU8MTxF_Z=tpmUTxTYTrPPpK&8|8-gRK-04wX(BFW>PvXD-ltZ^b zLxobelS6-6JJflaK{L}$D3Cef&0^#a#K>EK6q@%|IrO%g^#x%l)KNBXo8{T7=J`oL zc_YnzO{jpURIOi!Pk{$tdKln)zL5nBTFRPvbD%3WhHVlFt9= ztL?(QW;nVtJ$f$xvtz{&)Y@$Uv+*uXXuB)4#w*jBTJwSA?3nA0^=rkRY>>hWEEU$6 z?pr(5acZrt5!^2WTChoN79(54$e4??|9{Pa2KLF-?*u>lqDn zL^pJUAyu?cM?sPH)P?S?3!SJ7eL!941M5O3>q7U{ghuDg;z}4>Gdzyh&BKX-aGV{- z$0o|5B1yTLxy>ZXbgw_VaM0h2e^AVS)yq6zPuxk%bKt9T{@YoV=f7}Lc{-8G^XnKY z&&v?ZV{+QKt($)y`R%nl-wnh(8G07wl>J*bWuA^m{GU+9H@lgC2>HE`{_4ez8*f7% z+0e24Lq*l3MMBF0XAYi`2ga0_sZrpF*Lkp^Gwb1e2uFv|#xp|%jid2qX3Brr>7g*} zVKlCK^AGSdt*$y>&i3fR|HO2}jVd&wkk@~o;E`>9l$H>lUi5-vXQmRvMR`%#o zeRkkv?15C5@`_M2q8nZj#a<(()u#(*v#;NhHC>V0eM9gNQYuwSfme@N$Hv_()Lm>dmv zsBVwyim_cDs}K7N3?96y=Ya_H*v-$wkm3|@cf{Q?!ymYlZFDzO7OP7UG)}RVfUnl?#@F zk^1u7Wy&q7KEp%&AMtuy_GhVHP?_ngPqE25-KJuoO+}CDtEoWKSA?lR4*}%$HKr5ftaWerK3q6FU8YhW&##HF=;4cBaYnjw+c@QT)yidGZC{hP4E7 zh2jntdZ*BU*VzU(hBq$D{$bRGspsX*EE zAGp)vKvcmFszBL;|2oY>v9+I~#8g3y0;fV(%s3Mtgpe9l50r8yYji2quUr|L3JQ$I z89W5jR&}O^6hVREg@&IRObx}V7Z^MmQBeK5b|a(&^HAeuq5WtGX6o1yPHa$Y*MQ++ z%u>~_I~e|9C?>cXSiR>Amomf(MwG#$5e3G0;s3(&%$Bno&~uH@bd%*#y|aT=SA+0V z{wsL~Q|GODeQgM48aN1FBZ$$K*GP{>hQ5jkXv}jRK=B)p-~c)ipInHlM`v zoC6sfG()jN{1BrpaX-Zze}Mt1uDSs+3JgsRiZ@b0UsanLtv%QeF?!#C7}JGuxx;wQ z;8rEY=~Ep1nOyA#kfBF#fs(S?zo&b@(!=o!92oww-cMmXq-UIa7-P;b;Ya;UgH>0G zl_*qOo@0%j#SA^FU(7HJRMJB!R+`3@VvqW-puL4Nik+s0l!g`jKL7v#|NnRae3xr% zR8iEU}ECqAE5~*_=BjV3M7CtvwQZ@-FB0cyL;z5Gjrz5 z%sH#DJhqrI_VFiuUU;xN7;9mK{Oyiz*ov+>ie_^&Ajv$Rjf156&=)g#@WfG^AT>$l zmI25jb`D;h`SQW=YIq{D90n@0xQyBgSTnqsxHfYZ9t0qmX3dt&Ah!!y4ZPmE_vjVG z-p^-jSq4@_@?-CPKK&*bK|AFMxu2U#2lpqO_wIDp`5sHcDnJXs^#CtU!lxF78v$OD zgliXucLTgM38M=OxY6Wp_AN`ou=a)E2GG2mtpw<$=G$HHAb1r#)1q!UvL0SRn|t@* zy$am65*RoujY6oH6~N1v4_r6`4^q{XfP!3exE4{JKv)WT)24Ym_=aTeqrC&M?%o%= zs$#u^z7jGxyZ03C4e2UP=#s3U2G%rNQZ#O^qzue2e)xvq)}(V5mrOb2?Bmf@>@Wyo z&MVu`KvYIy;407+$+DE7rgF_*&HjRSduA_|;X*LVBAqQgV#*xMs)nusS;`JCV(h~y z-@gE5xR*?4M^TON8nXId=uJih2B^DI2>tLXFnr^C4MwTsU-~h5^ z?0Jw~-CR>5wzn+7T2ZXHG;|&92uSIJYFEHW4|F-jul}<`7g34|MNL^D-L%P|<8QB^ z?dUu)HZ0a5YSWGy9Dwz#3g-UNGxjq)NY4^@;sOxZz(Vlr&POxPiRsDUo2C>cW+Qom zv+;u*niv@D@^}UY`(wS`l?0rAcYH`FsQ`eIY0mCoGHznuf^=kZtW?mO7NDJW-5=dd zNevWzaN0H)wEfD(qy;s)3JT$2@URc8e~S^e>t;tbagh7~<>{k{i1Duf}tO4J2Xk7reX zD}cB?+0~y+eve014F@vTj#xRmKn)pswYKpjsG^mKQ$r5x@;=<>T2>A*J^kZ1Hii}x zSk&x(CG3|a)9=oEb;Lnrm!rzx1DuFD@Fi|&g0 zQ65wrc4TEII}X5kckFlc8OQp>grKQMjFfgu4slhg zXPqGaVgERueuQsG;LzJ;liNHs1Jh*}b;#H5%P_=>%B%nlhoH*FTszA_E&|Qg^ z&?=TWfM;Qn3->+^HCMc-{ouLlvCYH&}rCgu9BZ740feqdS6GQ$coyeE~97x z{h6#~wg8rUnQ5J5-nIH@119@jE>J@zF&9vuDQQ98$_)4!nVz6lAiPI$##u%Oa~oCJ z^tD?!g;a@_mgvgpzm(M-MRCvV53Y7vYEjY91EEixa0+HG8<&VN&F9YBJg7WEXczfY@1{wW4Z(4a2t!Z@qROy~PNK>j~Ym;5r?_dyy5) z@;vv=4l_keN@Yss%`TJ`RJ@bWF2%3;E`|2ycI%}95M*SQA(;?N_)GCW00030|IqS| A%K!iX diff --git a/1ano/isd/quartus-projects/Teste/incremental_db/compiled_partitions/Teste1.root_partition.map.kpt b/1ano/isd/quartus-projects/Teste/incremental_db/compiled_partitions/Teste1.root_partition.map.kpt deleted file mode 100644 index ea8365838fd247fd993eb05864fcbd1d56103252..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 206 zcmV;<05Sip4*>uG0001ZoSl)+3c@f9#_xNI&+GfJQ zhqtrfA5;(_hw$b5ehDN$7^<2Yv`|>E4LU*|D`Bd-W-!jqXr1hI!FbFWoO)rYcMo+H z1XxvERDS#roB8iFv(KjJn@yKzFp5@AqipDNb+7*MgaK%hstzPldBvO&|v&E z7F)EacgSEb(fWxgSP}xsYvMbEtk5oOdZJwZp|qV<*!+mOC&airu-=0oTxH~Wx2KfR I6+-llb|z_Ji~s-t diff --git a/1ano/isd/quartus-projects/Teste/incremental_db/compiled_partitions/Teste1.rrp.hdb b/1ano/isd/quartus-projects/Teste/incremental_db/compiled_partitions/Teste1.rrp.hdb deleted file mode 100644 index 7ef218a19bda1f2bd1324db393b9164f588fc572..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 11956 zcmV;lE=$oA000233jqKC0001M0BZm=00011WpZ4R5H!?6F zF)=SOF)uPOGB6-hN+3*WbY&n#WNCD1Z*JvD0RR91001Z{00000002u20000000000 z006Wu00000004La?0pNE9YvY;3Cpr9DvQV>BH|$%&_xpF%w#4BAkN9mndHFSoJ+X; z`!mcW6AXlzIGF$e9PS_i5s<|j-ViUVF1sQkA|SH6h=}fjnniYjfX}l3;yx_m3Iam@ z_pRwV=j-n3sy68?QnzUiy7v^*}kZP~7ErVhQWOOsyR#xmN@`0Dmr+O(|hpJ=JuU8U|vU26Fx zo08g-^y_+!I|TkR>wT9VEvHLBbGsl9jIN&?ExZX^3o~Rua&JSPgM2-5J2F{k%h@>0 zj)U3b;PpNaEXQ2PJZCQcG4&!31SPkV^08=RVj0aE!}{p9t}~V7i>94W!F(bzC-PkG z?Ksu@W3@H?Z#NX&U$n5$+{7ySQ(ZY?H5C~GKQKJ8YG`Eb_{vi#N0g*~W$V_hA?ouX z5Qkk1v9LnQRce$%?lGMi$a0&0Z9=9RX7W(oizvBZoq_yE$W^ADv3L6T#3>h|tQaOM zE20HiYbK#=jD(eO64ub#+#F3yO4iU$W+q)u?@imTWv&d`DHGkMJb4*ewlNmmW(t{J zAX~*`id9T);$BF&DI^^BOZzit5eP$OUq_{`bTtGfpij!tfjPMw)cGtm$uVZ zYS}cUwkwUSmeDcQ`=*jI^}4;5lKjzZ!`o!pX2K@bQpuCNwG1Yf(ELSS;{LF8>p5CR<8`TJ zxWBz*&i;Ro{hGRHo5`wj+Oy3j1Ml2D>qaZ9rM%FM{7@H`!P)UXV zk(H)aSk);lrFp7K*(z%udpuV#<)pb#*K3U4kCxszrsx@vf6WSkc&t47zaIcaQY{l-&A#@C`H_Y``D2Z=s# zwL2UF>@c0!O&4MZMP(qdjjD7a$2`3wN@DauR;gyimVoS`pmt}JYsS`3N@2Mjm5VAr zBH+<-Z0~qkSZpGr5WTLXB%xwO0vky}OVbFoxrBH$GZ5-1(ZVK`f1(Aa8nhToDXQz) z%NL5|z{Y zQ90MX#wC?ee3Cs;IoE!@cwBmPdy;=i^%|$`)Mb)(lP1A3F@}JY?M|(b9GPau=}7T2All zGLJTy55YdOmQN}nQb|u@n9_45UR`SYXIjZv&P7ZYqm*&fKIT!cGwbdOvTi3vZ>K4> z4lSDpUT0mWOIgotU9Zb1m#&vM*6Dq0=6G*_qi_A1Q#Y&~o?J7oM%xp?9a2gxK%Qy6 z^jf#)V2nVH#GR3&y@;wxdKmrmXTe_5l)C_2_)|O-HQpSbTyyH0v6I-mS#&*NI@FDU zN$*2lKFHd%?N!c$UQ_nfZ{lQ~=G6xl{g&xL#ESRunDWT&$eiR}uO)|U3&zR8D0S>+ zdI?i2>8I#V-jZbEMfi@=kGxsR*na~#kIb0s(iDG=ZRTj34UP@jS$&x?GJ}n<@*Yzxk*ZbVo`+8fKS|)3$c};k&LzhvRqLhTJKL$TQ7f(g3XK*Z$IjIyUL1D*tijP@o^I-a@5N%&C>__pknJ5aAPy~3FO~n z<5*lH9*c5k?DKgM6uB+Plwn-I3d~)|i?Jy~oICZL`z+5#a;pU8}j-=jPiIrLl+qfMb` z3JLMSSoN@A(}Iyzt1Ekj_}(q>lXNtWnLe{)iGg=ppGW3CpCqFSK6*(Leut8`TAxG7 ziGmz#XUS)`WU1@_H>jr`-a2Im>5kFC(VrZmDN`a(G_j5k4)MOhUp;^6O19H>`caM2 z;kh|5hQ8>D51Y9>a?9d|k;TI+8;3_nMu!_(Ry8adZER>BE{rTJENLu^ET&vEaqw37 zRoo9(A}0P-qbr*iH8wY|TC%FpJhG^HxVdHJ%7x7%i<=fTH5Znwj&gIK@#BP`-hN`Y z9-ezQbV}1HmPc-CS-Gldq-kZ-!bK|^RY$W5u|fb z(w?4j8vS&eSvZMp2q4+okfwbWf)+F8<^(K4pLwJO2WK+c*SgPon#+VB=# z(Z>U)T;0mkM9!>j8&|F!9~;e=SLD;%K%;_mJa`Nt)XgkoE(kMD0G#GcuZ0pNw_^-< zR~+;_`4h<%F8x&${94Sq+~u zMDIDL{bbk_*ng&h;rUbyR|E{F85nrsc;UIJ7f28l2e=o}@UOj)y@v8Q||s2Vao@&!>l*2Gl&j@#h%L zdOT55rUOyIo~XQ;BpbOc2jeXU=Z|!%Cqo~6rwOL;z0b)&qZvJu`%BT7qVJOY9}3`| zJ;y+aF;ROG{6sWzF(?iW^ccaYjNx0WlEY{u_w-n<)`z!Z45E1ijUN854B&+Y4T3bZ zY16*S4?YSwM_+o~;zZ!&KzGK=iLngu)@oq>1#n(y6+1igy~Tm${naMiW1&+)f?VS8 zc>s-U4^Let^lHPQ_aLAty8e0`IVSkeGQdUne{Qs0d(D!bB`N;}8q*v&N%+kHzOC3- zk~-zK)^D0N9zr8ymvP+x5^&OoJ1(l6idX24Ypu3E3~22u*iFF<*rypqXZ%~>j^kLk zMNXo^pntE~AUdBkDL#_Um?Ze4e(=WtCw2BI^>IG~{tIwEzrr=43+E+mm?DnDzA-^I zF^*5wi|E%NO1;SMX&7EFgCNCB^BjiPh=#XT{p|xb1^mkrUPb5n)5F>E45>^5>EMJO zuZ>iDlnn4HI=2CE z-elonnC~cuUXm4J%D<2aqVY6vaFuGvkF6Kgp-sjo34c29Y_rcr5UMa7WEg>G1XkEi zGRg5oFuTt+aBNJ$K~J6xIMhKT`tnTRsl&0rBn9HE4DeQ{3)0yc;KKN+3~;R)<;8&W zR1dFqcb5Axp^LWArfn!(;%CE${ot4S!8iHAFY|+6?g#&fAN&eG_(%QVSNg%P@`GRP z2me@l_-8TAsyU~%dPH3VIP2`I&&l{EB~Clh8S9(rl~>?Z-MO1Gz+0>Me@kUM6>rj> zSHZb0snAo>bAf(56*@Fki^=kZ42}!sTra^;(?Zc&#lI;%d`bsS82{FE@J%vuLi;{| zGcH}F_VQp?)vE9oPo*nEMksFp8h^Fj3=-4}(-|M?I00{V!$X$0R|Hz zK>THj@%w7UiWn~iHlwd?ZfGpZ)gb2{@Pj`BIO&rIhfVqX;PkmUv7>D0Lj!bECUk=r z`Us#M9cD9q%n$w{Klu56@Gtqne*rlDa)rhP%?FB+7}~T2Z?iAKzk+}YvJKjYfaWuC z-MrSV;e&1A-!DPoF?t?PZT~IMLQiFSBAxO+Jhx|C|?o48?t?THIzYnYqe8` z0q3)ad=zA;+>P&k>ne2*6g!7fd?sz{&yfsfiFJEr26)w}+=YPCx*8^;)++p>+R;^T z?&4JF8!=)an7f)t@m$LhID_I~Dt2eO-~L`dINx!oRlDf5b%?p+NJu(C{9<X#tlS2)!)2TDe+L$4de-w|8~%|D>P3hB2Jjkv7omGLgK`1C*Y6DZ zM}F}8{NO+KgWnIhJ@EQK;gFSSyf($^8y%@BNJVU;+iJSEp|L2>^@E=WIE|^{SQE<6 z_fviW;QX@U!TwUd*q`q!EgS4C_T|f&o_e_uWc>EifULK#XIWpdtE=3-EZaQ z^cZQI0OwQwygt32^n1Mhdx ziXr*2dXimJPqM$RC)u?iCic-G6)K|`SMfhKjmeTb`0gG>t@n*bz}NWy`1}O-Awvi z-IzXKH>NN6F|}4l!S!IOb=ecc`b&W0FXI`~m%Y$m0h&v0xw|}odjSJI{cTmh!do;k z>4NI3Xsk5?g8gqYz+0>MZ)AX1(Ye3P02keNBj9}37w4Bfg9E*T1Nrv8@(P|6F6-+V z>`s4DCp`K)Ka>6*aQtN)QQri#ZtL$&^(6aNJ;`paC)u~X$wcqp0y4gSj1g7t=71XL zE6>L@0)C+ZwZ;TQ;=y@qed)gI?W#Cy@iZ`-P-7M*rC zM%#f1gke(4A5$J!oC$zZ=dbv|`E3jM%X)us4(_$o3U95twsQu!=(asFz^lr0SNOp{3V8NG zbETj1s{rTSLw%(#+zM^lhR)UC;L%WM$agJ2J_HjxHinOZfj6!bpTV+$uK_rF{Qer~ zT1TGn>RK=59|szLxeg>j8rqDx-db(`1i(r!F6@8;4|Rx7z+A1-fWZq=lqm^-VC=cTq1m5033grZ+BnsiT@(-dq98hil#zC zW4@;^U+O9pvOP$41GxA^OT%;$UWPVf$AoD8l0U_l{VBfUPw`cMiofxv_?kb(*ZnEJ z0SeyUR&PbMm;2j#R+RcOJuUIKVB&I>={d(6eZYU`1O9t}@t64^zsVE-El>Q-p7?Kj z;&1W9e+T$XtF@S!Zmk2yck94$TOByQ2M&+*_IAKIiDz4H@9r-TA@p{@zksxuaZa&-a&?;e$gl@|%((?2mQPkSEr&t`blx#`&RYKxg+km+Ci;12@MZ+t9w^I?*Kd<`KGR{RVs z*^kSgd!c{f7l6%v@PGBP{UJZ)zXUw`t5W-_!)p_kK9H-Yl$f+v`Gt-Cd{+lHt?9bHu!3Qym;dJ6marW zZ~lDVNkdJmS1+mDy&{C){b~QbL4x_D&9ZJjI**-DqSZ33g*tlC-!s_PR+25z?I`k8 z!1!QZcWHp{@DKHLCx0gkxuWb_qLwyj?OZkOX^-`Ga#yb18De7NQ1rjLG;Wl zr)6^C^h~HTfO4g%mm7iMmwhkClc_^XJA2xW9_lUj4U}!)Sx6mGo-;G(J`0!(L-1_C zcm!7tXWA1@QTslWP1h7)q^j-MIwuqA+)SwRGNI1Tgt{OT>XJ;TOM!9>4h{mITkw>4 zi}eK~QTD}&5+=LSEmBEnE>!%%0X401O&r1#?L&C}$u_ly z$V8kft5!D{jVXi_5|x!J`SX+{=sI}dI2{W?#pwhWK6YuLWl_t*hUP`Wpx7qe%!^vr z+9!2x;ZBe$>tD?=%CH7XBeVf5YhMSGm?~>w&F)mz!$c%4Rbdxh=2{P>oiglP6S?y1 zH#X9*i1Pf?wIU{IudIr-4{ztnD0MPoI#pK3+I3b|$i%KmQd(IhYerU9%9@dt)v{(} zWyP!+Sy?q}MpjnNnvs>&)83(*uufsHhu&1pvU=)qgp#)eN!i%2ps*nE6pe=}rI^K{ zfIWP}4KmN)ry25{YbHnYrB#&`kRs7!qhsv&6XS)#VU10P6&B_5?WML-*V0m7zM=7u zd}BlMk357%t}ydt(ABbx2y;(yLu1agR`F+GlkGL!`4cxk`K#{^yJO0$x^rjx!THJ} zZwQQ@v2J`~a%k0vpbl-?Y&Zv!9xhx6IBodA%AxTMlj}B2h7^mPm<{5mLoS0HFW9FU zhE}gxJGx##Lz^)k@k<3)L6QTV?;0-a?7>fN;FX>Mo=o+JIK)njPP840#(CK9Kf2sI zgts6|-6g)y)4gm6`{jQUPW}5O!jqeTW!&xGXPRX6x4h6d1D$vwendBZcSTGy!Z~avS>EkFtdgKTfZEMYLiPs8xpTutR`-&S?{}L^lNb+XZp+l? z2k&>olMEls4qcHQdR%ts@!6sOCp+~2)(91sYbOATzg!`|E^#?c>YX6~c}oOO43#^3 zmgTY5$zdb6Llk0somivgf^#@KbOcb|R_N{-7{Yl#yI>7%hO8DXYdrB|*-ht#0^^sw zA}1OBLAO%dB(UBOz5(zBu$w=RQ98D*)H}c*>RDRuRxdx}Bn$(n+?FqOs;^86-uFv9 zBTMq}G`EpS(9_*eTX@d!gKzYMp9wh6u-8vcY*;zDVPaGa*3f2>_ZPYKCqXaH4!tBh z^uunbt-CG-oOiH$`=&o+DlZF#N$e!K&9CWooZKcsFLOg}wqG8=7xp#^J42fi&J|sz zOR(cGT*A36)8V*#u{$cd%J_~Jeqd$&=v0S586lRP6!$x5qdp_)#?P243SrH?XjeB3 zi(Tp~Xu1YF@m1`{wIzSqAsKH&uaoI0FbXo% zydf3Civh!K28K5VZZtMnjd&%X*u_Bc)^w5B3Fp_Gu68m|?30dSmw@6G{Iq#=#@ja? z#clz`iw26fr=!?Cpm@$eu|Fu>qkGSQ;aLO2JB6zt<_Zb0#bf#NSffxqxu7|}o+6c9YB30i>&(!4YT2L}WXYXXf% zc_0Yly%{xOLBOzCGl+@iU@$l)8Y*Z6#kJ4J2lc0Mz^JRc626eGlzjJ(91{@a@x?6D z_fZjdLQ1ezBe^ZpU0&!Pc%kq1LjQvo`X9Z}|Kx?f#|!;KFZ8`$=pT8Z?*lr;!2&5Y zT%$wv$6m_s_d@@~3;k1|JskgMFXaz-p&#@@|I7>hbD&d(?O@E!kPf8=%+0v|biOY4 zC?;p(qvNeH-Q1o8U+t;>B)577hwAD1K?V;#01A3#ze;=}V3=!QSmR_M|C3-ap-*;Aa7z zX51xp+}Xf$TqMs~wo&sTxA7cQRL)_$w_(_xAN$B&u(C2%hFYt5x z!T{bm7<(56;Zn&Kw~GMhLo@hB?e@VoeBE8CFY#B*Y&;kHnQ@69{KJ0mOa0)R0O#c- zo)ju}wO(X4jdn;1IHD0;P_}AIIaW-K8wiV?dWWsa#bCSxEdU4V#aU5 zs-w~H&o(eV=834pAOfL^%R5HwX~i`=IJbd&W%uq4Z`^Fn{d z%ks|#=tb7^?=7dSMpu7X#JV#_0`S=r4JpzwCwn zN@}#}Qt{iV&`FnSw|JqyKSyJlQAIpsu#0v; znD92Y3G9lUaKC{RI~geUO<@Mz*aaLW{M*sU_T;lbT0nG?1@8cyZT25@mn^1G8~~Oy z;k3nKuF)4cNl7bS`EBq~N-K;H(m_TB-O7>-y9LpBQ8S1!d5Dt%0=eD6P(hP6Nb}K1 z4URFH1aEM|$xb+XtP+7hJBwB~1sz}rOz`DsWP9>(vZ0Scqr-h;{AqZQzLt(chu}Hg zg&L3Y>uw4qx-a_FxcOr2N1P z%C+Gr56S=+w!bR_TxkDG!0~Ea?wE4>!1AF?HxdrcU_ooug!cgMnge5fF9L8#7JOBG zZa(lecD_I_aH1g)KSyn?QXFbzh|b@!lZq-qt^o|x;+Q{qQc*c45dkb@fD7%7e()wg z_(DH;vmd+#aQE0@;1?LqVlGI9emDVrp%?n1)aXWSd26-P9|JngF}oSIw`jZ=a{rS` z`-2i)Ri1kWX#Cm6JDUmTf^Tx*cWw&yEA6kqh5b9hd4~ltIOueGvl~u!Y7aS}@hE@l zrqC9Uf?1~TqQX6JHIkAt-R6bziNjc59bj&AVqC9f6yPJzObB~8*sxy^o0GUg#aUw-2U{{!H(th2PD)M@<;BFTOZ zfBLuJQ0cMUwt2q?8a+ngqCmO*gfq$X#XxiR?eAzClm^RfoAFEhj6bY4IK7amfX`ZK zT{hnvz0gfw=(Yge*ev~#+qS58dFk%>v|3% zy-keX=Is@3V{+QMN3e}=4c+<{u}yuLCv&W4ej1q^V<`1N#MOYBkFG}fEb8Bbk}s=1 z9n5;?VP6TTnTtD2v|Lxvifu1JjlNoNu(+>Alqx-DyFPvJ`^Bq=S z+}>^O;>sCx8%J>o$(>?3)lQ^IWrKl8I|**@_&z-fou7qbL^LzuVCn3m!` zn*I7#aac!?TjI@B<;kJOspQzpNvakt35i*%4j`*U45H4Htq?UntP<{dJ*IY>RH)hV z1jJF99Q0ABqhh|O_sOizZ4*oDK^pU=9;B}l$7{)#S1E)U)W(k6*I_rDAie`G77uew zug5g2B83@7Ew9^r{-{U4xYw81l~!zxGRMQ}zB*5q67E$@Y1v=&OG>p*h<;I!gPa_X z3W)i7PMThiZgBfTjiE)$4N2Ki&plWDN-agYCx)*c$*Mw1n7!RS3XuVdSsF9U?VLIY zpB9#?bGw+9y=`{H&VypUoM;U4o?^hf%P0}E!(Bo9b&zB6P!ZcKMj=0p&h-g9)QyN+ zghZYaR<&%jOT;=VRuPL>Ok#(x5JBG07%|QWy63XSV}HSsH)^?0^sRdiQc<50rmLF> zn*_(v)UruPOhbf(f>=jTY$;HqP(r5F3N32TO$jaEQQrQ(I_2y|LnMbXYz(?|kZ&dA zPCVM6D;{UXQF!h_v19vx_}oK`W1CdeqxWNm#YkfnF~|(4h)r9ne-1*w=pR3N0^7Nc zm$z>1V2>d^z$8@0@F<(3-_=S*mE$TVvm|9tJAuY4o!G~|`5_6prYOGtes1r1+ zgqve?9qe#x@sVKUnZC#Y!<{L$i#Ingcc8VcW%c#|r-b_fh?#QCxqHq% zkGVgJSLc}4n9h`V%-T`^`C~SwvoQ_kRL-0#$r}JOWqi2j!kJ>}tQ|A+h;px$_2@Zu z3&*;e)?*~sgQFha&J>PoId55`K2t1xE!mNE6po>@^h0UC)t_1Dd!PF;LK(Vp0x{hx$Y!LkOj%?(0hTW8&_)kYCtt@9bBc67Gm~ za_aYtN3s?@aDVthaMNBL7SO05GN!Q#B`GChPs|L1$M++>E4Ay|Fmi@S)o~;3h*_Gl zLTgeQqFNM(RYDnPwL>l9R*9IUZqgVeLq+V#o!F`i zQ4miF_oK6B!=$$pT11KGz8J(B+_`jU|{jIC+|Wy-foJSQdPi&R^;3b$cW zZOr|YL6#hKGriuV%DLL&F=Kt%fenjY6qyHIy?cMgM$G(Be7)VTN03vVuu9Wo*OltD zSW0B*7x$;c^*Ak#85T1=_Cibyl5)y%Pf9aDf}Li*u2f#4G3I`(!hKPsAZ~Bv2vX{; zkb03HqqGmhXBgpMb@_CSs*k;~OmI3-Bu1T^&X?c|@{yzW!0RR7Z0eqKROcYlbfDZ`N zJ1B~vf_T9K-L{BwaiI&dvuAflcP^co1vWlRjkLHnp&}R!Z4;WlU}It%8>tTcgen&TKMb{ifv$+|RWM0T7VW#fTS9c2Fjbn9^)FhQ#1|Un=Mfmj5 zwZ~&?;f=^j7%0r*GD<6A?eJmh=A8@hA^^cOZMI~FxLwTZ;q$hA$FC!{wV1IL8CVI; zpZM_0xw0h*F_p>r05_E$9!MJBxy#e!d&Y%N0fa^^0yhJ^)P-w+%mcRqyv&7T`6cfG zc)1G~=7-xn?Y!G~42ZKW`9 zRT_oRYKHpWIB@wWyhv1A5(;t6;aXI6f)OdynYPU9#V<7HIX*ZX9~gYKzcxNN;wvSE z^ZU+XxJg!dQkG|5j54rr^^WksD}7V3r<4SHVaRbS1^F`HMqWa2AtG)RYz0O`8mJ0{$x6j=s|q zV~F7pwQ0u;4!}l|1K;Tp!0<@F*E>9NEIv4}iGXt-OpXc(Re+M!3u23@w3&Sev*Xhf z<$|usLpy#w5UZw?1d1M9wjBmpaP(mOSZA6op0wY-8um4CL-#(P5UQ5J-)NW|R*Wqq zb!Kq>27DyPMg2n-D0a+=D1K8iV~!yyW-Uc&^u7Aq2xBpbDhY7RF(;}i_Et6y6W@O` zbsjQ^B#QQwkhqPuH*)6cFECB>Vta#11VYUXt_8RzE8Ns2BFSuz8TDrr)PoAp@+b_1Q;z=hSiXqRcA&^-9{Z#*Sf z2@DbIR8w#gr%EI1gXtf8CmY~J&TN7=rHQV|CbxNJ={?87F#p?l%TvLN!pf*n54m;X zpQost#EJ%yfl=dpo*G15#m3q(x?c#k6UJycUa|8aK~)RKO2O1M!@1g(XFR(tm$ zrXoYn5LB&@0~ssPl_mkUvRatvC>p57Zh>0SeFQ^rfmi^yW#w)wz`1ibVeq0E+fF54 zFf>wx`-BCJ#~A8Wpefi&P~qlYvhDr0=^2=Ez0I%`f+-oqtio@jE(K8*%;W%Wx@?h89cV>kIyQZo8j$P?EE1f>@MFh0L2;Fe|8d$DmzGT-zH%v^V#=t`5UQM(P-n3BiPa5&j1N G0RR8ZZ-AHp diff --git a/1ano/isd/quartus-projects/Teste/output_files/Teste1.asm.rpt b/1ano/isd/quartus-projects/Teste/output_files/Teste1.asm.rpt deleted file mode 100644 index b736c3c..0000000 --- a/1ano/isd/quartus-projects/Teste/output_files/Teste1.asm.rpt +++ /dev/null @@ -1,92 +0,0 @@ -Assembler report for Teste1 -Fri Dec 2 13:02:25 2022 -Quartus Prime Version 20.1.1 Build 720 11/11/2020 SJ Lite Edition - - ---------------------- -; Table of Contents ; ---------------------- - 1. Legal Notice - 2. Assembler Summary - 3. Assembler Settings - 4. Assembler Generated Files - 5. Assembler Device Options: Teste1.sof - 6. Assembler Messages - - - ----------------- -; Legal Notice ; ----------------- -Copyright (C) 2020 Intel Corporation. All rights reserved. -Your use of Intel Corporation's design tools, logic functions -and other software and tools, and any partner logic -functions, and any output files from any of the foregoing -(including device programming or simulation files), and any -associated documentation or information are expressly subject -to the terms and conditions of the Intel Program License -Subscription Agreement, the Intel Quartus Prime License Agreement, -the Intel FPGA IP License Agreement, or other applicable license -agreement, including, without limitation, that your use is for -the sole purpose of programming logic devices manufactured by -Intel and sold by Intel or its authorized distributors. Please -refer to the applicable agreement for further details, at -https://fpgasoftware.intel.com/eula. - - - -+---------------------------------------------------------------+ -; Assembler Summary ; -+-----------------------+---------------------------------------+ -; Assembler Status ; Successful - Fri Dec 2 13:02:25 2022 ; -; Revision Name ; Teste1 ; -; Top-level Entity Name ; Teste3 ; -; Family ; Cyclone IV E ; -; Device ; EP4CE6E22C6 ; -+-----------------------+---------------------------------------+ - - -+----------------------------------+ -; Assembler Settings ; -+--------+---------+---------------+ -; Option ; Setting ; Default Value ; -+--------+---------+---------------+ - - -+------------------------------------------------------------------------------------------+ -; Assembler Generated Files ; -+------------------------------------------------------------------------------------------+ -; File Name ; -+------------------------------------------------------------------------------------------+ -; /home/tiagorg/repos/uaveiro-leci/1ano/isd/quartus-projects/Teste/output_files/Teste1.sof ; -+------------------------------------------------------------------------------------------+ - - -+--------------------------------------+ -; Assembler Device Options: Teste1.sof ; -+----------------+---------------------+ -; Option ; Setting ; -+----------------+---------------------+ -; JTAG usercode ; 0x000933C1 ; -; Checksum ; 0x000933C1 ; -+----------------+---------------------+ - - -+--------------------+ -; Assembler Messages ; -+--------------------+ -Info: ******************************************************************* -Info: Running Quartus Prime Assembler - Info: Version 20.1.1 Build 720 11/11/2020 SJ Lite Edition - Info: Processing started: Fri Dec 2 13:02:25 2022 -Info: Command: quartus_asm --read_settings_files=off --write_settings_files=off Teste1 -c Teste1 -Warning (18236): Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance. -Info (115031): Writing out detailed assembly data for power analysis -Info (115030): Assembler is generating device programming files -Info: Quartus Prime Assembler was successful. 0 errors, 1 warning - Info: Peak virtual memory: 354 megabytes - Info: Processing ended: Fri Dec 2 13:02:25 2022 - Info: Elapsed time: 00:00:00 - Info: Total CPU time (on all processors): 00:00:00 - - diff --git a/1ano/isd/quartus-projects/Teste/output_files/Teste1.done b/1ano/isd/quartus-projects/Teste/output_files/Teste1.done deleted file mode 100644 index 2cf7232..0000000 --- a/1ano/isd/quartus-projects/Teste/output_files/Teste1.done +++ /dev/null @@ -1 +0,0 @@ -Fri Dec 2 13:02:28 2022 diff --git a/1ano/isd/quartus-projects/Teste/output_files/Teste1.eda.rpt b/1ano/isd/quartus-projects/Teste/output_files/Teste1.eda.rpt deleted file mode 100644 index 9a8bc62..0000000 --- a/1ano/isd/quartus-projects/Teste/output_files/Teste1.eda.rpt +++ /dev/null @@ -1,108 +0,0 @@ -EDA Netlist Writer report for Teste1 -Fri Dec 2 13:03:34 2022 -Quartus Prime Version 20.1.1 Build 720 11/11/2020 SJ Lite Edition - - ---------------------- -; Table of Contents ; ---------------------- - 1. Legal Notice - 2. EDA Netlist Writer Summary - 3. Simulation Settings - 4. Simulation Generated Files - 5. EDA Netlist Writer Messages - - - ----------------- -; Legal Notice ; ----------------- -Copyright (C) 2020 Intel Corporation. All rights reserved. -Your use of Intel Corporation's design tools, logic functions -and other software and tools, and any partner logic -functions, and any output files from any of the foregoing -(including device programming or simulation files), and any -associated documentation or information are expressly subject -to the terms and conditions of the Intel Program License -Subscription Agreement, the Intel Quartus Prime License Agreement, -the Intel FPGA IP License Agreement, or other applicable license -agreement, including, without limitation, that your use is for -the sole purpose of programming logic devices manufactured by -Intel and sold by Intel or its authorized distributors. Please -refer to the applicable agreement for further details, at -https://fpgasoftware.intel.com/eula. - - - -+-------------------------------------------------------------------+ -; EDA Netlist Writer Summary ; -+---------------------------+---------------------------------------+ -; EDA Netlist Writer Status ; Successful - Fri Dec 2 13:03:34 2022 ; -; Revision Name ; Teste1 ; -; Top-level Entity Name ; Teste3 ; -; Family ; Cyclone IV E ; -; Simulation Files Creation ; Successful ; -+---------------------------+---------------------------------------+ - - -+----------------------------------------------------------------------------------------------------------------------------+ -; Simulation Settings ; -+---------------------------------------------------------------------------------------------------+------------------------+ -; Option ; Setting ; -+---------------------------------------------------------------------------------------------------+------------------------+ -; Tool Name ; ModelSim-Altera (VHDL) ; -; Generate functional simulation netlist ; On ; -; Truncate long hierarchy paths ; Off ; -; Map illegal HDL characters ; Off ; -; Flatten buses into individual nodes ; Off ; -; Maintain hierarchy ; Off ; -; Bring out device-wide set/reset signals as ports ; Off ; -; Enable glitch filtering ; Off ; -; Do not write top level VHDL entity ; Off ; -; Disable detection of setup and hold time violations in the input registers of bi-directional pins ; Off ; -; Architecture name in VHDL output netlist ; structure ; -; Generate third-party EDA tool command script for RTL functional simulation ; Off ; -; Generate third-party EDA tool command script for gate-level simulation ; Off ; -+---------------------------------------------------------------------------------------------------+------------------------+ - - -+----------------------------------------------------------------------------------------------+ -; Simulation Generated Files ; -+----------------------------------------------------------------------------------------------+ -; Generated Files ; -+----------------------------------------------------------------------------------------------+ -; /home/tiagorg/repos/uaveiro-leci/1ano/isd/quartus-projects/Teste/simulation/qsim//Teste1.vho ; -+----------------------------------------------------------------------------------------------+ - - -+-----------------------------+ -; EDA Netlist Writer Messages ; -+-----------------------------+ -Info: ******************************************************************* -Info: Running Quartus Prime EDA Netlist Writer - Info: Version 20.1.1 Build 720 11/11/2020 SJ Lite Edition - Info: Copyright (C) 2020 Intel Corporation. All rights reserved. - Info: Your use of Intel Corporation's design tools, logic functions - Info: and other software and tools, and any partner logic - Info: functions, and any output files from any of the foregoing - Info: (including device programming or simulation files), and any - Info: associated documentation or information are expressly subject - Info: to the terms and conditions of the Intel Program License - Info: Subscription Agreement, the Intel Quartus Prime License Agreement, - Info: the Intel FPGA IP License Agreement, or other applicable license - Info: agreement, including, without limitation, that your use is for - Info: the sole purpose of programming logic devices manufactured by - Info: Intel and sold by Intel or its authorized distributors. Please - Info: refer to the applicable agreement for further details, at - Info: https://fpgasoftware.intel.com/eula. - Info: Processing started: Fri Dec 2 13:03:34 2022 -Info: Command: quartus_eda --write_settings_files=off --simulation=on --functional=on --flatten_buses=off --tool=modelsim_oem --format=vhdl --output_directory=/home/tiagorg/repos/uaveiro-leci/1ano/isd/quartus-projects/Teste/simulation/qsim/ Teste1 -c Teste1 -Warning (18236): Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance. -Info (204019): Generated file Teste1.vho in folder "/home/tiagorg/repos/uaveiro-leci/1ano/isd/quartus-projects/Teste/simulation/qsim//" for EDA simulation tool -Info: Quartus Prime EDA Netlist Writer was successful. 0 errors, 1 warning - Info: Peak virtual memory: 603 megabytes - Info: Processing ended: Fri Dec 2 13:03:34 2022 - Info: Elapsed time: 00:00:00 - Info: Total CPU time (on all processors): 00:00:00 - - diff --git a/1ano/isd/quartus-projects/Teste/output_files/Teste1.fit.rpt b/1ano/isd/quartus-projects/Teste/output_files/Teste1.fit.rpt deleted file mode 100644 index 7b8e0dd..0000000 --- a/1ano/isd/quartus-projects/Teste/output_files/Teste1.fit.rpt +++ /dev/null @@ -1,849 +0,0 @@ -Fitter report for Teste1 -Fri Dec 2 13:02:24 2022 -Quartus Prime Version 20.1.1 Build 720 11/11/2020 SJ Lite Edition - - ---------------------- -; Table of Contents ; ---------------------- - 1. Legal Notice - 2. Fitter Summary - 3. Fitter Settings - 4. Parallel Compilation - 5. Incremental Compilation Preservation Summary - 6. Incremental Compilation Partition Settings - 7. Incremental Compilation Placement Preservation - 8. Pin-Out File - 9. Fitter Resource Usage Summary - 10. Fitter Partition Statistics - 11. Input Pins - 12. Output Pins - 13. Dual Purpose and Dedicated Pins - 14. I/O Bank Usage - 15. All Package Pins - 16. I/O Assignment Warnings - 17. Fitter Resource Utilization by Entity - 18. Delay Chain Summary - 19. Pad To Core Delay Chain Fanout - 20. Routing Usage Summary - 21. LAB Logic Elements - 22. LAB Signals Sourced - 23. LAB Signals Sourced Out - 24. LAB Distinct Inputs - 25. I/O Rules Summary - 26. I/O Rules Details - 27. I/O Rules Matrix - 28. Fitter Device Options - 29. Operating Settings and Conditions - 30. Fitter Messages - 31. Fitter Suppressed Messages - - - ----------------- -; Legal Notice ; ----------------- -Copyright (C) 2020 Intel Corporation. All rights reserved. -Your use of Intel Corporation's design tools, logic functions -and other software and tools, and any partner logic -functions, and any output files from any of the foregoing -(including device programming or simulation files), and any -associated documentation or information are expressly subject -to the terms and conditions of the Intel Program License -Subscription Agreement, the Intel Quartus Prime License Agreement, -the Intel FPGA IP License Agreement, or other applicable license -agreement, including, without limitation, that your use is for -the sole purpose of programming logic devices manufactured by -Intel and sold by Intel or its authorized distributors. Please -refer to the applicable agreement for further details, at -https://fpgasoftware.intel.com/eula. - - - -+----------------------------------------------------------------------------------+ -; Fitter Summary ; -+------------------------------------+---------------------------------------------+ -; Fitter Status ; Successful - Fri Dec 2 13:02:24 2022 ; -; Quartus Prime Version ; 20.1.1 Build 720 11/11/2020 SJ Lite Edition ; -; Revision Name ; Teste1 ; -; Top-level Entity Name ; Teste3 ; -; Family ; Cyclone IV E ; -; Device ; EP4CE6E22C6 ; -; Timing Models ; Final ; -; Total logic elements ; 1 / 6,272 ( < 1 % ) ; -; Total combinational functions ; 1 / 6,272 ( < 1 % ) ; -; Dedicated logic registers ; 0 / 6,272 ( 0 % ) ; -; Total registers ; 0 ; -; Total pins ; 5 / 92 ( 5 % ) ; -; Total virtual pins ; 0 ; -; Total memory bits ; 0 / 276,480 ( 0 % ) ; -; Embedded Multiplier 9-bit elements ; 0 / 30 ( 0 % ) ; -; Total PLLs ; 0 / 2 ( 0 % ) ; -+------------------------------------+---------------------------------------------+ - - -+----------------------------------------------------------------------------------------------------------------------------------------------------+ -; Fitter Settings ; -+--------------------------------------------------------------------+---------------------------------------+---------------------------------------+ -; Option ; Setting ; Default Value ; -+--------------------------------------------------------------------+---------------------------------------+---------------------------------------+ -; Device ; auto ; ; -; Fit Attempts to Skip ; 0 ; 0.0 ; -; Use smart compilation ; Off ; Off ; -; Enable parallel Assembler and Timing Analyzer during compilation ; On ; On ; -; Enable compact report table ; Off ; Off ; -; Auto Merge PLLs ; On ; On ; -; Router Timing Optimization Level ; Normal ; Normal ; -; Perform Clocking Topology Analysis During Routing ; Off ; Off ; -; Placement Effort Multiplier ; 1.0 ; 1.0 ; -; Router Effort Multiplier ; 1.0 ; 1.0 ; -; Optimize Hold Timing ; All Paths ; All Paths ; -; Optimize Multi-Corner Timing ; On ; On ; -; Power Optimization During Fitting ; Normal compilation ; Normal compilation ; -; SSN Optimization ; Off ; Off ; -; Optimize Timing ; Normal compilation ; Normal compilation ; -; Optimize Timing for ECOs ; Off ; Off ; -; Regenerate Full Fit Report During ECO Compiles ; Off ; Off ; -; Optimize IOC Register Placement for Timing ; Normal ; Normal ; -; Limit to One Fitting Attempt ; Off ; Off ; -; Final Placement Optimizations ; Automatically ; Automatically ; -; Fitter Aggressive Routability Optimizations ; Automatically ; Automatically ; -; Fitter Initial Placement Seed ; 1 ; 1 ; -; Periphery to Core Placement and Routing Optimization ; Off ; Off ; -; PCI I/O ; Off ; Off ; -; Weak Pull-Up Resistor ; Off ; Off ; -; Enable Bus-Hold Circuitry ; Off ; Off ; -; Auto Packed Registers ; Auto ; Auto ; -; Auto Delay Chains ; On ; On ; -; Auto Delay Chains for High Fanout Input Pins ; Off ; Off ; -; Allow Single-ended Buffer for Differential-XSTL Input ; Off ; Off ; -; Treat Bidirectional Pin as Output Pin ; Off ; Off ; -; Perform Physical Synthesis for Combinational Logic for Fitting ; Off ; Off ; -; Perform Physical Synthesis for Combinational Logic for Performance ; Off ; Off ; -; Perform Register Duplication for Performance ; Off ; Off ; -; Perform Logic to Memory Mapping for Fitting ; Off ; Off ; -; Perform Register Retiming for Performance ; Off ; Off ; -; Perform Asynchronous Signal Pipelining ; Off ; Off ; -; Fitter Effort ; Auto Fit ; Auto Fit ; -; Physical Synthesis Effort Level ; Normal ; Normal ; -; Logic Cell Insertion - Logic Duplication ; Auto ; Auto ; -; Auto Register Duplication ; Auto ; Auto ; -; Auto Global Clock ; On ; On ; -; Auto Global Register Control Signals ; On ; On ; -; Reserve all unused pins ; As input tri-stated with weak pull-up ; As input tri-stated with weak pull-up ; -; Synchronizer Identification ; Auto ; Auto ; -; Enable Beneficial Skew Optimization ; On ; On ; -; Optimize Design for Metastability ; On ; On ; -; Force Fitter to Avoid Periphery Placement Warnings ; Off ; Off ; -; Enable input tri-state on active configuration pins in user mode ; Off ; Off ; -+--------------------------------------------------------------------+---------------------------------------+---------------------------------------+ - - -+------------------------------------------+ -; Parallel Compilation ; -+----------------------------+-------------+ -; Processors ; Number ; -+----------------------------+-------------+ -; Number detected on machine ; 8 ; -; Maximum allowed ; 4 ; -; ; ; -; Average used ; 1.00 ; -; Maximum used ; 4 ; -; ; ; -; Usage by Processor ; % Time Used ; -; Processor 1 ; 100.0% ; -; Processors 2-4 ; 0.1% ; -+----------------------------+-------------+ - - -+-------------------------------------------------------------------------------------------------+ -; Incremental Compilation Preservation Summary ; -+---------------------+-------------------+----------------------------+--------------------------+ -; Type ; Total [A + B] ; From Design Partitions [A] ; From Rapid Recompile [B] ; -+---------------------+-------------------+----------------------------+--------------------------+ -; Placement (by node) ; ; ; ; -; -- Requested ; 0.00 % ( 0 / 22 ) ; 0.00 % ( 0 / 22 ) ; 0.00 % ( 0 / 22 ) ; -; -- Achieved ; 0.00 % ( 0 / 22 ) ; 0.00 % ( 0 / 22 ) ; 0.00 % ( 0 / 22 ) ; -; ; ; ; ; -; Routing (by net) ; ; ; ; -; -- Requested ; 0.00 % ( 0 / 0 ) ; 0.00 % ( 0 / 0 ) ; 0.00 % ( 0 / 0 ) ; -; -- Achieved ; 0.00 % ( 0 / 0 ) ; 0.00 % ( 0 / 0 ) ; 0.00 % ( 0 / 0 ) ; -+---------------------+-------------------+----------------------------+--------------------------+ - - -+----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Incremental Compilation Partition Settings ; -+--------------------------------+----------------+-------------------+-------------------------+------------------------+------------------------------+--------------------------------+ -; Partition Name ; Partition Type ; Netlist Type Used ; Preservation Level Used ; Netlist Type Requested ; Preservation Level Requested ; Contents ; -+--------------------------------+----------------+-------------------+-------------------------+------------------------+------------------------------+--------------------------------+ -; Top ; User-created ; Source File ; N/A ; Source File ; N/A ; ; -; hard_block:auto_generated_inst ; Auto-generated ; Source File ; N/A ; Source File ; N/A ; hard_block:auto_generated_inst ; -+--------------------------------+----------------+-------------------+-------------------------+------------------------+------------------------------+--------------------------------+ - - -+------------------------------------------------------------------------------------------------------------------------------------+ -; Incremental Compilation Placement Preservation ; -+--------------------------------+-----------------------+-------------------------+-------------------+---------------------+-------+ -; Partition Name ; Preservation Achieved ; Preservation Level Used ; Netlist Type Used ; Preservation Method ; Notes ; -+--------------------------------+-----------------------+-------------------------+-------------------+---------------------+-------+ -; Top ; 0.00 % ( 0 / 12 ) ; N/A ; Source File ; N/A ; ; -; hard_block:auto_generated_inst ; 0.00 % ( 0 / 10 ) ; N/A ; Source File ; N/A ; ; -+--------------------------------+-----------------------+-------------------------+-------------------+---------------------+-------+ - - -+--------------+ -; Pin-Out File ; -+--------------+ -The pin-out file can be found in /home/tiagorg/repos/uaveiro-leci/1ano/isd/quartus-projects/Teste/output_files/Teste1.pin. - - -+-------------------------------------------------------------------+ -; Fitter Resource Usage Summary ; -+---------------------------------------------+---------------------+ -; Resource ; Usage ; -+---------------------------------------------+---------------------+ -; Total logic elements ; 1 / 6,272 ( < 1 % ) ; -; -- Combinational with no register ; 1 ; -; -- Register only ; 0 ; -; -- Combinational with a register ; 0 ; -; ; ; -; Logic element usage by number of LUT inputs ; ; -; -- 4 input functions ; 1 ; -; -- 3 input functions ; 0 ; -; -- <=2 input functions ; 0 ; -; -- Register only ; 0 ; -; ; ; -; Logic elements by mode ; ; -; -- normal mode ; 1 ; -; -- arithmetic mode ; 0 ; -; ; ; -; Total registers* ; 0 / 6,684 ( 0 % ) ; -; -- Dedicated logic registers ; 0 / 6,272 ( 0 % ) ; -; -- I/O registers ; 0 / 412 ( 0 % ) ; -; ; ; -; Total LABs: partially or completely used ; 1 / 392 ( < 1 % ) ; -; Virtual pins ; 0 ; -; I/O pins ; 5 / 92 ( 5 % ) ; -; -- Clock pins ; 0 / 3 ( 0 % ) ; -; -- Dedicated input pins ; 0 / 9 ( 0 % ) ; -; ; ; -; M9Ks ; 0 / 30 ( 0 % ) ; -; Total block memory bits ; 0 / 276,480 ( 0 % ) ; -; Total block memory implementation bits ; 0 / 276,480 ( 0 % ) ; -; Embedded Multiplier 9-bit elements ; 0 / 30 ( 0 % ) ; -; PLLs ; 0 / 2 ( 0 % ) ; -; Global signals ; 0 ; -; -- Global clocks ; 0 / 10 ( 0 % ) ; -; JTAGs ; 0 / 1 ( 0 % ) ; -; CRC blocks ; 0 / 1 ( 0 % ) ; -; ASMI blocks ; 0 / 1 ( 0 % ) ; -; Oscillator blocks ; 0 / 1 ( 0 % ) ; -; Impedance control blocks ; 0 / 4 ( 0 % ) ; -; Average interconnect usage (total/H/V) ; 0.0% / 0.0% / 0.0% ; -; Peak interconnect usage (total/H/V) ; 0.1% / 0.0% / 0.1% ; -; Maximum fan-out ; 1 ; -; Highest non-global fan-out ; 1 ; -; Total fan-out ; 15 ; -; Average fan-out ; 0.71 ; -+---------------------------------------------+---------------------+ -* Register count does not include registers inside RAM blocks or DSP blocks. - - - -+---------------------------------------------------------------------------------------------------+ -; Fitter Partition Statistics ; -+---------------------------------------------+--------------------+--------------------------------+ -; Statistic ; Top ; hard_block:auto_generated_inst ; -+---------------------------------------------+--------------------+--------------------------------+ -; Difficulty Clustering Region ; Low ; Low ; -; ; ; ; -; Total logic elements ; 1 / 6272 ( < 1 % ) ; 0 / 6272 ( 0 % ) ; -; -- Combinational with no register ; 1 ; 0 ; -; -- Register only ; 0 ; 0 ; -; -- Combinational with a register ; 0 ; 0 ; -; ; ; ; -; Logic element usage by number of LUT inputs ; ; ; -; -- 4 input functions ; 1 ; 0 ; -; -- 3 input functions ; 0 ; 0 ; -; -- <=2 input functions ; 0 ; 0 ; -; -- Register only ; 0 ; 0 ; -; ; ; ; -; Logic elements by mode ; ; ; -; -- normal mode ; 1 ; 0 ; -; -- arithmetic mode ; 0 ; 0 ; -; ; ; ; -; Total registers ; 0 ; 0 ; -; -- Dedicated logic registers ; 0 / 6272 ( 0 % ) ; 0 / 6272 ( 0 % ) ; -; -- I/O registers ; 0 ; 0 ; -; ; ; ; -; Total LABs: partially or completely used ; 1 / 392 ( < 1 % ) ; 0 / 392 ( 0 % ) ; -; ; ; ; -; Virtual pins ; 0 ; 0 ; -; I/O pins ; 5 ; 0 ; -; Embedded Multiplier 9-bit elements ; 0 / 30 ( 0 % ) ; 0 / 30 ( 0 % ) ; -; Total memory bits ; 0 ; 0 ; -; Total RAM block bits ; 0 ; 0 ; -; ; ; ; -; Connections ; ; ; -; -- Input Connections ; 0 ; 0 ; -; -- Registered Input Connections ; 0 ; 0 ; -; -- Output Connections ; 0 ; 0 ; -; -- Registered Output Connections ; 0 ; 0 ; -; ; ; ; -; Internal Connections ; ; ; -; -- Total Connections ; 10 ; 5 ; -; -- Registered Connections ; 0 ; 0 ; -; ; ; ; -; External Connections ; ; ; -; -- Top ; 0 ; 0 ; -; -- hard_block:auto_generated_inst ; 0 ; 0 ; -; ; ; ; -; Partition Interface ; ; ; -; -- Input Ports ; 4 ; 0 ; -; -- Output Ports ; 1 ; 0 ; -; -- Bidir Ports ; 0 ; 0 ; -; ; ; ; -; Registered Ports ; ; ; -; -- Registered Input Ports ; 0 ; 0 ; -; -- Registered Output Ports ; 0 ; 0 ; -; ; ; ; -; Port Connectivity ; ; ; -; -- Input Ports driven by GND ; 0 ; 0 ; -; -- Output Ports driven by GND ; 0 ; 0 ; -; -- Input Ports driven by VCC ; 0 ; 0 ; -; -- Output Ports driven by VCC ; 0 ; 0 ; -; -- Input Ports with no Source ; 0 ; 0 ; -; -- Output Ports with no Source ; 0 ; 0 ; -; -- Input Ports with no Fanout ; 0 ; 0 ; -; -- Output Ports with no Fanout ; 0 ; 0 ; -+---------------------------------------------+--------------------+--------------------------------+ - - -+---------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Input Pins ; -+------+-------+----------+--------------+--------------+--------------+-----------------------+--------------------+--------+----------------+---------------+-----------------+----------+--------------+--------------+---------------------------+----------------------+-----------+ -; Name ; Pin # ; I/O Bank ; X coordinate ; Y coordinate ; Z coordinate ; Combinational Fan-Out ; Registered Fan-Out ; Global ; Input Register ; Power Up High ; PCI I/O Enabled ; Bus Hold ; Weak Pull Up ; I/O Standard ; Termination Control Block ; Location assigned by ; Slew Rate ; -+------+-------+----------+--------------+--------------+--------------+-----------------------+--------------------+--------+----------------+---------------+-----------------+----------+--------------+--------------+---------------------------+----------------------+-----------+ -; A ; 31 ; 2 ; 0 ; 7 ; 0 ; 1 ; 0 ; no ; no ; no ; yes ; no ; Off ; 2.5 V ; -- ; Fitter ; no ; -; B ; 43 ; 3 ; 5 ; 0 ; 21 ; 1 ; 0 ; no ; no ; no ; yes ; no ; Off ; 2.5 V ; -- ; Fitter ; no ; -; C ; 32 ; 2 ; 0 ; 6 ; 14 ; 1 ; 0 ; no ; no ; no ; yes ; no ; Off ; 2.5 V ; -- ; Fitter ; no ; -; D ; 33 ; 2 ; 0 ; 6 ; 21 ; 1 ; 0 ; no ; no ; no ; yes ; no ; Off ; 2.5 V ; -- ; Fitter ; no ; -+------+-------+----------+--------------+--------------+--------------+-----------------------+--------------------+--------+----------------+---------------+-----------------+----------+--------------+--------------+---------------------------+----------------------+-----------+ - - -+-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Output Pins ; -+------+-------+----------+--------------+--------------+--------------+-----------------+------------------------+---------------+-----------+-----------------+------------+---------------+----------+--------------+--------------+------------------+-----------------------------------+---------------------------+----------------------------+-----------------------------+----------------------+----------------------+---------------------+ -; Name ; Pin # ; I/O Bank ; X coordinate ; Y coordinate ; Z coordinate ; Output Register ; Output Enable Register ; Power Up High ; Slew Rate ; PCI I/O Enabled ; Open Drain ; TRI Primitive ; Bus Hold ; Weak Pull Up ; I/O Standard ; Current Strength ; Termination ; Termination Control Block ; Output Buffer Pre-emphasis ; Voltage Output Differential ; Location assigned by ; Output Enable Source ; Output Enable Group ; -+------+-------+----------+--------------+--------------+--------------+-----------------+------------------------+---------------+-----------+-----------------+------------+---------------+----------+--------------+--------------+------------------+-----------------------------------+---------------------------+----------------------------+-----------------------------+----------------------+----------------------+---------------------+ -; F ; 34 ; 2 ; 0 ; 5 ; 14 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 2.5 V ; Default ; Series 50 Ohm without Calibration ; -- ; no ; no ; Fitter ; - ; - ; -+------+-------+----------+--------------+--------------+--------------+-----------------+------------------------+---------------+-----------+-----------------+------------+---------------+----------+--------------+--------------+------------------+-----------------------------------+---------------------------+----------------------------+-----------------------------+----------------------+----------------------+---------------------+ - - -+-------------------------------------------------------------------------------------------------------------------------+ -; Dual Purpose and Dedicated Pins ; -+----------+-----------------------------+--------------------------+-------------------------+---------------------------+ -; Location ; Pin Name ; Reserved As ; User Signal Name ; Pin Type ; -+----------+-----------------------------+--------------------------+-------------------------+---------------------------+ -; 6 ; DIFFIO_L1n, DATA1, ASDO ; As input tri-stated ; ~ALTERA_ASDO_DATA1~ ; Dual Purpose Pin ; -; 8 ; DIFFIO_L2p, FLASH_nCE, nCSO ; As input tri-stated ; ~ALTERA_FLASH_nCE_nCSO~ ; Dual Purpose Pin ; -; 9 ; nSTATUS ; - ; - ; Dedicated Programming Pin ; -; 12 ; DCLK ; As output driving ground ; ~ALTERA_DCLK~ ; Dual Purpose Pin ; -; 13 ; DATA0 ; As input tri-stated ; ~ALTERA_DATA0~ ; Dual Purpose Pin ; -; 14 ; nCONFIG ; - ; - ; Dedicated Programming Pin ; -; 21 ; nCE ; - ; - ; Dedicated Programming Pin ; -; 92 ; CONF_DONE ; - ; - ; Dedicated Programming Pin ; -; 94 ; MSEL0 ; - ; - ; Dedicated Programming Pin ; -; 96 ; MSEL1 ; - ; - ; Dedicated Programming Pin ; -; 97 ; MSEL2 ; - ; - ; Dedicated Programming Pin ; -; 97 ; MSEL3 ; - ; - ; Dedicated Programming Pin ; -; 101 ; DIFFIO_R3n, nCEO ; Use as programming pin ; ~ALTERA_nCEO~ ; Dual Purpose Pin ; -+----------+-----------------------------+--------------------------+-------------------------+---------------------------+ - - -+-----------------------------------------------------------+ -; I/O Bank Usage ; -+----------+-----------------+---------------+--------------+ -; I/O Bank ; Usage ; VCCIO Voltage ; VREF Voltage ; -+----------+-----------------+---------------+--------------+ -; 1 ; 4 / 11 ( 36 % ) ; 2.5V ; -- ; -; 2 ; 4 / 8 ( 50 % ) ; 2.5V ; -- ; -; 3 ; 1 / 11 ( 9 % ) ; 2.5V ; -- ; -; 4 ; 0 / 14 ( 0 % ) ; 2.5V ; -- ; -; 5 ; 0 / 13 ( 0 % ) ; 2.5V ; -- ; -; 6 ; 1 / 10 ( 10 % ) ; 2.5V ; -- ; -; 7 ; 0 / 13 ( 0 % ) ; 2.5V ; -- ; -; 8 ; 0 / 12 ( 0 % ) ; 2.5V ; -- ; -+----------+-----------------+---------------+--------------+ - - -+-----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; All Package Pins ; -+----------+------------+----------+-----------------------------------------------------------+--------+--------------+---------+------------+-----------------+----------+--------------+ -; Location ; Pad Number ; I/O Bank ; Pin Name/Usage ; Dir. ; I/O Standard ; Voltage ; I/O Type ; User Assignment ; Bus Hold ; Weak Pull Up ; -+----------+------------+----------+-----------------------------------------------------------+--------+--------------+---------+------------+-----------------+----------+--------------+ -; 1 ; 0 ; 1 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; 2 ; 1 ; 1 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; 3 ; 2 ; 1 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; 4 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; -; 5 ; ; ; VCCINT ; power ; ; 1.2V ; -- ; ; -- ; -- ; -; 6 ; 5 ; 1 ; ~ALTERA_ASDO_DATA1~ / RESERVED_INPUT_WITH_WEAK_PULLUP ; input ; 2.5 V ; ; Row I/O ; N ; no ; On ; -; 7 ; 6 ; 1 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; -- ; -- ; -; 8 ; 7 ; 1 ; ~ALTERA_FLASH_nCE_nCSO~ / RESERVED_INPUT_WITH_WEAK_PULLUP ; input ; 2.5 V ; ; Row I/O ; N ; no ; On ; -; 9 ; 9 ; 1 ; ^nSTATUS ; ; ; ; -- ; ; -- ; -- ; -; 10 ; 13 ; 1 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; 11 ; 14 ; 1 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; 12 ; 15 ; 1 ; ~ALTERA_DCLK~ ; output ; 2.5 V ; ; Row I/O ; N ; no ; On ; -; 13 ; 16 ; 1 ; ~ALTERA_DATA0~ / RESERVED_INPUT_WITH_WEAK_PULLUP ; input ; 2.5 V ; ; Row I/O ; N ; no ; On ; -; 14 ; 17 ; 1 ; ^nCONFIG ; ; ; ; -- ; ; -- ; -- ; -; 15 ; 18 ; 1 ; #TDI ; input ; ; ; -- ; ; -- ; -- ; -; 16 ; 19 ; 1 ; #TCK ; input ; ; ; -- ; ; -- ; -- ; -; 17 ; ; 1 ; VCCIO1 ; power ; ; 2.5V ; -- ; ; -- ; -- ; -; 18 ; 20 ; 1 ; #TMS ; input ; ; ; -- ; ; -- ; -- ; -; 19 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; -; 20 ; 21 ; 1 ; #TDO ; output ; ; ; -- ; ; -- ; -- ; -; 21 ; 22 ; 1 ; ^nCE ; ; ; ; -- ; ; -- ; -- ; -; 22 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; -; 23 ; 24 ; 1 ; GND+ ; ; ; ; Row I/O ; ; -- ; -- ; -; 24 ; 25 ; 2 ; GND+ ; ; ; ; Row I/O ; ; -- ; -- ; -; 25 ; 26 ; 2 ; GND+ ; ; ; ; Row I/O ; ; -- ; -- ; -; 26 ; ; 2 ; VCCIO2 ; power ; ; 2.5V ; -- ; ; -- ; -- ; -; 27 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; -; 28 ; 31 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; 29 ; ; ; VCCINT ; power ; ; 1.2V ; -- ; ; -- ; -- ; -; 30 ; 34 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; 31 ; 36 ; 2 ; A ; input ; 2.5 V ; ; Row I/O ; N ; no ; Off ; -; 32 ; 39 ; 2 ; C ; input ; 2.5 V ; ; Row I/O ; N ; no ; Off ; -; 33 ; 40 ; 2 ; D ; input ; 2.5 V ; ; Row I/O ; N ; no ; Off ; -; 34 ; 41 ; 2 ; F ; output ; 2.5 V ; ; Row I/O ; N ; no ; Off ; -; 35 ; ; -- ; VCCA1 ; power ; ; 2.5V ; -- ; ; -- ; -- ; -; 36 ; ; ; GNDA1 ; gnd ; ; ; -- ; ; -- ; -- ; -; 37 ; ; ; VCCD_PLL1 ; power ; ; 1.2V ; -- ; ; -- ; -- ; -; 38 ; 45 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; 39 ; 46 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; 40 ; ; 3 ; VCCIO3 ; power ; ; 2.5V ; -- ; ; -- ; -- ; -; 41 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; -; 42 ; 52 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; 43 ; 53 ; 3 ; B ; input ; 2.5 V ; ; Column I/O ; N ; no ; Off ; -; 44 ; 54 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; 45 ; ; ; VCCINT ; power ; ; 1.2V ; -- ; ; -- ; -- ; -; 46 ; 58 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; -- ; -- ; -; 47 ; ; 3 ; VCCIO3 ; power ; ; 2.5V ; -- ; ; -- ; -- ; -; 48 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; -; 49 ; 68 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; 50 ; 69 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; 51 ; 70 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; 52 ; 72 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; 53 ; 73 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; 54 ; 74 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; 55 ; 75 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; 56 ; ; 4 ; VCCIO4 ; power ; ; 2.5V ; -- ; ; -- ; -- ; -; 57 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; -; 58 ; 80 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; 59 ; 83 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; 60 ; 84 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; 61 ; ; ; VCCINT ; power ; ; 1.2V ; -- ; ; -- ; -- ; -; 62 ; ; 4 ; VCCIO4 ; power ; ; 2.5V ; -- ; ; -- ; -- ; -; 63 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; -; 64 ; 89 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; 65 ; 90 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; -- ; -- ; -; 66 ; 93 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; 67 ; 94 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; 68 ; 96 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; 69 ; 97 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; 70 ; 98 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; 71 ; 99 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; 72 ; 100 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; 73 ; 102 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; 74 ; 103 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; 75 ; 104 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; 76 ; 106 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; 77 ; 107 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; 78 ; ; ; VCCINT ; power ; ; 1.2V ; -- ; ; -- ; -- ; -; 79 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; -; 80 ; 113 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; -- ; -- ; -; 81 ; ; 5 ; VCCIO5 ; power ; ; 2.5V ; -- ; ; -- ; -- ; -; 82 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; -; 83 ; 117 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; 84 ; 118 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; 85 ; 119 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; 86 ; 120 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; 87 ; 121 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; 88 ; 125 ; 5 ; GND+ ; ; ; ; Row I/O ; ; -- ; -- ; -; 89 ; 126 ; 5 ; GND+ ; ; ; ; Row I/O ; ; -- ; -- ; -; 90 ; 127 ; 6 ; GND+ ; ; ; ; Row I/O ; ; -- ; -- ; -; 91 ; 128 ; 6 ; GND+ ; ; ; ; Row I/O ; ; -- ; -- ; -; 92 ; 129 ; 6 ; ^CONF_DONE ; ; ; ; -- ; ; -- ; -- ; -; 93 ; ; 6 ; VCCIO6 ; power ; ; 2.5V ; -- ; ; -- ; -- ; -; 94 ; 130 ; 6 ; ^MSEL0 ; ; ; ; -- ; ; -- ; -- ; -; 95 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; -; 96 ; 131 ; 6 ; ^MSEL1 ; ; ; ; -- ; ; -- ; -- ; -; 97 ; 132 ; 6 ; ^MSEL2 ; ; ; ; -- ; ; -- ; -- ; -; 97 ; 133 ; 6 ; ^MSEL3 ; ; ; ; -- ; ; -- ; -- ; -; 98 ; 136 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; 99 ; 137 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; 100 ; 138 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; 101 ; 139 ; 6 ; ~ALTERA_nCEO~ / RESERVED_OUTPUT_OPEN_DRAIN ; output ; 2.5 V ; ; Row I/O ; N ; no ; Off ; -; 102 ; ; ; VCCINT ; power ; ; 1.2V ; -- ; ; -- ; -- ; -; 103 ; 140 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; 104 ; 141 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; 105 ; 142 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; -- ; -- ; -; 106 ; 146 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; 107 ; ; -- ; VCCA2 ; power ; ; 2.5V ; -- ; ; -- ; -- ; -; 108 ; ; ; GNDA2 ; gnd ; ; ; -- ; ; -- ; -- ; -; 109 ; ; ; VCCD_PLL2 ; power ; ; 1.2V ; -- ; ; -- ; -- ; -; 110 ; 152 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; 111 ; 154 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; 112 ; 155 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; 113 ; 156 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; 114 ; 157 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; 115 ; 158 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; 116 ; ; ; VCCINT ; power ; ; 1.2V ; -- ; ; -- ; -- ; -; 117 ; ; 7 ; VCCIO7 ; power ; ; 2.5V ; -- ; ; -- ; -- ; -; 118 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; -; 119 ; 163 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; -- ; -- ; -; 120 ; 164 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; 121 ; 165 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; 122 ; ; 7 ; VCCIO7 ; power ; ; 2.5V ; -- ; ; -- ; -- ; -; 123 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; -; 124 ; 173 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; 125 ; 174 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; 126 ; 175 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; 127 ; 176 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; 128 ; 177 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; 129 ; 178 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; 130 ; ; 8 ; VCCIO8 ; power ; ; 2.5V ; -- ; ; -- ; -- ; -; 131 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; -; 132 ; 181 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; 133 ; 182 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; 134 ; ; ; VCCINT ; power ; ; 1.2V ; -- ; ; -- ; -- ; -; 135 ; 185 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; 136 ; 187 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; -- ; -- ; -; 137 ; 190 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; 138 ; 191 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; 139 ; ; 8 ; VCCIO8 ; power ; ; 2.5V ; -- ; ; -- ; -- ; -; 140 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; -; 141 ; 195 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; 142 ; 201 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; 143 ; 202 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; 144 ; 203 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; EPAD ; ; ; GND ; ; ; ; -- ; ; -- ; -- ; -+----------+------------+----------+-----------------------------------------------------------+--------+--------------+---------+------------+-----------------+----------+--------------+ -Note: Pin directions (input, output or bidir) are based on device operating in user mode. - - -+------------------------------------------+ -; I/O Assignment Warnings ; -+----------+-------------------------------+ -; Pin Name ; Reason ; -+----------+-------------------------------+ -; F ; Incomplete set of assignments ; -; C ; Incomplete set of assignments ; -; B ; Incomplete set of assignments ; -; D ; Incomplete set of assignments ; -; A ; Incomplete set of assignments ; -; F ; Missing location assignment ; -; C ; Missing location assignment ; -; B ; Missing location assignment ; -; D ; Missing location assignment ; -; A ; Missing location assignment ; -+----------+-------------------------------+ - - -+-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Fitter Resource Utilization by Entity ; -+----------------------------+-------------+---------------------------+---------------+-------------+------+--------------+---------+-----------+------+--------------+--------------+-------------------+------------------+---------------------+-------------+--------------+ -; Compilation Hierarchy Node ; Logic Cells ; Dedicated Logic Registers ; I/O Registers ; Memory Bits ; M9Ks ; DSP Elements ; DSP 9x9 ; DSP 18x18 ; Pins ; Virtual Pins ; LUT-Only LCs ; Register-Only LCs ; LUT/Register LCs ; Full Hierarchy Name ; Entity Name ; Library Name ; -+----------------------------+-------------+---------------------------+---------------+-------------+------+--------------+---------+-----------+------+--------------+--------------+-------------------+------------------+---------------------+-------------+--------------+ -; |Teste3 ; 1 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 5 ; 0 ; 1 (0) ; 0 (0) ; 0 (0) ; |Teste3 ; Teste3 ; work ; -; |Teste1:inst| ; 1 (1) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 (1) ; 0 (0) ; 0 (0) ; |Teste3|Teste1:inst ; Teste1 ; work ; -+----------------------------+-------------+---------------------------+---------------+-------------+------+--------------+---------+-----------+------+--------------+--------------+-------------------+------------------+---------------------+-------------+--------------+ -Note: For table entries with two numbers listed, the numbers in parentheses indicate the number of resources of the given type used by the specific entity alone. The numbers listed outside of parentheses indicate the total resources of the given type used by the specific entity and all of its sub-entities in the hierarchy. - - -+--------------------------------------------------------------------------------------+ -; Delay Chain Summary ; -+------+----------+---------------+---------------+-----------------------+-----+------+ -; Name ; Pin Type ; Pad to Core 0 ; Pad to Core 1 ; Pad to Input Register ; TCO ; TCOE ; -+------+----------+---------------+---------------+-----------------------+-----+------+ -; F ; Output ; -- ; -- ; -- ; -- ; -- ; -; C ; Input ; (6) 1314 ps ; -- ; -- ; -- ; -- ; -; B ; Input ; -- ; (6) 1314 ps ; -- ; -- ; -- ; -; D ; Input ; (6) 1314 ps ; -- ; -- ; -- ; -- ; -; A ; Input ; -- ; (6) 1314 ps ; -- ; -- ; -- ; -+------+----------+---------------+---------------+-----------------------+-----+------+ - - -+----------------------------------------------------------+ -; Pad To Core Delay Chain Fanout ; -+----------------------------+-------------------+---------+ -; Source Pin / Fanout ; Pad To Core Index ; Setting ; -+----------------------------+-------------------+---------+ -; C ; ; ; -; - Teste1:inst|inst3~0 ; 0 ; 6 ; -; B ; ; ; -; - Teste1:inst|inst3~0 ; 1 ; 6 ; -; D ; ; ; -; - Teste1:inst|inst3~0 ; 0 ; 6 ; -; A ; ; ; -; - Teste1:inst|inst3~0 ; 1 ; 6 ; -+----------------------------+-------------------+---------+ - - -+----------------------------------------------+ -; Routing Usage Summary ; -+-----------------------+----------------------+ -; Routing Resource Type ; Usage ; -+-----------------------+----------------------+ -; Block interconnects ; 5 / 32,401 ( < 1 % ) ; -; C16 interconnects ; 1 / 1,326 ( < 1 % ) ; -; C4 interconnects ; 2 / 21,816 ( < 1 % ) ; -; Direct links ; 0 / 32,401 ( 0 % ) ; -; Global clocks ; 0 / 10 ( 0 % ) ; -; Local interconnects ; 0 / 10,320 ( 0 % ) ; -; R24 interconnects ; 0 / 1,289 ( 0 % ) ; -; R4 interconnects ; 1 / 28,186 ( < 1 % ) ; -+-----------------------+----------------------+ - - -+--------------------------------------------------------------------------+ -; LAB Logic Elements ; -+--------------------------------------------+-----------------------------+ -; Number of Logic Elements (Average = 1.00) ; Number of LABs (Total = 1) ; -+--------------------------------------------+-----------------------------+ -; 1 ; 1 ; -; 2 ; 0 ; -; 3 ; 0 ; -; 4 ; 0 ; -; 5 ; 0 ; -; 6 ; 0 ; -; 7 ; 0 ; -; 8 ; 0 ; -; 9 ; 0 ; -; 10 ; 0 ; -; 11 ; 0 ; -; 12 ; 0 ; -; 13 ; 0 ; -; 14 ; 0 ; -; 15 ; 0 ; -; 16 ; 0 ; -+--------------------------------------------+-----------------------------+ - - -+---------------------------------------------------------------------------+ -; LAB Signals Sourced ; -+---------------------------------------------+-----------------------------+ -; Number of Signals Sourced (Average = 1.00) ; Number of LABs (Total = 1) ; -+---------------------------------------------+-----------------------------+ -; 0 ; 0 ; -; 1 ; 1 ; -+---------------------------------------------+-----------------------------+ - - -+-------------------------------------------------------------------------------+ -; LAB Signals Sourced Out ; -+-------------------------------------------------+-----------------------------+ -; Number of Signals Sourced Out (Average = 1.00) ; Number of LABs (Total = 1) ; -+-------------------------------------------------+-----------------------------+ -; 0 ; 0 ; -; 1 ; 1 ; -+-------------------------------------------------+-----------------------------+ - - -+---------------------------------------------------------------------------+ -; LAB Distinct Inputs ; -+---------------------------------------------+-----------------------------+ -; Number of Distinct Inputs (Average = 4.00) ; Number of LABs (Total = 1) ; -+---------------------------------------------+-----------------------------+ -; 0 ; 0 ; -; 1 ; 0 ; -; 2 ; 0 ; -; 3 ; 0 ; -; 4 ; 1 ; -+---------------------------------------------+-----------------------------+ - - -+------------------------------------------+ -; I/O Rules Summary ; -+----------------------------------+-------+ -; I/O Rules Statistic ; Total ; -+----------------------------------+-------+ -; Total I/O Rules ; 30 ; -; Number of I/O Rules Passed ; 9 ; -; Number of I/O Rules Failed ; 0 ; -; Number of I/O Rules Unchecked ; 0 ; -; Number of I/O Rules Inapplicable ; 21 ; -+----------------------------------+-------+ - - -+-----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; I/O Rules Details ; -+--------------+-----------+-----------------------------------+------------------------------------------------------------------------------------------------------+----------+--------------------------------------------------------------------------+---------------------+-------------------+ -; Status ; ID ; Category ; Rule Description ; Severity ; Information ; Area ; Extra Information ; -+--------------+-----------+-----------------------------------+------------------------------------------------------------------------------------------------------+----------+--------------------------------------------------------------------------+---------------------+-------------------+ -; Inapplicable ; IO_000002 ; Capacity Checks ; Number of clocks in an I/O bank should not exceed the number of clocks available. ; Critical ; No Global Signal assignments found. ; I/O ; ; -; Inapplicable ; IO_000001 ; Capacity Checks ; Number of pins in an I/O bank should not exceed the number of locations available. ; Critical ; No Location assignments found. ; I/O ; ; -; Inapplicable ; IO_000003 ; Capacity Checks ; Number of pins in a Vrefgroup should not exceed the number of locations available. ; Critical ; No Location assignments found. ; I/O ; ; -; Inapplicable ; IO_000004 ; Voltage Compatibility Checks ; The I/O bank should support the requested VCCIO. ; Critical ; No IOBANK_VCCIO assignments found. ; I/O ; ; -; Inapplicable ; IO_000005 ; Voltage Compatibility Checks ; The I/O bank should not have competing VREF values. ; Critical ; No VREF I/O Standard assignments found. ; I/O ; ; -; Pass ; IO_000006 ; Voltage Compatibility Checks ; The I/O bank should not have competing VCCIO values. ; Critical ; 0 such failures found. ; I/O ; ; -; Inapplicable ; IO_000007 ; Valid Location Checks ; Checks for unavailable locations. ; Critical ; No Location assignments found. ; I/O ; ; -; Inapplicable ; IO_000008 ; Valid Location Checks ; Checks for reserved locations. ; Critical ; No reserved LogicLock region found. ; I/O ; ; -; Inapplicable ; IO_000047 ; I/O Properties Checks for One I/O ; On Chip Termination and Slew Rate should not be used at the same time. ; Critical ; No Slew Rate assignments found. ; I/O ; ; -; Inapplicable ; IO_000046 ; I/O Properties Checks for One I/O ; The location should support the requested Slew Rate value. ; Critical ; No Slew Rate assignments found. ; I/O ; ; -; Inapplicable ; IO_000045 ; I/O Properties Checks for One I/O ; The I/O standard should support the requested Slew Rate value. ; Critical ; No Slew Rate assignments found. ; I/O ; ; -; Inapplicable ; IO_000027 ; I/O Properties Checks for One I/O ; Weak Pull Up and Bus Hold should not be used at the same time. ; Critical ; No Enable Bus-Hold Circuitry or Weak Pull-Up Resistor assignments found. ; I/O ; ; -; Inapplicable ; IO_000026 ; I/O Properties Checks for One I/O ; On Chip Termination and Current Strength should not be used at the same time. ; Critical ; No Current Strength assignments found. ; I/O ; ; -; Pass ; IO_000024 ; I/O Properties Checks for One I/O ; The I/O direction should support the On Chip Termination value. ; Critical ; 0 such failures found. ; I/O ; ; -; Inapplicable ; IO_000023 ; I/O Properties Checks for One I/O ; The I/O standard should support the Open Drain value. ; Critical ; No open drain assignments found. ; I/O ; ; -; Inapplicable ; IO_000022 ; I/O Properties Checks for One I/O ; The I/O standard should support the requested Bus Hold value. ; Critical ; No Enable Bus-Hold Circuitry assignments found. ; I/O ; ; -; Inapplicable ; IO_000021 ; I/O Properties Checks for One I/O ; The I/O standard should support the requested Weak Pull Up value. ; Critical ; No Weak Pull-Up Resistor assignments found. ; I/O ; ; -; Pass ; IO_000020 ; I/O Properties Checks for One I/O ; The I/O standard should support the requested PCI Clamp Diode. ; Critical ; 0 such failures found. ; I/O ; ; -; Pass ; IO_000019 ; I/O Properties Checks for One I/O ; The I/O standard should support the requested On Chip Termination value. ; Critical ; 0 such failures found. ; I/O ; ; -; Inapplicable ; IO_000018 ; I/O Properties Checks for One I/O ; The I/O standard should support the requested Current Strength. ; Critical ; No Current Strength assignments found. ; I/O ; ; -; Pass ; IO_000015 ; I/O Properties Checks for One I/O ; The location should support the requested PCI Clamp Diode. ; Critical ; 0 such failures found. ; I/O ; ; -; Inapplicable ; IO_000014 ; I/O Properties Checks for One I/O ; The location should support the requested Weak Pull Up value. ; Critical ; No Weak Pull-Up Resistor assignments found. ; I/O ; ; -; Inapplicable ; IO_000013 ; I/O Properties Checks for One I/O ; The location should support the requested Bus Hold value. ; Critical ; No Enable Bus-Hold Circuitry assignments found. ; I/O ; ; -; Pass ; IO_000012 ; I/O Properties Checks for One I/O ; The location should support the requested On Chip Termination value. ; Critical ; 0 such failures found. ; I/O ; ; -; Inapplicable ; IO_000011 ; I/O Properties Checks for One I/O ; The location should support the requested Current Strength. ; Critical ; No Current Strength assignments found. ; I/O ; ; -; Pass ; IO_000010 ; I/O Properties Checks for One I/O ; The location should support the requested I/O direction. ; Critical ; 0 such failures found. ; I/O ; ; -; Pass ; IO_000009 ; I/O Properties Checks for One I/O ; The location should support the requested I/O standard. ; Critical ; 0 such failures found. ; I/O ; ; -; Pass ; IO_000033 ; Electromigration Checks ; Current density for consecutive I/Os should not exceed 240mA for row I/Os and 240mA for column I/Os. ; Critical ; 0 such failures found. ; I/O ; ; -; Inapplicable ; IO_000034 ; SI Related Distance Checks ; Single-ended outputs should be 5 LAB row(s) away from a differential I/O. ; High ; No Differential I/O Standard assignments found. ; I/O ; ; -; Inapplicable ; IO_000042 ; SI Related SSO Limit Checks ; No more than 20 outputs are allowed in a VREF group when VREF is being read from. ; High ; No VREF I/O Standard assignments found. ; I/O ; ; -; ---- ; ---- ; Disclaimer ; OCT rules are checked but not reported. ; None ; ---- ; On Chip Termination ; ; -+--------------+-----------+-----------------------------------+------------------------------------------------------------------------------------------------------+----------+--------------------------------------------------------------------------+---------------------+-------------------+ - - -+--------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; I/O Rules Matrix ; -+--------------------+--------------+--------------+--------------+--------------+--------------+-----------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+-----------+-----------+-----------+--------------+--------------+ -; Pin/Rules ; IO_000002 ; IO_000001 ; IO_000003 ; IO_000004 ; IO_000005 ; IO_000006 ; IO_000007 ; IO_000008 ; IO_000047 ; IO_000046 ; IO_000045 ; IO_000027 ; IO_000026 ; IO_000024 ; IO_000023 ; IO_000022 ; IO_000021 ; IO_000020 ; IO_000019 ; IO_000018 ; IO_000015 ; IO_000014 ; IO_000013 ; IO_000012 ; IO_000011 ; IO_000010 ; IO_000009 ; IO_000033 ; IO_000034 ; IO_000042 ; -+--------------------+--------------+--------------+--------------+--------------+--------------+-----------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+-----------+-----------+-----------+--------------+--------------+ -; Total Pass ; 0 ; 0 ; 0 ; 0 ; 0 ; 5 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 ; 0 ; 0 ; 0 ; 4 ; 1 ; 0 ; 4 ; 0 ; 0 ; 1 ; 0 ; 5 ; 5 ; 5 ; 0 ; 0 ; -; Total Unchecked ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; -; Total Inapplicable ; 5 ; 5 ; 5 ; 5 ; 5 ; 0 ; 5 ; 5 ; 5 ; 5 ; 5 ; 5 ; 5 ; 4 ; 5 ; 5 ; 5 ; 1 ; 4 ; 5 ; 1 ; 5 ; 5 ; 4 ; 5 ; 0 ; 0 ; 0 ; 5 ; 5 ; -; Total Fail ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; -; F ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; -; C ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; -; B ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; -; D ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; -; A ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; -+--------------------+--------------+--------------+--------------+--------------+--------------+-----------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+-----------+-----------+-----------+--------------+--------------+ - - -+---------------------------------------------------------------------------------------------+ -; Fitter Device Options ; -+------------------------------------------------------------------+--------------------------+ -; Option ; Setting ; -+------------------------------------------------------------------+--------------------------+ -; Enable user-supplied start-up clock (CLKUSR) ; Off ; -; Enable device-wide reset (DEV_CLRn) ; Off ; -; Enable device-wide output enable (DEV_OE) ; Off ; -; Enable INIT_DONE output ; Off ; -; Configuration scheme ; Active Serial ; -; Error detection CRC ; Off ; -; Enable open drain on CRC_ERROR pin ; Off ; -; Enable input tri-state on active configuration pins in user mode ; Off ; -; Configuration Voltage Level ; Auto ; -; Force Configuration Voltage Level ; Off ; -; nCEO ; As output driving ground ; -; Data[0] ; As input tri-stated ; -; Data[1]/ASDO ; As input tri-stated ; -; Data[7..2] ; Unreserved ; -; FLASH_nCE/nCSO ; As input tri-stated ; -; Other Active Parallel pins ; Unreserved ; -; DCLK ; As output driving ground ; -+------------------------------------------------------------------+--------------------------+ - - -+------------------------------------+ -; Operating Settings and Conditions ; -+---------------------------+--------+ -; Setting ; Value ; -+---------------------------+--------+ -; Nominal Core Voltage ; 1.20 V ; -; Low Junction Temperature ; 0 °C ; -; High Junction Temperature ; 85 °C ; -+---------------------------+--------+ - - -+-----------------+ -; Fitter Messages ; -+-----------------+ -Warning (18236): Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance. -Info (20030): Parallel compilation is enabled and will use 4 of the 4 processors detected -Info (119004): Automatically selected device EP4CE6E22C6 for design Teste1 -Info (21076): High junction temperature operating condition is not set. Assuming a default value of '85'. -Info (21076): Low junction temperature operating condition is not set. Assuming a default value of '0'. -Info (171003): Fitter is performing an Auto Fit compilation, which may decrease Fitter effort to reduce compilation time -Warning (292013): Feature LogicLock is only available with a valid subscription license. You can purchase a software subscription to gain full access to this feature. -Info (176444): Device migration not selected. If you intend to use device migration later, you may need to change the pin assignments as they may be incompatible with other devices - Info (176445): Device EP4CE10E22C6 is compatible - Info (176445): Device EP4CE15E22C6 is compatible - Info (176445): Device EP4CE22E22C6 is compatible -Info (169124): Fitter converted 5 user pins into dedicated programming pins - Info (169125): Pin ~ALTERA_ASDO_DATA1~ is reserved at location 6 - Info (169125): Pin ~ALTERA_FLASH_nCE_nCSO~ is reserved at location 8 - Info (169125): Pin ~ALTERA_DCLK~ is reserved at location 12 - Info (169125): Pin ~ALTERA_DATA0~ is reserved at location 13 - Info (169125): Pin ~ALTERA_nCEO~ is reserved at location 101 -Warning (15714): Some pins have incomplete I/O assignments. Refer to the I/O Assignment Warnings report for details -Critical Warning (169085): No exact pin location assignment(s) for 5 pins of 5 total pins. For the list of pins please refer to the I/O Assignment Warnings table in the fitter report. -Critical Warning (332012): Synopsys Design Constraints File file not found: 'Teste1.sdc'. A Synopsys Design Constraints File is required by the Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design. -Info (332144): No user constrained base clocks found in the design -Info (332096): The command derive_clocks did not find any clocks to derive. No clocks were created or changed. -Warning (332068): No clocks defined in design. -Info (332143): No user constrained clock uncertainty found in the design. Calling "derive_clock_uncertainty" -Info (332154): The derive_clock_uncertainty command did not apply clock uncertainty to any clock-to-clock transfers. -Info (332130): Timing requirements not specified -- quality metrics such as performance may be sacrificed to reduce compilation time. -Info (176233): Starting register packing -Info (176235): Finished register packing - Extra Info (176219): No registers were packed into other blocks -Info (176214): Statistics of I/O pins that need to be placed that use the same VCCIO and VREF, before I/O pin placement - Info (176211): Number of I/O pins in group: 5 (unused VREF, 2.5V VCCIO, 4 input, 1 output, 0 bidirectional) - Info (176212): I/O standards used: 2.5 V. -Info (176215): I/O bank details before I/O pin placement - Info (176214): Statistics of I/O banks - Info (176213): I/O bank number 1 does not use VREF pins and has undetermined VCCIO pins. 4 total pin(s) used -- 7 pins available - Info (176213): I/O bank number 2 does not use VREF pins and has undetermined VCCIO pins. 0 total pin(s) used -- 8 pins available - Info (176213): I/O bank number 3 does not use VREF pins and has undetermined VCCIO pins. 0 total pin(s) used -- 11 pins available - Info (176213): I/O bank number 4 does not use VREF pins and has undetermined VCCIO pins. 0 total pin(s) used -- 14 pins available - Info (176213): I/O bank number 5 does not use VREF pins and has undetermined VCCIO pins. 0 total pin(s) used -- 13 pins available - Info (176213): I/O bank number 6 does not use VREF pins and has undetermined VCCIO pins. 1 total pin(s) used -- 9 pins available - Info (176213): I/O bank number 7 does not use VREF pins and has undetermined VCCIO pins. 0 total pin(s) used -- 13 pins available - Info (176213): I/O bank number 8 does not use VREF pins and has undetermined VCCIO pins. 0 total pin(s) used -- 12 pins available -Info (171121): Fitter preparation operations ending: elapsed time is 00:00:00 -Info (14896): Fitter has disabled Advanced Physical Optimization because it is not supported for the current family. -Info (170189): Fitter placement preparation operations beginning -Info (170190): Fitter placement preparation operations ending: elapsed time is 00:00:00 -Info (170191): Fitter placement operations beginning -Info (170137): Fitter placement was successful -Info (170192): Fitter placement operations ending: elapsed time is 00:00:00 -Info (170193): Fitter routing operations beginning -Info (170195): Router estimated average interconnect usage is 0% of the available device resources - Info (170196): Router estimated peak interconnect usage is 0% of the available device resources in the region that extends from location X0_Y0 to location X10_Y11 -Info (170199): The Fitter performed an Auto Fit compilation. Optimizations were skipped to reduce compilation time. - Info (170201): Optimizations that may affect the design's routability were skipped - Info (170200): Optimizations that may affect the design's timing were skipped -Info (170194): Fitter routing operations ending: elapsed time is 00:00:00 -Info (11888): Total time spent on timing analysis during the Fitter is 0.01 seconds. -Info (334003): Started post-fitting delay annotation -Info (334004): Delay annotation completed successfully -Info (334003): Started post-fitting delay annotation -Info (334004): Delay annotation completed successfully -Info (11218): Fitter post-fit operations ending: elapsed time is 00:00:01 -Info (144001): Generated suppressed messages file /home/tiagorg/repos/uaveiro-leci/1ano/isd/quartus-projects/Teste/output_files/Teste1.fit.smsg -Info: Quartus Prime Fitter was successful. 0 errors, 6 warnings - Info: Peak virtual memory: 944 megabytes - Info: Processing ended: Fri Dec 2 13:02:24 2022 - Info: Elapsed time: 00:00:03 - Info: Total CPU time (on all processors): 00:00:03 - - -+----------------------------+ -; Fitter Suppressed Messages ; -+----------------------------+ -The suppressed messages can be found in /home/tiagorg/repos/uaveiro-leci/1ano/isd/quartus-projects/Teste/output_files/Teste1.fit.smsg. - - diff --git a/1ano/isd/quartus-projects/Teste/output_files/Teste1.fit.smsg b/1ano/isd/quartus-projects/Teste/output_files/Teste1.fit.smsg deleted file mode 100644 index 7121cbb..0000000 --- a/1ano/isd/quartus-projects/Teste/output_files/Teste1.fit.smsg +++ /dev/null @@ -1,8 +0,0 @@ -Extra Info (176273): Performing register packing on registers with non-logic cell location assignments -Extra Info (176274): Completed register packing on registers with non-logic cell location assignments -Extra Info (176236): Started Fast Input/Output/OE register processing -Extra Info (176237): Finished Fast Input/Output/OE register processing -Extra Info (176238): Start inferring scan chains for DSP blocks -Extra Info (176239): Inferring scan chains for DSP blocks is complete -Extra Info (176248): Moving registers into I/O cells, Multiplier Blocks, and RAM blocks to improve timing and density -Extra Info (176249): Finished moving registers into I/O cells, Multiplier Blocks, and RAM blocks diff --git a/1ano/isd/quartus-projects/Teste/output_files/Teste1.fit.summary b/1ano/isd/quartus-projects/Teste/output_files/Teste1.fit.summary deleted file mode 100644 index e011c91..0000000 --- a/1ano/isd/quartus-projects/Teste/output_files/Teste1.fit.summary +++ /dev/null @@ -1,16 +0,0 @@ -Fitter Status : Successful - Fri Dec 2 13:02:24 2022 -Quartus Prime Version : 20.1.1 Build 720 11/11/2020 SJ Lite Edition -Revision Name : Teste1 -Top-level Entity Name : Teste3 -Family : Cyclone IV E -Device : EP4CE6E22C6 -Timing Models : Final -Total logic elements : 1 / 6,272 ( < 1 % ) - Total combinational functions : 1 / 6,272 ( < 1 % ) - Dedicated logic registers : 0 / 6,272 ( 0 % ) -Total registers : 0 -Total pins : 5 / 92 ( 5 % ) -Total virtual pins : 0 -Total memory bits : 0 / 276,480 ( 0 % ) -Embedded Multiplier 9-bit elements : 0 / 30 ( 0 % ) -Total PLLs : 0 / 2 ( 0 % ) diff --git a/1ano/isd/quartus-projects/Teste/output_files/Teste1.flow.rpt b/1ano/isd/quartus-projects/Teste/output_files/Teste1.flow.rpt deleted file mode 100644 index 6218af3..0000000 --- a/1ano/isd/quartus-projects/Teste/output_files/Teste1.flow.rpt +++ /dev/null @@ -1,138 +0,0 @@ -Flow report for Teste1 -Fri Dec 2 13:03:34 2022 -Quartus Prime Version 20.1.1 Build 720 11/11/2020 SJ Lite Edition - - ---------------------- -; Table of Contents ; ---------------------- - 1. Legal Notice - 2. Flow Summary - 3. Flow Settings - 4. Flow Non-Default Global Settings - 5. Flow Elapsed Time - 6. Flow OS Summary - 7. Flow Log - 8. Flow Messages - 9. Flow Suppressed Messages - - - ----------------- -; Legal Notice ; ----------------- -Copyright (C) 2020 Intel Corporation. All rights reserved. -Your use of Intel Corporation's design tools, logic functions -and other software and tools, and any partner logic -functions, and any output files from any of the foregoing -(including device programming or simulation files), and any -associated documentation or information are expressly subject -to the terms and conditions of the Intel Program License -Subscription Agreement, the Intel Quartus Prime License Agreement, -the Intel FPGA IP License Agreement, or other applicable license -agreement, including, without limitation, that your use is for -the sole purpose of programming logic devices manufactured by -Intel and sold by Intel or its authorized distributors. Please -refer to the applicable agreement for further details, at -https://fpgasoftware.intel.com/eula. - - - -+----------------------------------------------------------------------------------+ -; Flow Summary ; -+------------------------------------+---------------------------------------------+ -; Flow Status ; Successful - Fri Dec 2 13:03:34 2022 ; -; Quartus Prime Version ; 20.1.1 Build 720 11/11/2020 SJ Lite Edition ; -; Revision Name ; Teste1 ; -; Top-level Entity Name ; Teste3 ; -; Family ; Cyclone IV E ; -; Total logic elements ; 1 / 6,272 ( < 1 % ) ; -; Total combinational functions ; 1 / 6,272 ( < 1 % ) ; -; Dedicated logic registers ; 0 / 6,272 ( 0 % ) ; -; Total registers ; 0 ; -; Total pins ; 5 / 92 ( 5 % ) ; -; Total virtual pins ; 0 ; -; Total memory bits ; 0 / 276,480 ( 0 % ) ; -; Embedded Multiplier 9-bit elements ; 0 / 30 ( 0 % ) ; -; Total PLLs ; 0 / 2 ( 0 % ) ; -; Device ; EP4CE6E22C6 ; -; Timing Models ; Final ; -+------------------------------------+---------------------------------------------+ - - -+-----------------------------------------+ -; Flow Settings ; -+-------------------+---------------------+ -; Option ; Setting ; -+-------------------+---------------------+ -; Start date & time ; 12/02/2022 13:02:14 ; -; Main task ; Compilation ; -; Revision Name ; Teste1 ; -+-------------------+---------------------+ - - -+------------------------------------------------------------------------------------------------------------------------------------------------+ -; Flow Non-Default Global Settings ; -+-------------------------------------+----------------------------------------+---------------+-------------+-----------------------------------+ -; Assignment Name ; Value ; Default Value ; Entity Name ; Section Id ; -+-------------------------------------+----------------------------------------+---------------+-------------+-----------------------------------+ -; COMPILER_SIGNATURE_ID ; 198516037997543.166998613421922 ; -- ; -- ; -- ; -; EDA_GENERATE_FUNCTIONAL_NETLIST ; Off ; -- ; -- ; eda_board_design_timing ; -; EDA_GENERATE_FUNCTIONAL_NETLIST ; Off ; -- ; -- ; eda_board_design_boundary_scan ; -; EDA_GENERATE_FUNCTIONAL_NETLIST ; Off ; -- ; -- ; eda_board_design_signal_integrity ; -; EDA_GENERATE_FUNCTIONAL_NETLIST ; Off ; -- ; -- ; eda_board_design_symbol ; -; EDA_OUTPUT_DATA_FORMAT ; Verilog Hdl ; -- ; -- ; eda_simulation ; -; EDA_SIMULATION_TOOL ; ModelSim-Altera (Verilog) ; ; -- ; -- ; -; EDA_TIME_SCALE ; 1 ps ; -- ; -- ; eda_simulation ; -; PARTITION_COLOR ; -- (Not supported for targeted family) ; -- ; Teste3 ; Top ; -; PARTITION_FITTER_PRESERVATION_LEVEL ; -- (Not supported for targeted family) ; -- ; Teste3 ; Top ; -; PARTITION_NETLIST_TYPE ; -- (Not supported for targeted family) ; -- ; Teste3 ; Top ; -; PROJECT_OUTPUT_DIRECTORY ; output_files ; -- ; -- ; -- ; -; TOP_LEVEL_ENTITY ; Teste3 ; Teste1 ; -- ; -- ; -+-------------------------------------+----------------------------------------+---------------+-------------+-----------------------------------+ - - -+--------------------------------------------------------------------------------------------------------------------------+ -; Flow Elapsed Time ; -+----------------------+--------------+-------------------------+---------------------+------------------------------------+ -; Module Name ; Elapsed Time ; Average Processors Used ; Peak Virtual Memory ; Total CPU Time (on all processors) ; -+----------------------+--------------+-------------------------+---------------------+------------------------------------+ -; Analysis & Synthesis ; 00:00:07 ; 1.0 ; 395 MB ; 00:00:19 ; -; Fitter ; 00:00:03 ; 1.0 ; 944 MB ; 00:00:03 ; -; Assembler ; 00:00:00 ; 1.0 ; 354 MB ; 00:00:00 ; -; Timing Analyzer ; 00:00:01 ; 1.0 ; 465 MB ; 00:00:01 ; -; EDA Netlist Writer ; 00:00:01 ; 1.0 ; 599 MB ; 00:00:00 ; -; EDA Netlist Writer ; 00:00:01 ; 1.0 ; 595 MB ; 00:00:00 ; -; EDA Netlist Writer ; 00:00:00 ; 1.0 ; 603 MB ; 00:00:00 ; -; Total ; 00:00:13 ; -- ; -- ; 00:00:23 ; -+----------------------+--------------+-------------------------+---------------------+------------------------------------+ - - -+----------------------------------------------------------------------------------------+ -; Flow OS Summary ; -+----------------------+------------------+----------------+------------+----------------+ -; Module Name ; Machine Hostname ; OS Name ; OS Version ; Processor type ; -+----------------------+------------------+----------------+------------+----------------+ -; Analysis & Synthesis ; rendlaptop ; Ubuntu 22.04.1 ; 22 ; x86_64 ; -; Fitter ; rendlaptop ; Ubuntu 22.04.1 ; 22 ; x86_64 ; -; Assembler ; rendlaptop ; Ubuntu 22.04.1 ; 22 ; x86_64 ; -; Timing Analyzer ; rendlaptop ; Ubuntu 22.04.1 ; 22 ; x86_64 ; -; EDA Netlist Writer ; rendlaptop ; Ubuntu 22.04.1 ; 22 ; x86_64 ; -; EDA Netlist Writer ; rendlaptop ; Ubuntu 22.04.1 ; 22 ; x86_64 ; -; EDA Netlist Writer ; rendlaptop ; Ubuntu 22.04.1 ; 22 ; x86_64 ; -+----------------------+------------------+----------------+------------+----------------+ - - ------------- -; Flow Log ; ------------- -quartus_map --read_settings_files=on --write_settings_files=off Teste1 -c Teste1 -quartus_fit --read_settings_files=off --write_settings_files=off Teste1 -c Teste1 -quartus_asm --read_settings_files=off --write_settings_files=off Teste1 -c Teste1 -quartus_sta Teste1 -c Teste1 -quartus_eda --read_settings_files=off --write_settings_files=off Teste1 -c Teste1 -quartus_eda --gen_testbench --tool=modelsim_oem --format=vhdl --write_settings_files=off Teste1 -c Teste1 --vector_source=/home/tiagorg/repos/uaveiro-leci/1ano/isd/quartus-projects/Teste/Waveform1.vwf --testbench_file=/home/tiagorg/repos/uaveiro-leci/1ano/isd/quartus-projects/Teste/simulation/qsim/Waveform1.vwf.vht -quartus_eda --write_settings_files=off --simulation=on --functional=on --flatten_buses=off --tool=modelsim_oem --format=vhdl --output_directory=/home/tiagorg/repos/uaveiro-leci/1ano/isd/quartus-projects/Teste/simulation/qsim/ Teste1 -c Teste1 - - - diff --git a/1ano/isd/quartus-projects/Teste/output_files/Teste1.jdi b/1ano/isd/quartus-projects/Teste/output_files/Teste1.jdi deleted file mode 100644 index fa61e3f..0000000 --- a/1ano/isd/quartus-projects/Teste/output_files/Teste1.jdi +++ /dev/null @@ -1,8 +0,0 @@ - - - - - - - - diff --git a/1ano/isd/quartus-projects/Teste/output_files/Teste1.map.rpt b/1ano/isd/quartus-projects/Teste/output_files/Teste1.map.rpt deleted file mode 100644 index 9e3649a..0000000 --- a/1ano/isd/quartus-projects/Teste/output_files/Teste1.map.rpt +++ /dev/null @@ -1,289 +0,0 @@ -Analysis & Synthesis report for Teste1 -Fri Dec 2 13:02:21 2022 -Quartus Prime Version 20.1.1 Build 720 11/11/2020 SJ Lite Edition - - ---------------------- -; Table of Contents ; ---------------------- - 1. Legal Notice - 2. Analysis & Synthesis Summary - 3. Analysis & Synthesis Settings - 4. Parallel Compilation - 5. Analysis & Synthesis Source Files Read - 6. Analysis & Synthesis Resource Usage Summary - 7. Analysis & Synthesis Resource Utilization by Entity - 8. General Register Statistics - 9. Post-Synthesis Netlist Statistics for Top Partition - 10. Elapsed Time Per Partition - 11. Analysis & Synthesis Messages - - - ----------------- -; Legal Notice ; ----------------- -Copyright (C) 2020 Intel Corporation. All rights reserved. -Your use of Intel Corporation's design tools, logic functions -and other software and tools, and any partner logic -functions, and any output files from any of the foregoing -(including device programming or simulation files), and any -associated documentation or information are expressly subject -to the terms and conditions of the Intel Program License -Subscription Agreement, the Intel Quartus Prime License Agreement, -the Intel FPGA IP License Agreement, or other applicable license -agreement, including, without limitation, that your use is for -the sole purpose of programming logic devices manufactured by -Intel and sold by Intel or its authorized distributors. Please -refer to the applicable agreement for further details, at -https://fpgasoftware.intel.com/eula. - - - -+----------------------------------------------------------------------------------+ -; Analysis & Synthesis Summary ; -+------------------------------------+---------------------------------------------+ -; Analysis & Synthesis Status ; Successful - Fri Dec 2 13:02:21 2022 ; -; Quartus Prime Version ; 20.1.1 Build 720 11/11/2020 SJ Lite Edition ; -; Revision Name ; Teste1 ; -; Top-level Entity Name ; Teste3 ; -; Family ; Cyclone IV E ; -; Total logic elements ; 1 ; -; Total combinational functions ; 1 ; -; Dedicated logic registers ; 0 ; -; Total registers ; 0 ; -; Total pins ; 5 ; -; Total virtual pins ; 0 ; -; Total memory bits ; 0 ; -; Embedded Multiplier 9-bit elements ; 0 ; -; Total PLLs ; 0 ; -+------------------------------------+---------------------------------------------+ - - -+------------------------------------------------------------------------------------------------------------+ -; Analysis & Synthesis Settings ; -+------------------------------------------------------------------+--------------------+--------------------+ -; Option ; Setting ; Default Value ; -+------------------------------------------------------------------+--------------------+--------------------+ -; Top-level entity name ; Teste3 ; Teste1 ; -; Family name ; Cyclone IV E ; Cyclone V ; -; Use smart compilation ; Off ; Off ; -; Enable parallel Assembler and Timing Analyzer during compilation ; On ; On ; -; Enable compact report table ; Off ; Off ; -; Restructure Multiplexers ; Auto ; Auto ; -; Create Debugging Nodes for IP Cores ; Off ; Off ; -; Preserve fewer node names ; On ; On ; -; Intel FPGA IP Evaluation Mode ; Enable ; Enable ; -; Verilog Version ; Verilog_2001 ; Verilog_2001 ; -; VHDL Version ; VHDL_1993 ; VHDL_1993 ; -; State Machine Processing ; Auto ; Auto ; -; Safe State Machine ; Off ; Off ; -; Extract Verilog State Machines ; On ; On ; -; Extract VHDL State Machines ; On ; On ; -; Ignore Verilog initial constructs ; Off ; Off ; -; Iteration limit for constant Verilog loops ; 5000 ; 5000 ; -; Iteration limit for non-constant Verilog loops ; 250 ; 250 ; -; Add Pass-Through Logic to Inferred RAMs ; On ; On ; -; Infer RAMs from Raw Logic ; On ; On ; -; Parallel Synthesis ; On ; On ; -; DSP Block Balancing ; Auto ; Auto ; -; NOT Gate Push-Back ; On ; On ; -; Power-Up Don't Care ; On ; On ; -; Remove Redundant Logic Cells ; Off ; Off ; -; Remove Duplicate Registers ; On ; On ; -; Ignore CARRY Buffers ; Off ; Off ; -; Ignore CASCADE Buffers ; Off ; Off ; -; Ignore GLOBAL Buffers ; Off ; Off ; -; Ignore ROW GLOBAL Buffers ; Off ; Off ; -; Ignore LCELL Buffers ; Off ; Off ; -; Ignore SOFT Buffers ; On ; On ; -; Limit AHDL Integers to 32 Bits ; Off ; Off ; -; Optimization Technique ; Balanced ; Balanced ; -; Carry Chain Length ; 70 ; 70 ; -; Auto Carry Chains ; On ; On ; -; Auto Open-Drain Pins ; On ; On ; -; Perform WYSIWYG Primitive Resynthesis ; Off ; Off ; -; Auto ROM Replacement ; On ; On ; -; Auto RAM Replacement ; On ; On ; -; Auto DSP Block Replacement ; On ; On ; -; Auto Shift Register Replacement ; Auto ; Auto ; -; Allow Shift Register Merging across Hierarchies ; Auto ; Auto ; -; Auto Clock Enable Replacement ; On ; On ; -; Strict RAM Replacement ; Off ; Off ; -; Allow Synchronous Control Signals ; On ; On ; -; Force Use of Synchronous Clear Signals ; Off ; Off ; -; Auto RAM Block Balancing ; On ; On ; -; Auto RAM to Logic Cell Conversion ; Off ; Off ; -; Auto Resource Sharing ; Off ; Off ; -; Allow Any RAM Size For Recognition ; Off ; Off ; -; Allow Any ROM Size For Recognition ; Off ; Off ; -; Allow Any Shift Register Size For Recognition ; Off ; Off ; -; Use LogicLock Constraints during Resource Balancing ; On ; On ; -; Ignore translate_off and synthesis_off directives ; Off ; Off ; -; Timing-Driven Synthesis ; On ; On ; -; Report Parameter Settings ; On ; On ; -; Report Source Assignments ; On ; On ; -; Report Connectivity Checks ; On ; On ; -; Ignore Maximum Fan-Out Assignments ; Off ; Off ; -; Synchronization Register Chain Length ; 2 ; 2 ; -; Power Optimization During Synthesis ; Normal compilation ; Normal compilation ; -; HDL message level ; Level2 ; Level2 ; -; Suppress Register Optimization Related Messages ; Off ; Off ; -; Number of Removed Registers Reported in Synthesis Report ; 5000 ; 5000 ; -; Number of Swept Nodes Reported in Synthesis Report ; 5000 ; 5000 ; -; Number of Inverted Registers Reported in Synthesis Report ; 100 ; 100 ; -; Clock MUX Protection ; On ; On ; -; Auto Gated Clock Conversion ; Off ; Off ; -; Block Design Naming ; Auto ; Auto ; -; SDC constraint protection ; Off ; Off ; -; Synthesis Effort ; Auto ; Auto ; -; Shift Register Replacement - Allow Asynchronous Clear Signal ; On ; On ; -; Pre-Mapping Resynthesis Optimization ; Off ; Off ; -; Analysis & Synthesis Message Level ; Medium ; Medium ; -; Disable Register Merging Across Hierarchies ; Auto ; Auto ; -; Resource Aware Inference For Block RAM ; On ; On ; -+------------------------------------------------------------------+--------------------+--------------------+ - - -+------------------------------------------+ -; Parallel Compilation ; -+----------------------------+-------------+ -; Processors ; Number ; -+----------------------------+-------------+ -; Number detected on machine ; 8 ; -; Maximum allowed ; 4 ; -; ; ; -; Average used ; 1.00 ; -; Maximum used ; 1 ; -; ; ; -; Usage by Processor ; % Time Used ; -; Processor 1 ; 100.0% ; -+----------------------------+-------------+ - - -+-----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Analysis & Synthesis Source Files Read ; -+----------------------------------+-----------------+------------------------------------+-------------------------------------------------------------------------------+---------+ -; File Name with User-Entered Path ; Used in Netlist ; File Type ; File Name with Absolute Path ; Library ; -+----------------------------------+-----------------+------------------------------------+-------------------------------------------------------------------------------+---------+ -; Teste1.bdf ; yes ; User Block Diagram/Schematic File ; /home/tiagorg/repos/uaveiro-leci/1ano/isd/quartus-projects/Teste/Teste1.bdf ; ; -; Teste3.bdf ; yes ; User Block Diagram/Schematic File ; /home/tiagorg/repos/uaveiro-leci/1ano/isd/quartus-projects/Teste/Teste3.bdf ; ; -; 74153.bdf ; yes ; Megafunction ; /home/tiagorg/intelFPGA_lite/20.1/quartus/libraries/others/maxplus2/74153.bdf ; ; -+----------------------------------+-----------------+------------------------------------+-------------------------------------------------------------------------------+---------+ - - -+-------------------------------------------------------------------+ -; Analysis & Synthesis Resource Usage Summary ; -+---------------------------------------------+---------------------+ -; Resource ; Usage ; -+---------------------------------------------+---------------------+ -; Estimated Total logic elements ; 1 ; -; ; ; -; Total combinational functions ; 1 ; -; Logic element usage by number of LUT inputs ; ; -; -- 4 input functions ; 1 ; -; -- 3 input functions ; 0 ; -; -- <=2 input functions ; 0 ; -; ; ; -; Logic elements by mode ; ; -; -- normal mode ; 1 ; -; -- arithmetic mode ; 0 ; -; ; ; -; Total registers ; 0 ; -; -- Dedicated logic registers ; 0 ; -; -- I/O registers ; 0 ; -; ; ; -; I/O pins ; 5 ; -; ; ; -; Embedded Multiplier 9-bit elements ; 0 ; -; ; ; -; Maximum fan-out node ; Teste1:inst|inst3~0 ; -; Maximum fan-out ; 1 ; -; Total fan-out ; 10 ; -; Average fan-out ; 0.91 ; -+---------------------------------------------+---------------------+ - - -+----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Analysis & Synthesis Resource Utilization by Entity ; -+----------------------------+---------------------+---------------------------+-------------+--------------+---------+-----------+------+--------------+---------------------+-------------+--------------+ -; Compilation Hierarchy Node ; Combinational ALUTs ; Dedicated Logic Registers ; Memory Bits ; DSP Elements ; DSP 9x9 ; DSP 18x18 ; Pins ; Virtual Pins ; Full Hierarchy Name ; Entity Name ; Library Name ; -+----------------------------+---------------------+---------------------------+-------------+--------------+---------+-----------+------+--------------+---------------------+-------------+--------------+ -; |Teste3 ; 1 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 5 ; 0 ; |Teste3 ; Teste3 ; work ; -; |Teste1:inst| ; 1 (1) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |Teste3|Teste1:inst ; Teste1 ; work ; -+----------------------------+---------------------+---------------------------+-------------+--------------+---------+-----------+------+--------------+---------------------+-------------+--------------+ -Note: For table entries with two numbers listed, the numbers in parentheses indicate the number of resources of the given type used by the specific entity alone. The numbers listed outside of parentheses indicate the total resources of the given type used by the specific entity and all of its sub-entities in the hierarchy. - - -+------------------------------------------------------+ -; General Register Statistics ; -+----------------------------------------------+-------+ -; Statistic ; Value ; -+----------------------------------------------+-------+ -; Total registers ; 0 ; -; Number of registers using Synchronous Clear ; 0 ; -; Number of registers using Synchronous Load ; 0 ; -; Number of registers using Asynchronous Clear ; 0 ; -; Number of registers using Asynchronous Load ; 0 ; -; Number of registers using Clock Enable ; 0 ; -; Number of registers using Preset ; 0 ; -+----------------------------------------------+-------+ - - -+-----------------------------------------------------+ -; Post-Synthesis Netlist Statistics for Top Partition ; -+-----------------------+-----------------------------+ -; Type ; Count ; -+-----------------------+-----------------------------+ -; boundary_port ; 5 ; -; cycloneiii_lcell_comb ; 2 ; -; normal ; 2 ; -; 1 data inputs ; 1 ; -; 4 data inputs ; 1 ; -; ; ; -; Max LUT depth ; 2.00 ; -; Average LUT depth ; 2.00 ; -+-----------------------+-----------------------------+ - - -+-------------------------------+ -; Elapsed Time Per Partition ; -+----------------+--------------+ -; Partition Name ; Elapsed Time ; -+----------------+--------------+ -; Top ; 00:00:00 ; -+----------------+--------------+ - - -+-------------------------------+ -; Analysis & Synthesis Messages ; -+-------------------------------+ -Info: ******************************************************************* -Info: Running Quartus Prime Analysis & Synthesis - Info: Version 20.1.1 Build 720 11/11/2020 SJ Lite Edition - Info: Processing started: Fri Dec 2 13:02:14 2022 -Info: Command: quartus_map --read_settings_files=on --write_settings_files=off Teste1 -c Teste1 -Warning (18236): Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance. -Info (20030): Parallel compilation is enabled and will use 4 of the 4 processors detected -Info (12021): Found 1 design units, including 1 entities, in source file Teste1.bdf - Info (12023): Found entity 1: Teste1 -Info (12021): Found 1 design units, including 1 entities, in source file Teste3.bdf - Info (12023): Found entity 1: Teste3 -Info (12127): Elaborating entity "Teste3" for the top level hierarchy -Info (12128): Elaborating entity "Teste1" for hierarchy "Teste1:inst" -Info (12128): Elaborating entity "74153" for hierarchy "Teste1:inst|74153:inst" -Info (12130): Elaborated megafunction instantiation "Teste1:inst|74153:inst" -Info (286030): Timing-Driven Synthesis is running -Info (16010): Generating hard_block partition "hard_block:auto_generated_inst" - Info (16011): Adding 0 node(s), including 0 DDIO, 0 PLL, 0 transceiver and 0 LCELL -Info (21057): Implemented 6 device resources after synthesis - the final resource count might be different - Info (21058): Implemented 4 input pins - Info (21059): Implemented 1 output pins - Info (21061): Implemented 1 logic cells -Info: Quartus Prime Analysis & Synthesis was successful. 0 errors, 1 warning - Info: Peak virtual memory: 398 megabytes - Info: Processing ended: Fri Dec 2 13:02:21 2022 - Info: Elapsed time: 00:00:07 - Info: Total CPU time (on all processors): 00:00:19 - - diff --git a/1ano/isd/quartus-projects/Teste/output_files/Teste1.map.summary b/1ano/isd/quartus-projects/Teste/output_files/Teste1.map.summary deleted file mode 100644 index 48baeba..0000000 --- a/1ano/isd/quartus-projects/Teste/output_files/Teste1.map.summary +++ /dev/null @@ -1,14 +0,0 @@ -Analysis & Synthesis Status : Successful - Fri Dec 2 13:02:21 2022 -Quartus Prime Version : 20.1.1 Build 720 11/11/2020 SJ Lite Edition -Revision Name : Teste1 -Top-level Entity Name : Teste3 -Family : Cyclone IV E -Total logic elements : 1 - Total combinational functions : 1 - Dedicated logic registers : 0 -Total registers : 0 -Total pins : 5 -Total virtual pins : 0 -Total memory bits : 0 -Embedded Multiplier 9-bit elements : 0 -Total PLLs : 0 diff --git a/1ano/isd/quartus-projects/Teste/output_files/Teste1.pin b/1ano/isd/quartus-projects/Teste/output_files/Teste1.pin deleted file mode 100644 index 0e1d5d8..0000000 --- a/1ano/isd/quartus-projects/Teste/output_files/Teste1.pin +++ /dev/null @@ -1,216 +0,0 @@ - -- Copyright (C) 2020 Intel Corporation. All rights reserved. - -- Your use of Intel Corporation's design tools, logic functions - -- and other software and tools, and any partner logic - -- functions, and any output files from any of the foregoing - -- (including device programming or simulation files), and any - -- associated documentation or information are expressly subject - -- to the terms and conditions of the Intel Program License - -- Subscription Agreement, the Intel Quartus Prime License Agreement, - -- the Intel FPGA IP License Agreement, or other applicable license - -- agreement, including, without limitation, that your use is for - -- the sole purpose of programming logic devices manufactured by - -- Intel and sold by Intel or its authorized distributors. Please - -- refer to the applicable agreement for further details, at - -- https://fpgasoftware.intel.com/eula. - -- - -- This is a Quartus Prime output file. It is for reporting purposes only, and is - -- not intended for use as a Quartus Prime input file. This file cannot be used - -- to make Quartus Prime pin assignments - for instructions on how to make pin - -- assignments, please see Quartus Prime help. - --------------------------------------------------------------------------------- - - - - --------------------------------------------------------------------------------- - -- NC : No Connect. This pin has no internal connection to the device. - -- DNU : Do Not Use. This pin MUST NOT be connected. - -- VCCINT : Dedicated power pin, which MUST be connected to VCC (1.2V). - -- VCCIO : Dedicated power pin, which MUST be connected to VCC - -- of its bank. - -- Bank 1: 2.5V - -- Bank 2: 2.5V - -- Bank 3: 2.5V - -- Bank 4: 2.5V - -- Bank 5: 2.5V - -- Bank 6: 2.5V - -- Bank 7: 2.5V - -- Bank 8: 2.5V - -- GND : Dedicated ground pin. Dedicated GND pins MUST be connected to GND. - -- It can also be used to report unused dedicated pins. The connection - -- on the board for unused dedicated pins depends on whether this will - -- be used in a future design. One example is device migration. When - -- using device migration, refer to the device pin-tables. If it is a - -- GND pin in the pin table or if it will not be used in a future design - -- for another purpose the it MUST be connected to GND. If it is an unused - -- dedicated pin, then it can be connected to a valid signal on the board - -- (low, high, or toggling) if that signal is required for a different - -- revision of the design. - -- GND+ : Unused input pin. It can also be used to report unused dual-purpose pins. - -- This pin should be connected to GND. It may also be connected to a - -- valid signal on the board (low, high, or toggling) if that signal - -- is required for a different revision of the design. - -- GND* : Unused I/O pin. Connect each pin marked GND* directly to GND - -- or leave it unconnected. - -- RESERVED : Unused I/O pin, which MUST be left unconnected. - -- RESERVED_INPUT : Pin is tri-stated and should be connected to the board. - -- RESERVED_INPUT_WITH_WEAK_PULLUP : Pin is tri-stated with internal weak pull-up resistor. - -- RESERVED_INPUT_WITH_BUS_HOLD : Pin is tri-stated with bus-hold circuitry. - -- RESERVED_OUTPUT_DRIVEN_HIGH : Pin is output driven high. - --------------------------------------------------------------------------------- - - - - --------------------------------------------------------------------------------- - -- Pin directions (input, output or bidir) are based on device operating in user mode. - --------------------------------------------------------------------------------- - -Quartus Prime Version 20.1.1 Build 720 11/11/2020 SJ Lite Edition -CHIP "Teste1" ASSIGNED TO AN: EP4CE6E22C6 - -Pin Name/Usage : Location : Dir. : I/O Standard : Voltage : I/O Bank : User Assignment -------------------------------------------------------------------------------------------------------------- -RESERVED_INPUT_WITH_WEAK_PULLUP : 1 : : : : 1 : -RESERVED_INPUT_WITH_WEAK_PULLUP : 2 : : : : 1 : -RESERVED_INPUT_WITH_WEAK_PULLUP : 3 : : : : 1 : -GND : 4 : gnd : : : : -VCCINT : 5 : power : : 1.2V : : -~ALTERA_ASDO_DATA1~ / RESERVED_INPUT_WITH_WEAK_PULLUP : 6 : input : 2.5 V : : 1 : N -RESERVED_INPUT_WITH_WEAK_PULLUP : 7 : : : : 1 : -~ALTERA_FLASH_nCE_nCSO~ / RESERVED_INPUT_WITH_WEAK_PULLUP : 8 : input : 2.5 V : : 1 : N -nSTATUS : 9 : : : : 1 : -RESERVED_INPUT_WITH_WEAK_PULLUP : 10 : : : : 1 : -RESERVED_INPUT_WITH_WEAK_PULLUP : 11 : : : : 1 : -~ALTERA_DCLK~ : 12 : output : 2.5 V : : 1 : N -~ALTERA_DATA0~ / RESERVED_INPUT_WITH_WEAK_PULLUP : 13 : input : 2.5 V : : 1 : N -nCONFIG : 14 : : : : 1 : -TDI : 15 : input : : : 1 : -TCK : 16 : input : : : 1 : -VCCIO1 : 17 : power : : 2.5V : 1 : -TMS : 18 : input : : : 1 : -GND : 19 : gnd : : : : -TDO : 20 : output : : : 1 : -nCE : 21 : : : : 1 : -GND : 22 : gnd : : : : -GND+ : 23 : : : : 1 : -GND+ : 24 : : : : 2 : -GND+ : 25 : : : : 2 : -VCCIO2 : 26 : power : : 2.5V : 2 : -GND : 27 : gnd : : : : -RESERVED_INPUT_WITH_WEAK_PULLUP : 28 : : : : 2 : -VCCINT : 29 : power : : 1.2V : : -RESERVED_INPUT_WITH_WEAK_PULLUP : 30 : : : : 2 : -A : 31 : input : 2.5 V : : 2 : N -C : 32 : input : 2.5 V : : 2 : N -D : 33 : input : 2.5 V : : 2 : N -F : 34 : output : 2.5 V : : 2 : N -VCCA1 : 35 : power : : 2.5V : : -GNDA1 : 36 : gnd : : : : -VCCD_PLL1 : 37 : power : : 1.2V : : -RESERVED_INPUT_WITH_WEAK_PULLUP : 38 : : : : 3 : -RESERVED_INPUT_WITH_WEAK_PULLUP : 39 : : : : 3 : -VCCIO3 : 40 : power : : 2.5V : 3 : -GND : 41 : gnd : : : : -RESERVED_INPUT_WITH_WEAK_PULLUP : 42 : : : : 3 : -B : 43 : input : 2.5 V : : 3 : N -RESERVED_INPUT_WITH_WEAK_PULLUP : 44 : : : : 3 : -VCCINT : 45 : power : : 1.2V : : -RESERVED_INPUT_WITH_WEAK_PULLUP : 46 : : : : 3 : -VCCIO3 : 47 : power : : 2.5V : 3 : -GND : 48 : gnd : : : : -RESERVED_INPUT_WITH_WEAK_PULLUP : 49 : : : : 3 : -RESERVED_INPUT_WITH_WEAK_PULLUP : 50 : : : : 3 : -RESERVED_INPUT_WITH_WEAK_PULLUP : 51 : : : : 3 : -RESERVED_INPUT_WITH_WEAK_PULLUP : 52 : : : : 3 : -RESERVED_INPUT_WITH_WEAK_PULLUP : 53 : : : : 3 : -RESERVED_INPUT_WITH_WEAK_PULLUP : 54 : : : : 4 : -RESERVED_INPUT_WITH_WEAK_PULLUP : 55 : : : : 4 : -VCCIO4 : 56 : power : : 2.5V : 4 : -GND : 57 : gnd : : : : -RESERVED_INPUT_WITH_WEAK_PULLUP : 58 : : : : 4 : -RESERVED_INPUT_WITH_WEAK_PULLUP : 59 : : : : 4 : -RESERVED_INPUT_WITH_WEAK_PULLUP : 60 : : : : 4 : -VCCINT : 61 : power : : 1.2V : : -VCCIO4 : 62 : power : : 2.5V : 4 : -GND : 63 : gnd : : : : -RESERVED_INPUT_WITH_WEAK_PULLUP : 64 : : : : 4 : -RESERVED_INPUT_WITH_WEAK_PULLUP : 65 : : : : 4 : -RESERVED_INPUT_WITH_WEAK_PULLUP : 66 : : : : 4 : -RESERVED_INPUT_WITH_WEAK_PULLUP : 67 : : : : 4 : -RESERVED_INPUT_WITH_WEAK_PULLUP : 68 : : : : 4 : -RESERVED_INPUT_WITH_WEAK_PULLUP : 69 : : : : 4 : -RESERVED_INPUT_WITH_WEAK_PULLUP : 70 : : : : 4 : -RESERVED_INPUT_WITH_WEAK_PULLUP : 71 : : : : 4 : -RESERVED_INPUT_WITH_WEAK_PULLUP : 72 : : : : 4 : -RESERVED_INPUT_WITH_WEAK_PULLUP : 73 : : : : 5 : -RESERVED_INPUT_WITH_WEAK_PULLUP : 74 : : : : 5 : -RESERVED_INPUT_WITH_WEAK_PULLUP : 75 : : : : 5 : -RESERVED_INPUT_WITH_WEAK_PULLUP : 76 : : : : 5 : -RESERVED_INPUT_WITH_WEAK_PULLUP : 77 : : : : 5 : -VCCINT : 78 : power : : 1.2V : : -GND : 79 : gnd : : : : -RESERVED_INPUT_WITH_WEAK_PULLUP : 80 : : : : 5 : -VCCIO5 : 81 : power : : 2.5V : 5 : -GND : 82 : gnd : : : : -RESERVED_INPUT_WITH_WEAK_PULLUP : 83 : : : : 5 : -RESERVED_INPUT_WITH_WEAK_PULLUP : 84 : : : : 5 : -RESERVED_INPUT_WITH_WEAK_PULLUP : 85 : : : : 5 : -RESERVED_INPUT_WITH_WEAK_PULLUP : 86 : : : : 5 : -RESERVED_INPUT_WITH_WEAK_PULLUP : 87 : : : : 5 : -GND+ : 88 : : : : 5 : -GND+ : 89 : : : : 5 : -GND+ : 90 : : : : 6 : -GND+ : 91 : : : : 6 : -CONF_DONE : 92 : : : : 6 : -VCCIO6 : 93 : power : : 2.5V : 6 : -MSEL0 : 94 : : : : 6 : -GND : 95 : gnd : : : : -MSEL1 : 96 : : : : 6 : -MSEL2 : 97 : : : : 6 : -RESERVED_INPUT_WITH_WEAK_PULLUP : 98 : : : : 6 : -RESERVED_INPUT_WITH_WEAK_PULLUP : 99 : : : : 6 : -RESERVED_INPUT_WITH_WEAK_PULLUP : 100 : : : : 6 : -~ALTERA_nCEO~ / RESERVED_OUTPUT_OPEN_DRAIN : 101 : output : 2.5 V : : 6 : N -VCCINT : 102 : power : : 1.2V : : -RESERVED_INPUT_WITH_WEAK_PULLUP : 103 : : : : 6 : -RESERVED_INPUT_WITH_WEAK_PULLUP : 104 : : : : 6 : -RESERVED_INPUT_WITH_WEAK_PULLUP : 105 : : : : 6 : -RESERVED_INPUT_WITH_WEAK_PULLUP : 106 : : : : 6 : -VCCA2 : 107 : power : : 2.5V : : -GNDA2 : 108 : gnd : : : : -VCCD_PLL2 : 109 : power : : 1.2V : : -RESERVED_INPUT_WITH_WEAK_PULLUP : 110 : : : : 7 : -RESERVED_INPUT_WITH_WEAK_PULLUP : 111 : : : : 7 : -RESERVED_INPUT_WITH_WEAK_PULLUP : 112 : : : : 7 : -RESERVED_INPUT_WITH_WEAK_PULLUP : 113 : : : : 7 : -RESERVED_INPUT_WITH_WEAK_PULLUP : 114 : : : : 7 : -RESERVED_INPUT_WITH_WEAK_PULLUP : 115 : : : : 7 : -VCCINT : 116 : power : : 1.2V : : -VCCIO7 : 117 : power : : 2.5V : 7 : -GND : 118 : gnd : : : : -RESERVED_INPUT_WITH_WEAK_PULLUP : 119 : : : : 7 : -RESERVED_INPUT_WITH_WEAK_PULLUP : 120 : : : : 7 : -RESERVED_INPUT_WITH_WEAK_PULLUP : 121 : : : : 7 : -VCCIO7 : 122 : power : : 2.5V : 7 : -GND : 123 : gnd : : : : -RESERVED_INPUT_WITH_WEAK_PULLUP : 124 : : : : 7 : -RESERVED_INPUT_WITH_WEAK_PULLUP : 125 : : : : 7 : -RESERVED_INPUT_WITH_WEAK_PULLUP : 126 : : : : 7 : -RESERVED_INPUT_WITH_WEAK_PULLUP : 127 : : : : 7 : -RESERVED_INPUT_WITH_WEAK_PULLUP : 128 : : : : 8 : -RESERVED_INPUT_WITH_WEAK_PULLUP : 129 : : : : 8 : -VCCIO8 : 130 : power : : 2.5V : 8 : -GND : 131 : gnd : : : : -RESERVED_INPUT_WITH_WEAK_PULLUP : 132 : : : : 8 : -RESERVED_INPUT_WITH_WEAK_PULLUP : 133 : : : : 8 : -VCCINT : 134 : power : : 1.2V : : -RESERVED_INPUT_WITH_WEAK_PULLUP : 135 : : : : 8 : -RESERVED_INPUT_WITH_WEAK_PULLUP : 136 : : : : 8 : -RESERVED_INPUT_WITH_WEAK_PULLUP : 137 : : : : 8 : -RESERVED_INPUT_WITH_WEAK_PULLUP : 138 : : : : 8 : -VCCIO8 : 139 : power : : 2.5V : 8 : -GND : 140 : gnd : : : : -RESERVED_INPUT_WITH_WEAK_PULLUP : 141 : : : : 8 : -RESERVED_INPUT_WITH_WEAK_PULLUP : 142 : : : : 8 : -RESERVED_INPUT_WITH_WEAK_PULLUP : 143 : : : : 8 : -RESERVED_INPUT_WITH_WEAK_PULLUP : 144 : : : : 8 : -GND : EPAD : : : : : diff --git a/1ano/isd/quartus-projects/Teste/output_files/Teste1.sld b/1ano/isd/quartus-projects/Teste/output_files/Teste1.sld deleted file mode 100644 index f7d3ed7..0000000 --- a/1ano/isd/quartus-projects/Teste/output_files/Teste1.sld +++ /dev/null @@ -1 +0,0 @@ - diff --git a/1ano/isd/quartus-projects/Teste/output_files/Teste1.sof b/1ano/isd/quartus-projects/Teste/output_files/Teste1.sof deleted file mode 100644 index 90d3859cd0066df3b44e1d3e2b6d935353a39a8b..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 358692 zcmeHw&+jZpl2<+3?~T`3i+Ax_PY8)*#K8k-=6Pn>^Il25D>EWK@uMmus=8l&`}hCB?+&0};n$<#@A38@{`7kvee%|Ks=Ew?6#w z-TNQB_mTU@?|t;~`yc+qef`;2-}>rX?(h8c{SV%Czy0-R?ya}J#{Yl)8Sno7@43JK z{wMFbZ@>HgCoDU>Fuclu-+t#ezxD0k`u5kq{;l5{UK|bm!B0MU|C0~idw2Nt;Y}9! z;_;t->6c%O^uP9R*na7kf0uc~;D3oNX8ywP8g`i+{|A5n_#3}6{MF%uN0=eE`syFO`~L9Fk3V?#yLTUb_($*k;FIsZ|C1kn__tnh z?wf}R?DGgl+>hV=o8Nu+{Xb;Q@4o%)`@i$$_rCF)-}}b1AO6UFsTyh3pxn$?eH_L!! z;1V-Hm*|xFbaNSa;pQT?fGq=-ft4BH4|R+z1D!J9I^Z_L#7EH{4)Vv1`w!n9@eY5c zRYwpch@$f*SUe_vjST)AuL-6DV89{_GG^h$-Wp*iBY|m!9P?(`ASeKf$zcOGJa!;j zB*zjmW|BCF&V$6{O2Vh;t*HlQYab2ovNCX3MNOURf!Wl->jm>2TyKUcSI(l>P;K45 zbW8`IO6?0W1;_Rx+E#8gtmUA|Zun2JQ`NqLpu>(HL0)8m9hkTxvdVKs2g_Ww3|vwM zREaAn`^u*5 z0^3*C&kam&_3jIoSsNxCwtVp@1x@%DYVt)WGY{9EPX`CAnnV^b<>eehfTdv|Z1SOj zqtY)PAN`$OJwr3ZeEb?g#RF5t^pMa)g9K;?+rP@MJAU~WdGnX}^*O)F^z0z`B^G^~ zUkMh}1EUpY>R&*gnT6>!L~E1<-5!{Nsrya)`>8e4itBB5-WE;lSYo!WJk)^2MA%^Q z9#+mYRZAGmO2fVgg0(Rk^SH5?HXjZl!r3|MFk3?oLfP|u$~d+h%$CSO zAdBh2nUw*;YzP7SAcsvB1dJl7MaM&ZtRSaWRa_a9pck+_2D2bBX=EA5Wnh<^v^mQF zGT=9w1{&iUxB1Fh6Ssqq*d+qvSdG_VdZ^DTJ7G42fPIj|CfFwoP9-oWlH6)o#X}8l zw8Eq*md9WgBqoh41D1j7&%m?m58+ZGAUF~#yT>3_P7GAy?%*IGc8S1fh3R1}`WMg% zvmpfRgB&)h1O&458PB$EUpgkS#TbFdU=}1MjczIfI0su(56sp+UMn8fY-{R)xzIVetXN5iuWoFN0ggN+85Zgh*V z%~#HmU)VVCLy08}0v7fI<5-O`TOtR6ph_47Opn2=vJ+;5$S`;e2Zv1-1PqltbLCdU zObCo_Osrx`AdHHv)+Bd zr7er)B?80R7t&Hudqa#F}C*03*oe&)XsjKfUcBl$_uJYsxsTG|Z}`VtT0N*HttN_7({>%IMlM zkX;(SHi}U&f4(zBWYo7W)>1IT8d5|U0OYZW_LCsNDG@;+Z*9UjJ`WG^5)lOQn2CRR zkrKM`Z#M(@BPB+bfouj)PPRy>nZlKwA6XTfQBdp>kzwtNb4kc&zBgI>LT-#K1GkWY z-C2V~5#BDzm9rQ#C&oi4cBuqw%BXK&I%Y-jheJ#r8__W^Me=Ngz|C8mFzWBV3Ybaa zM6>Y8=w>oN9U|?4*}=`LgzWL@V-L)w$wfVCO${(nGdFnm<;Sqb?fu#p^a&fWj|9fk z_4xa=X6ufkJC{oNO9d436)`O2Pz0A(hRjp!JdGO-l`H*amisK5IHmV%i?Aex0w zD~LL@uO65yLNwJY$bjqMV-L&@ZeAs1j|cslVak=Wm}^$`5E8pYWYo7W9W!6qlMoJG z>y90SlYyl6Ck4AEL=U0Zr4p0a3IwnCkV0jF3X0!8zS*VujJDLqvk1-Rl8eqn+-sR9>2^1eyO&%u2^QL9u=Q896 zQ(66vU*prSI~>CXn6uxUo&P>;+3T_d&Vkm{1GA}*%|5ohr&nQ_6ZDhwwg~uYtPHvG z!|HKS$Cb7cGx0B?Cm|FqT&aM&QonE8?ff}f*J?O(^7+opxTpv@Zh*OyO%eTB&>t|6jCl_$D;#y@Psq9G= zX7yNm30T&?I0>=y$%)I0AcIDh0n0$A44kpdpY^Y9xK8=H4A`XQB$c!1AG(uVg_(Je z_N8Mc{zdd8gy6O6SP;s;zrxeAW*)6EGrHjbP<8jEVQQTIfQg#9#k((5{X$ZP03Frkh|ohLtPl%w}#PxkE^>SUTRZ5LBy^C}Se( zh!xRK&BB;|TR2LH8(5KB4Kt$~4j5JKOUFzii0DZkU}+^iUa6l`?}3@KV{@CyfbS4V z56lj3UL~aK;B_-hxpEf0hH6q`6D(%N21CGtXpwZxd}Tj1J9aqLv7#Gw?d!P%P*wX1 zf)x?uMF8NiF|rI;1}p=X0c0Sl{YmAl%8%M5?$N$rRK`sFi|9!R0B|Y@B#MNdl(#lz zIN+SGeZiWMW#Cpa@Z?qkwK(safu!~)1-lASZZ)hP8w>#pqE#egCjLeAB!pl=1_=`C z`$e`dr@DN-OdLt0>&k$KyUEIni?bBS&v` zNstV(=NGoKY!DR2Scbu2A8vT;R4-;3Q$7d;3kS2{^~}vgTJDE5j)Yked(BvE%v|rdXtUFt%jM7 zUkmTPbWHgm5KP(K7qW13)Z?PL2jL~{QxD9gJ~m2EVzvinV!#HL zfpcX5webGr?yo9pHo$y&@C+|kPSN(1p>_#^- zGfcU1<_%9~p(?i;W)g(Q6DzbFOsJ$|I_L&0!T{(=2$+P3>ewixZsbHWtrKgfqKvbO;-(qC}+sIe%6^EZ(Qbm9`R7Ue2-p?JW@A z@}cbolv_jz(6Gx(?eAcEr9P}JO7)mK}39iHVmJGnSC&I$~gY! zEM`?wG2_Fop94cQs~V_aQiaS?ksg>!eNg4S%I(jL>uq-47H#iXVz#b4)DA6}u)pkj zW5*gYrekhgwS>W}G%(wNu{k>AbaQcSIv_%Hvvbs8wuT&pLYE>80v7fIlz&0}A&lkqC^O<5xsC%0wLS&(`dkkWGz(6JL4h{lhmk5kj zm>$-me*v8^8$!T7$YG;OKp;z>@oek%rDGCXj1hPYW%a{a#faNiW2O)%j$(3}sQa`8O12bnwn;XAf)dcRr>w$R> zH%!Gc@X#6X9UN$b$wiXjT)uLGQz8d}P+|##fQ9|QI96lKmdHUMs1gPN(_=8J?1b4M zf_;#~CJO?FN}joLt6?StMmJ_w!N`*5Ss9a{6C&^!%!0(^N_uCdeonmyX3mbyZ6^ag zE)9BMZYSgGB0*tlO|38qj^!(7$z`ZI2#H-HFpkw2;u;Y%9Rz|ZVUYJPD*|ztb9k2} zz|}}@HLT^Ki3W4-yRTLU9sV0x1}p=Xf$Poy!To%Ds0qwLNbC}UQQy7*=dcN?ghAfF ztO&$G4jWYh0wUG5ujrVFGiAt_1Tj6xDUj`325un(&u<|}i*Zc`2+H}&Sp(}JBzB3w zu=a&CS^Gj3jJA;hd|XEeWmY^esnS=NJNtB;paLu=hs~qm`SEiOOsJ$|RxG^(dMH_h zaT3}=Kz`f3arD@=59Ft3hU>XNU(Su ze_{5H2Q4u3&+DF=g)6HFiE2$fFq`^#SYk~v7l09D^XF|5<;7STGS99;JDmB)m9`Gk zLp{H)LI^A{N{M*d{w>hKr8Sv{NE)d~`fiBRi^)BtNo8`(` zW5Gixme>Sq%CPpu*&u#d`$7_oECV;3f!$eSM|IvVB`qhpHz>x;iSZC>*}inl#6Qt2 zeDc_+5)jCeXDb9w-r9swfA>|uln+7-0S+16QU<6)ustw4xOtV3xJGYHO)zPlS15z6 zsR8ExZ#l}9v&Ll)p;%%QtSRH1cVDARYuXn=B5aE7>uLNx6yhZ!2;?!7#N|aw=w<#6 zRvJ?e%%v{6r3w}DJuoZGnuulK;xd4G)S8-LqN~W2v&Ll)q04Gtd$)DR_A=6zb4%bM z$FcFoASaCayDwl7YYLe1L719_{tBWF?W+goiV#ip3Nqk2_}BxpgPT_g+2h}|G{clD zXEE2T>LC3CVnnMelV5Q@Ax%7{kp?3Y=HST!$hozo`k>>s|n{oYwCg7 z)W?VZ1TQReLR86lTMYBnSQ&=FK{~$m&c^bbICj^ zW*~o#R-d5q`OcQ(q9VA_0CPe6QXPXkIwdPM!D7AWh64yht4PL_4?;{~l?4;J$qaBy zGHQ^4>I&7s*4ZGqSXEc3vpLnk5NhV+0&Z4Zt4t)7J*mR19&0ZFtNP@SF=OA5MPLb3 zme|V)+gUbZEUXaSaL}$kIb_TvaS=TU0hWv`1D1h)8K_>#D_uD2U)@0A+AhhJvlufH zla$y5i>kc~VQEO^|$=|iHS90a7aycon2^Op0qkX}sjG3?OCuX6p zfsE|Z21h(^ZNhl&08@Q($e2k4qFESQL--c3HTA$;=%ZgKUnAZFv&OE;SO(f>U|$jM zi`$^p%uF}IbPOw3&Y8{JgxqRax@r5$Sy$#%#}qwgA-8g?IS3HH4-T8E_9bEh;Y{?n zgPk6j9o#g?%gKQ6;7Sk74sKp0r0d{yGt3q33#w|DxJUbvF&89}N{*GWs@m6c2cW9< z6$H`72!oI~?AMa36*M`^fMviku<;CVDfKVdh`$HPauOVw2Q9+;{@V_F6-GXt)JTg@=7 zea(&za+_~8_y6uo#+;e&Ht~7~Mf@>~_SXJ%o%I?0(QJaKiz%pPNxSkBGXkQ3Y?Gglu@wx~f;X<^E@|absN1;5( z$`AVPfAOc+`~4zj^MVGx4Eev$I6=5WpIp>FM~m_!q>3|I!{8F)6IvYGp3 zfS?vCyT_oueQ|ei&=9*sV6?!5p#|h18|)JXAxP}2^cizow=Wq}b;X!BoB^DJt*HlQ zYag!_Z@i#w?0gT*g)aJq@-^bkFbUQ|Wgk)N+ZSs(e#u=Tw2uYtOT>hs1>_(b>=Ond zNbDD>u6;defLU~mj*pBFDPXX>I%9;Yifl_ zaO{5V3#yJ^@=Z>-`>DVCB8GGPnqy*e0XfJ9`-DLV68lBUx0=Hu@lZ#XzplG4cx_}E zunbrRwvqutAfd8*3~v7J3rVPJUomk|DN-J@sAd9|f!oZ$^VChk-{JI-TfMQzeDaN!xiS|Ip1D;}6s=_|~Q7s<0vNSNuM{%CkUc<&u%45RN zd5CbR8&eO=#x5G%g=N5X@TUi62RE-0^6>9>oBG}ib4B}NRga)zWlylCjQYDT5fg?M zkcX`3SP_UIQEXq=b@x>a+5{{EmI2GaHDw@aIZ45;iNZrj>=Kbt-@X_wj|oEy$U`>T zPlBvLAqXYeh*%TGg1ax)B7V&=F==EOunf#H;IV9tECWgglFFVGY(*)uJoaK`Pq3y8 zYhRoV;+M5AB*EzVGvE+HvfxAUD8}}4mWUN$Ry>&L0rAqn?W+MMu=~cF5_$shhV`Ufy2aN=a$8qt-l?Ex5L`+r7n3jQy%s^ZL$dIRHVlin5qhnkHl!P%^+R$;dKriy25- zPOQr-Tg{=O$C6LT>`Kgq2glmi!i1T)Wxz6UmJHZm-DsBP73~Y%N$nE%XkRks!iZJJ zbgWmHoxM}?2ff(k9VV*ozC_Feq`g@NPBTz-Vx1}##yv0#CU1pJ9Rlrvxzx=bpT0N4 zT+zOe2enJwqkYMk3$v~|R>rDoU(X$Ysw+Ykv+PPUiNiN{&F}!!19PE+exZDgcn{1P zyC!29xU>v3Yc-R=nqgY|nq+mqi`-^gjX#J&fUxhdscK&$CJ@dqRXvSy*3$YzEgJUaS2 z3ytLoI$*?G1?=l2V(MxM*YoI(U*n^yv*%$G(d>Yq%Xpg^JJhe)^RQFK_)R!O%Oql! zRhvolD8DT*sab>>AeWgt)6{5swyp@)$5nwHjh-=cwyqpB4tJ19zQ>rbS&0b5 zWgxDA*)ppNBf0)huvnb{F)pfbA&ZG3TxsI-AU&KzRH#rbk*uJ2W#{^a-d6vtAR9%O>$?Ex5bN{<98M87b z6T7|)xOFa9ovaH@^uS!`!sUviW~?AwmA0lHm| z5!Eqh>QvRfn%sTOB5?3*79`rF2WN9+mFKz+DNfA|5^XT1jfccVdPVy}a?~zCkQl2| zsK%Hml8ot~8?Xq2S@Fjt@k<7QM3Ji6*S?=Xw2EX*`5+LGt-x31_riqisI5I9PuBvN z{xG;rCqb^9Rbi`Lf&ekD;?QzdVmjytEW!ZjNeGw(sgCVMw5{A~Sj#~ZZg}jRuYHZ? zU~*$b8;j>A!kMi+hp@3KN<`wH^Y;hU!{U8efU$KC?rEg#xmK-vD{@zLK| z`GFpi1IAb~9CnJNVtT0Sp$!8;NO*?z^O0BDJR62l5P$A@_BC6*K?5 z?hfe-kKqpcRI4^hOezW7gWm)59&X4gUS{>>9NJ`DZ?p5ZXnw~MvvuX6cEBd=WAPqW z8U`Bem?>jAsD@Qb7|cq;-X2&+mVt-P0KtLTIqEQ5Lk>dO^L@%Vwj9is$Uz{B>A{(m z0m5tu0sA0_O%?=(A(FGC-rJ<2GM8Ya($F61zlT9INpCdu&=Bb}=E!$S%=G*k z-I!R#ltP~|PsSu@h6p?cvmirT2Gi&!GJx}?H8sG*d1{o&0M=}4T94`1y0jV~<3KY^ z0(ic1f>R;~0ill^8OLf|!UNx7lg0Gl%qlx!HiUqEki#Ym0!ESKR>P_u>Tu)9sZ}sU zk}(N70n1}B3lftn>29TdPQ3?a&W<)We!Hp(+=JHx^B!)Pie+GZ2HYwLze+o=+yK*! z6_bFUubkkN$U#8t5`l57#w9%P9X3IgFv$Ct6*)8)1q6U9dFINkhM5o;LyC@JLM6{! z8Iz!^2MLLl^v+8CoO%z;oE@9nP6m2h8uY;2PR7?og2L3AT453#%U8~l%TRR?68gxI zQQy9Z;T*s6m>!(jjR|2kgn)gBIJ}FFfvJ+*YFN!f6>gmM?u%V&b=J=-tj6mwJ=ABFoiH0hz&^-f z6YLWPrxKVGNp3Z);-LmNT4B-@%VRJL5|g93ac5&294Dje$^dSf2`-0q?cO;0>mZ$A@i@jD-GP)!I_50( z4q&HdM+4ze)g8FuVbbw=(~+%hc7yOkvLhC2#;0HJc+dhf@h_q$A!rWQ@{o$w)C04r zkCSt~DQ+bgK`wvZ77<z+l2;?yn|MDUw zbmQM{2Dl{|SqAbMKsnhWp=JtKc79}4Y(_z`OGJjXFU}<)pZVTo?F+dvvJ5<22F_RJ zcV`W9+yB%?uAIdrb9eF(id`zfnlkF!myTIc{NWIj$3}DvOp!cWA#n57CXD*KuL5S0 zIMFP8GP;=zP=`o+V0LixDj|D(`q%?=X>w7IT2li|)XWXuefcr0aeKe^1%1Ls>?48k zG=3og@e&aPf~vqGPHHU=lWYYZJy<@4ncjW(OV7T?)BNam4(v3|I!PECWgH zPYQNTARa%JNR)^mkheBrEV%n(E#jAenM5F%^-wpm z4BSixJeGx#WkAV*Tsak`$nw||`$%M*xqY!ux?}KKB?4FIBS*$r+ZQ{u)w?g8Cz~Uj z^BX3I*s{f=81&CsB36W0BLWsPJs@5hxP65shzXT+OoDE}@)+dIX6FgBP%YDUG#jiQ zV^EoUzYkmXx-5Z2 zwWc1JO?`am9uk&0A*y6a7Q=isR)%44kWR389MAl?79gdPj+yuu(NE3Rtz^{=V_F7U zWFUWzR-d5q`OeP8MMdzm0p^1Cr8)+8bV^oig2j5#4F?d2R*{S;AB32~DhnoblNsQa zWYi!7)fK9Nt#d+DSEzG3)y9@wIjiuK%AR1cdaS(!tm>0P#*BSK7J(&DSz<3IY~@zN z3egP*?dp?5#!M0y(UTBh$;dKb8R(aR>Xp3G1^cTTD-(EBmn-K{M%Y7lN=j^k#msxO zFC8;q*-y=m?y8On0Uf4a;di;!Ff+R0piyzGLd5j_b3mW*yH163#1!i@C5TJJZ7|mzbkw8P)CAMBS90a7aycon2^Op0qkX}sjG3?Or)EdTWss3w+Te)itxXuu z9bl?Y4jD6vKr{`4eH^ZFNPRq3y4UxNeIx!M=PG_nlbP6nRbPN){?{W6f$ z{-ixr;ay<++WW0KRu1g~+t;w~mSx|sOTzEJBfT!CE4-x&nJX6Y@I7BVN>Tlc*Cs(I zzebOYC&!QJA+AvYQ(n$7ggtt^;*Rq{RkOb5eeme$?<_PHA&7x7Uh$&VmPy5Q&=39* z2062sKR&8DdmeUVs~dhULw+!oHFt1feEK!~V5tKs~}=Q}eA2^<$yXgQcDl8ot~8?XoipeG?<5+sA{`Gsw=ViPP@bWnyH z9y`^GS;kBvZ~&bJiAf{NKrRE%a+5Ztc^wJ&4=WbJF82isnG2HchVpLL&u8cS7{*>>`*e|5vR_5H%ol9uD(Ty^^*7H-|X zbWFz6fRnqaOw=&p54jMo5@YbMu4xpG!{-MW3jsEj#AjUy_H?0LEbj1&k*+(Bk$ZNl)M zLZbTQkTK6i}w1pf$wO#8xg!LhxF zwykPk=e_%?YLi+_7s`9V{u0E69vO4XGH{t0K-(E-ME%IVMXFV!&! z=~UIeMniRXU$7=)$_Igoa|juWvqYr-Ie%6^RF$WL16BjfiYrH08V1599~w9+ z{o?V_-&tLRCISKDcp-~{N-Cy@svcSZ-@$hNH(i5>`2K7dJ_j@VVCs}{{LNX+s-$AZ zhh0AhhG+mYRZAGmN&~YI()I{-#pud1K=5~VjylZNkb_Y6e4jFoEeEqDauCR3dT{F6mxE{) z1dJl7MaM&ZJljL!ig*x^F$wH|Y%xaQF_;C3Nu!&}0M5bI)C04%kJpMfUeGpnz6s{KgYFxL z1h@v6G+u7-R;rxfl*mCq>=J=-ti~lg@EtZml`sgs$zw39?1b4Ma!^-5AYG)o_Vwgw zwTP&-M1pp}@)*p5#NN&n% zv|4f%rvJ~c{7JAx*vVJUAfmlHFte>@$~abI%$CSOAdBh2nN@be>=?p-!QP%6-m)Nj zzOwbqt7~5|aSGa=rDGC=@&_S=0Ew0K&Px59dJoK;9h=)u26|i?^uXLs#@9uH!ql2t zVGC(!Rhxki&Zxhp9~OS^Odv4po35-v4lau!hT>JTMlMRW_x!gU1d;i=<=9AEpqHk5MUgr|81& zjibK~(n$^Daf~^-11Xhs%vtIkz)sDM2C@&6j?bHpY;Cg}j=#eCIRq0d9>-spz2iX( z%>47Zr)J^GDng=KQxD9hK2FZ{rnnVgIR6Q9`STVWm0lbM2Z7`S4_H&iaiw8aB^A>{ zHLhC8EJ12>^zyH7E~Fa>D0`0j+Q3J_{Q1rhkx}2iSWCeSOIHzL0FcKf+E0Q6r$hvS zytN7A_&hwsOGFUJVdx3@!nlcBya&?e(T%@nTe{K%@lYCu@M~uQzXw;2;98238Vh*tALp#PBaUjjBX|a)FILym>t}_O2{6!sy#55CKvUn zHLb zy9efpiQ>=|C6){Hz+CQyX;=mxJ_D#nt*IHNeBoc?vWHN<3QrmJcVDdK`6XcHD|-?G zl$ks>qGMpn#8wF0ytN6V{_YD{3T6_4Xcj)LAnMS*dSI>y(NwP>1FnOQJuo}Cd6keo z{#9l(Ou2FvbIqzALSmPQjQaMaW9BP+62if2-LZp^{6=8qtxXu$b@zpkj4T6|0n5N< zGLY2%q+r*C=phulRDv~S)VD9z^86Ao6aPfB(D&G=5)crHz{y*iFc#c>u@>=5z)TV+ znuWfRW#Cpa;IS->ECWggmA(V|Q1DBEk2S>8! zZPCM@vqY>2@dOW8%=CbGY2fx1mJ23S(lH6T0n1~MGn<_!%tEzH-_dNadW@NX)c`Yo z^)817OQ86uYVt5Co;NKMKbIjtn9AyR{2HHr-QgHEzG7N)*MkpDC0aIMmai#6&m935`udBH97#nVEj%afc-9kWd z1td$OuGMgU5h#O>SmUW7z?XKHykjk7qg5hAB3q{7&EdA z%rd|&$*4gFsw-3jTW5pdVpUzC&gRs5LwZZeR9Z{v2VyC;}z+_ zTC|@8315mNw;EQBZaCmnpByq~;$K8hf`la_%fKaM0B7jt=;gtmE2eILbt4ym%S~=( zPOhBA5YZiy5}RN#Gqw)`7DS7rW9BRSsoBwp>X`1vE6kQ#4Kt$~4nS4yOUFzii0DZO zuw-;o8K^q37G|Ue=0X>?Doz~&YlFG&p!c{~X@JS!wQ<7b%2{vHCsQ7mUi7 z`O1D`7U~+v$S!Sg#PilBjOPw8)hCCHnM9B^J6eLZ6@+gATT>6rg+BU)@-^Z;Fl+3Z zjAh``GSHya%tC60DOb*!&D@0CYFPSV+SlGq)v;V8$2sIyZZ*t|4aPyKs(tC0@>H}t5xy*;cv0EDo;zSvwXYyp5kX!A07jMp%YbFTG7vMcqJ05F?GpEBUpi*KvL_*g zz*NVAko?~I?hBS=%p`(*kg}`Bu?%c815dUYmPOSr19Ii8vY~bfYhOtYt$iiwu)#HF zz{B}`@hFA$GcFngp?vW;GM*eiriZvj1ey10!C@ zVqYf}(?M4cEui1=&iJTm@-Qi$H!TxCmmxox%IZ6~Fh2bnp0Qnt`8o6hRzy!iC|f8? z;8?e&9+*vibWPEggU!|z0b^VhC9r1)LFCv&IKkp^WDvLwF!OhkiCH!UsqGOKwb7Mj zAg+Mq9IZY<<@25MK*+_y_Qe`9rUPJI`;tL`QKVTm2r7pH;jj-kJa!;jMKY#*5D3Us zb*ah)6WU@1o^3Ix2Z*V<>OFvO_crMkRH%C!c>qP!%*h4ZthiRWq;?4cgtf1b$XSMC zeyn|k9ND{N;9)Z0&R6Eo`d2sn!=&>}R^`fBbbwf*b^FpW9b4>w_w^+$Jh6U=o7-E}w~4KTT8a$S@wXO-8j+ZT+= zm}As9qO!=Ir%S*{fvVb<{}d?ICx?tF9|VGhM(qpV0=A|em`imvgJVk`uq<*dYX&<|LI0nn2WFbPr}+lxqB#|*jEFcSg`@4lcS zVNHxHRa2c~MDwIA?qZ)6FpD%31Ups%n=YKrCxt zI;I0)_x1%Na;sscg9N*AWp`ij+Q>3s8L$j&B?Bwk7gEr=ed(AE?8|9igNHxpbX6p` zMEM{PknPg4D!(SQu?#%jSUeU^^9;z9v&xjUFKb^_CyEJeECaZv$`_APprrpfe^x(K zm8bD@juPZhz^u4(+?yc0VqopRc>D<&i{PL zz;Y?ljwNR6%0umN2T9mp_G#~qHDpZ3+_-89gIQ_dIfP_n8MuH95PX=OqYkq*mKgJ>26j3TK;$3uOrAi=RB9t31e0y|)N3}!)M z(#SH9%fK!-X>*G+;1+9Khr)(0HwZ@{UpZ@zjf0TbB?9AEjn`p%sLv`pVK#(-eUQT@ z*e485B`_zF+-g|GL(SS3vS4Hx*h~h_Rpy^?V`_eIqEyf5u2D2bBX>?N==uu63VBXY0QGy*W zXchi_SWPh39dv&mzY98TF!@7pf}v3RN7Pt3!6}i0fY>Dh<5-PLc;Gv1f+}H<_b)2~ zagf7Cm4JXqb?xg(a7@IRGGt7GSitfa#Dfq*z~oB0TdAK@?}3@Kqs>))kE8))cs(#P zW^BqbaOoNF9UN?iNpLP-Il(EBgFq;;gh9Z*}i4q7BcYs7J{@G*JOa8oUfcUunt0Emk11NUr3X+ zFJ!@J8yUdIb%ao6#RHQneTBKRPsa%=z+!UPJQ|)KKj*-NN;+o6(mSAsl0_INp&bO| zx7`~@e;vdUEFQ<0qdSmNNykk5i|D6jM+4c1Nyq0+Rr_G>hLZ#zJ0Nl=a+z)_$Qi$zQ;zDfPhE@PTtyt zaeN*g;w2&oJv^i}b3EQ*!03!S@h~B{spDGOT@Z zHi%!=zK{eX%fJO@fX?L8?o4)W!A#O}l6!+<^xU>Qgj%*Q9W(JyGz*_RHmU>!vgFwc zfs?m3VbtG!6)@$45JP}NMz@p!>JV%X%noi|B_yuVTT>HETIUtYU~6iE>DVi|a@M%) zArwn&f;DAq^zQ3v{5}+EC=o#*-x8C=4J_u8@&|g8+p?&qh zToIzFUO@(22OoQ2c5w45A$vSx+zeB$oW)$Ts)tZ4u?g0c5t{(SRnjr@4dv7<40vor z$G}vHz|C8mFs|$F3n3X<1}p=Xfz4zfsr^a8t_jgYNbC}kQQy88PQgt4i|9!RaEQrc zqe?(PBmyUIZNgY^_r+SoF99=&Kr{<|Bg??8WWZxt7+D6C49JyJQHm^&J+VtfhP5wF z6Cqdm-XtG{m_jHUSq3g80}hU4&)cGhKWB+p5#k9Ru$buq@zTKUD=ZgGsH9^ObOV;h zAZIo^Pnd;jnZBdhVD%U?0jmLK{OVl}4VFOphe`3gX_@%B4Ee!SR=?xd`1I=z$FKqB z+YA%2B6<=6OROfG1FfkCW>X)VeQbMAufj4X=qKlG5%ASm8HT|@I>F*`T-0%;K}sbZ zGx0B?pP0pPgG3vQY4aiF&(XS8!^x4)cV@;#MMz@<%mwXBbqwz4l&shUi}j)#4j|R5 zS;mwPLQEkn8CeE$8Q_*=WEr@{49JzUDjP{Efk!8R# zaQzvuzq--v7$z+zshmX<=uUDKX68NGmyVhE7txatg4e2JK`8(J3Qx;-O3aLIH~>`L zed(A<1Q9(60hWwzDg#v~*20YRz+C9UR>i49U_CIGx`}$!nwnwCm9xs_q{JpztR5Q- z0Sls4BxB|)`>EN{hzwHYLsk2F?toQY5oF9Hf_#uwUh6{mld`R;2j)T_{X+Q~@gA5p zc1>oQ0bKJLSq3ZvmVpHsz-5P#W#FMR(Cixhp#w4dmI2Gaxiaw1xeRT@j^9We7KUZu z+A{Eh$ESS!ul5Ire~J5u-}tKM5mm+k!I$}iLBdCc2^IrH#zM@gYJwU4gLf}~CYWX3 z+?_-f>Ka9VV+6qsXoZ-M>C&(Q&tWI1=KUK*vmzshH~xi5nuo^@hwPPs9#vkbx`A8M zEB*z3L+(BshS&YTZ*Ma6o`HbJxE5ft>Z1|!b@uNw#vC4xn4TSD=)-3~`?w||IO|6G zjp0`hdweQ71{;QF|L=j-kAIDgMf!Cd$lkKN_Jv&3}&Z}4SYI}e#k1wwM2e+`B(p7D)2L2Y`iW8 z8c+6dUF`UzdTscVfA=C!GQGwt8B^n0!PIMeDOP)g^#sM&#?v%NeQSaRglE`{C+3UT v)5tON(ZBwSFTOOcA3nuT{DSUb3dIUik31%^0HR;x+Q5Pz>(KBYe*FId&|2Sg diff --git a/1ano/isd/quartus-projects/Teste/output_files/Teste1.sta.rpt b/1ano/isd/quartus-projects/Teste/output_files/Teste1.sta.rpt deleted file mode 100644 index 1737dee..0000000 --- a/1ano/isd/quartus-projects/Teste/output_files/Teste1.sta.rpt +++ /dev/null @@ -1,437 +0,0 @@ -Timing Analyzer report for Teste1 -Fri Dec 2 13:02:27 2022 -Quartus Prime Version 20.1.1 Build 720 11/11/2020 SJ Lite Edition - - ---------------------- -; Table of Contents ; ---------------------- - 1. Legal Notice - 2. Timing Analyzer Summary - 3. Parallel Compilation - 4. Clocks - 5. Slow 1200mV 85C Model Fmax Summary - 6. Timing Closure Recommendations - 7. Slow 1200mV 85C Model Setup Summary - 8. Slow 1200mV 85C Model Hold Summary - 9. Slow 1200mV 85C Model Recovery Summary - 10. Slow 1200mV 85C Model Removal Summary - 11. Slow 1200mV 85C Model Minimum Pulse Width Summary - 12. Slow 1200mV 85C Model Metastability Summary - 13. Slow 1200mV 0C Model Fmax Summary - 14. Slow 1200mV 0C Model Setup Summary - 15. Slow 1200mV 0C Model Hold Summary - 16. Slow 1200mV 0C Model Recovery Summary - 17. Slow 1200mV 0C Model Removal Summary - 18. Slow 1200mV 0C Model Minimum Pulse Width Summary - 19. Slow 1200mV 0C Model Metastability Summary - 20. Fast 1200mV 0C Model Setup Summary - 21. Fast 1200mV 0C Model Hold Summary - 22. Fast 1200mV 0C Model Recovery Summary - 23. Fast 1200mV 0C Model Removal Summary - 24. Fast 1200mV 0C Model Minimum Pulse Width Summary - 25. Fast 1200mV 0C Model Metastability Summary - 26. Multicorner Timing Analysis Summary - 27. Board Trace Model Assignments - 28. Input Transition Times - 29. Signal Integrity Metrics (Slow 1200mv 0c Model) - 30. Signal Integrity Metrics (Slow 1200mv 85c Model) - 31. Signal Integrity Metrics (Fast 1200mv 0c Model) - 32. Clock Transfers - 33. Report TCCS - 34. Report RSKM - 35. Unconstrained Paths Summary - 36. Unconstrained Input Ports - 37. Unconstrained Output Ports - 38. Unconstrained Input Ports - 39. Unconstrained Output Ports - 40. Timing Analyzer Messages - - - ----------------- -; Legal Notice ; ----------------- -Copyright (C) 2020 Intel Corporation. All rights reserved. -Your use of Intel Corporation's design tools, logic functions -and other software and tools, and any partner logic -functions, and any output files from any of the foregoing -(including device programming or simulation files), and any -associated documentation or information are expressly subject -to the terms and conditions of the Intel Program License -Subscription Agreement, the Intel Quartus Prime License Agreement, -the Intel FPGA IP License Agreement, or other applicable license -agreement, including, without limitation, that your use is for -the sole purpose of programming logic devices manufactured by -Intel and sold by Intel or its authorized distributors. Please -refer to the applicable agreement for further details, at -https://fpgasoftware.intel.com/eula. - - - -+-----------------------------------------------------------------------------+ -; Timing Analyzer Summary ; -+-----------------------+-----------------------------------------------------+ -; Quartus Prime Version ; Version 20.1.1 Build 720 11/11/2020 SJ Lite Edition ; -; Timing Analyzer ; Legacy Timing Analyzer ; -; Revision Name ; Teste1 ; -; Device Family ; Cyclone IV E ; -; Device Name ; EP4CE6E22C6 ; -; Timing Models ; Final ; -; Delay Model ; Combined ; -; Rise/Fall Delays ; Enabled ; -+-----------------------+-----------------------------------------------------+ - - -+------------------------------------------+ -; Parallel Compilation ; -+----------------------------+-------------+ -; Processors ; Number ; -+----------------------------+-------------+ -; Number detected on machine ; 8 ; -; Maximum allowed ; 4 ; -; ; ; -; Average used ; 1.01 ; -; Maximum used ; 4 ; -; ; ; -; Usage by Processor ; % Time Used ; -; Processor 1 ; 100.0% ; -; Processors 2-4 ; 0.2% ; -+----------------------------+-------------+ - - ----------- -; Clocks ; ----------- -No clocks to report. - - --------------------------------------- -; Slow 1200mV 85C Model Fmax Summary ; --------------------------------------- -No paths to report. - - ----------------------------------- -; Timing Closure Recommendations ; ----------------------------------- -HTML report is unavailable in plain text report export. - - ---------------------------------------- -; Slow 1200mV 85C Model Setup Summary ; ---------------------------------------- -No paths to report. - - --------------------------------------- -; Slow 1200mV 85C Model Hold Summary ; --------------------------------------- -No paths to report. - - ------------------------------------------- -; Slow 1200mV 85C Model Recovery Summary ; ------------------------------------------- -No paths to report. - - ------------------------------------------ -; Slow 1200mV 85C Model Removal Summary ; ------------------------------------------ -No paths to report. - - ------------------------------------------------------ -; Slow 1200mV 85C Model Minimum Pulse Width Summary ; ------------------------------------------------------ -No paths to report. - - ------------------------------------------------ -; Slow 1200mV 85C Model Metastability Summary ; ------------------------------------------------ -No synchronizer chains to report. - - -------------------------------------- -; Slow 1200mV 0C Model Fmax Summary ; -------------------------------------- -No paths to report. - - --------------------------------------- -; Slow 1200mV 0C Model Setup Summary ; --------------------------------------- -No paths to report. - - -------------------------------------- -; Slow 1200mV 0C Model Hold Summary ; -------------------------------------- -No paths to report. - - ------------------------------------------ -; Slow 1200mV 0C Model Recovery Summary ; ------------------------------------------ -No paths to report. - - ----------------------------------------- -; Slow 1200mV 0C Model Removal Summary ; ----------------------------------------- -No paths to report. - - ----------------------------------------------------- -; Slow 1200mV 0C Model Minimum Pulse Width Summary ; ----------------------------------------------------- -No paths to report. - - ----------------------------------------------- -; Slow 1200mV 0C Model Metastability Summary ; ----------------------------------------------- -No synchronizer chains to report. - - --------------------------------------- -; Fast 1200mV 0C Model Setup Summary ; --------------------------------------- -No paths to report. - - -------------------------------------- -; Fast 1200mV 0C Model Hold Summary ; -------------------------------------- -No paths to report. - - ------------------------------------------ -; Fast 1200mV 0C Model Recovery Summary ; ------------------------------------------ -No paths to report. - - ----------------------------------------- -; Fast 1200mV 0C Model Removal Summary ; ----------------------------------------- -No paths to report. - - ----------------------------------------------------- -; Fast 1200mV 0C Model Minimum Pulse Width Summary ; ----------------------------------------------------- -No paths to report. - - ----------------------------------------------- -; Fast 1200mV 0C Model Metastability Summary ; ----------------------------------------------- -No synchronizer chains to report. - - -+----------------------------------------------------------------------------+ -; Multicorner Timing Analysis Summary ; -+------------------+-------+------+----------+---------+---------------------+ -; Clock ; Setup ; Hold ; Recovery ; Removal ; Minimum Pulse Width ; -+------------------+-------+------+----------+---------+---------------------+ -; Worst-case Slack ; N/A ; N/A ; N/A ; N/A ; N/A ; -; Design-wide TNS ; 0.0 ; 0.0 ; 0.0 ; 0.0 ; 0.0 ; -+------------------+-------+------+----------+---------+---------------------+ - - -+------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Board Trace Model Assignments ; -+---------------+--------------+-------------------+-------------------------+-------------------------+---------------+---------------------+----------------+------------------+--------+------------------+------------------------+------------------------+--------------+---------------+-----------------+-------+---------------------+--------------------+---------------+-----------------+-------------+ -; Pin ; I/O Standard ; Near Tline Length ; Near Tline L per Length ; Near Tline C per Length ; Near Series R ; Near Differential R ; Near Pull-up R ; Near Pull-down R ; Near C ; Far Tline Length ; Far Tline L per Length ; Far Tline C per Length ; Far Series R ; Far Pull-up R ; Far Pull-down R ; Far C ; Termination Voltage ; Far Differential R ; EBD File Name ; EBD Signal Name ; EBD Far-end ; -+---------------+--------------+-------------------+-------------------------+-------------------------+---------------+---------------------+----------------+------------------+--------+------------------+------------------------+------------------------+--------------+---------------+-----------------+-------+---------------------+--------------------+---------------+-----------------+-------------+ -; F ; 2.5 V ; 0 in ; 0 H/in ; 0 F/in ; short ; - ; open ; open ; open ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; 0 V ; - ; n/a ; n/a ; n/a ; -; ~ALTERA_DCLK~ ; 2.5 V ; 0 in ; 0 H/in ; 0 F/in ; short ; - ; open ; open ; open ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; 0 V ; - ; n/a ; n/a ; n/a ; -; ~ALTERA_nCEO~ ; 2.5 V ; 0 in ; 0 H/in ; 0 F/in ; short ; - ; open ; open ; open ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; 0 V ; - ; n/a ; n/a ; n/a ; -+---------------+--------------+-------------------+-------------------------+-------------------------+---------------+---------------------+----------------+------------------+--------+------------------+------------------------+------------------------+--------------+---------------+-----------------+-------+---------------------+--------------------+---------------+-----------------+-------------+ - - -+----------------------------------------------------------------------------+ -; Input Transition Times ; -+-------------------------+--------------+-----------------+-----------------+ -; Pin ; I/O Standard ; 10-90 Rise Time ; 90-10 Fall Time ; -+-------------------------+--------------+-----------------+-----------------+ -; C ; 2.5 V ; 2000 ps ; 2000 ps ; -; B ; 2.5 V ; 2000 ps ; 2000 ps ; -; D ; 2.5 V ; 2000 ps ; 2000 ps ; -; A ; 2.5 V ; 2000 ps ; 2000 ps ; -; ~ALTERA_ASDO_DATA1~ ; 2.5 V ; 2000 ps ; 2000 ps ; -; ~ALTERA_FLASH_nCE_nCSO~ ; 2.5 V ; 2000 ps ; 2000 ps ; -; ~ALTERA_DATA0~ ; 2.5 V ; 2000 ps ; 2000 ps ; -+-------------------------+--------------+-----------------+-----------------+ - - -+--------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Signal Integrity Metrics (Slow 1200mv 0c Model) ; -+---------------+--------------+---------------------+---------------------+------------------------------+------------------------------+---------------------+---------------------+--------------------------------------+--------------------------------------+-----------------------------+-----------------------------+----------------------------+----------------------------+-----------------------------+-----------------------------+--------------------+--------------------+-------------------------------------+-------------------------------------+----------------------------+----------------------------+---------------------------+---------------------------+ -; Pin ; I/O Standard ; Board Delay on Rise ; Board Delay on Fall ; Steady State Voh at FPGA Pin ; Steady State Vol at FPGA Pin ; Voh Max at FPGA Pin ; Vol Min at FPGA Pin ; Ringback Voltage on Rise at FPGA Pin ; Ringback Voltage on Fall at FPGA Pin ; 10-90 Rise Time at FPGA Pin ; 90-10 Fall Time at FPGA Pin ; Monotonic Rise at FPGA Pin ; Monotonic Fall at FPGA Pin ; Steady State Voh at Far-end ; Steady State Vol at Far-end ; Voh Max at Far-end ; Vol Min at Far-end ; Ringback Voltage on Rise at Far-end ; Ringback Voltage on Fall at Far-end ; 10-90 Rise Time at Far-end ; 90-10 Fall Time at Far-end ; Monotonic Rise at Far-end ; Monotonic Fall at Far-end ; -+---------------+--------------+---------------------+---------------------+------------------------------+------------------------------+---------------------+---------------------+--------------------------------------+--------------------------------------+-----------------------------+-----------------------------+----------------------------+----------------------------+-----------------------------+-----------------------------+--------------------+--------------------+-------------------------------------+-------------------------------------+----------------------------+----------------------------+---------------------------+---------------------------+ -; F ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 4.44e-09 V ; 2.39 V ; -0.0265 V ; 0.2 V ; 0.033 V ; 2.94e-10 s ; 3.12e-10 s ; Yes ; Yes ; 2.32 V ; 4.44e-09 V ; 2.39 V ; -0.0265 V ; 0.2 V ; 0.033 V ; 2.94e-10 s ; 3.12e-10 s ; Yes ; Yes ; -; ~ALTERA_DCLK~ ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 3.45e-09 V ; 2.38 V ; -0.0609 V ; 0.148 V ; 0.095 V ; 2.82e-10 s ; 2.59e-10 s ; Yes ; Yes ; 2.32 V ; 3.45e-09 V ; 2.38 V ; -0.0609 V ; 0.148 V ; 0.095 V ; 2.82e-10 s ; 2.59e-10 s ; Yes ; Yes ; -; ~ALTERA_nCEO~ ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 5.61e-09 V ; 2.38 V ; -0.00274 V ; 0.141 V ; 0.006 V ; 4.7e-10 s ; 6.02e-10 s ; Yes ; Yes ; 2.32 V ; 5.61e-09 V ; 2.38 V ; -0.00274 V ; 0.141 V ; 0.006 V ; 4.7e-10 s ; 6.02e-10 s ; Yes ; Yes ; -+---------------+--------------+---------------------+---------------------+------------------------------+------------------------------+---------------------+---------------------+--------------------------------------+--------------------------------------+-----------------------------+-----------------------------+----------------------------+----------------------------+-----------------------------+-----------------------------+--------------------+--------------------+-------------------------------------+-------------------------------------+----------------------------+----------------------------+---------------------------+---------------------------+ - - -+--------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Signal Integrity Metrics (Slow 1200mv 85c Model) ; -+---------------+--------------+---------------------+---------------------+------------------------------+------------------------------+---------------------+---------------------+--------------------------------------+--------------------------------------+-----------------------------+-----------------------------+----------------------------+----------------------------+-----------------------------+-----------------------------+--------------------+--------------------+-------------------------------------+-------------------------------------+----------------------------+----------------------------+---------------------------+---------------------------+ -; Pin ; I/O Standard ; Board Delay on Rise ; Board Delay on Fall ; Steady State Voh at FPGA Pin ; Steady State Vol at FPGA Pin ; Voh Max at FPGA Pin ; Vol Min at FPGA Pin ; Ringback Voltage on Rise at FPGA Pin ; Ringback Voltage on Fall at FPGA Pin ; 10-90 Rise Time at FPGA Pin ; 90-10 Fall Time at FPGA Pin ; Monotonic Rise at FPGA Pin ; Monotonic Fall at FPGA Pin ; Steady State Voh at Far-end ; Steady State Vol at Far-end ; Voh Max at Far-end ; Vol Min at Far-end ; Ringback Voltage on Rise at Far-end ; Ringback Voltage on Fall at Far-end ; 10-90 Rise Time at Far-end ; 90-10 Fall Time at Far-end ; Monotonic Rise at Far-end ; Monotonic Fall at Far-end ; -+---------------+--------------+---------------------+---------------------+------------------------------+------------------------------+---------------------+---------------------+--------------------------------------+--------------------------------------+-----------------------------+-----------------------------+----------------------------+----------------------------+-----------------------------+-----------------------------+--------------------+--------------------+-------------------------------------+-------------------------------------+----------------------------+----------------------------+---------------------------+---------------------------+ -; F ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 7.16e-07 V ; 2.36 V ; -0.00476 V ; 0.096 V ; 0.013 V ; 4.39e-10 s ; 4.15e-10 s ; Yes ; Yes ; 2.32 V ; 7.16e-07 V ; 2.36 V ; -0.00476 V ; 0.096 V ; 0.013 V ; 4.39e-10 s ; 4.15e-10 s ; Yes ; Yes ; -; ~ALTERA_DCLK~ ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 5.74e-07 V ; 2.36 V ; -0.0201 V ; 0.072 V ; 0.033 V ; 4.04e-10 s ; 3.29e-10 s ; Yes ; Yes ; 2.32 V ; 5.74e-07 V ; 2.36 V ; -0.0201 V ; 0.072 V ; 0.033 V ; 4.04e-10 s ; 3.29e-10 s ; Yes ; Yes ; -; ~ALTERA_nCEO~ ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 9.45e-07 V ; 2.35 V ; -0.00643 V ; 0.081 V ; 0.031 V ; 5.31e-10 s ; 7.59e-10 s ; Yes ; Yes ; 2.32 V ; 9.45e-07 V ; 2.35 V ; -0.00643 V ; 0.081 V ; 0.031 V ; 5.31e-10 s ; 7.59e-10 s ; Yes ; Yes ; -+---------------+--------------+---------------------+---------------------+------------------------------+------------------------------+---------------------+---------------------+--------------------------------------+--------------------------------------+-----------------------------+-----------------------------+----------------------------+----------------------------+-----------------------------+-----------------------------+--------------------+--------------------+-------------------------------------+-------------------------------------+----------------------------+----------------------------+---------------------------+---------------------------+ - - -+--------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Signal Integrity Metrics (Fast 1200mv 0c Model) ; -+---------------+--------------+---------------------+---------------------+------------------------------+------------------------------+---------------------+---------------------+--------------------------------------+--------------------------------------+-----------------------------+-----------------------------+----------------------------+----------------------------+-----------------------------+-----------------------------+--------------------+--------------------+-------------------------------------+-------------------------------------+----------------------------+----------------------------+---------------------------+---------------------------+ -; Pin ; I/O Standard ; Board Delay on Rise ; Board Delay on Fall ; Steady State Voh at FPGA Pin ; Steady State Vol at FPGA Pin ; Voh Max at FPGA Pin ; Vol Min at FPGA Pin ; Ringback Voltage on Rise at FPGA Pin ; Ringback Voltage on Fall at FPGA Pin ; 10-90 Rise Time at FPGA Pin ; 90-10 Fall Time at FPGA Pin ; Monotonic Rise at FPGA Pin ; Monotonic Fall at FPGA Pin ; Steady State Voh at Far-end ; Steady State Vol at Far-end ; Voh Max at Far-end ; Vol Min at Far-end ; Ringback Voltage on Rise at Far-end ; Ringback Voltage on Fall at Far-end ; 10-90 Rise Time at Far-end ; 90-10 Fall Time at Far-end ; Monotonic Rise at Far-end ; Monotonic Fall at Far-end ; -+---------------+--------------+---------------------+---------------------+------------------------------+------------------------------+---------------------+---------------------+--------------------------------------+--------------------------------------+-----------------------------+-----------------------------+----------------------------+----------------------------+-----------------------------+-----------------------------+--------------------+--------------------+-------------------------------------+-------------------------------------+----------------------------+----------------------------+---------------------------+---------------------------+ -; F ; 2.5 V ; 0 s ; 0 s ; 2.62 V ; 2.74e-08 V ; 2.73 V ; -0.0384 V ; 0.169 V ; 0.089 V ; 2.7e-10 s ; 2.62e-10 s ; Yes ; Yes ; 2.62 V ; 2.74e-08 V ; 2.73 V ; -0.0384 V ; 0.169 V ; 0.089 V ; 2.7e-10 s ; 2.62e-10 s ; Yes ; Yes ; -; ~ALTERA_DCLK~ ; 2.5 V ; 0 s ; 0 s ; 2.62 V ; 2.22e-08 V ; 2.74 V ; -0.06 V ; 0.158 V ; 0.08 V ; 2.68e-10 s ; 2.19e-10 s ; Yes ; Yes ; 2.62 V ; 2.22e-08 V ; 2.74 V ; -0.06 V ; 0.158 V ; 0.08 V ; 2.68e-10 s ; 2.19e-10 s ; Yes ; Yes ; -; ~ALTERA_nCEO~ ; 2.5 V ; 0 s ; 0 s ; 2.62 V ; 3.54e-08 V ; 2.7 V ; -0.00943 V ; 0.276 V ; 0.035 V ; 3.19e-10 s ; 4.99e-10 s ; No ; Yes ; 2.62 V ; 3.54e-08 V ; 2.7 V ; -0.00943 V ; 0.276 V ; 0.035 V ; 3.19e-10 s ; 4.99e-10 s ; No ; Yes ; -+---------------+--------------+---------------------+---------------------+------------------------------+------------------------------+---------------------+---------------------+--------------------------------------+--------------------------------------+-----------------------------+-----------------------------+----------------------------+----------------------------+-----------------------------+-----------------------------+--------------------+--------------------+-------------------------------------+-------------------------------------+----------------------------+----------------------------+---------------------------+---------------------------+ - - -------------------- -; Clock Transfers ; -------------------- -Nothing to report. - - ---------------- -; Report TCCS ; ---------------- -No dedicated SERDES Transmitter circuitry present in device or used in design - - ---------------- -; Report RSKM ; ---------------- -No non-DPA dedicated SERDES Receiver circuitry present in device or used in design - - -+------------------------------------------------+ -; Unconstrained Paths Summary ; -+---------------------------------+-------+------+ -; Property ; Setup ; Hold ; -+---------------------------------+-------+------+ -; Illegal Clocks ; 0 ; 0 ; -; Unconstrained Clocks ; 0 ; 0 ; -; Unconstrained Input Ports ; 4 ; 4 ; -; Unconstrained Input Port Paths ; 4 ; 4 ; -; Unconstrained Output Ports ; 1 ; 1 ; -; Unconstrained Output Port Paths ; 4 ; 4 ; -+---------------------------------+-------+------+ - - -+---------------------------------------------------------------------------------------------------+ -; Unconstrained Input Ports ; -+------------+--------------------------------------------------------------------------------------+ -; Input Port ; Comment ; -+------------+--------------------------------------------------------------------------------------+ -; A ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ; -; B ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ; -; C ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ; -; D ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ; -+------------+--------------------------------------------------------------------------------------+ - - -+-----------------------------------------------------------------------------------------------------+ -; Unconstrained Output Ports ; -+-------------+---------------------------------------------------------------------------------------+ -; Output Port ; Comment ; -+-------------+---------------------------------------------------------------------------------------+ -; F ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; -+-------------+---------------------------------------------------------------------------------------+ - - -+---------------------------------------------------------------------------------------------------+ -; Unconstrained Input Ports ; -+------------+--------------------------------------------------------------------------------------+ -; Input Port ; Comment ; -+------------+--------------------------------------------------------------------------------------+ -; A ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ; -; B ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ; -; C ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ; -; D ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ; -+------------+--------------------------------------------------------------------------------------+ - - -+-----------------------------------------------------------------------------------------------------+ -; Unconstrained Output Ports ; -+-------------+---------------------------------------------------------------------------------------+ -; Output Port ; Comment ; -+-------------+---------------------------------------------------------------------------------------+ -; F ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; -+-------------+---------------------------------------------------------------------------------------+ - - -+--------------------------+ -; Timing Analyzer Messages ; -+--------------------------+ -Info: ******************************************************************* -Info: Running Quartus Prime Timing Analyzer - Info: Version 20.1.1 Build 720 11/11/2020 SJ Lite Edition - Info: Processing started: Fri Dec 2 13:02:26 2022 -Info: Command: quartus_sta Teste1 -c Teste1 -Info: qsta_default_script.tcl version: #1 -Warning (18236): Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance. -Info (20030): Parallel compilation is enabled and will use 4 of the 4 processors detected -Info (21076): High junction temperature operating condition is not set. Assuming a default value of '85'. -Info (21076): Low junction temperature operating condition is not set. Assuming a default value of '0'. -Critical Warning (332012): Synopsys Design Constraints File file not found: 'Teste1.sdc'. A Synopsys Design Constraints File is required by the Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design. -Info (332142): No user constrained base clocks found in the design. Calling "derive_clocks -period 1.0" -Info (332096): The command derive_clocks did not find any clocks to derive. No clocks were created or changed. -Warning (332068): No clocks defined in design. -Info (332143): No user constrained clock uncertainty found in the design. Calling "derive_clock_uncertainty" -Info (332154): The derive_clock_uncertainty command did not apply clock uncertainty to any clock-to-clock transfers. -Info: Found TIMING_ANALYZER_REPORT_SCRIPT_INCLUDE_DEFAULT_ANALYSIS = ON -Info (332159): No clocks to report -Info: Analyzing Slow 1200mV 85C Model -Info (332140): No fmax paths to report -Info (332140): No Setup paths to report -Info (332140): No Hold paths to report -Info (332140): No Recovery paths to report -Info (332140): No Removal paths to report -Info (332140): No Minimum Pulse Width paths to report -Info: Analyzing Slow 1200mV 0C Model -Info (334003): Started post-fitting delay annotation -Info (334004): Delay annotation completed successfully -Info (332142): No user constrained base clocks found in the design. Calling "derive_clocks -period 1.0" -Info (332096): The command derive_clocks did not find any clocks to derive. No clocks were created or changed. -Warning (332068): No clocks defined in design. -Info (332154): The derive_clock_uncertainty command did not apply clock uncertainty to any clock-to-clock transfers. -Info (332140): No fmax paths to report -Info (332140): No Setup paths to report -Info (332140): No Hold paths to report -Info (332140): No Recovery paths to report -Info (332140): No Removal paths to report -Info (332140): No Minimum Pulse Width paths to report -Info: Analyzing Fast 1200mV 0C Model -Info (332142): No user constrained base clocks found in the design. Calling "derive_clocks -period 1.0" -Info (332096): The command derive_clocks did not find any clocks to derive. No clocks were created or changed. -Warning (332068): No clocks defined in design. -Info (332154): The derive_clock_uncertainty command did not apply clock uncertainty to any clock-to-clock transfers. -Info (332140): No Setup paths to report -Info (332140): No Hold paths to report -Info (332140): No Recovery paths to report -Info (332140): No Removal paths to report -Info (332140): No Minimum Pulse Width paths to report -Info (332102): Design is not fully constrained for setup requirements -Info (332102): Design is not fully constrained for hold requirements -Info: Quartus Prime Timing Analyzer was successful. 0 errors, 5 warnings - Info: Peak virtual memory: 465 megabytes - Info: Processing ended: Fri Dec 2 13:02:27 2022 - Info: Elapsed time: 00:00:01 - Info: Total CPU time (on all processors): 00:00:01 - - diff --git a/1ano/isd/quartus-projects/Teste/output_files/Teste1.sta.summary b/1ano/isd/quartus-projects/Teste/output_files/Teste1.sta.summary deleted file mode 100644 index aa5b327..0000000 --- a/1ano/isd/quartus-projects/Teste/output_files/Teste1.sta.summary +++ /dev/null @@ -1,5 +0,0 @@ ------------------------------------------------------------- -Timing Analyzer Summary ------------------------------------------------------------- - ------------------------------------------------------------- diff --git a/1ano/isd/quartus-projects/Teste/simulation/modelsim/Teste1.sft b/1ano/isd/quartus-projects/Teste/simulation/modelsim/Teste1.sft deleted file mode 100644 index 06a2ca4..0000000 --- a/1ano/isd/quartus-projects/Teste/simulation/modelsim/Teste1.sft +++ /dev/null @@ -1 +0,0 @@ -set tool_name "ModelSim-Altera (Verilog)" diff --git a/1ano/isd/quartus-projects/Teste/simulation/modelsim/Teste1.vo b/1ano/isd/quartus-projects/Teste/simulation/modelsim/Teste1.vo deleted file mode 100644 index 773fc74..0000000 --- a/1ano/isd/quartus-projects/Teste/simulation/modelsim/Teste1.vo +++ /dev/null @@ -1,183 +0,0 @@ -// Copyright (C) 2020 Intel Corporation. All rights reserved. -// Your use of Intel Corporation's design tools, logic functions -// and other software and tools, and any partner logic -// functions, and any output files from any of the foregoing -// (including device programming or simulation files), and any -// associated documentation or information are expressly subject -// to the terms and conditions of the Intel Program License -// Subscription Agreement, the Intel Quartus Prime License Agreement, -// the Intel FPGA IP License Agreement, or other applicable license -// agreement, including, without limitation, that your use is for -// the sole purpose of programming logic devices manufactured by -// Intel and sold by Intel or its authorized distributors. Please -// refer to the applicable agreement for further details, at -// https://fpgasoftware.intel.com/eula. - -// VENDOR "Altera" -// PROGRAM "Quartus Prime" -// VERSION "Version 20.1.1 Build 720 11/11/2020 SJ Lite Edition" - -// DATE "12/02/2022 13:02:28" - -// -// Device: Altera EP4CE6E22C6 Package TQFP144 -// - -// -// This Verilog file should be used for ModelSim-Altera (Verilog) only -// - -`timescale 1 ps/ 1 ps - -module Teste3 ( - F, - D, - A, - B, - C); -output F; -input D; -input A; -input B; -input C; - -// Design Ports Information -// F => Location: PIN_34, I/O Standard: 2.5 V, Current Strength: Default -// C => Location: PIN_32, I/O Standard: 2.5 V, Current Strength: Default -// B => Location: PIN_43, I/O Standard: 2.5 V, Current Strength: Default -// D => Location: PIN_33, I/O Standard: 2.5 V, Current Strength: Default -// A => Location: PIN_31, I/O Standard: 2.5 V, Current Strength: Default - - -wire gnd; -wire vcc; -wire unknown; - -assign gnd = 1'b0; -assign vcc = 1'b1; -assign unknown = 1'bx; - -tri1 devclrn; -tri1 devpor; -tri1 devoe; -wire \F~output_o ; -wire \C~input_o ; -wire \D~input_o ; -wire \A~input_o ; -wire \B~input_o ; -wire \inst|inst3~0_combout ; - - -hard_block auto_generated_inst( - .devpor(devpor), - .devclrn(devclrn), - .devoe(devoe)); - -// Location: IOOBUF_X0_Y5_N16 -cycloneive_io_obuf \F~output ( - .i(!\inst|inst3~0_combout ), - .oe(vcc), - .seriesterminationcontrol(16'b0000000000000000), - .devoe(devoe), - .o(\F~output_o ), - .obar()); -// synopsys translate_off -defparam \F~output .bus_hold = "false"; -defparam \F~output .open_drain_output = "false"; -// synopsys translate_on - -// Location: IOIBUF_X0_Y6_N15 -cycloneive_io_ibuf \C~input ( - .i(C), - .ibar(gnd), - .o(\C~input_o )); -// synopsys translate_off -defparam \C~input .bus_hold = "false"; -defparam \C~input .simulate_z_as = "z"; -// synopsys translate_on - -// Location: IOIBUF_X0_Y6_N22 -cycloneive_io_ibuf \D~input ( - .i(D), - .ibar(gnd), - .o(\D~input_o )); -// synopsys translate_off -defparam \D~input .bus_hold = "false"; -defparam \D~input .simulate_z_as = "z"; -// synopsys translate_on - -// Location: IOIBUF_X0_Y7_N1 -cycloneive_io_ibuf \A~input ( - .i(A), - .ibar(gnd), - .o(\A~input_o )); -// synopsys translate_off -defparam \A~input .bus_hold = "false"; -defparam \A~input .simulate_z_as = "z"; -// synopsys translate_on - -// Location: IOIBUF_X5_Y0_N22 -cycloneive_io_ibuf \B~input ( - .i(B), - .ibar(gnd), - .o(\B~input_o )); -// synopsys translate_off -defparam \B~input .bus_hold = "false"; -defparam \B~input .simulate_z_as = "z"; -// synopsys translate_on - -// Location: LCCOMB_X1_Y6_N0 -cycloneive_lcell_comb \inst|inst3~0 ( -// Equation(s): -// \inst|inst3~0_combout = (\B~input_o & (\C~input_o )) # (!\B~input_o & ((\D~input_o $ (\A~input_o )))) - - .dataa(\C~input_o ), - .datab(\D~input_o ), - .datac(\A~input_o ), - .datad(\B~input_o ), - .cin(gnd), - .combout(\inst|inst3~0_combout ), - .cout()); -// synopsys translate_off -defparam \inst|inst3~0 .lut_mask = 16'hAA3C; -defparam \inst|inst3~0 .sum_lutc_input = "datac"; -// synopsys translate_on - -assign F = \F~output_o ; - -endmodule - -module hard_block ( - - devpor, - devclrn, - devoe); - -// Design Ports Information -// ~ALTERA_ASDO_DATA1~ => Location: PIN_6, I/O Standard: 2.5 V, Current Strength: Default -// ~ALTERA_FLASH_nCE_nCSO~ => Location: PIN_8, I/O Standard: 2.5 V, Current Strength: Default -// ~ALTERA_DCLK~ => Location: PIN_12, I/O Standard: 2.5 V, Current Strength: Default -// ~ALTERA_DATA0~ => Location: PIN_13, I/O Standard: 2.5 V, Current Strength: Default -// ~ALTERA_nCEO~ => Location: PIN_101, I/O Standard: 2.5 V, Current Strength: 8mA - -input devpor; -input devclrn; -input devoe; - -wire gnd; -wire vcc; -wire unknown; - -assign gnd = 1'b0; -assign vcc = 1'b1; -assign unknown = 1'bx; - -wire \~ALTERA_ASDO_DATA1~~padout ; -wire \~ALTERA_FLASH_nCE_nCSO~~padout ; -wire \~ALTERA_DATA0~~padout ; -wire \~ALTERA_ASDO_DATA1~~ibuf_o ; -wire \~ALTERA_FLASH_nCE_nCSO~~ibuf_o ; -wire \~ALTERA_DATA0~~ibuf_o ; - - -endmodule diff --git a/1ano/isd/quartus-projects/Teste/simulation/modelsim/Teste1_modelsim.xrf b/1ano/isd/quartus-projects/Teste/simulation/modelsim/Teste1_modelsim.xrf deleted file mode 100644 index d274c04..0000000 --- a/1ano/isd/quartus-projects/Teste/simulation/modelsim/Teste1_modelsim.xrf +++ /dev/null @@ -1,16 +0,0 @@ -vendor_name = ModelSim -source_file = 1, /home/tiagorg/repos/uaveiro-leci/1ano/isd/quartus-projects/Teste/Teste1.bdf -source_file = 1, /home/tiagorg/repos/uaveiro-leci/1ano/isd/quartus-projects/Teste/Waveform.vwf -source_file = 1, /home/tiagorg/repos/uaveiro-leci/1ano/isd/quartus-projects/Teste/Teste3.bdf -source_file = 1, /home/tiagorg/intelFPGA_lite/20.1/quartus/libraries/others/maxplus2/74153.bdf -design_name = Teste3 -instance = comp, \F~output , F~output, Teste3, 1 -instance = comp, \C~input , C~input, Teste3, 1 -instance = comp, \D~input , D~input, Teste3, 1 -instance = comp, \A~input , A~input, Teste3, 1 -instance = comp, \B~input , B~input, Teste3, 1 -instance = comp, \inst|inst3~0 , inst|inst3~0, Teste3, 1 -design_name = hard_block -instance = comp, \~ALTERA_ASDO_DATA1~~ibuf , ~ALTERA_ASDO_DATA1~~ibuf, hard_block, 1 -instance = comp, \~ALTERA_FLASH_nCE_nCSO~~ibuf , ~ALTERA_FLASH_nCE_nCSO~~ibuf, hard_block, 1 -instance = comp, \~ALTERA_DATA0~~ibuf , ~ALTERA_DATA0~~ibuf, hard_block, 1 diff --git a/1ano/isd/quartus-projects/Teste/simulation/qsim/Teste1.do b/1ano/isd/quartus-projects/Teste/simulation/qsim/Teste1.do deleted file mode 100644 index 1b43d4f..0000000 --- a/1ano/isd/quartus-projects/Teste/simulation/qsim/Teste1.do +++ /dev/null @@ -1,17 +0,0 @@ -onerror {exit -code 1} -vlib work -vcom -work work Teste1.vho -vcom -work work Waveform1.vwf.vht -vsim -c -t 1ps -L cycloneive -L altera -L altera_mf -L 220model -L sgate -L altera_lnsim work.Teste3_vhd_vec_tst -vcd file -direction Teste1.msim.vcd -vcd add -internal Teste3_vhd_vec_tst/* -vcd add -internal Teste3_vhd_vec_tst/i1/* -proc simTimestamp {} { - echo "Simulation time: $::now ps" - if { [string equal running [runStatus]] } { - after 2500 simTimestamp - } -} -after 2500 simTimestamp -run -all -quit -f diff --git a/1ano/isd/quartus-projects/Teste/simulation/qsim/Teste1.msim.vcd b/1ano/isd/quartus-projects/Teste/simulation/qsim/Teste1.msim.vcd deleted file mode 100644 index 338830d..0000000 --- a/1ano/isd/quartus-projects/Teste/simulation/qsim/Teste1.msim.vcd +++ /dev/null @@ -1,247 +0,0 @@ -$comment - File created using the following command: - vcd file Teste1.msim.vcd -direction -$end -$date - Fri Dec 2 13:03:35 2022 -$end -$version - ModelSim Version 2020.1 -$end -$timescale - 1ps -$end - -$scope module teste3_vhd_vec_tst $end -$var wire 1 ! A $end -$var wire 1 " B $end -$var wire 1 # C $end -$var wire 1 $ D $end -$var wire 1 % F $end - -$scope module i1 $end -$var wire 1 & gnd $end -$var wire 1 ' vcc $end -$var wire 1 ( unknown $end -$var wire 1 ) devoe $end -$var wire 1 * devclrn $end -$var wire 1 + devpor $end -$var wire 1 , ww_devoe $end -$var wire 1 - ww_devclrn $end -$var wire 1 . ww_devpor $end -$var wire 1 / ww_F $end -$var wire 1 0 ww_D $end -$var wire 1 1 ww_A $end -$var wire 1 2 ww_B $end -$var wire 1 3 ww_C $end -$var wire 1 4 \F~output_o\ $end -$var wire 1 5 \C~input_o\ $end -$var wire 1 6 \D~input_o\ $end -$var wire 1 7 \A~input_o\ $end -$var wire 1 8 \B~input_o\ $end -$var wire 1 9 \inst|inst3~0_combout\ $end -$var wire 1 : \inst|ALT_INV_inst3~0_combout\ $end -$upscope $end -$upscope $end -$enddefinitions $end -#0 -$dumpvars -0! -0" -0# -0$ -1% -0& -1' -x( -1) -1* -1+ -1, -1- -1. -1/ -00 -01 -02 -03 -14 -05 -06 -07 -08 -09 -1: -$end -#50000 -1! -11 -17 -19 -0: -04 -0/ -0% -#100000 -0! -1" -01 -12 -18 -07 -09 -1: -14 -1/ -1% -#150000 -1! -11 -17 -#200000 -0! -0" -1# -01 -02 -13 -15 -08 -07 -#250000 -1! -11 -17 -19 -0: -04 -0/ -0% -#300000 -0! -1" -01 -12 -18 -07 -#350000 -1! -11 -17 -#400000 -0! -0" -0# -1$ -01 -02 -03 -10 -16 -05 -08 -07 -#450000 -1! -11 -17 -09 -1: -14 -1/ -1% -#500000 -0! -1" -01 -12 -18 -07 -#550000 -1! -11 -17 -#600000 -0! -0" -1# -01 -02 -13 -15 -08 -07 -19 -0: -04 -0/ -0% -#650000 -1! -11 -17 -09 -1: -14 -1/ -1% -#700000 -0! -1" -01 -12 -18 -07 -19 -0: -04 -0/ -0% -#750000 -1! -11 -17 -#800000 -0! -0" -0# -0$ -01 -02 -03 -00 -06 -05 -08 -07 -09 -1: -14 -1/ -1% -#850000 -1! -11 -17 -19 -0: -04 -0/ -0% -#900000 -0! -1" -01 -12 -18 -07 -09 -1: -14 -1/ -1% -#950000 -1! -11 -17 -#1000000 diff --git a/1ano/isd/quartus-projects/Teste/simulation/qsim/Teste1.sft b/1ano/isd/quartus-projects/Teste/simulation/qsim/Teste1.sft deleted file mode 100644 index 0c5034b..0000000 --- a/1ano/isd/quartus-projects/Teste/simulation/qsim/Teste1.sft +++ /dev/null @@ -1 +0,0 @@ -set tool_name "ModelSim-Altera (VHDL)" diff --git a/1ano/isd/quartus-projects/Teste/simulation/qsim/Teste1.vho b/1ano/isd/quartus-projects/Teste/simulation/qsim/Teste1.vho deleted file mode 100644 index f1ef2f7..0000000 --- a/1ano/isd/quartus-projects/Teste/simulation/qsim/Teste1.vho +++ /dev/null @@ -1,219 +0,0 @@ --- Copyright (C) 2020 Intel Corporation. All rights reserved. --- Your use of Intel Corporation's design tools, logic functions --- and other software and tools, and any partner logic --- functions, and any output files from any of the foregoing --- (including device programming or simulation files), and any --- associated documentation or information are expressly subject --- to the terms and conditions of the Intel Program License --- Subscription Agreement, the Intel Quartus Prime License Agreement, --- the Intel FPGA IP License Agreement, or other applicable license --- agreement, including, without limitation, that your use is for --- the sole purpose of programming logic devices manufactured by --- Intel and sold by Intel or its authorized distributors. Please --- refer to the applicable agreement for further details, at --- https://fpgasoftware.intel.com/eula. - --- VENDOR "Altera" --- PROGRAM "Quartus Prime" --- VERSION "Version 20.1.1 Build 720 11/11/2020 SJ Lite Edition" - --- DATE "12/02/2022 13:03:34" - --- --- Device: Altera EP4CE6E22C6 Package TQFP144 --- - --- --- This VHDL file should be used for ModelSim-Altera (VHDL) only --- - -LIBRARY CYCLONEIVE; -LIBRARY IEEE; -USE CYCLONEIVE.CYCLONEIVE_COMPONENTS.ALL; -USE IEEE.STD_LOGIC_1164.ALL; - -ENTITY hard_block IS - PORT ( - devoe : IN std_logic; - devclrn : IN std_logic; - devpor : IN std_logic - ); -END hard_block; - --- Design Ports Information --- ~ALTERA_ASDO_DATA1~ => Location: PIN_6, I/O Standard: 2.5 V, Current Strength: Default --- ~ALTERA_FLASH_nCE_nCSO~ => Location: PIN_8, I/O Standard: 2.5 V, Current Strength: Default --- ~ALTERA_DCLK~ => Location: PIN_12, I/O Standard: 2.5 V, Current Strength: Default --- ~ALTERA_DATA0~ => Location: PIN_13, I/O Standard: 2.5 V, Current Strength: Default --- ~ALTERA_nCEO~ => Location: PIN_101, I/O Standard: 2.5 V, Current Strength: 8mA - - -ARCHITECTURE structure OF hard_block IS -SIGNAL gnd : std_logic := '0'; -SIGNAL vcc : std_logic := '1'; -SIGNAL unknown : std_logic := 'X'; -SIGNAL ww_devoe : std_logic; -SIGNAL ww_devclrn : std_logic; -SIGNAL ww_devpor : std_logic; -SIGNAL \~ALTERA_ASDO_DATA1~~padout\ : std_logic; -SIGNAL \~ALTERA_FLASH_nCE_nCSO~~padout\ : std_logic; -SIGNAL \~ALTERA_DATA0~~padout\ : std_logic; -SIGNAL \~ALTERA_ASDO_DATA1~~ibuf_o\ : std_logic; -SIGNAL \~ALTERA_FLASH_nCE_nCSO~~ibuf_o\ : std_logic; -SIGNAL \~ALTERA_DATA0~~ibuf_o\ : std_logic; - -BEGIN - -ww_devoe <= devoe; -ww_devclrn <= devclrn; -ww_devpor <= devpor; -END structure; - - -LIBRARY CYCLONEIVE; -LIBRARY IEEE; -USE CYCLONEIVE.CYCLONEIVE_COMPONENTS.ALL; -USE IEEE.STD_LOGIC_1164.ALL; - -ENTITY Teste3 IS - PORT ( - F : OUT std_logic; - D : IN std_logic; - A : IN std_logic; - B : IN std_logic; - C : IN std_logic - ); -END Teste3; - --- Design Ports Information --- F => Location: PIN_34, I/O Standard: 2.5 V, Current Strength: Default --- C => Location: PIN_32, I/O Standard: 2.5 V, Current Strength: Default --- B => Location: PIN_43, I/O Standard: 2.5 V, Current Strength: Default --- D => Location: PIN_33, I/O Standard: 2.5 V, Current Strength: Default --- A => Location: PIN_31, I/O Standard: 2.5 V, Current Strength: Default - - -ARCHITECTURE structure OF Teste3 IS -SIGNAL gnd : std_logic := '0'; -SIGNAL vcc : std_logic := '1'; -SIGNAL unknown : std_logic := 'X'; -SIGNAL devoe : std_logic := '1'; -SIGNAL devclrn : std_logic := '1'; -SIGNAL devpor : std_logic := '1'; -SIGNAL ww_devoe : std_logic; -SIGNAL ww_devclrn : std_logic; -SIGNAL ww_devpor : std_logic; -SIGNAL ww_F : std_logic; -SIGNAL ww_D : std_logic; -SIGNAL ww_A : std_logic; -SIGNAL ww_B : std_logic; -SIGNAL ww_C : std_logic; -SIGNAL \F~output_o\ : std_logic; -SIGNAL \C~input_o\ : std_logic; -SIGNAL \D~input_o\ : std_logic; -SIGNAL \A~input_o\ : std_logic; -SIGNAL \B~input_o\ : std_logic; -SIGNAL \inst|inst3~0_combout\ : std_logic; -SIGNAL \inst|ALT_INV_inst3~0_combout\ : std_logic; - -COMPONENT hard_block - PORT ( - devoe : IN std_logic; - devclrn : IN std_logic; - devpor : IN std_logic); -END COMPONENT; - -BEGIN - -F <= ww_F; -ww_D <= D; -ww_A <= A; -ww_B <= B; -ww_C <= C; -ww_devoe <= devoe; -ww_devclrn <= devclrn; -ww_devpor <= devpor; -\inst|ALT_INV_inst3~0_combout\ <= NOT \inst|inst3~0_combout\; -auto_generated_inst : hard_block -PORT MAP ( - devoe => ww_devoe, - devclrn => ww_devclrn, - devpor => ww_devpor); - --- Location: IOOBUF_X0_Y5_N16 -\F~output\ : cycloneive_io_obuf --- pragma translate_off -GENERIC MAP ( - bus_hold => "false", - open_drain_output => "false") --- pragma translate_on -PORT MAP ( - i => \inst|ALT_INV_inst3~0_combout\, - devoe => ww_devoe, - o => \F~output_o\); - --- Location: IOIBUF_X0_Y6_N15 -\C~input\ : cycloneive_io_ibuf --- pragma translate_off -GENERIC MAP ( - bus_hold => "false", - simulate_z_as => "z") --- pragma translate_on -PORT MAP ( - i => ww_C, - o => \C~input_o\); - --- Location: IOIBUF_X0_Y6_N22 -\D~input\ : cycloneive_io_ibuf --- pragma translate_off -GENERIC MAP ( - bus_hold => "false", - simulate_z_as => "z") --- pragma translate_on -PORT MAP ( - i => ww_D, - o => \D~input_o\); - --- Location: IOIBUF_X0_Y7_N1 -\A~input\ : cycloneive_io_ibuf --- pragma translate_off -GENERIC MAP ( - bus_hold => "false", - simulate_z_as => "z") --- pragma translate_on -PORT MAP ( - i => ww_A, - o => \A~input_o\); - --- Location: IOIBUF_X5_Y0_N22 -\B~input\ : cycloneive_io_ibuf --- pragma translate_off -GENERIC MAP ( - bus_hold => "false", - simulate_z_as => "z") --- pragma translate_on -PORT MAP ( - i => ww_B, - o => \B~input_o\); - --- Location: LCCOMB_X1_Y6_N0 -\inst|inst3~0\ : cycloneive_lcell_comb --- Equation(s): --- \inst|inst3~0_combout\ = (\B~input_o\ & (\C~input_o\)) # (!\B~input_o\ & ((\D~input_o\ $ (\A~input_o\)))) - --- pragma translate_off -GENERIC MAP ( - lut_mask => "1010101000111100", - sum_lutc_input => "datac") --- pragma translate_on -PORT MAP ( - dataa => \C~input_o\, - datab => \D~input_o\, - datac => \A~input_o\, - datad => \B~input_o\, - combout => \inst|inst3~0_combout\); - -ww_F <= \F~output_o\; -END structure; - - diff --git a/1ano/isd/quartus-projects/Teste/simulation/qsim/Teste1.vo b/1ano/isd/quartus-projects/Teste/simulation/qsim/Teste1.vo deleted file mode 100644 index 6369caf..0000000 --- a/1ano/isd/quartus-projects/Teste/simulation/qsim/Teste1.vo +++ /dev/null @@ -1,367 +0,0 @@ -// Copyright (C) 2020 Intel Corporation. All rights reserved. -// Your use of Intel Corporation's design tools, logic functions -// and other software and tools, and any partner logic -// functions, and any output files from any of the foregoing -// (including device programming or simulation files), and any -// associated documentation or information are expressly subject -// to the terms and conditions of the Intel Program License -// Subscription Agreement, the Intel Quartus Prime License Agreement, -// the Intel FPGA IP License Agreement, or other applicable license -// agreement, including, without limitation, that your use is for -// the sole purpose of programming logic devices manufactured by -// Intel and sold by Intel or its authorized distributors. Please -// refer to the applicable agreement for further details, at -// https://fpgasoftware.intel.com/eula. - -// VENDOR "Altera" -// PROGRAM "Quartus Prime" -// VERSION "Version 20.1.1 Build 720 11/11/2020 SJ Lite Edition" - -// DATE "12/02/2022 12:28:32" - -// -// Device: Altera EP4CE6E22C6 Package TQFP144 -// - -// -// This Verilog file should be used for ModelSim-Altera (Verilog) only -// - -`timescale 1 ps/ 1 ps - -module Teste1 ( - Y, - X1, - S, - X0, - X4, - X6, - X2, - X3, - X5, - X7); -output Y; -input X1; -input [2:0] S; -input X0; -input X4; -input X6; -input X2; -input X3; -input X5; -input X7; - -// Design Ports Information -// Y => Location: PIN_11, I/O Standard: 2.5 V, Current Strength: Default -// X3 => Location: PIN_138, I/O Standard: 2.5 V, Current Strength: Default -// X2 => Location: PIN_137, I/O Standard: 2.5 V, Current Strength: Default -// S[0] => Location: PIN_141, I/O Standard: 2.5 V, Current Strength: Default -// S[2] => Location: PIN_28, I/O Standard: 2.5 V, Current Strength: Default -// X1 => Location: PIN_10, I/O Standard: 2.5 V, Current Strength: Default -// X0 => Location: PIN_136, I/O Standard: 2.5 V, Current Strength: Default -// S[1] => Location: PIN_142, I/O Standard: 2.5 V, Current Strength: Default -// X7 => Location: PIN_144, I/O Standard: 2.5 V, Current Strength: Default -// X6 => Location: PIN_2, I/O Standard: 2.5 V, Current Strength: Default -// X5 => Location: PIN_143, I/O Standard: 2.5 V, Current Strength: Default -// X4 => Location: PIN_135, I/O Standard: 2.5 V, Current Strength: Default - - -wire gnd; -wire vcc; -wire unknown; - -assign gnd = 1'b0; -assign vcc = 1'b1; -assign unknown = 1'bx; - -tri1 devclrn; -tri1 devpor; -tri1 devoe; -wire \Y~output_o ; -wire \X6~input_o ; -wire \S[2]~input_o ; -wire \X7~input_o ; -wire \S[0]~input_o ; -wire \inst3~3_combout ; -wire \X5~input_o ; -wire \X4~input_o ; -wire \inst3~4_combout ; -wire \S[1]~input_o ; -wire \X2~input_o ; -wire \X3~input_o ; -wire \inst3~0_combout ; -wire \X1~input_o ; -wire \X0~input_o ; -wire \inst3~1_combout ; -wire \inst3~2_combout ; -wire \inst3~5_combout ; - - -hard_block auto_generated_inst( - .devpor(devpor), - .devclrn(devclrn), - .devoe(devoe)); - -// Location: IOOBUF_X0_Y18_N23 -cycloneive_io_obuf \Y~output ( - .i(\inst3~5_combout ), - .oe(vcc), - .seriesterminationcontrol(16'b0000000000000000), - .devoe(devoe), - .o(\Y~output_o ), - .obar()); -// synopsys translate_off -defparam \Y~output .bus_hold = "false"; -defparam \Y~output .open_drain_output = "false"; -// synopsys translate_on - -// Location: IOIBUF_X0_Y23_N8 -cycloneive_io_ibuf \X6~input ( - .i(X6), - .ibar(gnd), - .o(\X6~input_o )); -// synopsys translate_off -defparam \X6~input .bus_hold = "false"; -defparam \X6~input .simulate_z_as = "z"; -// synopsys translate_on - -// Location: IOIBUF_X0_Y9_N8 -cycloneive_io_ibuf \S[2]~input ( - .i(S[2]), - .ibar(gnd), - .o(\S[2]~input_o )); -// synopsys translate_off -defparam \S[2]~input .bus_hold = "false"; -defparam \S[2]~input .simulate_z_as = "z"; -// synopsys translate_on - -// Location: IOIBUF_X1_Y24_N8 -cycloneive_io_ibuf \X7~input ( - .i(X7), - .ibar(gnd), - .o(\X7~input_o )); -// synopsys translate_off -defparam \X7~input .bus_hold = "false"; -defparam \X7~input .simulate_z_as = "z"; -// synopsys translate_on - -// Location: IOIBUF_X5_Y24_N8 -cycloneive_io_ibuf \S[0]~input ( - .i(S[0]), - .ibar(gnd), - .o(\S[0]~input_o )); -// synopsys translate_off -defparam \S[0]~input .bus_hold = "false"; -defparam \S[0]~input .simulate_z_as = "z"; -// synopsys translate_on - -// Location: LCCOMB_X5_Y20_N6 -cycloneive_lcell_comb \inst3~3 ( -// Equation(s): -// \inst3~3_combout = (\S[2]~input_o & ((\S[0]~input_o & ((\X7~input_o ))) # (!\S[0]~input_o & (\X6~input_o )))) - - .dataa(\X6~input_o ), - .datab(\S[2]~input_o ), - .datac(\X7~input_o ), - .datad(\S[0]~input_o ), - .cin(gnd), - .combout(\inst3~3_combout ), - .cout()); -// synopsys translate_off -defparam \inst3~3 .lut_mask = 16'hC088; -defparam \inst3~3 .sum_lutc_input = "datac"; -// synopsys translate_on - -// Location: IOIBUF_X1_Y24_N1 -cycloneive_io_ibuf \X5~input ( - .i(X5), - .ibar(gnd), - .o(\X5~input_o )); -// synopsys translate_off -defparam \X5~input .bus_hold = "false"; -defparam \X5~input .simulate_z_as = "z"; -// synopsys translate_on - -// Location: IOIBUF_X11_Y24_N15 -cycloneive_io_ibuf \X4~input ( - .i(X4), - .ibar(gnd), - .o(\X4~input_o )); -// synopsys translate_off -defparam \X4~input .bus_hold = "false"; -defparam \X4~input .simulate_z_as = "z"; -// synopsys translate_on - -// Location: LCCOMB_X5_Y20_N0 -cycloneive_lcell_comb \inst3~4 ( -// Equation(s): -// \inst3~4_combout = (\S[2]~input_o & ((\S[0]~input_o & (\X5~input_o )) # (!\S[0]~input_o & ((\X4~input_o ))))) - - .dataa(\X5~input_o ), - .datab(\X4~input_o ), - .datac(\S[2]~input_o ), - .datad(\S[0]~input_o ), - .cin(gnd), - .combout(\inst3~4_combout ), - .cout()); -// synopsys translate_off -defparam \inst3~4 .lut_mask = 16'hA0C0; -defparam \inst3~4 .sum_lutc_input = "datac"; -// synopsys translate_on - -// Location: IOIBUF_X3_Y24_N22 -cycloneive_io_ibuf \S[1]~input ( - .i(S[1]), - .ibar(gnd), - .o(\S[1]~input_o )); -// synopsys translate_off -defparam \S[1]~input .bus_hold = "false"; -defparam \S[1]~input .simulate_z_as = "z"; -// synopsys translate_on - -// Location: IOIBUF_X7_Y24_N1 -cycloneive_io_ibuf \X2~input ( - .i(X2), - .ibar(gnd), - .o(\X2~input_o )); -// synopsys translate_off -defparam \X2~input .bus_hold = "false"; -defparam \X2~input .simulate_z_as = "z"; -// synopsys translate_on - -// Location: IOIBUF_X7_Y24_N8 -cycloneive_io_ibuf \X3~input ( - .i(X3), - .ibar(gnd), - .o(\X3~input_o )); -// synopsys translate_off -defparam \X3~input .bus_hold = "false"; -defparam \X3~input .simulate_z_as = "z"; -// synopsys translate_on - -// Location: LCCOMB_X5_Y20_N24 -cycloneive_lcell_comb \inst3~0 ( -// Equation(s): -// \inst3~0_combout = (!\S[2]~input_o & ((\S[0]~input_o & ((\X3~input_o ))) # (!\S[0]~input_o & (\X2~input_o )))) - - .dataa(\S[0]~input_o ), - .datab(\X2~input_o ), - .datac(\S[2]~input_o ), - .datad(\X3~input_o ), - .cin(gnd), - .combout(\inst3~0_combout ), - .cout()); -// synopsys translate_off -defparam \inst3~0 .lut_mask = 16'h0E04; -defparam \inst3~0 .sum_lutc_input = "datac"; -// synopsys translate_on - -// Location: IOIBUF_X0_Y18_N15 -cycloneive_io_ibuf \X1~input ( - .i(X1), - .ibar(gnd), - .o(\X1~input_o )); -// synopsys translate_off -defparam \X1~input .bus_hold = "false"; -defparam \X1~input .simulate_z_as = "z"; -// synopsys translate_on - -// Location: IOIBUF_X9_Y24_N8 -cycloneive_io_ibuf \X0~input ( - .i(X0), - .ibar(gnd), - .o(\X0~input_o )); -// synopsys translate_off -defparam \X0~input .bus_hold = "false"; -defparam \X0~input .simulate_z_as = "z"; -// synopsys translate_on - -// Location: LCCOMB_X5_Y20_N10 -cycloneive_lcell_comb \inst3~1 ( -// Equation(s): -// \inst3~1_combout = (!\S[2]~input_o & ((\S[0]~input_o & (\X1~input_o )) # (!\S[0]~input_o & ((\X0~input_o ))))) - - .dataa(\S[0]~input_o ), - .datab(\X1~input_o ), - .datac(\S[2]~input_o ), - .datad(\X0~input_o ), - .cin(gnd), - .combout(\inst3~1_combout ), - .cout()); -// synopsys translate_off -defparam \inst3~1 .lut_mask = 16'h0D08; -defparam \inst3~1 .sum_lutc_input = "datac"; -// synopsys translate_on - -// Location: LCCOMB_X5_Y20_N28 -cycloneive_lcell_comb \inst3~2 ( -// Equation(s): -// \inst3~2_combout = (\S[1]~input_o & (\inst3~0_combout )) # (!\S[1]~input_o & ((\inst3~1_combout ))) - - .dataa(gnd), - .datab(\inst3~0_combout ), - .datac(\S[1]~input_o ), - .datad(\inst3~1_combout ), - .cin(gnd), - .combout(\inst3~2_combout ), - .cout()); -// synopsys translate_off -defparam \inst3~2 .lut_mask = 16'hCFC0; -defparam \inst3~2 .sum_lutc_input = "datac"; -// synopsys translate_on - -// Location: LCCOMB_X5_Y20_N26 -cycloneive_lcell_comb \inst3~5 ( -// Equation(s): -// \inst3~5_combout = (\inst3~2_combout ) # ((\S[1]~input_o & (\inst3~3_combout )) # (!\S[1]~input_o & ((\inst3~4_combout )))) - - .dataa(\inst3~3_combout ), - .datab(\inst3~4_combout ), - .datac(\S[1]~input_o ), - .datad(\inst3~2_combout ), - .cin(gnd), - .combout(\inst3~5_combout ), - .cout()); -// synopsys translate_off -defparam \inst3~5 .lut_mask = 16'hFFAC; -defparam \inst3~5 .sum_lutc_input = "datac"; -// synopsys translate_on - -assign Y = \Y~output_o ; - -endmodule - -module hard_block ( - - devpor, - devclrn, - devoe); - -// Design Ports Information -// ~ALTERA_ASDO_DATA1~ => Location: PIN_6, I/O Standard: 2.5 V, Current Strength: Default -// ~ALTERA_FLASH_nCE_nCSO~ => Location: PIN_8, I/O Standard: 2.5 V, Current Strength: Default -// ~ALTERA_DCLK~ => Location: PIN_12, I/O Standard: 2.5 V, Current Strength: Default -// ~ALTERA_DATA0~ => Location: PIN_13, I/O Standard: 2.5 V, Current Strength: Default -// ~ALTERA_nCEO~ => Location: PIN_101, I/O Standard: 2.5 V, Current Strength: 8mA - -input devpor; -input devclrn; -input devoe; - -wire gnd; -wire vcc; -wire unknown; - -assign gnd = 1'b0; -assign vcc = 1'b1; -assign unknown = 1'bx; - -wire \~ALTERA_ASDO_DATA1~~padout ; -wire \~ALTERA_FLASH_nCE_nCSO~~padout ; -wire \~ALTERA_DATA0~~padout ; -wire \~ALTERA_ASDO_DATA1~~ibuf_o ; -wire \~ALTERA_FLASH_nCE_nCSO~~ibuf_o ; -wire \~ALTERA_DATA0~~ibuf_o ; - - -endmodule diff --git a/1ano/isd/quartus-projects/Teste/simulation/qsim/Teste1_20221202122854.sim.vwf b/1ano/isd/quartus-projects/Teste/simulation/qsim/Teste1_20221202122854.sim.vwf deleted file mode 100644 index 8b83e0e..0000000 --- a/1ano/isd/quartus-projects/Teste/simulation/qsim/Teste1_20221202122854.sim.vwf +++ /dev/null @@ -1,1202 +0,0 @@ -/* -WARNING: Do NOT edit the input and output ports in this file in a text -editor if you plan to continue editing the block that represents it in -the Block Editor! File corruption is VERY likely to occur. -*/ - -/* -Copyright (C) 2020 Intel Corporation. All rights reserved. -Your use of Intel Corporation's design tools, logic functions -and other software and tools, and any partner logic -functions, and any output files from any of the foregoing -(including device programming or simulation files), and any -associated documentation or information are expressly subject -to the terms and conditions of the Intel Program License -Subscription Agreement, the Intel Quartus Prime License Agreement, -the Intel FPGA IP License Agreement, or other applicable license -agreement, including, without limitation, that your use is for -the sole purpose of programming logic devices manufactured by -Intel and sold by Intel or its authorized distributors. Please -refer to the applicable agreement for further details, at -https://fpgasoftware.intel.com/eula. -*/ - -HEADER -{ - VERSION = 1; - TIME_UNIT = ns; - DATA_OFFSET = 0.0; - DATA_DURATION = 1000.0; - SIMULATION_TIME = 0.0; - GRID_PHASE = 0.0; - GRID_PERIOD = 10.0; - GRID_DUTY_CYCLE = 50; -} - -SIGNAL("S") -{ - VALUE_TYPE = NINE_LEVEL_BIT; - SIGNAL_TYPE = BUS; - WIDTH = 3; - LSB_INDEX = 0; - DIRECTION = INPUT; - PARENT = ""; -} - -SIGNAL("S[2]") -{ - VALUE_TYPE = NINE_LEVEL_BIT; - SIGNAL_TYPE = SINGLE_BIT; - WIDTH = 1; - LSB_INDEX = -1; - DIRECTION = INPUT; - PARENT = "S"; -} - -SIGNAL("S[1]") -{ - VALUE_TYPE = NINE_LEVEL_BIT; - SIGNAL_TYPE = SINGLE_BIT; - WIDTH = 1; - LSB_INDEX = -1; - DIRECTION = INPUT; - PARENT = "S"; -} - -SIGNAL("S[0]") -{ - VALUE_TYPE = NINE_LEVEL_BIT; - SIGNAL_TYPE = SINGLE_BIT; - WIDTH = 1; - LSB_INDEX = -1; - DIRECTION = INPUT; - PARENT = "S"; -} - -SIGNAL("X0") -{ - VALUE_TYPE = NINE_LEVEL_BIT; - SIGNAL_TYPE = SINGLE_BIT; - WIDTH = 1; - LSB_INDEX = -1; - DIRECTION = INPUT; - PARENT = ""; -} - -SIGNAL("X1") -{ - VALUE_TYPE = NINE_LEVEL_BIT; - SIGNAL_TYPE = SINGLE_BIT; - WIDTH = 1; - LSB_INDEX = -1; - DIRECTION = INPUT; - PARENT = ""; -} - -SIGNAL("X2") -{ - VALUE_TYPE = NINE_LEVEL_BIT; - SIGNAL_TYPE = SINGLE_BIT; - WIDTH = 1; - LSB_INDEX = -1; - DIRECTION = INPUT; - PARENT = ""; -} - -SIGNAL("X3") -{ - VALUE_TYPE = NINE_LEVEL_BIT; - SIGNAL_TYPE = SINGLE_BIT; - WIDTH = 1; - LSB_INDEX = -1; - DIRECTION = INPUT; - PARENT = ""; -} - -SIGNAL("X4") -{ - VALUE_TYPE = NINE_LEVEL_BIT; - SIGNAL_TYPE = SINGLE_BIT; - WIDTH = 1; - LSB_INDEX = -1; - DIRECTION = INPUT; - PARENT = ""; -} - -SIGNAL("X5") -{ - VALUE_TYPE = NINE_LEVEL_BIT; - SIGNAL_TYPE = SINGLE_BIT; - WIDTH = 1; - LSB_INDEX = -1; - DIRECTION = INPUT; - PARENT = ""; -} - -SIGNAL("X6") -{ - VALUE_TYPE = NINE_LEVEL_BIT; - SIGNAL_TYPE = SINGLE_BIT; - WIDTH = 1; - LSB_INDEX = -1; - DIRECTION = INPUT; - PARENT = ""; -} - -SIGNAL("X7") -{ - VALUE_TYPE = NINE_LEVEL_BIT; - SIGNAL_TYPE = SINGLE_BIT; - WIDTH = 1; - LSB_INDEX = -1; - DIRECTION = INPUT; - PARENT = ""; -} - -SIGNAL("Y") -{ - VALUE_TYPE = NINE_LEVEL_BIT; - SIGNAL_TYPE = SINGLE_BIT; - WIDTH = 1; - LSB_INDEX = -1; - DIRECTION = OUTPUT; - PARENT = ""; -} - -TRANSITION_LIST("S[2]") -{ - NODE - { - REPEAT = 1; - NODE - { - REPEAT = 1; - LEVEL 0 FOR 400.0; - LEVEL 1 FOR 400.0; - LEVEL 0 FOR 200.0; - } - } -} - -TRANSITION_LIST("S[1]") -{ - NODE - { - REPEAT = 1; - NODE - { - REPEAT = 1; - LEVEL 0 FOR 200.0; - LEVEL 1 FOR 200.0; - LEVEL 0 FOR 200.0; - LEVEL 1 FOR 200.0; - LEVEL 0 FOR 200.0; - } - } -} - -TRANSITION_LIST("S[0]") -{ - NODE - { - REPEAT = 1; - NODE - { - REPEAT = 1; - LEVEL 0 FOR 100.0; - LEVEL 1 FOR 100.0; - LEVEL 0 FOR 100.0; - LEVEL 1 FOR 100.0; - LEVEL 0 FOR 100.0; - LEVEL 1 FOR 100.0; - LEVEL 0 FOR 100.0; - LEVEL 1 FOR 100.0; - LEVEL 0 FOR 100.0; - LEVEL 1 FOR 100.0; - } - } -} - -TRANSITION_LIST("X0") -{ - NODE - { - REPEAT = 1; - NODE - { - REPEAT = 1; - LEVEL 0 FOR 3.125; - LEVEL 1 FOR 3.125; - LEVEL 0 FOR 3.125; - LEVEL 1 FOR 3.125; - LEVEL 0 FOR 3.125; - LEVEL 1 FOR 3.125; - LEVEL 0 FOR 3.125; - LEVEL 1 FOR 3.125; - LEVEL 0 FOR 3.125; - LEVEL 1 FOR 3.125; - LEVEL 0 FOR 3.125; - LEVEL 1 FOR 3.125; - LEVEL 0 FOR 3.125; - LEVEL 1 FOR 3.125; - LEVEL 0 FOR 3.125; - LEVEL 1 FOR 3.125; - LEVEL 0 FOR 3.125; - LEVEL 1 FOR 3.125; - LEVEL 0 FOR 3.125; - LEVEL 1 FOR 3.125; - LEVEL 0 FOR 3.125; - LEVEL 1 FOR 3.125; - LEVEL 0 FOR 3.125; - LEVEL 1 FOR 3.125; - LEVEL 0 FOR 3.125; - LEVEL 1 FOR 3.125; - LEVEL 0 FOR 3.125; - LEVEL 1 FOR 3.125; - LEVEL 0 FOR 3.125; - LEVEL 1 FOR 3.125; - LEVEL 0 FOR 3.125; - LEVEL 1 FOR 3.125; - LEVEL 0 FOR 3.125; - LEVEL 1 FOR 3.125; - LEVEL 0 FOR 3.125; - LEVEL 1 FOR 3.125; - LEVEL 0 FOR 3.125; - LEVEL 1 FOR 3.125; - LEVEL 0 FOR 3.125; - LEVEL 1 FOR 3.125; - LEVEL 0 FOR 3.125; - LEVEL 1 FOR 3.125; - LEVEL 0 FOR 3.125; - LEVEL 1 FOR 3.125; - LEVEL 0 FOR 3.125; - LEVEL 1 FOR 3.125; - LEVEL 0 FOR 3.125; - LEVEL 1 FOR 3.125; - LEVEL 0 FOR 3.125; - LEVEL 1 FOR 3.125; - LEVEL 0 FOR 3.125; - LEVEL 1 FOR 3.125; - LEVEL 0 FOR 3.125; - LEVEL 1 FOR 3.125; - LEVEL 0 FOR 3.125; - LEVEL 1 FOR 3.125; - LEVEL 0 FOR 3.125; - LEVEL 1 FOR 3.125; - LEVEL 0 FOR 3.125; - LEVEL 1 FOR 3.125; - LEVEL 0 FOR 3.125; - LEVEL 1 FOR 3.125; - LEVEL 0 FOR 3.125; - LEVEL 1 FOR 3.125; - LEVEL 0 FOR 3.125; - LEVEL 1 FOR 3.125; - LEVEL 0 FOR 3.125; - LEVEL 1 FOR 3.125; - LEVEL 0 FOR 3.125; - LEVEL 1 FOR 3.125; - LEVEL 0 FOR 3.125; - LEVEL 1 FOR 3.125; - LEVEL 0 FOR 3.125; - LEVEL 1 FOR 3.125; - LEVEL 0 FOR 3.125; - LEVEL 1 FOR 3.125; - LEVEL 0 FOR 3.125; - LEVEL 1 FOR 3.125; - LEVEL 0 FOR 3.125; - LEVEL 1 FOR 3.125; - LEVEL 0 FOR 3.125; - LEVEL 1 FOR 3.125; - LEVEL 0 FOR 3.125; - LEVEL 1 FOR 3.125; - LEVEL 0 FOR 3.125; - LEVEL 1 FOR 3.125; - LEVEL 0 FOR 3.125; - LEVEL 1 FOR 3.125; - LEVEL 0 FOR 3.125; - LEVEL 1 FOR 3.125; - LEVEL 0 FOR 3.125; - LEVEL 1 FOR 3.125; - LEVEL 0 FOR 3.125; - LEVEL 1 FOR 3.125; - LEVEL 0 FOR 3.125; - LEVEL 1 FOR 3.125; - LEVEL 0 FOR 3.125; - LEVEL 1 FOR 3.125; - LEVEL 0 FOR 3.125; - LEVEL 1 FOR 3.125; - LEVEL 0 FOR 3.125; - LEVEL 1 FOR 3.125; - LEVEL 0 FOR 3.125; - LEVEL 1 FOR 3.125; - LEVEL 0 FOR 3.125; - LEVEL 1 FOR 3.125; - LEVEL 0 FOR 3.125; - LEVEL 1 FOR 3.125; - LEVEL 0 FOR 3.125; - LEVEL 1 FOR 3.125; - LEVEL 0 FOR 3.125; - LEVEL 1 FOR 3.125; - LEVEL 0 FOR 3.125; - LEVEL 1 FOR 3.125; - LEVEL 0 FOR 3.125; - LEVEL 1 FOR 3.125; - LEVEL 0 FOR 3.125; - LEVEL 1 FOR 3.125; - LEVEL 0 FOR 3.125; - LEVEL 1 FOR 3.125; - LEVEL 0 FOR 3.125; - LEVEL 1 FOR 3.125; - LEVEL 0 FOR 3.125; - LEVEL 1 FOR 3.125; - LEVEL 0 FOR 3.125; - LEVEL 1 FOR 3.125; - LEVEL 0 FOR 3.125; - LEVEL 1 FOR 3.125; - LEVEL 0 FOR 3.125; - LEVEL 1 FOR 3.125; - LEVEL 0 FOR 3.125; - LEVEL 1 FOR 3.125; - LEVEL 0 FOR 3.125; - LEVEL 1 FOR 3.125; - LEVEL 0 FOR 3.125; - LEVEL 1 FOR 3.125; - LEVEL 0 FOR 3.125; - LEVEL 1 FOR 3.125; - LEVEL 0 FOR 3.125; - LEVEL 1 FOR 3.125; - LEVEL 0 FOR 3.125; - LEVEL 1 FOR 3.125; - LEVEL 0 FOR 3.125; - LEVEL 1 FOR 3.125; - LEVEL 0 FOR 3.125; - LEVEL 1 FOR 3.125; - LEVEL 0 FOR 3.125; - LEVEL 1 FOR 3.125; - LEVEL 0 FOR 3.125; - LEVEL 1 FOR 3.125; - LEVEL 0 FOR 3.125; - LEVEL 1 FOR 3.125; - LEVEL 0 FOR 3.125; - LEVEL 1 FOR 3.125; - LEVEL 0 FOR 3.125; - LEVEL 1 FOR 3.125; - LEVEL 0 FOR 3.125; - LEVEL 1 FOR 3.125; - LEVEL 0 FOR 3.125; - LEVEL 1 FOR 3.125; - LEVEL 0 FOR 3.125; - LEVEL 1 FOR 3.125; - LEVEL 0 FOR 3.125; - LEVEL 1 FOR 3.125; - LEVEL 0 FOR 3.125; - LEVEL 1 FOR 3.125; - LEVEL 0 FOR 3.125; - LEVEL 1 FOR 3.125; - LEVEL 0 FOR 3.125; - LEVEL 1 FOR 3.125; - LEVEL 0 FOR 3.125; - LEVEL 1 FOR 3.125; - LEVEL 0 FOR 3.125; - LEVEL 1 FOR 3.125; - LEVEL 0 FOR 3.125; - LEVEL 1 FOR 3.125; - LEVEL 0 FOR 3.125; - LEVEL 1 FOR 3.125; - LEVEL 0 FOR 3.125; - LEVEL 1 FOR 3.125; - LEVEL 0 FOR 3.125; - LEVEL 1 FOR 3.125; - LEVEL 0 FOR 3.125; - LEVEL 1 FOR 3.125; - LEVEL 0 FOR 3.125; - LEVEL 1 FOR 3.125; - LEVEL 0 FOR 3.125; - LEVEL 1 FOR 3.125; - LEVEL 0 FOR 3.125; - LEVEL 1 FOR 3.125; - LEVEL 0 FOR 3.125; - LEVEL 1 FOR 3.125; - LEVEL 0 FOR 3.125; - LEVEL 1 FOR 3.125; - LEVEL 0 FOR 3.125; - LEVEL 1 FOR 3.125; - LEVEL 0 FOR 3.125; - LEVEL 1 FOR 3.125; - LEVEL 0 FOR 3.125; - LEVEL 1 FOR 3.125; - LEVEL 0 FOR 3.125; - LEVEL 1 FOR 3.125; - LEVEL 0 FOR 3.125; - LEVEL 1 FOR 3.125; - LEVEL 0 FOR 3.125; - LEVEL 1 FOR 3.125; - LEVEL 0 FOR 3.125; - LEVEL 1 FOR 3.125; - LEVEL 0 FOR 3.125; - LEVEL 1 FOR 3.125; - LEVEL 0 FOR 3.125; - LEVEL 1 FOR 3.125; - LEVEL 0 FOR 3.125; - LEVEL 1 FOR 3.125; - LEVEL 0 FOR 3.125; - LEVEL 1 FOR 3.125; - LEVEL 0 FOR 3.125; - LEVEL 1 FOR 3.125; - LEVEL 0 FOR 3.125; - LEVEL 1 FOR 3.125; - LEVEL 0 FOR 3.125; - LEVEL 1 FOR 3.125; - LEVEL 0 FOR 3.125; - LEVEL 1 FOR 3.125; - LEVEL 0 FOR 3.125; - LEVEL 1 FOR 3.125; - LEVEL 0 FOR 3.125; - LEVEL 1 FOR 3.125; - LEVEL 0 FOR 3.125; - LEVEL 1 FOR 3.125; - LEVEL 0 FOR 3.125; - LEVEL 1 FOR 3.125; - LEVEL 0 FOR 3.125; - LEVEL 1 FOR 3.125; - LEVEL 0 FOR 3.125; - LEVEL 1 FOR 3.125; - LEVEL 0 FOR 3.125; - LEVEL 1 FOR 3.125; - LEVEL 0 FOR 3.125; - LEVEL 1 FOR 3.125; - LEVEL 0 FOR 3.125; - LEVEL 1 FOR 3.125; - LEVEL 0 FOR 3.125; - LEVEL 1 FOR 3.125; - LEVEL 0 FOR 3.125; - LEVEL 1 FOR 3.125; - LEVEL 0 FOR 3.125; - LEVEL 1 FOR 3.125; - LEVEL 0 FOR 3.125; - LEVEL 1 FOR 3.125; - LEVEL 0 FOR 3.125; - LEVEL 1 FOR 3.125; - LEVEL 0 FOR 3.125; - LEVEL 1 FOR 3.125; - LEVEL 0 FOR 3.125; - LEVEL 1 FOR 3.125; - LEVEL 0 FOR 3.125; - LEVEL 1 FOR 3.125; - LEVEL 0 FOR 3.125; - LEVEL 1 FOR 3.125; - LEVEL 0 FOR 3.125; - LEVEL 1 FOR 3.125; - LEVEL 0 FOR 3.125; - LEVEL 1 FOR 3.125; - LEVEL 0 FOR 3.125; - LEVEL 1 FOR 3.125; - LEVEL 0 FOR 3.125; - LEVEL 1 FOR 3.125; - LEVEL 0 FOR 3.125; - LEVEL 1 FOR 3.125; - LEVEL 0 FOR 3.125; - LEVEL 1 FOR 3.125; - LEVEL 0 FOR 3.125; - LEVEL 1 FOR 3.125; - LEVEL 0 FOR 3.125; - LEVEL 1 FOR 3.125; - LEVEL 0 FOR 3.125; - LEVEL 1 FOR 3.125; - LEVEL 0 FOR 3.125; - LEVEL 1 FOR 3.125; - LEVEL 0 FOR 3.125; - LEVEL 1 FOR 3.125; - LEVEL 0 FOR 3.125; - LEVEL 1 FOR 3.125; - LEVEL 0 FOR 3.125; - LEVEL 1 FOR 3.125; - LEVEL 0 FOR 3.125; - LEVEL 1 FOR 3.125; - LEVEL 0 FOR 3.125; - LEVEL 1 FOR 3.125; - LEVEL 0 FOR 3.125; - LEVEL 1 FOR 3.125; - LEVEL 0 FOR 3.125; - LEVEL 1 FOR 3.125; - LEVEL 0 FOR 3.125; - LEVEL 1 FOR 3.125; - LEVEL 0 FOR 3.125; - LEVEL 1 FOR 3.125; - LEVEL 0 FOR 3.125; - LEVEL 1 FOR 3.125; - LEVEL 0 FOR 3.125; - LEVEL 1 FOR 3.125; - LEVEL 0 FOR 3.125; - LEVEL 1 FOR 3.125; - LEVEL 0 FOR 3.125; - LEVEL 1 FOR 3.125; - LEVEL 0 FOR 3.125; - LEVEL 1 FOR 3.125; - LEVEL 0 FOR 3.125; - LEVEL 1 FOR 3.125; - LEVEL 0 FOR 3.125; - LEVEL 1 FOR 3.125; - LEVEL 0 FOR 3.125; - LEVEL 1 FOR 3.125; - LEVEL 0 FOR 3.125; - LEVEL 1 FOR 3.125; - LEVEL 0 FOR 3.125; - LEVEL 1 FOR 3.125; - LEVEL 0 FOR 3.125; - LEVEL 1 FOR 3.125; - } - } -} - -TRANSITION_LIST("X1") -{ - NODE - { - REPEAT = 1; - NODE - { - REPEAT = 1; - LEVEL 0 FOR 6.25; - LEVEL 1 FOR 6.25; - LEVEL 0 FOR 6.25; - LEVEL 1 FOR 6.25; - LEVEL 0 FOR 6.25; - LEVEL 1 FOR 6.25; - LEVEL 0 FOR 6.25; - LEVEL 1 FOR 6.25; - LEVEL 0 FOR 6.25; - LEVEL 1 FOR 6.25; - LEVEL 0 FOR 6.25; - LEVEL 1 FOR 6.25; - LEVEL 0 FOR 6.25; - LEVEL 1 FOR 6.25; - LEVEL 0 FOR 6.25; - LEVEL 1 FOR 6.25; - LEVEL 0 FOR 6.25; - LEVEL 1 FOR 6.25; - LEVEL 0 FOR 6.25; - LEVEL 1 FOR 6.25; - LEVEL 0 FOR 6.25; - LEVEL 1 FOR 6.25; - LEVEL 0 FOR 6.25; - LEVEL 1 FOR 6.25; - LEVEL 0 FOR 6.25; - LEVEL 1 FOR 6.25; - LEVEL 0 FOR 6.25; - LEVEL 1 FOR 6.25; - LEVEL 0 FOR 6.25; - LEVEL 1 FOR 6.25; - LEVEL 0 FOR 6.25; - LEVEL 1 FOR 6.25; - LEVEL 0 FOR 6.25; - LEVEL 1 FOR 6.25; - LEVEL 0 FOR 6.25; - LEVEL 1 FOR 6.25; - LEVEL 0 FOR 6.25; - LEVEL 1 FOR 6.25; - LEVEL 0 FOR 6.25; - LEVEL 1 FOR 6.25; - LEVEL 0 FOR 6.25; - LEVEL 1 FOR 6.25; - LEVEL 0 FOR 6.25; - LEVEL 1 FOR 6.25; - LEVEL 0 FOR 6.25; - LEVEL 1 FOR 6.25; - LEVEL 0 FOR 6.25; - LEVEL 1 FOR 6.25; - LEVEL 0 FOR 6.25; - LEVEL 1 FOR 6.25; - LEVEL 0 FOR 6.25; - LEVEL 1 FOR 6.25; - LEVEL 0 FOR 6.25; - LEVEL 1 FOR 6.25; - LEVEL 0 FOR 6.25; - LEVEL 1 FOR 6.25; - LEVEL 0 FOR 6.25; - LEVEL 1 FOR 6.25; - LEVEL 0 FOR 6.25; - LEVEL 1 FOR 6.25; - LEVEL 0 FOR 6.25; - LEVEL 1 FOR 6.25; - LEVEL 0 FOR 6.25; - LEVEL 1 FOR 6.25; - LEVEL 0 FOR 6.25; - LEVEL 1 FOR 6.25; - LEVEL 0 FOR 6.25; - LEVEL 1 FOR 6.25; - LEVEL 0 FOR 6.25; - LEVEL 1 FOR 6.25; - LEVEL 0 FOR 6.25; - LEVEL 1 FOR 6.25; - LEVEL 0 FOR 6.25; - LEVEL 1 FOR 6.25; - LEVEL 0 FOR 6.25; - LEVEL 1 FOR 6.25; - LEVEL 0 FOR 6.25; - LEVEL 1 FOR 6.25; - LEVEL 0 FOR 6.25; - LEVEL 1 FOR 6.25; - LEVEL 0 FOR 6.25; - LEVEL 1 FOR 6.25; - LEVEL 0 FOR 6.25; - LEVEL 1 FOR 6.25; - LEVEL 0 FOR 6.25; - LEVEL 1 FOR 6.25; - LEVEL 0 FOR 6.25; - LEVEL 1 FOR 6.25; - LEVEL 0 FOR 6.25; - LEVEL 1 FOR 6.25; - LEVEL 0 FOR 6.25; - LEVEL 1 FOR 6.25; - LEVEL 0 FOR 6.25; - LEVEL 1 FOR 6.25; - LEVEL 0 FOR 6.25; - LEVEL 1 FOR 6.25; - LEVEL 0 FOR 6.25; - LEVEL 1 FOR 6.25; - LEVEL 0 FOR 6.25; - LEVEL 1 FOR 6.25; - LEVEL 0 FOR 6.25; - LEVEL 1 FOR 6.25; - LEVEL 0 FOR 6.25; - LEVEL 1 FOR 6.25; - LEVEL 0 FOR 6.25; - LEVEL 1 FOR 6.25; - LEVEL 0 FOR 6.25; - LEVEL 1 FOR 6.25; - LEVEL 0 FOR 6.25; - LEVEL 1 FOR 6.25; - LEVEL 0 FOR 6.25; - LEVEL 1 FOR 6.25; - LEVEL 0 FOR 6.25; - LEVEL 1 FOR 6.25; - LEVEL 0 FOR 6.25; - LEVEL 1 FOR 6.25; - LEVEL 0 FOR 6.25; - LEVEL 1 FOR 6.25; - LEVEL 0 FOR 6.25; - LEVEL 1 FOR 6.25; - LEVEL 0 FOR 6.25; - LEVEL 1 FOR 6.25; - LEVEL 0 FOR 6.25; - LEVEL 1 FOR 6.25; - LEVEL 0 FOR 6.25; - LEVEL 1 FOR 6.25; - LEVEL 0 FOR 6.25; - LEVEL 1 FOR 6.25; - LEVEL 0 FOR 6.25; - LEVEL 1 FOR 6.25; - LEVEL 0 FOR 6.25; - LEVEL 1 FOR 6.25; - LEVEL 0 FOR 6.25; - LEVEL 1 FOR 6.25; - LEVEL 0 FOR 6.25; - LEVEL 1 FOR 6.25; - LEVEL 0 FOR 6.25; - LEVEL 1 FOR 6.25; - LEVEL 0 FOR 6.25; - LEVEL 1 FOR 6.25; - LEVEL 0 FOR 6.25; - LEVEL 1 FOR 6.25; - LEVEL 0 FOR 6.25; - LEVEL 1 FOR 6.25; - LEVEL 0 FOR 6.25; - LEVEL 1 FOR 6.25; - LEVEL 0 FOR 6.25; - LEVEL 1 FOR 6.25; - LEVEL 0 FOR 6.25; - LEVEL 1 FOR 6.25; - LEVEL 0 FOR 6.25; - LEVEL 1 FOR 6.25; - LEVEL 0 FOR 6.25; - LEVEL 1 FOR 6.25; - LEVEL 0 FOR 6.25; - LEVEL 1 FOR 6.25; - LEVEL 0 FOR 6.25; - LEVEL 1 FOR 6.25; - LEVEL 0 FOR 6.25; - LEVEL 1 FOR 6.25; - } - } -} - -TRANSITION_LIST("X2") -{ - NODE - { - REPEAT = 1; - NODE - { - REPEAT = 1; - LEVEL 0 FOR 12.5; - LEVEL 1 FOR 12.5; - LEVEL 0 FOR 12.5; - LEVEL 1 FOR 12.5; - LEVEL 0 FOR 12.5; - LEVEL 1 FOR 12.5; - LEVEL 0 FOR 12.5; - LEVEL 1 FOR 12.5; - LEVEL 0 FOR 12.5; - LEVEL 1 FOR 12.5; - LEVEL 0 FOR 12.5; - LEVEL 1 FOR 12.5; - LEVEL 0 FOR 12.5; - LEVEL 1 FOR 12.5; - LEVEL 0 FOR 12.5; - LEVEL 1 FOR 12.5; - LEVEL 0 FOR 12.5; - LEVEL 1 FOR 12.5; - LEVEL 0 FOR 12.5; - LEVEL 1 FOR 12.5; - LEVEL 0 FOR 12.5; - LEVEL 1 FOR 12.5; - LEVEL 0 FOR 12.5; - LEVEL 1 FOR 12.5; - LEVEL 0 FOR 12.5; - LEVEL 1 FOR 12.5; - LEVEL 0 FOR 12.5; - LEVEL 1 FOR 12.5; - LEVEL 0 FOR 12.5; - LEVEL 1 FOR 12.5; - LEVEL 0 FOR 12.5; - LEVEL 1 FOR 12.5; - LEVEL 0 FOR 12.5; - LEVEL 1 FOR 12.5; - LEVEL 0 FOR 12.5; - LEVEL 1 FOR 12.5; - LEVEL 0 FOR 12.5; - LEVEL 1 FOR 12.5; - LEVEL 0 FOR 12.5; - LEVEL 1 FOR 12.5; - LEVEL 0 FOR 12.5; - LEVEL 1 FOR 12.5; - LEVEL 0 FOR 12.5; - LEVEL 1 FOR 12.5; - LEVEL 0 FOR 12.5; - LEVEL 1 FOR 12.5; - LEVEL 0 FOR 12.5; - LEVEL 1 FOR 12.5; - LEVEL 0 FOR 12.5; - LEVEL 1 FOR 12.5; - LEVEL 0 FOR 12.5; - LEVEL 1 FOR 12.5; - LEVEL 0 FOR 12.5; - LEVEL 1 FOR 12.5; - LEVEL 0 FOR 12.5; - LEVEL 1 FOR 12.5; - LEVEL 0 FOR 12.5; - LEVEL 1 FOR 12.5; - LEVEL 0 FOR 12.5; - LEVEL 1 FOR 12.5; - LEVEL 0 FOR 12.5; - LEVEL 1 FOR 12.5; - LEVEL 0 FOR 12.5; - LEVEL 1 FOR 12.5; - LEVEL 0 FOR 12.5; - LEVEL 1 FOR 12.5; - LEVEL 0 FOR 12.5; - LEVEL 1 FOR 12.5; - LEVEL 0 FOR 12.5; - LEVEL 1 FOR 12.5; - LEVEL 0 FOR 12.5; - LEVEL 1 FOR 12.5; - LEVEL 0 FOR 12.5; - LEVEL 1 FOR 12.5; - LEVEL 0 FOR 12.5; - LEVEL 1 FOR 12.5; - LEVEL 0 FOR 12.5; - LEVEL 1 FOR 12.5; - LEVEL 0 FOR 12.5; - LEVEL 1 FOR 12.5; - } - } -} - -TRANSITION_LIST("X3") -{ - NODE - { - REPEAT = 1; - NODE - { - REPEAT = 1; - LEVEL 0 FOR 25.0; - LEVEL 1 FOR 25.0; - LEVEL 0 FOR 25.0; - LEVEL 1 FOR 25.0; - LEVEL 0 FOR 25.0; - LEVEL 1 FOR 25.0; - LEVEL 0 FOR 25.0; - LEVEL 1 FOR 25.0; - LEVEL 0 FOR 25.0; - LEVEL 1 FOR 25.0; - LEVEL 0 FOR 25.0; - LEVEL 1 FOR 25.0; - LEVEL 0 FOR 25.0; - LEVEL 1 FOR 25.0; - LEVEL 0 FOR 25.0; - LEVEL 1 FOR 25.0; - LEVEL 0 FOR 25.0; - LEVEL 1 FOR 25.0; - LEVEL 0 FOR 25.0; - LEVEL 1 FOR 25.0; - LEVEL 0 FOR 25.0; - LEVEL 1 FOR 25.0; - LEVEL 0 FOR 25.0; - LEVEL 1 FOR 25.0; - LEVEL 0 FOR 25.0; - LEVEL 1 FOR 25.0; - LEVEL 0 FOR 25.0; - LEVEL 1 FOR 25.0; - LEVEL 0 FOR 25.0; - LEVEL 1 FOR 25.0; - LEVEL 0 FOR 25.0; - LEVEL 1 FOR 25.0; - LEVEL 0 FOR 25.0; - LEVEL 1 FOR 25.0; - LEVEL 0 FOR 25.0; - LEVEL 1 FOR 25.0; - LEVEL 0 FOR 25.0; - LEVEL 1 FOR 25.0; - LEVEL 0 FOR 25.0; - LEVEL 1 FOR 25.0; - } - } -} - -TRANSITION_LIST("X4") -{ - NODE - { - REPEAT = 1; - NODE - { - REPEAT = 1; - LEVEL 0 FOR 50.0; - LEVEL 1 FOR 50.0; - LEVEL 0 FOR 50.0; - LEVEL 1 FOR 50.0; - LEVEL 0 FOR 50.0; - LEVEL 1 FOR 50.0; - LEVEL 0 FOR 50.0; - LEVEL 1 FOR 50.0; - LEVEL 0 FOR 50.0; - LEVEL 1 FOR 50.0; - LEVEL 0 FOR 50.0; - LEVEL 1 FOR 50.0; - LEVEL 0 FOR 50.0; - LEVEL 1 FOR 50.0; - LEVEL 0 FOR 50.0; - LEVEL 1 FOR 50.0; - LEVEL 0 FOR 50.0; - LEVEL 1 FOR 50.0; - LEVEL 0 FOR 50.0; - LEVEL 1 FOR 50.0; - } - } -} - -TRANSITION_LIST("X5") -{ - NODE - { - REPEAT = 1; - NODE - { - REPEAT = 1; - LEVEL 0 FOR 100.0; - LEVEL 1 FOR 100.0; - LEVEL 0 FOR 100.0; - LEVEL 1 FOR 100.0; - LEVEL 0 FOR 100.0; - LEVEL 1 FOR 100.0; - LEVEL 0 FOR 100.0; - LEVEL 1 FOR 100.0; - LEVEL 0 FOR 100.0; - LEVEL 1 FOR 100.0; - } - } -} - -TRANSITION_LIST("X6") -{ - NODE - { - REPEAT = 1; - NODE - { - REPEAT = 1; - LEVEL 0 FOR 200.0; - LEVEL 1 FOR 200.0; - LEVEL 0 FOR 200.0; - LEVEL 1 FOR 200.0; - LEVEL 0 FOR 200.0; - } - } -} - -TRANSITION_LIST("X7") -{ - NODE - { - REPEAT = 1; - NODE - { - REPEAT = 1; - LEVEL 0 FOR 400.0; - LEVEL 1 FOR 400.0; - LEVEL 0 FOR 200.0; - } - } -} - -TRANSITION_LIST("Y") -{ - NODE - { - REPEAT = 1; - NODE - { - REPEAT = 1; - LEVEL 0 FOR 3.125; - LEVEL 1 FOR 3.125; - LEVEL 0 FOR 3.125; - LEVEL 1 FOR 3.125; - LEVEL 0 FOR 3.125; - LEVEL 1 FOR 3.125; - LEVEL 0 FOR 3.125; - LEVEL 1 FOR 3.125; - LEVEL 0 FOR 3.125; - LEVEL 1 FOR 3.125; - LEVEL 0 FOR 3.125; - LEVEL 1 FOR 3.125; - LEVEL 0 FOR 3.125; - LEVEL 1 FOR 3.125; - LEVEL 0 FOR 3.125; - LEVEL 1 FOR 3.125; - LEVEL 0 FOR 3.125; - LEVEL 1 FOR 3.125; - LEVEL 0 FOR 3.125; - LEVEL 1 FOR 3.125; - LEVEL 0 FOR 3.125; - LEVEL 1 FOR 3.125; - LEVEL 0 FOR 3.125; - LEVEL 1 FOR 3.125; - LEVEL 0 FOR 3.125; - LEVEL 1 FOR 3.125; - LEVEL 0 FOR 3.125; - LEVEL 1 FOR 3.125; - LEVEL 0 FOR 3.125; - LEVEL 1 FOR 3.125; - LEVEL 0 FOR 3.125; - LEVEL 1 FOR 3.125; - LEVEL 0 FOR 6.25; - LEVEL 1 FOR 6.25; - LEVEL 0 FOR 6.25; - LEVEL 1 FOR 6.25; - LEVEL 0 FOR 6.25; - LEVEL 1 FOR 6.25; - LEVEL 0 FOR 6.25; - LEVEL 1 FOR 6.25; - LEVEL 0 FOR 6.25; - LEVEL 1 FOR 6.25; - LEVEL 0 FOR 6.25; - LEVEL 1 FOR 6.25; - LEVEL 0 FOR 6.25; - LEVEL 1 FOR 6.25; - LEVEL 0 FOR 6.25; - LEVEL 1 FOR 6.25; - LEVEL 0 FOR 12.5; - LEVEL 1 FOR 12.5; - LEVEL 0 FOR 12.5; - LEVEL 1 FOR 12.5; - LEVEL 0 FOR 12.5; - LEVEL 1 FOR 12.5; - LEVEL 0 FOR 12.5; - LEVEL 1 FOR 12.5; - LEVEL 0 FOR 25.0; - LEVEL 1 FOR 25.0; - LEVEL 0 FOR 25.0; - LEVEL 1 FOR 25.0; - LEVEL 0 FOR 50.0; - LEVEL 1 FOR 350.0; - LEVEL 0 FOR 3.125; - LEVEL 1 FOR 3.125; - LEVEL 0 FOR 3.125; - LEVEL 1 FOR 3.125; - LEVEL 0 FOR 3.125; - LEVEL 1 FOR 3.125; - LEVEL 0 FOR 3.125; - LEVEL 1 FOR 3.125; - LEVEL 0 FOR 3.125; - LEVEL 1 FOR 3.125; - LEVEL 0 FOR 3.125; - LEVEL 1 FOR 3.125; - LEVEL 0 FOR 3.125; - LEVEL 1 FOR 3.125; - LEVEL 0 FOR 3.125; - LEVEL 1 FOR 3.125; - LEVEL 0 FOR 3.125; - LEVEL 1 FOR 3.125; - LEVEL 0 FOR 3.125; - LEVEL 1 FOR 3.125; - LEVEL 0 FOR 3.125; - LEVEL 1 FOR 3.125; - LEVEL 0 FOR 3.125; - LEVEL 1 FOR 3.125; - LEVEL 0 FOR 3.125; - LEVEL 1 FOR 3.125; - LEVEL 0 FOR 3.125; - LEVEL 1 FOR 3.125; - LEVEL 0 FOR 3.125; - LEVEL 1 FOR 3.125; - LEVEL 0 FOR 3.125; - LEVEL 1 FOR 3.125; - LEVEL 0 FOR 6.25; - LEVEL 1 FOR 6.25; - LEVEL 0 FOR 6.25; - LEVEL 1 FOR 6.25; - LEVEL 0 FOR 6.25; - LEVEL 1 FOR 6.25; - LEVEL 0 FOR 6.25; - LEVEL 1 FOR 6.25; - LEVEL 0 FOR 6.25; - LEVEL 1 FOR 6.25; - LEVEL 0 FOR 6.25; - LEVEL 1 FOR 6.25; - LEVEL 0 FOR 6.25; - LEVEL 1 FOR 6.25; - LEVEL 0 FOR 6.25; - LEVEL 1 FOR 6.25; - } - } -} - -DISPLAY_LINE -{ - CHANNEL = "S"; - EXPAND_STATUS = EXPANDED; - RADIX = Binary; - TREE_INDEX = 0; - TREE_LEVEL = 0; - CHILDREN = 1, 2, 3; -} - -DISPLAY_LINE -{ - CHANNEL = "S[2]"; - EXPAND_STATUS = COLLAPSED; - RADIX = Binary; - TREE_INDEX = 1; - TREE_LEVEL = 1; - PARENT = 0; -} - -DISPLAY_LINE -{ - CHANNEL = "S[1]"; - EXPAND_STATUS = COLLAPSED; - RADIX = Binary; - TREE_INDEX = 2; - TREE_LEVEL = 1; - PARENT = 0; -} - -DISPLAY_LINE -{ - CHANNEL = "S[0]"; - EXPAND_STATUS = COLLAPSED; - RADIX = Binary; - TREE_INDEX = 3; - TREE_LEVEL = 1; - PARENT = 0; -} - -DISPLAY_LINE -{ - CHANNEL = "X0"; - EXPAND_STATUS = COLLAPSED; - RADIX = Binary; - TREE_INDEX = 4; - TREE_LEVEL = 0; -} - -DISPLAY_LINE -{ - CHANNEL = "X1"; - EXPAND_STATUS = COLLAPSED; - RADIX = Binary; - TREE_INDEX = 5; - TREE_LEVEL = 0; -} - -DISPLAY_LINE -{ - CHANNEL = "X2"; - EXPAND_STATUS = COLLAPSED; - RADIX = Binary; - TREE_INDEX = 6; - TREE_LEVEL = 0; -} - -DISPLAY_LINE -{ - CHANNEL = "X3"; - EXPAND_STATUS = COLLAPSED; - RADIX = Binary; - TREE_INDEX = 7; - TREE_LEVEL = 0; -} - -DISPLAY_LINE -{ - CHANNEL = "X4"; - EXPAND_STATUS = COLLAPSED; - RADIX = Binary; - TREE_INDEX = 8; - TREE_LEVEL = 0; -} - -DISPLAY_LINE -{ - CHANNEL = "X5"; - EXPAND_STATUS = COLLAPSED; - RADIX = Binary; - TREE_INDEX = 9; - TREE_LEVEL = 0; -} - -DISPLAY_LINE -{ - CHANNEL = "X6"; - EXPAND_STATUS = COLLAPSED; - RADIX = Binary; - TREE_INDEX = 10; - TREE_LEVEL = 0; -} - -DISPLAY_LINE -{ - CHANNEL = "X7"; - EXPAND_STATUS = COLLAPSED; - RADIX = Binary; - TREE_INDEX = 11; - TREE_LEVEL = 0; -} - -DISPLAY_LINE -{ - CHANNEL = "Y"; - EXPAND_STATUS = COLLAPSED; - RADIX = Binary; - TREE_INDEX = 12; - TREE_LEVEL = 0; -} - -TIME_BAR -{ - TIME = 0; - MASTER = TRUE; -} -; diff --git a/1ano/isd/quartus-projects/Teste/simulation/qsim/Teste1_20221202130335.sim.vwf b/1ano/isd/quartus-projects/Teste/simulation/qsim/Teste1_20221202130335.sim.vwf deleted file mode 100644 index 71038d5..0000000 --- a/1ano/isd/quartus-projects/Teste/simulation/qsim/Teste1_20221202130335.sim.vwf +++ /dev/null @@ -1,245 +0,0 @@ -/* -WARNING: Do NOT edit the input and output ports in this file in a text -editor if you plan to continue editing the block that represents it in -the Block Editor! File corruption is VERY likely to occur. -*/ - -/* -Copyright (C) 2020 Intel Corporation. All rights reserved. -Your use of Intel Corporation's design tools, logic functions -and other software and tools, and any partner logic -functions, and any output files from any of the foregoing -(including device programming or simulation files), and any -associated documentation or information are expressly subject -to the terms and conditions of the Intel Program License -Subscription Agreement, the Intel Quartus Prime License Agreement, -the Intel FPGA IP License Agreement, or other applicable license -agreement, including, without limitation, that your use is for -the sole purpose of programming logic devices manufactured by -Intel and sold by Intel or its authorized distributors. Please -refer to the applicable agreement for further details, at -https://fpgasoftware.intel.com/eula. -*/ - -HEADER -{ - VERSION = 1; - TIME_UNIT = ns; - DATA_OFFSET = 0.0; - DATA_DURATION = 1000.0; - SIMULATION_TIME = 0.0; - GRID_PHASE = 0.0; - GRID_PERIOD = 10.0; - GRID_DUTY_CYCLE = 50; -} - -SIGNAL("A") -{ - VALUE_TYPE = NINE_LEVEL_BIT; - SIGNAL_TYPE = SINGLE_BIT; - WIDTH = 1; - LSB_INDEX = -1; - DIRECTION = INPUT; - PARENT = ""; -} - -SIGNAL("B") -{ - VALUE_TYPE = NINE_LEVEL_BIT; - SIGNAL_TYPE = SINGLE_BIT; - WIDTH = 1; - LSB_INDEX = -1; - DIRECTION = INPUT; - PARENT = ""; -} - -SIGNAL("C") -{ - VALUE_TYPE = NINE_LEVEL_BIT; - SIGNAL_TYPE = SINGLE_BIT; - WIDTH = 1; - LSB_INDEX = -1; - DIRECTION = INPUT; - PARENT = ""; -} - -SIGNAL("D") -{ - VALUE_TYPE = NINE_LEVEL_BIT; - SIGNAL_TYPE = SINGLE_BIT; - WIDTH = 1; - LSB_INDEX = -1; - DIRECTION = INPUT; - PARENT = ""; -} - -SIGNAL("F") -{ - VALUE_TYPE = NINE_LEVEL_BIT; - SIGNAL_TYPE = SINGLE_BIT; - WIDTH = 1; - LSB_INDEX = -1; - DIRECTION = OUTPUT; - PARENT = ""; -} - -TRANSITION_LIST("A") -{ - NODE - { - REPEAT = 1; - NODE - { - REPEAT = 1; - LEVEL 0 FOR 50.0; - LEVEL 1 FOR 50.0; - LEVEL 0 FOR 50.0; - LEVEL 1 FOR 50.0; - LEVEL 0 FOR 50.0; - LEVEL 1 FOR 50.0; - LEVEL 0 FOR 50.0; - LEVEL 1 FOR 50.0; - LEVEL 0 FOR 50.0; - LEVEL 1 FOR 50.0; - LEVEL 0 FOR 50.0; - LEVEL 1 FOR 50.0; - LEVEL 0 FOR 50.0; - LEVEL 1 FOR 50.0; - LEVEL 0 FOR 50.0; - LEVEL 1 FOR 50.0; - LEVEL 0 FOR 50.0; - LEVEL 1 FOR 50.0; - LEVEL 0 FOR 50.0; - LEVEL 1 FOR 50.0; - } - } -} - -TRANSITION_LIST("B") -{ - NODE - { - REPEAT = 1; - NODE - { - REPEAT = 1; - LEVEL 0 FOR 100.0; - LEVEL 1 FOR 100.0; - LEVEL 0 FOR 100.0; - LEVEL 1 FOR 100.0; - LEVEL 0 FOR 100.0; - LEVEL 1 FOR 100.0; - LEVEL 0 FOR 100.0; - LEVEL 1 FOR 100.0; - LEVEL 0 FOR 100.0; - LEVEL 1 FOR 100.0; - } - } -} - -TRANSITION_LIST("C") -{ - NODE - { - REPEAT = 1; - NODE - { - REPEAT = 1; - LEVEL 0 FOR 200.0; - LEVEL 1 FOR 200.0; - LEVEL 0 FOR 200.0; - LEVEL 1 FOR 200.0; - LEVEL 0 FOR 200.0; - } - } -} - -TRANSITION_LIST("D") -{ - NODE - { - REPEAT = 1; - NODE - { - REPEAT = 1; - LEVEL 0 FOR 400.0; - LEVEL 1 FOR 400.0; - LEVEL 0 FOR 200.0; - } - } -} - -TRANSITION_LIST("F") -{ - NODE - { - REPEAT = 1; - NODE - { - REPEAT = 1; - LEVEL 1 FOR 50.0; - LEVEL 0 FOR 50.0; - LEVEL 1 FOR 150.0; - LEVEL 0 FOR 200.0; - LEVEL 1 FOR 150.0; - LEVEL 0 FOR 50.0; - LEVEL 1 FOR 50.0; - LEVEL 0 FOR 100.0; - LEVEL 1 FOR 50.0; - LEVEL 0 FOR 50.0; - LEVEL 1 FOR 100.0; - } - } -} - -DISPLAY_LINE -{ - CHANNEL = "A"; - EXPAND_STATUS = COLLAPSED; - RADIX = Binary; - TREE_INDEX = 0; - TREE_LEVEL = 0; -} - -DISPLAY_LINE -{ - CHANNEL = "B"; - EXPAND_STATUS = COLLAPSED; - RADIX = Binary; - TREE_INDEX = 1; - TREE_LEVEL = 0; -} - -DISPLAY_LINE -{ - CHANNEL = "C"; - EXPAND_STATUS = COLLAPSED; - RADIX = Binary; - TREE_INDEX = 2; - TREE_LEVEL = 0; -} - -DISPLAY_LINE -{ - CHANNEL = "D"; - EXPAND_STATUS = COLLAPSED; - RADIX = Binary; - TREE_INDEX = 3; - TREE_LEVEL = 0; -} - -DISPLAY_LINE -{ - CHANNEL = "F"; - EXPAND_STATUS = COLLAPSED; - RADIX = Binary; - TREE_INDEX = 4; - TREE_LEVEL = 0; -} - -TIME_BAR -{ - TIME = 0; - MASTER = TRUE; -} -; diff --git a/1ano/isd/quartus-projects/Teste/simulation/qsim/Teste1_modelsim.xrf b/1ano/isd/quartus-projects/Teste/simulation/qsim/Teste1_modelsim.xrf deleted file mode 100644 index 39c59ab..0000000 --- a/1ano/isd/quartus-projects/Teste/simulation/qsim/Teste1_modelsim.xrf +++ /dev/null @@ -1,13 +0,0 @@ -vendor_name = ModelSim -source_file = 1, /home/tiagorg/repos/uaveiro-leci/1ano/isd/quartus-projects/Teste/Teste1.bdf -source_file = 1, /home/tiagorg/repos/uaveiro-leci/1ano/isd/quartus-projects/Teste/Waveform.vwf -source_file = 1, /home/tiagorg/repos/uaveiro-leci/1ano/isd/quartus-projects/Teste/Teste3.bdf -source_file = 1, /home/tiagorg/intelFPGA_lite/20.1/quartus/libraries/others/maxplus2/74153.bdf -design_name = hard_block -design_name = Teste3 -instance = comp, \F~output\, F~output, Teste3, 1 -instance = comp, \C~input\, C~input, Teste3, 1 -instance = comp, \D~input\, D~input, Teste3, 1 -instance = comp, \A~input\, A~input, Teste3, 1 -instance = comp, \B~input\, B~input, Teste3, 1 -instance = comp, \inst|inst3~0\, inst|inst3~0, Teste3, 1 diff --git a/1ano/isd/quartus-projects/Teste/simulation/qsim/Waveform.vwf.vht b/1ano/isd/quartus-projects/Teste/simulation/qsim/Waveform.vwf.vht deleted file mode 100644 index b6c3794..0000000 --- a/1ano/isd/quartus-projects/Teste/simulation/qsim/Waveform.vwf.vht +++ /dev/null @@ -1,207 +0,0 @@ --- Copyright (C) 2020 Intel Corporation. All rights reserved. --- Your use of Intel Corporation's design tools, logic functions --- and other software and tools, and any partner logic --- functions, and any output files from any of the foregoing --- (including device programming or simulation files), and any --- associated documentation or information are expressly subject --- to the terms and conditions of the Intel Program License --- Subscription Agreement, the Intel Quartus Prime License Agreement, --- the Intel FPGA IP License Agreement, or other applicable license --- agreement, including, without limitation, that your use is for --- the sole purpose of programming logic devices manufactured by --- Intel and sold by Intel or its authorized distributors. Please --- refer to the applicable agreement for further details, at --- https://fpgasoftware.intel.com/eula. - --- ***************************************************************************** --- This file contains a Vhdl test bench with test vectors .The test vectors --- are exported from a vector file in the Quartus Waveform Editor and apply to --- the top level entity of the current Quartus project .The user can use this --- testbench to simulate his design using a third-party simulation tool . --- ***************************************************************************** --- Generated on "12/02/2022 12:28:52" - --- Vhdl Test Bench(with test vectors) for design : Teste1 --- --- Simulation tool : 3rd Party --- - -LIBRARY ieee; -USE ieee.std_logic_1164.all; - -ENTITY Teste1_vhd_vec_tst IS -END Teste1_vhd_vec_tst; -ARCHITECTURE Teste1_arch OF Teste1_vhd_vec_tst IS --- constants --- signals -SIGNAL S : STD_LOGIC_VECTOR(2 DOWNTO 0); -SIGNAL X0 : STD_LOGIC; -SIGNAL X1 : STD_LOGIC; -SIGNAL X2 : STD_LOGIC; -SIGNAL X3 : STD_LOGIC; -SIGNAL X4 : STD_LOGIC; -SIGNAL X5 : STD_LOGIC; -SIGNAL X6 : STD_LOGIC; -SIGNAL X7 : STD_LOGIC; -SIGNAL Y : STD_LOGIC; -COMPONENT Teste1 - PORT ( - S : IN STD_LOGIC_VECTOR(2 DOWNTO 0); - X0 : IN STD_LOGIC; - X1 : IN STD_LOGIC; - X2 : IN STD_LOGIC; - X3 : IN STD_LOGIC; - X4 : IN STD_LOGIC; - X5 : IN STD_LOGIC; - X6 : IN STD_LOGIC; - X7 : IN STD_LOGIC; - Y : OUT STD_LOGIC - ); -END COMPONENT; -BEGIN - i1 : Teste1 - PORT MAP ( --- list connections between master ports and signals - S => S, - X0 => X0, - X1 => X1, - X2 => X2, - X3 => X3, - X4 => X4, - X5 => X5, - X6 => X6, - X7 => X7, - Y => Y - ); --- S[2] -t_prcs_S_2: PROCESS -BEGIN - S(2) <= '0'; - WAIT FOR 400000 ps; - S(2) <= '1'; - WAIT FOR 400000 ps; - S(2) <= '0'; -WAIT; -END PROCESS t_prcs_S_2; --- S[1] -t_prcs_S_1: PROCESS -BEGIN - FOR i IN 1 TO 2 - LOOP - S(1) <= '0'; - WAIT FOR 200000 ps; - S(1) <= '1'; - WAIT FOR 200000 ps; - END LOOP; - S(1) <= '0'; -WAIT; -END PROCESS t_prcs_S_1; --- S[0] -t_prcs_S_0: PROCESS -BEGIN -LOOP - S(0) <= '0'; - WAIT FOR 100000 ps; - S(0) <= '1'; - WAIT FOR 100000 ps; - IF (NOW >= 1000000 ps) THEN WAIT; END IF; -END LOOP; -END PROCESS t_prcs_S_0; - --- X0 -t_prcs_X0: PROCESS -BEGIN -LOOP - X0 <= '0'; - WAIT FOR 3125 ps; - X0 <= '1'; - WAIT FOR 3125 ps; - IF (NOW >= 1000000 ps) THEN WAIT; END IF; -END LOOP; -END PROCESS t_prcs_X0; - --- X1 -t_prcs_X1: PROCESS -BEGIN -LOOP - X1 <= '0'; - WAIT FOR 6250 ps; - X1 <= '1'; - WAIT FOR 6250 ps; - IF (NOW >= 1000000 ps) THEN WAIT; END IF; -END LOOP; -END PROCESS t_prcs_X1; - --- X2 -t_prcs_X2: PROCESS -BEGIN -LOOP - X2 <= '0'; - WAIT FOR 12500 ps; - X2 <= '1'; - WAIT FOR 12500 ps; - IF (NOW >= 1000000 ps) THEN WAIT; END IF; -END LOOP; -END PROCESS t_prcs_X2; - --- X3 -t_prcs_X3: PROCESS -BEGIN -LOOP - X3 <= '0'; - WAIT FOR 25000 ps; - X3 <= '1'; - WAIT FOR 25000 ps; - IF (NOW >= 1000000 ps) THEN WAIT; END IF; -END LOOP; -END PROCESS t_prcs_X3; - --- X4 -t_prcs_X4: PROCESS -BEGIN -LOOP - X4 <= '0'; - WAIT FOR 50000 ps; - X4 <= '1'; - WAIT FOR 50000 ps; - IF (NOW >= 1000000 ps) THEN WAIT; END IF; -END LOOP; -END PROCESS t_prcs_X4; - --- X5 -t_prcs_X5: PROCESS -BEGIN -LOOP - X5 <= '0'; - WAIT FOR 100000 ps; - X5 <= '1'; - WAIT FOR 100000 ps; - IF (NOW >= 1000000 ps) THEN WAIT; END IF; -END LOOP; -END PROCESS t_prcs_X5; - --- X6 -t_prcs_X6: PROCESS -BEGIN - FOR i IN 1 TO 2 - LOOP - X6 <= '0'; - WAIT FOR 200000 ps; - X6 <= '1'; - WAIT FOR 200000 ps; - END LOOP; - X6 <= '0'; -WAIT; -END PROCESS t_prcs_X6; - --- X7 -t_prcs_X7: PROCESS -BEGIN - X7 <= '0'; - WAIT FOR 400000 ps; - X7 <= '1'; - WAIT FOR 400000 ps; - X7 <= '0'; -WAIT; -END PROCESS t_prcs_X7; -END Teste1_arch; diff --git a/1ano/isd/quartus-projects/Teste/simulation/qsim/Waveform.vwf.vt b/1ano/isd/quartus-projects/Teste/simulation/qsim/Waveform.vwf.vt deleted file mode 100644 index 3f42c05..0000000 --- a/1ano/isd/quartus-projects/Teste/simulation/qsim/Waveform.vwf.vt +++ /dev/null @@ -1,157 +0,0 @@ -// Copyright (C) 2020 Intel Corporation. All rights reserved. -// Your use of Intel Corporation's design tools, logic functions -// and other software and tools, and any partner logic -// functions, and any output files from any of the foregoing -// (including device programming or simulation files), and any -// associated documentation or information are expressly subject -// to the terms and conditions of the Intel Program License -// Subscription Agreement, the Intel Quartus Prime License Agreement, -// the Intel FPGA IP License Agreement, or other applicable license -// agreement, including, without limitation, that your use is for -// the sole purpose of programming logic devices manufactured by -// Intel and sold by Intel or its authorized distributors. Please -// refer to the applicable agreement for further details, at -// https://fpgasoftware.intel.com/eula. - -// ***************************************************************************** -// This file contains a Verilog test bench with test vectors .The test vectors -// are exported from a vector file in the Quartus Waveform Editor and apply to -// the top level entity of the current Quartus project .The user can use this -// testbench to simulate his design using a third-party simulation tool . -// ***************************************************************************** -// Generated on "12/02/2022 12:28:31" - -// Verilog Test Bench (with test vectors) for design : Teste1 -// -// Simulation tool : 3rd Party -// - -`timescale 1 ps/ 1 ps -module Teste1_vlg_vec_tst(); -// constants -// general purpose registers -reg [2:0] S; -reg X0; -reg X1; -reg X2; -reg X3; -reg X4; -reg X5; -reg X6; -reg X7; -// wires -wire Y; - -// assign statements (if any) -Teste1 i1 ( -// port map - connection between master ports and signals/registers - .S(S), - .X0(X0), - .X1(X1), - .X2(X2), - .X3(X3), - .X4(X4), - .X5(X5), - .X6(X6), - .X7(X7), - .Y(Y) -); -initial -begin -#1000000 $finish; -end -// S[ 2 ] -initial -begin - S[2] = 1'b0; - S[2] = #400000 1'b1; - S[2] = #400000 1'b0; -end -// S[ 1 ] -initial -begin - repeat(2) - begin - S[1] = 1'b0; - S[1] = #200000 1'b1; - # 200000; - end - S[1] = 1'b0; -end -// S[ 0 ] -always -begin - S[0] = 1'b0; - S[0] = #100000 1'b1; - #100000; -end - -// X0 -always -begin - X0 = 1'b0; - X0 = #3125 1'b1; - #3125; -end - -// X1 -always -begin - X1 = 1'b0; - X1 = #6250 1'b1; - #6250; -end - -// X2 -always -begin - X2 = 1'b0; - X2 = #12500 1'b1; - #12500; -end - -// X3 -always -begin - X3 = 1'b0; - X3 = #25000 1'b1; - #25000; -end - -// X4 -always -begin - X4 = 1'b0; - X4 = #50000 1'b1; - #50000; -end - -// X5 -always -begin - X5 = 1'b0; - X5 = #100000 1'b1; - #100000; -end - -// X6 -initial -begin - repeat(2) - begin - X6 = 1'b0; - X6 = #200000 1'b1; - # 200000; - end - X6 = 1'b0; -end - -// X7 -initial -begin - X7 = 1'b0; - X7 = #400000 1'b1; - X7 = #400000 1'b0; -end -endmodule - diff --git a/1ano/isd/quartus-projects/Teste/simulation/qsim/Waveform1.vwf.vht b/1ano/isd/quartus-projects/Teste/simulation/qsim/Waveform1.vwf.vht deleted file mode 100644 index 52a0169..0000000 --- a/1ano/isd/quartus-projects/Teste/simulation/qsim/Waveform1.vwf.vht +++ /dev/null @@ -1,110 +0,0 @@ --- Copyright (C) 2020 Intel Corporation. All rights reserved. --- Your use of Intel Corporation's design tools, logic functions --- and other software and tools, and any partner logic --- functions, and any output files from any of the foregoing --- (including device programming or simulation files), and any --- associated documentation or information are expressly subject --- to the terms and conditions of the Intel Program License --- Subscription Agreement, the Intel Quartus Prime License Agreement, --- the Intel FPGA IP License Agreement, or other applicable license --- agreement, including, without limitation, that your use is for --- the sole purpose of programming logic devices manufactured by --- Intel and sold by Intel or its authorized distributors. Please --- refer to the applicable agreement for further details, at --- https://fpgasoftware.intel.com/eula. - --- ***************************************************************************** --- This file contains a Vhdl test bench with test vectors .The test vectors --- are exported from a vector file in the Quartus Waveform Editor and apply to --- the top level entity of the current Quartus project .The user can use this --- testbench to simulate his design using a third-party simulation tool . --- ***************************************************************************** --- Generated on "12/02/2022 13:03:34" - --- Vhdl Test Bench(with test vectors) for design : Teste3 --- --- Simulation tool : 3rd Party --- - -LIBRARY ieee; -USE ieee.std_logic_1164.all; - -ENTITY Teste3_vhd_vec_tst IS -END Teste3_vhd_vec_tst; -ARCHITECTURE Teste3_arch OF Teste3_vhd_vec_tst IS --- constants --- signals -SIGNAL A : STD_LOGIC; -SIGNAL B : STD_LOGIC; -SIGNAL C : STD_LOGIC; -SIGNAL D : STD_LOGIC; -SIGNAL F : STD_LOGIC; -COMPONENT Teste3 - PORT ( - A : IN STD_LOGIC; - B : IN STD_LOGIC; - C : IN STD_LOGIC; - D : IN STD_LOGIC; - F : OUT STD_LOGIC - ); -END COMPONENT; -BEGIN - i1 : Teste3 - PORT MAP ( --- list connections between master ports and signals - A => A, - B => B, - C => C, - D => D, - F => F - ); - --- A -t_prcs_A: PROCESS -BEGIN -LOOP - A <= '0'; - WAIT FOR 50000 ps; - A <= '1'; - WAIT FOR 50000 ps; - IF (NOW >= 1000000 ps) THEN WAIT; END IF; -END LOOP; -END PROCESS t_prcs_A; - --- B -t_prcs_B: PROCESS -BEGIN -LOOP - B <= '0'; - WAIT FOR 100000 ps; - B <= '1'; - WAIT FOR 100000 ps; - IF (NOW >= 1000000 ps) THEN WAIT; END IF; -END LOOP; -END PROCESS t_prcs_B; - --- C -t_prcs_C: PROCESS -BEGIN - FOR i IN 1 TO 2 - LOOP - C <= '0'; - WAIT FOR 200000 ps; - C <= '1'; - WAIT FOR 200000 ps; - END LOOP; - C <= '0'; -WAIT; -END PROCESS t_prcs_C; - --- D -t_prcs_D: PROCESS -BEGIN - D <= '0'; - WAIT FOR 400000 ps; - D <= '1'; - WAIT FOR 400000 ps; - D <= '0'; -WAIT; -END PROCESS t_prcs_D; -END Teste3_arch; diff --git a/1ano/isd/quartus-projects/Teste/simulation/qsim/transcript b/1ano/isd/quartus-projects/Teste/simulation/qsim/transcript deleted file mode 100644 index a5afa8a..0000000 --- a/1ano/isd/quartus-projects/Teste/simulation/qsim/transcript +++ /dev/null @@ -1,47 +0,0 @@ -# do Teste1.do -# ** Warning: (vlib-34) Library already exists at "work". -# Model Technology ModelSim - Intel FPGA Edition vcom 2020.1 Compiler 2020.02 Feb 28 2020 -# Start time: 13:03:35 on Dec 02,2022 -# vcom -work work Teste1.vho -# -- Loading package STANDARD -# -- Loading package TEXTIO -# -- Loading package std_logic_1164 -# -- Loading package VITAL_Timing -# -- Loading package VITAL_Primitives -# -- Loading package cycloneive_atom_pack -# -- Loading package cycloneive_components -# -- Compiling entity hard_block -# -- Compiling architecture structure of hard_block -# -- Compiling entity Teste3 -# -- Compiling architecture structure of Teste3 -# End time: 13:03:35 on Dec 02,2022, Elapsed time: 0:00:00 -# Errors: 0, Warnings: 0 -# Model Technology ModelSim - Intel FPGA Edition vcom 2020.1 Compiler 2020.02 Feb 28 2020 -# Start time: 13:03:35 on Dec 02,2022 -# vcom -work work Waveform1.vwf.vht -# -- Loading package STANDARD -# -- Loading package TEXTIO -# -- Loading package std_logic_1164 -# -- Compiling entity Teste3_vhd_vec_tst -# -- Compiling architecture Teste3_arch of Teste3_vhd_vec_tst -# End time: 13:03:35 on Dec 02,2022, Elapsed time: 0:00:00 -# Errors: 0, Warnings: 0 -# vsim -c -t 1ps -L cycloneive -L altera -L altera_mf -L 220model -L sgate -L altera_lnsim work.Teste3_vhd_vec_tst -# Start time: 13:03:35 on Dec 02,2022 -# Loading std.standard -# Loading std.textio(body) -# Loading ieee.std_logic_1164(body) -# Loading work.teste3_vhd_vec_tst(teste3_arch) -# Loading ieee.vital_timing(body) -# Loading ieee.vital_primitives(body) -# Loading cycloneive.cycloneive_atom_pack(body) -# Loading cycloneive.cycloneive_components -# Loading work.teste3(structure) -# Loading work.hard_block(structure) -# Loading ieee.std_logic_arith(body) -# Loading cycloneive.cycloneive_io_obuf(arch) -# Loading cycloneive.cycloneive_io_ibuf(arch) -# Loading cycloneive.cycloneive_lcell_comb(vital_lcell_comb) -# after#33 -# End time: 13:03:35 on Dec 02,2022, Elapsed time: 0:00:00 -# Errors: 0, Warnings: 0 diff --git a/1ano/isd/quartus-projects/Teste/simulation/qsim/vwf_sim_transcript b/1ano/isd/quartus-projects/Teste/simulation/qsim/vwf_sim_transcript deleted file mode 100644 index 7bf4951..0000000 --- a/1ano/isd/quartus-projects/Teste/simulation/qsim/vwf_sim_transcript +++ /dev/null @@ -1,69 +0,0 @@ -Determining the location of the ModelSim executable... - -Using: /home/tiagorg/intelFPGA_lite/20.1/modelsim_ase/linuxaloem/ - -To specify a ModelSim executable directory, select: Tools -> Options -> EDA Tool Options -Note: if both ModelSim-Altera and ModelSim executables are available, ModelSim-Altera will be used. - -**** Generating the ModelSim Testbench **** - -quartus_eda --gen_testbench --tool=modelsim_oem --format=vhdl --write_settings_files=off Teste1 -c Teste1 --vector_source="/home/tiagorg/repos/uaveiro-leci/1ano/isd/quartus-projects/Teste/Waveform1.vwf" --testbench_file="/home/tiagorg/repos/uaveiro-leci/1ano/isd/quartus-projects/Teste/simulation/qsim/Waveform1.vwf.vht" - -Info: *******************************************************************Info: Running Quartus Prime EDA Netlist Writer Info: Version 20.1.1 Build 720 11/11/2020 SJ Lite Edition Info: Copyright (C) 2020 Intel Corporation. All rights reserved. Info: Your use of Intel Corporation's design tools, logic functions Info: and other software and tools, and any partner logic Info: functions, and any output files from any of the foregoing Info: (including device programming or simulation files), and any Info: associated documentation or information are expressly subject Info: to the terms and conditions of the Intel Program License Info: Subscription Agreement, the Intel Quartus Prime License Agreement, Info: the Intel FPGA IP License Agreement, or other applicable license Info: agreement, including, without limitation, that your use is for Info: the sole purpose of programming logic devices manufactured by Info: Intel and sold by Intel or its authorized distributors. Please Info: refer to the applicable agreement for further details, at Info: https://fpgasoftware.intel.com/eula. Info: Processing started: Fri Dec 2 13:03:33 2022Info: Command: quartus_eda --gen_testbench --tool=modelsim_oem --format=vhdl --write_settings_files=off Teste1 -c Teste1 --vector_source=/home/tiagorg/repos/uaveiro-leci/1ano/isd/quartus-projects/Teste/Waveform1.vwf --testbench_file=/home/tiagorg/repos/uaveiro-leci/1ano/isd/quartus-projects/Teste/simulation/qsim/Waveform1.vwf.vhtWarning (18236): Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance. -Completed successfully. - -**** Generating the functional simulation netlist **** - -quartus_eda --write_settings_files=off --simulation --functional=on --flatten_buses=off --tool=modelsim_oem --format=vhdl --output_directory="/home/tiagorg/repos/uaveiro-leci/1ano/isd/quartus-projects/Teste/simulation/qsim/" Teste1 -c Teste1 - -Info: *******************************************************************Info: Running Quartus Prime EDA Netlist Writer Info: Version 20.1.1 Build 720 11/11/2020 SJ Lite Edition Info: Copyright (C) 2020 Intel Corporation. All rights reserved. Info: Your use of Intel Corporation's design tools, logic functions Info: and other software and tools, and any partner logic Info: functions, and any output files from any of the foregoing Info: (including device programming or simulation files), and any Info: associated documentation or information are expressly subject Info: to the terms and conditions of the Intel Program License Info: Subscription Agreement, the Intel Quartus Prime License Agreement, Info: the Intel FPGA IP License Agreement, or other applicable license Info: agreement, including, without limitation, that your use is for Info: the sole purpose of programming logic devices manufactured by Info: Intel and sold by Intel or its authorized distributors. Please Info: refer to the applicable agreement for further details, at Info: https://fpgasoftware.intel.com/eula. Info: Processing started: Fri Dec 2 13:03:34 2022Info: Command: quartus_eda --write_settings_files=off --simulation=on --functional=on --flatten_buses=off --tool=modelsim_oem --format=vhdl --output_directory=/home/tiagorg/repos/uaveiro-leci/1ano/isd/quartus-projects/Teste/simulation/qsim/ Teste1 -c Teste1Warning (18236): Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance.Info (204019): Generated file Teste1.vho in folder "/home/tiagorg/repos/uaveiro-leci/1ano/isd/quartus-projects/Teste/simulation/qsim//" for EDA simulation toolInfo: Quartus Prime EDA Netlist Writer was successful. 0 errors, 1 warning Info: Peak virtual memory: 603 megabytes Info: Processing ended: Fri Dec 2 13:03:34 2022 Info: Elapsed time: 00:00:00 Info: Total CPU time (on all processors): 00:00:00 -Completed successfully. - -**** Generating the ModelSim .do script **** - -/home/tiagorg/repos/uaveiro-leci/1ano/isd/quartus-projects/Teste/simulation/qsim/Teste1.do generated. - -Completed successfully. - -**** Running the ModelSim simulation **** - -/home/tiagorg/intelFPGA_lite/20.1/modelsim_ase/linuxaloem//vsim -c -do Teste1.do - -Reading pref.tcl -# 2020.1 -# do Teste1.do -# ** Warning: (vlib-34) Library already exists at "work". -# Model Technology ModelSim - Intel FPGA Edition vcom 2020.1 Compiler 2020.02 Feb 28 2020 -# Start time: 13:03:35 on Dec 02,2022# vcom -work work Teste1.vho -# -- Loading package STANDARD -# -- Loading package TEXTIO -# -- Loading package std_logic_1164# -- Loading package VITAL_Timing# -- Loading package VITAL_Primitives -# -- Loading package cycloneive_atom_pack# -- Loading package cycloneive_components -# -- Compiling entity hard_block# -- Compiling architecture structure of hard_block# -- Compiling entity Teste3# -- Compiling architecture structure of Teste3 -# End time: 13:03:35 on Dec 02,2022, Elapsed time: 0:00:00# Errors: 0, Warnings: 0 -# Model Technology ModelSim - Intel FPGA Edition vcom 2020.1 Compiler 2020.02 Feb 28 2020 -# Start time: 13:03:35 on Dec 02,2022# vcom -work work Waveform1.vwf.vht -# -- Loading package STANDARD -# -- Loading package TEXTIO -# -- Loading package std_logic_1164# -- Compiling entity Teste3_vhd_vec_tst# -- Compiling architecture Teste3_arch of Teste3_vhd_vec_tst -# End time: 13:03:35 on Dec 02,2022, Elapsed time: 0:00:00# Errors: 0, Warnings: 0 -# vsim -c -t 1ps -L cycloneive -L altera -L altera_mf -L 220model -L sgate -L altera_lnsim work.Teste3_vhd_vec_tst # Start time: 13:03:35 on Dec 02,2022# Loading std.standard# Loading std.textio(body)# Loading ieee.std_logic_1164(body)# Loading work.teste3_vhd_vec_tst(teste3_arch)# Loading ieee.vital_timing(body)# Loading ieee.vital_primitives(body)# Loading cycloneive.cycloneive_atom_pack(body)# Loading cycloneive.cycloneive_components# Loading work.teste3(structure)# Loading work.hard_block(structure)# Loading ieee.std_logic_arith(body)# Loading cycloneive.cycloneive_io_obuf(arch)# Loading cycloneive.cycloneive_io_ibuf(arch)# Loading cycloneive.cycloneive_lcell_comb(vital_lcell_comb) -# after#33 -# End time: 13:03:35 on Dec 02,2022, Elapsed time: 0:00:00# Errors: 0, Warnings: 0 -Completed successfully. - -**** Converting ModelSim VCD to vector waveform **** - -Reading /home/tiagorg/repos/uaveiro-leci/1ano/isd/quartus-projects/Teste/Waveform1.vwf... - -Reading /home/tiagorg/repos/uaveiro-leci/1ano/isd/quartus-projects/Teste/simulation/qsim/Teste1.msim.vcd... - -Processing channel transitions... - -Writing the resulting VWF to /home/tiagorg/repos/uaveiro-leci/1ano/isd/quartus-projects/Teste/simulation/qsim/Teste1_20221202130335.sim.vwf - -Finished VCD to VWF conversion. - -Completed successfully. - -All completed. \ No newline at end of file diff --git a/1ano/isd/quartus-projects/Teste/simulation/qsim/work/_info b/1ano/isd/quartus-projects/Teste/simulation/qsim/work/_info deleted file mode 100644 index ed41bd2..0000000 --- a/1ano/isd/quartus-projects/Teste/simulation/qsim/work/_info +++ /dev/null @@ -1,289 +0,0 @@ -m255 -K4 -z2 -!s11e MIXED_VERSIONS -!s11f vlog 2020.1 2020.02, Feb 28 2020 -13 -!s112 1.1 -!i10d 8192 -!i10e 25 -!i10f 100 -cModel Technology -Z0 d/home/tiagorg/repos/uaveiro-leci/1ano/isd/quartus-projects/Teste/simulation/qsim -Ehard_block -Z1 w1669986214 -Z2 DPx4 ieee 16 vital_primitives 0 22 G>kiXP8Q9dRClKfK1Zn7j1 -Z3 DPx10 cycloneive 20 cycloneive_atom_pack 0 22 WOh:M[al;oVzG5c`D0 -Z4 DPx4 ieee 12 vital_timing 0 22 J>EBealN09f8GzldA[z2>3 -Z5 DPx3 std 6 textio 0 22 zE1`LPoLg^DX3Oz^4Fj1K3 -Z6 DPx4 ieee 14 std_logic_1164 0 22 cVAk:aDinOX8^VGI1ekP<3 -Z7 DPx10 cycloneive 21 cycloneive_components 0 22 zGMDhP>8e@2k@f0emXi5[`cD`bFC`UBKA5o7W??azG@W@@eFOTF0 -!s100 [5;Wd8QGQ>@2NGoJ1I]Y43 -R10 -32 -R11 -!i10b 1 -R12 -R13 -R14 -!i113 1 -R15 -R16 -vTeste1 -Z17 !s110 1669984113 -!i10b 1 -!s100 aIQ0Oo=cP=hTA[PjI:]0G2 -Z18 !s11b Dg1SIo80bB@j0V0VzS_@n1 -IP^O]8]jmAoFo1^:VFjmVZ0 -Z19 VDg1SIo80bB@j0V0VzS_@n1 -R0 -w1669984112 -8Teste1.vo -FTeste1.vo -!i122 0 -L0 32 301 -Z20 OV;L;2020.1;71 -r1 -!s85 0 -31 -Z21 !s108 1669984113.000000 -!s107 Teste1.vo| -!s90 -work|work|Teste1.vo| -!i113 1 -R15 -Z22 tCvgOpt 0 -n@teste1 -Eteste1 -Z23 w1669984133 -R2 -R3 -R4 -R5 -R6 -R7 -!i122 2 -R0 -R8 -R9 -l0 -Z24 L78 1 -V[>cb;FiTZ[FU>JBRzIclB0 -!s100 SGFdj?92m9zgfE<0^gHDe1 -R10 -32 -Z25 !s110 1669984133 -!i10b 1 -Z26 !s108 1669984133.000000 -R13 -R14 -!i113 1 -R15 -R16 -Astructure -R2 -R3 -R4 -R5 -R6 -R7 -DEx4 work 6 teste1 0 22 [>cb;FiTZ[FU>JBRzIclB0 -!i122 2 -l154 -L108 303 -VRF4U]eM]k=;3TJ=`W:Q2z1 -!s100 ^KRb=BAMHd0 -R10 -32 -R25 -!i10b 1 -R26 -R31 -R32 -!i113 1 -R15 -R16 -vTeste1_vlg_vec_tst -R17 -!i10b 1 -!s100 :_G:bi;S8AfT`OcTR;jnh1 -R18 -IBbOY=[o?k3<7dB<1[]@8L0 -R19 -R0 -w1669984111 -8Waveform.vwf.vt -FWaveform.vwf.vt -!i122 1 -L0 30 127 -R20 -r1 -!s85 0 -31 -R21 -!s107 Waveform.vwf.vt| -!s90 -work|work|Waveform.vwf.vt| -!i113 1 -R15 -R22 -n@teste1_vlg_vec_tst -Eteste3 -R1 -R2 -R3 -R4 -R5 -R6 -R7 -!i122 4 -R0 -R8 -R9 -l0 -R24 -V5SJTaIhjTgCNL7?fCgUY>3 -!s100 3eOZYP1O=mURfKYo4VZin1 -R10 -32 -R11 -!i10b 1 -R12 -R13 -R14 -!i113 1 -R15 -R16 -Astructure -R2 -R3 -R4 -R5 -R6 -R7 -DEx4 work 6 teste3 0 22 5SJTaIhjTgCNL7?fCgUY>3 -!i122 4 -l126 -L96 122 -VTB@hFY=8QT;CoA3gml[8@Y83 -R10 -32 -R11 -!i10b 1 -R12 -R13 -R14 -!i113 1 -R15 -R16 -Eteste3_vhd_vec_tst -R1 -R5 -R6 -!i122 5 -R0 -Z33 8Waveform1.vwf.vht -Z34 FWaveform1.vwf.vht -l0 -R30 -VJmIK0876;l1j]33B43[IO3 -!s100 DX@oHOMFBAXS7__oGQQe80 -R10 -32 -R11 -!i10b 1 -R12 -Z35 !s90 -work|work|Waveform1.vwf.vht| -!s107 Waveform1.vwf.vht| -!i113 1 -R15 -R16 -Ateste3_arch -R5 -R6 -DEx4 work 18 teste3_vhd_vec_tst 0 22 JmIK0876;l1j]33B43[IO3 -!i122 5 -l51 -L34 77 -VVJPCRA;MdDR763lAz^SgD3 -!s100 3=_:dL6OOd@d6hzoG_lz@0 -R10 -32 -R11 -!i10b 1 -R12 -R35 -Z36 !s107 Waveform1.vwf.vht| -!i113 1 -R15 -R16 diff --git a/1ano/isd/quartus-projects/Teste/simulation/qsim/work/_lib.qdb b/1ano/isd/quartus-projects/Teste/simulation/qsim/work/_lib.qdb deleted file mode 100644 index a2a939c0424a3c063810f986c0b9798f8e4d4458..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 49152 zcmeI4Pi!Ms9mi+JV<(Q24NKxU_WXI{_ebjNJwp2q+Jja;!>)mDz`lpN+ks1z>Pg@5fVZOQNe*fEl6Dn%Ab{}v_YZ#SQLHN!!D!3m00ck)1V8`;KmY_l z00ck)1V8`;KmY_l00ck)1V8`;KmY_l00jQO1YW2H#$tqsFMgp@H(QonZ*E;|wk+Da zkU#cx_3^c;vG({A=c-1p*~r&-uQnUTljql}pR7JzG#r=zzOlPiw=H9>`uVk@;k%^G zFIjz8r^z(^F~ zYW3aDHovd+(yp!cE<+Xhc$P}f61*sm#iCL1g^~-)>aY?!b!k5$)?(x@S=YL?@6}to zR;6DRzhCX&cgtahqTy-_g`5Vid5%0m68cN}Mg0+7(jL`5q~25SsIRD3)K92U|EGRedrNy=yRJQ}7>Ngo-z0vJxREdum4p!gMf}_GM!X!K#@`tPKmY_l00ck) z1V8`;KmY_l00ck)1V8`;KmY_l00ck)1U@JPl42|=%2K7)vFx3_^=;caefr87JG*}K zKexrmYI!^?R+c1LDzjso9qUwWZ?jR`v({@J+Ud5`+v}SvJMAmtCGH8VmOM{sgooP2 z@vdjZ^Q;{0Y1BKxFLrZV_B_iYJSGj^aXqD?8fd4zyWZJtTMi>R#8rVzfvWz^dkXv=JU^H`qMfqk10oXb)pC`5yN~Ru#{qjPRH=@)y@rN;n>e zOyaP|Psv#nEU`!_?iu1o8Q7xuv};JuGXq}~^R8k4qPWLZflQ36*rJFXQc+uc{&`oH zp7REqJ1AoyVrqBFsHch^QknF_?C8$(oX1(30nXT>hOZ&;7(j=LoDNl%#^sAPHG zca`Nyraa|TJo#9+n!VPB_m$=^fBfUDvddzm9Pu2HBON9U{n~YuCLBkK%`q{+!H%Lg zTiYAX*FmVx4S`bFGlY*aQ2YEJT|>@bhNM%4(XZE^ym-MaH%B}n86;#M>N!`K4LL$5 z=TKkhOI7%nJ99SZ>4F1v)Sh)#>18pHmYGg*GL*fNd|$6~lUx-^2Rv2akcxdkUvpI{ z$x%7ENnMpMG4Yl=VM-hihm{oj^c>W%FVt_a73E~*od3`3_XP5Q+$Vn{erAspsmVS$Hal7~=;hhUHgS>_=S;6ajPIUEl2{eR`GKwc$3VSD=T>aXf|^mo}# zeuX@xTl$OolKv^uB-gbE`i%Cb_Gj%S?G5cS8r4KCul`W|o%$8^mU=;bP9^GT<+sWM z<)-q6a#k@F^Wj-Ns00K+00ck)1V8`;KmY_l00ck)1V8`;KmY_l00ck)1V8`;K;R)H z5ET!+5TcTmD@!6jF@4laAj-#)QM+^u89Uy+avYgSms4^jGaxtrf#~8$jY*g0<#?_; z&c37Ti-SAZN%espEc5)}4wL3$a=e$T??iNVV7o~(eFR6nXF)%XjM~~UWGs92I5Lwe zeWv?z^K(y()R;8hhgI3feSUBUwPOQ2SmtwsJ4_nw^R4*vn;qD0(iv4w=EVLH_kDS# zhj&stHMEoEw=lfZq{*~=DkFLa;fK7IU~;sGot#g}r&5DP@*SL?7^$IlSdo(%9|b=- zV`!gANkUGhd_Lc_pXl%!4}i4e8xP3_{u zff(dIK%`U%lvF~1ilR0Zd;nEN&>{#Q0<=<#3Z+s7rAVMQ4NV_ZQA<(;sZgcTnR9D_ zkjm#&IxFq}fBtjl-Z}f*wZF{0lZ&(Eg(LF^>Y z5g-CYfCvx)B0vO)01+SpM1Tkof&WS1sOtn7rTEdkOVcw8<>_0OXBHN}c+-lzTXvU* zr%LhE@W@0dUKx!$56mx==V#-w9aE)krQJR8k@C%-2=iYpf1DN%95_;5`Z({|F}7<@ z>AzRNGYf^Ho62laoXsDaEiWIrd0~F3eB<=3ON*FIl{9tZz)EE|+U*8ByMYIpY?dEg zz0$pH%*?Xpe7bi#`03W;ox8^-hj;Id$4h&A;_`C&;Nhi1(+A7(RO$MuLWNnP6NVW4 z3ogMw;BW8_oP*clSMUpX5gv!f;1T#PJOuZ{x8NSQ3+{lgz!EINPv8u^38&!{{0P1b zW%vT@htI<xJ{{I!u!W(Ai{{?so9)%OIY{YJf{POB&2I1EB3WT8?$tR7HzgAaG9 zud7?soZ6=*)n>I;wW~(8O1Zg9x$~Fv_fEYL0U|&IhyW2F0z`la5CI}U1c(3;AOb{y z2oM1xKm>>Y5g-CYfCvx)B5>IdNDJ-`wDbN4<)qJr%S((HzY;2jk|5 z;6)|3APV!7yZo|;cCwP6M+=`*gOY!QObjj!3aSML% zGLOc^s7ZnsyqwsQRBl#`B$d-7H{pq$(P8${Z{g|J9t@3qoSmMN-546Y^X$|e=`LI< zlV*3aXZ|e;xKu{gh|Z*nszpaqg{wt-Qi)ZfEvbSk(VA4LN|8@0ze2Pmm6sOHN##lr zCzTTgfFB|g2HNx?ct%Kt4b^9I?BqF_!%$a!m>s)CW--({S$6MrvI#?t+rjSchhVcw z=F4f2*WhrQvy;8oE3d}T%I{$pAC*_(k0c*nWPdpoT#57ZnW)-ManfRRZZKMnwM@M} zJrk|MI!aCN=d#Ll>o}oP`SwI}P>BtPsh|Qc5&Db;!#GxGltcC`x5fDr`{Qd-gqKLM z_ewvFLahDTI|oK0fwfnk&aR6BtX=td)v+jrwZp$taZ&hw$Q|KTTns!@nP0sZxL7!D z%JD<Zp#uOiPz8bh$lMulLBFHV>NoXk`W5{%{k%StFs<)3cMN<@FX@B2tZ&eJ^rYTu?i(1=1G-D+bxzmm%FE`z1vNqhhyW2F0z`la z5CI}U1c(3;AOb{y2oM1xKm>>Y5g-CYfCvx)B0vO)01^2ACJ^v}9VyT38TU^b3wdz@ zQ*j)vZ#!D=7+TM@Xx-b;y0!)$=e*#T68AfbqHkS{125^;(Mypq7bvd-!ELu$yTH7^ftydchdHyO)`76=l zb!h2oG`SirT7@fxPL+uv4=ZsrtUzlSLTg@+mWt5)5X}>4Zh+>bQeMcp=cBnEn&bLj MXzma2yikPy0STl|sQ>@~ diff --git a/1ano/isd/quartus-projects/Teste/simulation/qsim/work/_lib1_0.qpg b/1ano/isd/quartus-projects/Teste/simulation/qsim/work/_lib1_0.qpg deleted file mode 100644 index 670d911d38c452aac24cec0fbb3794ba4b9f4980..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 237568 zcmeFaeSlThbsu`?19W9!Yix~WY-4LAu!U?q8W2LrMg#$}u#K&;h3%1rJ)oJ97-?X} zFd(d88Qa(*wi087+z^?hB-MK%FG?RxNlIF^#w}@Ssy5_3+|pQWNQ&R1uWCXYrH}Wj zg!lWc{afcA_MJ25oIB%2?&JP3Yu4WDYp=cb+8^g@ar?b1DwV5REBLhl&I4=}-X_>C zI0Nu%)XxN51$Y(UuLD*AZvwnp@O6OmfnNg%P`N?)EWy_Uz8B?h0Q}^PO66L>zxBpS zr33IE0=`l3O@h|}QqJ{)ZxNg=_*TFc)ZYmBI>4I%DW_BQZxejG;2gns2)+}L^lt_v zKRnR3ZfM(hdu3+((8BH=grk-29j$;?U1|NU!@s(8=~AWAcFkW3zAAX_wUtVjnzQF|+4HTY?HRt@p8FqMM0-B_ z9!5(d2PZ_nXCES-;Jeo(0AASl%zI=N9SdE3W7EX2$FLrDso$ z968vJHdxjy201nNy5mOGaye?D;X za~(oRX@oZmcL>zV9eTM#FVBKQ+eS80uGeGPaGAWK?cJvKj*f-(SO4Hv$mP1D|MK+^ zu^S8IQwI zKBMa6(8pig|JaULe>)^!dK`JV!?-@i_a48Tzi9tIul8-*zS-J`b`DsaW5G|jeLU;~ zm|vK01~!ggHr{=|!&=&3RPS$fLd(*J2m`adg4e@XQ1Zz$FuK3_6!Ip1P1U+v?M^QVvNtay(3ubv|R>!!$m-4yvd zr^r7qgTMOv=KAAZ8S;wu$L$&X$A7;$dzNI#D{9XNGx(p!X_d#_Wcf3Ww|gUb4N`5g#xY*8RxEt@y_!;;@_Vc*7?|0*!s~S>B-l(@bdhhCwGD5UWnwT?Wf#p zB%gXX-h95~xT9Uke7QTUTWJ@|9g@%6lP=GykLmA6L3$mT*RJYL?ll>C?xT{sD_^dycSpBQ++SJpKPLGH z^X1zIr1B>8}yHMO|(zRi$U3&Q`NgsdJp929R?lO8KYQm@V$UN% zqjr53?3jP+*M*_Z)`_9KzF%uN-`pMcYkqF&kbLR=nwL9_>tlQr1=gO2Hf~L?Ylb6y z(9S1kR_Q0wJN{6F4@5Z6F-bo#9M+Y%JZ<+KU^;}|DA&9QGV_a{56v~c8P)$+koDe9 z?z3+c?)z-7-=A8qa{Zkr=O)SVeKpuk&odcvU}Vx?X?v)LczPd8JWEbiyXHxb?_24Q zX5_p}e7;X@Z&J>?#pnCd8BNN$S$w_^#W6-x?V2w>-*;l0*p!@G#OM1=EX$jc^B(c} zz7orrrsUixzAN!O@%XwD&%rNQdE>u(JP&WSef`MrfX#p7?|a1)0w|PIg&n-lvO1&f>(md8EpH0&F)TN6|7qLE9KhLaZ6mV)mjcISfXZr>ccPs0{?3-R z5w0Hyal0qPw2e^CEI{&;E{4~W4szatzdJ!U8*n!u`|WAKw*g*E@&5xj_R^)dv)k-P z`@laO9NAiPXvLM*n#1k*=hCI?p1leSA3_|H;@4Vh#{@+@^jB-mp;f2&i{gLwYct@Y zy52uBd#`&|{ZM-YI)?fhy3ToZ+MEIt5QwRQz%OF|U&URq-31;-4@6!3=)dM}Ec!$DiRT{*aJbYx^?zuM>Z}_zkb~ z*WQc{VSeK{IM>?tsG0L4_!Gu?+i=K(9Z8;llk|uD)>wYR{MJ|=@=;@X$TN-QA%8TM zhrG~O9>#lPc^Joy; zHRxYZd^`Tfz!|q3{~RBL93O_)YA0Pk zCP3Qdc5^;sdydPVFdw%KcLcgje{2(9v;LSPJ4_7pyFZxE=?~^*`or*)KSFukAKni4 z2iJAvH<9+tUR|e;A(fM<}oR17VF{ zYt8-fc3|W;nDWPsD5pQV054tYsP#$zK0%MOc)XC0{y321kFOhDaew?i=!?V$`;YCJ z2S|Syp7KX1uloaL;#c>_JAjejV9Fmip`8A3{-W_gKKkQ1D4J}%JY{sv`y(qpn7`N_ zu1n|-!&CkU<#m5}e|mgyyp!Kx${%x4PJcLm(fA-A{c$A6AN!21xIbP6eUbR+0v+4K zbvpfFc*-B4yzUR~Ptx(h_$I%>ls_03^oR2ojSuqCA1~ziQR_@I8aXDJ~4 zVR*_Pp}g)7?uXOyaWgRT8%+6wIQ`-LMdO2fjE}Q9{`hsHD;^(xQGC1{_56YoGod2Tq_sj@!3-j=6tpPRY>r?X4A4>yW z=6dXTqifzDt7V6YNv%)cg95f^1t9%lc*-B4yzUR4SET*%USQ-mnDPhxLw~FQ8C`LI=sDOV9Qi9YC!tK@RUD7dEFngWQXUE_le(N${+MA z{o(xX4-{Hn%Zd;3(H~$VY$d&GE-^qifzDS@E$5a@n3EY*ls`gw-5=M=4j(VP-a~$aDSvSM z&>zlUG(N~jf9%ik$0?&L?hl^pq~jxNe&PCn?O6v%e;A(fM<}oRqeFJMKW-Pl!IVEZ z?&uHa_xa^eXlLg62l?oa!#V!=1EXu+A6fIu63C@L`T*$w;;VFNF^146XBs<(6 zxLj)d22=j%K{@^5{O%7FPCCDkkN!BG4`JApK!@${(S;?hl@q zr}OCtfRW!|${)q63;F1eb2H551QoH$GNCF55E#NPiff@<%AI`{R1h zrTuX?F!CEr`GfNm{o(vYoZ*@(1T_`osBs{ZXAc`TRmY`lBPzW$te)SYNSUik^SO{>WN?d=OIUkDY+@ zhv6xIgz~yScwHeKANK+yzrmD0xE`TDoZrVw-ui=l^hZ~YKk)oCe#QN9I*O01^#}J` zY|k!0`or*)KSFukA8(@>u*2iyL%_&yFy)V>D5pQ1-~EBYN!K6bqd$6b{DJ4e@oU~6 zS^49`kjnP#2Bbd>Px&L1*ZuK!(53zH5n$vunDPhLXY|Kzz%uI(^3fkFa{Phk+wm*z z58R}7+5ROfKGs4i+p`Cd{xCe{k5FFs#~hlGijR*1Bfr6vKe(==Kb*g4e2|a+=*{s* z=M?>s6(1jicD83PApK!@${(S;?hjsfO8etJVB|NL@(0(e^oR2oonOdDe{9I{$GnpM z(0iwn^+(qH(hKcu&(nbPhv6xIgz~ySc)cp^kBd@p3;f@*7O~gX?$t!})!@ zIRHq17@qP+D6jkDMw*d|k52<5zrmD0 zxSydvoWE#%kdOX2lH(7&p98<*{?L2ga^s^9QrVt^fb@sqDSw3Wx<78B87Y4}0F3+w zQ~p?ia{9yhJwA@-#0UB4kCQq6!23n;Yu+DO@$nf*WqS?*(jSJW{1M9Q{+J88v_BpM zMt*}Sf6PJob1iL>Tm1S9rSnJrLoIEOUTav}@OnV#S=A1R^$c!+OBTXy@sdsqM7*B+q)4M>0baO zz2mM|u(sx^uwJwJl-^k?$HREm?uR7rxa1k0(jT{bK=da>@3`xCdk3Y*>QnmN--D8K zQgRHRMDLL3UlhILt{3X?Ypv~;UaOx#@57*?|4sqYe}+$@_Yu*b7QN%H_iB_=??LIc z`U&)I0u}Y10i<5TC(%1B`jCvao1Z#IrUzUUaOx#?-o!|?*%~WHGC4i zqoRLB^p3mU*P)zxXTbnozp?rW^p1gwdM^S}ui=yE{k-U36}{uGcQMMTcfRym{RDcq zf{J>1{z1KlPoj65=-Wl_xa(a)wa~j-daZr}z2lNol^nw-(YsyrvqbN>>%E<7p?6Sv zt$qT%J0zz=atxnD@8hE9{*L}}-1RP`TIk&)y;eVg-kp*&TXGDaMDG_wKS%VAyWZQV z7J8qPUaOx#?-P>KB{_yqqW337&;1+Q>$vM(M77X+LVB%!0=>H=hxZ9lj^UH&{i5g> zh~9D6JC|yq_hsp|`U&(tDLFloWB4R`za;v_qIcZ&-axg`+lfTY@oV)H=-mw}j-#cL zWB4R`zbyJ?qIcZ&z7h4*yG(kmegeH;k(?EhWB4R`zbg9GqIcZ&-bl63J1D(YKY`vo zlCwr~44*{r*F?Wo^p3mU*HbO@J}tdgKY`w_OHQxk7(R*Kr$oO_^p3mU_fjqN9+O_H zpFrDuojAz3q(fd=PUoU#cUGF=n7JAQ0uhmbW_ZyP4L2?YAMDI66KPY;~UGLke z7JB)jYR0qGPoVc{$=M`1hEJmRr$s*^ddFSw`=}Or7fY|zPoVc_Bxg)=44*{rw?scK zddFSwwNwke>!sJ~C(yf3a&}6N;gjh7w&-_>-f`Fa7OI8bJ<@CS6X<PoQ_dlFTGYj zf!=2&=aA$WK8fD%i2gazJMMaKrdsG-C%slbf!>3Xb69c=pG5C3ivD@gJMMaKp<3wO zExlGhf!<$|oFkHB_#}G&hUkxq-f`D^E7d~p^U`be6X-nzD#q0@$uS&yUogC*_D!rC zxZgh>;U^;eWQ4yM;in?}bcCOY@RuU|Y=obS@beM=a)e)q@K+-IVuZgM;r?8o`=cF> z=Jg@R=fIDhIClx_kNWeOUVp`Zm-}lX|ILE`-UL7LTu<+ztliLV@mG5r=6lBBF0EtZ za=llwf!^bIHKeBR12i=lA6fA2(&zkhgrCrOiuM1KjPie`@^gaTjx2lU%nEkK^53a) zx7X!(TydY!O#7TauYGef?8>s&^TP{G+U5MQU4Mmn5^<2kLs`2#Pc>_o^T&3@{Z-a3 z&zH^G<@~W-Q}vhU?Pl$Aez$9WMjUl#lrPRGe}6{#ij4Br8RctL9*--ZpY~uLXl7hF zzqdOpZ>*8kP*ZIBO9=}tK6JMV<+aJz9-FEptp;^0} z-|hNwiIZ2APnwMr=l^kQx9|I!ZMXCPxV78&XU(?T`Muq9u)sQ4X?rxB@3jqM8INCU z?Vq-VeciffefE0nm$=^>%P4A>o}V4}yy&>+3&(vNIqvc0IQ_X7{tV~1jqzpfonXKJC7o}% z|M`MPuJ@0Cf#*9uj}6QS@#u7WMRzQR?m5vN7oEpzEbqAJPUgsaNpz=j=q`%xOb%V= z8$x@}=Fs(s?tBj2TG3s|q1z<7iy3tMjuOX%`}?0MPx$%fFW{h!`Qewr|CQ7^X$Nrf z`F%>i!g`!aOm%XAjXTITg?{k=T-BC z`?(L;@oTMZ25V|%>3M{@jJ z$IIjMr{7h7nAvE@=VZrCYRBUCdskHSo%$BQ+fd#rye;{D{Z-ZtGk`BZ{Y=1z0j~o5 zO~5K31|iUjqnGxk30W!Pf)chw?W7E`xp70?xsWGaZ1B0lrc2O@J>U&t3;e zIoAumMR2y@TLIyY%8h`GtD6AH*Qxrq3BFx$j^H~4-w8l-wXb^;GYQovEY9ZJS+G|g8y0Y-wFO(!3%;f3I0Iv&jkNa@ZSjjso)vG ze=Yc*1pk%b_XYn_@KwR@UR9}d$^U%cZ*XY%elXuRJiHLz#Cw0)uf#j?m+v|L6G4`e zkC5-Z?-tH^8uNmcdwm+l?-h3WT<1_eKxLxu!;y}1{Cm0#Na7C3m)7Iu4&(Y5zaItZ z_btEE@EKKyY5Meg{&%6m+e^DiHxZ1#6X$Xr#`14M0o!u`u#o(yzg(`vSpHneKU!4& zqRZpKdNBR-a#8t<%gKMY2DfkPHMn(ruGzVe$>F-|W_1jX}KL;H~`{RBiZtc(3YwyV~s~6#Gep}PL-X+bn z7sJx@jyJE@z7tfoy+}8v_fYeC?R#Zq^&*X$-WQwKYu_m-tC#Cyj)RNM>$UGdmDP)B z&-Bh~YdW6oI}l~{Vmddyy*YaG)=eD1^xKbW-846Q9OD(d|P@`Wl#L%5zv%JD%E z+PV17%ggV-Wv-1w=1tCzbBE_zJ^-2FD^^}>{s3yw5;FV)I?GoakLh`J_uFP>(OX_+ zT&3w(yuNK>`mwo|{~7;j`a}2v2>S=cm)kQo_fEEt{gH6duHic1F3kUIS7G`#j_~junzXzu`OEP|kTUXD;t`HA^s7qJuNFN6tBC#gl(hdN zCF$3er2lA1`VW=#&wVBR(_7M>btUcjWJ&r@m9+oUCGB5d(w@(jr2kw=`}<4UKUmV9 zp_23um$d(plJ<|3v}bck`Yk2xA1!JBcu9MlJ@^hN&0VkQi}?+wl*tFZy@$I;~>*zMI!2d0F4hQ~%_CH_z>2d(n@zWrF_Q zHa3&jKg0FG`gik4|2(dj1N=yS?059jaL`+S4~BoIiuw;vpr3I;KCbU!cjkL5tRB~0 zDd)MA9LAILqd%YV`%HGDl>XTSi0i<$)xsAD2f(kj_N&;?u;00_q2FEtz3P`zzr{qE$e)an#7nyfM{bAL2S4Ne^q^^LtF^_h(_5WcML?eb#+Q z%vb6AklY@>4~cn)@wp$6@#(ma1LhO@)xSS#^%IOQ#xdnE9x2E0Nyatfn)C-n@3`w_ zexzRiZmHEzpqJyHat;Afui=yE?G*iUqIcZ>&40UishZC(!$5P*Lw;K zlKy$oJMMZpe^KvI>9zU^^fG=a=LjJ68a|0$#x3cOir#V8%lVjk&q}Y=PoS6aOgYB@ zsn_sH^m4sR`WHm+xa)04IraK?S*?Boy>AB<V#Lz5YE{ ztDivcJ3vJ_CjqI~@JaOYIx^{B6uslFSFq;afwlSx^xhyjrzFSllwP;r$8VSDPmA7h z*X!fm-{)ubDZM_Q_!I%+q9Z8p^9W?F%WpzG`(LoOPxN0+*Te5iQBJ$~ zm+OXis^0tSAj+xtqM)zOeBJsVBfWD$N4#@Ck$U z_WsvM?>y8~uV8JD=v}XsL+=ulPo_8I!wy@gv)#Ua_V%{4U+!n#heW-CwcWrmK9lxZ zIrQQ(B!1a`W}H$VKS_7mP-D~I02pqotZ3#g^O^Mby=@%FwY z(t9)Ls8=xAAGuyDhhAJp#V@g0&IjtM{*!L+?VAPp0>@@paS?wnq1o@C63z`)pivwQ;-^ zkmLA0sHc9xWMA&>wsPq20o_FUJ>UGW8sx2%eBCFT{E~bXQ6~^>{lR z9>zbkw@-Y!?>3R|bS{6B=r#xzl|LqW-M^d2M=^e_iT%4px3i>t&wIKLxVA!a_ezeh zPku-3<$Y>g&mK_yz9#kQ{^CU6A<6M|nCoM_P~Q>NA8t~g?psdu9h01^)Lz%e@j-nj zRe!umeYzhy(RWI65SIA0)?6R+0QH?!{h229=|1a3-+9UL{e$aczN5a2s=v^rKHa~a z=&K-5I3M$zBHccYQ|jwbeO0iFWXhL>K3*L9`11JFec_3|*^=YuZQefSCF;9D^}267 z88@?}*T?0%MW_476FQzx&@QJlbb>!~tgLO=&b?>0eS`O%&zjMOyGHnHP0j^7173R` z0Y^Xi{_!}FzAp4T^d{$#T;EYn%r`fK&*!hB8GQ3H_n~pq$9$ZBC*t$yfwkt1$&{o)s&LLj4`e@Wjh?d;Wf*YhH^Z>Hh|CmMTI{?xKCE;_@xSx4<( zif)a{c@WOHHNDW!`7f)?`SiY2hQEv4q`b{Xux@U}3q~mzu`^4`Agq*viC(--+bWe?J<7eG=||Xi*D^wsyZn2AQ@-(` z+~@$~erA4TKYvhi?hbrOyY3C;tE?XUlAH9i)ua5TAm`DLZyWpBxhI00U@uA%40Pm9=lMmecxmV>@k8+p)amoJ>AQVllAm`CA|26vA z|&-s9ehZf;?3btT4UZQ7I zAHL&)^*6QFf2~`M9_`ZjOzMxyuE#)}9-kutU#{}Rf16R>ivF~E$n$>N66jYNJ<7eG zM@4V-Df+nIZUr6tZ7j&SOL`K$pAY4$tR8YCH|aO4M>*pjavr6;iTcgtBL5lxBzcf> z$bVe^@jPhx4|$O146{?~)J38uFIRcezU?Sy-m`kNBRm(~+Oi|i zuQYm;UkjX+kK5mtl~$jkkNtWZ=;+s-fUx5(=}Gi{A(XGOddQL7#IIJ5a^?^EmGUO? ztI5SUF#bu#1Lct4zuUSLSUT@GAo0o_q57|Mvs(`F}z_|4+#0|E~f)pZ}i; z$mjnFCwl(>8kF<-fAXcD|Np&XWAEbg|D)Uf-a~x;|JO#}y^7EO|HCD}`WJlue>uhn zpZ}i^et4km@zJf1;Xbmc#|her53W&6Nml~Fur0WJ1?V*AR^_I!Zl z_pjSHLb&p=RXFOL*}kxUC7-WpU)lHZ)r6m3R9Q{Fd&pR6opEP?Z3ABzFuu_(L&K!o zF;p2QU1NFw0P9CLkC`1?hPLp2zxHjFEqDa5ZqF0RrB+^+PKbS$kGI++*)G zSl0UhUKug7{jo)r&r(mX>pT2JsLVRZXM;{FF_JPq)Jwg25+Xu`) z%hn7nC%(F;vOH~1x9o}gx9*2A9R1I1AKpA1{J&#p8}SNYTK~PvA2WaT^)CH5>+c-= z#45s(k39Sl!iAsN!sor(A6;0vBd!1XDeBicYG#+@OqXAghVW~h`6kKD_Rqv|(k6c9 zANF@v99uv^Pp9Z&J$d5{X^Qe^-YEVO?VmJnFrQMc+pqhJ+lLl*^Zw%YO{0|^J`O?m zT_Xn!^LyJhVg1y0%}zKX{az2w7wPAz$QR={53Tb1k$k>uaA=1;uRc`SF`9mk4}%@^ z(u}G@${{_W@eA(&#JLZT7aR1X^%k}3-F3U@zv{@=Ezmo&8v3zrPnKQpmR+2`r_-*R z>voONp3$w9G4v0Q^YP2Ki|c3lkL%y*v}-X266HR!#qxO4Us?VM>#-TtgR%o)TKesF z*|B3_!1~MeJEWd;{P28}_z6JzgZ1fniSeTGvqW}m-}2ZN>!-)d#m^Ebrd=-sPN!XW z$gam9@8|qg#jxXiU&XNFd|wUuEI*F!kbQFykJD-2(gyo%eN@Hp8)2Mtd>4sx&cF2E z+G)1$&IbEzJyyjqES0yo{-b@nrrEyt%f9tb-i?r-SzUL}C+;Tfzx#f!3#<3_uQq($ z-3y;2{^Zz3i-Xba)c zrQ+!)WVh~1&7BuVhPT)}(%-+t-uF-eWWT4%xo@hXd}&l}?{7f)oh;urzSZJqV0=6G zBh{hG_N`nG)}MQ`b!k=W(yHz!x@rg=;&IP$5I_Ic{yLt^bdI4}__ekGt*phl((huN z zd?;+UVF$Vqzt(5W!JwZF40N?wfS{|9Z${-hd(Wmr(AQ9mU;X`?l8f>$TlrPi-s_%4 z^LVb*!u7GAQ}G;|`w-&dvvWt@)BS8H_#_v0vS(Xs-p{0`ohtxom*Mc^G2pak zbtqq8;hc&)#u??D#9!L82C$4hlG|Vp_an4tZIG8d?{*eA?dc8W3)l+iPyC~OsNMHV zd)5WIhB#yUCi0KuHrT^`F74?9q<;*De|Uce?O7kn8{+B>D5swJfv&azSQ-CFZbMvg zJkg%PATN2oZiVdG6v`Xyc|FQ$PoL}=33P@1Be@OsR3V4bEy2AY>xefMkoX|hd2YJbJj>lxrkx<@X zkIva@=Vi~)lJ-b$gFVb6wC9-YF&zDcmo{1cc_G6dU=b<^k7PhKBT(E88US8yACtu5^T`B+~u-k4uiZtEPzzpqm| zP|y3~2Mu2LEOFXJe&%VzVb>c&`7NQmqh&U5>gmK^(pf#@A9z|H>C*bT46p0sxi9t2 z33T0tL(X-f{FYE&*EdgeRu8%jz|;EXhx(*_v?tv@+Dm;5JlbtIOps-;q`Tf`*mrcgI;z`Yi)($^>$tloO+j8d9~Yc$YVUF?Oz@06FF-QugiH0aLQQ| z=#uB|&H_)%=?(RXoIbyKz>eXU?=k6EI?lG_x$GTXVtf`miKk9;y)aB%F~8c+J8R4`aaF^ z7_LXbrAt@CPX6lqyA8mYKWGPG!0XTNh4MNMGW_cNj(-%E`o5I*#@GMf2fHV`{?GY< z*Z^RD|d zzcN40`{;eVj?{k7+J4J>_pDlTEAj5`Tg~4i<3n~I$;MlE;1>Fs?Smt?+I=Kj2X3|d z5OBMb?q3?-!TOuq`1hu zAK~S$N+o?i!eX<3Ml}l-y{_8}#XMhrpi1RYtJWS&;9aG7-#wRd_wjto@RUQmp%9n z3h!en>>t=~{=vnoY4y)Kw`XxVd)CRGJ=1K@C*7VUnbksU?vL)s!c4oOlqq6J7G~2~<1NKvV z-(S)BbWC==GR<~yCQMa$T`^m;-pMM`@y8O4j!M^71C)+Oj&P}ubu*h})J%IIhweomB zQhxFK!*(ki|le_VE9Gc&Due`npU`{gezL5ur~=f;dz z96C*_U08~%yuIHxNt|ub97MHZ^3E6den(g|@$gU+N?fOaC)s72_TyFuL zmU)2p#VMazKQ1b1*Dl$$c$)3{Vr18CCGFz#YHZiwG~4xLWY_H_?Rrvn?Vo15z7*MY zM@hTBB)d*bvt7Go*ZK#Z)P1O9c0b;DllOD(mYuImvz=ddJNuT{eY3+`re^1tW#3_-^Fb_HjPvRmE+Y{biot_=@ZtoMt<}>UQ?sF)jZ5s_Z;C z&35i_JMVw6XFB}3M|Pf`W;?&;b`Edh`Db43Jd_8WsFY~<9*JQ80 zQ$KC<%GcfAzT2mz|GzFfSK~&v=^Rf_C3Y^J7CWDkox7&lPQEvp^V#}(|1X}7{@*Km zk503_Kjrq`{~({EopPS~DcSk*G~4+Nx3h22wDkWsWam7Lr0I;)Zzgu$HZ693Q+BSO zW;>sDJL_?3d3CCJ>S@`#f12(6X}7m8Jij#6dH<(n=Zn*9=g%Z|_DoCv|BUQx$AzKk zjMHzqo%Q~=@juo6|Ca1sHqG|#YqZzaRa3QhpX?o4N;pjZSe!e*hrck91=B1L?%d zkxp#BK{^R}WWet8sUn?>uwRi*Mw%I?H@D8ZET3)b@3(pN_J#ene!;ouLdJ*N@9%$b z$W}2<8Q+ZCn>A1W2eoen%IODy2_e!j-+z=lJ}94ZT+h4l1}mQ*S)SP4)4#<00Z4z~ zI0C=y`)2C$LOX7prST(uVLWI{zl=IW0y4dT>G<{e+#%(;-Sn5wi%T`%HqO^! zT`{V>x&7ggH)%K9l{s(6=Xp)e+b3ji(Q$aG^R0Ny%PV>@AY~AAjCDm zcf3A~m%-tO?fLkjtzo_yAGY^uRr@!O+PZD1GCJ7IJo8;RW-9Ywuk6c;Uo;~b-yDy} zrH|Lc=m+nYL%=zoj!53N;mvlQKe938=l&=9Ek3tZ`Yk@ou9vD&e+)?ff3Nw==e4Z) z%kz!TUq2^53}@uMEPu!2a#ltjU$j_x956kv`Ml#0ZnF5GT=w5A%|E{@`&OWQqWq0w zEBCzYkmc$8?D=o6=7sG1JZ$UQ!uh%JI>u%1jq$k4@}t{N$aep}>@GTvUA~XwrFf2n z@m*9OzeibST;li=zxw;K)|J%v&!sPGT#ifL0JN~bUn}Fr^QDiQ-Lli;*CD+_fBvZA z*yCf4^k=UdqU%;ot{eEAQknROLQe<;3Fx$$PEG<5Jn_ap>?z z9giL#XY%5)@%rSH^5hRo#--;i&y&xWjFYodjLR2G>igrIxP1A?BrY%hHN~aJ$3E%L z%#-zVe!CvMzVhU4&8%<#tKzZfy!)r>Uw~wN%K47*Sq3_P7GGXHZWF^V%van8jCW{# ztot!GZ`{WB?p7;+>HTogcK(^#IjDAR6y*J*Y^UP`!f9`MeQ{X!Y}+2rS4Osv+kMFW zxX?wrwvUJReR94fU!Gk)FW-oR5YB6(;G!MA-g4;jXb=5zT6PSM+Iyy}{o|vyZy4BA z?i}6q-z5Fs?&)6V_`c8O52>AA?$FP@oX-8RS@aJH(hu}6Y!GUM6x-XgtzZZf#h-Wy)shzpL?vl%z?5RO+yOPxo%{P$$Ye`uQIzc)kv zz%54C+OZlfv{mwXW!<2$QAA&&3&xA9gczuuZ5*ZZ+q zx%^(-MD}|BH7j>vhFtHrX5}u5H7D=(EO`UX$y<^oZ?HLecf|70G4x*rko^H*ng(EXQj zJoL)t^T33*=S>)u|i2PQaI8?pQ}G-42Gkk7GKAF7Pv(Zu@o%FOk7eE(&^a}4^` z{YE?a1tqte<-X3_C^}yc#pmQ)S2OPsa^3Tv)jxUhKrZTi6#+!OMfLeSPJ1|i&*}`Y zsJ_3HzO3`L1(G)a`SinduRDh&*VmE0zVvcmPsZ0(F>LUwUsqi!JAJ%3BvpFcO!qml z$H^J>b9P)t_uDoZmweyAbj0Pa<-|!m9Yf7*8lgH=pmegB~^m?57I6H*?W!(Sp z$0SZK{58d?$IU+J&y3Uh`G(zZjq{Dg>AahjSK@eiM--=d^J2{Z=BVGv&-}xFCya3~ z&w@_xFpDm$-198$WM2RLnG5)hp4Xku?aw)>^P3*qoA4m?{UQVW?bBJeYyCZ8^y23+eXM^TzNmo>mk?6dgke&l6vPw zdb9HX*Cf~D>$SR0*(Wt;slLe5TjWEqGxn z)8o@2dncV&r#ntPZqDb%Y4luBlX1Fy zn&R|#O2*5bQM@!8r{6EB_x+LHtT_FPACox6$4o6hcziniQOBvr%^~?IGoRMi)An2# z*3)=(aQz&kXkNQ7iqkeW5c6(P{(GZ-&syhtIpwF<*^YaCQJBRSucyDPek|IaHBoz- zT~Ggp^!j?#$DzY`J$+pC1M<&wuQPld`}F$c<8LjuKKW!6w?*~NlwMy)Z!W3#Q<2`R zy#LdZ>+v_;@jZ-oFt7M{^L?S0KcxEYg6l1tK2kR4i?#J_7(fN2s6sK)$V2Sm~mZ;zJ))$nYUY|Iv^d15610Jl`SdD36fm`abUVs^1HY zb9-(U;~bw(FTbz<`6-U`Z$;yx==jZ}^YQF-F&>wDeLT*;r+&$b2gZT-7yZ94YEQG{ z{69%=(Q#hEhn;?$=gkh;pEb^VRPW=+A>%$ho~C==^!PrY{>U0nS@A*p((&=@vbQMx z^Cjv3ZVtW2tLLwGBk( z?E9BF`dls{+w*Tq(w{3y|6fYd|9MIJS4z_VML~Lx7ayNl{q6k+vF1;7T2t}q{MSs8 z|E*Kxf7=xK-#$hDIaB1nd5ZjtrpSNS6!||gMgFx@D@`~g? zs53g(kC)m^$$q?4WJ>(orpS+*&8MV)dr5xxGuSMD`TD+SzWZuPebmE#_5R}bfu<5~ zUz;NT&rXql{}lOuZi@W1h<{|*zCTv&?_X&9q$QPwwoi)7@5m@$s&a_MulPFWm#~3k zKgN3QY}8}--S<=$+IxLc^j5wwqkK_D`E42Hi!;h^&nSnVCbS2Bny?&xipm$;_2xS( zi|u;zw(+gu`NQ$;_P(N_%65DbWoA|1t*Z_#?B2oq>^F^u?-1!bbya<*uBz|URrQ^^ zs=iZK)pzQu`c7R{->IwWJ9X79_#hqS>N|B+eW$Lf@6;vlbJln2s`^e{Ro|(za{~7J z#Y>ki;XATd?duBrwC@NqD%j6|h5G7@Hg4bXYi;rG%^C{)b@=Diwzihv1p&U}+6{8Z zYi-$Q2Mw(?hddWRc};vZUWej$U!}kH2CVmquQYej_l7~8~B$3p9;UOw;xk6{)+*zs$v z@%c*n<1{d|r;~{lJ+pwd^tb%-jQj6AO4;sNfc(z5`-ghzr`V4u#;>&|xoGeA48IB; zOugje_lWU$3FUPgj_(oUwr9h|*TQu%?Zf3}mN(e<0hCjZzNcNo_LX!E_EA3R3;;SV zxhVg#)w_Lc5AEv$q3eifxgxg(LVs3 zend5Xjdr^HmdH-_GwoaqNIMOOo&0_y?P9!6Hofwoh^!?Bh72eZ4^*AColu)(ID1@*VTO zP~KqQ3Y62nBeHKjurhHcxefO5x-9M65ae|m4*v}b7hlUs`EOGwZ?KR3N&C*ozL7vz z*ng7SU?0y7Y2R3o*KIiL8y7CVmJ70PXDDy5?=Fsplk43mOYZ&V9zYbq2FEz^12O&J;!9v@ld{itw`JR-hkIm$(|Fy%lPNG z=wttI-9md#hWc*9Vb6Kl^I|A(@XyUCr=Kfu9Q*53peyVj$!+it*D(tN*wXT^aT)l0Ch$XI@ErB)7pHJZ@$FnJ;?`hdp>niTS5TdX|EYaT2eWdt}#c z*~N7;`_1Wny^L!7>hp!6z+HYE*Vlu9akNZ!84kM+$gUNkydjPjnqaK=WY22ZL;b#P zC(N=(avS`F+tSURHL}NW*mF|$@LU${Zm{Qe*>hI*^p>ZbJMcLDr zVb2}1rvnRW_UHPN_DF7nJ#Upg8)T2+u!rx-;W!)2u;(_}vqJW4Drt}8HrVqv*)t-0 z42L~^vS%#Ap2f0fO!kbIv`2Cq?7{617C$>>kKwRquk6{CVb2oTb4d2=E@_YCHrO*q z_Uw^8hQpp?vS)9GJsj5@$ERe^(AD412n04*b&<=xV1+ z+9SCQ_RNJ;`sa-7F&y?Rl|3(I*u(W3?dg*}XG_{6xefNrlRf8TkKwRqO!l15u!rky z+Otpgyj;>A$!)ObU9#tb>@ghn9F{$=WZ1)gr9CHQ&&87VNN$5Y@0LBU${xdE&so{S z^O%X&8<_sho=P?3!}dT|IG!c9!JeCC4__F;b*tg9XLiuj$@^L+vS*g;St@%vO4=j2 z4ff2JJ)N@0aM;r;dw6a%kv-hcvcJY;&zzF>NN$5YJU`+1>XJQ%!=AmeXI_RqT$j+E z!?K6xSg^Zre@SkGJv_&zJv``Pe;E#Yj?12&410KvM0?K49=xoils%H$U=PnvXwOpF zV>s-&D0`M=*n?`bXEqMtIKQtbX^-SK*u#l{_N<9hCN&-(LcSiXKhJ) zB)7pH?#F3Quk0}#_H2?p>oV-&x`g)Zl|6kW?UCFDdw5lv0PWc%dklv?K&kH;eb23S9vH9Za9>J#!7Zc$T?@y5d!?<#>A!#i z(lg(q{yU1dz}Mb#kmpUaDs7LF57$eFUsn6ii|-W}z_?`I^?hY}pJ{CH#}B|qJ@a(F zQIvjNNqYB(+Ecrzewc-UO*Eb%pccQ@+7E61x$ar+tLe`}^1tEmKm9|$ zxL$pKC+P>CTaZt1i;<%rIFGX*oZkC^`|5N*7$5q9=UR)=4`Kdq8(tmk?DT%<75-V- zPye=QpHDc0QY&+6H>f>>qW^qB`dy;ue)R{HmNm=+c<&3O@z>h&V1Un9eR~Vym%sY; z%RpGiz25Em`zT<1aQ_MUi9Ew0?>AM?^90r}0fb*aM`H{VZHa;5z!P0owIgUWccj2?6kHt?|7=^lO*s z-&c@+vFLk6KLTkSKa6+EUt{HOO#C`1`rR4yJ0tq1MSo26JP$xTCGw9%^xqTRpDT~N z~_G8yQd(6t;m|X9#$`8jy@AZEGz4VWdU%#*7qV%1NDG)X%AT?!-LsVvyHuIPyma7Krq#x2rSYou>m`!@0iO*CW0&vd{A=wR#>-k3SUS z*IJWY#K-0K@mz!Uy(Ie#hka|L$K^Y8Jth`%J->TV>%_k)f-cXYzK>elCAxUNxf6u!kHhK@!_gm{2h#J6z9*E- zH@shhe1ge*^CpyYzHxe=Z+JZb_9yec@nODUc^Bpz@=@RZU}rMl91>o1zBwZLf1vi# zuanJBCq-X0Kc5l(4-3k_Ao`;Dvw{ng%(q4JXNTx}0<=7o&TsRryq-T-i#{uV_C@p? zM87k@+9CKeou9`d`n{sh%D0Cj`XiF-dFhz=Uk>reZ54j?>wBJ;PKobApi9<;KK|M7 z%tOQp4FF1RLtgp-2pG>C_uR)B-b7yV_V{>q=ytgsp2y1O4f*34ji=gq`QgrQ=-Daix8Z-ssnO!5HFXPF0_-tz$0Vd*?ze8>ZD zMk(_^IM;0(UJ&fOk_WUtVEjzB?&{FE=}{aoPSSZ`h556d2iC~HS$SYXM88S&S$SY* zM4y)j4n*`mZsI)9jzH!4lHxwuhk7136zr<;0k+A`bK*Q8xea-M_t`O?X9aoPhQEgM zfW}kpnEX&Q54YT^+r}6m~HiS`YzFD z<$<1vJ}(dSM)X;EU``m1nR%cu*j4KabcNRglG~66?nd-6o_UVM^?>28;XI)6R2!2Y zispemqDM3FtDi%yRQo&Be#6oJu>Yv%0eyEY$pfpzCz#{`UO#3YaC*-J%FD^V!1#~{ z-U@o=fpFcqZTL{I^GY6=raW-W{8=B@$K~IwJa8tWKP&pIJa8eR&&vZHIB@)Lm+JOp z<$=W^9y9a6Y?Figq5@svJRrFZd0@5Td0CLxZTM?A4`@8qdgO_@MX%lRUudaLfZv?|FdtS)}&`#)mw>^SWio1LUK=^})_0 z4{Q>?PxiC@T=zZz$n&YaR$h;*gQ7ns`Vr8j_p?VL`jetRD|-4Ty{j})ZeCHlPu=?{qhxq|dZMSr{?{VCDERFM9>=wB&FU%>$e`)gK!x&1X;^z#bR z_lSO3LHc_{=lk5X;$Iu`Yv#PRL43V|uJF7jxefE$y%Az8u-u=rwQN;dzCFLIwJ;dXO=VA(8NKWLqD&3 zQFNSl()02fV7A{@{~3<{<9wN(m-T(8WM2M|_ym)Anb%oupDukqFC#7DSKp@_ALeD= zH`;}HnS9iDF7PGu@|AP@sh!tdG=J9T!3qvWC*7x8eVx8b^jYiko`^ngecl_8`Ezj_`pKI8%BHRgeE ze^lG>!N7MV4@}cO{fPOqKCX|+zgheAQxW|c(P!m>^AUYs9;o7=DZPKm$^*MXJZ9#B z4wF-@?GAK>^MK?w+8qNb6Pqq2-L(x32O!R0be)T-?F|~h8?Kd3l z59^Nld7ZxdmE?i@#3z{Kfi9FY4>-N&fg4et&I87WJiv19)4N!W^}xDdXOag7g=g;5 zt-c=T`$V6$Pd^;d=k3#vMf7?5^fM9tm3{i<`}FgXf3ED)5%oB4^79FvYozakys}T9 z+&@1q`}F-Gzh=&B7i?VM`M5w=cwUp-hIy?Qam0D;pz^xm$m>`3>67ofo86~teydfl zxBXVF=stap=+R9a`N{pWuHE^eR{9?v%YTWlYg_;=VKB5PSIzr&-X_3dF%7T z5q;MB{P_@%nR)C;u&Z_?&=t;Ol3R=K`@J90$2@j4$m=%zHM~BDnfT#(L;0cT`uru) zJHJ2Iu}5AbFk@vG+n<3k?c^Tf;p-Q9abWmsI1gw%)t1T+Mf1Q~(W9C8)$_n-)c#Xyzu{;<$7ebZ=)0mx9(YiE zf=M2jk8%8uO)z{yAbA zdFOQ}Bl^7ay7LkJmGipG&+9Hm{<(5qhp4}DUY9&q`#htnv)qm&Je}<#~ zIA5mcWqn6EnU_B&KEY&OehDa2}J~ zhCJ4f=wlx14Dz}Se+{qCHJ)mxc3#N?)3i_Tzyk&8eerC=v(M{# zBKoDG&&mU9BKo{MuqmR?$^-Lq^1xWIt2V!69+2FIJn#^rkMX=f?Kd3l=lD$L0exRP$peGp6HM|zH_Dj@oZj<5xNo<s!q{l_xK7DpXpSMq68qr_br(eELUlaM~ z%03-Ye`TNkKm0y@S;()M`}AHL7kDpapeww-mE4ATZ3x+v^V;eluiJ3s^(*`IAI&}; z-G^UmZIk-3=stay=;L|$Vf9~+`pn3orVeg)=b@=@RZpeLD^pA()nFQ2sf`n-Hf^jY)r`G`JmUcMO7=grHr@gPrn z-oG+0Up_C-kNk6GUcNFfr|<9mANBlvUCzARW8nk}gOK!uwyb0Nq^YVJ-b;DEh zvOhP=7sK*-xIx*c`Jv(cP#a_)zq3>3{ZNwIVBfIp8YaS z(fnK6seUZFZg^Vs@w(wr_1_xxpW)~~&gbcM!vXR8y5TYL2`1}?MJVUG!RdY7Fqh&| z=X%D6bp!7sSl!n4DEX-Gxu7RmHyjn7wQe|L_4RebS$8!krldFzHM9G+eWPi zN6p`dJp)wCCl_!5l<$}K?=3i;e|I6K^Y1K-fq$~^ELi;%zO(T8AV2xeg5jy}ENoT1 z+w0#~uyW9OyU54(`S%o@-rw6Dx6i+$;B@{yg_zF2pWt-<9fg?AznkE6{{4iQ&cBz? zg@EM##J_Lg-!1U(5wM@xzaBsSzI2bDZK!4Z2qy8f6y=Pc>&TvpAEQJ3+=9IV2PrASVyaNNC{`2>h z`+La!Ix5?l_Mg9J+Wj|WW&hd8e{5&kf4v>%=j8hN zO@1zZI;8|NMQCn}YmKfB&QJgMEMN`&NIyqQ5uM z--qb$IrR4z`aW)j`orH(80WQ8fwKT%Ny&Fs7Ry(fRua zV>*A|pxfu~9gOMx{RK|v?;DKi{QZJXw>Q|4d>6{+bAPX()A{=aV|o5QL8tTg3dVH) z9zmz`_X);yeopIj{vN@Y&fiDibpHOpm~J*EV$M@e=kE=S>HIx`PUr6njOiG^>2Yx; z$WO+_PSkQ-2qxoVCCWK25Jvdb*Of+xaj^=e%qyg$e1Bh?=aumOsQh`&>GJZ5)8*wA zrz@LR{C#Nto-@zOY-iek@q4enfLi)bF!A4Nl+%AmQ~1^WXLRu2Jt(FBNJshpo-6m? zSi!vPbb0=Bx;+0mU0MJ6d!+pRQ0_mrGwnZr|BugWPoS3m6HNT~L6p;fHH z?nNo{GU+Ja-w%|$7YGXqj-%ys`_m^q*kjzYn9F{^R*h+J8m||9u3d^dIRc-`{KC{ySFCe@>U@Kc~y{pVO7~ zpT9T2-v{9SV>{FS^XI+Ye_uo`{U@0CZ!OB{Kc1hZ{bzLW-$zkO|B;UJ{dsWr->HKB zbGkhLIbELroUW|@{CR7Cj@tdlcBcL3&&j&~o>}r zULzgl`*XDZ{H#A0J6-eIdG*K9uwQ6cSNnd$>GI|^r^}nyoGx!(bGp2F&FS*yHK)s) z*PJeIUURyGJZ5)8*wArz@LRlIO&b2)Iu5 zyux;-{pZj3xc_#emi`k={Pziz(|=b1rv0}Y7{7ycKOp@_I?DIwf82lJd4&A^n$zX^ z&*}2~=X7QL=g-&pb2IKgwlnQNe=frP_hr=5e}aks)}fsKdpp@v@n>}K-zQPZyi7XE z_va@3c?o|GV!HBjxDU0XmY0{EE-x=TU0z;xy1cyXba{E%>GJZj)8*x5r_0OBPM4RL zoh~mgJ6&F0cDlU0>~wi~+3E7~veRYdWyWuMT=;#-J}$n3T8<0BWL$g-ap81%ap81%p}cPQYSCFe=ypc>)`WWWOR$^!DPBK!KYcUEZQ=P1{cSkp zJRQn!3FUQtTo04Z>OprX(#QOl?w1XQ*Y*80aJFxKpzAgqa(*V1-xA8}`huO+ZmS2~ z$w=R(ASY?xnBjGO-wJYDMgm>8;gGX0l;0A{>-xq;XZ4`F5b4_)>Jxpt4X^9_HgL9Y zSD@=Q9CDrs<+p_Ly1qT4vwG0Y#(+wXE5=dUpR_C8e?J@KT7R)$42PWkq5Ky16X=t1 z#d6YFJ?K_M`VNHpqFA!l~m<#BK(l5^JZx;@_kPJ3PoblrwS z&YDQhxlo_9>*Yue`;T(YOOD}?von%&A(8WC{MTB$Xn5V8Uj$A$uLQbo!y)HzBqp?&r=(&YVcjA<3C7 zIfg^d(nwBMB!}}!x?R64IrAjPaLCyd$>|C8NxPOAUib5_NX}BpF&uIZL~>R{a@It0 z{-)%tmK?(&=VT;jZ6s%1B;gGX8lCvw4vnP_nJjZddTXGDCoMVxk zy^)-Kk(^(XoTnwnaL73y$=M&tIT*?LUnJ*%smW zMRJ~x zQ@QGL|D1~CoQdT8uaa|Gatw!@rIDPMB01+GIh+^ipRA`#X};9_YFahn(5f%l$kn zlEV#1dYp3pr++#m$8gB$jpT5hmA0oVlJi@VGe>d^hn&5UoOzKPKCqIu=eH$izT_AV zImaV8J&~NHk(|FPIg2I7aLBnB$ypZ3SslsYx`+PZx{vwUaLDPo`f@+7iRAP~a(+j0 z)=G}ykh3Y0vo4aeK9ckIB&Sbu42PUUk(>>YoK2COzb`q1l4CgJ0L8EMnfQ5*7ep_( z#mKPl{4)OGInTSSpnhG-=y1;S6_oS33h8*xul1Q@Mqh;=&@lMx zMez>;qu>4^AniLD=(-I@|98x~TyAH;6S=1)*Y_PSMRLC@xo0HTaLC=Kep(~>io4u? z;)ib;7jBp2=JGu+KKGN_nS7_7UYRJKUJzf|IP`w{u-d2fL1HJ|F`+(>C-!?fp5o_I zj-!_SC78t1*HF%Qx(+ZMPsf4ry5`pbXCaQU??F24yAbHQ4M)G9jQV{&*g20-%4FmEwD{aE$<5{S_IW>OeUxp`+@#S z_e1=g%RfRb+bNjz!&4|{KVUhDUp)^R9r|G}N;$4cNBRDo%S9MOKNjtWcI2xt57UFK zwONMO*9HF=rL?aa=(-I@Kb$~0o;!QbQuBX@ox6cQ$@f-|=f7YMeyz1%!C&Ty-vy*R zgQ&mjb)o#6`sYECBi}3wa%)x9{}Yt{0D9y4-$yy+{!`XNuJk=)cuM~-i|!;K^?Tkh z9CU1bnfQ@Ea{0VpJbtvUPWaqEP>)}I9`g8MKd0j-e$MBgp_c6tOycLKP|o;yGucz| zV|0k0Z=jUpm~@oy&-rwy|BJ@YoDdhuIOe>W9>>22>9nsa&~+P*e!LKk>v&++~T((mKg@FvEw{E-_!-Y*_M+K(oDdGW)3PRCFDywv}u z_6R2N^V2A2{MZ3gMEFsHsHxRY;6=jT*s!z z@&69#?C)O1kKu@)>N~@F%HO*e&yQK-u~U5h9-TNZX7P22Z;#p&kK-)91>*aL_~QP{ z;#(>{_n+FI^m`Vc_rpHv)44;!mlrSW*L1wZ&k>zge+VY=@-37zUfxLdRJ<4+;$(Kg;fC2BUZxiQ?t^kj{A7qU}^l`fz4s2%q zCb@|}v--i?=i~Nlq%W@@=&y7?#LpxBE45QF>4$Hloc(Yu*;D;sbm)g?P|AKF9p(G; zNMj}YVOP`-d!l~$*O1PB*sXpr9R08^>WALQFIoPK*U^%j+YjD8?+2ZGC471PK!2tC zA%3oj*Js#H!K5F47Uk@R8_AyP2cttj>_;i{C+R5PpKID1^@ERp9}jW<+#mJB!Kfep z4W!e)1L_CE(GP>_2hX2zd}j5-xcEGO#{SIW+ao^DpGEWTe(_B;f2#dSzh~L${owgi z=bH&%Uc9hh)A15N@AHT155Xi}eh%e~7jzST^?jGoAzru;V!V)!@{{L%)Zazd&CiE8 zfH-=fwRTkeexC9Jlrmn91iEg+5ic*He6sbL)oUF@xxWH>u9yC;p05&Bi6%C^~W=Yr}Y1_=*|MNJ)ZXsZ(_YBe!|PKR=Ih#?LimPsNYXA$|^^lzE?Yl<&_w9V;0>C!+XyF^Zo* zfppq;Qt@Lr`thjx+xuY?9GLbCQ2ZMAPjTLt+}wWf_IW>alPvC*L)o<%A9fpnDb&rO{&e-%GJdCBN`U4@=&t(_CUkK=PF{r}o~ z|0pZ2>rC`^b3s?8ZMO`zv5n)Fge4@)t>%X$Y-70*NJ17eSi&~4kQ(T2(Ji&;HZ%xp z@ifShO~+9tMA5n?GM*5JjG2sH!kb}c@e+-V6EZ^*CBtMi^O#s~hMB<$Nt8r!$UL&1 zV!iL%=i61qxwp7gO)uN)E#E(S_dd1HKHu4UpC5JV)G6XS9pt)=haZkdei)ymAEdXX zAH06<2R&Cw^0jIYv{%*-@%vZbRXs%$KYSJG^uxQDJ>v(HgCF)ImHjE@sNdheIve?+ z)%bfZ^26(qAN~Z|>4)?3gYodg%kqPdzeVp0&M2Rczj0jWwWD*&S9biZ;s%iG);|8m z{>v*bzJF2u6Tj!>b3gd_JHKSRrC+o062F)9r}Bqr5-(pvI^*SXX3xZn$su0;2~yc^ zDM$VOUJ^2)A1mH&FIc z@aND@Kg^dOjE5iQMSfT@Nk2$$OFwx1+z)zwo8)WNe;%NjU?<5v8^0&@7r4rLiY9*e zC8X02?_u_gA50E@_&QSAe^QS6{XMCk$Pcah&-gnFE0li#S~<^q6_ogT=iWmAd z8!z$uLw|{@^oM8?FTad*#>;z|Jrge`hj=-N)Y*s^%2B_+KeST*_WPGs@e-f^9nSks z+wEULJMpbkycmyo@i_7OXg>$s+eLhCcQ~gz)%(}dJ0!ivL+^MLhq|8FJI_zMqd1Jm zxx9RPqd2Uh0!8N02ckHP<07xTI1Xjkmi2T0dK|8caLVrCb_eb46b4?lcYprGc*(|L z{Jzd>@`q>=hrfb!#^Ke>o{2+~LmYBmK7=@=9QFJAI{uzS(Kw9HlMUy`rt$JO(9SsA zt#~mW@p3qdmw0_P&kx6u=1ehxuZA{Vzj(6_3?$n~b=O>uG8-<3kMzZ@h7N z632d><#S#*2MS07&-*q0+LfO2o*vVCEdMOK4Ij^wCZ5sPn`5^y|Ld$TMF!&V`Jm?y>Ba5Fe6-5}Q_x<&s%vt1L;V~59M;=A z>#LxjczyldibH)vdU?FRB)m6F67RYk`-Xiso%Qv?8~7AoNKbjMM@-{(^mP+xuixA? zIr|Re;0^0qo%Ipur+s~$%khNt@_56%slDDiNxWk@c*FWnXMLCO`g)M#4e90ahVi?- z{_#oT-IarPx21R1pAp``r}#p8%6mOx8plJJ546|UP7?1#4&G;kcfarkKE)T(Q$EJ& ze2n*>+J*M|LtT@LU(Sb`ja%m|y|aE&H1H|Dke>1o!!PZ3osaQ;Lvll1lf(Nu@;Ad< zk$qpW>lg=&Pw|EHls6a!@E$V3_WIVY$>FW##6ySha$Sf0L*P?Y3Fo zxi;UAJU^sAf5_%hoptAZzohfNZ_oKoOq7Vr`S}sw8}aKRek|fABK|V6UUw~g2$Gf^F=kM7${`UFbKKVWHseeOy z$`i%K+7suuyl?sb8@TJ6G40F$0NOEq+LsJ|W*_ADU}x(2Qdg)C#NHr(45_@&`dd)m zpOCg!+d4rm_=Wa5ZP@gq9Nn)?yB|{Rl^NCdzz^+}>9rZ)tDwwGp2d=90%?aR3vjtC zb$ML2{G_~Y@GI@p)-6D73zRoU`R0mtiGo~EZeDp_zxyCxq<-_Iw?}kwR1R1x7q_)Z z`Lqwrc47UXph*3eDBn`iUQv)lzuV32%kunsVov}qQr=4GT_w6EDkrP=qUGIOth{y7 zzg~2MC`h^bEi6{vko1m-jz#5wP5gAx`YkF}-cIS;CAwP_q+I=ODpuZv^m0B#{ClHv zz!t0DEyc>)Cw==x4~T*ksvp}0THdtHTLvKCx_>z&y)TF!76mC)-j4qMLggKi-lL)~ zM&*FDa`XC^8U;|l?OPt*!upLqzNJvP$B>KuJ1%-c6vWb<=9SC-ndJ@)?igjcgOwel zMf$gwkc;J>6n$9~q)@qDUzFR=a!0lntM4i4e?|0kR32C>Kd-)eZp8XxsE3`mRxl-L z9ZzSZ_pIovq9BFp%YL4Ae*E!1EG5IvJ4OddVeY}U0_DG^a?XjK7X>L){!9v9P<}s_ zuxC_Zc5IPsUH{j;984tW_o^thl6RVCcT6|&%hrF);tl366mOg_vmDNcNjpoHKRqq~ zezW`IefJl!JLhdIkMlCpxh2c>_65IVX?#X?L8T8%rLFDVrE=zp&M#SRroQ*7zV{WW zZ;#4ZBDyrE+<4xy1oowU;`;U!tZ%Q%;rbZ$tjsAlFTUb(7Z)sdmCE5d7t39HiRIp0 zu-tVjhwD`=cf%!?yRcxnT$f^bL!u*>Sni^N<#K(A<#PRqbmt|OdsD%3xlY9Lc8flJ ziRIo>u-pli^Ni@;lI4c`xb|u5?|;(z$?fA~+u4rC`$q9d6XOPGk@ozY%H1crf3i5% z-TWlW9o{lI90yeHLD54cacIB#wDtEru#h+&d~(?4Nn_i``^b;3uk;le$6ipmheeN+ z#F6-A-7S}7kE1I0MbTp=ab)a)C5_43jcA17U3**;!m+&iiAUlu)85>M)%n=Z*euc+M9qGu+JWAP>7IID7B6@9HFjwb$D zd@24pr}EE>zFrbfCT&}B%ahi3ogknJt~*`xQJuP zq;d3I5{{)Rw^wvUNgPf5W9?_M{#mK=xzC4oS##-lY+Rl!p0z3;H%EqN{iWl%=~DW+ z4Jv;?bf_er*=+c@-`%)<+~#k6n+I*aGPrf4jfaB+eZ$1JW!pIFJEQsmEO0ejFXy^E z{c}L&JD*3rzu|T2b2-2NZez|EupT#fkTNV_V7aUdj zCq!Qo_4*zYpV!~}`b$!NPv6b7dj+(}Jo;tnKPCD~RKDZLD?hP+JdZAD|I;eZ{h3D{ z2d`)SAJ>23V$~nCNc~?``LBtdD_Q@fKX07Bhx?iBo|z+9}30+pTDx)JpX&T3J?cn`keBZRb^5i1+hppN{ugc4-x2HRfxcPcM1>mS`0 z7OX!WR{T)wzf0xs7Ja&8{hP$S-H#&fF-313_Y;>`|H;Pvb1HYA=>C%R&h&fneQ&9L z@4zM2|5E1xFR1*(qDM;FA#MNBeRsk5=YF6cX8S*`@=u7qH0k))jP+dKg6AGhkQ+yB{1tp8-&|2dU=Ui9^n^-kkHTF)rxcLe@+XlGv(TP z$C85cYitg&a)w0tp5Z0NnUxQF-&DljV=B+@AM&Wz-|s6HSMThtR4&8aIZvFptbHG7 zyZC9MRnh67%W-`M=+A;)2KpFi4fHC|%RxU2`X1D(@5D0lEwPn?ZjS^ev$O z2XMa?^m-V+6ZH2%uMmA3=xgYJW`WXQ?*OI3cZ$vd1zUL+C?022t^~aT^eRy1o2~2b z23>yjo{{%}{=2cC`sZ^=&pr42dqEfc)OhbtfPT57Qh7UQ4;6C1%jnieZJh~}>l@>P z+rs+ag1#M09~uaBu)ojJu^c$g^*H9H{5@-Wmr$cYKI+;ppAA zj<~L;GR%CpGh?NF`VE0j8y*}C`;0)TZ`=5QJue*@-E8^#`xaO~bo1iMf^50{pI&CT zKfG~8Sf^aQ&Tu^R={xUYxeEp#T|>HbQ3bbxz@>7pR=Fq#am)Jdw0aGXURbXuZeOs0 z*H^6>T}8V2p%rLqGpdVLKk*Rh;yWHOTz4-DxLm)FBYFDiKh%GQufLY9zXbyF)Bp6} zXEyFI`#n6m)t+Z|?|A%S;_KcqWc8|m(yk_6Y5$hf|AmHuosk`X5op`riT_jae{*T1}wfH|2|5xIFPyAns|F`0QN4(P8UKRgs zUFUvs)MuvZHS^EK|C#7nQPpSWcg3F({hy+$=gj{u{zX5&e#+>@f4c zh(9Ize~HR2GyjwLmqq`hsO&WJKZrjm`tL<$x0!z+{w2}h7nL1n{;v2FqW?}*_M3TJ z{BMi?mZl*#9rKz)C6a|kVo;}Lhb#~!2H^` zuKiilYiM*fKXdBkkXk-a=GzI1^IdE>%PWWJMe$rCJm{|~=r?Miy|%`4jqn_tVm#M6 zp70()VLaCg5BI@NrG4f*o`vP?GhcYPKXfYbT<3VgKK8=)xlVZI!ogFC=X%G322mz{ zt{0vaQ;g>WjwkH9FKnL=2+znA%w~#h4FB{!1n&!6yy1z+b6syP#Dh#h3Ca7 z#?vi4dQYha7Qfd04_~08eYg*(NIVZm{YlaO@otsF_p^Ba?35^3J}LWWmxGYuSsUh+ zwZR28&#Gyjnedv2)+%8hTGPC!RtfV$d+&&Lz)hLyyG3-oZ)_{(JGK68ecVS}>swg4 zkL|7@eL+roPeVHAc{S||&g!vr?T>Cq*Z$UqbnQQFNZ0<~hIH*4ZAjO?-G+4S_pI^0 zf%Ylt$7PVFzlzG=R#JX>N%<8e<#``b2LBx;iL{`ekr5Y6=G$*;zX zNM+>L;DwGd@}DfJ|K5`N?<=X__jkqpVV-?Hl@tGY@}DVbf37c;vF8IN>$|?BJs&Ko z|Dlrlu{pj}eLq_={x_7wzY&fsWB*MhGypQMfGnjSzo-&Pzuj@N&9avseeaF{g0Qd@8c!?yR&3} z^7)eW^?fEq{rhCe`u?Mmcy^bx|5GLPf1#xQpDbD5pDgKL-`7*r{$DIvU*E@5RR5Pt z*7v7N;(4Z|{ePyU{(oFj|Ie1J@6VR>@7|K__vcF1*Y`^m^)C-nEHl1*r6itxCGGzU zCG|gFQvX*=*7vI={pInTiRW-h`*S})nf~Nol+^z(OV;;amh`Xht1N2&e^s)+ zzK^n~{@*Nlzx-lJJpZPo{l8gK|F=r&KUT7R{btE{_;yMBzg6;n`L|2z|FYR&Oy5R6_t@G$gnns8ZDe#5Tdii`dCce-_K(zt zE2CrBpH^pcOV820o8_%l(tvmJe0WBHn+wl+21ys59x4<6cwI8QmMSaPG z5KnU6GQ{vp&;L|;b;`9@X4Sbb{vU9@;?Lv7aOUSZGTHo;V}8Ij>iV4LLEOw|mmxO~ zaX|h`rH_C@-)ufO<2m?_??|Zs^V0XM>)jpX>z$E&cwRWm@@MMcsVD7Tz!6!OZ?ahkMuU+VLge51rm?(z;iZ~ zGaK7PnBIT~ZpN>@eja~mAMTGSV;|{lz{7eH&yt|8+j!vVL{Iy>{%x*%5=kMuU+VLgdwebCo!Jn&2i&xVlRfQR!Q;@KxW13|7Z zo(++_(FN&0ZqQ+@fPs>j)%*C z9trIC0T;jYyLy%n-}T_RBmBM$^I_-Ea1{lz{%4hsc-fDzy=STS`^-iEdc|9VLdK}NBf`(%WI!fVR`K%DlD&kK85AA52vua$Cu)x z-WmK~pC`(FT=b{=&8hao)O&Ru1i$wBccF-J&;gF|`7|iUFXIu<;hdXk!`d%v-}hiX zUMFS0K)Nz2*T?;5aFyeki9v3<<`ddqTc2$g+7s&f?#t1)H%FiL<0iObd=KU5doD+x z_U|V3b6igOp|Px;y}tW$^l86uuD)$K`u6AO)BfOGeg9jIz5_Y>w4XRv-<}+O>_3|M zSNo51_5ECqzC$_sv|l+_-&b?=y^y0%`33*ms67X}G^SJU80rzm;j|vY-Nts>13XuP688uw+SP2Y7u#Eiucz=|=qGq? z|A6E<4zixa%YMsv^jmC)Sv_;Y^<*6HGCtMAevW$P2DxtIq30O*te$z{dZOnB<5NAv zK|S+>T(|MiL%(G8^n~k)o+ZYodidT3^(+o@-Nr+Y&)?lXOCvoiB0W5BiF$g2T(|Mi z$^{kQ}$6m8^8NSxorD<8XVh&f7k6r6wG)dZqQ^Mz~_O| z5Bu_$ewU8t5;5OFq%xn{Lvo*`u7O!GXAjNUV%94u!OYVhI;(M_vLV1^TqT#D$n35ZG+ zfk2-dhE=idZ?)X(p}BA@m9&~umZXt!)%&Gb1QyPnbKc;1J6#ACQ{o$cofpjA-D zGYJ2yn;g==0ggDBnzhe*;dA`qdRl+S--Qdre&^Ux z+ksSx|-p~Yhs4qc;J`c zyDhzmpY}n%nV;6l51~9CPdgj%`#77$pKY)EbM$k6D90t&KVNdOeL{Ne0{dk3AIQyK*K`$b$_0A3|iQKZ2)CIWVD*Dm;Pg<&(GZV+Jg=B zKfeAH-cwJ%|FuziNSl5CYd6w~+i|%*Uw;bkxu^OzL7KRXW^nx^(zEyDIAj37_WH}J zUwBVG)zdFMMk7789*paFAEVCsI`ynGfbI1q;Ak(VG9E~I{lBQ3Uj;||5fAHSB=aY( zr>~2J_w`eJj3?HUw3*$WHl2-j^L4TR8lK12k3o)j20^Khw3)syBE6X(d|fP@7m(t6 z7}C^7+DzYtf-&f>hrSq^XaznZ60CYogEB z#r`m|A00`3q|NmG6xB7+=j&qOyo40rBao&((q{U;Om$84`MOv*Zz0w9D5R;+XeOS2 z2I&`T7va2yRL_X?7>)E~+r@7{4#-Ql3*uqDjAZ`A?ZVgV!nqMCzQ>S<^(1X(H}+3i z{r+7+uAjdh{h(dOeF^t1vES{oglWUa#iJRcU3Vt;DgPQg+mDIi&q>KSUY%c2{}=`) z%5fYfKBF1?U4?Yo?>ikqjy!She)o(@Tc!Gyo#2>X`X)s8yb|F8i(h*^#&tHrrSmir zTsI*XaT(3vnuqi(F7nNAN#BI%o^uf{*`om$l$)L1UOL|+!F4lABQB#ET-P9-xc*r7 zB2Qe(KOwrOL;AbI^+(LlKK{*^Hf-Yu#z8*s$ohYd@?9J94f9;C%sBit%`?Jh&@1g< z`$E9+Pi!24zU!2a=V>utC-{rS4bQ)#oZCU?nk06>YwczSqZvDJ-ktRidD@}(&C@Q3 z8|j-6-Lo>nl@~WS1n2@>I%g%p#qo~*F`B{kL8NDKk#B}e`X)s8Y*2Y4q8~yo=;v}B z?br6qI>pAzvAx^i%-O@#Vc^yd;oFA=W5xw-LHH-(rW~N z4k`VJXsdqgnB+Vjb&gI_zopR0`WemCkNsNK@8ns(1Nbs*wjYze3DG^=C&&1ATzT<$ zD#CTz#^GrRu8*QL;xd}S#r`9Ui+nR&(l;Tx=Zwm`71GeV{$bEJ-k96gd)r%CpEgU+ zl*9JPa$bvY{A-adiU?O;{P6weY(J}WpAuZlP#SR=&ETS+vbe}M!zFzaqI>v$F3Y z(lh1sLXPF|Ja^&ya_^~)a{8-!| zer&#v_~CQ&Z2a*3Ca-Tce)wJt)A{}cDT}{Y{BR#W3=$vK39~NFBU)ZCFk*@bJ~*n)Bg06(Myt>&;v(M+m-J1D?pdYs=*MR9 zW9gZ4?u8u7Sr_5dxpoOo`iD4;X7b;s{2o8#iBtI}ME9^9rVpqb`8zj$EKU$V7B`3= zyPrq=a9>O|es)RUTIn}}KNCuSPL$~vi=P9M^Z3y@eo6gTAwTPHG*ka|NYDC-JnMOA zXf6 zIVXJ&s$3)db6Mz@Iz*XtvG|!IIgcNm^O)3s4f3=8MlPLDue#ke&C4Cd3dzPv^w)bZ7W9gZ4 z1|Y|BRz^5=E@pz0{R(j!&Ey|cevcpW#HsufqI*~l)7PpT`8zj$EKU$V7B`3=Tdza> zaNk=tenzD4Vd*!5KRcEFv?$Xr7C(C>=kfEqC6;MUb42BR8q&?;$I>(9JO(+||5$`m z=cXq1`%KW+W;Bz3i}HK?kS9*%pAg-{a+v;-%8|cwgYYvRdreWT6N+rMTcv+iW;w9xlCyMX&b<*Qo0{PjwnxSE}3>pa=SP8`1&XGSx2 z+J^LOoROzJ;&mtKn-JZ@8+?}MxbotxE5fDoZ4+Ggqcq|&n!&Xl=~-Olo8gka3DG?_ zsJtbj93P?ooy?7PYWq~ce@N*K>rNbxzR+ad$>cNZPV!H1Bl4Kv5DzONyQtkate38d z?4t926T5J{q+N_=?D9C$X%~-c^0bTcPl)bWFa3(YM=U-Ow-&F!Y3uEXGw!F&#_f>u z?Udee@nkR7&+U%tb1dpl*e|m_MlXQ(5Z&`kgex!p_C>h972$da zr4g6W46a>B&*CEA443pxi0(NU;mY&>;Rx3^BV2tbjkt_va6O6itpCXqm-0`D?s-xA z<;O?N&+xzb9sU=tX&iTX&i{MRka>P6rcKZv&J86`yOM`Gqn)C&Gjc9ZdU++0W{~reB`TwLm|DTlS|D*f0^Z#iVp8rqU?EL?kNay+g%$Gg?|M!lL zy`Sg*k8b;oO+5eqpN(F#oag_4^X6~-9nb&275Cjd|9?L6!vYQG{|{~4I)L|nt9_eB z?7N`-k5)!`emrZf8cE z_aD}Y+Nbq@zTfhVZW*+5`*#e6?*cTY_w`fH=woAsW6R){aq`xMz_3(lz%#Nc!l)%7etic{B0c5a|E!zCUn%PQ7*vkMsTR z>PX+X+5NHMEjYpxb_DhB1CW0F*4xdWgBwRa!RtLAdf4oG=My6q7t0=a!oH7k>!QlS ztUZ^g+(tj<`q%J`k3MGKdl=i;AHG8Yn#J>()k6qzd{5$m5N{tD{qz&$@4Tsh1L@M0 zgSV2d=&9VA#nau=4t8#Eb@;Jii>u)sgZ6#+3TU?cJ8yl|?6q#ql25Xn4-MSAoOI;w zhwmm`@YyZ5kUp}Ya!a=ScU)rmny=01nqs@EAD;2HmiU^-iTc$U?0?vQ6b<&}?vL?rCeN44 ze*b{u#l^lqKz;Vz0yviA)4<>k_G`7l%8pT-LqaWndOx%jzRxL%!NTs$Y9^?&^FK8{~CG&{}G;XgqyX_1HDV^|&>! z9|K@Og61|7#Uc z`aP4*`zEI2mD~%``CUAwe~9VZ# z4mdxpW%lDYJ6CHJ*74$VwN9blm@(9y`u=@OMmpC)xqmc1SL>}N(4L&DM6(`WJ zqwUKAvT(bN{c~_5e(lfN!~^>01UY+OpYM4x-*lZH<&^lCixl0IQRb(7e7+5@a~+HG zV_Z+pv*P*@dF8YBmWYq#BfSA%Xuo*>0M{Xxyf0f6pY%51WBrM*3zT?_$9qTf#4BIh z2Jpl;KcqL{-oK)#JMC~ z=jWa9Oa1(&z}F9e5-0oZW;kirte=fV{iGK->Dl(WpIb(G;_L+_F5_Xxqu_~WMM%Ga z^~vJt2z>nnDDkWWErUmT8}RUcgm_j3eaSgkr@<4?nvi}2YmvpH`_SZ^u(d(1!H=w8 zGy6zy10LSz63;qN+Q)d<$Ils9AJQA*>MclTIr9OO_SpcgjD4iHA+Fe-h-V<^OU}XS z4du)p3h51a-i&nOStmRrL9VcUq_+W24SI-YEa*$l!5R~u@sQqt$NN2F21Knc1t`HL0@tX)>+|sCZspmN9!x~ zIRM7^VgFFZKGNHOhwX%To)aG9VIO>y-P+f_96a7`_?{K<>@SH&dK>U`2+x6_FF99< z8^zh)4~Fyx`@nqowbu^_&!He!*k97yfQRjb_IV-b>o%VKq3|3I=?!?a&Q?DwJV#36 zk=_P8>_>=){W1F=%y!qjJQXcVS>qopSkAcVf84o>g59!y1^t8OilC$fOyVCe{p5b;~ z668=WUDICgH9oE9JHWHtrIubx&VOM%X7R5G*AqRfj8FCOK|b}Y406f&FQ>t0^{ffk z6FuvUPxa6*)U!6obsLZR`FQO0TA%273jehy?*)6jyi0mE1i5bGp~uHj*E1BZ15eO1 zW_*h0-QbC5M0$*e9v}Z)&v>L~SEPr}N2zD0^cW94K5n_5-QjwIXTtat595w{o(^)| z#zT*fH?HTINY8VTp7%=6Ug<@C?#?wAfkoEh)a6PHl z3z44pNzWnaF&=unUv@l)BRxkWJze05=SYz2HXeGsKXpAXhU*EQuhZH{_=AE!*-{B+E~1A7-)K*=6p=oBjJrVE(cEj()+s& z;Mji<2WjBb_n||2%0mplG{5ur0I9!U$@i_}=l?Tt{bJAmIUex&|7uV^|9=!T`~3gg z;Q9Rjk3qA~|H$Qj=l@^4{;fy({QsApcX^R`C);E=l}XH zYjtpueW$fbDXddeZ@TZ}T(_%kyldzY(zTnH+wUdqd~^Aoo(*g!k3S~JS^?CR!+R;(jkwEBsMNEhGn$O6*47gZL} z&K!4W=j#J)*w66e=l+9y&Qne8@Z;zHZ1?)Ua#i0~&e-S2&;4PaZ?S)+o#x%Mn$MA{ zx3B86{_pnXD{my<-F@Rm(vk5&uG>~O-ne6kbYSF0+efms|3=%tgzZk+zcjpq*9ULx z$EW6IRFTZ*In_aIJR$AtAH^pIXH>_qF^Y7{_%^I*A#R84`Eu0;Uf?oVpz(f$^PQDS zc0a;m6P#XiT67=48WhC!o@|3{SVkF@!MdEYf}5728C;?pRYfh;+oXHsE37X9`sD5y*3NirS7-c zEPQLH7~fC>zRB&k84|vyrx+hM%Co;p?KipoHp9YqWQy@UlH$7q^OIWT@xD9w9GlN~ zitL|xM7YjOF|J2bT(<8AxX^_c$Hn`5+I@Cd&zQ3QV!W4o)#HY<9EwSbxWp+OITb`!>cWx$kFG zIA5M(oLrw|9Is#gB=@b?wv27({`O=)jPGxB3K%9&%Kf2Z;ybES8*iU;Tq`Dri|@a& zUQ4GK7dG1jdo7zBuC2l~GR3%fKBD>Yw#nhzCS3cc7}vPt8s5VD+}ilAVcy4LAJZb+ z&)0(+S@Mr<8V&7ZePw%@{o3Qg{n8ZU-rj&)`$hZi;yPyS(|uE0kL{{Q2Oh8%nO|+( zK5lmF+dOFY9NfCm+U?*#UuehM#t{!Q?0vV3-N&L>YsWvM^0}Xj`@x;^I^&9z?cL>8 z3a8%xs_Ff&n%@7aJwCdX@8{rqkX!kFPEGIm)bt)#P498lw60Xsx>9YA^y++{+E>Ik z^rMOs-uH1HQFOiH7a-00sQ2tuMi@+d@2SeJsSoMq8(Y{X=`(GQQQ~XQZ;#_GP!SV{Dgq$MqQ- z;CorM;l9yH)#p7q`bG!)3}*$DafD`B%1(20^aAH5;@!BlvZ;(8-MiTf zpNRCXTOHnqD68jvk)C_DZC*`0TPmAZbA7IrpJ`q1FBt#N0RYsJ%N2l?$4UUmwq%5{=`gy?k6er17?Kybkkpzs!Lhi|l9IBu71$9P1V*oS^i$Nf##e_`0bQgQisX^Zrh#nm3= zANO%re#h{;waj=nEz(yOPxTV>Pml6@KeZA5rro`tjK>*oPn-|1ANv`!Z1!UV!w=j0 z9D`fKcr!k1?}69)9viiJ+hAoBOULEo?-ya1OBn}`3143Pq8drR#P)bVM z*K`<^_889T_wwvbJ**cg?cI^nk1t%TejGGAuC*F}I@FKfBz(Op4Q=PydTz38uBE!iHu zJfD{yFInC{Z_yslNZ*fId+~nK+skXh`GbyMkB?_me(roBT34HFJpKmaWitJ>k0%?j zp^yCv;3jeB@fTCZWo%%YL%eg)He3|yzBuYEDUucgFvPaSSUak7B#erX3Pua40 zIrnSU2Kyhi=U7;t-BzZ(xgGhwJN;M`$NNjlUnBWs-FNwrt=j=M%FTaXL2G5>?ON%< zI$LHfnws?I_{<&*Qcy6(AOTXb>vvJ()UI8uB zp1s`bRIaa+3~aP>?P?q2=Z=-pQtQvI|N0#Lo2E$r2XgfHPm%r`a`X>Ok^T=x`unl) z&p5*NWxG$sxjLodk}t}${p9%*>UjQS%XQp1-fzs&>wcW9-ku!2?!U?EU67;K{We*> z3nRU$zrwx{G?Vekw8KTN*PoxFAu*w|y!;9LyFX>gk?(Hh3 zNxxeew{=P9;jRmKnEL`A<}yC+FZvOOR{tm-ef`WSaj<@*+4=5KzaI(b%Vzc^^o>~m zG&o`u-5}qyz`SAR{%I=Qir-iGi{4*ux`C{e8<{2Envg5r!cS5qIw~C`U zzdvs)n&0I}=@-6VdojGR{4ckVkMa3>tm|o2KbIpVzFz|^gYW+=DSy1A{2!H+|Bof* zUoI*Cp9;$Ryvh5I^!%#@JSVueq_SWk+gY6cp~mz@l<%o5SQN=y`huMFg*oYXJ+i5u z#X0FW<)q)Nbl#`({)6>p+&JZZB6(ho=x*`7qQ<9oSZL3|Z>}t~=ip_}0n>YFHNBTs z(|c((y_Z(gducVjmsZnzX*Io*ou-b<_Ly|kL% zOH0Oioey@|e!Pdq@#7n!ZkHnGlkIM6JfCcd86M|AiE-v7c*x#y$3 zL-MZyfDGr3d>j9z=VlQn-~Weucs=)gH1^-bHz&y50D@n7t`}ZA zCtp8=zmWTZpO1Ddl$(1#8vRFnCxhG%{Cu>tq1@zLG@{AckM<|N^Y}~qEd`~&7^i;j zom0vo8Nc*g1;%6W?^BlE>RhxHLB3o0l5RNcBB)J&a0{K3vz|=NN)ok+#VU8{Xt*1@vzTI;W-e}8~TCw zA)R$!9Z09Y4u$K<`Dr_a=Y^2ofQRSs5YJxWIUM8)~lhRIQ+s74S3j(63 zgKh^uoJ%Y`^Go89-Ud8=4j(5t^q29#vsZX}a`51?;W;Eci%a5>-Ud8#pq2jO{FHc% z2cBcXvor?}#~F)f;pr`jM|vCZaNbEgD}={*;K3x%;(28b9?k=ZhtD-^o?jA=^fusO zKTAAogvWT`QG7hB_s!~||C;qY`$5W!ZXqh*YU4P_@6WmX=aInsd5%Z8{#C_)$X9LK z&-4zQW5;|v$8Npqe^&X90}t!TxbS&zb{=fmV2|%$0?Bf8ZbM=Dc^Y?%>UVpnKJ_8_ zVOK;Qms71**Y`^f-6MYO_3xU!XFbn;oc7!)`x_7YhjNnd@jZJ;`P~nGexm3WQwu+E zyrmyp-u=LNcGeF%Z*f1zzs2wa^U)7SLOHYD568s+fy$@7rs+O}6v>#9&#k{t_3`i7 z|M!COuS!4Xi{GiVtz`YNZvfi(Yq#%mf<9~4t8Iv1OHa<14}$6awqJK#zlj9K2j|Do zpXf6l`u>Hkcj@|-pzz0C&i}pYVd;?PILCV1b?{#A&mo=V%)!9JdDi;_wRpUky?P}7 z(Sq_TCI6{{@*5=oSV4LJzWPr|p7!NBn^Um(wb$Q^1lD)2^nb0O{6WbdlRV>z?VRz> za>Dn|uSo3rvgBXOkw0tcsr>7bpN|`F>SaHLcuMqlS$ZnJKyrVpeq>ePXYaN8)vVsk zf5hu+^oqKVpJ84y>-l|>5BaXB{|A&y`*^>B&py0CyA7$l1CjpwA@BVh z!z=0f$5r6ie;f?@x{XKwu{)}lf0z1&AlGm|>-Y{R-{Bya^rtNKV)&#N?URxzzN>{V z*ss=YJn$V=IYs+luTN2V_bbuRe@@-bNX9Q6Kgy0bzfltZi<0+py&i5?PxtZ6<3{~< z{kZa3^*^fm8;|;j zb=I^W(0e0EKQLeUM3a7i_v!2hT;BTubVK;1{ea~|KfwED_5;kverI36`Qv^d%wLlE z7UPKXA~O@>$mdK^DfdTc-}Zf`9|6g=zX1}ANYXsi6;HPJCJVc04mS>0jS3>?FTF$`T?#Fu^(VQ_5*7}z907k zQ`8R(nLX2fU`+PS>jx$x`MvIsoPOXyB;TqZI2OtK_!-X!xSn&d`M`;QtA0Mn748S5 zx1k^4f;Rhs*Mq)p<9~$v0l>tsy?$DDDB2I4lYHC{d{Fg2tNI&{`m=qqUHUvk?@1;7 zK)3RVCj9`{S=kS`y!QhtH(3v~eCP+b4$6Lj`B+{D7Ce7^Ur?JpRrdv5X3w-Am@oV0 z^#i?;{7UynPCu|Nl5f=y?2P2|`T_1Ay;wi6JK(B!2D!rhfb=%>12-c2*bmGO`nrw( z5$*@P9q*GJiuMC9NZ#}NdUTKK&wUnLA21&EXZy_d1KP)(^aBf&Pc-QV_&kCAfXjP7 z&_Q*X`GDm^KfvcMV{OwO3D1A04W9@&llj2Q;?D~|`$69S`nt|*mY&9W6$P;$=?c{1 zBRh|sW9g}UkK|WMp7zP!U-w4xYbEdZzr6p;%I}QicT4`6KpW=y-mm*Sf4}65_5+6` zUv&N9h~$qI#PgEmPZg9uBYAvehkmf<1zG!?x9h3>JA>Tpxssp%`K1Nr*GPVS zLHRMs?^bY7l$3w9r2O|v%Da7i-OVZcQPu+lzjXcWd`bQI7?|~2 zuBVlLn3v@}i*AjyUQ_?$p=o7E3N3mlr{h{^Pzx`p4(|FQ`VX?9f za{W2JvH0WV`SWNm?qe9p`Au)Ks{TAsObN!vq ztsSpFkG6EkdA&XdIo8jgKfAm?=ZNdq6V?|Jdwo>v4Y8a*Z+3nD+&Pxp5b5*h&9R(6 zU*0Que;(}5dHwk<{hXa|`tv1^pAX?G<3}`!pDv^`epqfceoPMWb0t!1h@UQAW;+S@ zGqe4+d6BRG`tu!sZsYe|tY_AK zzAk?jsf?2kgVKJYiT$oZI_(#)+nXHhcQvllew3qrU$=Mrg>}T%_H(&b_H(&b_H((i z_VaadU+;GNv7TA`#p|CR!ByH%G_l`2q|<)tca#3o%6XyBLu=qMf5yD~+xV}&&V7&B z@1Wlbp6!b5hx2UXG0*;3NYDMwyIqIeKFDRu<9c=|?{?IlB(ID~(UzXY{yP7vxYb0KtzNj8DrYF4l9srHA(;zW{w3BK!m4 zdZK3}(sP&e3`vji&@&O~8H@DnjP!h5dd8*4cE1cn$`+ZsVb6XN2d4NY9Z-&uZy8EIr0UkH3%Rc#cMTjv1fgVH^|Bi$Sj2c<4D5 z;W-|zC-r(M((_5_IUzm9Lyy1z<#oy*G{Cz9eb2eO0>h+rOseRUhr+r?P9^;|M-;;7Z=OR6?M|wUbJ?Evzcn_Lf@ zdA47x8K2_$wDeSiT(|MigUQzg@!S#VnH}l*jP$UdW4~uS^!WQkj%QA!rz_I4PI~4_ zkMYp6A;L2+(sM(khtE-H=lRlOJoNbcJC3I((z7Je^I7RxEIr0U&#?&4(n!yWNY4Y( z( zh@?>N#y>jxptcmr$emZThKjDYg~l=tncl#lxunC~zIisW+~ z^H5>td$C}?zgM}-3`n{UAYRXV2-g`mqKUn3LptpRHTb3Lc_s&YabCgoJj&5t{{G2s z)Q|HPx0mnd_H}gMr|eV@GReL;GC z+&>cO-5|Y(rPp}qT_-;sy)4*Uao5tn1Ip*Pq_<_hapiM6sh-JmQNY8mF`k}QzOr%X zeoFSU%1$hY>+Z5&L;QL?(eL!P+c{p}+lZ_5muM1CE0E53;(b9jo=gt$#Cap%E2A9s z`}!W|J=u85+i&1_XphkU;~&`VCFS>c+Jsc%I}zl%jfda&Mt;{g)6#!@A&&ilLPxiy|R9Y*9rS^mGu-&{J?oN{ebYsFKyQ*2S0E=O+QeM`uCv&VEsyW4p9;f2T#n#Z)XXY2>*ZJE#O=YG&Qoa9q| za@zy#mGwis-Z-dwiY9(oiFEpb{?7Wrz12wmGu-& z{J{Gw`e7QgXWE0w!4JG|q8}(n{l0GL>xM{CkTr9;q8eA*AyZ9M#NJo1C) z(Jk#6`@#2Fxjpmz;PrDqXdal9*Q!0xURgiH>z~7_r)c7bRY<2FI+#7<2a|&zxNfux zexMxn`}(J^JGmeHxw7|5{@mXCrFr-#+aAJm_0$iKKs)`Q=l9*l!w)Cr2Y>D!_t$y$ zd`0>E`Fq^I*w8ms(<45ynOBle?H#}!E8U# zDqhT;_(T6Lg@1$p({(|$%Qjs%7&u?DzS}ak*E<4k_0QF>STg#T7_X;0t>K?H-ncyJ z*FBx(bG~sxH1J$^v^UV?^7nwUVq0V@t#EfW_ZK+h;@3q-kF^L zV#NV(NH34~3&PtqNxZM*;5}{WojNbBvmW>q-wk#><-y{Yju+0y_Weo8T|Y^@XOX{| zeP6ZoPMsguSr2@QZ>e2Rd4o{^@6#sOUSB*(ykWhhF@D3mwX?oTxP2b$xI%h)yk8XF zTPKP4fZ=Jxd(hH5tv$2d1wO^M+pecPSo~VY!lX&aM;p2>(7V=KE)T( zQ{G?{z`Mr;+w1pE67NyN(`erpg>#>1;8T1dJ>?BX0lX6?*j`^hNxUnqf4saN?yo!R zL(&uX+kXG;xWf3-S@&_~>o%UxdVboQ=&xDblGC{yo%X&J*AaGGc`5JrmGeWs=l%Z1 zd7o!H@AEz9ecs_b%Vj@*$^f+2Cnjl^N}pYknT=v#O83*Lw`PY1cS(Ale#=En?6#edwDA?y>)qWly9zR zmng^u<+dts8RU!9Z@%>2Aleg^1J=rIRo-ooD^lJP>EpOX`}B%}l&ary$QLPZrSz^6 zT@#f9_M-Jec;MGQZS$4^$hG$0I_X<4xbj9IzLyALE<# z+rH&dexIN^`nY|Upk=!lliqRBouVLx>dE%Tat8)?@VnI2!O9MP*S=-ByQF`&=+mMg zh068%qFjFGsXDT?SbZm?{~6J}QF&l5YUj%+5b8T-cHU~=m1|DEpt@SV%* z_%8d-QFYt+q9^J9p733=>SLSidxq64eRI_p==-WWA z0%gA0y8dp^4Tm4z{vObu?wEOMF6i0SKY!}Ipx6J=yPo_B(5E2(cF-Ow(9xT+gU}{IR?4Ha{-8;LpJ!~NlnD@<<7>UB#f_t2;BwDJ}VKDvhd(nS><^*p1xCX_e* zYL$y}5GSnfPOI1O=!Nxq;`Rj_czxBH(N(0IA6l`FbkXW39wJ?Q$0LU8ZhUAatKa7{ zdHU%;)PKfo;V4^w3k2k+|LMQaY}{e?dw6s!ziU-p(7oev(uccu@H>mu@yd=N&YMlV z(*7-{{|h(DxWDfBUZ8D%C;qR*pA-M*;{Qy%(%b%4{C9Po_vz3#Q+j9qjri9@|5{Y# z%=}C7uZsSKsPxY~EB;SK|3p;f&O9Uje~SJOQQpTB$17+^U5Inmm;J$Ft9a}0bW)7p zhk8G9GT$=ru%GVJr`He+;8RL@?{6%h_!XddE^Yk~zsp6rW|Z^qX6adZ>h&$$z4x`~xNBH|NNsIW_c? z^w-DG&o`@Yo;-r8lstm2l>Bncugc&-kd~6ay`+9DCX~{D2Np!i;J>q^{a2Q(@7*Q! z^SNso{GTX^XLU*YV-i{l&nHXjzqe$4?=9)y`%2n>ZOQt6rlfw}ca`z)110frKXV!V z50=#bP)YrLW$jZk9yXN3zftp=czn$3Z#R|HKUlK9n@j2+DrtY*T$YN5M@s6)O>8Os zBPH#Rn?lo{&fEGna$dBxe+cRLF6-zhpEqE>Haf<6(r{&TjPqyRSJrf2S<`)GP4|^G z-B;RkP0r{1`=4Cj@Od=bQIYR{F2TOK-$C5+`8aOhaR1icwgK%N)ZT|9_2;~n41PQQ z;qzUUTYn4eLSD~}a=-ftbKsZmzhc~Gd2Z069!rLtf3NdOrE|WA`sIGN6V<>E-y;li z7ksy~UFXG?^!%s%diV?nOYburqX=|$`#y$$wZe&XS}1N3zp4?L&96A$C4 z86I>W_~Cn3_)Gin{;Lcg>21J6y~NWS^d;Zj^f=M|U2^Uo+Y#kOlYOvnK|1%ry1bve zr*@Z|3t;)MFBaQ9ZGSTJ;ZAk9ia=)l&mw{CYz0zTPq(*I#yjoLT(pOOzhDGh8F{TU z6xRP@N&RO^%DcT~FZ+HN>oYIdrTzg?sKqbs7j(aD`-&(pJ<0ce`23juqCFXJ#v|Tn zf7)H^a`s(d>SaHZr7NRyy`8Rt6ywjtk#9QYkMx_?@f!5F-cRP}<2r0JeOmXk{*t&l ztiTlKXLIze&C#cI!d!g)Ir`S+=;QOW9_;@O&x_Ojzfgl;yPboD_w$l|*V_l%G3`aW z&_AL{d+J0w+f&?rOb+b_m+eQnZ2K`5e?t8`6aUPRe~5QJ@P_s16tCjO_OZ`JxmQAt z_}FhCu9}=@@D#2zo@c=MAL~OuG2VPx_-UUZnfkX;12z6XcTbq)tS7 zy2ABD&wS%kJ*+47%nNeK_e~Fj&*HfuTu<~YHa^wE`cO|#kW0QxdJ2425A7A=IM}Dx z_*4(=Pd)0tlkbUo`;X&;{UhZ?llY)rn#G67>AeH^F*)DZ``6G9r0+S7AW(^)>7dEH z1j)%bM?ZsQO7dRlPURaxD)Y%twtwBn$7cDSR=($yPkw2cZ?E!sKP*4A%(q|p&Zs=u zJ;~?&B`)Ka*3aJ?4C!H?Ki?Z=99Vm^_B4A;{h{si$946y>3+>skmmkiz`(D)?(Oi9 za544!iQPMs@rdb^XL*dLZx~JIpSL1E+vgffZ`6Mz(jWZOsNemTr{DXL82>TpucCb7 z4}NUa@BYoxzb*&=OA-Fy??(L|2YLF#eus3ws6E%3u6>;s**E$E9Lu=YeMkM6;SAq( zV|#obc$~M9w8z`P)BoO%ZDI%i`S=;0r{R0R($fV>J*3U{pYl00<1E(a<7aptm+HF` z($q)VOy8|k*F>L>pW%64s_!aDQ=idHJ(nRpJD%a;Dt_(tqq0|c9+>L6T6&B|dThRk y>sYU~_lBuwomIHq+5zoP?Tjx{UjH{L=U2fo{)vb6GLrcd+s()I@LV#*_x}NIOy@EH diff --git a/1ano/isd/quartus-projects/Teste/simulation/qsim/work/_lib1_0.qtl b/1ano/isd/quartus-projects/Teste/simulation/qsim/work/_lib1_0.qtl deleted file mode 100644 index 56757094cb733870fe4b14da90e7b94689415261..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 78010 zcmeEv2UHYE)NWVLFo|IZLmo0HIS0wvtO$r=Mg=n}3S!PVBPxbf*PL_C33JXlD+Y|1 zGp}xSHO!z0yZ`&oJMWyGb?5dCb?;ZVs;g_dtBd`JVTz0O@&+&r^Q~BthY9|$dCi0% zSI)kMInW={rg~~`FX#9`%3DyL6vyRT)ACkCz~&vIcDphEyEu!nd>Dg*K#$`gJ+2%g zav>^-e_g`iV#?rXN#jM9!Nbd`zr14=;P}kNFNs$+POUQj2~4&6+Hkn@PE`=X;+r z`R4nzg7cU6sDeD-!*+k_H$%$!CvMfwcVimoX$5hfRuE@Q1#wJioEH_u;m^;q{f4hc zW%~_}r?TgH4#o9v`;7yw-y7Ri*X&PW_bEUJTWj?T>taDO*4Od@ehV@pvm+zxDsa`Z>}1 zF)TMelvlqd<!JlC=*(TW1f8%s{;;_)FN1ND zpH~qv9v9c+ffugNpYin@=yuBYq}TJM*btBVh)_JvcO?Z`GVlCetjx2bc6^-gG)`In z)|_2lKK0*&mRm;s6N>%UuE4*L=8^Y*iu&XIUn=8&IrUE{_Ftz0|MD{aZq!ahkLYns?N>^hA^k+93$CUP` zm9#wwh4#jJm~X?kdVdA}cOPzEDd_aGxVTs%5{&zSsa#7iinzh^9Ud2dU6SZ>=M6S1 zhB*7NQ)u5+n8JAjloisSNBEfkM3VzSC*mK+`Hsu$sKp^Xmiq~7wq_VH35zhb!R3rF zO~KTL%7u)UB&l6ymXHxi+IcgK08{(k_D;ZiIoo>^8bsxtx@G{M-YK;c&@A`fg!XJ_ z?*%+|i!TAVd>i{}!24pJ#k51WGzNHH?nmX>si_)re=6_QtxGqs@7}u`ulFVXyf_>! znhxp?e6OsGUO=-_y4wK7#Yz08)Q_Kyx1UXao3=LoY3(rWKM;R;2jFin8*i_mfi?qe ze5zqx8n5$7Bf4t7pz`%rSNT@sRDMJS9!pC3d2=d%Q27P%Dw`~SFRZ{UQTzI0Zs0(G zh%quKjPWp#4F@NLlB%kPwtMzl&z=;MQ{QHQ}LpC<#K;WgoS-`?b1)~KtUcrcf zwpK7=pcx7VsxBC!V5C5E6pRe$dJllbPh?1)A|vV*8B@2&#Du{o7$ZQYCJgS`_`uABfop~knKKelAr;h2fGnIC zWT{UyRt%g446^1lHdtc|^C=;+Vf5Ptf`{J0s*RO!oVpcK#nH3Kf{5O2?LXJ z0dh8BOvr(Y2?Nt;0dh5A%*cV82?G~40dhBCROGqkhckA zO%8la@Tme4UlYcb9Qc_qcI3d{gs~?F0Va$CIjCmBR3Qg}CJaoP1SrUaaU=)9CX5q4 z5TX!;95AC$XTpXlEEb}= znx*P#ma4B=D$b07b6kiTXqJlCER~>HD$$GyAf*~=mP*nr)kw2cV>6~2DV3~{2jT-1 zibpXVx`{#_tj0D~$V1fFW(s+z8rxhU4^v}XDCFU4Y)geaqJ$NoR%T2jW`!t46K88p zoNY95wl!m-NU2oKQfZo{+G&<*Z^pn?K!`eM)~=&w?K)}JuCr$Cx@gueU9)ywHEY++ zjENx;yKCai(8Sq86K78|CYF@S)GU>yS*n+2scbW*Iw{p#vs53=QhhZ`^)q8?kW&3M zb230PCj&KeGDtHggEez9L^CHtHFGk|jHyW?4%fsvLKEjmO`N05m|CRNXw6b%G)s-u zEH%!IsZC0a*R0(H&Du@WtlcEd+D+E1U5;k$rfAkK*Nmw{B2LweI88I+bj^r)nh|Gc zMx3b`ah7Jp*=9^#67et1h;uX}&ee=KPc!1*ni1z~MqHp7aiJMgk3?L=NZ=xi7Q@v> zh;K~^;W~nrau=Uv_~Ns?J{GWOg$P8?K}<-2$4o&TtZ)${%xl?1uJ^Q!>+DFa42J_s5S%-wVyN#tiX( z)nt?OQ(r-(>-WOm`l_>6mjue%+O}Um=z8J^<-VhCdnUzh`A}$CYqe-;qc6iZjw(2P zRJhhIw(#ba>vc_LY-w4ASw649o}oTN4?kF;f8&U!ueeILTZg7J4!&uBND+KXVSb}? z@Qz-t9V~s{H#Dt3d+JEXK|ie}*7uqu%^lopscqw&ZjGj$bxV&v{cYxgfg9s= zdcUZBL-+i!%pSIr;4Chqd;*G0D;w`#F+ zcdNg0$H^WX+uhcp>xhdlZI|dyspHkNdUV5-1~UwVeUXrudRruNE1bsN4rGqi^A!?0E^Z%=sCy71gnTBpkAfW|%7 ztn{!mnlR0Oe?s!7{?dR?XL^xIv!0Ef4ekUhS+tk&gjqUjK)%-%N7x=fPQvJAwh3Ep z*Z=b0QQHl+)tA~f($G1x8^O?Sq2ES_*j1>}cGmW2nZtFc(Qel6@Gt&;jX)$0o5JM{ zH+jlq7TK=%U4a~Tpwf~7D_9S-g9U-_3f8rvL*ABm5R6u{F6{2#l&`#{TF}ZD`kkda z1)>h_cQPk-ABwPF;AtPdDA+o2tBZB?a<<_f)-GW^X-x^Er-z1-s~G%3K=yDR;}43% zb8Qy631Nl>A2bKW=pkDcIkVEkDP*cXCH;e8=v@50+&g#8#cDM+Mp;}n&E9^bmf@j& z?Y|Yn|IXt`;ievlS=YYlQMR~PAilK^5n<^U3?4dt8O9QR1Z#Xiv-}hOu61et2rcI7 z2BuvPq4?2x@%nXbn`xm}qtS9fA}d0Zs<9nYFXW5Sq|K;fy9;L}Xwqu7W1|b}q-at) z+wt;+bu#`yn?I2A2Ri(L0*y~+8(&BF_vUtFuHl)DPAKI=XYdJ-oCn) z31}rAu?KR8!ulZZB7lp$?xT?x#cZ`{$lgKRk~zCvB3{&%)jpm)n(1yK6+hHw$E%X< znC^{b;)j2;<9(BRGTlFFiyz))$8RFXa*&#blG0bpN#@=36Jg}E=`*TPq+y62_`(wKSdn_8= z9=lA$bg|YGztj=VG$#fj)ri5s0ZR7RNp$` zV$N1&1Sv*ZArr+R#)2T`uhzy|+HXb8pXMKCRI^O9w2@YGMSzrfg-o^Xd&wtvop*-W zJIPEd%0qrO-fAa})~Cl-YBttt z$2Mv#+$sQmpmy zl?lp!rFH2xdb$hE9lSG0%mjXPW3tY;E03&`y>EDA6Z)R*fv(&^S5`Zt;hpspE~6_; zhc!i63q8@1-t5Ppdf2O=)Ty~|#)_bitiI`!B{B!~~R`0>Qd*d!Wb3yiEvp;&+Oge0F02|y-9Q3()0dw}6yL9U? znT26BBv2VdI|i^j2Z{qf#fvfr6}5;Lz}2Qk-v1S{tqlf}+m z>VZP*d7#Ft(3P4pG<-Lk&=+0#IqVtAIv9eEY!iMQq^ntTjK3Itd}W67Dd+Mzr|&JP zmfa)?HGp93Vw(7 zehJ!ej8?9RR*Z^vBUvAEzSMY!q93D+r_hDz<04R6w-EIBwQ%bhT@s>XW9ahVLJ!B#u6yEB-;ERh39|M7fEMs9d5y zl%S0)H_>GH{YQzms6?V8%T07-WxN>*FBY3C<`=&*$pgC_$2a{x*MB*5IVL9Z({f7Kn%^7_AaKAnHi z`K&6@`RbS3`RZ4$^W7-vd~v^`mHq>?)|EgTS1#JP%As9c(%%|z{cTK{{$};t{)Vxg zk^j=)a4%HvS^uKH+5D^i*5D8QEncm^HK<&F+fvfs63QJuV0wf8(ePngiQyxm+~Fgk za>K{IlFpa-YvD}AbvdXx^ge;k?}{?)0H^oLWWk=m(}RJl_n?IS-lH~zH+ zMgO1$t5l)|8<*RHjVsrJ-9GZu#pGYnO8x=bs+B;STrS$=%AxH;(85%p3D@6RG}4?3 z{Jy{8Ojf$Tk$P0Jzd8P^{?_CV{jI55e``{?{#KjxH^@mdE+<{f@V6mXj8h%ZUrlNzq{D=u0i}mZz-eep5DelYD%)@6IBo>LJEr zJk!KKxz?s8g&VrpkX&kC3i7qp~S-sK5C6s9Ia>rPCku85s5I_Vw4T z9-19l8P%kJ)fxRhW=GYkQ~T(!O=sU5559AI?db~%QO}**n&q}=pVg*LH|f)!8(x3( z2`h@a?NclJ^WYyt#R=8_-hbJ{IPY7{{*Qa=Zhz3Q-*kC!aEo{0Gd&mhWQ|zp+8}NB zv1?O&21wfXc#*Vwe^OG%H^C3j9r}AkzTc#QHjf zJMOhGAJ<@k^ZS9dEq7cUFfF-J(47L=h8n2Vi>V$<+4kwPH-{89I+AO?IN;3jy(2UC zo;&SQT^`-!-qAhxnx!7Akx)2bSg*OyQ(J^oKUqCKfw9Y8Hurh;!>dnxTk|%1q4Iw6 z1pj0GmY>(2Zgb<#{=5Y(7~NHu$B34Xw4O4eefKpJRq;;QKkU70w(;&adC)EKuoLh0 z&U_)UkT&xhFfyTE;;Os)OtYT%>legjP5s>I_?0xvm)k6^uH7GUcYn(Rx0ANV7>jaa zLTl$~{#9|gJ_O_S~#r?wgT;7IexuTQtE zh?r|DOXs}(e65cv+Un_tuXC+Wq&Oyg3>cK(F}qm0(Dh9HY2$}J zeAKx{MB$iATMq45wQuCxq#@pg8Ma@G?+4!2yI12chplbuEpJeF&RmncFxyE6wsr6N z6$J#fFP7|F9AY(U)sSyp{x)$xG4_;p%jJD_#Tm)Q3s!7%6HOXYoF0_Z?9f=xO9n6R z9|{duuJ&*C$+}v;p_OIKu^oA4VPBFXKR4aul^9U_Y*3#u+3${Kw(}dhwC)S7T}#%b z9*%GNarNMvuS{Or+PPdg@qX-z)PkP-Q=ZOGnso75(X$SPjXU1FaBo}UKr3<7tDd(G zMAxbne&W;aFLi%f>(ow*?tk0(c2NVrr41yegWq+0TI1UMq!HhJFKfTAb^WJ{>GCHb zUtT(|n=?ZD&bMUuosXSg{E!#rS=t0HZMx!N-(Igxaw8{?)_LN-#r8wbjF+>&JJ|KB z(fRGk`ORwYPt1?o-u=|n7IPevjHaWmGopL7eD7}JQFGnM8Ix9<{#=o}$?{72^3Ru# zY_&I^y>*e~Q)KO3KSoy1oxI}NwJnMl``2eKips|;Y9iHF)WA=2mae1`l&q-XR@`V| z9@b-sFom@QVoYIifdo@KOr?~{FomT7+L&U3*Bx-YoKhW3;m$N(oWWMblXzWBhhR%R zOmi^Rr__K_C8prVm{Jo;O(`|Q6n+cXVhZa->@WpChE#7(sftnyN-Zh1!W8UbB@vW| zpUQLvk+7Y&M>bxGBkaz2WaE`T@I(#t`*;c~qww+pZCJSk6jmw$73169>MN4Cf?5(- z*TUcxN@?w~$;zYdJ+U=j=>zyd4l6rYOkrgoP>{lkCZKr5mZUkB0}sFC@d`x=BnDv~ z$^*rOl*9g0VFgioPj1CgMSfdQzh1a}1%Am&rFJBah{Uf9?v~VldqMjrSTSrz$fP5n)%z+c&wTow6kL;WtVz;BB|QT3p`lBy?00ZoZ&7z?yPN-W`% zqPzzIKPaP38qn^&vTT5I^%Y6rSxaTwp##Np3|p(WGn7{EKfmCqQIFtgSSy9BWQ(az zX~PEpS8#QtxG;!+hATEI3Gxu-HHhHA>7gs>Z18y^s_=a3MB|43)41iePp7tI1z-Cz zEBGqgKAkC^^nZ${qW1Y8;_59cw19^b)?p_6P?Q#Ew@$xIB z(4W=E&3NjEA2)e@E|&A-EYOPlBv3#6IEh&j=b!pXq<+-LL%{n_{rvx0FEVgJzT4Q7 zm9YMJ8J+rdkk2dMP9=7D;oR@+c>5ANyi^YCN>>7t>$s#lb_gqY`SbBJcfA%r!52~( z5BYc<+w>&kY)ad7GM=P%;rx-6&}u7_4WIzSc7b_=gb}2}^2;WFps+Ml59^^H0tNe| zN>Ersi4mm38lFf@VJRq3xc z2nTsDEPxf;Vr`Dfi2<7@#1j~hb9rO)cv`l6W%<`Dw6JS#B|6Z%1g~;7ZI3(!ZV!kD z^CrCZ92SG(4~w9F_3#@Vg#CZ;1?`910WKS`qCJMgr-y(Cd^}#F7b1cew@V3LXm==& ztA{@IQJZ}%{vLP-kJcYPK|C_j4SPy<|Fax2zhLi1?RlP$%bhRWc>-~X{x@-kTkISELIam*IiT*cn@##tYKzo26!v7&I_=UKk)Sl=0xKJM2cRl`wxJ3V( zxUk+H{6u03aS8v2xUfhb>|?1t&+~C9&3lUV5SQqG6Bo`q@KcNWA^acW!g&Vv^{741 z^KqdcL46(Yi2!kl{x@-9O+WaF#}w*I_&>yj>jBs&QG1@}Q;`tRylIOVTf@6e*U@x^=gO* z8i>Q|0r&545HYqdO9$ft4a)QVB8|p}IPfMJk2@7Npt{`JI;GaL!5v5Mjwoaa32oxu73Zly!p6& zncolm{i70j07il5`}}>N5_vUFUiN+teZ#Ij|I!=0@J8RT^Yte$#Cf+-*ZFYo%K7@^ zxA5@Wcm5i>RPIGNfBdQ)_o7@5B;~))e;N2M1OH{*eKp7N0fb!hW zcoq$%a-zp}+~XV;zf^%QYY4>8&g zPv8g2Kf=>CT+VQ&kSkw6rdxu%@zf5i@C0lOm5=rTf!cKU1GYECFWkQeif?xjHLgz( zXV;12@gpf32MloiP24dpE|wy~3sE=Djzz)v0P@7?OE+rcrthWGw`KVEBup>B9_k^% zztf-|k(k5Nb2mK@V;t*gy&jcQFDf5B8e0RdNK66O7|QcF$8vluk>hrt_K3*U@WV7s z9Y3+d)35UIgFWCkr1*Kf56i(z<)dkSJCox0i{u}-!@Dx=5KasPCGFrwmvgKfksE*h4)6sekB?>g{lhw!?#R>P6+FC*X2`iz_ch6DiN* zoW$|5M2_2m+9M)Y!ykq1)bSHL+z#d82YbLDOY!q~@0Wv@%16`umb3%OKW>M&W!fQz z`X>Z`wV-iw@G;ca6H{mh!mHH-@&NVV^rh{PMeKiV2e5~Fbfo@k5xdg!;T~;=d*#%N z%12MZ4n??}`nUx30sn;HuL(5HbbJi;?Sm<_1L4)`Q5(yl9-O|k9pE~l{G`}V7K=O~<;Z2!#s89V9g1>gtIJ5CF)VD9D&<=!G zt4AD`Lp?ZsX*&!d_P?GFU=Q^;M*YVVyV7>hCn zj*lgB+z!+p5xE+ED6fv6*x_~>5FH!tF-kas%rSj1;b>X|^vLp_Rk_jyxrz~jPC0Gvihj}Kn3*pu3)fCI2 zUYx$PUB(dmU)u%jp8RDZ*}wVIIl1 z4=*QSOTO5iM2_o4+YRxmdNj@oE>20i5nip{EwNs`-duUM+9Q;&1dL1qR__^{@w4U-j{tX--OXL_owMRs*hCdbCspBVhf4~p+ zfPYMx{y_Qx?hj=*M9ak1aQn0$?y2gxPfM3Uq`-1UNqPs4wo6SR8>MC9i5uJ|O?EC{bd6Q~XU;c(^fnX14$%bik!?h*>)Q>;)L;cy8?}u!(_KP2X>c@}zq5l5h zC*Zeu{HPya>WBLKgCGCj`thZHe5fDl?+AKlj^u-pdb2|)kgM{~oyPWB}47zQn8 zPudeV7tfP^f~(2#8TO?A;q>u*5Yz+1*Wh_P_8D{|K)ydx-ka|al=tD=gYv#5`QXa? zVu$3s>BRB=R8Joxc*AadWT!rxEFT|umf(Y*A>iHmxW@&)0i|lP>7I=r-i{B{%UXML z)+K~kS0k13_HBn@wWmReQ!mcit0ggm1Y-1lkl7Nm_HAuQ6}@bNNZq~t;0aKPIxW?P zBnYdyn)yR+O4M!BY)E=xheq;@$lD(}MTtJBoegOntoP=sf1WX@U;yKBV}}3M;YDL5x)=s@(dYXB8TY^8Gcm&(;OKi13F(u zw{?`!Z5>U>wvNJc3OV@0yE@{F<{O0^|E8l1-q=x&e{t}fzCsQGI77U}qa4CePN|SX zFv=M!SlUyS16Qz$CR>%Vj$A<}gq&53k`#2IDp#(c zn>x!PcZ57tWJgIMzQg1Mc|%^DrMEiEA|Hf&RbK=3ECIMah44<*?7t( zfR;sx2sJb(`)CUB>K)ken?a4Zf{iuVWXd+-3N~d?Gj0pDTy6`s!W+%^^`CP!f8vfU zeb*PYkMP^nYTbRG8gnO(3Xi$oy3p0>iC6F2mN&M3EXq&t$j|TF=aAoZlP)WpPSSpI z_0u|S-)nwR$&TV(ogOTVFt6L7y}{z!wbP3Y$G)42(9Xp5>cL&BhtAyjdAso5uz9U@hTndl+<1=OORud}77odN zG0yLTdwzE92YD^dAHH9&-?`WUL-ZZ~%I&wo_YsbtcVKVzY zsTW5T7DwNE__}|Sx1)5dkCR`rbQ`heEMBMh>o#H@g6L)T)fbi7EevlIwxG(UAW_3j zE+W|9OY^@Fw8R}HY_m^TZ+FgaL6ES<3gjYe4EukDz_FV!c_*?v=ddtXtHyHVs?~Tq zvfmJ{g*Bd9L}RxgLAEd~4Q6z4*T~BgE zH|K&5=m34m9)H0HS?D1vG^liME~E8$Yc6Dp6nM@~4DhC2Azm=TP+ngTMaB#5DeHwt z+-MsXHRRO>dZ=cO5MJPc52))#vIrJ>!3QbzP-47rI%RFJI!TX3TY0si9%>dZP}_LnGquubSS{o3XE4ZE4|R?gDk%%4)3Mr*SDWaep7FvkHFXwNx8l{N zdZ=H#u!EX<09KFT)n<5evv}bYHT4Lr-pH%X_0YH+;V#NTy(i&%Kj+mdJ(L$Oe6OaS zX~UMk{h5yRe{uV>dFtDr8O&OQde%fMMr~M$-+?n^7P$)7HU^le(^@o(+yOwXx1%a; zwAkK7XwfV4W6XtNBH^q6c5s`8SDA%-#KOL{*nM;C+?a)RB*MN+*?p0A)0l<9Qeod3 z?7ks(hWJ>fcC4*-ELS_$A;%+bj%OIW?bIM2h1P*&bavUD-7GVwhAtDjPEXvptKiaw zjF(!>s6A*vXDxK)3Et643k{!y5-j;mvP5{3EWDkS2*1nBmcHLD#@p1eA76>_o5*bG z+wBrgD$$fmIjK}rD&wRwO{q2~)z*~CIjLMzs>4ZjG^GkG#oJ9OuoV3`e{mc0xH}qp zF>E6f(xp>A^KR^6F;l3BjMin)4PAZ71UGS`Mx*yJeY4Ju7Bi=dn42$FoMJNb9yx2F z>8;Vh{iNlt3`5yk>{@L&PuOH5B3lw@!1jqod&cxJ)Iw`iXk!2o2XsSQZ?TdcY)0k~ za}k3IA3-7U-IGMSWu%gA5{P~b`1~CgI)OG$;|jT;tx2q81)I^3E3}v^RF@Vq;0j%R z!Cb#^6*t$!-AwcB6*+d6OS0MPJ5AMMqq&m)%_xP`<5N?$RE!h5PK5r zv~;-^GzwO-rZv&PVb}H01sh_J6D{lt+po+Lz8%Xj&U(yXPZ~%3$2j7WIIt}Ak8y}W zX`HWR;z<4&M^X~!68>3BF$29j`QJ2Y_xxEV7 zSxY3%A^ptH9x3b4Q&-Mr$_0zbsmsQAbKNilcf$+Fa(Q4QIa_y@;H?ikB8{A&<%VZ3 z*l;H(7aq-Gyo7SpJVfxGoS^K(m&Ehw;|A>V`N*=q$z-OfkqA#DCi*hXJFYm)WR7^G zql4Z#2^Pa!Xbc;(h=Y=Zq0B~>fq}hrP~Gc-w;M4OGHR6r}%5Mugrt(#@=r-AvwYN$2RFnyRU`gN7mf)V%OMfi{*bUxKksf$h3I*%aBnY?ho9t zJ6rd36z)F%eg4b9e;N2M1OH{Eqor@f z@qAg@5exA04qC4C?Y?v(*UPh@l;e5-ER~n?W&QGeeLVk$WhcPH--)YE6l`81zT^FG z@Mhuizr)*y$noE;qm<)I@p1A}p1}Cc+l)M3cw&PeC{_4&+gn@@{DUR0Ijs*~{Q=8? z^7dmX)Y}eIyxao;{oUJb=2#AK__y2Oxe2xu;9Zwsw+LZ7Cc8WA7Nx?EgI z01x4Thi4-|<&?ssCEiY*^7dFw`~l_5)3~V+m+tmdVO)IMfRu-R0)A{L#Y7{1d2!+C z4~?4vaq%uz8Tc`Hg>jvze`r^Ti*GAv#KSD%%Zm$7*&r@`%df(Cfz)3prID0kq7k>E zc=YYT3gf|f4fU!;X}vOWF#E^)L4Sby(YF$X^zQ{$7&o5!O`^03rI=Kzo>DNxaeMbp zfn868xEr?vin}p>!L;Ibh2?=z@79#2QHn{W;=@A{&G@P55Fc+|mR^~D)sez(}?|6+}~%?_;VI08vp%TtcPWD<-Pr$ z-l-dm(~^{w0F7mnbRJSto{sAhIoyKFFrOA0|FF{k?;1{Cx1DvHm5C-(m;7FGHs*N`NC-zjW5Rjbju9o{_$6xdo?v|dv<>#Cks&$ov<=Ivbc zwqWe^4PSH5e6Mv%&?vqC{D8eO*IHX_j%GEm$eVFb-}biQTBF#sE4ot#tQe{MYT;UA z;n&uUx*qM_!`L<@;^3K&rxa$QMWX_`3zgnFpFdPzdXm*Tf3of9kiuOH_cR~T*rRcS z$!iM64;|H8-s|0~(=aeHdR}_*dN#QCRKf2xlv(cLCXHT#!bF?(Jw%JyP)SCN3}tNWcqNVwNMe3TFHXvyAn$z)XZi!gfw&k2zo7&JHs^JY$O)Z=S)&{Gm5K zw&fWg%-HdaFJ|m9gO|JjZqo5c$8sPnj5Q3Zi&v9Swl!WFbxv4|a>#H#gW_{o>2f$t zayGQyo4fR<$xKYme2h;OM;#fqZlA8%n}Nrg&}@8;LN_QK|Gw+W4(Fm4$VGu?d>cH6jB%ZCnkGTWfEYU}p)q|nL{t;k5x zmmZraIC;Cv-J!)Q{T_MdRkg>y>lVm-Q@kyZ7#1+lTTP-$?<%ZKbn!iHG2w9?R%Xq zb?@!CK25snvgmxYfpYPtxQKAHzrUjKp7U4F^p;+HBx=>jpy1Z+1GkQ$A|u_XRx2Jy zwU$!5e0cFH`@*U}@MMeG8X!)VLRm-E>RqnGxq_2kDO;mh8_ zjqPPsAH1|p_S|f1;j!XGO^Z1$D-Qg~Pg}Qo%9}nn6I|||d?NTTOwjoKG45l-5ekaBuNz1Q>owF@>+bd*>fy)QqXt>0|)mjzdc6c$Yj zn|dx_R)S=p&wy&xOoBrmw$JRT)3RX7<1yE*W{rWa(I{SV_*m_l`1@U}sGyay6APNc zfQdhZAU!*BAh2;E3q(tXP%^m0%T+LP!UziEDjh-PDt-h7Ass>GDt-h7Ass>GDwwRp z2nxcI5mc@s11pT6M9z<(auqkS;t`a{`4LpE;zm|Hf)Y7Dg349g$cjf$BIid?xr!TE z@d!%f{0J&naU&}pL5Z9nLFFoLWOZ;OOFdB)Ze*=0S8)qC9jQH8a*6-Sw_Ifi)j}>* z?#kJ_$yG)~?oQ<%oZM5cGA43*c|zWt+()i5A#z`8@5jmgL z26CD~STop*XofHn=*nm)rx}Jd!@Y@S1S5gYj7D;rQCLGZm=NOa7bMV~(O6D14r|8y zk}?w*33O;Qk<(1Vn#nL!3-PP-66n%s3a81%nyL8hAFP?iNT5@r>6|7HYi0xx%}j=D z{ETLCn%P+MS2d!U!$_cGqq&@B9@hLFNHp^q2@DBn0jF7rHH+Z&6Cr+iPy&MjTEc0T zV$HH(qFK&JU|7I^LK%Mx&`PXX6+$$t8M4qEt>Ic@EkjnCqjem+o*_%k(FR}zXrq}j zl$6@UaDSbB6YM`AM4K7z&#`aj*e#4a63fvRj@?Q_Y~$GNj64do+kq9J9cIdCd>}+S zsn4A;gx$r+W5H|}$L^*+cXR9>>SPbF0<_mm3AcWQXdiX5k2Bj(o$Tk>1Jua@jy*`7 z9ORtjn<;A$Cx@t$L!8-R>f|uT9-&T-aO_d)`Ch6 zB*&hjPEK)7PMaxVvLi%i7t*>{&)$7ud5LdybLU1NI!po@eCsfj!T$7Z`aQ zuopP?A|r1A>_v{f#K_};y#%ZPT{crD-~%DLLSbLwfL*1quX5})3i}$zUZ=3HbFgoi zDHDm4o7Blo&g>R-a*JbcQzy4M_6~J&hjVh*Oxch)xku}Mj{|(4*8M)mKA?4fz_AZ$ z-5+x7BU<-I99ux^Ucj-BY268^?a93H;8nKWGAf04qR0 z&6G_@#9|7&m;i&nbwSQyd_V<=Lk>F@K>-$T^GgN;d_cgnNMNRH%Bh5$GrUzXwbkOi zX;FcQ_a>qOG4D-Gy-CcJ%{XsTE*UJ6k}BY(;aocK0TpO-31N{o709`iut-h?I$Tm% zq(cP?E-frlP=PL&7#8V*K)@nBGbMSYoJIOP0DWp}zymO#0wo_$Nd<;{JVPol;^P@n zfiWM?7z8+;iJ7tmS7B2=o+-68en_gjU%pPo|` z;U;d2R=+>8<=wbRq7mD^Ek5>Isdv1s!|lwlwojs4MRsh_dBdiy18Q1D>P9(T{;V6Y z?W$=bp+Y`s+Vc#nBnA0*?Ui})>YAY=S0&Bp7qKg0_+Gt* z>+dvg@_bmmEf-@>Jn1n#>4HjFJ$IC=^^UC#4YxRt=oT0-Wlpxw%Xzu2@GXt*VpjO}B#v8*N8T z5V+RgHMfgEmoeEAYTW0-@`8y?3z$(0UO#JnG126h8T)Ro#3pCSawp$u6HWDX2W^*y zdOXnGb?j8OUgxV3gLYi-H~Zw7C=rGZ+p2S<`mr0ecCKlzb$7qQ;B<}7r}yqS`7SEp zRk+OV?=SnJYfA^rZR+QKe#0u`%-k<0JMGbN8gM`|WBm%#7|#=f%{yeQ|2l7p(LEiz zSr6j0!%p-RjS5;XbNO;GGU3ig`9155@5;Z<&Kzz$yI~V^?}P0wIX0aX@Ahfe(fa$u z39N1Oo6kQ6t)DeH;EsQqbHQt!PWwXK822HhhkDc7D-opNIM?>d{Mw@JKYrnM1wu^g1oCDUk>eSynaZSfb zl9huENe?5pMD$p}ma=z5+;w7Bqv&{nBm5d!;ud`ustEy&D=;hYZq`jtimsq2@eRts2AQUc0+==u(fLo0N z2@-c8eNW()p+I5cW_>3iKE}?2gxI+=Fw2m$DLxJ|-AEK6pm3#Dp}@FNCJY#N%7g>s zL750(JSh{Xg&8L;8U`3=VAkOZX(jGP`Yx0UA)G64EAZQKT8Vp+z8lqr5uH2Lg%h0z zaI0Aqp_RCU)%T>9fgRt5u7O(OgIN`oI7u#+?K@!~P z9+HHeC{(%t-z!^&o6vTBxbUclFh6mt?PhXxAaRTBrZTcp?LRsS5gm0E9Y?q`*lr`o zr%~cAWV-_=1uIS>+-K~!g@}*1i4(S#5>L@FfeT99E3jQ}kKaoRlpet^hiwf)(Q5>r zC~*%j2Hr!1UkCiYKk1#>5qEem#J-?2`ol+PQz z*s`|Urn8KB=GQ=-922Hd-*NNIXJjBpY2yijlFO6C?Rv4oG<2tOuvm0k2TRc(09xzo!xH)>$JOWG=H{NwDzOr(}&2r&g~!9#m~j!*Mrj@ z>G!=O`1xdDZ>!g@C8r+S9w~U)aPqs={^D;3rO!M>?{$-}2@FPVZ)&kuAi8C5y?^uM zm<1mqlf~gLWZMrKd+R5R6=Y1$OR-99BQn#mozmp*QOg$F)fDR$YA4OVXsySz6SR)c zQCNEQ5LMH*85rDb`Nvv5!^FnBIkf3)Zz)y?eOJ>;940RB`{+I(>Yb1VnK+(o}#0zDwctN1G#n^s^%M5obPl?&4y4h3S>L^TW3hs9@ z(Kner=!1hWTAa0APc_Q5zwQthLHG5hZzfNCGyHI;M&dPR^oKuRvQW`xqd`N*8Tc=r z;TG#Vb`&(!kk-zYL%Ygc&UsFFJG0hC?=4RlF1B4` z7=3Y*hps$Ru-3`6Kyc0b$~iAa?EjaM?#8rW-K=ndd$H-o{yR1vd_1s?_`zi3-o;N| z>PFWP)Ei)WwEp{xMsKy;#BG|GM2#NRO}BA|09l&qHJvaouZnMyn7L`%Xv0Ze-JxlM zzVW70C+&RvX{lpdas8cU!3t6!9GAI3ESRinT{J~*?2#atAhSMd`&Ie=t%04`WQT=&P7PDj#uftI+qOkb|H`>{ zC?!U`e~o3M+lS8@w@wpuYh_)~{Yp`_bB+peOh2pCC5!8sW+e*jBW!*8uid>_te-8m z`(oXD>N9uMq8ftcJsmczo_Xl<2(3tQ_n9{HM^9;OY%@jBRc5W0L0ib6G0C8{S_bVP zgDR3i2ek~^Lk3Mr25r?c=l~fsCKWy+;O72A zjLo5W%~tC=cPj{FIvTq_Xur0-*44MVM*h-P*V4zG&Sah})>Fo9dL|VJJX$$vzmUfs zh(5p=PtaE!=wK?TW##$eyYx`albM^IG1>y<4KFt>>DLophqh_g{Se%IZF}bKTf-^t z^Ug~z4E3(7rM>gEn4Y-s706A;#JpgPf$RRlds> zYG*Fm9yq)eqsTBB!5m|x8>abXHq;K>D|@lZh#7j&w3pN6$R!T;5R)c`2`c%vRcaJt__R}ySW{F*s*9S zYZe$VIlU_S@KYM_N!;Swwmq`CG4l8RixPs)qWN89?H5WD)^>U+Ul^y;=K06%>CMoa zaP2OiB$Iy*xu;z%N1;*~E(t7{ViZ4P{2(W-U5D29Wx@o3BYn>J=v>?!lpNXfDBSJ5 zGbZ@zq%62`$+T8oTwEjN#Mgy=ku@8V6C?}KKd90%b_kV4)>mBgMxv2nsFu<}5-`rV zRY>TqVoY-O_$n#j}d<7s)(F$+xBs_Ya$hUet|Tv{WE|pyMREXKLOp&*1&*FOQTn zj|ke)Foe<;`~M6AuCMn>)jYtkre~ zjfhxmyWAPC{f?}k)NyualNMv5DiLDjnp-$ z-y>aDd1`Q-PsIhr;};h&SqH1Ds#fi<+sq~UPKGo-sFZ)ID9zcv&VN|ts-Hm=`w)H#FW8N;CtBg-!js6Q=!gOZUiT<+quZe&- zu4?eL4&!#?BW8r*tY%Ml*{}Dxiu9g`bXy_2Yc-}oXZ!xphqBxAZ(T#5jbaLF>UR4$ zvzx)T9nC+U)GUIi*%nJo1T3#Gs;Ce`>sq(y8Zr&d0SsTyK%mMS7_18q6(G3|8 zedSCSPXHMu4R)Qen@ne3Jqbxadj6t8*k|-m71Cs>Y|BfRi#jt_hANj|nC$6<&X~tc z-mH6S|2CmP&5N;NZbnDyTw6HtHGuRKhac}T`!;}lu9aJ-=`R0W4cjC1BVy1o!Cl?q zA4J;w_1~dc@KlAFa6o=_N`dvBH+Sx!TfHI|R~PiZa12k>)2!kf8k+1re#7YRDuWZf z2D{(?yp`GUsn(f(1GdVGi)+vPcu79^>ZsR%I!_$juwO(PxV!N8x7WvChF>WBi2nLm z=VlMt*y)AwIzmRcl62b;>-%C{4`$|mO9Gj#F`qEixzu0kCj4GHe4 zQw5$QFg^C>e~62#T{yD`+Br1jfI#N5`9d8XEC0~3@x4Xa8&UGU7`>Oeeoe#wGPvy; zJ9CNA-{+#l23w(ySLcOCzGA9C9pfgPJh$I!<%3nlXiVFPM_z({qi%E;<+d~J*d%RC zY(vy@b!6mSLFj<>Cq?1*He+24CVF=eDEsdV{BF_m>%<|6jNkf(ChX%7orJ2<13Dh4 z4j^AG3ceHen6zsxgM@&tz5DjbO+>~ULRhIxX&O0JCrlo?l3(ht4QEJhIMrK~BBQ10wNcTh&v8wUXp1${us3ozm5Q5F68k(XmJxdEhWBZ?m~} zc7x2X_BvhRuca{V&w`&!$-0Y=m@cmE7CR~dp0uqxVQU5 z4t+N*0?-SQ2 zPrT`MgLkt0)iym(AH42f8@-Y>7`s)x;@*8$=CIY4NqrD*7lN!^>QCJv7VC>lWsOZO zY(~7ScTt73s>FxY65rF#+A7N~w(|UFk$&6|t-6$OBT<^WBq>4OccNX#;1UR{uV3?*2H z^m{3rmolqJXW7tD6V#6(x`*if_XrxI8 zLESH>=7>Hg**{1&^#Amrqmi4qLEnpy1GnS7)!!aX9x$lY51mW(qg~H!-4BR!-v^u4 znb;a1F>fwiY8iZ1@#B>iI(H!EO1uKo8`DEI7 zT|oZ3A_Ga-^vod$5jPDw7>3?M_N8r!M_%7|bGG~;H7(E?eAS>ky*b^C-~9C^86^QUOIWlYQcy7wn` zxneN-XKe5rqmARHFG=|eK(ckhjTSX~10Y{qe>~1`_mi`OT+waMh`o4DeDRaNsK!x~ zD!n$e(6>TPeIp-?6X;h>>mdf7 zweJMEuQlEF0@mg;!BN3e^?Z*3P|FnEhm-A+s@7PKn$M|o^|q`=BdZoVcbhhwHfP1r z8S79~n|cG}dY>BCxPNfQAWBf zG{s`f+w`i3HlZGili~NMuRi&RFe0P+8ep2;u2g|Ha zU(&5+)cD@kCBlE_slCkGtmb{`UPfIYJ?5rQ z_+*dwWUeBKS>TY~r1O|%4N=X75qhD5(Aw+&!ULe*a-WV4EBtv==cAr1KimV{EGj zJ0%Rc2teH*>Bf&YFsj;eGrD)XPTCP!_A9H`IyXDDx;$rNzS}GGwqLz^+w?wv|8`V4 z^hy1et<4WlcyuuC1?e#%;UhP;AU$S$?I{!5-Tb({Lo-xAJZ}4U@xGWLzOribt^C;K z?n8BvN9zV7&Bg6)j1;oAeH}Z`i0ktp0Vzk-Z_!u$&NA|#>rB|KoC|Sba zoqp&nh-*FYx&MxqHPF2u^|~Cc&L7vSg{qk~&>Jrf3@Z9dR>iTZGV^Z4Hzzd8q(0kPY=ZB|$f`^1El2vL)TxT5 zeUEoPFTOv!!%|t@pUxi(MkX{ljds;fxS1~fWM}{!NEl z4QzUxS*g?J?CnwF`Ts*QjujoBT({p7@c zP^Gz9Us^smJ^9@G+bE-ET&M2h6@#8WkgeZpUB7e4%H7$h_+5jkj$%bouBl9=Z}&wn z6ZsxQTD$7|Ef;5L2kn!s>terQ*Y_>Q$DxIO@o|abyQ?Q2mOa_;60R%y(M=0oJCjiG zLE7cY;coJdS+37pSuX2vx{cMH>D?PUXRizW@QG>rM7L)4&vePnWb+&C4A)&6Q2qYw zHj9N$cbNNMb@Ux`dJf3U_sX|XEo&E7e4h;*(YWjBgEk?B@iTiGrv)6_`u$V8>M6yq zUtF%)e&Frfcb@c0Gq~y8+Sj{NwcxNvkw{OR>UN~3oxT76Y41(Isp{GW;Jwd$j$=6I z7&8x<=gc#iiZWFaGDSiql!P=H8Wa_YCQ>PdLZgt(rCBP?sf0#FO8jf>vz0v0`#yc| z_h0{a{nz#GtK92e_r2~l?6vn^XRUj1mFlvVb)ItQfHqk@?B-fWhrM|&OZh*G-yNwU z-ki2HG!pU4Q~!WaqcrNwZprIeOMl>hiWq8&k;?RJP3YgW=T3dHRnoS)OL|xDJLRBx z-YUWFF{+kDGasz#wE8NX9Wd?tW%nAF?SZP_sX7yr)tIlS5pAIW@+U(##N{U`ov1R5&o%cHAiB@f|K6$@X-mN>19~ERROWzc9zm$BPXkeMuI#5(4 zx#K#oVyR)wr@I&G)(eqUe7 zwWUo}mLf`{8wbnt@1#ZZ?(6xynUbB%U)_C|`eIJ#y_@aXtm)Q1-_H+BnKtV&OY`Qm zgf07M-S?BecOBi5(KB?pU47@FrN`Pf*{ytHK&rQ07Btd4ZE1^Rdui~Ax3}#Erw2?v zF7fR9vjkV~87`#d?!K!_O}FUn9a>IO9J+kfb5jQG^Ggj5;bxQT+Z4W7#Uq(+&&!5# zzu%ubGltzK>um7hTS-}{U9KMM4XNfzg|PwM)o@e%Udw_RHi_bXYX&}F5imVuo}bFu@MrN_MK)qKE@-!KZb4)O z&Gy!^JI6;U-+bEAUJM+wI8!#|snv%edT82>prP4q>qmG$zV4lBSupjhlU9+VK~DIK z_zEGtwGYfLG+Kzo>X}}7$GJbiae8sN-RERb&^3}L&n2gsyz8yv--K-2Qlafpe~>nM z**~f$sCId4iB3?&E1gd(L=d+(-)mP`Qn&N%DVxvfci^ko{6*rBV^BnOw*KxLb}ISJ z<&_G0f@_@9hAnQcdXZ4tW98wqcK+Tz@okYIn#I>iQPg;w#DfV+O}qB*ynfrKrRB|= z(nE3IOKP^YB`aN68B4AgRJ|9zeRYP7M5biF^Jhf4KC~{WRvW6MLu(%RRsyRfVl*%V zfYvLzeg;69?4PYz62MClmS2Oz4LF3-VEz~+j>E@dr9=8etA=2}2dx?m!K?94tQvkf zr3OdbzgZ*bV9tM`E*G?Z0M-Lu(3$|Y2U;yaMFyDv%ld%J4>i1C{#>Aj2IYZrb748C zmG*c1aAySOd*Bf8dyKDVqI{?ghRN6dT|Pi3%Ez1)kLAw=ifrTC1JJ+aA8Y4a(DCv7 zW8*NcJ(zsmvG#z(c>b~ciTqQ>_(5Vk|5*M+{;_t>1?qO=`JwjRgz?1MGnPN*EPQPI z$L`BS`D6Y1pIfWwVD*j3{hwQ_aLbR~|39@>`PF_s%vtr=eDLvF4Xp)f%VQ!j>zx>` zmDbPsI>E|C|EH~r4(7F4m+SLc2UxCv2$BXrsnDHoyv!7?%V*#<7*MDDvXIe(`7(OI zQ8ciS(b31OU;=)Yg&v}T6$}VGiBX{$jQ%eh8DLNYs0PrC29yQvgA-*8fn#Re_Qnu1 zuQ7x^p&?ZVP>rViq#9wqqM;{bjH(N$#=qJefVDXQZ!>ULohTFVtIdH}n**VR4wS41 zsDVL0B?n=aJ3-J{G^FYSYEZ~e>H^G(H1332#{iC1u+dLy2s8$g2^s4V94j5|aRaT5 zjkFFP$y3Jw4wsJZuRiPOVx7ir2*}kQXE&q_UVrcuvgGvjTgR%vAE$EMU_%S8U?V%PDZcNw<-L|zQkd>3I(hT09JwOR$BbD|?%q16 z@xY=XXP@xE>$^v6HoTpevvry0T5-R|(50!-NB0R>_g;DW?$rBh>zU1W?L<3ygBqSW zntXM!zcCo4UKC34ebPU-Cn8}}4J}qRukS?@sW4|!L+V?9ou&md7qz<`TX!<%)qV5w zfO^xU=e8mjRy^CSHD}=^^I=u0KH;l^{GNF=Pgj0@a_E%vWSx=t)vD`-4{+5xv;|}k z#1)mDsNSJP1O_jv#0r)Xv6(3AeinWlxEFZqBP2N-5decGg+i?tB^WnsN5A#m<;FtByEzbT$|~l9LV!e_7>A13Gzgl$asI?^{lI&aH>51O6^4ms?&Tbd4=v4O}Y*pe&B%|FUiX z_Y3d?>jo_UmvsXRjo04_&W-0955Oq!g^jgJ55Zi1qxxLb z0N0Z?2`DbY7{wK* zxN#}&fZ`znCf?GX8)P z0F65cXdsFPQ4qLAO#*@4xor;Sa)kh{gStN@f)s3bx{SK{I@>jVP23WVdNU_O;e zC@@cePtkAY36rrxz&rt?j5kkE#3&nZahQ1m0Zjo>A1MgeY6I9Oz~}Eb`vhgI&=#DM z&TSoLpP+(Kz*CKNHWN|^=ynv{K|xe85-?DJ&+Tsp3S9FIV4#3e#v3T8V`cW>;xGdR z0=f@H_fv3_j=!@|(8LM>3kCQh_{~B=3!@a_;xG#Z0t!^`iYdTHG#u9BxLLyqZkI~H zfS1B0C<#Qj;Tk-geoj1B*E*#*4dQ^DcyLIK#2fMh@3#P6!#inG1=?!ejuzIiVdO&|8$9|jRRY15%Y!f-Os$lx+g0hI)3%jSko@- zd~>C#c&ek)=);S5zBI25PV|UWd%j+)ZC^r^5+Psx*X6f zG?N4Rn_&Nz0@6lMS@96?0T0raP*L&_stMB;+_VNvn{(6ZFm1+7tHHD>H?0cOCfu|N zOdG>=A*xJvKgluz=^_*=H7WyZT$ZJbO&M@S4^pNio0GC-z(qYs8*|gjFl|Z1@->Md zZO%=rV`%~~%QYi5Y7pH{|1W?tpcov9txbQIngV zRUtR^7(oH(-dRu@G%2=+FkT~SgEAGS97tRK-$& z4d{#lY1ChArp!h)CdOvYY}CL~P#O(NqyJ*F;BD08#n_Da8kPBA3QA)@X^dZN#{7*c z{1}@lf1?_fg3@@PH0CchGl52R0gTN;pivV`L20~D8ZVbk!Mz4GVmBzWFTvUHc1YHP9d*V1);G>ZlB>!>bXh1$ZMpi)3gbY&YIC2soe;Fey zA!u3#X=EIEGa%byKYBpjstCF>gERw2Vcoj`dB+%813?dDkg$VT&{9Y~2*}UJ$e<_3 zGf2JTN|yq%4jJ%*3!MUN-O5NNI12k+1^T^tjBJRYjcZ6d$B~<@fdBss_uwM`qc}p8 z3oQ6F1fb?>qCcZ)DMDKySYvhYE3e#Rcemacjc8=({I$cp_dX+!XBga-nExF;S6OM@ zkXyK%xqYt&^_?~0thB&09)pcUwe6y^aP+ItR=Bo7F6>k+sx#41D#|4uirAakNmZ96 zWph5rGmVds2f~FG8Hg_G^y#XluE?gCgqX!Kzw(gZ9uayxxHxKUuVW?k{8j4rR;5a& zODj32N@#=CCF+cm8^x&k2+f(ty@V;0NY-l+a-4(|&q=hnN4+CX7p@xVWZqXIe+d@y zxO*l{K4igC>dGaI2d^w+nRUHnn?fPQwzrSAafclJgq#FToQ766KBvyA;1#u%^5%JDv!5-qp@yKJq(V<{bTYQ6()Nq%)j7& z9*st2i%1UZuNjzx(D!{Or{}XfGtJm-1L(WYluDPbq3t{A;y1}R>1+|f{+X60XuT;_ z@?ya4Yi9J0S!5|-J%8{1+Fi}4HH&5~bYcz)tmkvcdb#Wwsy@Y6{L%EC^sUqUa@9)d z>0#uPWo*{E3{8?5nlzVDm@*hzl1#r@M^4mdKYkqeT(ckTIL0G;ytp>DznMwj{ zJ+?^1gEP`n0`n>HOPYErWu%92sHCE6`aU!_fpq;QsdeW=TWWthX~q2HH*;p7UNa~k z+DImzH{+=?lPL}!yG^nZ&=5B&!bdU;C2Xd$l&Rip=J6c!N3*xncy&l!Ia5-oyx(a# zCxb=i9Ypg^&>vqSwP$I~re15MA32eA$8!(r=*xJ2mDFP2w}ATbF2i$4PVa^YG|rW$ zUz)^-y}go(3h~Tya}uu%K!evawKYll;qn)#OjYK_{8hq7PoalT@$%~u8;UeHP@X*C z-FaZzLv;O&l*@#`lSd@q?%1?A)(LUc66pAH-0#y1nI1$z^-=XBBeX||(B~HjeEK7Z z_G8kdFu{U)6?y`RHQ>h#Tv4>B({o|y^_|fFcb6?I@-90liKCOWZ}`0gdU*~ZZn41~ zSTM?oWMSd?bbyyW+kq3bm}%hGGdxOEQ2kK`3${^NFT2==b2!mG=ZlWdM?XdpTs05A zf&~==NeRW#6_qaZg+Z+8n#_ZXtJ^PTtA>zDdP6Fm^S&Go3u5jo5!mukxGwX!2!Hmr z&&|Ea1qH#E)3+S|dUH)Hq9qe3MN%6)Pj)aAGK%qWgL?vL7}*`~XumRW0$oG@+j)b8gGtE};bH z(JRcWN`i$8gq<(jTPC~^ul5f{bgv0VK7TyyIGLRO9&b7KaJYF*WI^AaEQV^8Q}N!??o?dGJhNFpwW(6+0D{cUp} zz=FF^kWyXSc;-~ly&rLs+n96R&`I`-t1tP|VZqCLSwvg5*IZ5xlh|lbiNo;vwWpCo#y9bJ9=B(XD z6Iymt%1q`vYdwcaIv}hhDjdfnUR5+>-kP(nNaYSuripNYhmol(lCzn8NO%wWM0_&3 zt%bBJXJ9SWBbCLwm~qo<`}XLrF83d1Fhs+ZCjQM`>>I8uo(%>rKppnu2x-Op_oLLy zAsoIK#;cZ>nY_2jle=snueTz9+7I^YT2?};l5*VrJFy=p3ZL(>&sTU{c3QbO56Y^RNQ&+wwNAmU-QzGd&Om?MuW_Y zy`nV-g+84+q_BaV{m7>i8PO0s^SJcQmGxzB5Jk>_rmx(2(}E?aNEAgv)=`XlhRL$O z%?P`wcE8teY46lR7yv@cnZI@(JG_E*>UzoN*ZWbI5X$xih1S%S>o{jD8LOj~D3?1u zD=8g@yie=+bxyOB;#q?h15Xz{KsRg7{{E$eyeL*=bcJ0K?8UTU!Gk_*_gli|$MtIy zg!RK@-Bs5e@r+UmJF)bk$|si-A4Z$178l&0DXkwg_h9oGK1^T^%oZa#2ssUz?^k|& zMXMtav8tTpF}uq%av{9{sV+*~_2T^evNt!-W|ge3PK>Pv{tI0%+&$1K z4Z}+mei2w*$KGbi9$F?OF#itP@rWw+XwrS^9y(`uEu$g3BS`H-mCJwEY!ee7YrY{VF{l6xLy2;TPD_yqE9`yf!C!IlwZldrVbcq^kPcuiWs zJI6p&gm-<24D3ZbpI~wl+x)3;^QN%UtuKW2W&Q6JZZNV^+P_)5Tm?D5wX{t?cV^pj z+O0!H?)k4ZLf>s=-dG_XzFesKN#KW!Wy1!OcOgMN5&=i*Vx(seZ$O?kZ40b@8DVq1 zAH7^icXi&@M!jam>J(&D)!W-zZj?{i5D7zL%s~Wv_p&dxv7flFk}Lj%rkw&?yT&Qh zlJA@c1&m{ba&|HMbDry(LSBOl0zPW&;uGu(9y)pg*{JZF_3Lt`kT2$ol#8z`hP}`( z69j6Q8;XTpb)E@p$A7ApRSS{!U(2IJ)xN+`sg?^vgcKLNnHEtY!^z`EQUfGa#o{s!OD(WO!dB~iQ&^%j93z6Rde3McB*jo8@3AcR zGX_`vIFM3z!JNMZzIVEFSm%V<1JRrXr!Oy>G7Tm2FlLuM;-$W{;{@09Qp3^=_P#Vr@&@2`3^FvM?~!aoeg`jRax+X0Q^q%0%PStF!DCR)K4dyIe@8-8)}EoBX3B5c?M;VK^9 zOTMDCr;;p_MxMf+D~EbASM$s{1iuw4ec4qm>_aaE-OEc&29gN#7V1r>Kwix(_RUuI>Po>^k6%0!z1=E*#gKmb%d1%dd8m>m59|3p73w{d zRZeCY-0D{EBsyx7lGE>ZRF?URndLISno7MpBJ^gEvwTiVwVzHcqM0XMUfyGzn_7Gv z$*{@Ozpw?}>cQM(q`ZcDE|*nU&TuN%HH}(5^hw?vez#>Gu;fhG)6a8?H4NLArJ!1) z%srp%WvO0UI3JH8+pQiL5} zv<%sY?V9b7Zk8f`f9Cs3M!9~H+qQ0#*X4-rXmxxR$lM^A2a!1qUUNq;yi*LLMQ?V#&V8|Hh3Sh9 z@!q+E^6l=?4UihPYN{lVn(0WTHq9SvbQKeMTGvnMWH>sJ>^ zGN&>f7^~XRNpZJCTnc6j`=&-Nd(<98u7X-?|IP<7wt);z^Rew-0A;}R8h`o^Pz;B^ z@y7DUYXNY7`w@uvsrx_rs#U<{VEd||K5W|)z_EJ9;6K&}b|k^6^gq@IJ_lI4vAsx8 z54LmopVY@aHUG!@%<%fGaA=D|0Dg`i9LIm`A87EO^v@BO>x@I!3H1Q<&+Z@ClKqqV zX5sSY;?M_&0Q}GT2R3^Dq&|OK?gAW!PN)Z!LCE$beApwVLa0tL3)i?J1Ez;rkPwihk?_=6R8*sT}_`iZ<^+P&*-pBYR zYW=`HdZ_hN0^oS9pI_QNegRNBA}S~p^oK$lm%jqyp$q;#6n%_eB<2G(D4MI4tNFURxnah1| z{H1>QKEld#pYy-ae`?ckpUc0{$Fyh0w1pmpF8hqI@&VhovrHPDjitxZ5iEQ z5M>)vyfDP{OmNMJpF|xl(Fh|3a@8(=67k^KI@(Z?L=RU6;Zk8RX{gPi1NB7of2&d- zS1~?MnkiGDmWKhZx55<&nuiGlQN)4L3a;?NB^lv*G?+e%k>PlO#vuGR zY=$AGuA=uFi5s_E2b2c7Dj--k)Pms(4B!SV*8y?8e|nKU3szxp195LvzRumSZQw6$ z#ix{t9fo?(!Fa<99ytB34r2Jz10sBe4F5AHvhd&-R}$dkfhU`U4_xQ0`-lDXTr#Ez zxUJRA%heIB*w8_}}5cyr=6^C=w zoEtUIX=`mJ$MOieuqwY7-zG-oUVI!E`(()5tVX;h?!m1k$Cj$uRu6>#B9-HQnu-swfW=%-*^|T8SS!&T$ zoG05AW;{`NkXrNf#)zWq>8D9{BE0iwTbh5fvpBm<%)#^+pQjn&>nAJQ8D{iH72YKU zQYENdUitQ@xV*-x0`eEw?SoPsaoAh#rz2Jn!*=31kqQYM{+OT@EH$){pamSUniEw0*Hl|=cQcs`+aBft=HsYekQ35zRDrZ}g$2m=fHNj0|l05hWFBx#hWdu;T zX%8=d1eNzEIbdmkfoGGp7ydoSkeL~YLke~Zcu^=e}WQU+~0?hBm1_Bg6(#n9{b zQX_ABXgvl<%L?|cm!Ib>D-c$vd3&KZ(wT%=MJ^8w*^wlH-H3ArpEUpNP(tLE_WA%@ zW$EkDBMVgb6_f7Eq8$=7cj?#qiN)rN@4wwIemAyzg_B;*<*lR0kI3z08v7C{j&+)@ zFefthXxt7L6bT@Evj`$XtC$6b6x%?dX(uE*Z!SRP+Nmo%?&*7b@@;%e`A{pulVZ7a zSP}g?NV~JT|NZ+fyjL12Hv=S_(R$k8F2d@8kWf1(&1%wvRiw+6d}i&|u3|g(nnXGc z7oRYcpQG}4cX7n%s{2I`&xb8I<&i(50-c{b$8GtOk1E}crA9Fc0&Z$A88*-F4IwIg z->Nr0wOu6ac{WM>{i<8RH7%houeR57nj7hN?g-Jhtml+77H*7HMq(Ep9)XY98qL5< zp%-t3YzOcGaz2f5!%kft{tyBe_M!b_bsX?j{H2Zq7n{&sGtB&{jsy4Zp*jxC{Hcxu zpS1r!IU9wIALne84V#ZD;kpoG&PKsuxGD#usNrf2>fB>;4M5Qp#3)+0hJrSiq5~+p zLV!X*r*KctFM3O=e_ZL~)YMs@{=>B0D`#o1O#3Cqo=c0myW&al4*G+kYcmTSnXP=a;$*m6$u)i79_1s44UXi`rZ1uzZA>Fw z&3C`HZ(8-c;|E3yA6HEanHjP7bAxlzCWw^Za=D^*I&`$?> zM%_%#u3j4L_pqmSO_hae>+4+w`@K)al_vbqza!rB-P@e6!+U=DxFMACLWS;kwRj0pJLX`K|Uk1p}750$iDD?ycUCCnq5Yw^Ae zCz@8P_Di6Z5Q3ur!>B7wY8YrFf3flF!P{LYpUb2D}lV9?GWkhPxbNH<%I4?}$Hc^)GPm zO*%_$k!Cdh7;F@D86;*!NP1cgFf0NqG^xv?d7KUWR&yE?iNtyd(-!kRDSQ?$srRoi z8>(9FDjxexYC4NiY_&^LO^TU;xyIQQbg&2 zDZ|}1Ya&~GbE&=Ntga{RU9yYYiDzc2E)Tq;F00B`bMZkQJFjl{7+oUwtPznNpsxy4 z)MCyJWA|QUReOfUY}kP$9bn{9&6e@~*vzRuBGmnfSN1^zvaf+>t=H}h-l9ywZUQI$ zh)1mC*&~}tE^^3rGhTVCQ>e$2k;0Zc^ns|_x$jS_)yL#*xa{Wr$#X;8bym|70|U+W zIU8^5Mmb(%S|oOn*so9jNUq2`lwxsge}9L_`4a;_L>C}a1 zgC|<-P5QoYXh;pzS{^|M^K7d(%X5*=dpYw@B<*;bFK-N3=u0aj|i-^TxQ^ z2=~=Y=~oxJ$P1i2W~Ih@Q4U=F)@{{%ylaz_&;3o$z7VDx%C*LZ#nt&6rJL1ion4qM zr@q7QxQ0>o$~HpWnsVdkIgiy(P4R-lkRG9*Ou0XXsqEjX{kri+_r> z(wSw8P5S=^|AIe!M@Rn~{0p2Nfi(vi(^nhYU>gtNf^-ZYzww6cn1PK3-2CCc!N0&b z!SNXzud$83i5qf&?dN#HaUJ7_`QyhIE~P*Y@xQ^pxZ?sBg5&4m*tm^t0Q%$f1>^X} z`2XEFLycQF&cIv<4?gza;9p>4?7zXk@OhMh!<{$;;O9L2ck@aVGJ<*am!N9@4gU2< z<0t#C#_ykkz5O@%*KgmEi5uqfU|jzj{0js(`)xn^|C``n)r|Kk2abb3ufD2Q)pbDz55F5lG)WA&h`!*f zuRXKgX#U*Af_ro)A3inAr>J~Fvcc|B2T%5<{cH6l-+SU)Vw?%#iI;V!F}0c~x^y%Lj33j3lRjkY&zD?TO?W%p85W)pq#FtVaTM}WS10Ul)Q2vF57z+!BT z1<8VYy>N5=r|F^$*pUE1vMfLzy!=6stTiNiE`l6zMNn5O0{t^h4DMB=4CP)~x)uEC z(DsW~7JU_d`e|qmufyepr6wn1#ok=iY8#}z?m~p;u*141Z;bG(|3QnlMIZSwAH~eJ z;qB&6C)GJ35$VJ>ex@TKeJx2m;hb`tl*fxjU#&Nj6x315 z-*YW5~MaH>cS+CX{TDBksv8808QTKDV5RO|-C5!GJ%_Ch> zA}wFQaJ#nSRT`7RtNOUW>9fQ-mA5a#TbJrQTiEmM)zpZS^Y3+@l9}Jm_|UtodtjvT zvxmmH_vcgkM$}vcSy{?g)rS_Iq=znE^R;ErRI@6#YRg-n57#C+D2?Rot!SPG$NTxd zQ^zNVpZx&9n)LWqhld{7AOE`@j2mVCP3)<^-www4$F_sVVp9Dz_7rz3_^+|2;6^YB z1y-1td>!r?sk9|F5y9;1Z35{x$X#*L3l(v8T9(i+_zh1+`O&sEsOa zvp5xRm@W2`c;Ik zW|S#|QD)&$k$`0;Y|)pOPL2K-f|el2e;ny>CZNtd=jAl@Nu{VDnF}m ze}j!{TwhLy*2ktxH)Kw{BX&0vx*P=*PVCZMSdkkm&!?avk)-qf_S^;`Lro>A`6Erp zGz~?!Lu(dSejBvBN{~v^k(@jvE;KW!U;q5f?c|u}^RMfOfhYPTH{ z6fN7&(Pba5Gp4Nb>^#bISatEq#MePdiZ5OtbIa2^y1VMcbBU3S-}`U$wI!W6lA)W|$Bw+e*}_~ELl^jf7LJLtM;Z^+!a#-rbIbQI(V<~%SN z==NRsHBU_QM{C=cUGohV`WQF#eC&%%W4*3-%((U--EivG`#w_MB|~eH#kStx-TENq zQL=|u?nqRVQT`F@htV}1t0LAfzg53K_-V=91D|%wDH~k*Xe2m@ENRJpw?=I1lKwn5 zVOs&~Nm-47v^Z}m>{t~)r^+J`>?SV6RG7aGs!UOEIHiVylcd9_8BD9gG&ra-N5Mgr z21Gyz4hs~9(7-8{kfH?<5Z}Xy0OETn!}uWJJj)nF3_w{b5Cic&ObGBiOBG@uzK1CR z#`jQz*b&r>0OEV7bJON9t-(!Oz_cbe4I+482#BMBg&rxv zLXTVkwZIcDfPvT}0Kp21YjWg2*9xDBS(oa`baf_8-3&`2}U2OCc@|= zcmxb!D|re;6S092FhDSoau5mxM8Ghj4DevtV?Z)Ce}mDIV2C`6{9%sZp8icKR1kBmEZM!i>_WLHXP87RO;Yi>hDYEdCh> zfhxxMe+H^JP6DwXE|M&Gn>2ZUi~RsUBi8Um1V3T$0&8s4AB`iyZv?#1MW`~&SxKM+ zk9w_qSK3rK2J>HB1|Z^`aqx64!z1m1l@DhXzkFqI*U@|Fw~$YWW6ABeyfi!HuIm}f zr0M(Pvd)K@+PtW~a~JHu>mm>VZ14ml{tg z@7hpeRNC2XlP&~NQspHhPp%VndsX*BVF>PGbQ-0%&hly-ZRDZRGq=6nr*Fj1G}Beka=Zm|0Ovjo-od+~8acr|35E zt(-*X5%Z`m?=oIeEidsGvz4^@rsooyt3)>1iFr0x-M>W5PZpTwO(@|LT}kZQEz&09 z8a?N$X&QBdI7|GT;$}V{RpOzGA|4*6Ork>;g;5V!u>E?Mmh#mtAv$~(S<&|Np665z zBkG$;oJ*zYulQbnAPNbKxOW$Bl3G}vK;`ie?9zEc629C{bhDKfziM)ASTp-M)$62C ztzh09p-mr&*Ac0qWNFuutEZZ%k}rfeYLnSQp=XIb`=p8&nlA6z_x%NR{SlGyIGHU% zCi95bE=axCk8+E7Aa;y;*jUs!k91UMHI10MUuxO13{f{*Cr9c!39-bM8R;@lor%GB zRmP3rBshY~*a+%?5j-wJbQfOgg*=f$yBJ#c#J6u-pcmz_!PsdAn&M11Zc)rUC(1m) zAuf^=$}G(rD6c{(9t;DW2dot$d~WZFE8dDMnlJvQ$`<{0n`g*E?d_s_yho*o1D}Lr z4i6-VgxZrACHby-L{f1L=i$9*>G|Pq`0&ORJCW(vP5V~*Mm1KsBR|R|PFWu6CkGVp zCt1+HFVTNG_bzhghk$4&`5bK#P4fFbdS%GX1?(I|QjB#rlb1t#TO?JPO?P{qr+PL4 zx#+EDJ9t3ajZGInu5(S)0I3|}=;bwCpgAbWi2Kk_I%aMV*n{YJF<0%7w`B5$ z(NCUbu?V|0cbXwdZoKdDw=H0u&AZq-t17xbhyfE*s#-g8WSz@;dyh5mVY=nkSCy; zFK$F|&611#LbpyGQj}ruT0Z+b60RZlx}$di@8-Mm?ZH0#;ZF-whQitd!Y%OKU^ioP zWBsbG0*CMDH1)}!9x|jHa^rY+qKXQlMO%`k(FOiW3R0Yeu!>UQ$RI@rEy;+)sC$Zb z@Q`f(3FX!YCl*7GotYG)#QsbBSTC-kggGkHRuT^}Rq81D=O_6Tt6#Xb5Pi}`-#?pr z{pNZ>2IB_v9f}HY+EIFo>X$TPFjaXq})6?WFPmYG9}waC0& zt&zgW*-WGB>hDH+)nOwYbri}(_|JnB@;Jfh$>=i=mR>EoGH-HkDIia&2@uHug3 z&NCH7Xd*|WMvMP?^fod-csc_QSCe zBri(4PuX)P)9I1=jr&AD*vNW*g=M3Xa4n1sU36-@)OaEA>wUB!z z--J?oRD{nG=h5%)r5v+kJ@xJ@yV8lSQBd`FC0cGx>!qBQ5kWaD!nv%?Y;SE-zF^<= zEoY;Us&bwECX33M2TRm_Ue}$0jl8>FVUEQT1=z@@+=JCKzOLGIEDGIsYVsx>#-5B* zD6iyt#q-*BWcRhGM7qY|7XDdma}D-8C(UDrg)Y2c=ofB>jXcw?Jaz6AJJ`sCZSP-f zR+nhJQh{#1qtf9@bW9%cq1^XmKTaTBc6f%v{>{x_tUepiPY+hi)o_ilunMhB_67%#n`qb-6Et z9>~%Qv|$L8#J=Hm;n&~$#lSP-F#37KKy^?c^V`yVPRmxq`=2Kz*FU#cJ`NiiWvgDq`XIlIuY5k}{kcXTvZ$sVwebuc1tE8vOKL)k&=9&Yx|OOJ%Gtyq84D9L zA4nZDLU*wZC3L7?b}Q~;%&BBbG5gZWW6gl-oAq+%JFWVpfODruScbx{>da{OwQfexc245NwzsID2;cyp{g_ zyd=Z{@ek$Y;}7fiLf7olo#f>(pLQqO({P2Ztg?;NxS|TwxBd;#dmfj$iQy=JT zH^*=N_dV#l&Jg`$Ixi!(is!d&Z|Y7wQxk5txLtmSpJki+q}1Hca%Vf8RD%7dzO_4g zI7G^xmnHl9=y{jJ%vl1rwHUgcCmr7@9v;wsTwh+aj1&5_O*$es?%CU^%^T}%x~)^? zyPPdUvIFDq+3hGuWPIqqYdR1x?NiUfE(1?ue6yK&h+HT*zXl)u+t4aGp-64=r zbKu(Xb@tJ>R(`);ysG!Jc~_>!9O-1FK}cAd?7!sjWF30^bH{orkzdAQfqbmKy5(h# zh4>3AhwR(88X4-{Zy#+bi*?@D{J!@UOS3w=)Lb)9Nh|NF=9Q<>aVL`J6@3yh-F-u2 z_a%8AxEt`>sSVud!A=hsEs2Jwu`vnO&JfP>n+AfbP)Kw97A=7=I%djh2(|r!pc6>a z;=rZQ2_z}T2U-3n<|dBd8G@&n&Ny_%A*}sRPS4>M9c*X7@))dszlFhAyMm(vVqiOh z7x*oLa7lCwkVhf?lX=KWy#6tBkADSWt+0KN4z(u6_{L82ee5x(m?YdO=Fjo?r~23Y zFYAX2yKp>!GhFCImZY!arvsrb;->@5A^y>PD+90p01gXr2&KaQ=HNIyuo$~PT>dy!xN^-}XQ2|Be6S{y)U)e~QDd|Fr+s z|5^XL|Bw6s8L$5<4u}8K{@eU#{Rba`|I_);#OoKpp&$;&p0_dhubzK+D)9SvYr=QR z2U!ijOaJs95dB|{16(HJ??LFS`H!8FE8z7i<52y7*#C*z7IQ%>ptc1Y%%kz)NsslWKA{yTnnI05~>f3-sw zu0Lo$+reEI{coLx>q48vzd8$tZm@x!qb~GcJq0&`jF*YggZ7Uyn9FcL1&+mmo#rp+ z+4`9CY|Ldiq(X<^`oElZ11H{~EO5t-NuB~NC=Gr&8aKoojbkpuVOi+#`>)Qz$I4VrGOd)OUWzDT55J{jZ|#>^)+z>EPus5veozRy9w% zCb_D}%06W%#JDF1pE@YNVl(1&%3;I4kutg4;YpfFyQjbH_m{lH?p9toEU`TIvz~;g zTn_u(A*#-nP9kOf1{Xi~T;<#x3mv)e4@b2^I%Qf6t~wE{m2wbB$sfvV5M<32MYNLT>hk*d>)ad`L_;LIF)!o>-%^ z6&M#jyfAdE-)!ejgJ*$5?~680jY+y%)7tM8JxbEQZ0_=AZ7kom@VA@Sa*YHN1jL{A z$u0qZ1NyBaw(Gz`?T2 zg{(m#8?JK6RGfk-c@R-MK(XhlmpI@QM{b#EfHEB_m=I7W6m_N`T&0p3xQ*mYE|&}7 za)o*nB-9P3xN|8UfHI4#GBF#c%;8cz0c9@KB_W_*1auzv41MdB1;pisCC3>_)Yz%V z>@40%m8yIvOOgz8mlRJ|aXqTAdx3n7{_B>6ds>%A_3Ji!MEK2ItnF@g!P!#mp>%j+ zU%%II-EaYEFuA3?_+|gjnL`&tA3i#JswrW8l68i9ueb@pSS&n#m+Ru?Z3>+a?__R^ zS$XbgUB#*lo-C$Z>4TadPlc8yC@ha(Us8Hk`dUUr0;N2&Qded+K{zT>tEOgOcGgkX z5Boj`bbM6EB(B*w>iXKfDR1H4E!*bsFD;RAkmN(UdnBh6r8|-+Wr@l(ufeJDU;0;T zEAOPb#&J?5@}Kf1sL^sBt;={*jEW{5|9G^ZZ_(|&g_o{ub^0i~@)(b}!wGRug>@+Z z*4gentM}b-n9-`6eB`TI+p0B>5u3dlGhe5EK4rP{#apmL!nQ2Z87@H@-k|(1g&aMsPd*F9BMwh8~g!{$b6bU*Ai>7i^g&u~7?4 z*%Sgj!%8;vAzK8r4}lL^GB}jQcreesFa>x_z{xmU9p$nqxL2cQlm>MQ^dVb@Iqd~0 z`IE-fMinY7;C2#9lLOK$sf}7#R+%xaQH6%dHKjGGVJSD@nHUZw=CU7C1sZ$9!`HhU z>io;qtjHReA%@!H@5`sa(|raB*o|YCs|@ z#;jvG2yC4@dGWVfr>@VPij-VDdz-iXAhOt$a#6y|5xtuH+^j z5qF-c=ZKzL1e2VTnvYHYc7&Swnq;+d?>?S)%ZQsOf~C)*g+g+5i>cyjPyDJu!o@q4U%Ep+mF-O~lYZ*80-2LB107)=JWf zEe{%EJ!wpn=q%4PIbmmp+sfG_;aXaWmrNo}W!^LRSluUJkh}8!^ML8j5QXGBpKHdjQ zl|QW?L^vNxB>VW$?;-RTONu^IDsIta6+KENpA`@{X)h#8L>}FZ783~Vy2OQtnDZ&0 zR}yGjL*6Z%f=(?V`3@6%X576?ng4}UIIGBZ+kW(lKlz3rQM)0*oAODE95!OrO?5-< z(Qly&aSikr@%dl2dY7SUk4lp5uZ3RN*9tOGqas`8YOxp~*ACLZ76 zgtN#uX~yS~?6JhFtz`Xo#$I=Eh1i#jYDH7zAe&|S&n z=+J(Z%oY1Xo)n(-d-j1Ql^U>GTg@zgSep51A;V#zVAPM|hy6l5H5J}Slstd5!PDR= z4%&wiC5LxA0;)T~q!OG- z2kTfds=1Z$5q>r;X)}CQUmW8LTB|8;PS`e$oRdReOD#y_5!%jhE84y(dO@!{!3?^O zGihe;5NEpP3-atSxE`cP;2R;QJ{?4;Q+M(5MKE4X>&g6d`?KosMabLP!A^V0d~GEd zoqA^FJo}fi7R%}HA0*{}u0x~GkUe5gCQ>w}^7N?EOO+CrZ_8yIA|1Q0p4GR1sPE`y z=J5i7L$s>q2dh6~Q6hZfKd|O!^E18@uj_$pJEg;78;xJ12;c^h|;vlb~GChiMyeQM5Hsb7K z$eZ(mbuynBP2}iT-|2G{MJpsIldrU&r}*phXwRgR!Y-9M>LiGfu1N*FF!=7CFC@kc zr?Vey6)ad#Y`1flWi;t>G2&Lp&$_y|E|n$zh}Y3$_io>eioqqyTQc zLIMex*-yA^Fr2t(Ec*x8&Sg@Fn+5ccaUhYetkbN59qobT$m=7L% z?R-C>oouc=6occ+%i-l8;Nu5z*iO8B8V*xoJuu%0uLr;1VOaLx{K4i4m@l7TJ1E3O z?;s=-7kvS6{QPmn<;i0*e$5{rJU-d3t^aQ)^dJ7{fcFW5YLCmI00e1FgUJVDjF?$bmOri@Rlo=9DV)&G-U;o5zp`LEF*vR~)bWPp$L0^jVLS2iX*f)U^}zfx zydM01|I7J<%@Z(xuz3UKPY-Mlm_MHZ96x`Wae3;v{5v=f(UDQwUhw(=7hx|309?nN z=lkB^@}RCYY}Zq~9Q<|t@8($_&IbUv2-~|Sj1&CL3C9V8}3M`1}jQ<|ml9*n9=;e2LGqegMbM zTW7qSJ}!4Ijzjd{&A$Z``qMR`KXCs6_6LLG`eO+4$KPj&!~VeK%=r0-%S*#yYUG4= z0Tf(+{Z1xKXxEDg?Si`>uw57&*Dhm_KfYZM|GReK^3rganl_4%|7-#OpY#ttmrx$}{R=`9PpAi=82z8>vj=>CQlAkn&kToFI0WF2 z`Ul$qY9jur(^fbRuzz4$VSQ@5J=CQ%ZaukEj23Dv8g#@$}zLQSo{54^B?GXGvI8THxh#oTtwz uT(6>r@Ca%w#xB
  • Emc{Jy4~ z64ku!N5SmR*uQE*W6*W3b<+5Ew98*bt6_4p@H34g|JLaTX4K=$%3@F&;%O?v7mBdi z{kkn{9N$bg#j*CdXd`F$1JF2gx}mIZu$x9~*SG2Z9t}b{i5UhmXp@5sX&A=L%r2_2rj?+F!dOAggf>)vqQsSgq-L5#>v`jMd@kTc`L4Qh+BUOze+ zu#wmyKf7N$L8}rk)weK;mpuxGexP1$ZihM9@jmUjQ&?At7HDr6AL1=){O_oi=Y_j{ zA58)eg?3utqX=(rbAU@w`dkLYWkz~>j*j($Aqbf4tKp{RqxaYO zTR!{u&AAepJjJfR`;!n}6g|%pCYA+Q?O*r-5;c(7kh;iYvJIIYd(ASFV%ZpVLFaDx zo^%vHeAND;E=nNSa9F;S_CoxqJ!YQT(#~vxkaw&6eCc(EcTN+mmYGxO+RGC0cRDnx zO;b*81D?hO?Dg+R^tWRNNRP#Lne8QB#yn#!yYrPI(`vzfP=cuBVf2F7-0YI z!u7P5XjF3km;k5wA8vx&C{LO3lsJJ%!q_+OTfA-G@bW+P4W~q%jG5b|09C(by;`JH z9gbc(O-fFx-Bx_nVvP?xlknTuy#z;h_Nx#4!TTn~R9JM?oOj!?{Hn&VB^?FZ7i8V> zbq9WgRrUF1!=4B8jTH;HqJi53>s(H+mr{xn-5F`JpMEKPGF9+&HD0nJzCr^MB>}+4 z7YG#RA8Em#5{)LTCfl8M)44$qARC}z?6{^u$4ySRWI1|ibr|vybAnUH6obp z0(FkQ2!t-;x-QyOZ(Z+ho^yY0$cK00b+HRy+#8wO=llfigXN16?u3QmeBwb_yR={F z{k0jTUy&cW_N;B`uT1YUbi}DW(?W1y@dw(?8gZIe3k;4p@SQ3;mTfy7xjl?^eZyW( z=@G5Cgx_YVB`DedMPHOG0Xwp17WcioJa`vK+f=-2VT%iW)4*T;UckA5lXRMMM-1$G zs}*BWGkVY$#JgvjOgZAOFsRXnct?AGYhyO3VNThRQ&sErMR40tk0R?-S+*lozDove zHF|D1f@^l%UEaVBr6S8&gcp%~`x$B~J&GW@;`nlnAhWNkIs44&3N*L6+@xv?2z4M1 zp~bp+F+sV7(TulvC;U0fOZITrT?^p$zwn<_4IB&wGz7IjEpr5<=i<8wrzaTP0(jaG z@zww5c)4D2u=Ku)|vyrIu-5Vmawp^t~d_Fu7v8{BQ-scNFOkrQY z-CXkEfEy{dH%5mO?d{(61A>mm0-_u2{@^uwXH)9gsTbS-NEh4i4vdkwx(RhW=+VkS z(+^hKV8hcZpkkHnWL7L^KEf9pHC6k(rDW2U6TnV>x8pl7bG%C&t6goJYGgrv(?wR! zU|VKsWLR}UrR@gm%PFyz++#wqA%QK&-Sby&YI4f+od=h@D9ul+Tu(6z!5hroU>EoW zT|gPYTX6@#6RUaXA?`{mpZ%HZ3!J7uswtWaVH8`)4Nzn!W?p^EwtojNm>YR5d#Uvq z>vQM4ZzR)dk`Rf4Y~V9dT>jkwPMwVGpFiHx2xmM}3svR0dpn@MsXBtw5w1fO6&8@q z0ITO31vVrA8bp(2sPM}L2XA{3UM%Yc!s^~(kQv8XN+|73k8ItpM)#bBRT%F8a0Mml zx95as2KzS`)SoOGcsV?!W7>I3#9dSDIW6pwzbm?5WIyFxDCu5p7P@i|9!~nhb!2bx zECsoJgNn2UiMCoW-0mnd;YPlkVo~chhQK5;7td1klmI%{6*s1aPvgaSPm9dA}WY&59;1k$)W(HW@|J%-PEYbS2miRoTcj|G1m?TpZf0MEo21En%E!!i;Fh0;UQD5MgJR^5YWV9w zsRhM9r6TjaJpv}_uiRgY=UZ|rk?Zt@M}Fx~HL|Lf}Y(IY%+EA|*J(F66etlQw< ze5)dbZO)gAtvm7LFeBU{yg2KJ_dnZYs>=#llfhBo#l!_LKj+lCLyer3+XfgMiX->+Ln+d9iA>SYD+Gp3nh1p=%!IR!38< zEMmxzYFmko^FoZ7Lfj?fFZ1i+^^@=7x%i6J%6HR~7wv1x&C#9j+s+q?*C}X8niC5S zn-X~Uo_IfYC}!H!tRmrFR0DSc%dV==L_izTX5pUdi+=(?c{^kfi&E3*uYgHJFZsf`i~*hfP{5Mbg@l=Dn!40K4_--_c-gmHYnfYbAGL$P0?(N{CZSoQ78$9rif?p*=3 z$o;^)b0nVkTCuM_MU!R2`d=kp8_rCRvDqjrqg0@@isMWT`oiDBBfqVO)#`%Ic z_RqJDkV$iGZaUP{brfh>-aSN|4@H4dSK3_I1r7Cy5DT;kKf90}a|{%w1%+pAKM`0v zBh_@0yqK=HR~?SB>yje`OV&|>6!QrcQA%e>o>}K1M_LTm(}uY04&R@(PFC0wQeKeZ z?u%c=5>V_agUJ=B%lFsW1$;0*%{EKgdMy6BP3d!ZbzUb}r0$Eo_{g-))!$9ofp!5> z;6RGrd7MfI)tWBSY7=wBT`2+(T%@Q|X+@=hMBI^fs9y{gzYTQ+*GkTahJ&DI0~NPESS>kFwAReN?qNc|C^ z-jck}Mb1X;QPHRWxWHSe?1SCiK5!q$I}dAkP}bk=L#I&?$;fWphR3K<9XAEQYUuQ^ zX|n&DuS=&_nkn?Gie1UOPVsyPHk%b}0{FVR}Y&_7jz)u_{nUo%oDfy}@Jy(7MOnnxW&Hn8=lKkbHt^AP12M4=>9bES? z!EI29k!hK>5>@!|_s`a|1cQC$gLH$wEHS5%F*+o_u54q2Qq z1wZa!AvY)bPM6=>u7_E?b6&T%mt$*L>2KFo0LuZyH^M9OmFyXkkGGaXv&V(bulAQ> zGmhvR#GkW$7S^$X_`i>q2_r3G2DYBr#!-3b*`YTkG49X1ueWhZ`A z1$XIYvR%4tc`ZJZezV4?e7aXJ+p{leg-dWq+yhNbXa&C+rN;|Kpd|ITH*<^aALE|2 z_lR9_CD^4@CC}wN^aIED@uj529NtTxn!?r-mp6NWImZS4{wjINrJ)km1!Rs3dbaHZ_8 zV1A@5gqA?0p58HpguVDSFis3jo#XinAxgUeoXYFe27DkF+B3Lyr`L@zWB3~Zd4So( zSd#y_yz&f=@H2(4GOj{rocrgg?eOi-9e8b&7>@NOHcS|T%U&6-_QaB|?*pBd-_Em> z6m~4bqK@+Iz%VvU#UeD-F0tj0V+6?d3O?s&=!_SAfVw{n$FCnZ3{-WFtJcZyf|FQ= zH|-AGpM^owevn371NIY6TbPIukyS{Z0PE~A#KFG$4hRyO;@>r*vAirE!g;Z54w)5S zv>Q0t(1sQ;ES6D){MqU3qV2|3V3`FJ>P) z`UI~}R;dsD-C9$J*I8ae4-o^m^B?O@`v#<-T>UTEQ#i-LkYw~rPGgS$F1+fd9UqT` z!1&qMB58>+(yAZW8P7P>@!0mRBkPv$%ICSZ?A<%h+r>$4djg&e%sLYCig-RWA!Oc#Xy{oNx_I=j%mCK5PGrJo-R%%8z7Weax=Y`Xq zvxmYhZyk>e#qS39#IfEvtmRk54xNyLjD@!%M0sOLa6!>Pp6jE%Y>Dra%8&XvlbF}_ z2gwnn4__YMV&83PpHzL1a5UsX{9R#Lm?U%TBEv#wBOg|v&lR8FI&D?;Kvxv%upAXh z9dbMR47;%5qA+dck@F<5S*F}C10goHaqdZhabz8EiSo*6TL4^}F2I(g5I_CnuKB$yIy0`s9$7;8& zqPdRIrGU}D*a?QOFHgJ&u7E7K*H3Zf0Ji4XNUwlraWT9K;j}b6>qT>&nfKp!a%(#zd zQo~e3Sx&?Z->I#}+z)-QT}Q;Lyc?f zXGIN%FOVH#Tt%wWhtkLgVN0_JE;qN+lc`z3go`rKZv=jO$H->-Ve)eB+3IJo=^L!E z0gvj%GjnF`VV??@J45qgK5GlIy)fp{ zN~>7Z%e$ok*#*|r5WVb?+rI6;#O|W=6KU}90#AWn=zYK<8*YqjalAf(*F&dQ$!2SN zpUGb1?w*tO+zeNILuR69=mMYHNZiPiXK0gvZlC()l1YNfjXw*mB9Bo|hnM=+cL-zp ze{#A55*Nam*>JUF_&k93;?X^F#!gZ_r!_z*qF#e{Pq3FJvEGpy{|%r!ZHtO7a@N{j zh0oLBJj)ctdl2s|3vl0HJZJ*l@=$D;U{A7~2a-&&NxL!tVj^+sIMd+i1w~&&qjSPt z43&1A%ef`ao=&N!JCSKvQI0O|e9>qp(Fox|oixx-@X$tz(U1^W9kw*&(RX6cLne2Llg|*#bU1}x zFeAY0$=)Iz&b)VAj>?Bi_J=)Q;mqjKapL|e8ceWYY>5%&8tEw+1)o|$u&|GwJY{&_ z=o&}3=zq3mp$)gDN&qUP00`gh2afS(jI`YNGmYpP55KPX`Z+dSBiZ6iy*uw-Qmic( zpsMb7@sW{s`NLVKAU08;Wr3=a=$i7bxNLhIFQSi{T~u^VrhO7aI?TTnXu{Y}NtJM7 z{ioj3Z@xRozrYrIE*9H|TSQ2}sv8K&$;Z~yTdPE@avJ$@9N9Ok8ECAziS{FCMT-Ie zOK~3%m{}iF?{4$(#Ci)>Tmo5086aDSxY!QC($*Ce7anV z-*%I&or8CW@+WJv&^gKWVokq%wgAKEeHTj)d<#1+>El6RY~gf7m~xM~Ognf~=g`sn zXsXy(nMK`(_6>p@_q!ltlfX&cA<@Gm?NPe>yZJ)Y;li*#Si*Dqa%e%i>@Ua;W<&Qi zZO*Iguhf+ojDgS2s~G2arL~;1tCkzs@_)#B_i(1){|~%EDk3T5ScK%16fuXSQjSG( zifMAnDd(73D2Ie{mcz)Ya@q(D8!{%R2{Ff2%$#NzV`kfL@6Y#l{r>q~uj|@%z3$!j zAFum<-jDnFeB6)weqIf``upeT7wOwN`-ja+UqZT`XIHj%PF1Je{9c+?x7v1O9OhbJ z{OEE&ld&MZYV{xscSa>zYjRO;`1(Qhjw0eN_xwu76*WN#rt_(>zz!FX*giH@^?7a@ z_*HG|by@NFG{7xULAh1y6zq^+Ylk9Bl>^L;qQS3vjc?47UL>$faXibpVrz#B;i<>k zzDvq^CLO-2;%xGog!@Ra5^=$M`6a7Qy-bw2^37NXhHRzX4@HiJ{M>(YrK!=48DO~3 zzh6)`bW%m$GV#eVncJE10*1Vk9d;YapI-Jt=?nKO?r#3>@FZ?k)ar@@YM`u`(3~<^mYN9@Xu#Seb zGSHe<`TY#r-*$vAHkFozx}?mhimr8?k74AyyZ!wQ&^a6_*av=={#7c>k3zB$_i5F7 zHd>yCy`Zi3lMRZBA=^kS7brHy+Xk`74)0$Nn4G&O-YWuYw_DvK`JC~_(!u4UE`>?_ z`>adqC&WY#m_2$Aj1lCdztZ$eyV*x*Rv1uNBuaE@aI<_cEmtZgW$Ajmtgr0 zIcpZJxE@S9-Y0yx{>3%7b3?KLQJ*V#AFXyxBtag*FNJ@1t!$V<#1@)YpE09pD2b9G zH?Qm+i?iB6d7cVp*IPJy{Z`-Zk`{4uQO`cQYE&*9(j>V*J{aw{M6f3V$=ca|<`D+5 z;biS`6s>ZDcQq7RvSIcB@cZ&YJ62Qhmep&$MEx<@&W}6!w)Cq7kU*9FgwPA<<}A%` z!^yu~FuvJC24*dN?5yi_U@)XNPL9V1J4t#;mhp;^@t#UyJzpY}6vV9qgEhX+nj7}E zZ}iJpvaYX`GCj8XXJ*2B*NS7N2)pjcziU_odbwpd>HA?=-8&SEM9un(vjatMx3ILW z=_Bt9eK9qE)GFUwluR@_u^LOE!xLKN1>aaX*6qucqHm<>vgmz4 z(z1%Dtl+UBwn?VhCpZVmXeibWM2TGmg@ZWR zN9d9^S%k?E^V`**iiw9Ej8f6@hA_rQs&xO2ld#q*j@8jyqZbcv9Qk*ygR5hGfFS5= z%8E&d(UF!b_tSK~0=%Z)nV;0S+UBa-M0)wIGsJ&euZw=xdWCdwBG6?xh2~#i5>}^= zcC0kW;jyn~<6bb?mKKYTbUOi5?VCLiZMTy45Cis8ch4K@>bEwOXViCvAx7nT&PF8u*KO6{*2EDp#9PIls`)qrj zy7J^!qh51|@ZZwZ_SW!JyGZrz7QMk$%%UyPpnxdf*XjRGd^isweW+h{z7B7{pmhiM zV(1Txsd_S7(fKR2^!ZHuVmvu)|LK#4Jam(G=YD)0_aeS+Q#e0iQvm3+aT6chlEvGk z*#0A21k&yJ;sL9K;%Vb@WVPZ+e`>_4`G8a1uXC$hYMrdvqJtIcBH=Xv!3~<3)}P z?z$I46M|T}>tEaFYagwL$<}@W{+j|~=uh`g8jyDOJfJ&K9z5s18XQu74`gZ2mzZT8 z2${DJ4F{Y{HEEukKSf&L%*Y7M*23u3_v{vZ{}HCymn`txmJiYJRlg#^>rY7!rWZft zDaKr=JUV_UGns0Mx%+1Dv=suaFDv%X=+*Ve-A*s!%uN{QTuAfNZ%5asF@(K#e<^Le zUeUmOJnN*Vrnq-?SZfr(%_5L}wC<-h=Gp8H0uQ1F`h60XVnCN=7>najMNZ3ZjQg0GV ze|?Nvn`m>M&E-82TrER&`rDd1gdT(Y%^w_w9tK~d;vX;!w^R??w2!&;o4g4C8$h|y zrBd{;;ko?#q6=v^`#bipbBf5kESI!^#klC+gd;i|zKfn~+68r=-{#FIj~>|;Q`dRb z!G%iaJpt||E=eS4s$fnYaZ1u_yT+Dk5`b-1oY5+_d9C)8>-p}vVqCAy^Ed3N!1%Yw z;X%)~CBjNU)ynjg#coQ%$r+~4`n#IxWs>GtkTd{xIBb#s%3=ZzzE9;>-mdbO1me~G zE1Cb&4+8K$w4XOCLtCs{V+xi)%i`AKGAbW0TP9qDTew{;X?e4tFYc4%}< zW`RwOXz*8^01zYS!Q-Z|UanqKQlW%7=W|x$cUtnPv=|CH3;L&1@L~9&_yVmCgUfga zjDYA`2nc&3>?&e}sUVXP^vh-0@;Zca&KJiq9l}xqU1Q|H`p@7n*wZ8WNIT7GPBkin zj@GS8ki1F|q&Xc!Q?lBgFOp0r5-3S@Wcpes*E`KaD)AJu@;tt@J6`9hm{O<`SLbcM`9 za5HHz*ae;$Rw^A>zQoTwU5XzhwdTsCmW1%j@3}hld>Fzi#I+n)Vrqqbp`3&>e&Qwjlr32pOF7-_U3r>qoOf2O*8>mwABXLGtPf_G4& zX7g_IXx-VlH?><5>!R@uNSzAL4)khnkz{F3gks2auWBWD=)+>x+PA?GgWa0RZ{~)h zf~zJ4lP_6KA`QP&H2A~_{>?}vv}Q7DqJ%Y(64BWKoh@h{U{)QR@ogAD5c2GvPGe?Y z3@0N3o}}QtCTCh80aIkAk2~dEKo@(m$oZFRnO3tuDaD@xi)cS-ZV z2PfsWN!3O@7yb!)YQVer!KY(8(*lnLY_RJ~$hf{t3ECL}HwwtmQQ=^uQC z{IGbv17J#~qug7rnR&kRk^baZE$=1K6#4FgFY;si#jOHKHDZBwH(j;EcyN)cLr=zg zE;BL^JJ_4z;*X;?*MqhDp~W!jXh;~#(NHX+sM2G>5wPS-2hmUK{9TaBH^DIOQmHhGj9kZ1R|*SDqIr5kThP z{tz8aM>bMz%Ly-U5Ps~^rt%6n8QEWI!}25F>D|Tez7m`w@xpy;YH|>R84ZEbH2NL- zlYqPfg*M*sh(CnEEkWGZC)sf@W-cz;0U%giWZ{N-*<@ct9`a0s&-rcn>cajy?+x}F zMrrRC<6K^rMB?<%VH+%hQI}*O1lQh%ekxQkdZKCz=twcu`v-3N(@>7Ic=_~?`M*+} z9eIB31`Vd{E=l2s@@H~EUS)0NN7=7Lrw~myxlGKEl!h6B*x8%>fm**rF;jm~Vs#z0 z=V^~S{V&Gj>n?@sYrHdaE#{p9C%dxmm@~HcKsG+auNs~7D_%a)U-!bU7}{RbRiI{g zXXcgNAeooG_k;q~YbYhHH zC2CWA)h^)o2@eH=PKVlw@*{^{#ZXEmpDDsOf{kH+?T+|%A0!7^3CBTn;MkCeH<#z9>yYV;xtDTv)1Wf)JzDm9%L2X`M~)zd6g7h%$i(CrVwy zn}Y&G*T5J7Vc*KO;d!ZGD(@XOO*Rba3O?0p3I7Z(bp?!hQ>>Mm75km#@I#nd#iP;3 zWQwu(L|y;lc55joyufI!BQ@2oxS)H>hv0Iq~tKjW(m;^UtF zi1&*n8?6O(RtUA4!y!q7BEE(of~d;6SDrjRMnyYAY-#f%qTx-Q<_>TATIzjC)5%Wr9G?6%R~fGduql%I>6w?pp7Iz=Ho$25QAdqeAP!TnEni39)rSxi z_f*D{h0EuT8y6K`#2Ek<^3W9g1>8`2@G1+E4uq zMq~nB5o<+!?Lg&0Ii|>EmGBR)!&#eOsNB=ZxzSiO#-l+pB-u9ci$CHh!lZoWBSu=t zR}Lh2tVsg_J5JLD84CFdgA7I1R52_wc=PGjhj8aa9_EIWQM;I#t46k+>FlgSvv~7c zm_hD2r9I!O1HF*e*&zL;J>lnVHe@4d-0P!F&wD=2$j`{EkkO?X26CrL^kpOYvhhyRH&P{QBGq@G&427;YhdjvA!3p;^u zOCnm`V`oGEnBmF43|%XQ7>TYxd0JrS(D|_2C#!nApZ0*rJ1&to1-S&dAqnf{RCrl~ zj}^7(<92s$nOC800U9r zGp7(I?foAfj*Au{YCS`C$95XL*`2$L&rfu|jPJx;mVoS_;Jc;k*R2IeCpx4%*l?&* zFj+r~xN(ee>;N35mKL-JuBJ`kC;l+4h`{X#_h>AI$0-IsAKNWfqweTY^DOUF0As>Eygv#4e7&^9ARJu zH@07Ijg`8)CfWH%?wAXo%+=nXjY&%FnR`g7;8llZvtIi`lg-p_YyV)H73tR*kZJpU z3@cHvtlBB5{2X#Z~rb1u&S$k`h4zt7PKEF69$8Tr`PO3ipNEQ$YGaEB%5a&=H5PS1Bv;X zWlPkqCp)Ygcn4^5%+2>I0>EA6DKW9@k8Lr`Y$*r-4EI3#ls&FWW$R_L zrF#uL(`f`PJGgODp_2VF7)86*L>u$1F8i`_ULN)3q12aOLF47t;$O{-%42~%+cA~Y z@0iI-T)HyUYRS~V%yM~2Jm67Nc!5={+KsNBmO~k~ibHVhG#H`CQ}|o384QV4Hh2NE zY56dJl;JfXh*!RnUBhtMl`X6aZYI_jzY66J`Mfsqo6zW|PfW!9RfgFPdlhZqToz!F zq>W;^p@|D=^9Sefu`>d2KdPWr%%HmKLw_G7QT($`k^1%Q*jXdrx1182&UH5(KZ+X2 z=^nCUTgt)W3xqeS>mR@+d-c!s*2AAb#MwmKee)eul@K40d-%^GP=mc_ixTT7+0U-e zAU#i@SZ!$}(fK%WT$X9tbgbMPzpUNyXHzP0Zb$4q2r(f(s0qhcX}Og)sVb6O{^f>q zF@5`gqU|LGr-SMZVZEoPgRG}uZNK)<*_XFMG}N<8M&As@?2H-&Oq!@FpW8?gcY-zd zDK37U5q;M$*zoCf<5_6CQXOhv;FeK{_D(oC`jaqM)O^Oz~q75Pq+tNu>KTjqFCG1}Sx;He*D3i%bRus4OoojqwziqX8cG~PaVz&-aM9|VZH z(qlY>c@gG_yUkoyQl-t!HdXL%bIyOQ+q= z{(CYM$gLDAn_8T|o#+8dta#Wy5*(Y@{@PN;$E^TIYmnA*-0niF{9FEEcUUY+y<|Eb z#s#-Y%%Yi>Go8EzD;Ujq!Ify`gVmXw7}U|8zlvqd$(gPr63Jq2ZQ3BY*__POzU^ZY zkD7;h7(5HmANGw-3eUkMinNAW>#Ykb<0xq$HHV2)~f%EU>L3l)yD;SG?yMw)FTBFjIh^Y8qd6n&6~lT{Da1K1YbDSC$aAOIoct(om% zZIR_w_C>f230Ije=9LY4EcpcFP<+#$97)1iWZit;;+OHJ2X!v~OXFLHcFcnZF)X91 zVvV%`<7FXSY-$CxJNR#cUKEQw^a~iA$V=(|t|SOaZyP?wj)Rq~zM3cab>CJE>TZ}Vhoo|22>_P#bBV2&pdzcm2FcZM?=)drREJ~vNOA^uQ zi;KabcXa;>AX(tbz?%pj>8s43xuBB%kgfhZv4iJ5_RB*M!#s4k4!hDjhD-ZCi!z*G zX8efLd&Z#wy53j7cQ@cdlyqshmW^(*9pL4HG)-af>JUl+vzqHn`c9pg?o!MBk|n z*`2xhl~8nGhCMS9NA zom!0d$kyv%Un>e<>Wo3~9htX!*K+upcy}yGJbfCGw`@k$<*C5M<2b@MO?S*rro`}0 zalSi(Isw+-4Bv~tF21_<#8wBZGQl%k_u;^?SU*I36kV_C?R(%w((0PS(5tLJ7SRQD z-!IyI&I$iF_C%!R%#yZa*ouGObl{gLN@v+`Vr*V?7F6Qp(&Li;{Cmoybz5BTbQ&%! z*V}vXuy2mmed?4gF^oq--3~Z{t(hf-%wA;QO^*Jv3l}abTu!7P z9?)?v03MCHa;@mpevgKb_6N2=M9Wo@YxCm8<1iHz?@>hL-rcNFC!x{n-)8C3z#rk6 z2fgO)ot`U0+Ec8k(#7`7Frs9eV)U!KZXP8en^0<7SR^s>5$AidC_FqOU;l z&LX#SWK#6JDsV?x$G<&0(CPp4Nk--(|}->5KJ9A4UcVeE$YqLx+rY?wjXuB zhI?X50;WV-8ZNr0UVqdc{tXmoZb*1~1Q}?}>W+NIGUH5{M_Ag)rc#Ow*z(Hh(2tSZ zRigRvJ8nm&S6?4Ey;EzzG2dH>82{0nXxDe*c5WfAzx7`+t1Ch_ePYw46W3dK|G8)G zqC!0USU%)|&TUO5oW?ElElAK))6->h&V$zOVtBM?aJzp~Dlg4V@YhnFkM<_vWj}L4 zvzKKMCmAp=LDPk)?)wTg@xW9oLP`qi&~cve75%--|1>97-XX!1%CGBA5!a?4#Lw*t z?QUTTwQfknM{Pii<0#*LwSo)^=dEsHd)*&Vin2A0%;(w{81X?*a)wJ~II9;#JB}2e z+Dwr!y-$~0_lQir+FT^*O-5I))PAjdhJ4c~PG8*=9g`u|;ljR}^A7W@%CU|(3!K+7 zgF8_PM(vk8_w%Cv=U$?u*YiZp#*3$k7Au}&wa|1O<181f8=mm*^^Fh zfNNb|GIy&PH47=r3Nk&BTXjyQykMt9@`9k@o0 zp&JZjMk%_{l!gXgF8TE><3 zpNMT|Qzgi~XPAlmIZ&ZBWz5~koYOsgo(j2|71X6)=crxbkIT0M78)(*9NeYrLIMh! zU5lKu7XTx7!W>!U&*na_2VxG6&A|t17vkN++;**L|8%(+QvnSs+=SIU4?vJx*eK)) z+6uS39D|+cyjTwVRTorz7;bV{h!3#(=?IOl^KK-ph+YS#2dMV7r^6mL7X`=TGAJfK zYIg6JC#G}||IxwW{Lo}e(nn2p9(w?48$@FhpzBcyp4%JrURoZBga3H1yj_gOR- zMUG0&IZy2m7iq<8`TU}?q_==^E+53u(R4Pys!q2wGEiC(A0%hR-!mAF55-A^i3z5C zAPMcAkNQ2v+q+e+(c-EvQ$uysXP;~>qCUS-cXZsA^m}QrO`LfH3f^pMIvcU_eJduo z!eeieGlhz^b=07*1a%=UK6Fo3mn6Tz6?XZU8OuY%e-_TtRez21xnLIMvPyN_=p5IZ zMoJw9Y|qc7;str8lklOjWb-xgPMV^Y6P%eic-r?UBAMHVR&bo~m+AtiRHp3%r{FEEfME$g?H6oA_7V70s(W9s7K2 zLm%|#Bq1(qWWhWkS0>!OkT+!V(o*n;RV%@JX> zFI{-JHA<7_Jc`@y(gS{=M#*w(lV1pGV1G2cN>l~D=Vj_aSLs!s`IB=W6WsoR&EYO{ z3c((tLwWr?)A@MG&ZxBeriI(Pn+JYm>0JN8x{L~Z47I!n39&eI`=pB6Dpx&&%WBgQlTA8|+jHeS+ zHojq`C9|4TV9=;Q>j^N>|bXC#ih1 zCv4n{0>;d#;*l7E(`&atl+!AG92QGTGh<$g8suu+=BA72=gz;&v8^zLusGLWbMmp| zt{^+UCO(7@4|w`L?TVT*QD$lH(()1Clb{^p){-XN8!46PB#XZd!tph!Ak28CGw{|N zPd8r?9|B4{-gFc}xW{(+z=%O-uBfHA)OpO3xSa~|;XHuXcy;dS_xOldDbqDlEGiz~ z%mda&FnGYKh+uBOJG>PSSQC-K1Bk~%`8fOKmj4CJ+Q(^HAS@qE2Q+a)Btke#la4cs$(n4{9QXTsFQOFs zciig-x*imKAaZ-Z{hMV=ldZV(@Z_>Z@D?dAKina2A)z`nCJAVml3u)hrJj zHZ0;vDS`RH!0(`7prK1eg}P*(H(hV%H`ms|A#c(`0454MHVI(A8tfO_mUbOYa#qEw zVVb_CSlF)9^!)d5NNa!f>{jdl2sCwkDyAuuwl4M*Y*W5oSPjl=a}y_MIP}eS2Q`#S zhWMUAK7#8f`CSO5c+5Ij`$MypQQ_%hs7lM()8xAJ@U_11%&AuUKB;ki4b&3vzSdU(bgBOzA< z?#`Mek`?j0NihkfGu;@EV_`yw5!RxV^}3M&1-#m0h@N{;5_c z_)Dx*tlwY|l?N>RA8X5Ddpc?7!x~*%g*e^ljafVTFbIt@?E)1I~C4|(>rjuvo$rcwX zt_GeRN167$sb-Y80ubw=v8WXMi`9UQvcA#fYJk(!bXxApkn6(#sbd+$ztrk`(;uL*f~%cSMDmrTo;MeH55q;&rZa(6CJE;O77wvGQQ zd3H^|04+j3i8ZD?11t7}4ezfd$G&l?Bq`)SE4{G4lp1W8)_ZELdsre+riF-O5UlV(WwUW=1489j|#+P>-WdxT>a#puj+TDp! zsSN*gm$i~--5eqyjQpx!Y2(QMSgy`O7PjK}Fd%@aQ)umN>4futf*aon(%%B!hkEZu zUIXq$OQ7vS&*IfDBuhAhO(XAn7e*XP2|}Z?DH|@T<&SBM#gfjjvQ4<1&z(xO$-$`_ z!&Px{#^>(b{Q^eJtfGB&JM_#sgr56t?;6`#5faGpqeFIavAh#jy1AL zgAvu9$>&f>s~V=55u;^8o1cO`e>O`EQEoV2q5QUfo*>nL@o8z^a#5A%yo%4F9EqOX zFyp3oV975%JoRR=a+vO8;o-@24-1lINmLBZyA|Ohq8d_kSOctm1%+l zUWDFQQIl$?*nzyE4u4!dFMjo~P-_-GEOyqqaz>(T=HM2R2cwk}w0kOF7GLTdbZZ8wxa}D3~43?hxL$GAF zsdN*x+EjS8JSQ;4&Oy?%tI4L~8Nl7Ng@&)ZNXQ%1aRU%L=$hMI?o{>->C#U|+C6SJ z8>8Q~P5->{ev{@h@CHH^4E`&TH;4B*1U5tj92e0rAA-HNMjae4mhnAP;e97AY@zIm zMODPPO_vJq@I}kc{lhS?ja8FNfEY*?-h`*?oPHnV30#xI?Ta0_Va>pBqS_!Kl|l}4 z@>e=q{cOS}-xX7o_3yhWW2!t);3?ZFBLoSAZ|Feo!IM--xt*P1H?aHyn98Pq#(SSP z95K9MKLl+dmQtEGPF2n%dvbHErQTZ)A8tl+Nrm%-q}&W@>iT` znUkt#O`-GC-HzG>4Y-;HitLpQq}g6FGYy<~YlJ$fFsCz_s})QqrNx8DWvcXA@N(Ox zsgiaIkppRg{BPf+we1g5x?994XBpafI}mCu>&8*4qp7&boV3m-7>EZ$7dE8N={ZghR zU*X8|r{JAF=^v5Kp;lIF%!=SyyXdgFufB={hwH8=0Rn7y8K<`Y6^zp#tLOAs$hv{$ zMWqe`ZlNWO=s_P{U6k*t2lTuoDr1hVm~?juMd_-0X`pKSU$3NQ)MTi4I}VQYDK z3@a!$Ep`sqGr5U{ zK{tn)nBFNBOs}BB4xrLj8!6b}4ZSX=K_@Pd{b0Zf1--O*Pmd8)QY=M=mIi}^s{n>l8h&PS#*aw&eM%ePAUjmpesrn&dKna+Qz ziV1Tn+E(yoOq7hh>7p|A?6V>FtA-VU(R)S6%)6^aI{nHdt>o){$U*BC)qa_Q<0LJP z1}NByA$7fI+65v8CPsZ-2w#}-P@1G%k{`5{j`;aoaRND5FwJl9?(ZtZfhD1P4347i zCqp`3=v|Wr5gZ+$h0_Db!7FKFK#eFjr@zXpq0BE6HFuEHWV+@WW5k)|OM~41BCNq( zzL&GP!iWte_Z%2*1Wp2EUKodSYbi?jzalH+eL)O9tz>*m?BK;PxGPB*@CZ&#M47>Z zlcW^zIv9iV_+OJHhnWB^nU5wgb&w9!1g-4kMclmi#R1)?lEv!XnTfd4C0bigO#t%J zK0e(Q0A`Ac!q0Mdp-#WotU943LcjZqmqZxYb$eK(TlX}4@j5q5xAF`9Ow_61(4r-S zQ5~qdu&+46hkH5?e=_zCn4HV7SN|Y$gn4i;dlR8{gY9AJ`9W=GD+Qz)${LKxJt)tU z{&Qito33s1+=P{F^SS~BsuHg{P#xO&=y&*sy4>K|XD(*FUgGBK zf#&b|mcbgj38AKLgv_(XP;`JZ~EAQ#n2k^MW!8890h&9PJQ!$)M!IqkTQ)=$z@WlEVuZeUv z$%j?BP7AdGx63Y>8_gzvZq7EfC zY)UDYD%SOA0w-ZF7D$g4QYcnXv_U+j~_DelL z18w~)5IqXn$c@m`gHPYRKs)W zsP|M}s<5^p$p4$JA*2%ErL(m;7V$zBE8nwr@f_|wV6!?M@EZ>qn0LtNuH-|-vgL5h z^nrQAI;P;QComf+w931r_jo?yv9#3yR+Xy0NFpP(J6vE;MA59NFQC)^i_Q8;Yyaqy znIoWlGbA|CLTGL1)@<~5W09bZgafT%gC*ao$}?_g+ZeSB9;bWz%g#T(8_SO86feIM z4-FRhcA#=))PVF#w#Jk?_)SKIy>GPW>T+-)O((lJm#>31Wavu0Vfe~I@xMsm)bv{) zvA)@&3Bd!>6QyR+erF}TKc-gH*97Eb3J!k*}7-hsa$*xisOwBd^vDxW6m2QGw$ zJg2_rz}JJuoRHMlnYG#1-L?BtlzEi9f30)ybBP0S*~Tfo7qHC$v=oa!Wk!xWxDW30wQZspo9})(unZmVQPpjZa@N_mm8qV z3n+$S7hSuTsCQIIlzTttKUf3yE;l^d-#zFm*t_S_)#=lLHeD-?ExfZfA6sa&Cf4~v zdYN`vJU3b?4`{u0uw+R;q7U-Uc7AU+yN=tjUzc0Z+}DeNs1+y%dVBU7{gmE0ZvnasWds=NnA{R4QpnEh?2Yr*6WuTk{bfo97+nwP;?=#oqza$7#6A>r4Bl~iE|%bhCyNmAG> za-BLH(*|qL+Dv@ek`B#{@PhkZ70gS3ixLvIo@Wn~aw*k$oY0EPT^IU4v~wSI%%U2T zUvL83$vQ`5>kps2iL0LrIH72@jUY|5QPT7$quw|9?^Hukfse{xWPGg*CmQa-GhaH1O`i(06k(LX0;3Z0|w?mo@?NK5B+I# z9pXDW_oApUvqx8^!!JVepUMlT6^}>}F_vAS+9)fFGD2; ziVumZOy#SF4%#g$_li<&P5-uU{^u*-ASk@8Azqkr6xWA6X7oq;)(IO3WZT72-Fo(> z3>C}$NzOZgv+==qAz?i$0&cKmL45guv5tCc_1TgHopHVLFj#WhZ}I0knbtoA=a9!3 z0MQa=RzIsR+n9#){%wfWdnSEV(AHCGh;S{;z$M!_j`*G#yawZP`pyito=Wxnr9B_y z)6}Bf47(VHas>!+L}y${&X@2;nEyH(eX}YAeS?+41rbWIvksrZ5{NI> z>jEIB<01q^p6hP?%*D=ig~yQrUr;}-!=IL@<4!qQ_6_zz<0^u;8j6<%9frPH{rsjr zdXrR4H<0fy$o!b4GpwrCdlk#dJ$q8bGxDZLoLa`coV&rLlY;JW8PkQ=5vE=(SG~XL zY&||-5yrWN_g7NE?b&E_0QxG>$bfxo@t4;u_;WOpM%{rBU3it9i_fw*BU!oVxB(XL zdveX*NFK)tSuAO6y*Q{PAQ`Z%EQPZ=YVIRaOI1O_ST7?khM z+pMK|L(TCH5}Vl9?Y(F9)EW0!qMlhDQ9xHyPj_8c=e_U4M1ByOT?3#%xhVT+Qyg%^ zNRBE>1=k&P&9;$(inzd{2r4%q6HkwY0NRg*dT!JLduKzp1pV|bMNh2$5@j^U*4$m= z>2$fh_7KfQuIZ$yWdx1d*KVh_-$N4Z*M+7NTJPmN@lj>^uZ;Mw$sH&wKKKy+=3!*3F5V+su37 zb=L#{Q!3l+I3#tQ*QT036P8pzIx3L&DzbF&6&EwYqVLSBoO4>kE_T8a-|G zdKLH9zRW1zj{lSYxX*C=Lh{%F^LO*Vb}r-4Z5{N^?WPYuY1)LhfUO7WMa#_sHM=~^ z)Ij5@v4E4hxAQB_P{my^d``kv>%7D#_06^0Uqm5Eo>Mtu885@EYg`(E?1$@$Ea6qf zC0>K19cuhL3_7~UsnUq_X#zdWbpy5@(hSpC(_*huP2HB zDLEZG=f>m1pD2(m+`tpZnluqVx1_><(^JjL*NduK-;ALWLOI+QmxF({D?>P6S&Sy< zbvZ=zoyn3~em`KnhMGEJ@2?O_k$5_5;ULOB(3|ihMIZP3x0`>!2c$P%)qjwbaRL_Iq60#p#4mBLyl7`k|2~zfdAU1l%%EKc%vrF2Ow=L)KR& z*L2Vx&0(FnCroF1Nzokt522qm4?aEG2oeNEnry^izM)>4c-MH{fjV3yNQ8^|NOts; z8F@2va+jvwm}rSqSjC2pw#K2vDz{~z6%eBfw!S|!LkYs(`G?o+-#6qNu9 zWNDi9@i?n=^+nW7*Hl%jGNw#^R%Sn)^jEIeA7A#*dOE7q^+?^jSzs%8>uxDH?l0|d zsk^b#@;vj$Jg#@@yX?f>-@lp_W%#j`DN{QY2-IKSOY$r})c0q0zn<_sv?DqF9G_4Q zJ4@vpTDWCdJ(#~zs&*8w|r`bnRTTkWGU}&aVg598i@~|v;$r4)8S5^ByP`0S* zna?lUKPir6%~^q&Y_J-R`DpD39?EK=D3IG#IZBuzAr)U$&tqZS{e=p-WOc6afRx`e zyK~)O(ZnZ>uqjr$wH!?}_o-`KEc>yG<8!o0N3qDE2K6UI<=|T$R~SFap8>x|Q6h>& z-)rZ*K)cqi8LwUCsJ1yZOf}!A@usT@{Yh9P&OrZ5wn&ayKFs zWv)a!#reHI?=1<=8+kkSSCW)J0y!m-DZ%j@JbZhFOvcmN#+6yG`f!|TUox8By}6pE z5G<`jyDGo)TjC4r6G`*08z(u>dY|H467|xKkpLr^EP`@xPP}23#T&z^$FS@C`6kj{ z(M=upKGY|))~UQfOdrOQ$2B$j?-}O|*_dAa6e2R}WqzyGw{FMxOjq~W5Vz>hVc5gl zpHlv*{3UG=4V~eCY>3WOi0KE>!6;ZT_lfrHw|=z`(K0x#Wy?jrO$R5_;AhfgvsqA+ z>r)vSTy$(|qt)`cl?=fX0U=it3Ygqv#l(3{j^%gJ?+s_ow;wxI zIhNEG&uQ`#L7Sh3^?-9S9d%%8#IYCeY)n5uA=yiF2+mPQVwcsaX#dp40F`m+Z*QL@ z%ulZ07{6NmY&z=RUbL*=newQ9w?^$;b!L@fmm`9i#u=5~E4Y3{GK|(Hxuz%_QQJdm zt6sm@YBQX@1MP8_SwDZeoW^wf(&W2dxMUcQ}sTgmx5O2M*SgLG;YCkgscSNp2~ zSe|=2C22?hww$4{3=aK)W+BlVUapZ_^`LT7|BBM2_8{7Om?CAT8Aig+wZ5dp>ez2t zGyt*qK{G}uzOIW}U(XKBsKHT3sMaKTR@l%)=3LhDE4@b2<`dBno&R$cWfVTmyz_}ZxoBB2xC@0&)?jjhYdH3DY%hBMT27N?PoBHIL7U%W= zd5?PF9{N{X;3A_wnsW_>1vB~v8g{d=eHxM$LXc{iw4SW2{z>@`gnz;Ok5Tkbflx>c z`N(eEVB7e2nEP^Sg|*A1&ohDbJ{^wz!#QWPHMVN9wi>*m2buV}ClLt|err^Vp`1_B@{kI=y1s_bDRmML9@$ux}TC?n|INfRt zCnu)-c6P3?HJ#{txrW`$mA7ATxL))B1oIIL?o2Dljq8Osb|Swh5A9sQ>hYDXJ!{dv zHeT+_bB>CU$4}1}Ti-V1m*0ai=_lwM_F-b?`31rV#UbnbIQB4?Hgia*(v^-e>Ev)?;CBT90eqVwrF2 z6H_0IPUKqiJrpU8^}Hv&Y_# zaXjaS>=i#+=f-XPto%B$N4$;ph|2|=Mr=60jM9Fg-S=jj|1AHYmy}`#%zw@e4&Ken1Aq(2lgXkC>L0i+fJ~X3w3WHwxyK2gV@vprti3w z=6Pqk!c~_k|bE(wblXbU{y7$!KgIQ8{f7UgxrDVBQme1z3lq}aQ*C1X;YYm0( z18e|zhvN_J(*Qnj{9#Jn6zAl5>3QjSS*=l?mu3Hy^{^Rco|Glb5nS>oaa?dp4}w&r z)vNNJ6m#og!8Re*ZXX24>pNZ_yykhCQn%in;ruqEm*3_Ik+G{&#y%_9^29cjwd|K% z%e3yxS?F`Oo}^t-^z%3z+{>a=r-Xi*UY(D&JpeudyO^ z$4=Z2ShAyg|IyqXuF)X_hOUF)uIWmZMy2x1UG5?<~4W_+=N; zj~vw-iGDiP_BGYRLN{9~`r4ZOj{60h zO6(!QCK4;@;}1%?PM$BQFZNs6zw4zhI}&TuzERStOFH^+`Ox>}d*?`B_951c?j3w( zUK_i!Ec04QmTR4lF|k}r$!lmzorq^LubJ0yE^b~+$@*GK-W#M8c6sj&QfkG|-22eR z^WvM=%xkb64tHOCKkI98e(qe`^>S@jK76mu$vVL=rj=<0K5)MKoJSjpq#6IV1LsGH zE+y<*sXNj;CsfZ_i#Ff!a{fEk_t}@u+Ir~>!+9%4FK>nCrSq?0ZB+l~ttp>})3ZG< zJuhJwU4#7M{A=BD4(oa8dFgps*#MrQzx;#P_Bb!%Uum!|;{!@I)ysuet@zbPOvoZA z7^MBid~eC$XO_G-{6$OUG|$t|IlkTQ1LSzl#_jyW`9M0J)A5{G-=$S^tBKDCKK*J_YAf0B>0SmE!`t z{jAdZpY=cMe`BNn*&i;Yk^17;AI|=8kXP;Y@iJf4=PS6+?Vf$^5C*?Z{^3jn*!*nA z1olQi#C}AqRBk&dcXOfcEyT8za(57$TEO%jx3b(MDR(!qtp!^^Y_ebr>y+C<%H73s zrT*!AgD%>?z)Y)rw}sF&=we!>WWGwNG*?GD2XV&oy*yX3R@hI_euCfw`w9B~Wbi@B zjyc}$owHwkN}3-te0GM*E3_Nel!w7c^b6`2f26o~&g-SuORtxe?&y2Xy#l{#jp_rK;p^WRwVU*v-O zGaTV~7PuVq(mgNOvc#Sf?8U_XBG_ug9usT=vEK@|I=D6U zLF|78`$uBG5o~i}cL}xyv3mrYL~Nm8lZo9e*jB`D73}rI76`UAvH5~+L+m!eqV6q% zZCiLp)V(6htr)l6^9VwZ_?*-|o^@vlwm&g_$9BXnmU0IWyIHU|5SuC3fy6YoVepIj zDkbw(O0DzNvNd1bUqAQ43(I95&ta@JzqoZXA1;%hfE^#te28aH%d<4(SvED)>Yas& z!e>Y1#!&C6LcJ&0X8xyEZwabpISGH^BZzI9+kyu%upcwN7JhqC?(G@J>n;*(2C<6; zJAl|Fg6%`>a$;C3p3Hxvy>{hXS(bhNON}N~-k!L>_Bp{`%5r}!V9yG+2FsP~b;J3b ztk1fOq`lV=YbHOuk?$U?*|Gr9WKAm zuV|YyT?U_$9cyIJnr zf*nTe9KjAJc7|ZF9-SuG1eR;pTJbRD!Ek)KI^U~u<9Dpv$~~j)lAWb>CVU~ridIj` zxUJIV^jm>*Ms3$Y(_hLuvjNNL{9TFP)+i745?#WNb~rs}J<_F=|F5*34@c9zNYm1K z-i+Q9>%PY*rEVRgMs<+TBQ(#+gOtUOiOh*S!n&TXL%wQPZsE9#y&2sn)|BDo!6W>( zZqz7k_^`l!l3A%{ml$t0 z8Q7jy$v@bC-jsj%3s}T~Z%YjOybDMp^Woc#E;v81@_MM#jy>F&LpPmmH)qSW-7M@wwwu{*20P(vc{bL}`FW$dvd?By>Ly<` zpUtM!sGpn9W;=71(8_w=ZoAn?{r|>`-efut*ri6t*Px28IE1z(#9TmM!x7$S$6VkY z5nJW=RynDI_U(*qu^+|Y*qe5pMYpnk*pI?~6xa*dkHY&w@WDi`zwPo1w;zT5D8N_t z)g68P8FcnO#dHQA^viigyZL!MoV5)X3%|B~ty0{jQHrP2{Mn7>7cfneX`1Ekj+|S= z#fO%BH9C<8!+bh&KD9qcO6pV84n7@@rr~2~-_^l+Ng{6BbTM6UL^7QIVtyI0>#1I6 zx|lB5C&yamd6bg#hYi+qTFI*x{$Y+A>#evk`@f{L0sSI1r&GJ_aHg~ATsyBIuQe0z z(u&`M`Pt_r{d7)ZKOXz>fS>KhGqA61Caxpw0`2mD>85koe#u$mF|w?)tgC#9TZv)w zJn}s9Jn}q>xad;enSRh25O?yYzu*R!`uRIi?g!a_qtoT^zWmx+ZdJ!0jb8lGSjBlk z# zr6Z3mb*%p2n2U(VX~uWUvHB^ERb2UoJil~Ys^d}}ms*|WJDzT^Y;Pr=E^IuOFP1Ns zFP1MQJ*O4<((e7B{d4S}0~>|?bISMY+HWMK6}e~H0FH^gyV`Q2EH@nA=rcaZQ=jp* zX7`SLl;ayyTEaUHx0GyO^PUrPVXS0vKj>kgpKUjuN1jK{sT1dUjzvkS7jp@CJ+gfba@_Vc z+t9|`sbVz&qub$=+>8(B`@u}uMsx}*8t4fx)9 z_*{PP4BGH666|1N=L;5hcReK7t66T5U~eY&--7K#>^i~P-QIM*qrblG{{sL3|NnRa zbd^nL6G0Tm$EwwSSU+0(WvlU{YGcJuRB8!McPD0Xv%73I)(>sSHAV0raBen3uy|QpO%3y zgmgq;Td80fTM6bT&n5<7Vh3Sh76JDx`48`!VmUho#+l|f#RD*-|1KDqV%$5<7g$3 zyMK<(!9-A#g36jExwne#ghxHa*=7t3dtmDoazcRUu2LV!CiWC89sQ%Q$+9zjMvC;@ zA>m8QiMw$4-fDIo4yj?dzegvyT{*VX0Z<-%gL}hG4!ZQf6sO9;QfpWXprb8w(f>pW zvnQ3;l2nM|2cuz$P34PYqxtMeL`snJ(7|;i7!v3eseLetrIG&rE7{>vVR8V)O$U}8 z?W3oeT&^&jsW8N1%`5_|reD8!3=_r0^VTa{$Xb8;(NFY`LyJj=V!6`eCdF7!b+eh5 zJUm(?TVbFdO*m2V4p#o$R8M>%N-#GNXL2h8b^s(lUIPDzZCd z@KWhIKqhXx9O|AA+R)6PLu?GmT18_2L=t)p0-LG;ynYIp_Y(=Ryz-;7nrAk%i(pIL zjy67olrSq)8C`k%JqorZ^zuUySieX>>81kinA|ss+)8c3Is3~8fOTJD3_A;w)TdFc zZG;>yOn<5cGltWd6QV`!VSB;!?#8TxHvlob{Gq3^VCZjqm>gbQ1o4_va!w%NRYQsG z2&waG3tG^4oPeDnpjFWEPz5Lk>_(`3Zxx8I0gR`>!L)CQvK-MW7GFr3RiJjfs|wyw z4KHxsN3DJ4+ml0GAS7yCAtY5MwBX&;jym7Iiro5GsSlQ|9P+v63I8tu009600U|v9 diff --git a/1ano/isd/quartus-projects/Teste/db/Teste1.tiscmp.slow_1200mv_0c.ddb b/1ano/isd/quartus-projects/Teste/db/Teste1.tiscmp.slow_1200mv_0c.ddb deleted file mode 100644 index 0bd7d48869677a908608d7be6614030b8f72e28e..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 105891 zcmZVlWmH^E(>4sF!QCaeyEC{u1cC)iaCZg??yezt26uRP%1ZJnK{c(^#YIk>5$J!~B; zs04YqsJOW~-@iOu?_DirDpgx|ODZ`FTle?5j!>NcE%7Jw|CVY%`rq(>m%{A-yZ0UT z*dMLKQS0VSk8x#`m(G26&Arg2)({uhNjhLn`Yfph*ISlCC`Pl~Nv@nD!FZ%y>#{8D z`L#R1hv`5rTJ|%Al1~}vfpVQ63b2Q8@BOyU?z~&P%K^?mAGh5am?zAW1ILH6>}%T6 zXbP;|j1p-3fI>CGu=OxnVe1{?`;>ogeRr$A5cutq2YjQ`JnJ59>rU8U!<2s7IF)4$ zVk2|1+7D8~S=yEd`H~hSQ?-IKQU z@`S|y+q)yG%4tg7_ZyJj-MeBHeq&?(0;p}!Xd8B?`T{U!Vf@o=ARY`~<{j8l3b-ck`E$-beF5vpB(Tu`QLgz=fev;yxVs z#?u(mbz=T5W#{;A%OyYSaUcOSUnZtESEA|5Ifm^~nCJRrJP7>C^F_O{SV``*v^Kw2 zBzLKyfIXg}+}Bt|dp+x;C&CfU2YlDUxdmu@SwW$R2l!|?e>OE$SE*s&a3c`Ow@!jl zxvIDN4v$u|=6F&i_`yA)f0tys(FKDT?sYrr)Y_IVrCJL%)~zZ5vgwx>7MB7QpY7lE zBjDoFPDaI?WYWqNAWtj6P+mH$bM;ud(dYE`s8)slP*D3UNTpxIG--9vPR50QO_o?!2)^e`xugSP9d2So-Sb8TIAjO-Jz;!DW&P-fwbsTLqx4z558zDsSw< zD1(EBQvnIBdhD}HNYuGt>#Xx4N8n!TjKJjjKE%4VZTfgOw|$!Xt{RNv&>&!*|GL1{ zI@_rVPd2_5Fxw`QD+oOO1k}xV8gHKmp$TsQ!R>ECtdss{`Mi5M?K5sb3C|nSLfgFd zhYF89$g)*o8*fgQxYttuQGAKi+QfW~gx6nVN8vm0-*0?(WwX#U+o|34@t<$n#4CqV z$lH0i_cPGmKG+NIvcjL96Em1jH~4tfCV0v@#8*508@k=*zlZIw{b2F3ADbWDe8}zw zJQ^Q(LI^_*JHv-RI7@b$^=;@HZ~f?{Z`nH$=-)syajERY%u9yqt}nSkR=f4RAU^h8 zZjeyU93iC3u1mQuV^>Sz`ru%@GUj4dipKNk@ zBNX_}ec}|@bytZ8U8#G*v?P6;9m_a9j}!+$vu-4Wtk)es#4xKkuTIcdZ zjh~E!tmnORGk|M9h!RVBov@7jW(}i8~AlL*Z&32dOXv!7ZJDyenf(bULF!th8FMpB;&)%z3(8Y_EE>C?69LoO_~@4?bVL!VEY$7Fy30cv`h~;(oGs zCSke?Sg$=g;CfzozBO}^DDl7JQFp$Mg-`qS1Zl`w*w4pHXI@VJDI?%!Ym8}|+j+lE zzdDpC)-`<^>HYic-l3IzRV5SiwUJEWekF(6O!8)k>GHsgEGhDLP>284oLX0)Li16N@uLna1@oxREtYLR1#&t#!als46T%BI+e>YBoPY;;5R_V(D}2+shPDSrjXR zXxlLSLj%CfypvlsyW*9-9R3>X(pgRyz0Ou#nj`&k9041w61Wi5v9 zn&iXXn%BrMLtSo&V_(ukLxY4mDTmoPuA=QPDA9p*!{&Y7eq`9WloIkjag~$mnvF*D z<$Cd0%g2q|_P0w;L!Kfhb;}XUCyhr=yq@A)LGbH?D21@MBs)xO`G>ZfKE|(+I>|wX zL{c?RNO(or(=c91u2k5&!u;X+ZfX-C%Q1BQaCnDEhbuNF$Ey-Y&n1s^N3R9nWs=%e z?{<o(r^_l zd}5K?a0!Jee&0Sgf#v-_DxM!mC7gf3J{_ya`GGAt8X!cY`XNY!1`tcNlKr{3;Cb%FrO7cjMqnML~vsYXwM310;(@_m) z9zxAAJ|!`VLp5Lb0XZI#%ayUj?lO^LJ5xxW#`4C9hRN)-ZU8R91v@x2_%jv^Rc(?= z7$qwI>z8qA6eNp(b%{r~fGBaadvcGXC~};BnCD+z(5b0P{A#g{m36G z1swSHp3%iaVxoPLICrXHSvceL8mbkyH>MV1@sauMWZ_VhIPL1CaRx=CounSp%w>^u zVxq-iAhNW-2FDLmTi0IRA71&t#Xwiar1x`d6TEx~1XbQ`pLUKur%hV3Q87sERJYX) z@ViAXLAtHFBK+gR3Wk#As0K@pSDw@cNiFn|hn`@`w}^cMt89*@#N75L+AKaVaoGSP zGCHCFysvp3uatJy}9cRiYnxYA-FEE5WAd2Mn3 zA#`BUYBQ1H-LWyW$)LyFGJ3JgH;nu?LLT4fhqp7ubhkr+&mI@H6y```-sp*f_?si{ zO?La(F<8E0LRVK-R0RHWD7kC5FWvC57-#=qQqEDD{OJtzD1DKA&^2{?@+@MNmZN9; z7y(!$(Y)E+bdOs_P`-kFwMs5MW+8NpYIw*pPvH=03$JOD+nd2hkE7 zWL{kmKSpcsxd+M(m+PA&SCgWq7IBUZs}>?fz5X=}6pc2YGvk)7ZW>|)9;W{LH-WSU!Fx6zmSovp5&_sf~{g;G7HQ3zreBj?<`q*LO z#pJK4ct!U|uiT`vOATZjX2&`HG>fuR6rjZmfRWF#Wc8hu))#mT zQIR&Ek+vE>jhsJ6VWM0C5?DkjldwrWw)4U$mVxBExWp+ibX^?j$VCpZ%xn!#9MSso zDFYru^qe)rT$|ZKhYPOYYWKK0xdizBtoZKj3&I#eIdslS_9bsnR8=}PNAK~+aAM|P zXi8NRQq2QqXayY&SwkO22_55-*w=`uEB)g%euY*wrI}TiMk4$6pDr44b?7tqF@%zv zV!4d5RQ&)e+ZREE>PZ{9hpQSoL_filgnc>x!xRp4(XI5guS9s0up|+U@mDx8wLS8P z>N8f5^>$Bhqi$R2aD5cSV?=x$!5kCE#VXQBAyF22fg@#Fl$ajzl?0%_AjR-8S0!^lGfLVB}`Dz+CwaqDkDqmxW*W9TTv>Ja)Wltm6 zTHzJJyD4fqKFwM?3@h)m-8M{qryf%%b95)P%)KzvbtrgtHq-AfDq;Q_5xiyG&?khg z5K1R-#;c3msIRZMoJPBl@PR29=IX>VhOVp6l8E&yrE zCTU;~qjRLOMhr2c zQYRM1$qA|DDd0u8iNO#Nun!@AW13W*%6@0WqSSGJk!Altm8(Sh;$PD$k!#kbX5@;% z_0R&EcR;73gF#!iYwoWgNF=^?_N@MJ8r3o|YF!f%@)>zbj;$EwNcwvzR!oMgdk*8cac7 zqBvJLfbxs$pbXtK^sVY={<4f1#ZCr$5OIF9A7d2%D8Z;27I$TyJZWz7cw5)&oE4f= zdyu&WP^qfwB8k0Y92-$QpE$jcmuDtUkPJEJ&UX}rDk>?Pz4Q8~+o{drJ1e+< zA0_0DXg=HHrJHXLfiq+C-07~Tdx7yiROo$xf*3zMlKf zs?c}tH_>QXj67-J0o$b~GDAwz$6p7cpMt2c8B(kt*b}6 zD&QKFb1vu(B)jD4)%)E1=oQ-n$43Uwi7q|nZ0h;OwI?=giUt)k{$(s890ZZ$>Y0DA zJu{*?EMfZEE`ptdN}f_kIM>3-R_}Sm!lcvgO@M1JSS!7i@#{;k-J*hVz3q>?CIIQv zaKxWEFtKSYd8FQWX=dP&wgWw-$;n*#(D`rfY*aK+@F#-qONLaDSIPz*I4B9ZT6L5^ z>3^;{+pN4Xk8F$BGfcG2#VIisrGAv08X*9B*Usez3_krw4VE=Gp3Z!L zFjJ~P--IE>(|SOnXEW+9@#mv3e$MjAkL(c{2)H>=LAIkpSVH%MVwdC{{KV-$B~8T8%~{d1 z+S|@K`9z&#gE%+7a}Q5foqLlUCN-$+9VN9x9f;wS7y^CqHEaGKFeSWoMmuIAm5ot3ZXu+PQlV8=k0-Gs z>l?@5*nPFiC)bl+8ddK4F;#WzI{kzj>#NX+#gJeJEe;WRH!65M)HDRQe>$*o3D*BRF2R3mGTUFXf;W4v&O`GQL zTSvy#`_`wuXw2`Y79kgv$^Aa$CI8AO*Ta|f=T@q2_c zxePvXLODEmc>0szmCfs+RlK>RaFLcYel{HGTU|n1MeM}==^i-?M>0Jb^qcY?Bz=6+ zHHSC2MC>q!GcsEDpCz>x39&K;zPK;VV@h>#L`@fju(Fhnj~YnrWfJ5>2o09k)Il(J79`v@gR zI!m(Jl-Rud9^y+#o5%E%%5oXF3@iV^68=3 z)U0HtXZia0{ia|Wc`?DCwP&TR`(I5chY8CU(WJH`+U2$>?>#U+ImI`j5gc}pxlIyr z`qC8P+L52JxVzRB(jwxv!;CKIVXej$jDRdgvmuY^AKincae-)ef%dlt|9{*rf{q2k# zH|H3dW4G4}3I$L??7zy3y1~-U`dG&C1=Plsx8wczP7kKfr*zJpYj)puCLA1lbIM_eu@@%fM44Uc>I~{Yja~a9vJ1rAFb7DyH znE5$a^S3HHhFM@UcJEu%FUjk(eS2=rF#`qKS5pioF<*SnX!@wg3!o8H zngUt59cCytHGMSOu#J#$h+X0!|A!PWm(3oT;ood)RpYHPl=3su!_m{^dxk5af);Xe z#!0umJ_n!avR=Y>MkX+d#)e{ozKn`l^YfP3XqOGuKfICOp1cMYw)x6xn4`r)WNvq@ zL6ei4|MAX@@Q%a5EVb8U=B#}y50fXvg0%=>if4tS&Js#D(3L(5cqanNV^Z}|i?hcb zYQy3ruEfG=Qj{k395C5gXhE9J-C;}BV%L^dokw%tG-ctXlH9m3NWQ}3w|G=W840eQ zqO<^+;_+uVmdW?LXDDsJfL5@Ew242j*{Y?gO}hxvbM%>ALu^XWQbmQTaG>Jz02SggkeSg}f0sZ=^}dItSOQ`+$1RN&y@EAz1b2 z$A#}vg--B$-01$ZHhS2QWQ2>TQ^!>?q=d|IBX*-2vDX^7K#h>=|=Bg|6N4}>zJ*X>+pkm=yg zE!}LHI^fXG7Ok%g2zHG>f!Q^|-QewWdIk%ZhVdxbf9SD$Cuw%3cP%~c#P|Uj(l{a+ zVGuh&)jT+aV6;wy)>ES3AP2rt)rYXQMdG$hCNk}vjpv@;)6i^g0)mrqY=y6%q1@>4 zgEm32Ue4vGLX!D&a}`|R-PNV6>VG4(@#=#zLlm>?y7Dui9&+TS#30qT`EBnCh=O#s z7EQlW*TAH(^`ekvCAuNivdf%7i8mbAFtjeVn3ammYD65F35hoi#^v2yz|s$qMO!qL z+pO#K>C&QPgo<%`zqnwV4+FUVi6L23x)x^^nXmi>B)+Rc#+7KgUn$=o;*v%erQq&- z^e$F+bE7nKQ*rT%-~zowK=_p!R(<5%VQ0DFl<2{a;u&gcTaT?UiZ#ThKGTEg*&V=H zFT8TWxHL2E$CC?t@3thSuV zlN8BJa9;6O$G|MJ@yH zYuE(y%SMDQE>TBoW#fLcj4-xw#ZiTez>n?Y(Xg_M3vQnbXHN0NcT|OwkjvOda0h4H z81!&2YYreUdNTayqp_cSO=0L73vKEV-ddWiXdAuQMrli~_{|(o@WLEk5F1WU^?LF@ zZuX%nm3`c7ZdN`Hi)D7MwD^a=Zkbhq1VnfJH(_*X7BHS~IWZT5k^GPI6B))IJ(?25 zHNiN%tcTq)7;)SGMQ6L$h4(m;m!oCWSgGX%Lo^1@tFGBak>a5Xs5iR8xEfu%X6d%Z zRqm*(f@6*xx0~%(p-To+w}WL5T(z-}L|HXiwQ<^q7{d#L+=IyKrP~=1hxF!K)o0g& zQDAiP^kXsS=~6^veX!l|1iQU}>k76td-%x*BA0>wNLnE)Moxas69g+tSvq!oP$!2% zKwpIk?Z#)XIc!TBS_=%S=f_h1~8xMma#`F(3g{Qg8^tGlK;N57}8RfD_mA@QslNOG{>7-`8S z)rVehaDp1H2J}23&~Yqr;JEJBCYrUrVSF#x(;{{t4GfV+pCt3oM_DukYFOZH;3~LP zu_Mx1jU{e+r!}Fxr07%*(5Pqhpwv1F0lC~rie|RW;L@wc&2RNHt9d%>$7jan8BPe# zAB4z}gskixKDsr3nE!utA#_4-&{fV~Bo=kp1r1hd`ixLT)cn0c0gF7WNsAyb8$Z%aWi({eXQL35c+q#dXUE(!^;3xY|9zA$q8}jOWwUwHTQSMLaC_Jzi^Jv?C{X^cS&g8)7cwCsC3N-|oX$DoEpLG0OHR?*;8!F3`KS_xhE$peV z4M^%UN3RSFGX)6v?cPCCxMoCb6RY*z$osUu5uM4P7-e|q_yaY ztfJN#Pe!pG9JVQdxL%v<*v}dPBEAI@-iKa1j3Op2>Azf+MnaFxFORPl5nbw{4WthEM% z>H4qmDPYBp&MU4SB|feCO97~2%E!_&_L7ZT5tOF=7eH;O7ChxsEU0CM6l9Usss9&y z9$JL`UQ|)Lug`c)!and(2KOyE@TcAT=Nl8E$w-&zhRJBy)nT-gFHf}O!`B$-Y@kzpg|G`i-qpsa( z0K;r~xG#r%NqkOy(871*RO|!8r$a#)T%U#EtgPvTa$#Z|wVcaOeibLip9=|X-?CC7 z|2aQ1dWdqAT}*6+=&-`;D(0Mf>F(SMQ0T3*f2naLeS`Pv-KU0F^;+ol%JYvbg%Q6fWrW8ro(=g)56oR-nJ0aTr| zf+{3MMUNhLg6W!5RDOrM5Mc=F$D7ZQnT1tx4kBj*Ga#2Z_Cm@@s~jHlV^2%R*+F+b#h>9XW3XtEX~(3#?Uef zhCI?ukJ=^Sh=C>HIn57O>;i;l{tPSDX$~Y!civ*E87yA2TJ)570F*lRc?P~d%P6w@ zC89S2%LQHipX|D6nM~_gHJYzYJgQB-8y^3J9!)D-A)mCpRpm(HS&uqeWzAJo129{c z`^J*d09Fbc+|r(LB>z>6a;568=6GHYMKB%os;@KBpMHhy z_gopnOhkP(-E8)zT+Oq!+X4B_OC&12elp}u)xVhG?NFk5;06M#d6c||4qFVsi!lok zZe0TlZklr@+b!D=xduC;`P8DNL6oK%v&JwWhO5IejDU3WQWLvQwk7VJdC|1SQNVcs;y4_G;R@3JX6eR#`gKC=cqC$uSa80p`;%g zzn&mM^kM^_^~XfvzwE#qf0VJwJYHAcq2#h-PIt&2bL)gPB168#^N$UJ=$HQB&`qw> zwu-^vcdfek3eBr0ulsd}7}KU6zyS;Iw(#zhsJ?lbm7;C0fM=Og| z34Z#Vqa_#d-`M$O#xSor@g(xBCEx&!y52+dXKrJ4IA%&tcDbM&kS>8$xK)5&Pqh zspbQwkm>k9*DjRd>0w$|bBRpH@HnN6GC$eoWqVbz+QTjujyJW8$#mz z>0Da?`E#r9-~kS1oUCS(LolJ=e+LjR7L3Uz^*UAtIaI3|O#p`>s|=?>m7z zo5g?i0_F&p;mL`@vm<-{ztd?-?vDL4ZN#6?TN6X%jvwWh7qJZ0Fl%j_jAjfP0`3D?;j2|&3~G4z$(Kk+B5a27 zWE>DXlPmT4Q3JGJ>H9AM`JVg6B7&<}o7HixaidpDA$E?lOLqU@{&c=ErKk_B!!!mE zy!*fNQQrRvgOym0j2cjnArNU%cv$hT?w-o ztM@=JDT)Jxeoa#_YK(qIU@o6eNq+|)KeYn;Ca!upYf394`q)0P-x1 zn2i2F8!J4)dCy{7zYA$ddJ`1&Rr4O7?}XFf@kw8%2rU5!&xR&Nb*yvTiyQGU&?aH- zTY(L;sYd#m<-;}YIOZJ|GwCD$h$;V=B_(Vl22}x+=KPdogBIs1yMms)rs|SkZHIbO zC8IDOA|qCcJAOv}N)NLe@Xw^K7dI*iu5|G{eTuOQ3aEZJF=d%bjSMb+Xaym`j1km(w|e=bUn_;*Nm zK!lOXr!y^ZlJ2bg=>?O883sydE zD`|=c4JP4u1#KBkr4v}Mt@B)8NPgtFXyGD-d@e+y_*pT-yx(#>S?^9$6T(tT#QGH1 z+AStg%(DNbdsh2Pxe@k3b73Cj7-+MB-udGW*1$On31uMt6Q~xaMQDCkQcsiMTGUbp zN}=&O9$@1V;ON4I8D%Nt)!pk|)5p9IqeCdWQcj;n>FNvap0QX8oLM2qlk_W;q7eEI zrOdetkTwxsMJ3nc&a6ROIPcY2+qO{oF$3M%zaeWDKAB!Gyt~^g+bgxm)pR{u^YZQ>!91s0HFx%7sXis)JZ^$zqM35P*co7%9!WnQ>_op zN~PktkeG6lqG+V4-QO8Iw#}8Vqy1=GhR(ZJ&>GNQV;+_mf6|Va;HW?Q5#I7}+nedw zaDz)n<;@ya&RweYvr{Z_ZJssDXvo}V7uS&MsbIy|3`Nin?y;^sv{o)NjOYZ70Qu`y zeBw7Op!@09F~ zwSzd~3*Sz5w9F@0hTfx&N~22&Dp^rxy}ge0hAu9qTwx&wzYPx~g>4|RG_$1hgh)Q?pn7Z1 z){;ZGVOzPx$t+wYskLcOd3e$K@lsgMjE+&k(i_x%QQz>~BSuUUhbwp5ZA90~p}>aT#ib2V8<%6F8&*9$Jry=9 zXvc$oBp4`_tD_D1XyJ0b;{7pq2H!7eH`}*utDhG+1luLbNdVwW0vf~kq$OU@9~JOS z?wHE}gmMbd_6^1#>YjpTKz(7`kq(bHji>h^b$+5N>6fxwqFj|fjU)^8pbY9T6@H;U z8HKe@%#=k+`{a?sieGopNF{$y67k8x3`CY-Zv@AjNzEy<>t5i17_ce)S96;;X-~2F zkGLhFWnCs)E~t;$zn#HtO#5wzi~Ui))pou}`#k)1TZ{CwG(vai0d}bN(Sr<54l>tB z^x4R?Bq&T;Gy(WLq@otr$?BpOiO4Bi<5&R1ujG#(gYQKgv2~Nk#EYQxyS3NYC`@H zNAFYr<;}@IWDdj_mE0>|QoD}V(AE4V`@rZ@zYEmHqaSN?)aF1HR`3o1Ml!t}uw#zG z!dh;w{L(o{QHr+475f!jM;uam34KLY{0WVs@MRNkR%=zBt+n#Iy|dZHAzgxI(YuWM z#aF9KiEy*-py9j=_!X5$@~Fb--nxu?7N+yxMqDITEL-uFwUaiPoI8~-{)}8dtk17x zxyFbPz+E8KCY3f~^%3kYj*!RcmzWsn2Y3X<9un8e3W4B#xWY+Re98h0{DHRNo@ANg z$rh5~{sG=v4Q)jKrHymCw%_x=NPI}nLm;xOEGz}NWEIap50XJxIg?lzI-HihxMmn{ z*{%3+U$dlxM8>CuP9_mel?d;tzLS4TN?NF+TDdL->k4kC?P6eB^=Prg0^>9B=6Ju# zK1B*)pNB*s9#}s>vK{xb)@=8xbOix-WFSsrP$)j;bn_5YpV^WUt==o^2>1kAvX+Mz zPiy#E=n`E2EFRG?MB+M3;yuP zgmY(FIH?w4m$O;r`av;~;~5wUAnHI}J^#_9JHo5X_yz6yFMUC_PTLhg%ay}MAtH#C z55~ISE&Qiz8P%(B#5IuP?lXS?0~pwL3{g^%PC3w`BYRE+J@V6!6z}|NvripFedN^9 z0=18B9GkiXSh)yj0Ay>oq&(R=7a!vWb6I$R=S-4YpdsQd54>=#ri&!5@o6HpDs>4L zSs$HcgpfdI%4ZoF$~`_sGRv8rzo-w$gQ{q%Ek2su z@AH$(wv&ic@;%0N5{B6{Z-%~yo9017AiRHq7SNT}NpgY$eJaY%kO8wa&oB;x$IrsF zkID;YdS!WN;-FJ-73a+M_>I_y79HsBWP2JuHU{$p_=ZJJGfr`T)Ord-^Qtv9YgZ+{ z<)j4y0(FV1+5EzO~2?o;QCkios55_twG?~n%i8$ zAF~6){b{?QRCzdO;n*dEtmfHSIzg@|oE*&kOFra=bUE)CULO6}>bN3TWFleyxHdJj zaBlcvSW_*f%C4A7)GEV#R|IaeMa-2H&c%(^PV$FJn6UT*fpVTSLMm3PG>pacq1KdK z75ueSn7BA!I1HJ#D4xwT6#o{X2n`A={Am_YK=OeGUpoA#p3nW1JW+t9k}7~)kTfqJ zICglu?mLAHK2shov=IxOACI_MrncBh_XCur)oUa3)g=B(Fb8!l_CS|7vPGD9uDcX5OpS(L4rmGMEMM1J{hrX=RfZ}D6G z7dCmc=n1oJCc7Eri1CnSHaf$eDEY36?r@v|u6@SomYM+suk(ACYN8{C!??SGBb0+34A=TAW-Ff$2?pv-F zI_M=$_~Jnbp)JMuf#={Z3kye+Q_i)1ehKIZFM<&|SG;^*o>$Jdl*+bcW z^B2$ty?3Y^fIu$rf7pGQensZgtIF$iC4r;5J&>qn=hNO`>($cN*BmvA4-vq_eVrVu z&?QAqW$e|#L$2%1w0_WjQgv@)&c2a3(AFmV#WSeNJR|qmW0R=+8H1RmLm^$$(b=Nf zvP|5(Y>m83_V-sQSmxg;!x1}kUqM95B>(EDyBn;Fx<5vf%jSlNm7(S+*UnK13+HKT zx9}wuuj4cAo5~bhKBQ8=GhfI4g^W`rn4qecGb+U>oVV7MAMog35F++@el(p#oRiD1 ztAx#XDhG8-X(*SoQd-2XTVaY~nOqAk z?q8{ehPIod%rUm)bCDj+@FS|GSmvSlfMB6*|n6P;zAhi*gXzmZ6L)E*z zVt-#njr@G(>fr5Y>f*%fSI6IiFbPEuHHTtJn7K6LGCZF>EVKc7=)Z%!cyo!RuivDX z;mU@)H`ZIK#h$Da9m4M#aKx^|)!$wg);Rzkl@I7r*Bau?p^~URK}agx@5rHBEuVk+ z=`&MrX`X37Oo+zCqV{fvSLJh}Q|BovAP<3Z4N-PCaoqs(-CL(qKfsBjv%$Y-X$BN8 zy)t!R3Q-pEr?5&?xMzH5Xa&-FwS64#7RByE5iyVCRS#2`>8sZ%rn+7q47Cqlx}mDv zUU_+{&Mt1s#@~((GyPN^v~6G}^Ic&I2GFmo%BLI0s|AcT{imWM#!XOO4-xi(=687J zurOX{@BPA~eR|n0tab-@`%7y4;k}XhtwSe9OAj?$oO+y0V{y6nlzvEh&T!_WVibin z0|kc*HgdP6xD51#c13Sc+cWo9^5A|iP@La|03y5o`5P1}WCrO7%`9NqPcQV7gA&9s z9v76!ue1~B^z#1FIVTmbx!&yjmhy&Q=$YJFv^pnLyas!2+pYmhJC3q` zgcn4)%CE!{6y-US>NAq)Q-0CzAZz)-QJuRJ%j1|$;dPF=7;*KI&j!dJF9)V)JR%}) z`w6uOL1`k+nN(Amr+>u6j2w<9lvhRJq@p zNUK}iqzblXiUjsCE+u)}2)|KzfnC=H^pxbIOE~XXFM6x{0r7iqLz8;y80zpXRiK8z zPUgG=ho*j-I#dh?KublG-TdsJr=x|5jHjiu<`r9_N^JnBWKBl~4L-@Oi-EGsq)%R<@_yYQlT$`AT?sF;?nI@k>3aUaYT(H$Nm|~k4g!E_iyAF{~TUV+su3INN+b~KNZz%%za?9M(iCSBm}_UOe{<- z=xx4Hdd3fsBA!$M5?lYmBc$XUs-QBu#eD|Nvp^u(_G{oJ?bLGM6Ir5<*1(F&8!guB zR)cC&$Uz82rw_OJZM0xHC8 z698pCo9+~C#1+q|;Qc`+i&w!3S;~Agt6erH*Ua7a2kwdaiBVrPUKOpWPSn!wU61(s z!wIH6><(vDYpiq_#ij;f2&}6UZw*na^trY}4mbsI3lzYheSEsk+tSD6qYSE!z)HO^g{WK8z-JSTbla+w=iO9LE9f5{=pZ1F|1@u&A6c#-`> zI&zHU_p|pPEHQUe^$PQ37^{ArW7^NH+LhC_2d&p|E#Z0yxc>E`{%&<0>%=ac7F!Qv zW?Mk|y0_~t51ZUG)rB4#>bbEdmA9dVZKeF<+vYRqqwAJS4+-oHumRj!%Z3Ao`6ic% zNPrXy6nUypzXZK_gE(^-H$UTr>@-r1pAG>!=B-*8vxvz#yPrB_M8ZrHo&$c3wsYR$ zQg3K`c?N~Vgw~tliFhTzXXA8UKgClXW;(8s-F!QV>>LP2!OlwrQg;2lS68^5w*1lH z_tSTCBL4a;!i<27un}c@Lz(K8L?69Ar^kN1FRoGJ0{z|p6eMNLBasC~yhW7opI(BoGu5F9J zIO?D2iStGVt&HBy3=!u6Q6)JqtN$8cLmSwf>;OIT{p1hKAaDoJw?g0h^>@L=qB?>; zL9R84_!lN)`&U&ScBvP(>DYvKY-ONUPZj^(OtO8ydTploc4L}4`u?O!qOxk#9!XmG zRTN@~0b&1OcJ_d2`S^~>cQjhX*i{Fr)Og{%CY~hclS$?W@Vl7N+(mbQRGo_|+B5`I1rq`JH<0e@gB}5k+nqV$pFYd|c1#RX9s6C`)Zuh%* zo6Ywr3crd$3QNKp0mHIX>IATGxfnDW;k>!|Q52dPx7fyW39g|2_7LtOuJu%p(-RCA zPhNl^l0Hb|kaw$D*Sl61fQlJdy|Cdj%gkTpQeaiMBPuRFa>A7{J(~Y*gB%+=6-fQn z<@wX-J7xfU)R#j73f;0QMObUv6q z-0++w6m|Ra-Ia8qofeBb|3Qo3U_h)4)ZNDmMMq#Hp(q`P~Bv?9_yHo8Mf8U&EOOeFijpK zCZdvp?GB#i&kKI3Bs(#C#>Fhz`i+$%3(KbVya6pVPbn=YZV1xN#I%4nJ!Hx2Am)Tr@bBb<9^l~9f+l}c|Q7Dje=;ZOWB4RnG z1iYXuD`~@uMFszo!E|MuL?DIi<(bQP%g2Z?O;4fPpuDh7igEcaaChucQ?zc;`N?<= z_ixU6hDZ;crjp_bcxFpbhV)74T|EC&n2EAKaWO=r7p1;+K4lcpMfdua5lM~f_wGb2 zg;5pj-9?+g6m!T%|J}p9&MCJeT4Rbkm-rorA@vtne*b)hV$|lj{3_)I@l@CevTjNW z?^xH$6(%g2eL6QU*`P@Lg(yq1&O%z~GEnT8=`+oN#meWV)6#mAtzvNG-s{V@Z%85` zc5|NnCvun4M3#)UB{(V7+sifA_02TDkIjX;#AZ(nd)nYN;4`dSV3bF&z?I?KAIrV_ zV0ujrOb^h5`L*ZO(SX?xB7Py@701NyMSIuG6I3o*9)MCYcYHFa1y8*4mR)%*y0O;7 z76yMb-c4Fy3}7#0EeT}&D{b@)5qV8JGnBV@C>2S%+nAsJTyt&ZysJa(jh<{&8Tf6$ z@1Q38ezbJxJ1Ml`<)6ZQ+;4AhYy%?JYglOfYp1SZ;MWKTeY@Je;w&^$lx>m{vihF# z`wdl`2%)+S=%NwoQO;r@eC#`i{GPeGXfqEj9`z5oRK3k#(fHycaM)+2##L?J)_Z2? ztqQh2ekWxbg8AQYni0<;`GGaJNMqu z^mNT9oicm|!M&Sv_3-1-{Ab&hv`h|Kt8MQ-8MBa9*ucQYf-8RNV7c#mK4$-eh+AH> zuuIN+{WLCI92``oF*`pQGn^6#UI?R7fRN^T$j+5=>9{}FY z>TY!T`JyK}Ll3SLBLkD=)OnyoQM)?a47;P)?=G0VR{om3#z*Rh_DHCdwpL!llnX4R z$Y17AT%7jwe(YK7py{Sd2GTqw16K0R;&d(aLvPtx>~?UmIKtv*`cnhEjyZJOd%04c z-3&B89`^yRBiWw1697k-PkgKPtgf>@qP@E!-&SR z?E8Yi;Mu61fW3^TW5>zBHcVMe;EMepL=Fz9%H@wuKMp8pJ|ylzeOb3z!%u1HQv(v) zt^Fgz5rHNNS)|yRqad>dk;k!bpvfBPA*IoC^_M8pB}>V75yrWIsr1S{kAzQ}Vj^-4Z?W6qk`#~rVK)Cf!r+1vIdB{WXb=5PUC|f< zln*x~x|o~XsVi8(&1J27@*sn)TzyX8j;ScV zp(gT-srXw3Y^998v%++1KgkjL(f`Qs@XgSdhYSQ}e&ntSC!({=(kT)3lnC4*z{Uoqsp)i$T{r3%}APb zt)!sF$>vM{(4G|<5%CoUP(HU#E6%oLSpLctcZnb{Sl^=a{W(bU490F=NMZw)J1D;o zk?DJ_xJ(lpS91~SttFhcQ->eLPxAyzpdGfrz1wVqulSNdvsmm97fWF8pe?TE+;p3+ z+*Hn#bUM3ls~29YHri{zs0~)Xo~7$uyzO=oIZO{MzD~kH=xmE^q~i3Si7nTAHKv0* zMn1}Qc8(yorMlL9GywHpk6M@QIp-V!z7oUG)w%NSKqyQ+4&0vL~mu~!pu&a*#5{L0TwfP zsGtY7YKa7(gLygel8wnv2sJF>yyyz6YpY7WTV@wMFr^CpO=0q;i zh6((W*6M!dc1y6MDJ#T_W8!Q6@?PDk8$X$@Vgpf-L3a{U4R26q&gL;m{1zB=-<}8~ zp`)CNh}gVP@@JSdFAJp7E4w*ZCN_Al(*8ok_L%w*=+ivi-VuM|o`22$`v#_8q zg7)!QPi!Ko?j2R>*$xR)UgvMv)>H_|G`Y$N=mI%IaAVfffAqd3?)6$QTroJIJtv8X zna5;hOvI1A5C%Ipq>-}O=U*n z2N21cjb(I>TbKM6(v9O$l10*$iQ(IrA8$urytvw>#{J$6ke5imLj1}R)c(4$xCILL z&NG)C|NZ*)DyVOj5CCKr5v4uv2cxo{|Nnb6aQTq&QDHq$$7k1NyK7@} z*8_cPND7SZfT4~I&g6% zX7YjG)*`98d$KFRRYUhw7MeA$3E|KaB>{k?O9OcFM0n5}0(|@AE1?VXk{P8XRODXW zF1?ObL9H~D? zZ(wONCxYRbPN$)GQ-qgh5tsP5lU!5sa+1MZ)yS;dY|PPX8)0-Y*-YNa-Wp?;v<&P) zpcMf^xd=TZxg^vs5^h5X?X1<)DP!MCe3XLjM#7yV;ogzT$8oR#@ltZ$p={j<(pq1b z&YC7F@CF5r#A66b%3gT+46^4GIRqQLQ&zfO(P6YH`Ds8JL<1iXPj?HaLKBNl2Vp7P z=VI7n!3=6FaAC8o2*}35xALk@5g`g%oJ(^2>dAwI#9>U z5=cU^`UB@-LBZcXrt%=mRZB0Hd$G+8yq~QeEQ*)4MF*9#U@!4MzdJ51(2J6k@nss< zboy-BF0hKRa&DLe|08$3IsaynpjgyJ1a$Cm-81amyayqSgP6fV5aA%+hSlYF)!eTJ z5W?O^!f$X8g5uxgE`hOy-1woZP^U#g0#)kFabX&N~tA67n~5u^Yw%{bg>cR`zoqp zkDqN|`w5#awn?SXrp-WW0obql6g}F;@yjl@$ytMLi%0qS{##jNrw;M+m+kvF(k6sk z*nYs{gLFnE>8a~;Kp*r}TN#X8+%w?&{-$vM*pwoBBq@~(u@viBk*DU?VQb0Q(l%>S z;Z|CzW@GW`lC-V&szZDKuBg*);K+pnuy)sXE~Fm8DjIhhQ&S3KzV*+H34Ku9a`@95>Ph>YE2XCrsmHUY(NTvXqpw#UQ+q}keOpI-H^gM{pXlly`Nak2t|MZHV0T-)j5;v+ z{^KIn?)-HR91Ts3?-+(KsgY4zKROn9o;yXkqpi2xy?)9K^*}0jQc0WOqqvZhpPq|E z1eEbYld_I+)lf_FLt7)QAMX)$81it#8RN|$$H0n<7aK%BpgOz707J!`I>Ii8>kmh* zIs+WHgAJSO2{A%2N4d+WKw-V4Q-$~JwLjNhNWieGIa|~|T{>8MA+m?g+Uy~Q{UDqj zT>S3Cvb{6{qyANyo^+DV9;b>HlP1om%dh)GtMG0@0BGMFrik|&Xy_^&ZTQUGTk&Hk z6xu{;PAOX|{R0t$L{oZQcwd#f{agj4DI>vmWa1RrF7A6e_hTC;u|-3@N!(`MaZ_YS z6+!NQ?T|Dw2U@*g^^0*5EerZQPCs5U9h7lF{8S1I`(EXe7-kakBVyI6@-rw%sgV{-YZ?IlG$xNFv10HYuC;$Kp+8T2LD8oC~ zO3#GXA8aK-270Dv@0qZn<}8GFznN=DnqX zF<-uWS2;~AFpi7iShiGeMjNdY8ES1!U;ip8@Wh{2nc`m=DyqGhFks>=X_N4w*Z9$= z`#T6VX7^)5;L<_UZf7P~)+K!H%$FZQ$+?OhT`2)mo4WA=mzteP7S#3~qKgwRnXGH{ z2`^r5=xT-&{u*&5Ar(vo6Dt^PI98DDD1$+wUp?q%kLIp%L9onBd9pYo6MqKBO}Lbyc>G2ht!(nH)Q8g8cvo5_ zN(0kB8HYAYaE)xfHu{#vkLEaI!T6%`%tB0FCeM&jAw53-@c#Yra29a54@kwv&t-e} znDVY8??}qe>>Q_VnlsaI-(nQBWN3edabxhL`J~(ydz;lgBA7(BSBmE^t<6Ry-Nzyf zqknU_ZO0utkdE!C5H^gxMXH6x)1*em%b)bTQ40n^_id;jVLNV@%Dw6{3XV>Y%-}F9 zr4Zg=&amKwBdFWDG7Gyi#Z=kv8(!xt*=KBM$Ig+E@a;(X&L{)5_40->+I1>>9r$62 zO%xd*SY(_jmX;Uhndd;2(z)=J7ppoqh)G&zIP0df)1E!Eva?GW$UiuoclPNuS3%vS zj6ZO!IWWV?QSR}5$j4NVJKGPt=p!uW?{p7NF8b|dkG3)2Za2rNbds^sNO*9mX>m?9 z0ObKTvO*7m&*e=xG073iZ%io5T&1g5@I*H^BAQtbL+ zLb@6+nRJec*s?N8RQ*Po&7*AQmjJ%mRZU5r%O zp1SN6k#U7f%(?J7lOFZ?lHre@=ikc!Dh5|$$CTIN>1T#ob^{vxs(ckNB=_#34^MWD zfP7<656)T6T9|)=eaU?kG?O08jGl>@hT(k@E_j?*iWb*QWuv#bZ%Lj0$Q^u?duYix zvw=*AfHEsgmzE_}mRC;f^5CfoP-Z%y#s0>k8}~6bW0m8;mNO*GH0Q_?XGi?~)}mF> z{aC9iX=Q2#tq#l*X#6QCBjLY_KJZ&Q>Ls^y z93>)j#{ma{j6B!E>A!(L(t#IFIUO%2QyyO%6V;^!&26*6!4*dC$7|$oV z2#fN@OkUksTs!bWo4LY~|2EiPhJW`NAuzb3DLGKSSiuH=-(!=Dpz>Y)s>!JWsURS3 z)QB7!YGIws{=OFkjP)>w_9LbjtEq;*l%38=`X+DkApg?9Nk|K@JjxM)D?6u}p^HAZ zF=sohJ65FJz`Kvncdfu1>&lY3k2k)Iy-@ydOar&Z7aqcoQpMLTw*iM9y56UjHJ5 z!1>I*iCIVtdwytJyBhHhH<$Z{vPagBTR2R%A7jz8`$~v3v=x(8-^R3PthqFWld+=> zi4W9}vv9Q4A(ZgnXjw9<9ed(w2u%~4Z??@D9ZPh`-~5*eKi`mZraTd~J0U%hRYIY~ zK=z}TDb+A*cYMJlxk=cjuJ_T@&9_CSX6~$#)KA#|?GS^J_pX3A7YqWQE zIXu@f9|Joa>dsAqXb|4647tqUohsg4@JB}pNNWC~MQ4D$bG&WvWyRm=7YNhdSFhYd zLW~Q#78uKgS?4})DcxRuq;h%8UY$BCi;NGC{-D1ViM9$6oNLz0s zx??a;2S|Yosz?zwJg53J(yXJpyCY&9i0ac#uy9>>{dN+Dx5G-~i?*$?`o8^&VH$D* zX}4DuSnsfaq}1Q&Qh#DN2qTx5d3L6Q!&iMKAQSCuAS}{YrkrMXRC!>L>>`SMk@{q% z^bYrYuf}tkNM5#N(d|VaYi)ViMP0~~8>U-(-WaGmAaL3KqQ>Rhf(aBYWc=0Na>05j zA%XnFC%cO7)QXEvYgn2xI6Dn%$}F%$suHYs^%3Z#1&Qi2h-HcTYp2PqP)}+)P!J>7 zoz(C>YMQzVYke_*5Me+ccwk=>M_Y#A;x=8N*pvw~XV|Hhl}iB1+{pk>O_#_1xc0T| zeYAO~`dS0WC7jCo5eTF#kfYeyU{J=Dz2H)UgGG@7J#(ouQwq!nG<$w3%Sgf-4E$aB zw!*A#6y-Tr()Fs_k+AMZX#6^ZV~MknE(NEGey$9s%M?|p|CgyJ_O-3y2U0*3ie)9y z$C-8V9)@v0S`qU}E!GJfpu3cR+E|Hcu(mh{1RO{*d?BJ~0D4@f3QyrOk;=JdzXK6P zyMgydZ)!p>@H!^~6&^l~&YTAP=B8;jK?Ri^DdXRy*J?Po%O?eDEZbZ(7qSPUc}o+U z+u}RCW>xd1Yv<;_R} z(sLtynv#eW5C8?RIoJFD>2Fp`W_%8c;VV$TRA7SM$X@i=N|vD4+LP$6K;aSg}Cy!L@G z1E~fiq@K4zPq-ip!BSK4L|oLDAg6~xVrgtQt*rO=Ila6_DJ0>Og~NSrxu+r?i=iSu{*-I)Oa<;<4ALC?n&7`lFzqxXMB=d{cbb1wc*bs=Uw*kHYnX#Ye6(;|^dkn!N}QJwd9d z?ygEOkF*h&}MWUD_veq)v7{_IOg=hpUF zu!}BdDS1Y$k(nEWw|ani&4RIRoFw(*VB%|{tf((+qKB#pvpYo!-*nn^uPPR4DI(Tz zyuM`R-qJp}o3~Q=L15pbC2DZj|3&d^0_pTSEIns4?sos_=CAXp-m=s#geOJP zL}LcHBmQ&(95kG~`y|FZqe#3HTCDy>sW>2Y@M!jRUgMfQ8lF_<@%9N?S6+-|j++fv z7u@-2!SS-oKo(m2b-tsTRP|YzS_h6%5)YYW8Kb}8&V6Vk=mWYSB-E0?)VYK-wQJH9gs`aV&Z)E{ySykO4x5niW! zqu-0PwYmq$4*WAqxokJjRFITo1*Im&Z=c z0SoGf?!n($jv)g}H2j~#`j}w1Z5d;|2C7*o3+&V53REo_v=cW@;hl^hnW5paP_2JM zc|pq5FsP9FHhM5(sT5wtC8a(Wzk}(RpL{;Kdd{4}kFZ3l`$iy%Ku9u!0cjS@j6kTm z2=%^WRG&^5L&AF@;p+oq*S>elpXDBEBU*wHewXC==-ke=HUTf|wCmACA1wRuGYZ(7 zVQYVa3L8rEki^!8{c(MFf@qDEhQHPI7b#eT6`~k{8)x>@&Z-JPxX44B_ph?qxn>pD zA=$RZmp)U-*Sp`E$V*y7@`)_fFva+laI4o6*KUbmfn`Bt?K7H}+WN*v?ek%iV(t67 z&aoFu_Nnk@D!uCdzBN*Z**Qo_`*?BAE3|k94QuwWt8LtatEx+EgxSc{1cK?`-n1DE zt}nIE=6~U4Qse@wpGWXt_JKVdd=8BaO>q)6wXFN!-W$&zi5j&x5)(xZ-(2zni*7yw z!I$#>T0u0DMQnRS%!tf`i1Hb|n?2}WKJ=2}K5i(&L!zV&am`y@5>2e9+t%3LRt~W>idZML`&ElfUz#4dwaj`MZ&1 zEDepImve@a4UicPJN}I}c}axKx72@TTNRMX(63|s(=mbKOMy25*7B2c%ZhNF1{RUp zahLa}K9Q3zt8&5u3gVVeo9Y@`ud-U(#C82b8FNu00@}yD7E!ddwkV;6S8apQc<^uQ zpe+U4h4MCpf<6xb81=FYJ*vlaH~+r)(ILd5oR8QcQ77qo^4TxRbX z0W`cQm1x2UUn$jMGX1wf7*{#uB3Y_0guKeQRLzjX@PlTi_NwAM4aFP2T~5*%!oV97<}LrPDhyCPNMV6Uw>_}_p1Cph)V`V(b%byJ!)AS8PdJ2^r2Pp0A?CGu7gpf>l2N?*5Hy7SL}!SEWE{;hVdG zlevD)HB3e>D3l(!A_!VGFS)|kO`K3iR!>l8af5#CKMkENW;vK%H}6=?4v=lCaJ~4+ z0qX+dQNdu5BqgNW44;Og0+R6%uOdmTD|LY0M1;$IYHp_1*9Xq7)1UF`DTq+FYN}Ln z(c%j(n(#$+Dj-kYfxI8)Y!qtuye-KmObCkB&ALOTJ#xbpE*{j(5q z-DdBRy*e_B(7ZgO2^q%#-|8{NPPMt#d)6(YFJti~b%8HvM1Un~c!|}azeI|nK0JdF zq*47`HtU946oY=vzuu}VUt_22&OqJ@h0dh&IHog?E9|?s}0na*2T1!^{UH_Sg#l_OV$Y|x}rc<=B zZ}pr*LJ$Kh+JlC+9B90L8&-T{{O8GtPPRpf^J`t^H@YI|KtM$Ns@0&qjZAxm1<_O> z_^q_OMg#6jaRF(oc=yi_=&9se%KFYJy_>6;x2(Rm(ZXm%{#XX4uJ`zMOaf8%-YqS; zs4&tx3Vam~*<1e8oOhF-aH)-!x-=RXpra(3G6((#p~M8Df6jGp?w>B3Ev>eF`e1_< z=8@EuQ2_!S{RK`meNe6}Gw3=sutE!q?Rt-l6<@Jrz!I8sQOG3OFL;o>{z&Cuo8K=D zz7*&-DGM)74BR;Xc>+oEsxLiOLn=Ov`%bcLr!!!=)icK()(96=YqpT2M%3pZa$51b zbONW7Srp8;rZmF;Q!V=mr`|{6!ylgemZ2i;(FO+30c@cY-K-4vN6cc@_HHrL)0);1 zE$e09+OrRp;!DZ5s38D$HWymwsL3UgVeCJ#{^}p1mYtrIf7Sg|5UY>JH;lxB6`@-)r6Z z0F(q-q&=08H>>14$&`!c5Pxoo*0cI}^d^b}7*-3`{yH)VO}nI% z^9s$q0mq+o7S|%f{JqR%p+dSPX6Klbh<#)B{f}}HPLw*_lIBma$Uyiv;>;Wv+U-dZ z9x~vC9!;qbw1Aqzm{j3Z62$kP?&(Y-s&rCY0blu2f|1Ug1F`2|A5NWdQka&Fw8zvL z5-7%XGo7xA+^flnS^ed$K!+5)}5o z@2{S3;jMg$CzVoRLlNz9EL_`x9&@gKc}ev3M5LQ=$_XqgEziG?l+4X|r~ha$HSZ7Z zPbWds9eA)W+zP9ta`^txP)(HAt6VJR2k}1wO;=AyAGl)^_%tFy&=e90Ov>_wy4(q= z$|b=xXKz9fou-Bt8+xOT$vKu64ks%iA=rTn1KkX~n=HOC_!SMAB?snMzA@lF^L70N z==ZicMHTk|hndQ7sO@F7{VvI&_Oo*kK9e`Wh#Um@D+&)0DuZ6@6URF*g;cJt7Z3R} zZ>T+gpF+RpK$H;2`8AIPH#@Z~na&q}i^*11Sh%nW^?=8D|(y9}ei z3*Uy9NZ5BPJ%?9M7`H$E>$wX`Lf3?>J{Q&x7~wng|Ebuu3jb_^LMSstt{?h(bV%`u z#|9Uz*ULihG?xg@0R|B|nw+BYtL*0K8tHWjUO9=qUW#k8*(-N|86g_QH;-t(rm##k zTS8`y)a1+11~aov8aV(gDjo^^dCy5*;TL#YJuG2;ibmcA`MbSNGyat z5jE!If}cl9bqUK;;xXQQxN_Hi4#zd+#Y>U=8d%$MTmV}*K0;BWP*naCkHbme_fSgD zq#8KB0&@a;t#a2;WES8yXA7DBg~+gx@a5UoqCP&S4b&?Z2p7C+9-Xyew}3uc-4@); z7VG|IzH1+k?@ax|(oOX^Ktm>C^o@5pJCXGlbT(c^Go@DsMKnii^LMe6# z{Q;iSh3~KmY-q_Iq;LMHwk=cZtSVgjZpF-s1rxCY`|&cpWGiP;+gj9c9;@vb;SPOQ z67BuA{dJ#_tnWbD02w#pq2O$}jpk?JEq{9-X&y`g`;1pxh8JFoJNK*mvc8q`&L-Ht<_ywqkaS z-hL@#?f9Ja?x%2k_k}?D*`}THB_4^C4xa0Ova^j_uM+D-9?sYXsAsZ>rh;AQOYG&* zIHLI1q0oMpalQEr{K?)9C9jGKKw)0Gn}%}<-ES2hf!?w%?a0}qlZ+MfCGxV z*KU)EU45nFb6AB!A`d<@xJFl#zgD02MmE$I}tahOa&cs5o6@(M+fZaERa7#c)WSjmun{mF00yJ72e0uk+sNUgUp%mtG8;(Ba?v~KZv)ylccw#Ren)2wmL}Do z=o5rT6({Sop)mmI zdS@aSTkirm^5=3&ckuf7-MApUG0!y;E1X?+GiJ?b`jTM>Qv3eb>q3`*Qd^cS#cfeX zTxNKM`K@QAdW8n>tML715R_+Yh#slJ1fPV60S_**LLJQUXzzG~hUumN<%(dy9C#@3 z*wtsXJ*;_}yjj9mwE!;F>+p7y$ZGx=a`t(Mi>X%SamUV=@0V?<-CGi?A)okU$h#Ik zbCV}_o4)PYGcC*2adR93tpWIOs*Ln4DJznOxru>bRc0bXs~ga^|E2h4T+$`tsp2kC z+UNd^1Fq5slg#&W0ogIxa!&cJ8Mx9S?s_E++TYI;wIGOlq*y@XuI7viWOFpDW|LsgP7w86(WDYX34zbiM^Zx8oUpw4}OMNS7%P z6AMus;@6bbtNnwF_n`=JS=eS?8r;ft60VIc#90sK2#OiSHlW-s7k4U&Q*j1uqXPD- z0~yCVGVn7-LREhvkx#MnUg3^EB#e9wbGsb8ty7YS1m)rmZvMLRN%WR1_C;ULJYLl} z^p>2(;l*Kb(v?B;o*f_4SW`&TgEHO~@s;I#+NF*Z;LPNm`WVlQZ2nkO!LB7a~5u>R}HJ! zI7ZswCeZgR>1=+;y>vb`O_R8o9DrZ8lq7Ic5P1Z${u4U;l31tb`*i$r88fzuM8x)90h&GRymW1*Y9B=jv1J*g%z4(&BLLWqiy*3H>etN(<_kMApA-BD2e-?g> zm}qIc(h5_Nzf})|X07?Xz8z5Z^JO})mrjT{^g9_1da^e*RE{Cg4G-JsPs^Uzblu-K z(D$mhR5&LF1a7$XwZ4msAE37dpDyHHO?b)5wX=3hSs&*jiX@a^{O_0>j((KJ{{AqE z9+g4x&sSjcTnKipcj$6zDYRgRo@`>Oyzzr)LUbcn2sWT$8DPgptUY#}URBJ#^!SfP z7Md@P%u_P}KcRe9&lPweS3UbsG4<9zh`?$x2-T4o-nQP>>*hgzOb?XLU}GiriZH6=oM*nViiWP);w@)-dA16B;zO@KazfEn0hehqJMSmH2R96@#f({43|-DOm*;z z_rn$F>j4T6h1;&L#Mf-s|EMA_pAj0|R~@v3KVGeMYvEUGV^(V;QrjQECJ|to+$;XY z#l_~=RLZ#6nw^Hz%QA^NnKYp0MDQiEKN(4DZ*LcrX zoaO$z)@J9yiYvTmcy0aiLcirIIljtgEPZWnGuF(C@19+833{rNbnBOw?c|g`QIYuQ z1IRY~FrQ(4{@U8s%Y3)T&1H~(=v;HCZ67Gc8YKS@*LYmWT{qg*#41U$liMWarH@Ab zRewMj!)@a<)yjvhGeAFfMRJdj&Y{$=g0UaCd!nC!&^6D0ESuMYfQB{ zv{y-{HRt^CJS?!<+ap9_&3Qm5R6Q7tlJKj2)Ci~5OUCh$r%d`E59 zM(V&XU|W0Kct(ozy4cmOh)gV_sz{Jh_T57QQejyM8R{|yP7ADmi$g=+q#`0EelzRnW@NAN zY@?7vz!?{FB%jyrLQG^bDpvC6yfl>P5UOxRXzUnwgrRW=ol?3LHvIXJP~Ej~szXu1 zuxs*6F6F<>;=oik)($+8I*V zLcDs9Dj$?cvAdE!E z-)DfUx?JjwLM<_!zJ^E(Jdtgiqf;A*DE4P)=)8~R0n?r#sT=v_ZWdQ;W*+9WJofff zX{N7A5(w^fe1Cflf8Xbxy!$N`M094Grf-xZpZe_Oq;HOqA4B8!@~_4{{Wxar19Og#}$mD5lOpc z@*y*Cku?a`+@tLHYh$jwYB~ecDWv1mFK>H9l=82#?AGzRMIS$7)sFOrONy|xF>f^4 z>^Tfd|I=5dM(GYj%uN8Q%?92pFI?Lx$a}L6&QoTqry~0`psgZTJmK`CUe6H?e=pzU zb$xe(sKShb$`s}Pg&}fS<4z~+GK)#wh22aP@wUDE1qNrUTNVC^S0-re>{>q1(wt#q zJ&XR`gDK!F6_TV>d%Uym-Zz?RbqL36(LO`vW`k#T;N#nF7=c4jb$^X`8P+J z{uai|D;j>mG3C=1!H2gASJK#);48ro5@y)QDZ2b4h4VGUcY{O1(?>Tjy+G;XhK10= zlGaQ{OHqvez3}Lr2~XZ+3b}sn%Y-h-I6XmDusqZ4QcGK3!w1p?E54S7(~zGhKCRSf z=v1%pC95C-5xFl_n4ebG44B)fCK&Hl8|=oU`ETYyVMk?CykQeP$~Bf`=m(&q$slar_iDNW7yY{nkipT!`ETP~hqD%TQ6l_UHtTeqqXy6dzHm zYxEP;nkBFN04qOOe?UNeaG3Xu@tpLgndoocPkqQF)#6%Oet66Lgzo13uM2Hxnsnqc^b5+?*jT>_R(sr_czMHB;~qf$iKa&dm47z+S7T~IP6;w!AIgQ(LW&(8ze2^NeZ)56<| zg|2_aK!f&Wfmug1{P6tOO!TpBEdL7XoBQI>f1Cx4^i)2pBHG}Ka@;8-YyR5ARBB9| z3C7&Hf7+&pyMOW|_A1hfS}}81Oyy^E1=!B@b(KLB$WDVRR)$Jd%nZRrQ{bJ5ECiY8 z|B_9EMdpD{1Ne@ML8zCIefxPE^8pX}&1a&c&ssdO{fB1gOI0nJi!Y@4jw| zR{o+}_X_JqKhJ{jQg(@xkuz3ekcl9_V5+Tq>44;2l+@6L_Rx&QOS8KwO(+OuTZ+(q z4l|g!Z04d_eA`F(L1bLn)pY!ic;l~xH2dIp-)yTpyo-~g2l~3oV;w1)l3yg>>bCHV z2sl}mzNW}e(5rpr9M|yyu>NZ$v%w)jiurlKO_kv%L?BVE&4~t$G@D1gS^LGuneD?N z${OSG*+LVxoDw_g6d#_eA=JAEg_{p{VVVo+A}7-1w%do9{gpEJ0*5QpW+#$~tbx;? zJD4lkT(i7de%G=n2B7L~o+X~X85EgVY_{@Lj(S*nk&pWNfP^?eC?;TOKX}C8%d7C${R>!Ij`FRY{agS$RKiznibO#Uf_t$Zqx(8jcAuwTI^*s&Fd6bU=x7Wi}V3Nm&H;OrqL@Ga~4|ildsUjoY1om6@~3j5PLBNr7Qnwg2*5{%~uQ#2Ef_)YNmo z1ty`YC9hY@4`QL9Xx7r&MK7C3O}RbVK$*VE*}%-9Qz84{wpSOubDf2Jfk7mf=AAxC zaDA-?u*0)&aDTSh{QT|E_rkT3b9GI(xWIRSh7}j*&coq&&IlfZO$YgN)jSk0s}K21 z6=ivGxW6ibJ%Uzc_eF|M+HP3}0shD~GT~|h4<#_?QQH?6_96-qWVVVm(Q>o7?vhLp zM3U*@hBr5IB^h(j9OLfBHrSlrg#M^&?MC_8y_YdHx-0gimDqV^7yjEA8z3@w{-~^m zo=b26`8S;z9~a>uR>+AH0O%sdDU@1ro|RF&`LO%E*5=`;L~|h@N1vMbq4eHEey4Yx ziKO*Tg|Eo`FH;Eu)|*Jv(a04Cds7|70H=@GZ!cjI9BsP2J@DBKu`Wmm8oyZBVhj~F zMISIT-L51<{3Rcpu_veb`@9T%*Z=v{);r6-;{uG5Pj0wrKQMdd)GST9MOqqPEp&Y4 zoqx!fyju$cs~1K%;ijb2c{!W26L>48MT|mpdhYWQ*ms$b%d2uGte^N>Y8UAZQ~V{D zDUX_4xZgJ+++UR)-zQjB=o{>jcm2|f-C?Vw8f{{90pSkwk$aOEZyfj;|0{H0#^xhy z9{@!1biD5`3;6!PL^V#mi#;Im{QE46S@de%XTaU?mk-0ELwfHeT#po&&24_=@ z57wkEBaY}@HH-8;Sl-eurZMCj3M?VE|H&al~V}dEbtPf=7Hh1w1qWc90;j> zr2E2KXvXts`H=2?#54Q7zWi%f_x%7QjEkm~V&?tdDNdT;V&la{b{gCyZ-`mro4FpV zQ^v%eI?bESFI<-zyQpNAVtIK@YK}zm=_Ia%XDCuPlPc;|A$@%gwt9D1(6 zs(TUnasq$CGd~sd?O!|WqfjrIQlplCMIUEdXqf=K04Vhse7EL@xhqJ|0vflHt#NEHwn-OwT zgD9l3#vRhwk0tYQ##O2@Va9Tv&${WXcdnZ=R-|74Q-hV_spPZ9(a=zz2*L~gBb>GCSS0=a3Gi}&{ zPZ>tmCCTKcUl9CXZWAn!R0r37#v9VBoKwULL`UXYXWYLBEqI%SfLZQ` zlyuZpWPK9q-P*~+i(fnw|0-?Qm=RY0X+7MlZTzu!-MF+@DR^wRp$DKAg+C!%Hn}W4 zV9y=KGCp@?Mab(PDK>*Pamo3w(tSN=K%YE!$xahag2{$;&&CoQj^Ct2cgDQUKv-le z?`b?IGmPB(d(W6VhO7Au?NhB7O1*n7C~zj8spEbAUq=GX)lFZ3q#jLF^J`VY9#yNk zn?I9vk57ZB9=A&kDwwM_;$CQvq2oKItl4jiGrzk^ChyW+nMu(XR}_pM`1^iI zmNt3v*=L{N=K764$xJ4ZR_Tr7;WzYPyCt%I(1<;2bReY{t+qwZ+F|cl5vO=ILTvVs z+na6EaQFt#gx`>F)X&9L4bsLG{u-~*zEDGZW_iyxF(za?<}=TGC+-3iM+5(G&*?Kta zovV0fKLwK06^D85y@D_N8(SFsA{AC^rP1G7ZwTyxJ_YotsbZct`LJLJX-wlv#_&u> zoh2PeDR42`X^(MWWjE_p1bi;-S*Ibhu{qfdcZCY_EOZH|HIx^~>FA-p_jcohL|E5U{gFU);|BSu z+MYc&m239^a@Sq&vjJllIW*;r#WH=`61CmFWe5I4bab9Wl&$8_49xT}?2_4!cKz~t=~ zuTBA19Qh)h_4-D1V5Mjt@}Aw08|y)oSHKnZ;0TEMhySkrfsY5w?=80b$A_lNL$G|1k74(v?>A8zkz0w> zl?_4J*5!NOmHK{b>GXcv*)&&lZp_kfJ_&0ChW_#pNyWyGa^m}uPLeN%=yp?1r@Y&t zF}c=|_}EunGib48gIAo#1#BP*5$*6Dc42J1blzoXP^b!b`OHkH8@p~?cNxT4X!=UW zP<6wqCTWmBE=!l)lw1?U9p!(TkV*S#LeiWdYTuE`+J!mlv+x%EiJ$7E1bM^j2djU0 zz5`EkPdEI9$l6Y5wj}C_j*o%=&l0n+&{76#ZLwCR))k|t+yf<8u@LNuM(?Cz`+9*r z^90`GL$>l3Z-PK{r-kfRzKgfCe5Rt)mzTen34bq}Zbd_n|8aLEsjwD3{%7*YOQ!x| z!Kf5K`Y-IAecvO^>?rKyw<<|92Ti0X4Bt!YYqwdp;+HqCVH|7TH?U-S8- z9`xz-ktFaY6!<$o&LP+u0(<)29)QzL)|Xracu3vQLhqFROni?)a;Bmc*G7zodl9E& z0%u-+j_P7Q@yuJSWNo|6sTU>GSujwar!~>VUZL>|^hmVnon$J8{{db^8KmY08vT7a zQlT2xCXc?!w^PVPK(-7UUl2F^xF*UN!PeIPg(39|#b_&CZ2nD5%*dQHEQW)G{7W_^ zM4$bDWVM02MXGPhx_RILU0;}gPz1VK9C>u=vM^jLMqBSIA)$D|jtU8S^%!9mtvl>j zpb^ZV4zqg<5Z@Rk_#r5gYxM&1d;3%p!=M5;+5dQA9mhN?H@L-Pr42cJSw5x84yRLI z&*U^IS-y7hZV~BoGXAOkQd;SYWJ$tc_Bq||mc-8&=iQwINAaU_+=6xePMfIGYWBP8 zDzi-#lCsgnZZC1>=*vwNJPGRooAFLuxiPGiNgex?82?ClVv<)*e3>9W;$XglrN@+& z@Y;|pRWjxXs6VW@{xMgiPs#RTRD^939h$>ia~oMY*FqV}8;X!mGZbRFY8ec^5YKD6 zXYb6OdncM1+^mv`YeE5-&4hr@6pZC;lEqtwcVO0#Pa5z;Yr?xbFGoZLTn zb(8~252jP(H~DJ(y8?_8EwzUZUt$ux*2Dy78FNMROFVyc&pG;- zOkLp|!f6&r(y|_tJu6pzFg;c^?_;!pCl&UYT>ZEr7RTY6La{I0n6wfLoY~g4vvI$} zkW-{XlNhe+eGI;{`EQ^fcx+ZkSyez=#Kcc4z>2Z|Rm(r}IgPYMQbQ!({J@GJV`Ntv zw$l`AlPd4@h0W54O)OR%T*jiH55? z9ptNtSet1vnqij4vR-TUzjA*s7e5XmqM+URpCei9Il1q-?qSUL>1H}IckPpGy@@x; z$dWgmCQXVX4!F1-)d>w}m)*Zklt*15tXZt(&dB!%mVj5Hd$;{6pgt(2)o zR>yk4vUiZpJ7}?F4JRXm)Fy7G4_ced$ql+IleOVT-Mx1WFIBHyX4VT&e{8&~A}U#E zc-}{w?717FwiQ1pSa!V==oQO>`?6O4*i7{v$w|wDxLx+G^UhD19L5qRJv&fbIzwsJ zQV$37HUP5%yFW0igPF|YQ%aD$1nMe_q@l!iw_^w8KfNe>#COR`K;w5)0&#Hp*cen$a{p;T(W%kRKXEg-f-mx0Vb87L6 zwTdlg4_y}y^a`)9m-Kx#^7*>@sq&5K%Ef)w4Jz6RJ=bCQg|O^-`I%fUBp{Zn{_h$- zAx6+TwFFs%v;Bj(C_Cb&aj@!_lLj0qt1l*8*>)?{l?U6No6a?L3`N#aPI7YKg93j9 zwXvi!Q+HHWGL9VLuxULVn$)yP2jpRPjw_9Eu`QtyJET4f?U#C)d}O!ManqLN`3!<%MfdLoX+VUL3FeEtrz&kWSw_2o;~y@Y5wD~EfQN<(HOA2VQ8Lk? z4B2%lalEKYd@++c{Em5GcbNmnUX0iKZY#Ln2;Hk_I&9G=@;7p=^KyeK@BATLs~&+g z&QVvV<39UwY0m5e%_|;SFYit78kiQUHTcL~J?j4C93yzmfLQ%)Fl?SQhQ5CG2yPBb zv5ZUl{LJ%<_gBYxC~sfm50FN(+MtRgynR)iAw}w-rtdf4jz!PqDuG0{kR(i6YgOkE zV^i8UpzzqNql*iljditZ5@U6)opC}()*L?Vjx_f@8^zSqy%;LWX_m2ipoxsIw#p7f zHOb7bL7dq5td(JEMF?9ceK>B0LF@@xlqw#C2|9a7Sb`sUf+`>E`#F_L9bJ z)t{608e6sI%UQ3nnxVm24lA}-Y&R~ejr7w3uQhBQw7A$gGN2FwNJSr}dcHJHAa-x= z<;c@Rc5lbwrAg0i-VORIG?oy$MH-rw^Mh37L+=)78P7+ZwzL z9WEzbKxHYM5ckwDSreo0S3)`%u8Ltm-61nzzXtmo!MGuuEzjjZu_tkgm^ZoH>8b2* zQ|{7IXbyw041c-TTV?l>?l-eBO5qLn`rr<{YGC7?YhjasjkYQwwDpaTVt$G~Z0^*~ zqvl#!6cz))pRTo8m_FVN{*D48{^+_i= zxZH-fmldpZWW1z>V%02eH*G`6e{TL}KK!7&pn5ai8ThB-IGf8lk~`HdkK+)AT2& z{t+x@2EM*bm}-zg*~ZxC zTX?jmeTeOHjX|0jlYhLJa$ie~rz6ka>)u|vE zW#?znJl`3a@zPyp@UgAuc`Hsku2eda1j&hp3Os{m zJiQ#Z`RGKSr|{WJSwGh@rSf$FjmxH1f7gZ#pR~9@Y+src1{tR&$OV z68BXnHueYYPp39~mDON(Bmr}OC~z1!*G7CzI$@)G3R4&C=pRC|OqE{{|v z8osGmZ9T4wD?zdckPGVx+i{Yru(P4P)u|6WqTZ9qDVu2;0hQOfyGaa`gW}I^J$LCA zKgX!Gre66nDDCy=lb~boqQTxsvBIX3Y0lgxoxnz*enLQc@VRgY5*TW0Ui&5{Z1cUw z8iLZalldpRDR)Nx%XLU)db#2ZbK-;dqSgu7ub*v@-?N50JRC_I6|d7IBn08KQ4U_`t@; zFqw4jTu&X=%pzZb?o6mVy%NqLbTyIr(SWoH8XYlC@=sS}qR7?2RLW?md|Jlm@Uy~W zyJ8+X#wXrWY_d($Cp`tjKMZnD^+PvLc^l~QCerdIYH?498($ohB(TGko>wS>^PVJ^ z?^3!5cZe4;o)`ou!&pv26#k}&THC`edqnF!&svi#AhKx--Owi3O&+J4pcDu_O8B=<=l4Tf5HwD zXF4b?t+CU0ttxve*~Rkm$M=oaWHIk^5fs1H!B}QLJNgM`#ZFw*#^P??;`aO)|BJl< z5H18^HuA0A^*F?gd#GgkV|7|e*J@-ykj0;ql=*HcuMiD&}l_%1rVx{O7zn;SzH(ky7qRCRb=HKhbA4M zPR8SB30oXY&u&5HJ@#Yb*rdX1gc2elfq*7X!6(J$Jv9c5P0P09v8T_R32wdn1sG$X zx&>;~)+3hsgdsd}9;h{2heOyzE$D}3;Otj?5)x(ZwG)Rm(Ni+AK<25rWBlpeUMO!* zMZvO7%jy072H~n7l4ZWsodl4mnbp}EfqT$qwWkR6&3lZZX=`Q64-7Hi`2HqJ%EUvC zkTB~Pyj}4I^}_SXb*R?4Gs-Gb){QZ~gz28?{fQ}!siccLWWNI(G?GZ5og=Z;2e4K3 ztjifD0j%#M z`pM{Q zE3U)Mmp$DrRfpDHKzeMB$B4R34s486HsS60AJ;QQcCI*IGHvn0wz2%0m&&aH9Ji6y zFPMh_!nYl_sW(JeUP9U2Vr1uAK{ApDOoT1u%V6~pb9{I8&FksUoPqXR>oGuZSD%0tJ)%Ng6gkKhMkT=U#Kpma2%-bEwqHKH#5z& z$w4f7#N*5t6N~$P%gB#yK-ON34#p*;n($#REv6P97S|_xS9Jdv-SFmqC@%pX2N-Yj z<-%fDv5JYES1IsbZ*JO!2*fSNns+xo^-P%`>h;E-n_rH9*|}KJ!+ZTR2>G@)K^4Nr zJIqL0Qk($3NC$*j;X9x51J4NU%A)`JTU@2m@>oF#ippAT?&s$LSgIs+>>o869&~6{1z9o}0_q5$`N0?Sm zsgx3&YRfUP-Ao@-UPo8&((NXDNT$zipl|ySe32$w!X%xv|Hv&Nlto?&mMDLeadvHW=EJlIjgFvrlbG7a4K)RneCu zPXzXC2uo}eh$~RBqrHBr*9@N=W{~VaaHui*&dL75&fE=g%fIG*(t!tL%r^;VwDU8e?2Rc_@=xSykiBzdwT0mX# zj)@(CG-#7zhK|C`r?6PDQv^tove@B0jyVOM|6e9QO14BWtA9EI?^uyQgjIn7+zaMFW~tqHR_ z?^iazB6Dqn*iVi(4xg4FrH%qxpXGym$|vp{aEGko7h76^I#X7{4|cU;)uIZcu3eJP zDeI2~=hhz}_%xgad#q2B;@&ofU*XQB&F-pz7@HozlFmxM4+IUElps;nLDC;$(9E-r zmx8}+vdLew`#x$c7(My$ClC1nXuJMF<=;L3+qn?7%CZG?3|u0B+(%>U2ZL*L=#|*7 zs~0o(Vj6wB1|BddHi_MCUc7e`+EvM3CU>wKa=zB? z?t+4A5o2bKKr6~$(|l|a9oR}fA{uEp`@y3)R|lRb7KYH`KuC(DClyxDerg90>2T^vc^us=K zRXaV`ixSvviW0padIfT&ZVSU1ch|K_%X10-eKuL4a&UX+ z;m}TF@9}OASaj`De6y^X$v+En#~}R_1aUc3G{VwA14MTP|84d&4ta*_ZuVk5%8f79u#sBlRsazxFs_G)Tcd4Uyp78U zf81lvGtjMoX$HgO^!F>lO$Q9A0?T&arIUIZrhaR%e_F2V=~x7YIdpbo`Ug7-V}nff z!ZOtaYbJ?@V$IVuInye%SkKIHx|nflbcq#m;A(INInc94j%?;b|`?V*o1H7IW=t@uhl(FUc)0w9? zyGv`F@ShMG=~hqpf#^X#r0H6e3#@0PFxDtlbXGu*5Q^fy3gClTw|B>N5+Frj?=&9|8cD& z^GH2MN!cehYI~RrgQ|S2TXxQEtlJA*Y-8t$^^}SMD`V|q5GKph*=qS*VhT-<*;w3U z%pbhh^%hVt4tD6@PfakP;Mg&=;=oh)t^qXC`CUBGm*N(rl@q)p%9ltAu=Lt_J@+mlSAE zxH#YV*1rskD%Cv7ONQ(ENAb~%yF+6P1DMob8Op!xzCy&HDhT z@^oWy&PUd_s;lq@p9Y`uS%5yLVgiWUeKRAwhCYKaZ&o@6GczMCRdd^$;)O{M*e;UP zgo*=W$Iz4)Q9?>C1rE~kQfhHr#99Sgggvf;=FbIi;Rv&vB-&nT=CRt8B>k{W`qi95 zHWY10QfGZ;&p`;2f-#D#Cbg?febOpxzpSbyV<1GUH1%xvDPCo(_&Ad0Y>NgjQ?K#V zfaeQ4XFn(CTF7DiSGShYPLmAwRk@TMe+RVIjp+>2T%qYI3$xS0(B-%U!v(IJpC>kT z6P1$~T~;beY34!FhOGxP26L6-JIzFWtO$!oeqf|_9CsCG1U7Rr^usnzMBP|=;uG9W zepe1zv&6t<;fz{bfsH8SP@sn##yE8>&Th^Sab%-|>3YGFZ zV{?DYf7E~2{~UV_U^hLT+g$~S0x}7r&fOX?jh_Bh>$F6LS3nm})BvgmN2KqK>5_^v z(dPHd?96&qr?O{b~Xp7FoJarbgxZdA6fv-$*|AEo}(ykf{vRY_#3 zX5pj!1@qP&B>T`aFGPJDb=*npTzM)5p06f5&*>YTa~5k>=(uqjN+Ew*wj)7@wUq@` z-L>tBv?QV@SV8iwUnEXU)q+ZM_1K3BX>Op(;xW?m3Sf6?xX1}aVnlZbC}FO)d~b|&K^0T%8f72>nPX5W-692rkLG>DQ0N&erEu6&n5+S{HPw&?a0n$$875d}EMy<;;b*O5T0S&<{05!RFGAs=@dTGOT9S zIpQPvtwh?@7?;l!v^!XPLhJXg+}XBHs{Z7p{~0+p(0l|7wk4fuJGBQ#UgZE7`Hiew zUMll7G&6F?+e0h`8tkPgNlu?FP2Vm)$r)8HtM>9VvmccYF?F(6yBgyh8_y7*WaS7^ z%Kphl@6duH{&Sj85uodxAz<=hT`r%bX|#S`XszS6d&D{zE1307blnk=Xz|^iiob%& z2J?>Iufw*5GipCIXMF;#kYhiFp2^W=!IosJniI_Z2Y4m5+!1+Pdad`5MDBchf2aN- zE1hy!mS)8R{3tMA8Mv_o-xZbQTS}JO&54}~pv2KqGT2X(mA;HU28w$!aL=wa@5#G? zzVcEuDoW-(Z^YypI`j6&6^iF({}~pDAhcf!xxjy>4{9%$6rMEp5#zVgkHfjjzJq7I z%r<^~sUTSs!%P5I?DNbTKY&WlTsA{~i(h?AE*H$DPl@OBjGTVuW0O->6n2Yz@2q0C zc4%+;OcJwurn~`e&2iwd)4@}lF z-b`3?e&a)@#L`+462&v{t8G1s>vxW8Cnq`bW1y@NQq8AqzB6-!GF5aIlH@ejK+h<= zp_|kcBF!hT!JHY;<`bmQSI2yh(>Xm1&tv12`T8tbf>l5$`#Knt@aITZ<85OcM+J@b z(pIYKSt%f5y$U#^03?^gAUG|nYMk$l?9GuOBUL}3MLGX)3uET{W1P<_J$5?)of9RP<$y8jaO&?9oSM_^O&R(yf znzkoNR`_%)=D~N?OFR*-R;7onk9xEl8uRsKs@Uz}qaM#Os1Fz1^BJN0lwjfY74C6( z<+}nl?<*X=v*vP^YLVK3`fc{Th(Gv^R0F)#JuLYF-$XZb2<%+2H^P{EAbgt-c*yPw z_ka6dk?^6**d6sQd*X)9a&BNADtFaC5ABR>YPhtTDt1oyeM22}96#EnI&l)gm^pDSfy(;={V`#>R*BCo3dCWx(m+hFqw^@XY-7{TB+RopKeE zr|lc;5Yp|hUt9&wQxq&fT?2ES?*JBzZ*AWBA$ioXP=B*OSL_lg5?Zr(YJq-%`wn1v z-1ib?nu8Shrox%Ie(z84+18s3#9EK_XAvMEHOH?Ddrul1TZ1K3Q>=)072`BnA)e-h ze?k2$utr(9z3&sSLQ+o8#>Dv?Sux!;yuZXlyVhK0^3oHe3grfCPp1(l#+Y$4KpVnu zDo%kFQFxzW^t?)()oDF|c!5zGUat7_W%f1GR$Y0vs!5dR%H|_q?34v&eBl3fLzbmv z!uWCg;Y6=X==k7MHXM&06mC~~XW0LQaA@aS!OvscK${oq+GB@2L>mx4CUwD~&~=1( zn~p8a9+*fJe^NXV&w4vbmnK|g_91r!rr|t(pJc!)B98pB^tCVO_qGj_ga_F1vw3C? zEdBy2rRZ!gwL=lD=8buP#7l+uM{o-gk&M@-_76n_ll#h``f=|CYCh2-HeN}@uN=~& zlpYQ8lO1H!WAh$|1O!C{kT(SXGDKwVhKpy}qQ^3T(oZK6!K1JqrQ)rLgvbbEJOM3b zuASA7;fKF^S6a6_1x2(Cp}%5UEvOZF^D}(k!NV97aK0u5n!dsVJu+43@?*vAwj0Yc z_wy$v>Nb}w4aiq=%I4gtQrG#c%pgbNMtXehM3*q_knqeaz`cSxbxeRo!DMcx19u?; z7+G_1>=0KERR4b3_z))R3@CEJWZ_?gSAFLhaW^4Ap(RarLBWR^o;s=uh!1Y%Zs=CJ z*)0Eb;V7Ue(T`V~o~iWE%%zuQ_Rlk+vM=3~qOFDl?0EKa7US^*`AE&Ne|JEv9`@y~ zJ)Ce>W7E30blv0SW{k=fM5YP7(^)%)?vn*+&t#Oho1J7DskZ8@6&;GOnyy~qe!Qsz zS0M(uH`{ABFNknSk}19m|4Im8zc1kxO)?K?m%z8bT1t+kUa#Gdg=eiL_zL=}T@G>k zeB_R3&QignIIQwwBNU}5;K)(G$I#h$j9l=!jVduJ&qC!UC9gK>X8aj%dzSWfHQ3lx zbo2z(uC3tTs!U0N)Q>m6_kG8B@f!)Okw)dYyLZ5|xq-JU;!+D8AojOs&xl{E^o0;R znm>qZZh|8OZy8iXn9B%j%TEMJU$qN6kaZsUsbZdR7zh-oRJG>N#40k;!CA3QKe|*^ zDqW7Fp2XpjfqGyXa2iRRx1Vxhnf=hK`;^C{Bdy~V+lpTqvsfKm5r1$2MX08sc~SPqN?o7-7T7Wq?ydppb_kqf_YEYz?C;0 zZ2kH7ICyCE?G(`X-9FidVMH<$5OigchoY5UV+8}BkGTjMj}=~Y#^yBlbFD|=jZB_@ z@KT!`G&JE9EHyLrFw-*ZZtb7^;VZKhrQo^vu*BfX!*o`&(C#Zik=4_tZ;mSUGd+}-h2wY5R<#A=L;r$NDP7JdUS z#rup=er>^OUj`QQnvnMyqRN`CCV6e}CM7vDOGo$oKOFZmcQ)`q*U55Mk)KJ)5{82% z8%ilM2NaUX%#<%gRF>%Lj2@mY^ScWB3-_wXPli8e)cLg8K2}-wmnBtM2U4e3q40wPwES(xB_k&P&zrK7w9mTRtva@B z@Gx+^ZxT>@th#p+0s4L;6Vt+l8!FJTlT5qF5-o5lnfx9r=5s!;%}h#|vDHyz(DQpW zlZ`e6?r6UD#^mC@2asf?Jcd3cf;7@FD4b(I$m_bxGp-c!v+`EFoJ^khXZuQoj#SU7 zw9h5wjY-s;^kg!P{*wodAEl+`ML2pM5*jB{P8#}I&Xp56&3#9za*Kd+&k;-`5}@JG z=DL$e?-bu?;#11&w^r8+=lz6+Mc5;-plYbKw`P^95FI?_DE_k9b;d(Q`-~5SO zu?}$l(9AeqW}A zPv6@IGrvh`TMME()uxfTbq;$}vY5Q^E5Y~RD??5khmSr_UhxXiDE}H_DVyi zpqcsF52zMIy;vaf<0PNy6STPPR~=f74h~G^K>6AzkP|Yqu+4B%Q|$NPUCTQ&>|`hG z5PKx>#zN*o<*+k{FOAwOzJ=}1+VVbByOoKviKXhpoGT?wt97hXc@$Q!gR{Fk<5_(N z0dqnDrSVnXfcU1gP+~Nhw;m8`o8IT_1^hz?LddQ}_peU$XUV~t;w|a{?X!%*l5e36 z#!?}h(FSN*ptkTX)7rs-d#|rvuOFO&Nvi_A@w4P%)V$HsO_XG7eH+k6*}(HU6POsz zlU6(VdM%){LAx=Sqk_TuI3ae2Ah{Jm>#{`;!(^et)?=PEUn?%Snv0Cp2LZtEE|&aN zpVv`2o0uUbhbD36FG-opSGVcKuv$z#*I>P*_)7Tk#X92}qjvzx%^Sp$It%C~etb^% zmGF7bY3;)Q%3e1~gnTs$d6;^6T8~J68=1zgnJIv5haN^N$uhirjF0(D>wp1= zXW2jZaUl0$s(Xg>wwi0kk#`M*oL5?pB>fO^wsZWVIwbk5L({0U9@4xjCBX(W?W}J= zbYBZarX{p!}CJ|C-=lht}bp?Hvu1B>?bQRB$>+ga@jJt`9OH5q!UeRGDhh*!Q+AZ~K8Y zpW;{jH1YDDWN(K1yCpn-z-iUoG!!?46MvJUF?upsqI_(#j2mMHw)vlk?wh$7+ zcO~fuA|6axvXqX#-)G(^k`mYin(Nxd5bN;QYl)y|1(c7gp_T%=wBab1 zTd$cBYvBoxe#*i+cFfeQSTq48B)!INoNCvJj3Fw5vdjkvrQk8bi%#ovhguUEbFgMX z$)z!VflxaSLFrVP-u5KO7B=TF?Y5;6JJM`SvhY%FJ=XWoC!8w}@eQcaQ<&ai^8L31 zW@l9n)CgaDaq)7>Gu|ix*7KFw=TXw)*05-`Hp&TB%^aB5J4kGL0aA1w=-YHxU)@+?y6k=Owa=KCWxP*kU-_q1@Lm zH|Ftd9`u(^w3%9coL2ZW6?SH`92* zk8saLP>meM&+q-JC3A90%bNUi(nRoWV4YHVeR{Hu-$B3Y#L!mDQQaQhjrm!*ld9r# zmfk<}6o04e@;H20?1_hfwmH}Laj0s;Qsqz0_Skej+CG|ryK*auyx4awqyfd%5EJf3 z50i<7k@wy+ajF;(RQs(4JCV9F8XB#XoWz-BvUiyTm++QwWcJc&7kmlLJLXV6E9pq>}VuAT5f#0s%C>mA-eeEyRZO*;Zy zZ=?Wddv9`|8h&3JIABb~n|nVoL!L!8BOqZv}e_ z11}6P7I>3srxr#K$(a>0F+(?}Qo#GR~YOZGUgI9nwRF?wjH6R@zi zfHo&V)*UJAY(tzwi^b~8anDdd->jFW*Bp*zQTf_c_-=dpWu-Jgm9;9Dkuqw~7DM^u zAb`zLUm2CIcsi*6P)B-FXOsjq6_6y?gG%sIbRT0U)Z7u)PvFbdRaF=xw&nlKnj-0u zL+H6Qsa;jR&t%7?@t}~)Pwma&QvLnTr}}C!NqU+pH_rwMemKPu2xdw6WXso#md3zPb-f{L?XHay8csalf?itW{}LD5yJ>*K~SA3?9I-N zN#c0?aqO645}BqicShmNhalAn(OLq1m2S*aQzhJ6)Sdq!?Y!UC))mqnExHj#m1k?u zNt5EOhf!q_d<|nK52#0c(#j_-LDIqR?-6K9AA{c&dHxdjW0GNqt7zS!!-7}DzESw3 zp3^9-R}{}x((LDN|LS&8*Z#97t5sKNnUAq0#1+|@JBK$q>xyGT2#vYzpJqxbMBCl& z?b=|j|0JFJ)N3fPH2eW!@5g!R03I*W9GAu?esdj82Vs|un!_QmNYB)NNC7#3x=Lkt zDJAS4zf1uoH_uNPjoqtuuzKWYo2>lwM~(R{0+Z;AoM!n}%3jsQ4_Y)+kug1Cyq192 zPC{J`RpOuSq)CmoYFV|=^}e##WT&2aUc5H;#sW6^rNk_%h1$;}6d%I_q^RJ+SGwdiX7 z?+wo|5~PdT(Z6lN4xRn>|E0<#(M}z3mQncvdhTjq@s7=V=1NQ?r)5!ivRjrk+kQ7Y z;@V7Co}Wv~DRimfAF2EEub~RReiXl=5lDJj* z2}252pH(FQDar4%RIK>sN3U1juUU+Zr;2&&%#3btnApZV{)la{c~X^PlUYt--mg9= z6rKj+dyIcDekH96fpMvEE^ZOjJR@q8xML&F8}_+dX&z{$(|+Be2y~Uhsu66(rjHJu zr^IiK^001>e!52(rwT$YQu$k5r50F!-$<_t(zgU*egU>r^j5wbSY>n@{_A9h60Xe? z&TFTn`Y(epEjx%5zHJ@~C0T7tu~w1Fv$2jm83(h)RDILY^NQSQ2x5@~^&z^MgRfF3 z)@64{7(j?Q2XTSE+W@k-x7Z1r?t6Q$oaJW{b?h+EP)lg&9}Fz2S?cdSU2mIeSH+Oc ze!aP!fOp%1QSWV=oHGLvlX3j!rvS^${U7nCvlM6dS>#>`&X)jLht1kjKk`N-8Q1~K zITvaNzA&GusMou^(^#V(0d=O|a+(XTEhOq`lx@|Xm|>5j>g}NBi12!bE}rF9T!qDw znZr~qpAe6MMd{0@r5Kx8?Q6bq2nYcB#a+br1s6u)UrM&HCbSR|pB`zsIlHBzWHtH7 zTU?jd1Jl=ap*bbd@e|fx@Fw$|eyzy$^fd0Zwg?Z+cS=8sJMj?#f zdEIZK9t${li@Vi&dcO+El)UUe@J@9*`vYJ3B!`@Q<;SE|7kc<;R==j+dq5ty%>=&r zb!RjM^Q++<&@CeEer|~n#AiZzKj0Wq=*Vq*d4lW*M^uA+1mJXKv0UTI=kFfe#K%q= zqUIY%ty?wtaT&!fQU>)4^=|B`R#c=zUZE}T*kahrTV7+EJp`~N90)hZI3`)9OBUsu z$7^=9xj+J4Do1=KClzKbr5HEFmKqHzYZ#Z>dyn*a^l?-gj-t zIKT~NYYWpj0X4V;|I^a|Vd0iE;hY2nxsu^OJoA~o1(uir;|B4vmjHZY2 zA8^_Zj*NoiGwL{krCV_ia8V>`a;wUlulBh$fv9W#UN|t$wTjp+hr_|iu zUNASQqnisbH_VcV?RTEVm+HLJU_CQkV^N11utRFn8{2)#>RWY|)d*->dBZriD{$ndO;l15%ZMaGs*-!)90eJ%j z1UfZ`K6`HXf=pY*0i$DU)|PHN6G8~eAZ2n1^ZK@n(D`Ed>Hm>*)p1dLUt2{4L>lR4 z>5>k~1?iBk1*E%{E>XI>B}BRw1c@b;?(UAIyBps5{@#BsJIkH;%*>wqoadbL97Nd3 zpg?jR29zY6`vobScQmXVzaPAUGw`(Azh4R9mJJxB(|9*JDO+h~crSlH3P3IF?+Oyf zX-f!SsjSz+BzcWw9gJyH8KaF27kp)EQ^TI?Hi|`7D=<_-%86awL=>`MBY=wOzvOWi zZo;Pz2$VUn42jp5Dkq3a7n?@S28r50LwR4wmA* zAW)-?#d510F2*v?Rk_eRii^S$ra!B=YLX<;&4gj z+Kn$)nKDnOLW}keh_&x51^e8o19IU$%wOd;yF}j4ew}vTZH0g)JRksU0xQ&+QZDC%4@C=qwDpie+?&$P zz#Fnbm86LLPRHma7|-0dgY7c##h#Cv^3NXCdmnSKs9bN3-0-rz`hxFVxev3vV28db zka`+Y-`z;^==I-U-c|D&J_oASo6dQxGkL5k- zR^n<W9egE(LxG*dt4@P6FI$$%f*s+>WG){k4GiXhlQ$TQ8>RPbFVoSZHjRx(m zeFVg==Ape|6wvZkDp`luY_s#=Kc4^-vP1>fSH*Ls4J*0~>rS50lGm13-jy8>=5v?J zQGQ!Vz~ndAdTx%&LyvYPeq-ICCGK3$7Fp}`(U1tlj#4KGhK{+ZicsAOkAiW=H$nZ z4Pke#Idu)vx$N6*$!78>U-;17>!cB~vGnDaW4wjE7Q)=#0sM^=pC0(0oydM6tJ$K| zGB`y?N*<2@gt<`Gfip4rkh6$YS{c@_B{XV6m$!TapE5hB?2j`Ob=fr(!v>Aw&7$m; zm`O$HiH`@@-=WDebl@BzzlX=U=Asah$;KhAc=TbHTW+QS88;hkfz3_WX3%DeADJl+ zzQ5o}2k=1btwyei2D4V>iHkv=;vkWYY2i@!UME34vF#d6FV?YxJRVtPZigWkrzxJ_ zFw*)hxXX8!d`bf*bbz?v0=~a~^TbPXc!GKht#s$>C=+l2(ajvm(r(zZ!@DcIDE2K4 z9^Vyi^4P?>^n%&Vk2EKcNhB}0W-1W3Vs8wXT6FBi+2g*9G|Yyv(d;j=ox_edQ2J<^ z>bO2u#zbN`eScT|)8M+U7y9iLF(K*jCJ&R}OW?#a4YN*;t44X@%cE0DeH1YmGotC6 zgJ#@M$5w{84~gT))$H{}@rkG03^i3Mc3|U^>Ehu;uYpajtBC^`AydN392s zVF3G_UH3CN#tf-5&*%GAC~ecm_`mPFJmW@L^_lc^mDc2Ax83sLHJEVvBxU*eV6iOe zLykYK^6bbiyQ_|Qx}5xNLVawuPp_hf*M}`#^1c&29P>0*)9m*{oxUN2h@n$$>zb=0 zm{|zQ(6I$$JMz}u2kxi}58w_N743zMi%?b9WBZ3SCZ$a6|GCJ8HGFao8*_J}0*cnA z904vVjscr1sv^~#6Bx#TPaePj`*az5c>I~BW7c~&+SslI2*KAGdgnDMApK`&+CoxJ z{9Hb19oe=o1nrUq^_pJFPD?4vO5(roPmVts8{=C3u}Gm_q&W|Ve@Hm z9@uBv;Iu6_5i19vY#Tp|kYLT$x~M3T_{{yk>RS$mAnVyJ`*2%4V3LZ|xqh{mT(#Fp z4ScBI*6{XLWS$PuWt{N%uIEWM=TS#H&(?C(oZ^Gn^=a-*i^1-ogUW)7o6dZTf({Hvv@X#Yy7ade z2@fY9_;OWFyzG8$=t&Ix`2(=9HmNeG03yT~AVSJS@YyPVS*^|0 z_+8nr7_bFdfNE}~J~G9L-q)=Z#F~Biz7#j1IMVm>EvP`f1{SjwiqAHa*mHNV@Px&t zF6-8J_eAw+AYi4wrOru37`6@A7s(})qi_5njRi3T2lEGgzs!sE2Pp~IB$w2tzjFL4 z0^O8GF`gTQ>uA1vjb`}haW|z;tsC=PM+=(SUHSBdTeOx;=8TQL?6s0dk12^v6q)69 z&^M?>#u03XCo6~C*nr!axL`%)ad5K=k&gJ*0S|HevMO`kU4^MgOnUVusAo>re}{zp z;!iN2Ssz_BMeT(s0(@Zwt?P%}bj0~ZD!iAaWw8(hfS%UFARxgeGtNDB*Xj0}deO%T zRQua8pN}uQnn9C6wD8fvFQGJk;sTC_ifg6j9k^8n5D_R6%CtYmxW{4x-3_$07}`^y zQp*=3F!n%pg`X0ye%ZRd7Q{Pb?*j_0u0~Yk$Y(qOS~6i*FQU28r*{S$%}qDz+D4Z^ z5;RGnU**<*rNK~6c84bwB9vQ>*IM-S+oh6Dt3YTi622@h7ke?$OFazG6nuk_8zdek z196W~smAFSUdpTEBHlZ2G}{r21V}9TkHeQX5HXtkT<@pV&y{;`zszm*SqmxzeMO6> ztF1(Prj-DSL35ir&?I7pPl}p4>?M5U`9mnU<73B{B$81M`B4NnrpraSdmcpo=Z=Ib zEXaL7@$nZmniQFq^^MH;ndzV_!uR<5`@W=%`|#@)WWG<-t>*nd@Q0OgfMM{2MEI z|G@IGH0B8>`yt7@!yt@e`tv@L~H(Uo+rRPJR-YqHz<4bqBJv zrv_woCoW+bP{mKoXugX$gKvDH` z2yZ6G-6Gx8Kl>P9pVY`(Z3cX-w}N|G3_cdx3{IfT_r6wTkCTFrv0fSs5487{=hQOo zcucr4xw0q8S8GY}UyqiAULI#mJrUEqq+2uRY%OFHneO%D#LbrXOE&sRFuy;9GqH?4 zmlzj_dW+fzkGArh?tud@qH~v4MBQW9o7&>Qh72h_z$YR(BLov{Hm3sFtR`37pFQ*9 zP40|g{(mCgx&>&N+V3@pBlY6o7$p`-QkW?s51DcWApI%2`137n5!}l}QWiHa$1V#^ zUrv9{A&sv5&dr}uyW6)3ZB+Z&Qio#NBg=lSQ4BC=Ljna28$JA>Lx?WA9H2hDtkaW`FpFbcnJcHDa2BA4dYn1vb_w@;SMZNQnH`#sqSgrbqNqmHBgnA^f z4KZ#>!^}~hq&d-?V6uUOPwEo~XnS-%%FaBNG&|(Y-dvQMm?}g>zn7iar7ntQRr>8r z(ItH#D7TwN@%wa$;`eWu|^!a|a0ki@?V1jFYt_2Ap3SA2nYyg-@rLg_X@DQ<8{Fx)=+m(lIp@J$v5BlLzzWzSTB z;&CMpf1x@|B zH~+yHBCJq4)g-4zbG~;0pF?tR?dGcXWr8 zw0+t@5{sHMBRNi#ybo99I)=>b`c>E}x#Xw-mjgw7TPUhfuiS1lfDhxOl}Dg2URf_- z9^x2$sR-|x`$LG5~o`HIjiYKlJv4ZCfh_G1}az+Yb=W8{#dG^{1p#;ol)Yd z8OQBFF~%#et6(UiQ`|%nT#TptR-WfOUgIAXf&aY8s0aU0ajsz@gfZy$Ng`(GUagQX zdft%&Su_;%EV|w9#*6ELJt~67O&A0|Yw(iN23Dm14#{-`K;;wZ^*!!bE2M}Z4s&!7 zLK@MPLdk5!_>=1od3MYO6bK%kqO$mqKc?jsgQ-VY;7vEBLT4WmFL6eRcv4N&Cl3-o zG5%TFGk|Aj*lvfrT9{VJATS)VDP#_heQJ~$9guZDu5bWWtX#$X6yt2RbT03R5+^38 zi3#^^5{=PS_7HR({(4f6@fCot83xN_Z!kW+nn9BUC7J)ek!lwb3J4dF0))>#sy7`4 zvN;$@*Dz3{;I^NKIWUkoynhJ#L#YUH?vAX>3$w6~xYY(|NLsz5^O%e`lq~<;s&mN4 z>bnE{)go#vKb?uM{2^=N4j9`ETg0)cQ?`>ipT)F0l#?T*Ky|)xs+bZb zJOdf(6pGic3cVV^*Pw0UuET!Na}W$)7Pfhin*0)Z#?N?Wz>7Cl7X5@m!P33SP*h9? zybTyEwozeg@r7elGjhHBQq0|$rdhsU8tw_wCxy6w*sUu?k9deTXNL| zD6I6NUI$2z8V-SU&uRcYzCu8Xsn;BI8xbW=*+4R<*XA7ZI)7qAme!jE)s5WeK%@F| zQ+y#nW)F%{dizl5u@Y*pS}KdXE`QX$@njgy)7^3Tb{b0U+4hBN;-ws#qyLM4D6+JU zeU{$6^QQxeV_$fIxb1dCoQNgvI+s%rS^S32=%1k5frdLOojz;=8h|FD{L^-b-uu74 zN|!)irRzZXTq)d7QnK6$x$jg9>2o!}pZt{^9b4WiF~3z^lEtCv6J9i9G;{D(D3G;C zw+w%CIsbeqVq4*JHMin&EOHGWX=%G}^2iz47Ty+KshOU)t!%mSyjm_I{Ghe^Q{F-h zKOt%h2rt2@QB~+n33(qnUgoE)h54dWZM?n6(j`{;IVx!68*GIgLW$bqQg*<^>6cZRTb!skD8pzR5RU7k-cB$J2rmS6?B!MI2}K% z`C1JOtb;F8^+W?YT9@M%tRxLs*&m}}gbsTf#xKdQWN5WNP1BVvD{DQzzh%~cZ=&vJ z(wSx%aq+4UmmgfQ43jswh%(h6_f$VN2FBuNLN-}dGw>IBol?pwwIA}?C0agPpF6@s*5o`KBU&qY_xIhoYTQ#L;|Ic^X^Ap z#(=(2OfSD&beXi)5GXVr&Z0blexmr`BDLHaAEKQ>MqPH{CA>a_gSo9oB|tc8ENJ?G z>2UaB+(NScO*XTVLp1hcDTP+0JoiX+Cm5TpJ1yl+JNN44Q7b z6NYKDH-)$p7cDT1==6GM94qVm{-yXKM*{nRN9qQXT2*7Cu)RV$G#Hg=beeI z|2PlM>@fY0e|b}QPc*Edwn3{}6_>^{&$mW!X0_>_sn&V)a}&SCPT@5zrp1ixD(IG2HJ0LOP(Dw#zm~l6AfCn7^v}RN za|Kj`>A}0ZhIzcFt>bmh6z*((@EAqZRmX1bk2WEBptz%e#JG8?1-*9sK$8GtP zcs}Nx!=U^^8&NIwPuSeKAW!p=Ch{_?Qig88*-b(PSaPHJtvnkeapwhj6^(WkbHn_RM16d-9u+o4cSjQ!@wnqE!#vLfol;wPT_#Nixj zpo`a(U106GD%WLyq9mPvKz}18HuP^|rX^^}dZissyA7%ynYphXu}gMgp4%?-o3pIz z7fe6ko=o-I!V;8Jb6uSrr#Ct!Z+GW9o6h$VX$hW_T5kXL1_dE3$~8j97-uLTW9YD! zLklFV>>bg!pKBX^@4IssS4It0-Fx^RmlcIXxOo?J(77H;lYSEDJ=*GV?2VH z@lp!7jM16#Mgx>KFWTuf=)a_VlBYHD`^B~PnHld3sngoG5YA;lQI@KgN{jy1iWzU- z4c3`~z-A|e(Q|$54_ZlJc%Z7uJ#vUIR7Q1yyX$l=ed*+f_4~5E_HTM!_K$m^HFT%U zh+4Vjx}u?063&j_$FzR!jT{Zjo_@|&IW1VFZ-0dBdRy=`kFZsuZC)M^#633;T9}9< zMbG4L-~TzRe;~}Is8-*o1$V#S@MkP{p<@jSl!QMFQ~G%G4Ecr0)lq33RV%OqNG zgP}&z2OT~hqpsW5Q!8A?r&1(`#JDavdIc&%IUuIc6LGrx#(9#pseVcsz-}o4HuScvYqel%s+{MfZS> z8_!{IN_Rr;+MmOHvyM1TaGOIq3(}Y4N=4I7WLOl>{)S_16^b;?nEg4uy_`BPcq?7p zIOlV1Mk(p7YQAT{yyRn%Li)*-T@ZE9MllAwmei|rIENl#8$>1r1%Pk%cV9YZa%D)L zf{((rUzi2kSqfX&76sVCWib>~C%{|ulOcHLJ+VzCIMwNj>4wLf}Nv|v%Tg7e2fbg5GuB^zDLX&Y@XdUZlYw%iU~+aw{e zc9cFbA%%GL0b5S8Y|9BK*I61PYa3~i$t{9Xe!^VzFEZ#BS~@mdNP^+AjLncqGQB>T zpbP1D67G4K(X&xjol#5}Gk~~g@7LgFPfA`pdz4^`ry^zmg*t&R^=VtGJhl9TPee<9 zP{EnDXF#{f>6t{F3!_*VkGEzW>uAw`(zvq$8~bW2fX~m)An)bd=iT6zrL1@1r-$9a zC_lqb{|@eZ8{@p)Z=tG3f>4uvGH>_pbOTWfQ+}~z#>KhbC^7fNsMz z=n*SYDfI@rCGXo081Z1VDmR$A<&&6u-Y0|DDN|xol{8+@El;peAB%;wldKdDDpaV+ zVqHRsn7H>#KNb|;^8DH2hIuXQ=ah#0zr%!KjexoE{U(NLu70M#on_jb;ybl%@eR-TvYmz1RR%0juLoKluovk zt0$$2(*E}Q%GQH$r1e7f$w;~9B?{D}d9L9bQ~UYL4`LEt!fu|TFZ}&)wbo5kR&QVI zo0)r}_Iz%xLh_vmQR%gtMdtviGzcY5@KLTFgKn*LSxq1x%EG}7|EzxE0sq~%U@Fd& zR?4D=hYQDpIO6-l<0~4YgLY8Bsl@7_^ft^7jQ<^0m^;YrXdNw9K{4YBp0XZ%9L8(i zpy;V!lsH6}@uOO6+rd%p71PQeO`l@k#%9xGd3xIdAaJB9xOqQNqB&6CZfn~a<`H(c(h_`~ z`ELhLo;7n%b@+Q3&BS3D?0d-5&uBk*i2VvFG78}Gl(Y1$)7hSKk$B~0jCD59zn;s@ zedfwT`>q}RnB(lZV{K$$PqaZoZY7@34fet7wz6KUfcz}Y6|0P=w8*n*9QiiEG;`fo zI-W}@C_Zt8A#+iuhOrqP@|h3E==g=krm&?HY=6*xqf4qf@jFXU!C(}7(NJkxVw5Q> zi4^`w7JbkFWBGdooU-F9H&hexy1Mk35Ln*@O9C}b&$^n;Ca3+zF`KS($-PAxI$t$y6 zhk!C5A%b-P2$C_b5tLm2S|ArEeBxv5?h~oLD^qh1(Bp*%; zIq82Tg60m%q(dYKqWk^nonVFP_&y@evbQ77e@!@#J{=QZ=bdT}Hkax|u>>gq%VRY& zwRyJYVfwj6_Ee{^v9t9>VBVH@m>#?f&*r|Dzn#&4=nt{Sz3y(6407Q03zyC3fp@sj>j!J( z*}7erfiEUS)%lwWf$c+Iq^U{Z?DHv%=jCdSOu(?h$bF=?@)sid=d8Ja5S*&pAiMzs zruy0Ojns^LdW$)nmxmIy7Zq1}5KyCxEx=~_U+)wm08Fe=J;HzYA13BjyjnZs}uIy0arsct02mh2=h4kDP=JXl@K|jD$|0PE8|B)vCdE-0o64WnLSxPm}-S(?hJ{D*bQZ^;|9>jty$#Pjme!q}&0;}KMB8F%lPw<%Nvk9_6-u?rGz z{P}97C!Fefl;EPPJSKBksqz;JJ(BMq$lw!aj;0$)Ve#U_j|9<1r8zzpo;XlBEohj~FRPH_F z>}8ir2{t~J7yo?!vMP`^D7Q{_yjhj^M2Q5yR8?cd+ zM%^05OX(uD5K_`VN0^&)fbO_R?oosHM{xfI{*AxPV+T!ZRQI0P+JXt(o*ts zw!W$4x?ZRqkl(e)l*_-d>2nOUd)eWILI}Lut^|`@x0YUjidmP^Lu|`Hb8N}l5zw?srx$aBzY?nfn5ZL{2K z+ni7)!iE3}Ej669klN#KTBe+W>?!KB$5a@xsWTQ7hTIn!135FjFfDok+zpR}STk{D z4%S;I_HUl@v9qcRXJ)UU+(zsEm*jEb z1x&BqQfpe>JiFiSkKIE*I?|TB!`zNIHP!N%$>pG#<0>dA^Vxo7@Aq!No;+q^(%C~w z@T5{2I}$(K*^v-B0s_6bV+EFkSr494SFwT2VncTwo^`e9g z*-LAO4;npH57Q&IB&kR=qd_n3SG|-v+_%!IGtKl}6CvUClo*jXvB=qzcLG^7WGP}f ztb{i>j%281%EU4P{v}-}WHKHYsb%PIH|D4I7WI@{C-hJq+0Oc*}BkR zgUDxPy;Tr$OzVsP%HFk(S$#eR+TIRgRbu-CELc8xgx>711icN{+elBJMai2+SqB#etmuFa20B~lW>oxj zl26`+@hKUKRYs2$>d0TgkZv;EBJRE(b=DI)4=mM=_0yd5@cnSz0qUS3gD{BZa2b;! zp;;?QJG8UQed~9?QuuyT{+hDX`BW!a=~`-7q>Mu>o}i>V^9&9my^>C_DbaFk!;}+d z3Z!fIRjcQ0%FBRzEr&Zj>Akj6nJzGTx&4)Rn$*$7Ua}&ORO^I6vkOfmt+Z`tjdqM^ zo(123pM7Z?bfQ|lCV?Bt<2qMHJuuKh5c&HW+NK}S4}CeYXfwa|i-bP7n7Xa}&yE%Uy0001vv9jh@e70PdC&>vTX#?_mdKxA3pU~a$7EufPWs_~mHOz^jl z=yKNe7YqtzL-d8Tif;bT?2TNTNVtf;tgTE&o~{ zRYL}JTxV6z&lPLGXL=@(b#q>kCP)4V`B+~<)C$q`L1W-zMc*+b_=B2Rsn5Q(j$7Aj zSE5o4l&7^9XE$N|f=U_BOQK$sbtaUzs(nShku0J3a|z|%^=zIeRW=y^&|pMuRCBl^ z&Ws5~+CyDXGS#oonu1Rw);ck2tMG}=S-PX;JQT5Z8;S_TZ>v1`H=FY$`OT!Krg^D~ z_*6R~8eR}cAUULmzghV^s30Ef3SxLs(xaS3FMZt6i17C9h%Y&6qa~Z}i8s`*D0)W= z=`(_VQruiH)EJOeXBilv@EBzwJbL&XBxBv^B=KfF*oCL>=cy@|aV`oXw0|z$`|ZP< z^Jq5-W0JG_Uk@$s^2-nkeIZS_0dQg^KSOzAk@eTK38~aDLe*x6UljXIvS)Fl@6ug& z$Trxnuo&Zz!J?8>-KoSF>_5?rAOB-;Zdt5%Xd`}-M88Syh>Iw(GHL#dc<~R7{T7xk z(t|Afu7BTfzBj$s-b-adAcb7}}F*SS2VDC1btYljVqDs`RVr zRWPM_hB z`4CYhgxghf;eQJxU)|y%q@^mN_Y}#vtG6Iptmi$?qT^fId(HKdeqFM9_ZPd!>>d0r zui&MK{2NZhxtV669LdM-T0%Js!BmhP$z8VDwkF#J^+Z*aP&+O_x%U_631!@kEE2ml zr|Eqp@;g&3n%?2U^b*_r$F-N{t-;k`LZ?scrg-R5)|3gE+HY`Y%!?`M>Mu66!SJB0 z97~YS^3pIU{jTLV2+u$@YsgHZ*@kA+RRI(1JLW|lIBS`o)`(JS{yUu=rA&V@?Li>Y zGELKh7*Jb4@@=1)ccR9W;Y$ZwIZSWYAB=Kc;Ma@IkfbF|j*(&sPl> z;}bg_f{1wD{j>FxAz=l z6-k4ce3UPWX_^H8 zp^lp)59Q%bP_O+hf$HSU$R1b9b+{ZnD_j5OtT>g!|5HVFI_k3~la68P3+rql7P7z* zDB5eLy;M0Ter0SX)rh%Yt+-9(nD?G1G=pIh!f%<|(*V9IoOPQhv$?4p%mdGYsMRwR zxcaE=WO;JvR&L%n`^Cqp%MNo`cej%Uq5r-}3#qEOO`~mblA&klR?*kt9XjTY40bXv zREeTY)^G@MbCAYs-|yX5rpqWu#5{K@SQvjE^fP5!_fCT1DHsk2m&VL+D8f>(bZbUDM9tDG!5}7Y@-!_=tCdS$#mz~BeRUq z*LN9*!anBI;Vp}tJBwUOvJTJXnupo7+Vb3>Ysw47VI?yR-_wcMwLN+qQ^=FWkm(qy zE(#*d*KKCj#%zf7;_KmghS_+6&CZ>-j@%k;tho9%?kHwJYm@SV zmnJ$(k!xm&EM>2-jTtV+E-TIyfCRNMAX{smIDVTkfu~w}KFp)O$zX=@6w}SsSu2oK zD@o@RbN3Jt^6kSnPwY@q>VR_EqjYL?YTNOhU$ViPUj#EGx;Z>ZG*tbs4Z09MS z+hyM7YF_E`GUQN~V5fJ%PdL9_66q;p->$jJd5o?SrEquiYXY&ae8SQaAc+P z&z+CNBlx%Y(FLGyV<(=I_r3ZADgo+!Vz~`QCZ{AMXnv;acLZJgDCw0URqvcnoBvtH zhy1|RPQ@8kiLZn@n)pZTUemF5R>v^8vs%;qz@9O(3gXW3AlVp*9-zB!A5UQ+M_b!d zghn;RTNzEGWLJjYFW^Qd zu}C|%Ad#ok%$RUel1hOj@Y-pnDxVm~%?HnQ*1gfF$q2fYbIFx4Y>iJl=o3J}W5S`` z!l}dUHu{<~<}*|`#@wzHO9p~9F8V9|GUT}_-I3>KCUXM$2Y>i}jyfKEk@PJ?o~N!G zt+F>?4@}{(A+fgMo#*3p$aKx+EsTrE+ZunqL(kBAQzhZVyGdn+MXs`!=c8jD^VzK3jKuL^(tK z5JDGnpi%ZjNFA!SvwZ!Up~0I6iJ_Y%s;n^Hq?x_U{NJA7r<^*@d^+a5>gFmaE-JeuA?#w4VpK*%zjf+ z>wM&KO&PTQN3txFASNqI=(tOh?$P%J!;8Ifq+8027noS!@3)F9z{?7F>3ntDycj;{ zJtmrKXOeX1sh=Axd#vZX^bMt%JnK-{v@x>iT=c3!7Gu_{8a)*V`);$jtDC60XmS!+ z@3Ta+Q@{T2Xc?_9{W4GuCHQf!2x5@8%a{W?4W!(mQZ$K>kisyKe zV^hRr)iWcKbSX>xE<`_V4VTA~7YidEYOPJEE-AB7pcDWc+NZrN28P-ER3I)FDV{qm(k zl^+>Xjp!FjvXd09eI!1o6-HnSgKUqK+kaZ7wNav0#@joCIlxF}-iFvehGpF_Hb}4? z;;BZtYD2+|EYa*defMEKV}?gOGjhXlA=H}8Io&(hY_t7*%sQ!&<$u4dGSvM8mCLuV zEl%|~c4vDzJhJtCMhW6d!ukdbLGOdq;LtVxo^V^J~A^l=C? z$1u4Dfb0-B ziss6g(V=Y|iL^#sK=NN&L#t%(F{&3{^st|x?w>-Y23SQw0B*#=-#fG4sNXFO*{Fm% z_#ASub#hVxl$f}sH-0&CttA20gAR)Hrw92~@&sW=-TN?i_Aoci!w z2VrR@-Q_{NSiucx?du$-PXSibv_>tNR_+7iB0+ffXH!3VwfX6cHi^w2l;pme-XKj7 zGhy6cv?l^l1mQGaUZai$bgvH8?B9M2WD7(kHO*kPSqmlQ}hFpTCC$YnDhyj%*RyGb0fgA-l7rXPC3UE zxDb-m|J8xcTMJ+OR_n2b1Q-^vuwu0sVGM|Zt-X`|g4ApuBK0Okk&3PweYi7-iX13& zizWpA$|NWj2_B^>=3u+iXCM`?_$YDEEV!buLmos~K} zyhEBPS-_$>t=K^%rXq5;V#!1Oki+T~5T%DXqV?!8y%EPjJDS!J0`elSrXCq=QwPhK ziCh?-kf)KO{gbSW->4qFuN`?L5aJ=~R$*LLEBb`mrO#Ycm7;l?GD%WkC+G4uwM9mK z?o5c+=(4N;BR01s#7a3&%{5GZz6DJ%5g{CJ(>)Y~Zf;`$TUOy63j~5z*Hu*jKy}E= zV5H=aITbGrAEwEg$v2?fDU>601sa_*5cYVE;*JzTK#vhibMGtC}F>2bRBF(7CxS15IQ zRL$rl>aJ~Y_Sz9iN16E(av)%n`!QN}5PUo%+83fPYJ6a;$1-B*T< zk*cSn{4SqhL8<}!Sm>zU^(1AH-A(J>AmS^H z41eq)xR&U~_i?g}mJy6Kp79fXsT#hnB#(vJ6mVNM_5sJ<&pj6g>IXhAlwWHi7_ECI zo23c2`e+;=L6$g-=U7&EBss&7xOGw++}a1oRWy0=)uMW{gY00S`e9A!Z7%Sxif^fM zI+#K{U%-fB2YqV^DX5G#1sP=24ZjL*1~}p-T)Rl#ysi!M5*<&K#f)X6WN?;f7CEAB zR$!f$GE{*2YD~kujY;Fzda4#XcM6=9Tu3fgIQl_74Fs1fv4cR`(D0QKMkRH|y*d6X z9s!Muta%iT7ot=Cu6)CEay-S75DH zm7ci~Zu?Q*W0@m(;xRnQqiP_LGOXl)LGrYb>U;`2#f1RQOt=u&^C;hvXQ3XM?9EUs zz5Y3GD@*No(%b^IU|Ft0bua6;%fw=dhgK@AA(dWle0?P@i+7zdE}-g^3mG{R^kQwX;i;d(s2Gy~+i*9AHd3_LTyZ%vI)l(@30BNqa`5_q3rj z)gKsHIxL@_W>pk^<^G=a18EtKe@$Jl#T)bG-F+${qX-rVLO40Dqwa;DGfp2(;*Dt6 zbvMKW^MW$KIfkCO(W`>EV9^dxH@7wZ{y_fun@PN9-m!yhMVZgGpl?$~mb$E8EK#YD?U)L$- z5NUI>%gV5NuhD7vKXN9LZ4eeet&dICmOKejC*rk}ChW=E-+UNjm7|4?6>;94pYmjnejnlmM2eq%51GHdXL}W z->oO1cv)<`GSNbF$gh|}KXIDvKAhnD!G4`Wl(u=@+!w#UiskZa=Xt7Uu{RM)-I z=~K2O&+NpDyLF8W-ah}deJq67E&GoBO7~`ZirNL=xJWmHT#W5r2e&F^-kHp#buXGR z`B_da(@{_Sv#?!CamAR?P9^;_Je$Xt<`&C>w9q+rY}>9$v?V2dFfc>OgJrHL^7(;z z0$%Z;n+Y=d^whB#J45KUcs`eAzc~UXe~@{zLgMbg;y<0u^}LIFcZU$;PkeT|?Re_o zL!5RDX*nx5Y72uFTU`pyM=rIo~|JjojHqpdhQb&Hs5P zltId7yD2QhzJbDdNfzRTE*8Wx6eigoUFB_Dpr{;07@rx`Y4R^&OeRo+ERM{ybw+pL zI^4Zt=Mn`nS}ck`7ky!zu|1_&xYmOPNQVJ!qJcfvZi!Q)eyQ)E^%YNxi=dVOL6GjQ zGM~q>s)pm+j41lo%GV?DqIMW5&Hs^(EF>5gS{T~H4JOUmU=`Ux5;axJ+7EZxaS=!f zri0sOK$`9{^zJ2$&(=4)-6T>j)f@3y5ZHOc9R1>rHb*%ZO_%8@?OPGRYB?!O=)Y2P z7KcVTc*%HE@4iUSG&mW>bgI?eSjxw`m(DJv_8re(|COLSY+Kyg5YmoIrQmTaF_UoX z<4#G%4z#m%Dbcz)CaFeTUOIz2NX~S~{Eg3r0DNmb`-y9YuzZbDmaASEe&~VXd|5dX z*GW*MM|8&%KLZGGgjD?d?a4V8Hxaol_(6%#(F<93V-_>lMbByHIv!zwy1UM10NVCp z4E{@EsE{j`8pr-WS7iloRm?gtm)n2$4oqP;n7aveC7F(O=}%J&F4CIzWfGLHiGb2) z=G;zGfFNl;5F~BBeBVy45#n*0@^oo^_vXTy#;Sk^hh1NQpt%V-cJ`TLF7!WNiB$$V zykBN#jDh1J7E(sKJe40=?;8Ig8G-DB9(%Poms)>OsWO50Qw8rI%Mg7#Z~;W}?<(Av z)yv0{YD(u&7_It+It%?g-)QTGGCI(pG#8Xh24U(lXH{eV zzDiL$PV(+K58lFgoYE^Smn?UY*A1N=WHKi=$xs(KPI3#gUUt5pwC9s?`edEA(cl@A zCw`+)JT5ZFNkmU1B zLb5i_^xXeZ_0>^rbYHY>X_4Ym+=~|XqA5_^TA; zSZwZz>p`}vQDo-oxzb(v-G@r>8)VPtZ=*7~wxr_^UO(^IW~MVg$!4oqB=Pf%o#~h_ zzQWuehQN zlINF|Gc;uPk18WR;*K~R^{wAi_4U_RRL7xyw_4f9s*^88DVys=8BE#JPS9VSSrds$ zsDe{h1PAxk*Z(60Z(oGRn{9=cNHKFxpHZ^pT49!CrQ#p+jK2_HDJY>?EZoBrTbeLB z5UOF0(aAPVdwwHgYKAyw%PgJSkxCMMFlEKat{z;sd$E*=I4pwv%dFP;7kxf^6K#_I z-Q;H5CsU|lwJ^&Jy!P9vY`3|1zIkkf38Z3sja5BejAQrLU6i`+Jd*28g@57EMVy~> z1zyjNM+nI!1YT27+_eu+niA(boBrezO|69|*Mil$?g%mQ*5B#UiNziRcoD_A$&M(W z#ps9IxD4x3)9SH(-I_nPEv~8VA5II|tJkoXIDT9{Qx(93Ro4ajL(We`mJ1jE(RwTX z$NdJTAFX37h68bBo&-K0LD>BLr^jxccbho9ssGuevYp{DTv}LCD0o$&);_pk;q6~+ znK{A11YPIg|1s{r#Q|w{>1C^dhar2_J&iw)-~ZV*yfpr(w{&q=I^xFml(W7oYhXFxiVIB3!wE)^h zBmJfm0aHbVAA0uUWq)^vFrf~}#Y!?`o=CrGJ^zq=8(sW&HvuD#)G7ZPZsJz{ZsoF! z*GGd!DVgdeow<@II*I-6rxSw!okDAc3<&umo`1;b#?8D|=v1k zSm-ecj41K&x{rV8qgaGJy|8X+Lr5>Y?I54`NFL@{5~;s>zWF6uIt1XOL~LB+b@1re zS2i7?gUi@9H!LTJ>9aSsOxkc%$L`Z;gN(le*3Z8*4*u%ct<56zs_~rK#7AF;H~3u`R!X`&Hl}MmG@>ccyXJ$X~kDjJL@!+w|+>W01tY zBpSjtqM~6+;+hbETlsS!d-ynNOhp?LDT8^1AE?vm5O)z6Os6t!r_+V=_vD!C=JEeS z&F>i(Nt%P}eqdvS%tBoiZA(ndonOC*KS?1O=CjL=WJTb9z%3{;S9_94&zKa9QQ~i@ zeWhL0;WGF2kzw=1RB-%%)cmeJY43LmDR*^|tL-?931fJW@Q6_GaLf54sUcs(G93Y4 z1*=`@z=*F*yLmVx5i@$G(JA6FKH8IkAN;5L2gG+CP)1P$iC?$of$E(p#>Ml}M<0*q zqGGa|Q~f!wF_T`&BG>igvY+kQymX8j^2{bOoy~N}D=Xu&qJ;LNB+fg&*yipDV3$Bh zjP<@Oi8X}jWRJ#yw2VESMB-70~cC&i38hu zCAOn-jd+;`u$BCd5mzQ*F093WlSUtvo1+#_TI`_})Rm3NhKQvBKrGn{6%R=@#|B)M8j!7wvV3u_;zH>jLBgEG1t{`1^qS@(e=aWC#5khJQ%b;X61EJ zV8VVD%&fK6)zr7G3L5n!va*W4uQ#hQ{czb!0WTHFZfXJ81>@3H(1+X;e_<9vsRaL5 z4Miib!<@$?GSX|8OqFW9Oibv=hvqR*s(B%f^P*J)#rion`iknVcOJXtyQpb~(=aN< zr0O)FOXr3w_F%mLO|RkJmI@f&$Ql?9NiGXBx|XEyxhV)#RuQ;e8b6-5LdyecDu^=x zzBn5tR_F^OF2Pa3U}Lbwl>y2V4>?GLX*Ioly~7*>`x1a^ZPQ)lt&Vd63HC0)G&R_K5>eAL3 z49o4ke^0h)yv_No@$oH#@4yZkgv!QM3nt>;irB%1at;Pcp;3V~AxSXl)5=L04( zBfcTL{)fF&t#Za`Wt!@Zm1+V19FDclrS0f`{W(bEaV-o7T3zdhq(?=Wn)+Jy*k!@(f- z@4GB7a1>nYm1mQL3738!T&sBPWnNZMmswSIWwX`OTLe7OB}CR!;`hHz5}qn_q02yc zo#`LZp@wDDK1hkHS&v_8*gY_XDG=jxa!Tn1*fN#YEzm1_4h%nv4e%~ycd)pZ;qn34SNRgOa zZacI%GrH&RH2QFK_`|hPRAMC8884@mu%u(M>$-}R&&+wPnpY{UyJ76Q5;(v_p~O93 zanU!OVDz;S9GHu>gt5&@!z*~GH>>3C>Bo|9AEkvG}N;bQBO zv*LN|Ud89Sz}iBR8usi??yJhE2+&TWJXy!lxQA(TIirfbSVi5!r_zN~<6@2D%7$#+ zn6!_24so*%>ecU!wJOA}f}Kh*t0*?zbTbXxGy~MTy1ATuAQ{^C1VUJA(Tu2tJz2ksj#(`6dl$-tMO-4lSm`|6@Rgx zJ*(>WfGB+%!5v_})8_O&Z*jFAJeLj5ieS{-fy`Ia>jnAV7lX`YXeqSYn z{7UarVnetUNk-Vosqo>LZ{E`QV-2(uUr?_GQQRq0+?j`&zI8+64j>2SS*22UPP9eW zSy!{R_RjEukX8t$WvLv47K%#?$=VoOUFRfXq%BN}2$ zHRnH>RY`GW&zk229-W(XOmU19?+$*@|KwqkYWpcLyTzA23WIi+Sv(gx?VlK$?-}pe zFtB;>NLbM}rvAGb)WXYI1%g%vLRjg#(h?zrTvhjK!Xe=fM_;rcT6}};I1~cljVl5N zfW=$4eOH(C;AoDYcOHl3C5s2M5N>4Z{HM}_WaHv1JzdAtV08z=P9{3c-n!Gdfe1Yn zy*us{oxE8cHRojuz%HKX>cdR`VWXK38^*MRB^%C$T%%D$y+&%D}f%+>U8$BDU+Nj$_j zOMd)ocu^<1PsyZF-6Qg&t%?f#yRV9+31+JNQI)lp-P=#6n&Z7A+g@EVdOKz*b9OvW z?69M$vtRZ)eavx5&^3!8fb>C_M%kbMus!o&cA7>3x0tp&VwrD}%r$-{v%Pyg-()4J z_U{WK%|89#zWqlPKw$-@zPVg zTUT427Ti%0gF)akkWj`p%I&gEmdmT{DqNt*&rWuf>V%I<*AVA@#R3FVYOH$@psOjs zmJPG}{0%aGDFaLK`}ktun2KUi>TSKFu#GxwAiICTMk2~{V**8%(S0J{ z;4nMp38p`?@yVP2qeeC1zWd92Nixx2ci?j1G8?D;#9r`sUPYOQ>(l%XT|{Zd>z5CH zW^auc1D`j_NECi#4+-6KeCr_?j#75;)6UCn<_M1|yYDNRf^(O-78-WMVqV$kK8S-b_tjer8^Cq!CBYDxwI*pKuz+uL5;BqrHh$u7j?qgIjgN50+_oX0a$B9J zg_e2iXVldm($~M*Zm{=%F@}+rZdKakiZ>e4lw+_i=8ul&%l4SdT9xgfD8DqwMah)rT88h~ zXH{eC(PPwWbD3Eb%U|k{J~!#V*mJoWqE15jaWr;;*P#vB$(``X9XyTUHzm@cel3{l zeAb8RxGigkk{RBQfxL}6R804$^kBYRABF;H=~CC+j@bG2hNlzyt>4s3Qc~=hMhCps zZGYziveZFd6(=^BG4+uVoTh0zGhNG^*KXHBHIgNLI0^enStv2t;a)gKUx<_PbZ(xs z$B3bsm1R9zqu;PiB^&22{o%TjBG|qFMAQwb?TGZ;%X;YQWL#7_{awGzI+7m1kK5&0oN0`7y=WW#NMYRIFE##=$>)DE|5G$J`b}dZ) z{BrbJE{;HvjA7Ta#4@TWB|vJ`o%oR(#aOxc4(UJnpzuxVzYnabQXTG?s(XZU1=uL% z&L2ZjJS+g=q!V5GK00~18SkJ171LF_e%u3BN)&SwBXU)+PKu8gh{Fp%)FtkeWybfl zs?!X%48JFEirY%r^~l{InPi6{Hc+Ml1}(wg5x0Q^64;(a4gB!xO6=W290?S5c*q)A zZ*<+YI{7iig|LPXbgs&e82toORVlfF(!CQl+nD_ht%!pV)z;X1%!rtD17Us`2TOtMbq7uM(ssvuH5?HC?Fw}aWaMU;r?M`uZ{XhM zvwxNGp8&$9gB_y*DOaU&#bi+jO>`Z{8!plzW5D77V0`I$reB8Jb(XTn7vYBL3*&TU zJw&CI^w`+9GBJ!o=2awUQryd3J4wsjG!(&2+4&9rk}1i@sT26d3sm-i`eE)& zC!;w`h~IDn!VIT22CW{rWN`j_N(|ow87X-)!5SajaOE|G($E}*?@tw8%U`)M%7imAkub_+Xxz%PTYP?=2S6u~ zNTNR}U@^+3S(q~o7e3gktzS5KY)8hORo)IY(H(fFz%JZQ*3yWi0&9}X{jD0G0hhAP zTP(Pu;0IG;@e2GZ{i{J(8rtymSuZ;Oyf5;c-(LqG zcEmQW6prgz@FZ*GAvFvu3tB6f@>1p}XRqR|Z@lNg+^gK9Rxs0&N@hWmAj_O{fGN9P zUw;nI(nudYvbAjKeNpB<-js^7UnLU7od=fc9Y z_lMD@OG~9DFS;=FOrg~MynD`^am32ktJa%Fwzj}%lg$1XF(O3 zyT?9eyKXiDK`}+UXG3g3gFHT*=KLl8<#5`_FMgINQ+t};DPyO0xA2PG@S!@st#uAG zl*7W{pV(YY`-3QdO6$@&?2_=XslEhf3usNYzx2VrL?$N&HUjzDBom3i-jE9wtYWE>=%{8N+t_fXwmiZ zpKrooCWY63A9fe!NNR-5M0po*yy}Lqnyzf)@$|zBEfNf*aaOHKaRoAMS} zE*jpm3}>nE?Mr%3V-6$JxTRA(WC07OlY3Lp6|>^3)ZlBK5pW3r>i@)pkrJ- zDo^+@o_W~CI3)p+aoRpW^Kemk-0LxPjPSm7yAAP3+xH z^IH%_DDpgw%#>$tjNxof{N|97Q)XyR9^l%vDW-Vsice=U1d5LT z$+N08O$vYgFK4yp{Rt)+ey`amN1P*PXWMvOd_mLBu%wCK&+W?dxG$rag!77cV{qHr zdd&kT>Dh|($2*?tCXjC4Y(S%Hy;<4rTK!w1S@|*HfnNeUAVcjX8tQ}KjQ(w#1-&?? zV0qX}#0U&)6tN#QaY290=0xNarH)1&ZOJdPPamrby;&{$COer#?gm!ZUVgkRtU$09 zXuh@G)T7Ba&G4$++Ai{kHgV%bPnvTB{YfohWrswRiY&DW$Yh(~AZ=IADr!0Lp#T+hd8wLfd5bkTQdgbQC@c{F&#Y)A^i zpLLxoG0#&6RlRloZd9R-qxBWyghiZB)K#CA`%`-L(xm{kdg)LAirM-pbhV^-jfzI} zqD6B0#O(q?iKu(?ac@Mo2YlmUpVqL4bGWdjnVpsk3*?@p3;r-zcj%9}O_)RCSv6S2 zOACzt>Iiv!!kxBp$CJ@^L%IkQ>_EnUl@LOADxO)fTaMA@SpzqOBMy0;wKSM2Y48>O z`Pcw0@e4Y=FzBe{hNo&lADfG+G_+3>I}~||A_gA*_F0{UGo*LfTL?kX%s~e9U+?yi zEiA7p%)*$`bK;C)2E=%)mX4D(TDr@k}N(~LII5npuNWo zS8b}={XUmL=5a%}P?FR$p%{U?Zol9gImr_V)wYVC(8*6S!y7YOkMj~5TeK)b>FHGy zqOO+~(5rXXwGw`|26sm7W=}4Kou#=$NE!3DxzEsYiTke{>)1?5`6A2Ex*u!0&A|eS z%fO!gJLIZ%XVa|3Jv>p^?6j%_8TK_Nb9FN#P3ziR6;snLcdFu3Sim(Q`6*->@5WsB z%qk$3hMDhcf{y`pLbo*k^yB+z%a$@|eLO{XkiGZtd-Ro1+DJm@?+)^Trr#=@Hz;kt zx-VYATj4rU8Xx4+?k+3`1Lkd2m~>_Xy#T3pJ3@`Sa$8ftU1qRt(TRUyEm1XUmx11&YbNr(kyXQape2t`McL&LJW$4mxM;Oiv`Y7oBl?d%kC#cutiI zET9m1iHbxcEmdQhE_wnri9AF5Es1YsYed{~i7sS&k1{Uy-ZxBCXA(Jy%?adz>TcM8 zT5%E#wHwO01ooou3FPiRZH5yIu-h7&_vi%4uum|_)!>_-bO;If3UOc~%iNusR=k&j z`17DhF_Q!%FsU0~v9PLHeIK61f9`_YoWl>GYdL19#Lg=3B{%Yrx^~Ix;=3-xw zGm^nN_nVkby`63}^U!W#{P&Kic!>O<>t3SFGA_=E7;^isF{pEXFzAAx+B*0*9A{;c zM$3H32QoLK?22#w_0*dovQ3+euG+Jtk+FEgF+Xs5uw3x$V0#NWfzb6ciJ{+_qcV8T zb)$|TX3AZr|67sdle3FyQ}g&MDvQQr^|a2h7V+eEN%Pvn$X15)NYL2AX&m|-hpE0> zc2zcBdgDQyD9+zTPm(lYWXezPeq!gssNW~?u7~(0iM(sax~TguZwv>CEs2seGQ*Z^ zk~T8?5^9#%#nj|(9ZZ82F)3H>Q6yCyS6`Jo%;Ym1<^H#~9~=3}Y(KcE(X0%M&h%c@ zS!~!%Yo}*vIrDdd!INWQiN-jht{JGIZB9qcvL-Q=TvuD}`9vU;@mfv;zp!PXj> z_?ubV)r!?VKN41RC}h``+{GTGa(vu`c>UnY;3o)Z6e`5zc?L9|1Du3F4S1xGkp^p> zTty`DvO`TN)CKlyH#IJ&e(9R(f zLk>(e@o>l}mdqL%z&$d^0ut9x`Fy?Lv7vILTS({m=23trL^qA_&Pl1~;v}4M(8xU@ zo8*&gI!w)-(RAd)NxQDvlexx>XhfG+0&X5m>SpOFO!mk7DJh0MA7P6QtKtFYMyj6bjEba8JODmbd&t$3a2s|cMqAT{T)r{`%)rabpd3+E7PxyJO&0HF zB7Oy^R?x@tF`FM)vo^JasJ&1L3Qj>>bgI3k7I?g2QTbIA&A=@)EC5xzTs`i8|2?ir z;_eA$WF+}Y8)x=7i}=d97pc(%sCU6DP?M3_+_(0QK42;+W8`sDYwq-g3I`56W|+99 zAaxFgK)uk3W08SqAhBNLt$wy!?VYRZbd5IWBG$)gydW)lShh)?<@;hU&)OInZ zX_j#=p~id=+v)*?Rby^cmd7YCvBvj?N>Y~aqx#nFwU)_>Y>Tgb_Kk(NBdwbLA2<1` zfH$W9q`Bbz4{<@}*E#sKW$73G#L}&Rgg8h4YYR?0UvfU{!CFPp$$gE$LNxn_K#H~B z&-#LefrKYJH*Ly~EOSg+zu)J))qX|1Jm~&J16~BuMD^wD6||LsJTc;l_c`~skkU_7 z&CrO2yz;mRQ0tDwG9iMb$%`rXcZlc0F)9AgQY8jl+GN(O(4?A^H$wI2e5xyR4kP{7 z=la)dy^JfywW`ld6&Zc+&xj)qAH3t}29w)L8y8DsvSVkCb<+Y|w-hm}b}k4zShuP$ zGn>s609poDf$@BtUhqXy9zkeZzzxb}D>p&@l81wB*<_^!?Wik*66a zgh+pbXOq=zJ2u3jpypIj0PY#`B93acarz#p-tviwjKJ;MQ(l@BO?oEl&T48YN33_R zc;r!uN7NS8$nXJdMaJ*TlswmGmjkNzRgo&#_XuKj<=K{%?wOuaY#B4a``yNCg`m6V zZs(s8YMaMCw>{6#o-QQBIf-~`vIex^%!~~1*j=VQGwFwS2l)S}wp{*okpJZpC4sk{ z7ZC*LSrm4vx>Z;z-R<|P5{(1}4mwF~9Jad;d3uD<@O1qga#FQms}Bj-#&RcS!dYA_ zxXWkbpEVehnAlHFO)Dre?IxF@@~HqGmeGX}s9)28EJ~0ffqg_5m$&P$;MVY|22E5I zb$=AfoMf0JE9F4CYCO_FX2e~&WTm}6n&7xTDzOWq5e`iTk!KB zSt4L5gr~-4l;-l$g(8UR;yY3zc}_?m0DB4g;hvdb**jESV1QptbLiCzY1h@m`FI)S zKeu+?{jXn~{Be6H?ZSorj>vp+<~CmD3t+>R@0b?wMrVP8>+YiV$7Y6-)6O`*giNz| z{gS@>qne^c=JeGw1;)9cW%Cu_FIqrPxO5v2fqq0IsRAqbSijt|)H6s{BLii!EV7yY!a4Szbo>{|ff#oTQkchsJZM1BBbFd(OI{boy#)o3U-%zwY+wr*E%sC0x&4M(Ub;G&08Y;O*h$ ztNSs5!}QRN`SI*-D=D|OZDE6k%1WVqLF;oSE#;hl1X<&Df?bolMD;}zh zvxLq*B_>jfqA2R@y8%GI|f4L{!SOdv+QUjH?SPH*tN66xCWrg2>tDi4L+cQB8ax}hB>OJ@SZ5NSXd^8xez z$YtoU;daP*++N`0YKa8OKn&h22wt0&xZYn`AIymbG_MS-{jpvlMxE^TFh5XSw%rC9 zgkW!U?crD>kiQOBFG+!c>)v2XsbK$><$>d8{UHFv#l_r|I>XsDZhjCUKsV)$MMIp2 zT!=VnmjJske6m+s(67ms5_}tI{3S@MK+&Hz{JU*DK_=IydDePbIMQ1t z=eQnKZhF%joKiXhc}-9-^LPJyB7a{qF@wR83&tm?O*b7R=fHZ1?l|TPTMeg`)41zg zNXe3J1P`KJCm+AW8tY}!yZrkZ&t}9lBv8;9ZFNE+7YWDDhnL-Sevb&Siu}4zTbLH_ zu}!ob;r_(&wjj+S6ein=l+{Ob75 zrI05Neq46X5EdzI9ynC4$7HBYHia}DXN%klZ41e{O&ELlx+|D%OOzNvkNQ+ozF%DX zv2aY{`l(`YpU3!h|Lu`9J4Ev4*$mAxr&5G9CmzGWP!4%pEs0-XjGRNg=j6$FT|zpK zw6T#0!pHs<^l6Gj5X?(#y-8NT{BDD2bhnj)|A;Ggs+c}A07}0wW$uKkh8j}Pq0Lff ztzw@d&CiQW!_niP%}XSRO5i*DzZ^S7m%wI4&S9cMEz#wY;E?R z#uq0G3m1GQ3$(QQDbUohnyFrImd+<>IT1X>6%H*H}vf5}NyWuIv>D+-J zss*A>J!e^%5I!0O+;H<6M7uyO)jPS6)d$Zi_(WplCFzyOd$8TEJqWtVGKCc2^RbPV zNi8;8EcotX-ccKg#O^$EZc24D+ulS;Z0xR%eq@ZLa^XfFwLTRolu6??Xfy(RqjMZe zM+jZNp1y^dddg`7VAk&dpBRacRK@{441!QVIZUOKDF4jw)liJw!CVP6S;C=bR3;R) zPs2R1zYrKdWqg04JJSP0M=Y3$Oh{y^c*3?irDuyBTU;`tx{j5pouMNTZg1sa#jJma zEYq>R7SHoS-e2J(tCQyb263zr%S9sS?a))52tp~B8@59rE9wBW*(rR-CQ^zPe}NpU zK}IVqp{hHx)*%XhNIITc&L$WAu|LhYfYGp-r3~%Zn$xupAq_Xs1FM6;WKX7WVW`4T zzwKTJzE*9PIPqttF&rE4p#CXOxlK<;w!jv2Wfq==PWp@Qd>GDl+R29mt`}=++|R(a zJHiE2>8G`6j%6jcqJ+v0%M?391+DH61AXKKwqt;9!Ft;8M)^sV%nUakdm4p|hA>C~ zM3yh|%V@{*D<(PKU8Y%)Ab?oP9`UdIh~QnM4{Qx$c5fll3V4Ff8G(kp8+7>u-5Bz( zC2ZqAF2>P{ytGM#&f!#B82EYcF&3w_i`bi1I3jcp_CRjGbkB}twu0e4S&q9eMlbkb z?QQAs(6Zxf#$RhXt0`a(cyQL6-JtAc?87H?n?&$nsfc6EU<0X4+BcK4{j2o_mv$vu-xlY6PbWJrS(RH_N=Dp$aCnL}ay;Xa_sOL$mi zxt;1FOIs>T!sIS=AQ&N0EJo~2W5ADEl8b-ZPH|snQMi6^nP0M8yHOjBgrB8&3eqDQ=Pmw1h1#AFMk6Fb3dAJ^4{o)y9|~Kc< zLj>qOBdHliltVJLV!VhV~L!tyrUVQ%OFlKh&xjGInGgSQv6eno7&oP~cFuG>8 zrWbo=7|38m<>HFI$J7k7>(?>{U(dVPdw{j%z-sc7=Y8jSelkj{wJ+a_48irw>)!$b z_o?nC?jHony>!umrOSBs4-T!L!iZ6BS-IWf6#d9;vOaL8r7bPCdHL~ZjBESL*lRLy z0nN>yr|s*&>Q|buk!L^rP)3GlvQ7ot2nzW?S92tX87}t*=q+XMN6_@^?fca3^Rx9` z1a+@$l$@Q)cOtK-y~JjE!t|T~vgVCEuXeITV|Zk&%r`F>w&KJ@yJfn%KMI|!hPhbx z@v8&*2&IPvhg%nbP>#$DlYkMCgf-M9{Z#v9N3cqrU8%%rk&{PVqx;an|Qz`7)NvhkvWAs~pNkxUF_m%m7xtciN9&!sOH^ z#02({6ybT$G^P^Dvy1VInX{ze?U|B}jYF{wTNi1y(`avzljWxJ2_2p_u8jy~pWq+P zywpy`H}9p;UE`D=eVFqm$)8MjObV7ReVwX6*_rH6e150aJ}WodiIG4H3NCfnF|jZ( zCC|&>W{gHr49I@nX}lg6_=X$@8n7?#MwB|2;e=6>^}s0A0){1vFCPsw2{De-U7a_H zU`+AWz(8_B#mI#yH#U88R@5gj7s(3(570WLG;R9o7IPo4Y2vWUYB`c1G?!e7tL9ik zcCYQ=GDD9mY&HvxBEJ!Iiuo`e3RFv0VIXIDLChlkbtvSAum??LB$_y?yr&1 zG6nS!S-D~kx#23&K!}!3Ytr&d)baC!i+k}$ee%cfc71QXJCxo0kVKlOGRe6flxckN zMrQWNDvI;u07CI-&ryLYB|wMgD(Ow+4M4Eu0>VQlwxq~!znq7cm%wgm+U?gY)}}`o zI>99B^e*T-nzZ2C`VcR{K}Tk|TgVSY$D%aZNuzS4-0$NKpjBux{Bw$rBiUef1d^ji zTw;7^f+Qi2;NC@{xx)&r2`r~gpWIO1c+~#zs&H%$%c@~Q|I`Ew%zEXzncjlP zp2kn{^@$hbh`)04lk!1o8csMm8+~^|N)2<5&SmDSHL?6t`0bOK^mEX63 zUtC<$9erL#HN4GGBBXnJS0uxQkO&(|6$l*%sU9a7qO>564}+_xNXyVb&L>E0iJHP~ zfDUPv9i2J_k43?#+sg*8oBA6p@S!gV*P8jKiB!J-Ppe|k+)NYu&Bh~vokC3o>iQ3i zTT!@08vyblsrQ6M0I2;pY0K>dM|;2pD2&pr|6crj>n|wx1@^EY{6pBbA*zc|CLyPG zBG_+LwU@bNXkmM#e41+^V)XibRrXf%Pv4Z@U!i79L^4qTn`Z#XnJom;F z<=#l+*qnASMCzM#_8&DEa5jO)oj_JU%swsu0t2-7Hf%OeZ{n%D#9Q1nuc@vSlMFb; zv88H#8`pFG)EO+p{oGjv93?BSb3t=PI$vcTZ`862eE-7tCIYz#%;2OR41W{p#=5@A zcu6wIM0Qeo$+Qe5cOvX#Uh*SlJRs?^7Dz@X;;#!;u<{_kN$*_`8G0VH=f3Lr#h`C- zu)^W`E@)&{;srn~Cw^%u@2HM_C){IV$t8m)kZ{@Ey%&yYJ&*p1Z$aj{5jqd_aMlNv`HQ8B*>q&SlhJlT4>HVhmi8$aY1Bk)FLHm1x_g~?b_ z=R~YuZQzW)v^)rT&9Iu-{f^OB>OuExb@r83bAyu`lhQ4?hIdmZ0hxqTn<)C$|G+n6 zPvhTtXoVk6sa#X+a3pm7yt~e^o2YD zAm9858Uv!$fh>J{(K7Q`Kn*FEC8&(*4&QJ#&*i(W$y9j%?{=J-kIGD>yRUcB;B6_6 z0Ro)6&o343CcUPi>=_M$98$H94%coN+b#Tt?|HKMQ)q_Js=AcL0K)r;w|>*dQgf~( zP%jqOfO?2&A`W_O?2gywME)j_JixbAI?Io5EQfd_Y1lC*vIrSg5hNhTuv-9^9*U_= zh@;P4wlS(-`1~-nTlf%AIFb{!`{Ii3v!C%OXs>-Ds%Auf?IJ}NRXD}p_j=Z6(Fbvx zpjRfS?;L5e3tsxxy>vl<^jijvNfC$DtB`5Oib&)Nu)YOA3j5KJ|Z$Ra&VS+0K_JN3G|t-}C^VKfyHMb)N5}k-HH>XltbRDlf8}Zj8}@cTb>)Wn0GgF8tRJpaG|622Iody7_|)(=$J*f`Z3RSW%pT zhq{uT@z5Wo*Sn@brY-4^36=Lu1+kNKYPm_ald6}!;t_fImTNTL?mxtqh>ikarTss- z>XH85|#bC?rYG2qd?;HW)DH3CT)f zW445*0pH!QBIUTBJrT5EB0PE1C&!N^qwSM@zGVb(%r)S-5IA&o{?@vSHin?oFGVkJ z`}bbHf^PLj%1MsCcdaZYitMP!7I}u?95L$6GnRfySjts%G$YKZyH*Yy0gQ8aSZQiz?*7Ohah2z*y^_|ThkXOT6wx-R|vUafHb8^E{q`yCSojzn~|HWSWv1%qIZSzHrg_%Skh zDQm9&%+*Y(4TE0%;{tDGa3l-JP!e=hdkqISfy&<%%p!)E?A;P=i%A12pIv|O?}Cxr1Sd_R|=;H)%X(j z8!8JQJB+rbu-dC8SYR{OIE#Z)t&TaB$Ny*5UK^ zTRF;5M!md0LK+7T1TiW!FYz~n*-A@yN55qJH|s}e?NZO1(vkC8yC6YJN^3BQt(4!^ z_gU5;r`=N+er*l+s@|)Y^Qym7ypzcr$oOl-8$nv6!Xg_q@nKA|l1%B^2e zY4}B6MEvEqyQvOUko6AB<(dL;9iHqOfnWzLu69HtiUHk2;8`!MgMePjwGq6WA1>zO zV*-bTO$FS?uhsqS{8JxT93iEeZ(8ram#hy+y|nCdv6)5*8~ZJ|qP}%(R8{3zEDpZe z4_<;w#>=^Tu7REtOV<%Up}|Na1nRQ+fMoW7JIqZ02P8= z81s_YFFAr z{x}Vrz#+z?p4mvgAj8`p26ump>Tz<$ad>>WJHf9jt9xi)k*uiC9>Xy`>9~p_+Li?N z#}ZJmEl9Ofid0^nfrv1?@_w@-IfHa#sU1JA46-Y_f1I!va+XrBWqtuT^?~O={t1NU z8c1cMuce7y%f@(65@IL`ld;N~hgQffB|4`Ajht8wA>WuIvyW=@Qw>FgOI&9Jq}&*1 zQBf#lAShK~!TZl%gv@jp?}T}YT!cB14G?R3g8KCL-3JY{Oy&WweW#*;V#BOC%OcNiQv$9s1t(@{r@(8%~^|& z1waGE2eFv4A%msSllAQkA7`6OY7=aJO@-?um|#_a}~XRv0_(=)e_?wc~RH`H}g zG`Aop_dj;DH*-g=CEr)DrWPr)ehtah)b#1Z`s6&7-ViJ)pF<7<y>0HPjCRwK>Fojl~)x<+wsUX!K%iuC-3`2od~fYC*O!-*gU(4iH`90(@xB1WHBML z0EzEKFp*~q#9aFzK>r7WK=@POY4!M{ji`vzWJ?vAo3p0@)m)FkOwmP*gLDM7Jv32f3rh-sm}WL@Nf3D=(Vk`?(!_Vl?>thJlDgqV5T6W&o$V^a z_ruP@m3=<^=o|#%lRM$}O=+@4##o^ z!M)~>Q%6HOXgRsmKC;CM2w5tXdrLhK%%V9T3|#AS?}ZLI#_&4$eR=1Zqn3$lu_liH z5!%a05u%*Mvhr7b&V+|HsOg90VSQ*fujw#RKs52cETBQ4g?fIFc)-oV&I;qr_!uzN z6?(F=WPHn5fBp{A&d-<+m^&ARFbAzKf*Bng;e@k`TrppiP2SzB$qhYmW=|zo1&kYf z&yU0sCOFl!jQo(fXn`h`Zr}J~E3JEaAtv;EtIOyEz&^+NWu1zBXdzKHVAWDX<4KXI z!pt}S=iJevLG>lvg7fX*#Z_Gtmb8js`PlKN^RAu)VEn`(CPE#58jxZIPz6fRc^m(5 zcFc^#m+jox@)-Wc=j`cxnnYnTa8OnzThtWz>lM}&qYVx(&RWo`))2s>I&pptRmpf^ zAGKEh5Ey|F2hcg?22v4mnrJyhlitJtPkz&L?^fX?6N^Q-#?x~n;RJswJq(m3RLnkO z6a66gWjcyh8YuMud>Fx;^CU?6EGh8?pM_4VnU5p0D$Sh)qSO7n$GZbx_pT zTgC=_x>NoD#Xp9ZTNk@f{lHtWcF!h*fPJQx5WlL=>#eY_8-^L6)A?_jvP8+LF)aO7 zJP0QwMlOrV@j1Pq55iecR%i4w2Q+FDsH{EgMZW|`{j^x)OqO3wI7yao`hrQQXH=Ox z?i62bsvFP>S$4wQx8b#$#v^p@awtSpNS66qz13Eu!CRDkDu(6%-?z=fSNqP5q+q}1 zz3xf=8&}=lBw(FSI-RyCWQ_^x+Z#$;W4{emYU?z|1Sk46?Z3Iox0jRlcH>r?4NF|3 zRMuJRii@=87!;N7_KSrFXOKpQBY7|GmAM6Zh0QEOIPEzh6*d2+vj-TtM|aukSnX9Mx3ea!aTbsCxbS{rwxGZn&dIBTt?B@|&2NI<_L9v1|H9k|n6>EHzk&Y^%Aka_Xj1okT?zPu5)vFWAWn^Ma|#cxRgywk48c zUoJ@!*}Y!%DiPi+SomZ0Tz}3D*=(JTDfnHVBv6Ui>Xt6<*@4Sn_V%3aPhC!F)sR5l zVux;E^N0JT{%vI%pD;9xjrP`%UpCravRQC3Fti8f;`pU&NcWh|o=DQCJ#BJ}&a}X2 zoIf{SuPLHg*Y5QJA6cs2{8~Nw!oi6HF%@E#rv-d_B8#&srA)bIkbge%%vMl<}X zoc;W3%X2ZTmmp4NzLB@*8cEKkS&p2vWZu*kaR%9ybj`U%46twz7RIiV_I#aTyvu`~ z1?=yvdm5!$3T)kg&zQb#*d=|~FL8SvcVb)a4~_7d3Mo)~wiO-;2-1g2URlc9&8^su zDBcS=!JK0kXkH~$tQE;F5@$62uK0lFd*5cfn=x9-X-%JocE0m}0~A@r_A_G9ucxy} zr;xwDoW@187cQb>)3OwBb2oLC1fBs(uGcW$(_J2U%NLNio#3a}?2hf_sRi+GDIs$< zVO))-SGHtv(@}X+_yqM<*VF0dn}PeGb!&E7;Dcc;=@9I{YH#fZSC4$(r+7^)H{YCFc3bA1CgR^nYC|{Y*1`Y9xA9_USpVb52mUOW8ae zasP)jiJEE!F;=*`#GG#8d|T@~lC&EqIzkjF%t5B1S;XlEgOwv~ z_1gFf@pItojYnguHd_9K`UUO7l<^Hfv?{&mzctgM_H5^xh?8;eKKE&7AEHs z&a2(5;)(31fPZ)B-p!yDAa`>Gs>n4!wroOlh_Cm@$)HU+NeHkZ**b1 zK_`tQs%d<+W6JD}rNAmf)<<4M&n<505~%rzK05EN07ZoetpY#~7=PTMjRvD`@F~;Y z_|>bjF&}VnTuoH4Vb?=qXzf)ok&;W#!d}^a%ty>(NvOr9uB%pUq_q|2hMR1^Php3e zUcWlJeh3Mn?Qms%hRwKvlDh7Ajaf+aO7xkpdw?mr09+hJN5=h1j-&zhMdZ7bJstGT zY>A-!W=YbgY42pj=r63tVe!?2z{dw@hc7y*R_o>aCqBL;^w=)}a`u(qEM6-D=g%e`ca2T|$(4J%^aoSNr}I)uBBJ;dV+Dm_l%K z6dZW=EXi`Ha!#A}RNjfLgjHR?wfl3Px|TDaisx@uv!>}*ggvSk@(7C$KuYEx4e}`E zZK>?uHP8~TxBMrOt??TMyAwTfH+^OQ=h?cvdbh@$$kSs!g$Rlz5;d#*Mn}tkzkSiI zsclSLUznclM|?B=7qOmm+qFVmDigNEWTd3GG$@T#y5n`lXrtaioqMl?WdnsP!akx~ zgvEGEgX&_^vibr{zg=E+F(SWcRqGQwLXl2=7ty`@xKv@MQJpQQ*;0?Yv$TobY&J^& zc6egBj(SZtg=7k#9o{|J02~E$Sr!JF7IH0|dKPewn7UXFAXYl$5M!!n@0u-Ht6h+( zQ%gR31;dD!!5@{Up{_^wLN!T<`SLxzH8Y~0;@sCg`Er)^b)W^hmDD6dAR}_IrRR)C zctIyNF$W&{LBBo&qn5|c?3Ml5SQ>>$&1Fxqyw&_Y>W2KqHeKtwEE`}-H38Q5wFiYl znx!}M6v$G~C-v267q2V~x14r4cqYfS&a+kxLx$OZWFIRazs=TnqbAuh}Wdnh;4yW{A`dh72L3 zS#3=n4kGNDzMls^Ca1^zYWcvCx76~iCZC+$_7%!PF|~0XPxfj&XjfPkz;3i(atC{Cbh}}1o*VR2$W!) zm|RHyd+Qf6KcSkAUy@m0>q}K8#L47#m4D$p@xfrY< z)Kh)PpwnFN-1-f~!ka%b0oV3AX9f6QMz@S!5JL3AV$0e*o0Q(2SPIHB$Gx72IJ%^< znc_H$4#~~~s|C@{0QTw(NyU4$Awv=KZd{=UU-jHqL-rT~HQ8PLp09IsxIQpuHA>m~ zZO2)~l3fz?1jsY=@2dxr^smNOlOkFU#C+tyIm&j#hmb4&D3F&iEE}#yF#5!MLg&6! zH!140JlQe_t|m`dRL2Dj$5#iodvMpz$keTMg^ z-XUWvNsz2KSsyGbM)mjwz?KU)$zfy%zqJxY4f9qD-z)j2i_*I`v+Bd z0a7IImy3E+48Lr#=PKj64zfoq;XS~mJI??C#{s8GU1`O$ka=kLmba>Z{$?sIs$ikX zqAUlI8CfX15Lid*3v@xI(DP+p<}3-YMDuZdq{a-{q`V*j`CX7*=O?vxh3JNTmv%pK zAB75KZf~ulr$W9O;PCU{5^CC%%aUxs7q{ejcIr-l&TnJ<%W{?}1Y6c)!j$hx|Lk0h zpNiV0j5S3sCXT+!pIjb0PQ7WzP}<>d8=sOI(f|>HEv%FNVVcAmd>7J&3`loqW+4+E zUU259k7FTxId)sF-$x(jlrX;1nZfhHzix7B3O(wB%DQ*3+Svq}LHqSPEwUd)9u zVZRAjy6ymJV3d}f!ryjoUjRqyQk~5nT$R3YVxT~F^ZTq`HVFZvT?b=>;;~YZFj;eD ztw8v=K)?IoQf8aU0-B@&=sXic0~HMav^+>HbiF)%FnNjPqY)~jQW||eP+{}~U#eHFbw}c1F5@zK_4^{Ix)%^P13o)lt#v6HW%5!nKe4Tt&mI3>Apk+8qql z7UMn+p3;}~!l#74?CR@Ibn(N|>M9}H7}z&BZ73*&?Fc*_mRsbp;HbCc!vHfxb!Y9D zV536C2KLPn)&{H&+8C|QX%R7T{DqbfzP(<5FE)%_IpM0*+`^Ja4zfb0A4?G49#qMu zu)cNvk>Cw19LHH=>zo}bq>aSsM24u4x3@v_MIV(+~M0hu^85j3&%vi zcm0}Ll19(} zs(wM{OG$r9Smahe1I4JXp*<=fyt+>KvqPWA%w|5`c%==`3jd&Qg4=m5G(qA;J})b? ztLz)9d?`a`6fhq9r|v5>@rH)Y5GXVuZ1Ll?Xm~_2Hu9mKP-(8EXY;FCi(CrUGr0;s zz3FolKI^=z+6{zi?|s9%g#QZ1a-Hd_q69Qwl8qn)bXR$A!W1LN78!uDP-&SB1HH}h zo+*W2k!XB$V+NpBKzx(i>Nf1_UFe_AE5Lr9hr62Plxt^u3 z-aFJ1X(eE&^Tvpsr#8V~>H_%~dtWd>GnNA_2%4~KA<(e zX-F4_AV3nrdN+~d?Mb3!8*taLT057ejU)ym`a6tQq|pS)6*sM7Kiq7IG+3z)O-<4J zNc21D;}5PA<^sMI8u;>qAGuE2b5=_>TbN)4?#;$TJ}v23#1g-y~l*#Q1P8 znLc%drCf6i&Z9g*}sj!Oud89Jvp7@8B#jypc3dg@Gg|mk-s*C$M=@_1nD;Q@0F0#G+Bw3ha zYv@|wvEw0!)FVuXn{W0=_XlVns|8fp)R+925=d)&d}XO;cbJDW))wgbd*DC^!G;dq z_J?)2*Elg7KVxt*f9+Sd@az{vWOXG{j(!;?M@+(s9gfgZ19WfT0V2$|AWvaEBjiwAgbnBYNh{+V z+6~okne9muH8A!>49$&2;k{F1Ss%Wsyn;9BbK+U&@Ln9bD@G5)iE^|hPs9^xInCxqkjao zN+xhaBr($$?R#lFQO#e7D0!TEyPB|oVbn~``uXVWsf>(G^LY5AdR=Zh>g|V-u#;4K z1!4&3AV|jYeBoBsE%Mmzjh=>@OXd$1WcmZz{`P;P`7C2xE7sC^vTj(Q^QFC$YbAoh z?D3NO--Gxph!{y6kXSMuKYfAH;ix{9o%y$+p|B|}sFUG6z&20`jR7f&>ODe!^xnCl zGxwVU-?kN)uW17f+57!&0Jzu3{%EGeM_42Owu|%IVztVt(}}@oxnT31&(yvEZL@l0 zbUK;%ToT^VkZwLL7w@cq<4`3PoL-#s1zc@=%2;os(zQR0GuEq?N;TiLYc7n1vN|;KarTYI@!=}d)#(V4h&7d zTmRW`I6fG-Rao)^kSF(_)rp*hwk9crl!FSW+Y>{$i3e2`p9_nlQ??3Cf`6D`9Ma9a zeyZ`L9*;aVz?<7QFX{<5G%w#b$Wvyh=oHL*H{Bn{zcl1)m=Ta%Jz`;-bG=Q*pW2ao zGRPJ+grM^NXyh>L)*jVj$ikx9A z0%~iN&+`Y!`w6y^(-xg{-8EJ}iRKAP2+(T@=>zKX)FNNsuFolW4En<3^rvBn+sVS` zJ?OrlUaJgP$Cqjd)-$Vb*^+j!{1w3qZJX0`$y}Y8+`RvnT5&Dz(VoS2GY5XTau3YB z00-JjG$^r;Qhe=t3_oWIep6c-jC1BAYF%KN)gCMG!Y$Xe&umD)#BX0b2d)@9+oyrV zm1w!=*QzR#T;?)DxmZ4!zbJbtp~-+sAiP_2GQj5RUHmhaKmf-lGXAV=ntlS01t;*ghB7qhV7@{mHKaoy>#r zh@&jL`RRe1liaO)*YE;CVKD@6$45=FP3pt&f$HK#5xQnZ^fnY=S<>nCE@GO&;QiXy zQ{CbEjS8V*Ba2U+Fj*-&z9;RqR}hD}#;SyY#UHEJndk|Q@7w)FdN714g-K)IVuIqApefIn@o0VHqM%v3FeeJ@QMUfo5Cj#TkF&yo@4ySk;_*5^&MmV$& zy+a(ivg>lQ?gWq*R3xTM$uwiu4a!*T_*cjdvutH%Dk6Cc`k?lNihu{>Jh>YcCr z+f5n(N)Z=^Rt zK^;IeC?(-HKuY7WKXVLkrAG8YhJYV1QTj_yJ}|GwNloZ=UA7AJaKkzPfL#6GzGG$G zr>p+66WfI$*&@#%6>ESmJ9I8qlXe-@sv!(cZuSaRlVKnlUjlIk5c*}IEyYdz$qEz$ zJ|VL`6!zUTX(_N0>)C!dpYTe2qh&)y;@5~%l4-AMBGm1t1QzwW2Pz(xIX|Acsj_)d zE-7e<+5gn_53syP)wyF6`jv2qd3r7O4OYU(Zi(wkH4l1Wx4b(-8mnntQ~NG_JHWUu z?Vx^z#Cv>8$dM<}4JK)p{7O7Xlck)?4_ug~`G+R4zZ&bm0(4r2Eqz&dXR<51FW}7E zB;z|efAHnq!Kc8-q!>Ci&^UJ_Uv5Icg{8mB!wT*<&DyXaNkaerOW()J5;trau4urs zr+apLy_uQor%l!$FSG=c*)0sS#x>gesuU~IofrGf^VGcB^T~>fjA4CDh2Npo+xT7c z$Sx=&DehgU3p-_n$kmcT_1qg-JJj~r{@%>_Apl8LqM`R3`mq{L1zhNAW_Qf_Bk&0H zn&VbL(%SU;0ZdLN-@-s?uHRHNUat3<%sC;t!OK~to_jJrE$-v zQu>Vlf8n(U|D^RzU7BMH{XGg=if@_At!d+Fj3OqTBwqy=Kt}OOL{vurRfH_r&Nf5B z(VcGCb}+)Gs#UxEjvkDYIRdJCpE88st)P~f|hcE3L~y1_wFb;EdCfS z?R}#<|9L-}9RFCgMa^H-SkGZCTa}C8UlxbUdYInw= zCw+U9pq`w2;V3$$<&QsKgFVPN@S9QcYhUT7C^g+xSFy$$NcXr1b0mLY?7g}laFpFv z|8H7dQ0)4Bn>Cx-q8%PnKRDyN&~2Ho-rRbx!#S0q#M1_Ozn0TKGXS)=B>#!5t8?N# zCziqo`YLe@R&(yI9<=RjVsJ=#akhKf>XD_fJCUjbGP;RguH$K%T9MU(S#JJw`;V0R zy7zgd@6eQoF?ugO)cBo`Z%L}^1#1&2zs*oP(mzJ7MP){&!X#fUspVm^?kEo-Hn~3N zRGnI`w0OpIaAOGZz11eqeA#g8)1Wocamr}CXP;HM<$t8BY?>4_eeu8~A#!F1DV7Ug zj$<6|)p5>tJqo>|nJc{4$tR-y=|C{7L5l>cTfE2zS83)wS`of$k{YZhGIXtanjz!b zADXh?%^_u;EB)FNY-s)>I)zS@YI1mD59$xqdnM$?u%qj3?Wx~Lw@Gps>1t*dZaweG z_Pn*o?HnE-Ij`!v?S0*kBVn9;i*t{%yjc4fIfxJQPJ`U)xxF?N%gygxJ)|?R->kGv z+l^_)F%Y~YbmX*u38&Nu+|Z)-LS67^Sm&nTX3V0wHhSN!+%P*2m0BGI6JFx&4QncC zvY08UD8xL=tuO9J%WP*y_F|;Ge@0TDbsWu%M741T%n$D;YNMyxqyC0cceY@`zqgI7weY6%gp3xWs>N{~eHxSp}`>mKGa_eiqA24L% z)*5){Qd4%CgFJlM-jv?fEe#fu&MjLVAmpjx>9T?Mprc)YM?3qrFdNdD$?ih`mNLDy zHwdpVtObp3wqdlC|GXq!7p}VJBT~x)S1k<6%toMcJYxzm-OFVJ)3!_;}}8(rN`A5wGE0*x&2=mlm>z~l6Rd|4`F zGeSF>6q8g(l{CA{kX!Qze{;1iSIUcmDq1No26)zUHo;@8Zb%Hvk`UOCVhi2@o>e*a z5obX~EmLIUCcy}O$#X9=awfeg;^)TE#ensr0a8(}FGgiJ?&%+1q2NAPzBT$Z?@w8p zj!X*__V3V%@{&pQq79Emu}1kFN$*Q2xlNlB5_$$U@HW5AaZMIEFtPqQd^JVp@cHXIs|Ym|28>19~ttnk-`{^C98@tP{?WFo^u#R>)-NJt#zYx2^82HM8 zTWRBsZ(Yf4&ty$XnPm%!%L=lc;hP928a^|U)dr*I)mSSn{Gw?V410(D0>NVXWOWB$ zGF-`&Hw?qx6sV#*U8%qKH4_e%@G_H4Wz6cG$wGe-*(546JTo8km097r_|YHCH-+2> z%9&Tt{S{lzW=at^p0wNDP54c-oMWEVWa|6F_7zJCml>@8o2c^cxrV?&?QCm%yuqZq_eMn zkG<}qIMA`VBTIcvXIa-_kd3C=Q(-H= zH{S#ndhCvKrqDNS9W~f%A>9ZUZ-ytkO-jJ<%0a=fIom_sZyL$g1-!+2pErlPtkN9U zTl@7V>v<3BZO!8oaiQFk3Anx}iY3{k)k8E(8Ryo%cuXq+M~u1#>3>UI4=clZxAGby zw!>8W@3M6cKoHiq_F}eJg$)^vePDCdxfhV3k+@iS1)bq)^2PPr**`)&n^HS*vj7l^ zS9vn(`S7{{c;*BlI(2ZtA~r*I=V=aa(0IjbOEDGyR%r5RuYts^w#cKQM{af&!|v*B z@;`%=;S?j1`(Hbw&M?b4WA2uV_#0*@5|tJ5`&4hmy8bzEiNt~t5K9PWU%uRSp>Sj; zV^>D3G(8lPJsumlc(zqgLCg9I!Tqz1A}fM}KO1=qooFT1l`_Gf4=jK*+0o+!W6f8v zaZB15`!e0GmEFz0+)P3oabkHmU^~;qNfmDJc7~0{>6NBC&CUNbiqU<<4VU3ywNZ9o z$NP<7wdbVog7rt5)dKEkY3qJzli7@1GC0wqLS1Fbg(aH(4Mn6x-0hWIJW={o9@yzO zbAlxQ(nWO1&b1%7{)_dDV#@4VNM+r|1WW~~J74iUTjQ1K+6!1rHzOP)xGtS5dceD( ze|9}y%lY!;nEgVPPn|*ODW?h9QRkYp2Lb8&p2%28b|9&If?>8z{ZBDFBJZsS5iXtx)8de2ud%fFb%>H(bqv)6J%Vp3@SX)I6?^8=_btd%X5k zMFO|pSDX+xlOIeFCL6TNh?8qLfjRj!_&^nx6`!Mk<7;OdBheQ_ia8N;BZs|+Fta($ zd_ul}K55ThY_$UG^c5h5DzIktSdm5}WJJ94d3z=F9}g8zHfCp;udElQl&E%4=9n-E zby!4?RI2dFCRuydqs-F6PQMSVl}?7&1L{+* zVdH0Yoesu8frDArBvkMf_Q)IuzdVrt1ua)(CTHBphrk@pC|j}0on_^d3;LG}jzvn5 zUm5{%Q#XeE`9lQMasTok4>)+CMKA^cB6zA+^ea0~Q#Fy{U$CZ(bBTA&O%3p_obuRA zB0^*F#^}SCWfp!_bV#R4yh0Sgx`k(+O=D(qWI2Y4uStMrPjW7(#H-Q$|ue!p$e<4o&S&R z+wa)6(l3T2fC{F@Op)36__K@>PxI+P9gBrxdbX9<8T-U0t$1j$_wDB9R8o*xfsU_1 z<4XglF-%`KOrBLRz54IwEYo?{W#kbE{Z_>cw6COy6x7%TJMHe|P1Fp!c=^{T zVrsqv0jcbF&Su03Ei$dmM(s(?1mY$dkjg|2Prsw&C=%%EkzM0aVTd#vJ#vP;%TROb z&T}Qs-@&D|k;_22U8z5?iwUp4LZb=E5otC(Pk#MtGc-R1e@npbt~(TSdef(m-C^$D zIQ(<}GkN}tja~#4WWsb5Z@Lc7IeOJom#a|`BLhP4-Xg1k8~H*!a{~N72JrI}#YHBK zdJJxGXxxtY3f|JEIE91e6kF%?Y9+`tadZ1YK^wTiki|EQ3q^478P0b9QMdC zyk#czYLn-_WRKF`Z?=jSlySG=jJKR8T_$}vWtJlt~OVU9inTuvmYVj+ydBdIcUQA z5DS!l#ZvifwVdKRFULMi+|E_YMvc(pqe8p4qFsTv#`(y~?S?9+`hCXG7Ksg!T0CRPad}Hnew;a9nWY?pKvGU%aV@yKK4@_IsJM8lDR)xxJ_oKX% zvr-z@h2azLg=WS6c#Fv5_<#?$b%J|mZe#}laz!#?k75cpKm^qse;to2B)O={HVwlr zfx>F7ZHbXADUA%9rSOEOteOaIdCu8|jMkJnmGv{3va9#fQsz3lo*&d&?A1v#$1It3 zHoXkhK@t~^G#EA?Y~!bk=@V0#JAIO0Hf%c!7#DmEuT1wxBHF{pm0t=h)}&}Aty@aD z(j3juqLJmK`GKF0S3_ha;kSjdZ@1yktfoyIWY=9TSSMT}me~N4`!1R5x<%WZS+rlm z*BWKUcEV5eR17HTi0c+q-6pN18ob?ZSjo-BG5cm35c}k&N8?r*TrO@lA^768o%2f_ zI%Tvn7q!I6+nsbXHQimpTc}RjCQ+re$@YT_{=-I(U7VPUHqCLt@6f^FXu|0$yPrCx z6f-Ns zG{IEq=h13RemfnWd$K@~J>*5G#g_NdwEEs!_if?rVm+H;t@+&a?gvPHPAO(Ife$Z% zH3_fldH+~@Pr{cK6X8!uN_lTWRkWl583+2gGm^=qnXnjUL==3UotFU*Ke*TX=@q@e zvH5+`TvFbre(PrVWxRAC(k1s~v*+a}#=WiKv=gU>@fQo{ft@2qRrVd$f61&ds1ce z5=qN^c})d&MUnHbz_#Cj5<2|K53=n>PNn_&O!yR-RqzCzpQ33Owjgf@e@xN}^wZeA z5`)+oVfZhdYZ2^U1<((-Z4A7Mi|~HR3ii_=X|?Iwz&h3wecvHU$F_@H>O_csbtEj3 zY97j{8sCvGuxb}hnRD$dq4JN`Ol}^ubP;EuEhnZ$a7{kH4~P5&1;+QC^bxF(!Eok#fV*c%cgg2gXshLe@VSNi+1=cSu<*T=kTd5)n!Xxe=YQsXQ+RZ0vN)Wpenaa4z4{ zt!uQ2nc(0bduQou6@>RSrrYT_KnfIG`)3gjsgWhpcyWi!yd9K;g5zDY?F{=le4QErnxT9eL&WP(gZvs5`K zIGx{PqNtjea}g=qw@cyr-QPAn?+yr*sO_E{o}#;O;9n~1J7}@w zUt?7Zl&EKm>;!yaXbsXjKR2C4Fb)ZO&gW1gmT~;AGee z#FiJV5&jQ8pubRMy>zE6JK}3>ukm8uO6jJqgQU4FlymViw0cpyR2VAQgxiM$=6n2v-1}0ojWW(m)P-o|MB{=PYNKjkr=V9`1+`Nwo z)VIv5`OmcECbHa*&XqHYa3*+@(f$5ywbd^HZJcyNF|*jt@)=;QH_6k}Xl9{q-eIcE zU{J3G{c$obvcq}29#Rvz+&ItPcopJDnSO+Z7BOekX|FtvhX0y7(S2;4=HLB5d6UrU z@8n?t&r#ApwJ-i(bkw@KL2jC;dM?uhr}5Inw1Hs1YF%JNk=`?%&5hx(7stEgJIN2v zU_a_@lz!G5;mE(|9lmsU@;65v$YEKVz4H}o?b+`=T|d{0tnx1D+s=o%$XNGwc`+nt zwA?945CV&~$F5zd%>spvD(xIqszcuM6RD8?F~!whcr3&}&ks0to?mBII#TkPY>*b^ z!FzSWuN&!qqg2ncw$$9F&Wo&?4+PmJHt6s=Mv7;6!2@r6vMpeEObDgHSmy$-03uVn zq|ER2@_=|rvPhn6*%lAs|Kia&O8Yed;@aO;UUncVMK6w6F6ILS*Bz@>tneDd&xzD( zKU%?K8tgE7g*53e1yd0!+IBs&D2bExW=O7h%B5hc=d_NEp8_TouXlIF@LrK$JbetK zMlzmL|l!GdULwhA#wPDfs8e>*f@lBcT6_t>EpM%TvhM8#`BMSiLGjWBpS~okNuO zXtuLY1cfv`Gg~Z4QFz&}`PFn7nmQ=)RL1w#o`Xvb&}DeDXZ74D>*-a33c^PB!&Ohz z0MWTUTRTsq{-gQo%&R107s4uN;=Sgxx~8*O==SBo>LAzt(JR>jKw32UmgZ*zaDM30 zb*=i1Myqgj)U8=>bDirMvBs^ zGWOo^iOv(9;W()PXyg3kEOwyV;O0z`-sZu%@{JiE((7^oAD3F#A-#tjm4?T0tyr8$ zRG$J}uvJYVq#7h40;vN@i0YXj`Qw_ck@JB_uDwvOpzQ}k*Zu%@Qi{HeQcX1Cs(Asd zAJ`i&M7$=|bo762A1&XDK+e`Sof3cmoyOL$ES;)*T;96)7?y&>{V>rEF?I zR@Ibyl&^W|eb-wC{!p>iV(VTDa9y{a;JMKy6i0@WNI%IBbliTV7e~D}d7$2Il}-=u z2pwoj`y8fPV6{7vHP-8GuiWdc6m~*7TNYIv6I1?u&%Csx_I$c;w{@Ig)c)lR|D1Ko zKj_b_0pQ%(W%DJEnW^JBgIb4j$W{>bgc;peK&R$@${ZfpRtldkqjB| zTqou8&eL)6wi-_joiY3Vz!T0uEs#zkTwN$pT4)^D%XS<1CAlGFTtD`Ly~h?Kz>eg+ z(4XQujM`x-q7JyBS8~^Ytve_+<}f(7B&Rj3HCsZUX;xp4vxpkLZlqmrcbF+3?%Pti zvX3ZF379PkZUk+wIS$i3)}_A&K6M4#nL|Y8HM0Ts)!KF|U;p!r0Gt`{2R8qotNa3G zdD01Ue8Tap0o;~}@$f;2849M^Mbq3ncPD^~1%4#w?U&Q<0!<=9I#&eU;R!;xf?i`C zKx65tyjY!4{elp9Lh{I2&O_S#6~fmaW9mudu~ISYo-|`R)@uaVrT^d9J@S<9CuoKk zx}+IL6F;&7$#5>G->g8JiA2wTp)&#A9MF@B^Npeyk^jFa6e7~-fRu~l=s`ZUd+`4c z43C?(8(zpLneQ`ghXS>rLjS7#(~Pel%}#@TjBi={>nYaaA{2*nZVwS7_v;ez=ZNCs zJ*pRKqf;QSaVJ~XREL|ha1WL0GH_N=9ict|T(pYzT_iI;74P)izjD~wW(gD6Zu=#m zYLoD7xoKbJ*`9Qo2Iaw33Py84=NZcS?^VSDkEen`3Fei(6+n;M(N8mFK6ZS=TpTR; zH}#Bee1;q=s^b=UUeh^Cb3`i4Tlj$=Nx+^_sy$^kXJt z4;6rjPE{LtfAGoxWlNT@Ocp#WD3Xji3?YS4#E z0fOp;gVCHF^qbHK*8&n?_uD5%0wdxcd)5!nuY-NV8JOEq(w1a!E9#T$+lH!FV}OM< z1xBov%qjG0-%S_{Ech>Pa2rU zSF2M&I8MI`MvIWG`H6FrGM{$*`gUAYf(fk+JAAcr6{%5{=jgyeyp|8u$!0A_rS29- z9h7^LJkpK=P@0n{&XG0%r-y^b%puImX6)U1Y~|GBRzdlYbWo#kqt(P@G9~9C(F$D8L0qRR-u>rhX+-5% zki%Q)av?b&bs1d~b)Xq-?CJ%1Ok9eJoXuIp)gyEBc7UcW(S0T@_!fGH6zjqzplfQz ziM+cGY;jffQd>#Zq?;w{<2nuiBgVcpWqfl#beKu|uw3=!e&)7ex@$4kD%}$^h;DMI-1$?hl2 zX#WoBFpfvFx_sxw z+#JUeJIWY3iy42=zQ?!s#v06Ox+YhFASlmY(zfF$GjC5FTamHwd6SZ5BtVaP<_`lu z_yI8I?CNJ;-)6tYLF(s25<$GejdziCHM7zjD>F2XPvoR@d@7+`5y7bW%fBG!R@W{C z%YvrIZPt#ZWy#?zz%xvG>-#?3?6s#G`l{QMR&cS6D8YokM zJj?yaudrEV^!-9!YThlf`0r8N8X#{ zzwF~-eumJEaO}~eS2xW+f+9LCO07y4s6S{|q1JY05Xs85wkRIB?Wzlrru&I#2HpEP zJe^JCi29tsl*Q?Hn@M$>(*N_Fs3(!4)@iTG9+szMIg%`Tg>p1vk$}GSC+n$Us*}Vg zDt+EcHCbaWKeC5+OZXP1Kl@xR+mIh0zC=x178S40t9M85_wFylJZW z{@8iE0*SUQ>n1C{?V8s!Yk1dr$hV7nZ+cE5IEcSYGW28m6Xpxu?kA|7(8^I%wti3+ z)U=y)JL;WFKnVzfY$g>nU&H10Fn=e^DEyLSO!*7@0Bef^_HTe2Y^82kP>&f#Wzbw1Zrdv{~$H zKg>C*7>%3E3}%7BEx@uz<1o%x?#WzSbW}%JcJ4n*w|Ypy)I)FrPr|+< z;gm{%SL&umDl+TG8Xg)8e#zD_?cs4&Y3m6qn=C8wQDIIfb{D0&jr%EA8jLRcrMhWc zYI_LqsuSGg+BC_BM9=RY-8TApY9naBQ}_^mKzGV(7}QC6U)?%R=^{*I0*hn%9hnz6cJ&0udvS*a6ZW}j67a^na5CH38s_!;WjxCR-W z)ic{k4h9R^ex^JJEdMAYM&{9yeqi`7RJj^uHrw}Dy4N$g6KFCuc`5X#-WAQC`;04a zPQRSxeJ(Xn`J6D6!Sp!N<#EUA=2x7)1O9gA969QqbVXsxXfA)j8srYs|5%7X1wS{x z6GkUW8WZ5j=fT9ZOgp(>+i4En!1G+NqZHMme+3EMXvnhX(995 zF?zDI&-9{RD2+>~5g@rFo`t^DY(R!`tT0Ehz1&XbPapKj!-)LA=yQ^u;;0uNjSfEZ zh(2pHXB%BO?3A&32qJe5Opv#|T$h#7n14@uQ^fj9K!Stc^TR`-Qyl?ceoV32L&Q}A z3arI_?&bt=w2Msp@?rEVz+8q}AF<_2ZQf*zpy549x9oZCDuPcecJ@VWp4FP%w$v5+ zhkQ<<&s(i6-*eTk1W#v7jMx$Pr_Fj&p<-k1I@Fr8q?eNeRenNrxr2Ak%~|^(U*ozH z(yODiKSd=EMp>1wuk~Pyh2Ft}{|fp^?#*NqYx79I&utQd|G;YVik?V@_e&b#mu|Zf z2N{{ZOSO6KtP_&DY`npw>%^&qI67P5pwk?J{5zAi@j&`X6T?G~ezjm-zR7@gliWJ@ z!8tg=B%=BzuM*=9fUE5#oP@)qfQ4o`znZz773oT4ccuGZqLd7{D~#&Z#_Zt*OU zx#9C=?FmX4UQ?iHz4$M0SatEZIDKP-thi*)Ov%kRInGmb7Tk>;zMcO1guu=Tef~Rol#J`%eAY5%bEwM0AoVX>Pr_>}qgk^gRWp zKk0fiCd?(tdB2)O1OYR<1Fsp9o(3z9w~Z^DuJ;0EjcdY_O=Z%GGU^m0ei03?3-P>4 zAFt2}IlLX&>d9Fzb3K~(t;_HM`ru~Ot1;mlWZIy|l$|(si(NC%K)ouA2m`{2u;;v5$H3}( ztZk!?r|7MC3g@uPXX5l5a}Kfo%pn%}>Ke`YRf;Lmm{{LKH1ad_@$4IE-?V{zR~m!4 z4s{ye1Cx?{)9jlD{oZjkV|RY+y^HrQn1dzXH2Zao-MqA4hy6M{FDv_BH`)3;FFh}H zUgB@(d`;=ynGVQPdlAodL6@V6T`br)@?j#%&C2%2u-~IBe&cx`P%ocKNk`Y%v2M(# zqOp(%)8;WRk8zB7y_ojfrCdMfe2u#m%=am60w)9JaEg2?=KJ2vr*ekO-xE3aPZi93 zpOWSM_{;HazBk_^o-txX$D5urp6!YC0M(!uO5ljWgeu^?UPuZ|e8M z@%;qq{N3(*r2FpB%~r}jWkY_)!-7pEwnDIp#JV;1y`S%w=oLRV^IGG6mZdwIN_k~( zlsULB=b+jh!^Ws~$H=!<%Fm+tH4kHZ^W`1pwXx4PxlqQ#axLFKOF!d0^IGK-(B1{C9$)F&vk~oUW zeuBpZ1l>IdH*;(@J z_#w~ElV|Vev;0qWFQfG3DfT6&Bd9&=zPsS7Z}0W{>_PG~^8g@S?k@Rxxk{_Zi3xWsCy@|X;N-6v8e@2-*FeqO_Fl=6Wdv^MZ_ixwxmwE9i?0& z_oFIZw0}VZ(<-0M3r&MArd3KMzBjE>8tEK_Oo}z=`aHKGhk)&ye^^>>6>KkJa|Jt; z*gU}wCbmGZ8N{v?Y=2@?YHL{a-X&Rf;y=GFFYbAas6^ zbe=8cj$pacShnNtaPZY4zSq2#(v?E{LrD9p1)EOnM#1(bHd)5+UFZ zAE?6zbENJ})-|uCWVu$B&*rt1EY~d8AYMmn4TbLmYyfzN;}7lA06uX1VM^T;=j3_m zdFgpstx=wrW&f1Tuo-5al;zA3T=FMzTyRQ{f>fl{=6O$wx%HS}+Y)QH4}#OPLwcf3CAf0HOX+imx8ynb`>`j2qU+eX&Gz%+(t)xvsNx*uk} zI%{KTUHv6Q1Z705$(u@F9;>sz+*ryz!E(Kr^Kd--i?2d{G5?L7t-$=3_aP!nEdNsK zq^-*QXa2)h;Ze~A%zr64Uxf2TIA27S@2|AiSd+SAC+-I<+0niKXzq@2=W9wS?8@H% z=6q3NCtQ>MVQL@Ro%7uJa?0oNmVYTZUO%O)M1Kz5ajsycdn_Yfe?0qr{Tb!UCSn74 zypBC_Z7KIG%azWUSC?|DQLeSy&!gRU7TqrVvJdHUonZSD8!ji=0W7y%WP77|-Gfg{ zcb*K#&+p`W&yYT!NbFR>UQNt=pOX1LCG&ksNy+H<~v@_f5-Yh z`_frkFP&jHZ^h{4t?;~b{xz(P>i@hm<@0cQw&$hiCG4UbkYAjCtvk+PJuf{kJufR8 zz;pDMe-zsu=SBQI4K`(bK*^?hjnJwUzxs#?SqcS%wBLsBE&2P*k@tqbXsMj$dHMmz zx7&Sy9M9RfoqsqVNXK(Jo)hca6zZLh=k)#aSYpO%jW_?9|IB~YS=$29;Y#}7*3|z> zKH$y76ZeV_xb;8le~=NSe9g|M;Cu?;4a>iBTwu4KRa*bE{%8GfZ1g|-!=*G*UmW|x z*&hz_s@*TQ2K9(!>Pv0AK(f$QyTIIVfgr-3k(<&wN zRZ69~I?_3aGnPy9T*X>pKSBEmf)DH`==+nw2PHe^c)NGbe)TD7e$4RM87{BTeq2)? z10&Hds9*e%;@&y0mtHTuURJuJ?=|;&iMinQ((5JoK>c^)?la$qX8$$tMyLIUR-rCx z{xkoX|0;dW_u&qk)fWunEL|CfNGKo)ByUVk-sPkl0TIdkwM21$#BI{}t@di2YQs9f;j0*p9>=5Nr~$ zC4x;RcE4ad5xYyU*ArVL*v`Zj3bqTey9JB7cM7&^;T=);+AOzX-1g5S2tDGnQulML zJ4djY#Pl7z5xYXl9Zu{H!QMb@wqQpP)7*x^FXpS1%vUM3&R46}e06{F!b>l$mU%pf zvDWn@e{{(@LD`Du1z-P1C?LQZ~? z^!uVh8wy!!*ew&yz@EMTR+JRs#JvF@XSg*{AjQtrrdk4d?> zqvbyZdo#=ZhhTdVQ(Jx9KYfFgi(EsR6YD_MyxqwSKNrFABJA;yYU&&ar~)8+JAgL6i0*Fn=C$vU$o%jx`G zi{I8L5A_mV!jE=1J!do0rIi1#w4M(~(}PIU(t6&E-W2P;$0((49iv8dkkBJE&&i{d z#ZQXNi9Eu(o^L|FYFBRIxQo3R-6z(R;pD;N{I+h@hju4Tmk8}A6VvtW<&+V(Nx8UN zrxXWttCZV=?diU(B(vA#J8qJ?kvB?pnvgH5U(Mn>E|*l6A~%3#KlxCMIW|mzaa$Z??K%Vei!SH?U@Gp#8EBu#TB!J7u=o zGOS~!WF2$h?iH`+qr0B3)@HrQXI?4Axj{*;m0|_E!EWm_uax2(R`>Rupi85=z^0t5 zrE{ic>^^a><}_fJj*=`0q;cIy|*39{Nqq?%sW>e}WUp1f2rqrmPo6lxDbC%G`dfslk*+~8W z#*5x$IuF>TM#tBnim!MlZA*x`fWC$!ywQ%iz&j$g%I~dmQU~qZ8QWq%iovlr?K+EY zW&N-ph5ab77qTCP_k-YriCll%Gr# zIvh>I$I!m3gY%L^+_vdry5NXpIQ_-^GGNzJz0PzoU9eA%waoJcmabxy>Noh;^MQTo`cH7}hXVbZMUO`@KCf=nLzX$WP&q?~}oWy=S_TvFR+mB~p zU)xMvN7x10<^R%6=dk^fv&Lg&S!Y>S`4YDh!{&M9dE|NIc@%NcrMxr!pfe!uXW&e#%m&5z=Yiqex9e*@>@ke77=LH$t?mK;KKehc7eAP-0Q`=7+-whs$ z92G0`-=PaMnv1`i>>K81^RxNcd7eUsInR^N10WAECiuW- zpO$stgMPJRTGmy%t@S#~I?RPu^tyKYXFIMuCC8SIJhs%a`h#OGA|9t1-z~@Lr!-b^ z+-Gk(Acto@q-sCi3oT%Z;+!aD1cB_#jVx#@CwNJN8kIZ%k=9?-&7J#hKDF!9vIU zkzl3V7za^~mvRU-W5@Iu4UC7!DC-HLA3T@iSip{}3l=(NyY3UQUr_h!tR5qe5!NlQ zN3HF#`(Yk+dwe7K^b+bw&WGoGc+g+g7X4+c_H>RHa=Z{^ljGk~vVF~aPRxa|lEwX? zhk<^!-FO~(9yzB@oaZ?fC8b`>CE)eQ_BF_H+t+Mg10TGOdCKpAv5meOyZyF0i&-ex zuEf48*c*u5DOl9~j$m(OIeo`21uW{0=6ko~dl%qy`MtAf!@FFtcM!W+u(-QxgW^uE-Y&O;pbO>#%m6)_m>On8w^dcTSiXixjt>VR_coxKq3Z7MZQ0P?z z5#M{6**2yICX?*@&3kYD^XBbtwuH4a#_G;Y7nZREp<|of424G?bVPF;k z_bmAj@0wycI|jy?<~PLyFr)u27?@(*JI)tbP|J=0aPIcR9hhiAn_5uqd<9E@ZP%HJ zxl79#GuD9?@ZSuQ(t*$`DX(6B;W<1cPpe?Y(5B@JBXIpXwhT?`gUR9lv3#k%Vv8tj z0}NU!PFjX&AlKh#^B-^#jy1||q)iT*A=JoT!o$0Nj?TeEP?LhnnkKooitU6)J;m8( z3=Df<>lJcBfatDLAIK*56f7P6qp-=cGkr#i^xPrgOUsG7aQNP8b{!6>VYt6XC%9cX zw$lMn9(;p)!%Ysl^uQFS%E3}=SPP(|EpyTTL<+MfmDiF~h~fvMVTn!Ui({kt>_|jP zkn_;NbtD)P=oP7bFp8y-{{Ac3;Zk980L4uQmL2V*rr8addzeVFO^U|zl|xpLP<3r2xaCmIZm7zk8P*ukA<~u; zNRtO#3MZZHqLb^sn)x!Le6NNXZ+y}+e3vS+J7n-u={i6rZo3@no)6m4%%DST49QwW zV*f-EdJO`bssOxx3Yhm339-ELqqCZ4HnWRhOWlq(K7^DoD^wX>dHX#Iwk7oPLlIcN zNI>bP0`8dHH;LRzZNoYH%LjmUUt$b93zF2QQLSx+94<_Mss%HK)0q>ZMeSjG!SwFN ztb;cIF}(btr?Oz^Z+n;=UR(t6np1L4AmCL)iR}of^J)uP(0H7HogtuA(D6_OCL{+qNdQo&0j|eZRlHb<}-UukKTI zs(0<$XdoaURX;($?;s#n-v{gWQ_aND$->T-h?$X|iJpl_*u}!yn23X!k%)c8Fp-l((x_s$*k+y^ELU+l(jGSPk% z@pmf>QmlU@8F&h=a4`69TX1j)a2QxWWHj{O!D1>Z19}5L-jSVEZPC?#UkmoWbsZq7 zAR%^$@%EfOba&0`@NBB`jh=hi>DB!?nSSibIBjcdd(Fzpu@G(G9&Y3$0S-HC!h}Pl zlf2(^i6U0J_D$8hGCWUly?Z1`ehk7-eKZDDazbDH2t%oYud{B6$rqszGoL=?e9{Y- z4lL3g&{mgHvL`Jk)S|u^)YLL?eOI!uGiCiloZtmjx`qdHG@mxcRh?r0*-A4>4_vsi zIo;ZZz38B=Dza@+Su?8V{yF+qpNcjmVA6`O94PEX<<#VXvc}K>#PYpHF8I-n#}dC# z6?@Y1!MQuf`5S9~kZ10?S?%rLvOHDP=`1LHLoRPU*5^K{!gfZl><8xHu9MwmR`ZnQ zvQLam!d+8r0pHib%xPIRm%8jzUO^{U{-(Yxq2EoxoLU@(U4jJKg}ud5H|G^!*^a}r zf?>HI_1as~LYRuBr3ovh!;ID|YwcoS9U578w`$gxqr*|haBX%*=v|YqK23v_wI-Zj z#)bF3ZO!5pSXI&IF3M36OyzyTg3OleJqLMJ#b&lumY20y(LdiigriRra|oZ_T<#;A zu2ZO>DIoioYLyQrk|#Qy`8; zt$;%R0bFdMw+r~!UyMsoksdp|U4{7s#U?K?i>c>sr;#N^n5*Pv{>A=-R|j$^eOOVw zY9+_#>RrZ|9F;k>uN)f6k)v2 z?(}#4pLrK%rP@s`!j3+YB9@w9$fv97>_Nhg@~eGn#HS~*_TP=p#$k-gx!1h0Ju;?u zb)SFT(@?-ozx9Y^-~Ij2+OlPV?*a)u!goMP;jLaj?BUw(u#e;FejD#{dVIf9J|v1G zY~5JA?XaL~1J(kLIuPsVn}KgzT-9supW((N=);gg%8$0eVh{43q8Fg<-^Zb6M7D2 z8K&JGT7Rs}AJP(ddQjPw&Q5tOFI@A!QQ-Kp-*xQAKTYsgIjx@Ke)^o8Gi-ZFjXG6N zd*nQRU3bXk!c3dCzn{*mTKRZat#0$$eXZURG;2vcg>`OvxOk*@|Necrt2w{LYIYSA zn_Yh`hdH77WHL?tzl8_t2BrJjvyB_vi5a`V8~iPqDbtZ3%xm>FwMn zcIz?uy(U-dC32YFI=8dcPV1(RPJQgRmbTosKWB~11orb?%(|b$rZYP){g&xZe0>%8 z@F7XseX6ne11?;9EY7BN4!YMTHeF&|4s%?O4ov{Jnej2&Yiz_99=SSpmj_mMJWucd z0ng~mJAPbxi6_yLAOZY7Wd0{05>uY1E82@=2>ghMj^Gx7)3%p7PdS1CSD%3$A!FTB z74h1Y1n9_?5(X?a@gD0?S1c(KFZGCwitEz%lOiMhYwtL$OHfRkXJ-@-@gG*4zH>W1 zsfzFq5?@o`lp|-|!Y-$$>%noWaThD-%(DOzwZ0>S?2nG+h_vI{k1{l<~M8UICi2n!}b z%@^@H1Mkj`h$(^lt5lTk0E`jnBlh7h+WgbAzK^@-Wgb{P$({wV_%q*La0@$Yf1U|W zq;SXV%s$1|64R+VlTctEC zKm|mn;O@X{4?J9C7|^1uc+x&YkQUOwdP!kQOR~HvOJhlmK8GMYQ0BVzl(U=+(%jwI3sTgn#W^{w;u;5VY6W< z1Nued_*kK8#3VBrK$Zps5O^GAlY4ipg9FqZMK6Sw;*$(wNg!PVQtB6(=w~>X=SX5B zz`Gc9gjx=Kf7>#7r(X?|yHKEFm1{N9WfEm4i-1`fUyf)SY8zu7AqBtw(AePPiJ@uZva z8Z0^AdXpQ(G1Y_~dIQDV#q#n4nxD=1I391d82?^lG3f)GVK(n6Z=$)Dtm0oJX}A! zimlIc#<5fvX6U1s)k9|G+`IwdkaG#`+6B9f!$-T$JvxDI)rCtavilOVP^hSC6$I1h z=^S;GA`oGJB3452G5_)uzF{N4A-cG@zMuO~`d&Mis3O>IMKQT!9dOxj!GhVOBobBLVr7pSDSCq1&{Du%L#>83VH{a>mGK#Yv8cAP<9yW>LbzA@kg z>w5=5g|gxL4e!&T(M4kEssS4AxR5m&M6?4}u|u$^{``~zP6{;*82&8isLvdN9K*1Q zj;GtgBChm_R{RqLY%Hl=Vi6ARG`jVt(F`Lp;mIk~h>@cvN zmrEX`B)If;5Gw@ard?U5kDhz?rz|0+Jo`^3;U%Q_jAud+t35)fd98ySf?<-jW#Tt` zmcKuacQG7T)#fEx{t(&Bf}a%Oq5Km`gV9=&&cwt}Zc^foT0MCGOb&9WH~7^{?2yvMKO%cg?9*zZuv)6XoP*e z(@B5!!73AVGha>-xmsYdyO>@K&Q5D!7Ol!w(TrVatpH|Dii@ngDd?01qYi~TD(IZ0 z$@m4jF=p!ayJav)G4@4~$|h@>Ij4&K>ywQ}`-cOqq~RUE(S_ijl#m7pyk1vYL6~5z z$QyE5{hNixdG*>ysnqyv?thiTK^?+RAW04R68(R1Tf|z0XaCPV79r-xwZZxIoFk#W z=?A31c=!+SIC9Feguo@}l0nhHhJ*S(Hl*P|GIqOTF*TbTlNA3Rvixj36_$wdc{VZ{ zPzPj%IesG}Uy`Erv6R|rjgdw5b%S^xaUQPgDzS?JJ=5ydh;9pSPz}N_PO>Uy5Uezr z=9yCd!fd>r8oUyxoZOcrzMWJvIuLTIZ+JY^USvWFsQ775>~tc zzy#8y?52FP%DhsR-gMYOSceduC?w4Z8YylVNg8UI>n@HI8ns7Yx5LWq;Gt=C&Y}i~x zc{?Ukp5tXF=aEzDuog|Z5}eFaAqWxiY8;9(+1u~E;Oul~+0dF^yQR^Yi+ln^rwI(f zXhlF5>Hy9G?15=;x~k&$I#P$)dVW^*5Y0M$OqEEq6wv$16eZ`ejqKv7QQ|nciCH}D zlh8f?7jv%hM66EFD?V?>*ZW>9pr^691uh9?jS=Iu4o0gJVv5`-ug4@dH*%eOL4S2^-_;sHmM9*LcFCZOy=n%4}# zCN6-a-3=#}zBs&G6K}2Mg3_d^tFGt)CYtd|X$?G;{gcv&x&o(qib8M2>LTAZi@}iT zmtK^O71xRm7K{1+@(7R!KSM@z6Rp@v0jf_qAjxT=@6}622FUl#1r`Z(Lk8CRE8|-x z`YZJt&kL(p8b;nq@M1oU`i-qLqiZB$MQ9IE4!60{0i#eF?JgB2?wicnu(-T+^61^S z6e&EPI;NH2Q!HGWTF7s#@lED|D(ln%B2QH)WmW)kGE)F~Xz9=MD9~O14nv#jrdHuS zW;!UA?Lmj~)Jn0)^% z#u9yCu}0!aiDG^4ZNWN@F#0#lv8##3?z*5V2xat|qgo227ro#{ICl>kBM6bKE>9#q zFpr@OBukEw2}7l{PF2lbg}uaZKVIG(Sj7_uN{>*mQ|Xh3rWj|Vz>sq2y7(z1FY6B| zB35rB*W<0>gRFYO((FZDCL(7fHGkujd&vWbf>TOc#I4hTB}~HX-7|FwMn4?*CD2r` zMWHqp*bVpZs2l>V)yQhuaWysPHQTX?`$X(JgZCHlhU@y4P0B>3Y%{7wF0)x+;kkvk zfmDXUd|0E#Wa7s;KdeJE#)XjTxET#P`&nIEP2-@A^zgn43yVZT)~>=nILQbgdNQAf zd&_dYkg>x<8|^i)H4AO<58WY$wYI;ZcY97!6LC>eQywVYuZEM3fy(DX8n0iom$2rOphppnB*;lAiEH=^{>5P>p^X5F%n+W6uILq(@o{2 zitSv;VYu3vk!bsl#QjFRS{Nf7iIa)=u3#hAz>#ZbMp%#@u%&JHtH2+BN{mT=5 z$*AxjHi4!f{!1D(q31`PaN^gr%NuJS?NwvpEkG)&LL1GQ8K=f9BhVlMsZLq5h**fX zK;Z{wLL*U4C>D-l0Al?w){fOr2m)g0)h!P3+4w z7JkeG^su`(W6YIVaQHnr-k-Qn|4r)kzw))X(ILoPk$iA4rr_Lgzo7OD|6>4`vtEB& z>3N(wr43S@E0#cTF3}QdM=)!ERzKsA(#?by25H`}BqEdV3CK+|GDX;_&V>*{Q^RD@ zipyayPLl}>qy4<+{>r~J85pL{^{n5Yf4}5teNR&(<9SIMxZ$uuUBGYNM`fFfFIJlW z_1!X|;^ggcOefVk1@^OeOVd-i=p|5Ml8;TRgt&Uo#VYJzK|6G-tb?U%`tk7JEcJgO z$KISm>6<2#Zzk4~)ktvCr-*dmtfhlKsoShFSkXz|3eY4co@U7c-O^Nexe|`kv5j; zBiiDxvN;*rW~b+Xb)GTz3*|D6A`vg6I|05jnLGh;n_)WDKhQHpsv>@8Se{Xj2_g;Y zc?xR+JOdTSxyy4M?&gPIS3RLInZu}di1|rB{mzK3!&=7fFORCiYNUok!)ohI=zawA zQ{3zNDhG)y6*)*O`na(K0F!cPU9(LuRAg&Ww^^TrWx-~<3#$hu>8$W$RMv^j=!(7* zAE>;dqj-2@mSNEi)p*wY(_Wh;Y0_Ra7c0$FItkSjC$W`PS+1NQyhg>UVK8u1-+R}e zk|XMQ>sd28*rYH+?mwvQtb_n_dt?3YyX43!yvdC!b4uh3WyDBu8NwpATEsHAbrj^v zgEKuC6N05`cPn{%S1`-iOLGU0vwGJZL@r1|BJCG|c(LrVjn3lx5O>U=6D1SYUV3sv(JTbPZ34kNV$w3u>o0xyPNxUPmC^)-*1w-O=5aeePv6`=#fdI~vxn z8!Dmj4q`)1FD6T_M3eu*H^_vX)&iB&B2)nD#E?0roC8f|7kxrLw{>Op2T1kP?2{90 zcBAQzw>5t!5#SJ6ruNKXg;450+~~%ODQLqF!rcN%{(wMC?WD6ckeQA%(3hM?K??H) z0Id)U#Zpr%7XIaBO|8bS?xS>dMej2X1EtR5>x4(+m}rG-(K3Un8}YP!t+ zanG{)!#=ohN*ADIjfQ4XMI&`E-lp{3;l_`?dnmOPlAR-!DHajl8+H)R)yh_T)*!_K zxFMNx?57!BOUWQm7^or=nt?I1AH%59B*oB+k2C=j({0konPgxn8UNNIDI!pS@{^tq zF;sd<|4M2w1p&r==cb>qJJ1rFJ__&%C5i(G6#4Bq2xpBz{Sao_#qiEJbI&6Xx}==J zvx~2%a*?o97U7AdH>~`oY$kN{iwS56o!>_+a4~}#{!vf1^#%5&SUX>-Qole$7>~S<;2eoP_7|Cmt#X&Qt9KVTazbfzTT~MzLlF~Sh^t5?t zg1Nq~tx+L;WMe>(10A1jbXCCYGn+Wi!F$e+9`!b&GwhasMM9=GzCOr5wA}TKyNze&ss3R`3JkA z(WUNeFD+RIyABob8bWT9E-mp3jV$Rgp4kk6AzVOXs!0l8KsPt48d7e^dH~1^OWac} zDhMW+`hIgbjYhpNg{86qXxJr{x;brV$&4b>Nj$b0<;@S%jKH1?Qjh_K9haMfM=XRB zYhy@h3gek_D7eP&RRfVy3E#qF5UOgo7Iv9-kCYY7|_a~ zBL|qxV4QCLEUjIdL!td=NOd zt)7gagmI!20uIy`6BGXW=`rh+lQ@$S7F^8+K;Lqj1KweJRjtd&DvtL5WebGC6`4O5 zu#r?0mN7`LGUg`9so|5lZ^mU!OzA!1b|xm-7gN7g8evcmMr*Aam{WBDh5)w5jWtWv z_2DL9E7rhLI#B_X*j2{Gx?!-j0`@l!28bzW#6fRCe`G*E9ddU9D%=oi#O4q{iSiW` z5CMQFp_Hocjn^sxhzed>GK4WXgWagFDqc|o2ap1!KF|;1XDD+mO^hioP&wu%Y$W1p02IW0xJ1uf`~XkVDg~ zemrO6;Gt|wG?Cp~+;4nK&u$1KiBxnT-_UYvYl@^OgY{KIcT?VCiAx8lMx%M#UZPR< zDoWbmta=#JhX)>z-0L=HvcWs}$iouLk4!0f+MReF#W$k)lj5nDH8Equ3HIZv4g0Z4 zgMhTNBg2XPVH}lBTLiu;0IOl093HFWu z(d+ZD!L8GA=j4AfV#YHa2+FGlhpa^}i#TV~0o{^(92njL%bs+b=Or>_bT^&#I1k;_ zWzMle&TBRt)ZV8{0m@F!g_67B=7DA6P1*~1`8vIFf6WiQ65YS13KhN2e6_{?!}qh{ zB~Nzhqz1dxWTa;+ABhQSS@GFY5B&Vc**};~#&Ey$qNlS!c)2*UQM8fdjVR$GLC;O({u zH>Qm0gWy~)Y+vaH7AMU9tzb}3B4cCxtKee|3BbO7Co=}n=%=R^=#Wjr61Il67w7ul@sf$r zL8%)werA||X(8HGc5yJ={5IKbPub=x5~or7ZMlfI$akNkeBVJR#tt15sD)i4d>h<7 z%zS0!F#x2fm?({muEXl@j;K@p50O^^*_lk) zRE^2z7dV4V_kM8T@0MXES;bviY1TIm6hY`2d9b(h2a3|Fm>!KKt|jZ41uP(Ig*g#v&0pwryULI)>m||^d3Xs2jWChv!&U71H;367bfW>f!00Y{ASJ{?n z;0Ckhk%y0LI(7YNd14%lHn>JlBTS4v_8w%{<6yTMc9ZIoF-@`w#n@9&WwJmOoU#q0 zJHAt_&c<=^7_W84Dtvnwb`xj#$+?wXD;!{^Yv;v=z4M!}byHOKd(e%5;g zxoG~)!J~RwBT{y)$DIwHNB-Jm7ib_Tp0rmhE}r#hXqoSLo(xr6Ts?_W9>XCEMjk=` zzwrm~{=o#}Jg(WI;#o6=aKF*JtD@&{YF(^`n@4E~nZ*9+M{}ym&b2g3Q!81-UNe*f z9fa$ASmn!HdbWm7s!|P)%S~lu9l!UR9E%ixg2zNKQnZyMIi4m8)`{3f=?mP&akFW25wzV><;!L)QQ`HHLg*oc&%pXxZ3)jN)_DXz6t3NB zp$^?eanUx5UJ>%}2oci*kf*Z@MwoUcw3`e@06F;TQ$9@81D4vTX6Dq(wAw11G;@~1 zAz%_j7iWIcjr?P%v#OUC-QY~pM(QLi^JBum=3cOto0UoO)ZB=g4Nq?q4j?5#I&@p> zDf1tjy<@UFAWTNjS|tqX8}xSBs#4F{XjHA1CZ5LI&_2ocBfcl?wKEworLG15u#*~m zO=J^+QiJ7HRfbl{%Mn$3wgR=PSu;lX(VA5q%xlO$ytbv;4Ab$*x2z{-mkb)RgK8H4 zU1zF|r&O`w246KZ&x|cx9Z)OxcQ--S5wG10Km&xX{#mNU1QMnQjMnhVm*KxI|CK`& zcBdhwFT8DQ%F_ZgHsRC@GCvUp-3~tE`Y%=gC;n~lSt%?&#?p(bf`-U!0EV)2K)Q%D z6O`^q)I@G9$_8fX`eBNbSv3cyM-75nwaHTn*;!gbrorT7WV4##+wds%+HU|Zu~pw0V1fn=n&y_>nw#{1O|CsIsZ~|gjTiDYwO8pi`Yyhz)|;`~2xv56 z+*}*&><OST3zCASW59YBIGzG&k4ORL@i+~&-$o?;D0IA^XO`*bRID1!#9|C`5QdCxTMk*Rr zB+Dx(5bYj^Jo}hvaJaSe#kwFJan=Sl?D!{C(Od-kySeni1>T**rD)+aAen!vb7CjU z&i*g+D;}%N*By97nygLYVBvODXsg@r)JSyLhkVjP$gF}r;TU2J(6ML)AH_5c;hbDZ1DHcQ@(vwF zIm;H6V(0`$KWbZdJ?!Io{?LHiIC$f)UBJ1-0ENhTcy~Va)YPf0b1T0Pjvj5Kd`MQv~Hm$~Vv2f1TGY>WWqLfPCd-QcAjWN3qN2plz zSR2nGET6DvvMUWnr0sQ(>eqQ6B{%+HEgxm#vJn&jtEdP+%Ya6nT=PZBKr6ALtzp(vvhjZFg2zbjv z;p~sy;T4srx)cH4lS}fQ$F%sb5yE zRauDpQZXeeQ1`DrtY>AP!zEZyp&8{==faM2f7r2IBqFkcdSd3BL!8;0q@V}-J)Q|+ zvVoSaC=@izS77Avek4o6Un)@alyhr=ks{?F_cb})$!UYwS^QHLJ{_nfOUKJC@GQ&8^^;zx zBWrGp9$;k>T(91PbuIAK_+KE1Dy<#c6E2L0BF^+rm`p|ckII}P_dN6cz9Ng9IiS=6 z#t@tXSrJ{&0#rqLrC5HK>I%-W>Ur;oR!E&Hjgq2oI48JNR-8|A2fGkA3xJpR;<&{Z z&whch#Ev8JR;YUZTA!>pG627B$i8w_oc9hNq2|FSpJ7KJyLvK2RfQJp(dUD`*4#G{ zn5bhIt2NE~NkB?1K>8}8DgQ}0HqjaqS0U(+WROM2h}fFmUWgXhuAwH>rtnb7&oqVf zA#pPA@TKM*YTkDHcKM9v>=O?mmk>n_1-9EnkVgERrHjgo==now#?yd+1Su}I9oDgU zEDe7iwF>taH8szrz|fAM7BvkbD4IdTyI(~e@vO5l%h3w=P(wKo0u-!d=Nzxy-~^`U zhjDmUh4GEKLZb-;ekqZx`C16>f_eJ!2QNn4Lv208QO+pPFe{K={T1m3O=mZ&n#j9d zHr$EhE=%G%{wZ+l@9n`xX2-vjJ^d=CrJ2@wcNqFXkE-4Jh}}DyLsHPWY}~`XaAHyQ zQMxa%uw$;0HMJGqnhtqEOQrGrI>pQh&go{xK3IV^Xa>&eTO(jx8R z;aSCXv;(aQ!MDPh%|r3!rB^JKa2nY$RYJ}U4uGTyUMy(I~?JE_D99D+DAm3$5&Z2-u3s2C51g{RdIgV~4MvU3i{8MyO{Ua@e>y$o=p`n)h% z&}UX<+Zl-m%c*TZNjb^MNnsOqv1IM<$;_DyKo#eZ_twF$#ZU=zhG@6zA1 zhkY%{IfJBK!-d)ooQf zU=uC<^=&nQYM`iR(b0xTR(1N_!cKqgpwhHVRy*km_5ssaEl~ylCfIDR#H?c*_~Si# zdD|!o8UL>($E;h1No0~ASlXUOMMUCYC1b@0)iKl^ zxVNM^D1odB2et2hf| zPT=8?kd%rvWR8XSg=X#njqHc|!v>S<+~vd@e|Na$@WGy>@J}n&jQ};do>=1Tktzb4 z?)+~BZU-wzBN40NA)({_=N%Z-Y`|u%qWRCL_(gGlg*(M5`3MQ+>f?LcBB%JY+(}Ql z4)(P;-?KBntxxeocG2y~R#|29FL#%C)umGF5k+JEmQzyyYR@^*Y@u|4oG=|yCCY_9 z@Me#3tjgY%opU{-!>ymPnFm~Hz5_5)RpA`oWnl@bfSoov?6}19`-otiPZ5zY#t1M`;yp&fqo$AFV}%~8lNl2TsEK2MwKU_S%Vahd~i$cpL0i(gv$O$}N*!Yzxrcn1y(Os27G0E$Lzb5ct5=H8b zazxJ$kG%}6_n;UelEnUC%%bh(zomyS3g7L+)$|UzQ3M23>1>8C44&V0MoH$pI9m{I zv0&CBLh;>#ck9nPiA1+qyr4KFF?fk$5G$*unQE@ z3JxcPk7~>!&!yVsc0kaM!+GYS*K=z$IKvr}W$@t!Nk3)xzL}H3u|2J-@0IdZT~>QeCxSPUIF}m#ulPXS=y4=}5$6aC4iFuT+;;?WMPkn> zxo0?eLf>stb2Bz;Fd^Ic>e%(Q=jq*Z7)eZik^8S`Rdo)ed?e}(FMzSTuul* z@lVs=EOb=dGfBtH4ZDKNQnzQHlT>D!@6Uz36*W!c3bCp8%A_ZLb=aTU7`iAQxswgU zbEBuJ)kcQPnM8+dhIUpx#4>#C4pWmdSGHI4tk{0k{^WYyWfIG}N0+t)+lzVdz%8M^ zUwWKjqn!Hj8FMWpKs@g+;~C6vL8Z5OoCb64i0=v-8pX+bWN>Uh3h_ zd^)SAb|Sg*H@??C}`Lp73%pAyF#1n`3vQ z`Q`t`+=>Y?4A?;Xuatg?VtIf;Xn9hf7pCr%FYN+~NWp{$aG%f?eaSbze#EAzUa*!u zgAlsO5%oYE#qvluPJ6p1P-PF-h3-V|ll7i*vcNCqgq~J~T1tqx1m}B3 zQ-$A-DZFKoTJoje| zKL<|MwRyw9{bNA&P#tVr-*s!>j{cR9?19t};!8{dTN*JFp|4d?@z1sI*ercwLpMH~ z3J9IH>++{UU-j*WyfG9ptk%3qC)>b_=_9rwtx|uUA z(bberp)qncIwLi;JqELaiOH@9y|CDWgh;Uo`aPI}%I8zogO;+;NB7el$W{pt|vx36tI2%m1zC1?+ zGmeyh@71x>lxh!H-x3GE1A>kcw9G#0{&iZ3++@%cO2<7f0vV}i7Tq%pS)gD`c24=7 zZ*QlC=8BW8G=|X^?~(Ek^>nqA^A6@zMv_8^aX0AMUlz~jm1WO5s$!}$ zYp}xiHiKabD$1Yx5>U1L_--wUgLd;c;{qrh5F`>Ztt<<%Tm?SSv}j_~XCJUiGtMQ6 zJ6zjl#yZtyD_$|q79T{@cfDR^wax-{^2GKpXe36c^1ogDKjRHMRAP z^NZz*zZL|2t%(3w{LDs3*%6O@CeVck)i$+y{nskoNXjD*`I~~U&o#`_xdmAVMJhd) z_WI**FOqInxgYUXk*{Vu(|w3KU9{ zv-WuD&jrx&_|bE8sD~n`2Q|9vo0xz3bBxs{lE+i0fl-Y-65*SO_yr<-*L_`7A`rFS zZC4S&Z{{8ec8h5t^(9nMNqv1P#dpNBb%@5RcUYp4Uk|VPIn=!(nUA7WDU)f7*p<%E zDN~pew{HHzo~4Iq7Dc3R!e$Io#vlC)QNC+t@pbwxwY;+-26L21zF!nt?g7~^Rxs}^ z)QgH8#RbALf#1TE*%3+KkM5j1%b_y_cDgxgei0Kc-v6aqNLm?Q@Ei}OSOJ$Gbi7SCO=d4C!MJ*T?ovQT^!g<$U< zejZ(_V(cp&rV6BVh2F*ls_lB%MvL5|DC-ica`SxGf7}t}SzfsbzF-{TRIzl`h%{3W zo0Ve=twO2Gl(HV1Lpah{2T_4J*lLg<5JlXY_{;vBPnb=_mq@(m6n;_TUcIvlTJBTk z_8?-_oO5i1JLg2<{Y&&=OS(+_$XYQ%tQ)a&9YW z0t4a8hu&^!D8jG0a6MW*1;a3HhTn?6&ui{8mvt+saq!`qRGo_reb`5p8zZ6@aZX(7 zJyg+K+fL=CILC9?5s*LO(ZfMAC9(id*xi?L2(}hSXxOb&@bXV<-*?X+v+tM0(Yd4@ z@7-l&96>YMeay^XHBPXAMWXCYB3NC*EHA{ooqwJUACny*G{t#H)JL}63U?=zv1J!7 zO_g=&>O-8OuCb;LHHN85yYy3-euxv%F|}>D``4O(4CKj{u4#Hc`XbmSswCIF5AG0r zzB%qK*B$j$*M>~6|9xy0?Y$Q2R-id1&{EEG5iymPvkiQaQ_mus(2wp_tuI9B2Ggj8Lr{ zuJGXNXNu~_RER%{<%=&QRJ*Yf9^bV6l<%q`vT|{2OIAivd_*5MNhi*3F7fc(i>z_6 z!Iy(Ro860#>Q)fTkAR@zUV0iohIj?+k$DJ%Xz8mTPT#7J{G(7~!Ttt~Y?Rlx&i=BF z8(ERZaH~PoL|l!X?viJ)L_6egiL^L>8(E&XWxZ*;&X@+_rZLg_kxaYwVmO2!U2yLa z6!>o|+#P5M=pjGo-=1bgit3lit-vtH^Hq=q~+TbY936UhA6%P+dp zinM=i*>+mxSa-fGZEHz%21*`v%&e>{u*Xln6n2cX#2kv|+;-D{ge&l#chf(OE5NJg zaA?;IOd30N<#Ws*U9L|720kkL9$UF)&M(tY`_2^*btwHM^0p%SgB3owiy)_|yMNtW zA5FMcJ;Fb9ADxC}b*y6z!FKQ?8%ccp%auVE2H}er!F3FFLF6AoH-V!qctyYFcbz$* zD5~HR=Mh^g`dt;Hf`ure-^<J}KRx!UQ=L>^d3s>;^04#(I&6*oT3@SI&kAW{JE7 z@qowmtCmhA31zv#e>n)n&&jBabGM=$e)nGWHe<&JNEOMcu$>{CL?Ujqf4bx5P(hj{R+RwVzaUdyJXSpy9= z*4J9{R;7d+$RGObi7Mhv7em~+BS_HqYq%m77Q9;(L>}iAG2(8J=dj+Z&JgcN+)wv_ z@D(lC2k^}qYE`nzYzDA*< zL3`vP8-t#*CEuF}eb)dZ+e>@Mw1I-}x)oT%tugMoeR=k}1Jl0@PgFA^L*L;$uEFFW zT9sq&v))p$jbsq0D}10vj{d;kbJ15K-iu=$9B&Z1`~Kd7gih#~h5BV*vFNPr6`rN4 zE`+d$jTER+NTeGpO}p*zO5^;V3|P%@vnf-R@TEX%fi>GY%jdjXzk(fZC7q!4vYhDl zExy%VB&$^rdTB+Mh5{wmNPbHjUk5S3a*`)DYDNwG;X{)h=8+!718%B`ZqPL18GfHzW2H z&6yi&Vg8U%X}n@+W%l)-Xk<@)$ny`#_Zbpv^!S>prly`Z`UCuKJ{!bSRBYb&6t^@z}4>W99Qm6&OsuoU<-Ui(%Znodr#B|TjtLRAq z&6ruvOtH^uo{CY}*qF$QQc&HW18>CjtRvbw$~Y#`;Ly4LjZM$Wc>y|~4w`{pWK>Ftv+Y~$b8K8N&Ww7kJ7)wlg+(zKh@B}Sz8 z_z>v4mEnom2~D4GV(Aq#(DilucL$xP=Bk^@=n9?C-*X)F$V}^7l{Cf?JP^-`8uG$0 zc^JTP;dCO_%joh;BBBxTqyrn5l7eqFTme@F+WK;6c=aEuRCc+f!tkJ;9?Ch6oV=hf z{sSB|Q@u6UNarA*{f&JJr+X$FooE+$b4<@mN~Z5>5>)A$JFsJeXvY6%%y6F-vFilA z`PIFXhORV4lFT8xvN) zLoXD|-{BftgBvFti)EP_IIv^57?9bB75X+;*Du;PaupM(48wPhKFwUpL0d;=@Y%cL zv`3rk|GGbitqnW5UcF_><2y>MC~{Txh$^|f*jQ3^>A_!a*7tP{==1z>=IsIUZ~pcf zF)JgxzKTPBu~crgACX%qORZ$_3cr3DzjI}M0fdcfiET7W_WC5KcGb6JH6KPK7Mr*B z+QAiu1KaUb+@%U#z=OO1wT>iJ+Ih9C*J@6BEawf3``TQ(rdE0SmZux7-h_$uHCqf1 z5Cs>y-d*WDjhtYG6=%wIKtS7!WdVZ+X?3#GSq)C0UZh@R?fzvd^d{9shV5D5ZQ!~{ zO^-p)NEj)5!o>b-fxF4i5q?Qq3rG`@V~4@Ih3w<&TA=^{NcY-YHAL9rDawX>=~SmM zDVjWv{V*}e{H1?M}K1~7Mkg61}waw)G=u< zB=;S8(h_~okG2V5r98wz&yRyHr|*?HTo`a2y(u!M2lSslj^(D?_FM|s%%#MV!$L+( zkp^Jz$lkCn%DL?3ezv5>Ld{M4r>-l4Em?2YG7z#|2m8feVBmx3Ti| zJC|ge7q@FajSX~QNw}WP{+$5{_ZP1+Kht2p+pk(DlelP}-kxZO*pDa##AZtPGz@FZjxTze#e3#rB_flkHK_hCn#WM@u;hdpbRrgCR3pf z6;bB2=VTKe9P?~=tU3QW%+mKj!3Jh3t4`e8lt`@wP`4GA4Pjt8PI2x^mVW@)ilHm^FI0y(u6!OIeZ`9id>*z8K()P~TN<&=cyfnZ_I(|P zR-hg3c}~W%6ECyK6A0z2X$dR$xUE5_S1ARhQDIcba-~-m@t1CdgbzIcb=2^~JuG^~ zCGnBchLX=nC)+7=B#I1Y^;N@~18nkeKsGK@m`he+K&=78-3L{klG8k&v}JL&dAw~r zs;|o|rItXR6Y~6f7kNnxe2d^fU9I8cQ2%!n?OyM-5ffcqPN@RFaL)C41DSk8g)~xYNRzHozWKn?3r-x#2 z@?(OCf$r<8k)E+5@xU?NYYYQJKTf(fwvZ`FmjPI){yirOj_sD~S@9bv9%_Yxgm&;| zFO`;^ygG^{H>%8-SVoXAso0L*6O(76z6LHz(W7|#3NC=8KZ7dnazSBa{!)i)cz)+QW2Ro`x1mnYcmR^yo1 zt3aCGN_pp_v@;^3jD|=5&Et34An$P0y@=#zwr{ae@g_%{$UDs<|FDIB3x7%UWWX`G z&VCI?#GQZZl<7eKR#@!k3_Fae)R+fN-?6tTP-haq9(A7YE^yC;hUDvtgvcQK<{|D)9xhqRsgMH zyyyXC;)pO#KCFy^17%lg6z4%0_fpl1;7YK?F=J5M)JKEOIANzX5!PtYeXiGDS0k51 z<0Cf;g@N}nn5oU4`95?hK3VgqNhHmWtF`9LuwHNe7Lo^q9Wg*EAonF(f_IQk!Aa1+ zZHMK?ESG)Xln5F>Uz{83!Y9j%H>U4w8K-k1OfNdb&yn2M(hd5H^YUV3V;{ODx`_`S zkAdWhWNXxzOW6iG&Qa2}0MBJwY!Kl}ucxe#&{Ar?<)bChVW!_e^%)dj_g;Rd){=YG zz3)fDhhP9&VH1zl>Fl~=42j+QGOW4n`v3#rHt)jxcsvPt)6a?BV=H7_sar3@7MM#^ zJlPMVjy4|UvN?-!I(cfG@e~U!d#N}%HERqbMi(R>R~66A8=RahYti}NXqKeDFJ9}t zEB)_ddCk>-?XRl82~wzTcE0)g{49i}eI&xuFTO%1Z(y0ywZC^vxMUD>;n$iNC#hBA z(Xk>M^Qw7Z5Nl?@PhxbnBQy-6Cs#Z4E#Z%#@a+Man$(EFs&1jI?S+*qxGcQKVy;zg z1&=g)rr3-{^Q6YcdH>0K%szV~WLqTnn&Q-C)!NDH_$gZn1;^BjqG1?td#CcL zw{ZjuJJSZVNKYd^+UF?d%T9?`D*ioeIl+vyXbxAMN=S2Uolua@Hbnv?*~tH}$XkrbnP~&9`d!h3Yt$ ziR`CUhtBRm=o-#$9WFlB2NF;Ay1LQ_&1{3!3j5Rg=IYgG5||nZT!tj^^}L+ws!ANN zS5<|hcOGfPn*)Pof$v6E+#S5mTxOi+A&NSWr+pA%{WhV;pTEAHW-{{T^7i7>q`#6HE#V@p6%=m`)Y>+_f?jdQB3E^5_NON1}dp&(5yg4z29ldjZ~f zB}&Um+xkEGaT!PF2VF(6a%(T)tbKd~s^}_^2Dh*JW_Hhxt=#@zad-7{_G&J!3HAJ~ z9KZlrGlWw3H8`C(^hSThPJ3ci^dMQo=IPgfVpTZ2i&*9MBsP_wmx+P7J1V`snvsh+ zhas5(>=NK(Ns1PZ_>2eJ_CwN}yIYg0Y_p|!)w@McnzPa<%aY^0>$~xaP3_m}u^~`; zlmpFzN%8|>04;9)hYwE2z}n)G_G3AI#jr;U>zW~=V4@b~V@iS}4YA|_V7xYbW)r^5TdfTW6nutwd3e0X z878k|wST5x(EMvTbf>9xlKBAxV1uIL`#GPR0@JVH+MNS4(Gq2Txs-v&`mZ)w*vtl? z(QhTBgm{9%+bQAr7PovK^MtmEct@IXu*n{9%S3TmRwdNw)frEq?B$LpP)wNc`OBd% z;m@AT0KS?EhUb#Hs?eL}wO&N~WK;TfgOt$?%^9Dujjb`*2dzmi$V8RE09h)$I{um* z4`8>0@Wf}(%jPP5iZ8}wXjiiQh1?W*cV0uPep~I0Ww-~;TWvD@E8*jAO`=cbfZ#(Z zaMM~wGX+bYHp73~s!VD-@24&#M((yXp+Mftctt(VXNfy4Pi<0r^s&SRVa|n8HaMBC zqygn!V`0e$Su`BoXXp7GekC$$4>CBpe$hoqKzX&I$8qan8mU`n_(e;u39BHFLQ~ZzqE+SC>eJ_>F2%l!tB`EA2lq>N&UR| z1`)0?$2`{99PoGFha)ugUOr~?x?&0DjeAR;znWzoksL`-#wow_FM`;l((lmOMD)s$ zV-l_q5VRtMu@g$)wYDg+wEEfi}33(2$Aux)=L;}Fm?nWhXa4pneRrXSr z?bmPFHU~XSemqW_E?V*Jb0?tD)D^U(?R0w1>CoGmoz+_JT2HhV&&Nc!&nj53ewIZW zCa1dtwHmTZ69-;&zssr;QPgr&OGs7l)m+EryEPVd`vrz?J&*U*I%75oAVOzGQ0%;R zXKm~_InMmgO;V-!p|hxDcbY;e^JQ=~06x2jiw<#H;9}e%PV=)-mV6H)H3`62d{$}v z>U&m2Uqy|lQt<@me0kxQ(tVveOg|>_xeVhnc^hWZ>ErO_g%&6e2a}H7NR#V3D9ocj zP094H%CMSr>@$B*H!^0BszY3gvoFN<`y50WOs`B&9hItLuRr1_|s z`|h*fLzG_y`B?REnWCqV)K5RYIWd)E2~2cXED#eGLZXtgiTo$_ar*}cu>k@ZNA&!k zp9!vyI$hX%1LH%!rgFbN7_8v*fikxkssYehj zjZwtmj25*D%F>#mSjGF9hRP2jSsv3twia1Gt2tv@ZW-8qIfUJF$=$8AxA;h zM`(qDlsQSh_~T6&8*=OT$@jGd$4Ru=6Jna@n>ST7H62;!D7b0u>0@1^vWb6a^0D_( zyRTi(yO1w2?rwT+zN7!ve`NZrHiw99PH^|CV90u>%60iBf6g5Wb$>(H)@1T{MgH;! zB=q>s!8UkJB%}9|E#&I9KR$BX`+@Edcl=-}W>MEF(zl;ig-)57fSWMIBzb9l+Izml zw0;sFBc4?fTnNT}dHA7oP4l+iN2{)Sr~Pc<+a7L-s@2H;5^(*HGWn{E%1G z`Qsp`MtyfNB-~7zCo4ZVF5*x+BaF;SYAaQN*$W?|U`HVyB*0I_(1T3sCd26Ru-kUM zUL;X3s9_9AmyekJZ3QO*U-AEAovr3U|2yEv!RvW@{UCo&PK2vcmZP7VjnJMgqXO%} z-no}7vpOuV!db3=p5FhKGA0=Foiw(?ATRFN-Gs-h>kDPhEVY%cA;fb-b?@hy^aEW- z^<;09aI4{M!&P2av*AIZ$1IV)KOzEgenWFYI)g)hwZRatt9Hr8*wg!o=Enc2wwcf% z%h&Hqyl$yp#rN`j9&TI~OY{v!tWn%4=l{5mqUoe`@MY?UB#J%~CWb9{ZQrllR9R8ih%|3XC^k z-J!KR>c`0E6Zb6nUOvnDj%fwCbbjfsVeYIOzESCL>g(H6A>(26NCS8mE`BiK9q3Or zE$Uo;YJ*MXwsHE?%}KRb0Pc9vCSSblBe<=?z1W~!^$hyp$|1u%2#Km~OOLuu^4VxE z{xOcizCWc|@2BIIA28T4&FKuzA0BDWCt*K8se4KtAX19%#zevvJdnryukgMV?N4zg2@dOP^!-y8_KeM4%b)7O1bf z&(QjvoBP>t|@ksEk$Y!}BHAwb~BT%T7`i@c$hm6ZB2Jn|MfFS%>aFo<>sH5)lE>O+M zsXfly$fDEvaX82G;98|i=IEL?5#KRJ)Y_NFbVKxG=q3`Z3(mQx3np}}Qs!zL>BWyG zjVq~3ga&&aQIIql)a4dq)$s!{9xKjVgVzb+E${Aadbvn1gV8-5tjha(4Bz znvRPv#QJOV_@7b(*927S4)vsAwK2!<*y_BxjQC$=18T2bk>|=nKk3Nms z?ox~0sdyluceRvFh0O;aajzH^ef(+;obA(5v=7c$u(5{MtL(-_FF0|`SEfv<=D|v76>Zu{ z>IqL3VF_16nD|rzsT+niG+B2ak;b$$oSPgO%Bq@y-(Gwb%sE^#>6i^9)>BMf(Y{^^ zH%_m)uX#0)Okkiv_dHz^49#Z;vuWGONO05AJQR$4x0+=i&%_k-u`bU>JqmG5+1p7 zfI4_1rh>(or*@@`Nu0pg=_)Y|MwqITbir-nG6q26=rLZwsE|Vt>lZfe5>VsFQsBZU-Ne6F&2}5NXy5%%v2b8#fR-m@3^cZlCfTA+Xc%l ziwn?X2Mtn>*!q)i0P^k3Yk?6f7=LM7NOaOWf}~@*^ft3fO}w#If|hxVM<2pqajIzM zD5U_}z^$!X@$b*vel8KEX!=*{sxQO|ws60sfy`xqm>M6LOF*V1-n|Y$7K5!f+vk1F z(fvq~@^ltabimEGvFWJa0Q5oALWl90dMRSLuU(DATkk@|EHp5sM{xU76e5I1 zF97*(#`vUleSN{yl{I@fhtox`v&d()wU%LE?pc5b+=le3qIkcy?R<7_8^yF~*rq+Z zvh8aJ?Y(+dKT}If8|G%@%{P7%a=jnCz8X0dO%@fYcYkx9p#K>y7F&FA6yG*adbL+u7Zg^(~gr)S_3ZCf(_wOYcjey#C z0H}n?Y_yhy%-`_$0mD&+=>bEE&4J){aKGHwOPAr^*vFORph}Vsse98wdd#jCU-LMO zH)6ux?byYZuUJ`H_TmoPBY4t$O_KZ0oExI%aLryO{b9Qpr7yjW<8b|PoeZZ&B`pgMKH?nGr9QunzqBsqh=e0|!gLt#)A+;e5m_W~CdaqltL zyZF3i#c$zV*>BRZmf^iCzro4OC%9f0Sc(*nR$uGfvQwz5a9xs7aD|BD@F(CIoS@>o zY!L&^VI}Qccz1mpz@#MwG6Y4pi7fdT6JPPjLtRnw-5Zna34ErFGmli`vv@&Jh$+dq z9rH)S#5Shi4-Rpg!!!qq0u(CgkI7w(iW;-UCy|~MI{_OChYozj`qo$@k_~nP?I-M| z>~v?W>BPvhGWWwjHH^+*PY=q{;!auqn78=)TJAWAbiEO&3IIGgqUF5XcpJ1=YhQ_R z2T;}L%`6fIs0ZUY2cgDwZqk5OdD-;BAT)-CKfZv5%Q4xmIoOw&laa!uPxAr0JKixybj054< zsiY}SW#PI=t~gFSRtM7zG6Rup*69;DXUN>E2u&Ds6jhBcomikKF=2N~%DWa^h&3i*kYtlb*>gsyF z{KwlS^&a}Rx3a$aPD;Ih@RuFt{vd=k#r#|F>OR5Yf7gqjVbsowidY4c@!?%ihf&;L zX}Ueke$DHS$u<71bDr8m6f|MYVPO!n;lR=KgpUWT7` z4#d994?i|jqG4y#Wf;t%%q*5)TA4ZOTY%AdVLsW)SAcY+k= z3|d{nY`5iMuDzauH^*6#5wf65N-+l$x@I@3+4M#!S~3{U zpE?)4gIwqh=_EgiW6kM@JBl_FCq%3YQ~|h|7Qo>vk#0%SYG4!tsqKhOnq~cxny&9M zE>>=TgS8*|NI@Z>pjzm6UNvcsmD?oE_~I;JVNVd_=23mc-(qtR{2rcbcZx}B7^4t1 z`U!_$F=Y&|c-!YEiVtE+T5FR+-)NXBOXOF*qalNt6nb5OLqAQt>o}9?-Dj9j9E~~4 zg+_GP@84=|5`uTDdN_mgF~^e$(LjgotGa#T4qxI4dFMm@mZI zHx^LL0YCUDi_{2~prsuf@$Z*w<*FkO?(Ee&=5fa)c?d3Q%C zxvO8Q!x*LDt0(o!V+y3SA)Co)ne!7d_uo{PV(;98^7uf_%N354x~2f=op@lmhvRRm z)^aGFF=^-=iE{ggdzA!nE$aotU=!t&|PA;(Ar+T+aPq*#`cuwc5h_OZjy`E zFRdEIP6JE4sfi#N8~LX7EfV$F3+`BkXq+@Yjo8YB4l{}#3vJ}Bhr0Tpol_^0UL^f& zH{oQ&Y=Pb$_WJ*x;3W*9TZT#@aU1gKRf(-;s!`kGsS}%F{%^A;$TOim_bL4$=LO+^3-f7 zWc1?59H3XP32enOqghmMSnZ>enf7+PF)_8=CZrRH&F-yn1vPy`y;vg=r~?M`+)x`cr6N^ z21znSMC>8(fDcb>&yI%w#DxaqCB%LJA>OICv3A2ZRH7)ZpazYC)S%NJyyt zS&-sL_>-~Gj-4%N1(ON-cJR3RU=_QNJZv({&H73CcRr+A#|sx(lPjqE9DBuwuHOU# z0Z1~Ts`Bw_TM<8xcaf2cQCJLDEFNBgn%QZ1%8}BtEd!Sj>d`){eYVF(?*-w68tnTu zn%?d=4zMv9C4|IoW|$pwJZ(VtE|0O9}o`~+5@VcuZ80%Zma#fBYd-Bcv9{5>+<6n(ZEmn-KlRc zdobFbD(Zs?MH@s~Z1di^&`x#lV?$wHuoXrP4~v^JfZ)e+23pWFl#w%*brNBiXPFtJ zkWz-gHhX-6kIEU)D*GH(1ga_o1Aa=nhepkR{vEAr{MZwgpxf*~N3mx;I`&^RgR5@` zHW@}~-8t`#xDYYEQ`YA#FAWbWyNQ1yS8M5Y)h^PZ<22i_S%b}bdMOx8sSS*;g`8f+j1L@UZXB`b8UZT&8Nn9&cEfj)>6dw6 zVa~!Y6Pb_Bc>^ncpevJcS@KZWdN0oP)IJ^YzB*xWCFb_^5;;f@+Fx;lzbeF<0V ztNK{h&>xDlJnC9a)z)pEzqp>%YEhz&FL|Y)%y_+@=Sl>7Rk9BancknY780-%+*}Bb zc#TN&%p#bi7$UIcm=t=8*F3O|(v9j;!UYM`R)tXKujWLNU$hs4;m?3G%uyUQM8Ppi z!@YeW2(m`n#86R=OSah~QW!BQd+S_$Ch>D#H-Qc8QNu*|Y)~TvbYP-_#J~>>z;;cd zT6L!p`1m=%ohjyZQp@~IkeM29xe_f%?uI~%!&W`)*?%<500{ zVqJ$p#5k02TG%`(EQfT`Sp~^~rl~Xej!lD>4N$_M8rhrZ|C%x=^J>J`X0DU<+=?MkSpm+{FWa3H6zPO=Z9xc4xEC{!bQ`b1@Shl=w#8WD2dmG{P>4A=`@a z!&TW2up^SM)j5AqOs~kp*($Yp?~B5aya;KjnkdpqxQ5z($k!FAG|wfI zn*AqMw-#P82E=su8UWjuOcq~1oqgW@s?poXP=+E&&mli_EWuQ#xk>O$9uGwg=Q0cl zxax>68q9hhU6M>7Hb~meEb?Q?svpVJxChNjak-FpcaMx8;nAEK@IeF`M06ps=Zfs5G5Nk&QW@;rgsODU*bTsqA0y{i2}sfmu>qf*rJ7Z)F-g1 zS3c;ghJ8x?^oRhqZC}7`D@GPpY2?_M^**lg zM+&P@U?%DLUeWa^MpLVi{4CU~=mVozNFNQOEOiMY!2l2ppkeyd3~9Qu(s_ zp>I4V#D7!| zr_PS;xwzHA?L`c>F%w|P8)4v|O5Xnc#zf_Z1f||HO*zj$ zqZs0#O$z_fro`1hYt(LGDz1OE!SS`s`gnq(HT6$;4swgi(k zb#SD<>R$EEgta0?OEeLPP&5+btq&1)J7yNf)Y4S`2DmXp%s)BJf#@CB1BnGFlZlZ_ zPW!b9JwvldV-1)-^Nr$FmE@5kYBQJVM-5_r2EfLLzI}}uFebD~#c9Mt5J7Hm0flou z2Z;fN=}T3|GhXy@t8>|tC{5Ejykdu~BfQ04=zr+~#6M)tJ3P-LGa$pF68iti1niIc&e+b6j(=`QIcsSzca^ z6Ay%TzR0|!m`Ad4VB#Eajiw@kQMIY$c`+6Rt?ofrv10CEA>wL>FET#8Sw;t^-XeEy zh>E@tIQep!_ZI3AfjL(@hwkRWW#@ON_O3b}vrHRvfA$ZpU!VG5dZYv^8=-6%6omjY znRIF`thDZh7?-TIvHTAg##Eb8QrCa#XJV5VKFc(g(qoz1Y70tz@@AU`t8e2dcW4#4 zl*Vxq8ZfyXHHI(88e|Ju_y+Q%?naLJ48>!@6?!!Eop^CZ(Cs&UvIyEXnt|b9ffAav@viKbFI4FOH98O^Yh8;wU@=eB2l&_-c~tOC&lqyvyOjw6gvFVKv{blh z1Zz8RMs-zBF1%1HAf7C=20aPm!+Yl0R8Z;?d&@|8B_qnxlT@%P<`iGxAq=LO*i=)yLH@v*|kMv6g!5jq4_)j&}J@$J2g%eZlRby}(4S!F4gJcCU+ z&&8Jh6%YH;$Q31gr&sD3480QUlHv)yp_b)h*of-DsK6U zNF36xOzkf}aX&pKww1?ROmn;ELD>QVn31U`xAPoAxeOeDseJY1N%ePVo=mOyehN3z zZp`gU%LW!3T`vrF8V3bB3SO(V90;1ZMq*`t5iR^S_ezK7j&i3Q1j#J~Mi+6n0%bB+ zvVD#UYBl!>SkaQFvp{rd$A=EVf%`@HAc1nM(6RKu~bP-T8X_fvm&HTZ$*<@`( zVQQmhSBN@cgp}_cknUPDLehr{Vhq>2aM{4x*&9O7(-~@m$+AFO2K{6A#X;#N}XcCl7_m3vM;?LqYiXf6e+M& z2;JiLfrDC|693l@yIA@dCAzA5+lj#X6>#8wApYm+o1E`?Sv-e(DQ7Z_(etT^nU6W) zQi>cl2Rq5H3Xb&0$Kpam#`Sr3O8C{icJ>j)l(d(KH>V+S){R#5% zC?{0Eng17+$(3Umn+z4D&$0DYO;LjIQ)FAgU#_m(j~!8p5neCht_){8ZT%ofmoVju za+&R&hjP370#<*^C-{J#j;($QzX7p;fV@!DZA%&tt6r`fDbv@5RhA5n1b1C9nZ5{F z;lv9QWgYNSen66@Y{R?;dJPr3lmLBmA=V=uzyA}hy>9)87k20-@|~coTIz}E?Kx+5 zv8fTaFwe;H$$@c!+E+UMuZ`h)ady`BrfR)veF>ds+tizf1BZN0uVQy-^(GG}Ps`Outg$^HNE^x&M zaKt|tUCO?LyO(ib{LX{627oI|2}NDHKu@6J>v(~QD26?`9piT`8g2M+*RM`cZp~hD z1D(rfZY=f{Ud(i?D8fwY89ttsn4ycinvh=i;1AGX8AX#T!}A zIZlHWDsajx%se;EZ!x;zihX7e+50_hMS9al4 z0Qv;(j(66iUOTrWV}3<41Paq4;XPG;p>~sh?zLywrBD2w zWmal3caw|&n`8a=kgc}Z>;7jq@04(=zqYId)NFk*LDGUHq z-Oprq7Gj(>(}+*u_!8)ej}w}I5D|LZtxVO=%gva|5ABXIFPiB_M*%j-G26(%EbkDN z93p?&@~_h!@21n_NJ40fH5?zQ`O<3;>r5n2bSE;joIj;MM=L^^yAN0AS3r}aAcW2GWfAr4& zWf`;MyyM>tQ+W*|{Q@cDc`8dBP)NhW-E`)*Pk;8pYmi5{F~j+$i>c`iY4(kaR6A2% zu%VC(bI?yxiwo}t?RwV9M)OYH1PR_5@8+ly0DNPTVt!2Mx-C5~c31;Ql{kp$(AX5z zWcwYBSWbmcJ$@zMDmu+nmiNv^jY&1MigSHSr=8nnX0RKGXcOA3(va2?bAqUMh*zNz z^+n{^XKb@lyvRapxkHk986%w_+L4yh`}O8lPneS%9MjTbQj{-@PAsy}+DoWV0o~~a z;7ZbJHrg2b&5u0}blmG3c&NOdN$iQ0xM3*fSbx^{uhwA1o&|HZ`sMY!EZUkFRQN*r zPFLfz;L)D4R8;Nzg^P;0x;^n9GT81V!Hzfcp5ZgG)Eb*dhR#bgRhWJB)h;ut{9(g5 zet&~{9Ms)*HsnxSHq8W^JLR%w4@t2A^aU+6->-WOWGw&!3MF6Y_aE%kTK$NS9IT;+ zet|6P9V^v;VT{*~oZQUZ_SHYa>s+H1z8}mU$Q9M!HD#`5S1018sh39tJTtTE3-%*xMSt zeOBY9MaQ$l#m^hl&KGmJ%N)eqg)dj{Ntzf6=C436|Uf&|}^ zqY02~>f507gqr>7D`0?!+|}P}cU`C%5A-GFMmDW4(iiV!_DjjAK9x#wk6s`p3&!F% zH^FJkQzk_KdL6N#0;H$fkW&A&H1eqBY$WFMCC=5yRULY9gVMa>yeQ4qBq@%ja0lUs z7?jZCq(>}Pvl_BbAnQCM1AH9#-Pei(p;!^b$r?*9pkiP7BaC&q?uK|Ep&^|6YsOrI7TFQf!K$NC!D8JIJPgo`;y{<|<|39JevFZVxcB+Zmow}vAtWj4o? zK*3Mv+PPdIz-r)u4@U z(_=DePVy8JFq*mhyeDmTkowc!SElgPj_J1^+po*Pr<3Oy&q&GhfuUk9SBqA@LPx2J z!)xh^WiHbd|2n$eMopBH=OPt%#|B|W2hV9+IePO5ew7XOR`5TIQ2Qy7bCaxG#0J+m z%q|dJ3LW#H_ctf4{x;Ugry!%&Su)GJ&n-UGbX>3TfdZ)3De#1HYUPgbKE1v`3RD$6 zO>r;pwU^}0P*ZoNb`Ran+?&0udvDsBFvs5cBd9y9aqI&C-m?%^q9?ldNi(0HE2*Am zZ~d4NQ%3#>E08Vjtv7Dpg?Jrr2?X%))qnae=G5zY`ToU3any_=W6ca}yMwVZ9e+yy z6i1wroN5beUoT*h?GILUQ)>UcIvtK4ZsQD*V|Dj{Jc0#Waj|rbo@p`VEN_Q9=cH3T zGPwG2URxi~DOZ3EEL2xP_Neah^;pY3n7PLPe`H^6LPG2H6d-`%J5!N3KfHe0fH+h4 zRW8at6(GhP*b8h5IAE!@O##0Ye!Qh;lJ+V1EA@OA-*NO8ETWBgC8sw^)A*xd2%=TG z?9ffFk$u9pz<;x;y|FXJ(x2;w;UOz22-s82T0@;U2r7w3D;kQpgfQO6NV2%kq%)@; z;14aw;g6Xek?v&YwW#g&hWduxKT;*rFXis3oe0wdmNS?<%0az!fpq_L@z2;LCMLXP z116|1>#3-pzM`CROIvfg+0Uq<-?x?zec=^j1b{dU7juE|W0i7T-+!jB@}13Ds}EYG zu6~Ove1k<2GpI&H#+F=&cK>Wv@r9sF!v^LBR8G5!VNs{Z-K-bxzoW{t%v=klDBSU& zxumB7g_D$$Hey47a3q}4fm z#zb=-X>m08bDyHtFJkWS|H_Y`u-v0NC2I{g76lhUXKyWusYA}+k53D>;P&~bYKcxt zxh#<#S%gIV9WPzS^gkL0jVrm`fKB-zk8k|$9Tcc1%pbM2diIidM|Zx17COD_FOHLX z6Pfb5!s`raa*O)Azq1%T^20|IBqKuQWEu|+uveK+NY zUMmk38KMdT=dCIASL$VZF_q-F2Pq23`~ zC>`25Cp1u*ikChGki-eE;(!MwBn^%bf_~}e6wN0?0!2uUY!l_! z?tu4>LYy!3R8KRWxx(f#vU1qPwW2Jflg}y}TvWY_YW~d8CVd_J8BcN-)x89T4AywC z&k)%CTWUU9>kveK{=O_5VeGBne-rcB>}+4PBiO!_g!@xhb4qDiQJEP7%L9HQfkq&A zxYkB34@5or=rLw0?y98HszmSW071AtPcSvdqiM##D(T?9M_)(Q%&k?%1Goky;sFt; z=6HK=7DI2?AD-$!jzYXEuP3gW-1_-hz3)riT{a|KJihHl8XaiAUze=Gm|-HXs8n&x z^Hb`-z?Y89+)7%$n1s6y<^c?UWbmGjI;u@}1KxMYLKVA7GRB3~TITTGm1^QRw?5MC z*1cg7HFt&bdP;3ZP!ae2c0d=TEtjuVugs904Iv-WspU8rG1JMT^Nkp0I*ES(;HLnh znQ*b%x&}^tT)j(dw3OJ)G8@B*Fh6qZ?852h9BF~PA+`SXJN~H)e~G(s9Wm)UeOjU3 zAQu0zob8%lXBH|-B%Qjv^N##~-hBM2*R3JVf72#BJ31vkDieS=FVL}-AI!3YYB~G6 zNi}Y|{{^tDO+i_XedZW@3yP3Dl_=w9$vzF?W-j=aH~}(QYYRUUQ$a*=v2&NLzk;!+ z04{S?U@xgaQ~1ky@*;GLu`2#g-+rlY(ifNuwNYYA(iHh5c>S%g^hG5pcWe2UlE{nE z>BYuc6UEd`W!2z^=3N+b5wnxa1haLdhM&|v6GiYtBGY5uC^ zldv1`(sT5ay^EcBiaSEeg|hM@s2j+^)a31e+{|sUem%S4A``)dUzw6Xr*9Je(U^DU z*yTjp4N>6F{bfy{n6FwEcy*^jyvR0x8z z?3KM3jE&r6pWk=@qIFS7a~s=V{(Gl`Ej-ck9ipg-d7UkN(2j5|(b6|}to_TG;A-gk z5f7lueB2Dh*w~4i^%laiG(v1x>g{|6Arya6ubMKU$&ORd#O_Jq^^&1J;P=o?Rbnk- zKE7eft1L&vR&8G$%>!8orKe8?Bu^o0J=fOJb;I+d)xT)%XelV_AgwDDxmbY#U+!lT zOJ;T}o^8mb#Pnfw84E3lHYGt+d@(!(hFL*oJS-RoaB#M9yOVWWsKyDJx8E%kg!}r{$?y%L{GPsl z&*w9}26(rrJXiljJdG_ep}@H9zAky~9Q1iQ_Z4U|^%aaIAcdZvWm3@t@U;Cj_Kf&Q zr&wm5&jX?WDqm~&1TpXFdn0o%yn)fYfKdMo2aTXWg36e%qlc$@q$$G?(&g5VE-_U> zw!Ouoc1)q4CBdXFS6)KM=oCuC`+RBd=#+doQ^HEcY0JMF>O!NiB4jMnz@CZJM{fpu zRy;b7?9XzdgxoLd;nL+3@|~`OYf8e1t#5^*gX4LKo}FvYjV~Lk66h#Lv3X9Bpsi7q zZHTPvUUM2l@O`Th&E3?UxZPNSdJKuuL;YrS?!z3Dl@Z_*ge!iI-OYTjid?RASHK&p zYrKb;6Z{w{Wk4K>5EI7zy1g9uv)&kc3%xLg)Va{^)Sd|Zc@X3=wY~o4sW++1hIhYx zc;ei)PVqm(yTf)gkPD&RDmwSaw>S0?pp0Aw;u?Y(J;+F~|pE462nmZJ8S+B-^&_v!Dt-uHU{ z$rT}z=RD`!=e|GVK8FSMDPNJvVc zLF89wlg`KULyYC52XfW=xA7KM0h#+o^uVm-tGQ0Xi5+JC2Olxow=u|gNN)W8Ro

    nq52@6DD#%o{k>SeQ=z9sQ<=N33Pfi+(>)Xs6qK7^U# zu#H`~IJ<(J1~X^WK-xJYFg))%n}+ka2gZN3a)Os*SQed{lhc+l0_jIQ?EWmqvGK>c z+_mmP!&~5m9H?h>*>b~ZNL5oZZ1I`bDHk=r{3yg^GbLi9F-+wBrKT_T^pnW2$O1}( zki$P(_fOb2ftFRY4ZC}x*X3Ms0(KUu9Nl*;`p%I;)V~mF{2%p&3>+O{uv;?;tov_X zQds7ab+z9ltMlJg%ZorgY5&)Me5}{tTkQ;m_~%XMCbUR8s=&^;_B3QGJDf>fUBy#4 z!0t4chN{C@#NvPoB3(mFZkB4Ox74~I9R8ewJ(pCGBMcngtjVnhXh!F6a5g; z0k^LN!B!osT(OJ2FqqKsIy+^hYEb&UInT8&no;}OX5C5LSj-aLC1QU?3Pmd5hHQy) zdO9g4@1ja;2>!?0!al`KRZr*R%W62YCNc{4d8OSz>|?#jmO&`<$PQ6^cAcYDGphwm zZ?lgikFl#opT~b&67*DP5q*?K!n%qT-4E)u$fTF+yEp`am$Wp9bneK!A>6Xs$T@3g znin=DEvZ#=XHS&|n5;Fm=RmHLmiSF|K)8?jiXpdT$&$M61(q;Lv1!3tn&GSP#?JUvj91-15Bd=dc>SDzKOr5;jWd`!jClnwQ>$Zf7_k>id-Z;|k2+=Q%cJ%-u zT;3Cxw@#i^K6+-|pkx0QB_P>C2q_Vvg zk%sHJIYz`al*qLM{FTV5y_2VME_#aYjwUB76$`|VC$4OY<*q)q26Y*hI2!ToN zSL!Q|>&Zb3agBfzU|9`QNUU+@4#5^^=Kc&57FcICae@pza5p%Y5`IP`Da*q zK$uDjob6FMW5DQHBC$Fab<*}v*E<-mZR&FT`&DH z*mRJ4U*jNLW6x}l|M_0nHF_)jNUZS1lIzbLm!-ax85Rh|ihmnv_eAKuouXTWih**; zQh1}qZ6ZBhpfm*447^e4k1WTL7j5qD3;OBO0DH*zMQIO*tP4IZxR|0yBaM(;-b z;^q>{)OUr}i%omU@YDKuOGXt-fa{5|r{ra$#5UkhU8ujXAk-_=J{k46gM5wG{2Y99 z^^ds<1-95A)$}8M+B-`SC36+)lJBV2Sy3f4Sssxsvii4V={sU|sC|bsJ5Ihd?vFPr zf7Yo#y%X)D{VcFR^jEkPtTJ~5`w3ZO>*dyGh_C#2BT3A1pG1(@*#j%gUFTC{TMLb zb)#scc72kfm{}i4%eTyf#C6i^2)jXbOZ+Qv|BRT z<<(^_VON1kBuAP&P01h0N<7<?LzLd{m@&{AILIQigak&>;ZS zdx3R-j%t)dpk_Ln5jZpP(?CmTS*UDp3yR7F!EH}rQHSkGvjUNmpS9aMwzgYGW(BaX z-(y0^bWU80;t-(e=;0o=vU5ZJY{FBCxNM8Gc#{2F zuGE!Ic)Hie8>7|Y>2|Y*cR6~=8T`tyrMfL`6#$vi19E3PM;YcQOi+==&w`>P2U)Ru z{IZPhDJwdAS{kL{{SO~lwRU;&X_q10rDEaxEle3Ll~Edl{cQp|=Lv0?rv2V(rWD5} zirNH<-XWrKItE)~Y`RK*fADzEEpkwEf$%<<%bGDtRdPh=PzT%-Dg?B9qAlKM;dSZ; zYt@UCX`v_2PpUQM`XehGTc&mNow?fyRZ|L*t#If`{OpQgD0ov=R?H9E6&W(jDj;|p z@6%?hYr)?Ua?g9AtQ$nu5c6wIPNW@H*o}pEef}4jG?+53FDqdC&zuI*!K$eSk(P8` zJLtM$zeWK{F7Wg|I*zhNrPLY83g~)2nnj zYoC%7-Q63G;5M49}6}ZoNd|v3#Y+^~_5> zK3BcxlaDDvR(sAjP>iQOk!P}|x3sOK3W=zgbSZ$!A}G}ym(LRAN?{H619~5~v@!9V z@<8PQn8DfRuuyj*eDBT-7= zS|m)LaVxA;4!2Of@K=HF*a3cMpclcu9D3CSjJvqmHi$_%)>@nN<*niEN$%);w^Av_ zW0ow0|Node6L2Wo_x)FrEhNf|@FJA8?8a77$~GZnPlU0{ZY*-_Llz|KoQY@6kK+Fg48c+|PAi*Li+UFdhKKDezF#jgE7*Mi7A^+kdlB zH(a7QX5S=lX-|a^Y8d@2_H!TnG|wz5#JnYhwB2Smmq&Q@1@R&?tr>I$+a0^E;u&@~ zut`!Q>6JAsOiCz3(iM;n27=~9Ww3K3E&QBes!$9g2}&XUt5xsH>Zr8G2lqHm{3SoU zBGTx_`2`io>Y49A&~bO{qa_9S=|Q0NhPle3UV+aXjqQWi@DV>~;g3^qrSN`+JL!=R z3*bxvle+ZvTi!2%RrYEQYn_g^nUMLVB)sQ~{S;&Fu;Iv3)KDDpxhcW+?If$|1dV$U z>s*V|(DFxKkL6YhH>#rPq#gt6Tq>`7Rbj#n;S8h2d6i~%>-Em*4RxjN^<^(=97yZZ zfDb^Mi5bJBq^9RT@>DJvEE9l?Wdao(W#W~xQ>Um9 zbGvNKjwj)c3%9f7_vkzFx$pe?O6-Ff4Y5wYrD9>EC5%yz*S7x$GQ@CM|H>A@!N+YQ z%vqHn;2Kb6v@x#s8x0;GdC+r1_v)y^L+hu6AuykK#1b z)7dOnbGKaH_xrLQ2$KaeJ-a?g`xyrCU5Qs%;+Wh#kDTP>}RNcX-_ zE_=T6yi-cAFK}X`Zz;ZQmR+*rKUYCu4tm94?6#t+E8Y%ROB&Khih#A$G%%uGdFpz6cHBReJz1VFJpr zXF;2z!e(jedCRqZGq2;1PQ%juVy?Vo-EltPGMt5C--Dt7IYAfDP=MptFGwLw)0CFg zjkBTS;jhtKznO11Np)E$H)1H>S}12}w9HM45?E2QO1kGp$sQ>RLSY;wj*n%=*I;*$ zZja0WXp6X)yc@mQO746iUTEd)N+QCR`jnwN|sE4Xh9$aK`N(4-*UT6`Z%Za zy(DDf&`STfn7}>z!6IERUs7hcv?@Zd$l5qbM}Ax>pYO@$Y~RY3TCgtlGS_-(-A@bG z+uo)FZQ3IAV8x{dHre=N-r)s5tMVD4>y51VWxf+(vh}Q~3vv5=~W65-v{*T=%#=vfpR+G8_E;;n5ISX z#`&MFSV1=mOHj<`$SCb(v15M^DLh2k`S`m@Gc9?I;N5PA9) zwzX!>1GEBwDCWz14(n5>Fu&3d^sy`_K_v+yLRa~MEQgiubx|cG5$HwDNv2$*JgYj; z4AzkJ3(G&aA9Lp&J%!6ZW>T}&*;+ce{N{;9q##_zz55O_OzNMVpuR(2C~hW7&nh@x z#_AiRmuuT0OzYDvMPy`{%+sK5*V8gh+iT78x6-0jqzx>0uhdsI(BAS{zQ#q%ytsB6 zc9JvpuSHq!5;>GMewTVF*wNF=cuzl$ZAK7*0Ijtl386+O&IR06voPQ!mj|a(dY-5q z&uZ?__?n3O`iqj1%aH#Q=3hE&ZW(OeKvEigA@|V_5U7Kq3@p3myzP@Uyl+&^)7B+8!Cq^9pTUY8A(RLPdLDp(cXXRfQdadp?^{Nb1Mzuoy|iMWa>#2D}KTzpvP$m7UxL~?c8sm&d9mQe@~li zpf+Y)>8v8G#PkX{4MEYy4Q`Vs}B6&)}^XkvmXOQ6u`~0+Q83hhZJ|(ETVuP zqohA}=+}15An4@LcRe(tENPut8l=0~F8+dwrix_?PtJN*yUwKI!KyxK9&uwWF z$5gWDuNIK$?MyfPV(av$MU3=2K}o;WY;5DD#UDsAnQm^eMoezBqCvG=Dj!*du}pE9 z#{?p}5Myanl zGOH6cR|gU}#H2cqHf7a6$LYY%?!g32mK<$@g~rJwHggWjmA^9bcBn_hJ>$_>$!go} zb~{FBn{%AvXT78J`?DZI`SYb(OPEe`&O+h^xU;J_swR#EbA8zkKF8Z+ye??IJm| zX|o5kbaMSZuHls|?6IUB4OYC|C7-A7$k~aUKd=>+toEzS>(vm%YY`Ip3=qv8n;)q{ zgeCDZCPjH_ijw*1@8o4K8FZoDHFrSN{1llsUkr(?QZbB!EjE+D~L72fN#cEiu9CV{YP2P9s=a%1ERw|}P$=NCY z1|RX}cwf$~yYwT6PUMvT(bTu7OFik%?n#W-@^EBcruBYPGS-tx&Am7yKqua2QFCHz zkdvmsZGYsdpD%LU!6Y1V6a~&kl$4@V7L#19U zc;m{P!p@C2e&cQ0UkkJxzL!W{f(jmUZW181UtCgA zQqA6){+@R@Ll#{FZ|%B&d7uJUONWw`6m$AUTA-aCI6*(STl6f5FH~LogyVeNr{W)_mZZhytUnf_DTqnKi(uJ z3*Yzom^?{Um%vQsJ{>e@bPd)RW4f~;a?3NHPZ={NI_R+R4Y)(Q(UZy0Nc)FjQ&$hc zIVRHD?OozfmDW`sL3`kpZTy_9yRCKBoJ>ahzS(=-IQU*Q@{4tjySJ&BJmmz;od!!K zDsI0^pU8PRrrLrb`xg+z0EcL!YHh*c7Jb#uMSQWjQ`cc_ESzXxFC0ybXTPbHT0PZ& ztq7zTuA+)!5y7?n=}e^JgQZHT zGt1Z~?p%H1bLYdkMhMcR1|=!jAF#?%3AnO)b#X9qKL z+jM)kJT`U>MA(#Kijj>?2(v6AJz>sr6`Q#irF2F+D}2t;bRTGOWD$hMTfaZeexL z&sy{b^5qoHT35F$J|dEBx%mSAw^0$qzEJf5DwIaNu7bJj)zi*HK0BZuhPFT_a04af zt^~8Fdp%%kEu}b7DbFc3D&=KuTtC464ya#r2V0Kkt?3z=owFIE&!bN!b8eOftTL7_ zz1BzKgE!zI^MSdJr{`UYzB z@Hv{4uj;=V6D~phl;;`ucH^V8dQy$pip`)i&N>?Vh6GJQ7n^El%dNsutRdE2n(F)o z|0jfoab?HMMPH#xP+;QcV$oJ<^RQR5n&6u`_C*5Y9KIf1r>fj}%yOW%{_Enc`)y~Mnr5o4iE7HgY$LmgB(d9@2j+yB%-7uAN{^CQ+JsPHt|8ODKX%*El>_`iXr73rq5hamm1-{cq4KymxzgK0b#X~O{JU-@FzSXm*AW^b?w0@KhlCVGnVjz~zBPEeCv#zTPzWPBM zo?+_@Y20bQ!Dxrn{R^n+upCMR!h27+Uz1DQ9a-CY4T1-J^<57K(PKZ}4yX2_ z&sWTcd8E#7c&$7)D=eTvDG(r&4-9huT9il)8O)(2wDTY~!xb9Sbt}n%bsMs=0rCO( z5184aRwyg`D}PT~o39yM%8c+?4u?$$>DnIRMDKd=Ov@bBMDfe%k4wwJry7q0^?lQ-EIIZQ>46{SI7;5%zOL_2SyLJXNoGN0tYT)D z@Lygjw_PlFdlrcLtS>XWlZ?B^qUA+q&1&Rpi?}N7MOWAmV)-_d%hHV=J5JYxt(C|O zinQUCG!cGfWOYfPI~X=HAc*qr_4-nGGOJI95{OvuEVUO{kit6epZ7xncxB_iFq?%R zElIn*f+H22b{!YjMvCgoJ)}gxB^5RDGyiZvdFl>HiJr{}=J?z+CtW3>4A>hMxu@J* z_ObAa&iVYaVBkJliXOWhIQC5@fs-zAWpPwzbgAQnu@H4uIe$mYnCou*)25ZXd(D9 zv$N5Vi*BGMEmPQj5@wSd%<8q$K26s2!efR}qz%rzU_@T<-|yq&-Hm%0(Ae-tH!iG{ zRKjI8iBzR)aD`{KwrJ(u-=loP$zfTcpLX{4XPAD#v{bBw_=C>o#D%b18(7h6nHPuJeYeUw8yG-_eyWB@M4b;BwaF`Y~ zR6gglE`LmG=@6m#K3Qto^;(n89yeHe?V$tU;8K6y_yKeWAYpWr8gf*3qg%MKi^XGW z_Bx;Zx3?l4$_Rs9Y(y31;$^%R%QJDmWJ*V`4R|!1vsOUfZoo~i8#?FPh?@RUdez;g$7O$~uh3mkJc&j&Xn3ero5I%`?bHCM>8wWyECQ&2PMX1tY)*eH+ zEp30DAX!(GP5tsZ1k<};CveT`qkoZraO(*|nBo)Fod#TtTj zM&n}9ZTg+MG3Rp3R}2qT`YK;1bq`zDOt85QGMkMw-X-5f1O4O8Y1qs+<1_T*e3y0t zXX!=$EDJ@p30Nqg0;aDPcA2n#AbJC;m^6Q?<678>)8 zriMr90m!}NOzfacozB>`r5?sr!ekS4u}oi~&FFJGb>|d49zr(pHKtL!>d{l;xSY~q zWue*`suQL;!T=@Sfsw*KT zRB<6vaS1nFv8X~~{ZaJcU8cjzaQBY%O1hBF0S04@H^tvA)EbXcclfCjRWBM`xQ1`^1ZdSiIaZ) z(sz6TEv49Wx-ITF7yHlnAFGc^rg5RkUUSx=^|?s|S}uZQZ+?60-X;Uy zmXTO(`P%@#Dj7$5B0{38lxbT_gA7GZ+>;wn0?^vcvR2!BZsf^Mov>Re z(?KF}-J59`%D#W_@epyf64P4B@V0#oFwcaf*Yd+1RSyFwbY}H)plvk`%zaN7<9LV- z3Cl>NVcHYxFy+}yCbw?7q^G_gcvXw#FdUI9TRs6x2$p9mO!ngISlNy zG{a{7O4uBQmI;?57e_}};FEacG_(dB|CiZDL0jXV?H;(C^oy+AY~P*Hlkg6_rkU$Bal&WOkN7*6eN0jHP zq{Z^fDUbz3rNVF&4qe6Ax4KvYJmS%2`>a;m4mUQw5JT+WajHB-S?3py;?lzFI#C=#Q8xp%^O#VwzTw$Aw#@kEk^fAQQE82j z?CJGzWZ1mFXkR|6<8+65brI!SW^tp#0kvuZcX=H2#jZ#z>K<|`@2CGnqkL|+3{ZVu zKnZA!ej2B(IXiN3yc@mZfPJF>A;2;^uQxsRtjAD9bQkuf5JA$4{@h92%JU-L*g`5VY|dm1`9T@)!iX^y^4F?Y0TE;PHD|>?-TM{0c7b zmkle5t2;xdF)?4t>Kb@kb$W4qkp`M@g? zES!@#X;HyCPDP?qqL(Yq9(VIJJ@^LbgMt-#z0Q;thcOV&>+b=hL`cgb?`Z^%5X7nU zZ(M*<+~}F$BUX{Wt@G&_ldMsiSfD8HO!NL1oX0$0noAjouujWju2$=QkYDe3zoHzVz4_KUuq%BSZ{yVbU?u46N4=QW-`etCc zoOE(6(4k*<#qdOR(?$mSBpGM5+R%LOS#IPOs!zX=fT!{ax~`-o4=yo=5Z(^P6((NK zSS{4;g}FQOcnC_=+I$FQ+U#~0alZhzPnNEK&6XB6Rh-H628KI3tkwrts{Ud6^w8nM z-;76ViU%DlKlGd6ibrONHtS#ZdA)h`J3KbDz7ja?ymz15t@+l6Zg`~FTxTXEPq|^Y za{)3}@t!EFTN}HR8cQ7Jv{%sXUbmvJkDj3{H>QnFY9#>k>c>uZfFAo#8#%v>`6}~qA8*^N=`0zk4zCl?*)A6e*}))NufIof#t8r5>^roUWg%W ztM2HO#A(B%ScgCN7{{)2$EG*VZ)pyz`ss+UU8Ogb61{ub-G1pBKB&pzY$L1Q(&;UA zXZ7e>+qs`VfRpK!EkQcOQptj4g0d++I-LZ@;_1E|Jk{02#niERs<8f`pC`Hz<6j63$$*fFycPW zpBlln4zg{_o_N$RuR8~i`AN!2 zyU)kL>cKHeJ^mPXwf3)p(c}#4h}#$a5u!Q}n=qT3jMJRGmTuqms>J(~3ZHMbcfMjR zl#de5!3sFxBz5Qi3+MR$vu$OnR`>TRM1?kMXYVR5EodRM zQ{e-RpIjzW&TFV^DHEt`N^*-t`t9iYw3ybRPv=V$g^i8r?w?Js0ha;r0^kKKc65|K z@SvUwjOpqIM|6g00S=`sm6z(kOo#{Si#X=*EF8ZmI4n5G`VN7KdgNYY*Y?HkTNkdi zgYB%cT*D)F+vRT0Yz4v-)l|OLY&S27%#DMBx|GNg{b$Eq8jkhX>YUBToM&5ZI!6`$ z{$pJ1*y}Qw1gqGPaLlKthR!)1ddjQ!GB&|EiA%WzP5`&TclTG%Ff|j82!CbKlx=YQ zz-GPYOolxNrGt?7-zM_FrKNU2N?Wm{@)SR@g&c9(a4Id z`NuLPYr0$14Dtv>&DI=Zv-XDd&Qr6s*GV@G;!9l8(H~YlY zZ1mDhjcp{8HovZW-0+bIV=S&Vv@S#>7A?KEJyym%oA=nAd9KD*@l51Xs!-mXptHzA zNuG(B->*f4{rpv}^xR~aoK(l?kB{fcbqC&a{C_g5WDInrAfJ|Alt`IjZL5UcAJ=W6 z`b9(vNcLR!8+GYVjNhn5lrmg}L{jc?;|J;ti4kO8us@Q@rhm4^Bfhw#>Dv}>J9|IW zyxvhEW1dI_X502dc_C66^kHHRq~;F=sr=-l^lFykMc76*pDY{vL#D!BMalJenmJ!> zu3WXO^neR4{VDRp&bF8Hbo<%-ss%^!Is0q>Tfg%(kC&C3FryUyYFaq+USpB+%CVMB z^DG+ZtQeiaaL)gUgNckcoQl_(Tm|A!&``bV z)y_|c6eJqHDPjlSDLBBtWzBN5I@+S7|Dk&?tGU)b@wzv zqc@fGKSX?Gg`MTQL#(YZFzH4idk;-GEaS8-P4N_KCEHjlPk|af?ITka)B{T;G9Uz4 z=~yve?Q!n8UB0ZADO|+n=D75spDHMOpbITc-D8>Y^oY_f zO*fIe3#e_<`aaLHYPMt$#D&_q-Pk(ZNn&mafqQ`tNI3;`5bzIGq`le;%>?pBDJs_) zYYuvBWjbM2(kg_E9Z z*HjpAg>einJ_LHk-H3D=O8C*j$Ck61?S>jdOrb3{;P(%`CqPhycPiAi4~~x*qw}0u zdT1f10+-`6%Bzb^V@n04f0hgd@*L{zxmHdR^`CwmTD5jL`fmX}_cP&l#0Gkp)@!2i z^~5U5Ac(x>xFTBrxsZ)?hvS_y3G>8o^>5-TKBldN$}Ar|`$TyM-qzUU`1E(Yt#_hl z7gDzoIFsaVCU&W-XUe{S!rZApU@Ke7emRv$?3Hqd<-pXx@AVaZufLoV8kM@drXH_K zJhBGNV?06}w)EYcsq~6MCSEumcQm^3&JAFFs{7N3QGO+$Li<$Lm!9Oo!8!5EDgS2m zho{M_VS#jYHse-9eJU4ymi5$tYS*heHP_p|`MZz;SzKo+FLvcyYk%{vMe$#K4k&9A z23yq872m40Y`@(pG8`t|;DvoSA)- zPBmc^cA?`IK*nO@N0wz7afMb^@P96J6>aie^nL-`^Y1)N{f@|#2v#nMYhf~A#)i9pf9!ArK3AP()F(6HpWOLJ`U7<@hBKgvNp*Re;?^s3=|2 z<4g(JLsPEO2JG~a+XFMvUO$po{V!;N?4RhdLbt!slPqn#1;soSGq~mJ?G9h&%39+Z z+s;_dw8h3$czjct3ySX60ky&F$+GdbIF0Wr{t_%e=sQxB^)quQx7Rg>!204R0OPr+ z^>xPftNO;*_Pfv^4v+*yTDmfh2e`18E}U1lXS56fn;}?*-~q~G@0)|=lsb)%0O;zJ zU1o51e**`%9w7p`5aEH%A~y3@mZ|p!%f5#mJ?k=6S^a4tENFAjG-~(lE-=L(N(YkD zYV!~Ln6DQ}p&*J9RXn1!X%AP1mFXZ-n|HWHh8Cz!EwgW)ZHh+;LDUGyq#v8)nPGDp z(^9J_PkErE14DfEq~#^26LY_ z2DZ_x%m5-gfEFp%yH^!#O=BbCPK=tIyoE->cSq$N6!w?MZk&UczTEZXLGHe6oR)di zytr^tukjH$@0O0s7|};%$_1Gq4g(NT(g`CC$`H02>LRP70+~>MoqlX^HEUa|p7BfIPw-;}Nr6Ogx?6ZRpYi4;K+)_LW7cSNr__2s{rsQ7J zAGXksyzf0El~bJ72U1GV;dLcCTHfJ|IsG@FEet&qXJ|24^;)<>9bJmlG*#sk<%8zf zHCt5aWE$BFKF7Qy^qytcT&!^m));Y8tK}NrOhiY$OsC~5UZd(k3FfS`LEAPRvrW18MrGz#hDV3hCsq2CaARf`9)f4 zJ4AdygztN7r)u25+>_z!d+W%*&Z3e0MA&FM9V6Avc$ybFj*S-&d>7N}wxuDB5wx!( z0uT*HV}rh1=Q^o(%aSR2n%c_HDDXOv!sd!7D@e|WD})Tyn&>vD>af_Zp#>VhsvoZl zB&;PV!A6#P2<9J9l~-0Fzm+eQD=Z-v(HTb;DkOM$ZpN#Yic%rUAb9Ny2U5HN{AOoG zGX)Jsq%G-x+^LrLEWAz+=ZF$@h9oEJ=4gOIyNWy8f;CJUWu)kUcXxL%BT+R@43r)8 z^GieEL<=voA-#AdIbJunM`K03VW)3f3t~$d0Ap8`ac+a-^ z&~k_7CVt7-&gn|ygYx3Q$2^|(%0o> z?KWzcq$x6kS4o9^k5gq+V{nZ+61H%L{vsl$cgx_1CjHFkRue;!g1YugpG8P=ul#pX z3|yz~O>Pn!w64UdON-af-CbmoA2kB;<3PUy6rx>7tE4G7vi=GGxlTwv8rxGKGd0f| z7Ixq#7d)wr928;_qUApC=@e$zQPqt*g$O*?7+O*7zsz?%4w(jhDQ>=U7PJ5A`6Qyc z%v+k5^2qxYW-DK|0$qU=n4v%x-pfg{9mlhmIW3J*KU3G%JUu>*Y7yl|3Qwk>eUY{W z(XlPc^E&ICP~nOxtf%(A4AYTIULAqS#60JH6Ro)PrHz-9mJ$Npi`NU!eelTQLF9Kn zAy^MQ+TOYjV9>zz|2!1&8A`>v-#{s5L08F#(#TfWxL+drxi3 zEeLc_TfVQZybz{8cwT#fT89_fQ|>!m%j8t@l|hB^hDEAR(kI8L%Q%!rM@xaoI@sU0tFI zWTkAfOMJ=KU4wsK$@*!g1jq*@389m2Qn-}grqwx@90hZ8_j)S4rCEWOk2=3b->2&! zPykgIsGfvI2_zjU@sD9PUtDhD4DMPY{k^6fZyJ7bxjF9KMkS9q9Usj-*z2O0Cw;j^Woykr5mV5(=j(?!;`yt^k8pNPw3@#u{+n^9 z1Q-UK56FY^+Id}h2oq$hGJnKUT&-r5*B0Ep`pSE+Y&;L_GdHE&&kyrsQT=QxXR zeNsRhk(un&u096Grh@*(g@G?XL%_!9P&!%+FY9|Q>QNIkYEQh}UP5vQ3hPzHT?2ms z&~*6Yvr-sykmVasz5)iXgY51iL&lI0o2N%g5&M!k3|1DWQB1fy|FV?;Uys6`4rsTA z?42~IG2sU+t4`8mYkc3UexT-cY&rlui1oCKT+o| zXeO!2JJeRs1189IOWuDizJTZ?3yN}7xM{T+F687&%Ib8JuLJ$X1PrnFT*-mLabn~6 zz%G@Y3Y%j~ z57191Gm*X^^N>j*Vz-3E zc<86Cw2Juh*MG(N%NzrWmKNNvfI;z#PGHm1Sbx3|ao_GnL)LZjvvO1K(d#>bD_)I#0yw{;GN11_3w5F0-JIZ{ghhzBqWqhNvu}atRetNHXc8E2J z&Oau#hWD z%2(X4af{b#mcqCg$3F>3jKO}qT+G1=i4MMh%s{$J33~lc*-&!&i^} zQ*#53c$;m}7BFXBw59{_2*K&#qs|t1{VA|GDk#cisi}(^VtVRy$sZR3ya^tGt9hjJc=H3?$#M`FH`3IQEYeo zZTLTN^QjHt81OaOh;scvrfRgMRZ*0LPU)vHl?G(3n z#*6#tC(arodiVNy5_1e}oK!a@gx|7!@L=yVJgabG38QqYDTI^);NpL~^nGK?!l&}i zMDdQPldAV_sl-uy-(wQgH8`4LK+;I~^t`o7 zBwTpqb~WF+h{UFd=Dw1{^E;tW_EW3J=d?+l@8GOEYZ&`1Ob?5-7_vjQl54tAzizY9 z>*~J%)czvJ;Jiq|42?_P( zE*3%+FS8WrIhnEkj&cq~R4k#Q`EY@PDlvj0L$UUwVD>~%*p+W?5f)&K?ne$plhN^ zi~%SSQt#>N%sv`(4(WWMNHD+x2W`3W@_q`$bQjThI?$njZ&7R5<{X~6TWmH$27nPpWe6YPH_2k;kCSQ<0AY4#-+B!7$ z+kvvnEEYPTks$*wCP;Mb|7+Ik0DL9##426EsKaIp&c*`Ux->ANq0R;>KfA7zQmTJS z##!#Ty}m)X@XYBjO<0Un1@VR<;2U@irGp%7!OPN)#(S(!g!Ca4{-(fZk7f1l)qLX{ z&2}p#b$z{Ev<|*v5{i3t4qg(o_#iw+Y3f|kbo8HMgb23DFJ&D_OS!frq+;ir1CmcE{EnTD}&i*AC~G~B6j~8 zbii|%#Cf-Wf*Aoz-5`@@!42!NG!jj-nLY!v*~4FS>cGOmByIA#-sL_Z?kin1Q(_k# zFV>{}_mmlXR{(sb;5)Ktfa=ofJt zJ~n~NBQ~9p!x@3zZOS4u7Y115LGbBk$~8Iu_5#g;XWOf}eZuGdz7?~BPequYT1p5o zL0|*^+>!pyz=WA-r@U-PTMHDnBH1jTj1$mSr<`PC`7F)Z5&FBv;ELF|#zan;f@iwn zpX|1C^Kprxh&iAT-1cpdoY6n98m(eEtaowRH1D?M0G9v0)z)fXHVIjdpiw|nC4ZI)i*btJWg z);W*)T_fx)mz^X_00CqZ^J#Z~@VX==Z*qVF`J`#A4J`AZ~KhIFkMQ z{-Q1~)kW~>Wv934TiuT@)_)<#`nJ&Jt&yQbrE+$3NvbY>w?)4G#!lj|zJ<*)Bl-Fm z2e6=YEBWXNUj)fQz+Tx>d$#nmrOLv~O9c3*p;=*`Bsw~7GA2_h6%gMbX(uwJN?HBn zc6>%O2l9w0x+qn5{awiF!cC}qK+nY1KVOv%4=<~FpVdvz)Q~!9zx}(R#0#XBI9i+> z10Dbu@jBO#Z+*#*;SGibY4;bWcE|-uDmWuDBuEq~!xzKU*=WT2#h#t9h5qxf_;&Q>MqT{;u>6)! zWs+1?vh`_D$Q7M361+}61>+ZX52s|1^osu(L}g9sUX)qR@%xDz`ROrlvifH4Fc~+M zQ35mGg3J6ab!oWR?AQ|ETx#o!Vrb1q`p)fD|gUL_N1P6=okZnlJE!P%GsG&UL8IQhhKYfFOP$5v7^$^Htnu@;ga%ju9EYS zQy~Zs2S96%=)v{khXc*^fqihq>x-SgFC|3to#={&q0yFwvH}KoNhl0}1<@xP$X?QK z3sUtL&q`2kYFvLevTpmUi1xEe$%9Xj+gI66W9YQey72m~>r$4$42UNj zxT^^*Zn8Ess_ImaKb5$+$tTsW^1$~k9ZG>A9uT(QZpA%>HEvhU#>BjXU~%y6Dy95g zx7d%Ryav$O-TFmEyhXC(%sxu$kPv^PC9FF(#o0v|JICkZI|o|np#a1gzHaHZyKw|L>-8oRyqxR-vrwnM|H(-=eS;fU^T zdTtlQCF#T5bSe4nx6^4Bjd)GIq*vT|-LgW*`7?|7E=AkJ#j!4$N%1W|$EOM9d41(_ z{K=-meBYd&(lBvo8k*~O6W8&X`vVa{c%a#d2B9I<9+=a-dFLXBl=aVU0=TAG1h?7rW7>Hfx?Qhq-7TE_Z%7pP3a? zq=2-GANZwe(R`qRk`UYmdc*=`xXO1;hn07mlb3Goi?1z4bvz;H_jO+`dO{5LDO^AT z+x!YWkTQ#r{jbAd#z$Aq*H&Av($@Ku^F!D z^0x@j=00-~a>%frBN&Lit`~G|K9|;mHuLM9tZsX)v8XK8I*uw!7rQ-?tH!Oidmn0c zBRb!XxntijBbVP~H^ifVzo?!WIL^r$>MPx(C55cB(P8k@&d#`qM>fSp9Ou7-oo-wX zlO#nDR*wm4aYv6?T;YhrZgt8Qu3XfuWRHs1z4%|2;<&(Aw9Teb=F9&MBwiKo(R>(R z<5PRjyHg?p*L>G;kKUX7rXs_8@F0#oS8U|^!+S=B*3~|c>hZ?mB5*YN zR=t+^a2dbt4`ZOQxi?dS{a*jc;xK0OVB#qAsQ&{H4((Ft+Fm&+f?1gIpLZ1`1+rIq zR-CM@hbcDCx8nxM)?faO8lS3RCtdZdsm`?+I&xSL;lj2t8?RC6-QxgVi$3ttflvoY zmvD`N`0fG-gOt+E&h$|Oqf6m6#i@JfcsNRZFrkTi%Duep51Jm}PHG5dI53s-@a+>u z&Ko?fR}B80t<1wr@Th6cuun;WZ*I##g+O2x(e(B4*vs@T$&+!B3b{bj)o zbLGn*URiuWXPEX6qRhbHx?Xc|T_MIMG|SU{c!ay6NOiJ8>(GWwv0~9L2+J$mO|K3p zerG+u)ogaAKRbt9gLdspbk<}t0g)^5eMCRI*VM&yr48=PjR;Wv&W0BgsWp^UGN;9z z_g=uT^j#3dl)3_x%kIMY{SR7_4+117mO6daF=K*GtGgwRBL)G6hTC&zs?Tte)t}3B zp%U?;mIE!eQ9@hHD-vZ^-7=knBev5ICPmqM8IDS3&<~u1uerJUf)t<5SW<375DK%p zmtRwR1zvXet?DT8BBPV|r%OGjI3RUcM zI&&9DwbpbVQGeE2d&M0^2)Q~02pzU4;!o+c5;8$8fP~-)UMKV0q2G}zM?h;ZQArGv zPRUeqZQ}ndR#|`eK}bXRys?Gh&C;?v7n6Eh0|8Ve09NgJt`2b8r%tMF6IA6@YP%8} z{3{uuYxq{P)U5Qc%P+>16T##H_4NI90q6b;Iz=GZsC%~Xi7E{&ORuMs{y(l9>-yyF z4vUxLryHw@r0c43++hXw0wa#E#^~pJ8m$wQI7Ra7hw`ws8#xDQyrvx^^$IRWE}?&R zf+(>}gus9SN;{))iaqCyy6VK5+By9%9lxEVob>ke=`kIGUi$EoE)xtluYkiqEK}?z zM(T`HOQwc<31l1X44K}X5+i|{Jrv?>f?kPDBy}p&{{1ZSpxQet#S*T+1I+Gsr#}K8 zt^VLyW4cjGz;tUfD>QObm3bJv4k}JFWooUa(*|~l7&y-t!`Bi@8S@4eZq6n4=$52O zeoreh-q^!f>l8u>;lE|wzHi(UC2s0FbtI{F%xXSc#e0YZ zTI|2xB*cLM6g+CCTq!7l6tDuNSO|asP-;38IprF72B6Y3?H%QaKNbP(K9Kk#qaH#Js zkRmnha&;7}5@Y6TWjZiXg3^pYHkYB9Ttl89D|FrW#M0X<*Q>y5fhKu$DlKokC|04a zBP^M$BJ=F;AI$}3FPDTHhXnHz6ku0UR^$1R$-La967q96c1_G1u0CMnO_o~jd;~&& zpxhs;uO@^+i(iIei{J(Lybc%M_~e!W*u0e!^eu(}U4L&v%u@7*kWE5ayuhr9e-eD* zqg@+s_0EucM)A&g(YDLpp;^u8zWnBtWln|vnf4?}`G8Fb2_P(m>sc~#U;>I!)Kz+4;_SJ5}eU%fbH4*J0P# zqo#|_j!}HJwm0;H&)fN|5)U)A%F0fEeRf$7*P*_kS5yYDV(?=@ncMoS^XtUKs7SJU zI;Nf`*ue-cjanMg@;Mj+U&h8{k@ZtDXWjf=)fj(Y|7Ok6Sw=-pPNg!b?;BBUTXJ`x zPna?D2wrlNd@P0YCF|wf>0)5PdTe<0pXxj(chU@DCzw>0W)U+D9A!K0C}fuM2U6CQ zR}MFQfm7Z-z%4MZpO@AmK!4h|y z%84sWC{=dSpOqXX8!?&IJ{{V=XcGNYPJqdza^w?B(IYxR_3Mju1BW%^%T~KPy9XYp zxLN}P7dPGHdF?J8WNyvgm}MUM*N6(Dm;WjmRC@kHkTR8!Nf?yAy`~F}h*q(uq~DmA zyKi8=}z9u0QTI<4JqG=+P=uuu(qkO%?y&fi+VbmD-@$O`%t#(lJ zzASEwj+Chgo-tJA^uZyGBn->ui4aBhal}`)im=cGp=mN%;tkEi`Ddh5@}rM^CKBEf z&Obd0KjOQ?OYc@#GSmU)ZI|btZYPC=Ew8JF4d0^at}r{E?$BhXcU&>m_`aACqwANv z(B#uU!I|f=WC<$eImSOeVH3~erk?BUEiWXOw~{4i899dW91C9JqC@v7b|SVd@VDP-?+b*bw_xa7OfYz(e1+mUJ8{}%c~g=rmD6w=@L zOY}nLp7CFSPJ<8N7xw?idJmu`y6=7b^;HB#0!UM-iu9)R4pKzv7%5U!x^xJ=2~rdU zqy^~$hM;r^1PDb4h;#_jdk29~rI-H=@8>)7oB7Y|WU|X9Vej5O=RD7O&biQB{?qTH zY)kYFUg;0{DAHIpoH_Y0u4=1$pU$1bOx`8$smrGIqMO93487br26>5H4>QZZx~2dmX{^5u_o#$mjY$HWVts7KPJ4MnSx zLRLpJR7o9O#CN{VF+vcDXntD4T5$*GyTb3Hk11CYeAoZA+?^xA$R`ih z)KtkI4zIvp!4C&?4`92)w#q>^6N7fQNobbV?uuKe+8LKdc&lzV9`46!)(&Te+F3?tDWma7ym8 z(5Pu++f+?cN*1SPCT*H*x~aWg=CT~KiM1@BctWmt0({apv#x-qh{;?PnnpNATUh&r zROQ*(PAxwziG**$Ld(aK;!IDZ7O_?xnpD49wI3vo@LQOi>Qe5LaRZtZpg7uHxuowt zcNQr()*O6XP8RTd(@$<}zbD`v$1~L0OT!#fS!h@l-us!ACLEC%M$x#N>JTHCQ9H*y zd0U%7firsJz4gc9=H)_RdO8w_8V^LRb*QGt;tnXOpi_$jsRknCLhTv0?Mq4U?Zq8@y%wD;-T;aaQmi_x_?ciB$1=yRuy1Vrw?h$Wq zQitE9Zgn1VB>(lGyd|3XcJAy)%3wDO-ui~+O0VhCI{T`Q_Xl$EY`2L>IA<)CN!xb2 z9*NR(M$HsS-@>b!SMiXCVQ{zb?bw<6-Fx)-vpMq8>9nSK9g>qg=N>ovAozaTv!iCt z(|JWwdr%XF7Ul& z2eEGIa0D0`ESlDU($jB19^;ZL&RZy+xZSp;=NLC2RsAILybnj+`;gse(%N5Umh{eN zT(B0>$SlTwjCM>&J2Vqslm|^yjaQZ8&ECIIafX@;hSM(OACy^79p0N(&5VpQN z)A*~?>AP{6%9mN~GE?WqnCoG6X3{jUabKATi#96B)!s3P*(|zYJRbTPM`7r_+`rBs z7ZP3Xd_rMpdxYAQUv_?y>5|qImx#Xv8|)>4WYL88KCP<`cQ(~*2srqpVB_Rv)#WNh z1c`QdiTu&VyfMn%-|b7L z2On9~!=S0hb+L}|oYBjL;vpoJTvX)LGd>ZOsDst}};91fngt zh5R9(#uadPRajJ={9N6b>hBMUnEdiKbh&!3@!^S>%}4E6W7(1U*LI#s>e7v(Z~CF# z6&{`|Ds%QZqW(F3_Z%}3MjB`D@Ub5;f1!?XtNT?aC@BZ`)_nN{%4XkVCh}e$hunIQ+i45?!g2 z>UrCozM_p$1pHVx)mR?iPRk8-@4oSr{3?Ii%$<-4qi1P^s&LHa=xfkm{E>hT5S?lp z@&l`3KR2oR4j23gk0aS3&|CMP;erz`9 zTxBg7pg$(NBP882lX13V>XKSl5Nf5pu4N>`eK8F!r99E}t}FU25)rdE2)$0IcwUtM zhS^7v0_K_E;cd6XJee9txUMfgUyJiZ;mKAhKO66U*VX0elINAa(cDF6&~xhbi$hPh zQ227`=7Xzn_r{;h3o=4CO`rFST?^J@dtmK0Rn8@5A5dx;GV;)AIDwwYH_9^P>5Ke^ zX{N*U`0s85pJ6^fG&>2;BhvRH*W~?vuw;6&UhUId#>`hMd7*RRzDm$Yv9|23mQ;(C z81O<53*~oXq2+li;pA4Fn2p3>Dv0+^62cIP#PII!dYo$C4(sT!h&biY(V2V3uTz=u57kK94Rr@wwZ|j)(2{yZ1B=J`T3g?5Lx)>Td8%R!6 zf0hX@YFVQ^{bP0c047@SS*DC5PR?fKrImTAOm=wW2x~3+v&4ERHY9sB#G(b!FLj|rbAp?g%gViQj(V?~(01AVVdLYOZn`4Ceb zo?n%iJ#g~vZCe)MA*QCwY)Qv^eG>Z~marIf3QW7LLPgq2-lfyyuOQD&9R@grD4e42 z$5IReaqabE|~yv!7V#YG5-%WaNYNn1sl)#v7M(=ovHz~>&5 zqg=nt*K@4rhRv3sW=miP9=IUY58MLW5lZdlI(Pi`;x)XtB#uRMfsY{!zup}?@`c7x zMOy@M{Vo z73kX*aQJHqes|FMqV8VoblKHGp}UNA!*^JKf8v28Z;KU|K$i;!mj?fN;c``>@zu&f zK1abN*UIA-579h={Z1%r{GgqTl-9Cc*=&vcxcP0Svh+?f#G1u;-r3MmN2@$-gDH9X zd5UK&*FhE(x_IqSabWG~4MIe97wUJ+?y+*uY`7_-SvxIA8$?IO6~FmS=5@C2hs|C@ zh*f?@Qrcu_N5j&~10|Szn-+e1*k3JQS2fvPdri7%gFbtuu1u_;fK)w9y{Bl*YO-S ze}CN-^-bW&wHVUmjmsDMK4`A~H1x5$4pVbN?Y1r4IP~<*;M`i4@au?e?Y6RK%52dM z+z?Gz^<|aZf+t%!q5*2dZZY$6bL*RIFC>3;v&ZvHq=ut*Tg>gRM+UeS8z_?0EyjG# z77x*8fNM@kHsKE~HS`VC!GzIE6RhAfos4e9Y$)7e1Z(+_Le!8`#+Lb=Uo{dUE#xCTKi7#UjyiA%VCX45B};dbAlzb7sqmg4K1 z*QrWH^j5-X66cXKx0-6SVUAb%TllFpZW5y!S$u%Ho0v;@ z@V}wjQ%BcgrviJl-N*^EB@6X+=z6MaxhdM%D)qsCGhwBzh_?Kl&CgS(QGtbF{LVP* zx6xrMa>R>hR`GE~@Ws>PWOON*83hm`hQ)~uqWI6h*%&5CYYP{4#3b9bdTjN1Ce*WK zK#X3dK4|Qi8o@~$`e!;cS@gZ3y7##>cFgJN%9vAIs;9w2Tk)+u5o2&a_9+6tTRD~{@;BisO=v(RV=PuQ7v=A;z4|A!`~z-2K+p8N2n2_{cUsEGL;ofM_Y~2 zI^I=wd!Y&EG=XA7)>>@dEQcK!tenmF&8+_Au+Df8pe}L*+Z+AewbK7>I&J%kwe#7L zm~Scj=jnQ|HeJ1^OwUTc|F~x(vOk7Vu5v7n6kU3K*x2VQ7P*tpAm=CPi{~}6eB-nr zsO3%F+Y;=RDdB;q)KFg^XMN$BlkR(8m$}R>W_!?ZsY75SSe0}tU-uosQUg#BA6~a86w+Sz?+Qz701fg0dUv*8OLPaK(mg zOso+}zo@qB&5TSeDAwP|u+UuK-jVkXd|JOCfg9}q!+U&~J@*c(S*!9jlV|_IPqN9E zdD`lSZh3zdNxJiFAF_c8RVoJ6NRI|Q3B)syL)C?|OYSYiQ>rpA(%$u*i4X98;JR_M z)yKn^VA9oHq0duhX`u`dL`&;Hp;gd9mK1*R3BbQVRkTP;qF4 znyEOTzz{M5=K zLGSO;){TORy0}moN)nuO8rC2E8p`oztv(WKLh$Scl9XwP%Y_VbYQ(@$U2e=u;LWp6 zvd!^P>?mF0()%KCihftY{FD|0$uWL=jSL9Hu&9%Fi-Q68&3wakJGi<~#$Glna1M)) zTrR~)0ke*N?BWRp;(^bPc_KzvgzUUzpkH*a**CV4CnK2To6FnAh2|~l_F})C;CQ)hJ?`S7j@>*Yj>tIB@86l9)L0w3gEJ{ zH)^S5O_v@0;t62~;6a`;c{lR+E^Ww}j{6<9o?h$0t>j(u9err#p~V9(JVlld0AR}K z#b?Jvtyn~5@2>4kP+Y&0J_Hfhe^+_TvL(x+eZeBvB(y zcP2}6j_uQZO>Zb9pvP=Bd(|<643kq`?H)7$6piOH49QUMI40LbIYMFdWGP+~kDd5y zqQVj*9CK&_vAyLmNxJ}lNDr#x>ny_~8;BM#k~!8(zmbR>kq_%vxX9FaK#|j+U2h4j zD&P{jnNfyeI|PEiZO-?s+@1NwoF?M7OlY_)o3NNjNM`CCg?=OulMW@zz-1SZrODH2 z*|m`R5wpZK+v0bx4I2Up)^HJ zt;~ZR70FS?FI6hxmq|0XL%QwO#^4G|Sjbpwc2X|@mbXZ_B?8{~q|IgJhFY;uFp;F6 z*RGJfksQqu7tu?DhD?7nRfLpdgDjMX?uPbGO4G=mX8#p?AD#ZvWIS67Un#3}nBHH2 zs;&KdeAv|aXS*y&z`!H}Fq2op)n_>MJ!9lQ$?5;z;OQQRZXO8^Pe{DoWMzHY;2|z} zv*n={V3w{Zt{RdKZuUWBxKUI$9k&V=GPZj7c=w;*f$K3DNS-@KFJv_SdiQ?^YC89C zY~|qU@H~6fPATi@pS`d`BZCvkiewtD`fQP=s){xXuUHIRbJxvPW<-^DZ>9vvd$GVg zWLb&f3g^zBDq3U6tEWQr zQa~~{MAK2cmx(gQaNL+$c>j;13c7JPXKmTz-OUHL->rs^0~IhkY*Q1;Gxj_M^p?LG z>{cX_g$*Y!Ez>~UCJ7-{NIHzT9oBj^-i#}&eEnZLC_=c*9`OxK=iUe*pKIOAZ2wVS zJt;cdu3u(vG5JVPD1`TXth`*j>9nEai)H;h%Rl)_T?H*t;;mo{ZYl#)6M0r5u>w!m z%w4c^IcbC`s)0oPN;vq!n%|rm4jN!tW#38~Ta1nD?SX#yv8s8GqAf33LKwy27-CFm zVL}!CA>#2qiqRKQy>wSxp=h?Vu2xjXdoK|6VK&Ct;J0@ul8+a@AzHw&rKJ+Pf|Ppk z@15Tp=Er5WhW$5t5B7xY%|xZY8a-9fh%xEWsg_MCOJw`f7%oRAka8Wu#tC7|+cBT) z+nBwSC@#0icv^I{&0~_dvmrKeNq5uH)6SEbVdmiBM)OX(z4qu;q6*{j_Hf&OT+Yp& z*-ko>_m~->tfg04tU4e3oAq!!kr-=Yd&6^~(OLfv*2Mb(Zq~A*&^OP_?z?yxW|rYv z%(`W~?cU*~2{o%*eFY18SCh}H+*}^2IN$IDRFOfqY^lyrwOsiPL0?3f?lqq78vq_j zGWkWXM_QHt8F%7&MewY)E3!+5#Rb!G@eZCmRB0fcr^t2oPC*Al$GyljqhVriCXDH@ zr2k0S&)B{G?ilzqqKyWqD_Ye>PPD=C*_c>;TyYuTV*$=A4+QG@-4 z(sl+44^BR&Bb(C8arYBgE!oBR`HeO z_We5c2A{*um^+f{rz1K+pgqAxn;sa-=%eCbT4vPRX7X;m?bll8pqy(J@)S7&@v2;z zb!{d&e6$(0JEAi7;IJ*)>qkbl&c%Hlk3WoN#>zDO*aE~Lfg;p4G%jqXF?M2ih~RtL z@^G96Y1vcv+oPk2TwwH<;|QPDNV}}l_OxSKQvw()X)tEFyNgsWGJ-J>oYM8^-{o|ha<&HROdrRg( zx6!&Zg=dYF2GlAq+luhDDM4%)&kgSzyb-J=oY6B$ej|OfH`@5!+g&vJ%3-prZJ%Um z$g8TV0$7B33#Cg?xLXkHVLI(|?EAT4Z&vme>SEI^Fx@F@=qPe^rii(~{8iZOt&9jaFGn+K z=d0Lp&sU(_kU)-yS?^Zs|B%4ZB$ZtOk5>&J5=}p|ADRYe)8zvto!5!mcj}E>hbjiwo6D4SG`X z!HE1Nxzt#$#}w$?pQcN*+p%E3u*8M`&ujV(W~;((e+?c}h5o+FIlPy&j>!bl-x43U zRQ|sHGti7$nY5d*9*@rC&*+`N@+;B>d+#ewpAsRpu0b**vhxc|&2QGiT{E6D$Rfo3 zxZgA&MLcfcTHN?3z~7&xVK+vbql@2dqZsagO5W_M)tA`pmF$S&N|zM^Y7Nd{pT)4cdmp}jAljaznLeAlp3X9 zH69Awh^eBYWUfkbUxyGtEm9p_nb#7`BE_4r& zF4I-7D%*Qi%Bu6uT7|r?FQY!|nd&l7MA17*QAP+wfk-)WDs3|QcC=Z`H*sF1_z=?F zXT*P@b|Klr4E&EE$t4(CzF#UVXj8HJ5&(?ui`(i=w*HoLWpn8pzy<%2Ev@Vx@VG%A zv{C3d>+0`5h)I9`6j#Q5%Kss&+jXJgeMUAH(0|5zXYF-Z;PQ7utQznfwV=P}p>yQU z=ae}2LNaA0qYkTQAv`ZU_TiUVrnwYl-)>taRjkR-6jQ4@Jf_^JAVQI(CE1vvHoWdL zl~_#=?TzGV79CDerKgPWB zQB|87ch!$Zy-XF=yGmF8Hwsa@67|krhk3*ORol{Eki0~h^Ci%Bdv@8NX@zQ@o!7;b z+xZYus*vO+joSMBfpO2f`}zd1we*B4AR2W9S;{J(W*|^AhxC`#ZTk{v7rZ1p^+)xJ zuw)ZEpDjgk*{YZ!>x?+9T@Rj)BXO<-_+Y>w(>Jw6{2m>hIw8HOn7fvjZNc$vg-Ib4 zmn~*|4Km^OcW_VMxn5DHEdF=E;A2BSeeiDIlN_mFOH*t?r~ghsWy#(#Nc`JK@6mV8 zDER{EPG|~|-s#OQ+c!o{szO?nOjl>iCUYBOMyK~8Zs>@7w_cep_AOYjk^B-l@w&?{ z-HJ~o$Bmr^nSdP{?)!c&`rN~H1)UYwe{PPCHS@32{lI;}9L8Bk02&U0$9Rb@){)UL zK#v^q)*iHy?{7|pMQCwOhD8LLm>&}z;+`(#-TVs@a$bH~H7bJ+Sz+R+ETM~>#r!m6(Yzqz1(j9sj<$YhZ^Wb?f}HGK@z<)dmf>c% zp|e(*8re8%6?GkgS5G?T7W7rFs2OH@wK@EcFHG8`cYKeeJY8i(3VB%3qR1HF+x&zH z`xbYy_rCE(cnYq}mTaB2kT6vkY;mrX$davSaMn?>wxRSlo0vR777C75I&ybTxrAJD zUwPY=0`h`rp1||0%N-fxhpdJ5W3Lrix=kmC1ZVRCp>92}^LKo#-NYN(Wis-@mUVmo z05siT|6_#5XE)^Pc*s)#(Lv%n&@N@@mp|Qjzf($5<_na}cIqPADTCZN^iaZAgMXCC z@-n{cqqv{9>3$hc7kP7+3SCmG=8B;)6IDu(ffvPl)~r~{)~0tK4Q#KxUI>y>iE4G9 zDZ|Ru=%sN5Pf?0bb^b~0FCB>H^%kX@f@RUT5U2hYs2sZj#)v_oIbLicQsLmC^486V zY&G>QgDBW=eSC$=a{@Aicl)ABKHvOU>rjjYk=YDW1Z!?{gQML;wvAm|Cjc=)G~*lt zy6pr8_7o{RM6})Mc#qYp#|wZFR7XMZ-p)f>v&bYq)s7yJd@>XoH7#JCtwkAW$D*0^R@9at1-!5qe?i^ zOM#frQ7~)AowZP_fZ(S&VN|U>8Z0wQ?4N-9@e&ur-+5MZq`G-ifw-JsG;8NLb5;8Q z#R2myeK{@1SO% zr%Zo(noplR{zT+{HhNaw@e0Vkabv8>K8=SgbyW(3jKe(wzZt0W@I^gxOnU#9^~Z84 zNZYXadWjN&z5O8*YzHA6DTS`~|L3j!YYOaWPV)RlS1O;zr+GeE;X5f%mf>OlXazxY zaoD4}YfO}+(qApDwaD zJ0-|!oTlf`(2rE#WKg|k#+C4_zWcNuF=T2U^nmUTG#W=BBv7*-CxeB2NP(m6k-O3a z8~fX?2MG1N4_qP(Ar(a^^U}5Dp>?vSgj?Y31!1yoecg7lv1XudOMvyghweG+O^EY6 z0CQdEb!15Df_f6Ek9OV_X8Ldn3l|E9(lRLUKs0#Bz?PMmtEG`Cc3%=d=2SQ$wcLU-_jvn|Nfm2J}Rm? z&5XM4D5>I4XO&cqJ}S12&XdV;8=bxF%4s8w+2>29R3>+_-iSgR#ts_m+5({`h2dec z?{zshtt>EF4=7+~74vY<`{JQ{j_*UY)?S9wY%vit8I!D&_q>TQJbY4l>StG-Od72l zHv8LH;`jqc{u}$BZ8C?si|lFR1g3>Su$oSw@aDZcLSi%AiY_;_S|p^+@TG(7`;zH} znO^tBIXoL*wVi969*Ck1*_8bLDvDNveC2)V8%hd*jJ~XPv7_x;>+?*mDDV`Kb}>;h zEV(|B;ZO5yoPXoJQpbV2cWb<>R5go=rEZdYr8Ca#XsngtyO)cCjh@tL*seTr2I*wm z6|B$LHMO#-MX?kF(b7CWNEA$-hYZA6AD{ZrU5+Juvv!1;T!uQPfuSNR!&hkoBlCud zR=pY~H3r-eVc9<4gz^rU>kr)idYDL#!(**e7XIh*9Cz;;(&8hek=6|JPSOi*?2AnZj>VL9Yj zO_cW;18bMuA5HRK5nN7-H!#$N;wmYiP%QOc)Q#fPgL}}F|0GbAPlfV7t6%jGBv(n9 z1x!1jV&6rOW*;`c3wv&3F5tBkD`~8?lq9Pk-WG*>&()6Q(mF_fd|j^pNtVRIHFA|j z((qySw;SCbrt78L?ti@F)D}f6lhFA~*4}mpwzu;#6`5$oLd|qlfiM^3Z2$!lN~~Z_ z$K*_H{;6RCq@wn~%;}Ov@eQI@xr;Na{8&6@%IJEWG&MLBvloA(2)2?1X56-=z3da8 z3hhrOP*g0i-lgU^{|D6Jy#dT0Yq7a|&5Kj?WL^s4my64|I0EYU-i83_y;NeZ_q5sl{TlA7te;vwt{Y4i4dY7iI)GQ`OW;eUI$xY z{eXfqTK1)4LTL`=uLK{={(+ZRGf!FArV&Q*7D-5Eko>{z zx{wQ2kCDj~$q~BOFWzz&mHE@5*RNlpC@FTna6HoP*OTB8DF-{?DLC{Szg4?d`o_S! z&;f&6?q=uRAC+9J?guO89wh(q$l;sv!_n+=0WT7PMQ#gCmC-wl^iLG3)X#Q4xq{Tv zJ%ATj+pdo_A9u~2l|8;3+e^SMI5{T!{nWW?-xrn*!1!*;w~fZ$T5UTo=MfBeezHfS zY_}LtS4*0i(c9K8w)V_p+e8YJP$=xL>a`42F!$mn4@2U1xqvR;mfkMsP9bNu1Gjh> za+X4}2AkMj+Pxa1W7@#3wfx1WK3%yuXL0z&WA6V4!d<|*>!;pxaZv}*#=EHVnpdK` zK5FLUJRFF9n>Zq}2$_@*;WUVj^87I-jlKRYWy5EKn)(KJh$iIn8xcAOLz4ql!q3un z5~g>*4ZHU=)mtB}y)U5htRe~r>}BS4C$B9I{@^({>w2Ds%R$0yiA80=Qei}zRxYta zU+2Glt>_o-8V9W#$}tD^r6G z5>r7>0AZAhKXBpw#I)+nG(~zL=5Xl6HpkBA$ zLvF0`vPzXa>cc76T)hW8o26p}k(&LOdp+hl(1 zbZ;8JNYzB2bCi2HNp~SwVls9b5C)35C#QuG-hwGGrlqIAVgn(O`iAe&V%xz-J&zqD zmm?I&D8s2I?#JQDlgu&La+t>sbg=gR$e>I~=zq)yph41HLo%V!gn1+1wsM!XfT!eK zGi3I8S<=szy2zPir;75VpWblIyv`v~b)V7i-2H|Uc&M*I)QERH zxki)Cs&rf0PiFVWMiP=X_P?z6DRrRHTmonFBCGnkc)NEK85PUv>bI?Zg_8*;Rd{}( zrJ`~9&+O<+mj5WQL09o?Pnf1Z1}GLTI5DyMk-_}N57zo?2KO*KY^(X73&zSqtHXO? z3OGy8s$H93Wc zu*nS?sXtIcFc=c;@vZK1s3tPdNyVSQe1khEiw|dOAN*uv^Loc(cYMJEo-G^#0k&i* zUJLClI5J}>rKUspY-o||qZL)J#w^>yknmpm+TWHt_o33n^R1$#b8d(cjYrNPq`Rv$ zI;HFDR)$~xUi0w9l8DJ)(UA9<&bkvP(Um4^jIHuE!uOx0K%=KOza8{umq{DNy|DB? z3)X*8->D1l7eq2xr#3VaD@Jsg9hXLPddFhv9k*neUpS>744OC$WDD~mrAv&f!so(c zu6RlXsNYq^y0$AKM11D%?NAms+Hs5fD>+M^#h9%9v8!GEFxkh*8gr~O^A0&Y@}%Yu z%;-4}qywa(+E2fz{q^HRAMWt`4(AM&PM4$+aQ9eaTxh|T#9s54+4o@)Lv;P(hpFnN z7I)43R?^cHs8xiYGpM!=3aIh8@vn06dHl%3|Jc}HkCr53*rsoe7jWU_V(#KSRQavin!v#$o%W-rwi9;l<=zfXoh_|`co_sr<^^XToSop|I<#Az7qmStOmm^rTy`w$nmk%6*kX}Fpv z9)4_E)OYE%(y-k(F20j(8VooFrV-hpz6;p{Kj-F0>U#eY!~_p<+c9^>f}V-*&&){> zKn&R8!hH?=oy)w=volL?7&)4sLe<;8tMlO%NuyBDUAi&BZj&^39x8iNKob^gd89vb zS&}s$=*%1i;R0^3R$Pud%zWX*h}hyGXnk@K9ftl*8^ac;fsLzO3|%Ag&H#Fqz>a?W zZkLw4A@}C!-=WqvzoA1ac=y=a>pFMQJV!3KkDr*YES}WG>`!}WZ?CR**+?K>Ni4kD z-h$691uGm>f1yZe3*VHteKg0$=9CHOlU{~Q>{jKa7N)@}5k@sDb(fuPJq8*S)63%~ zRX~R`krbW)YBv+G;unEH?ef!A_L+?zJQsS$zKH6FVv|iwF)eJNkfP6ybeEe>Bk}nE z9)z|_$g!5UwM*uDf;s=?SRA+TzUq3}E<<`{vw2u+zeWqT4Z$;k#~o|x`&W59NaF`M zAp9X#^Da5}kB9bX$;xAueJh<4ursV%ZQz~XSwh@WP(=Uuq~e>tJ-PMfDD?c(p$Bk2 z2BPp7PDpZDH8^Ry{ou-D#g-XXFyCT4lkOeMn08`74L8!CW~r7(oHx0l=75BoEnvI< zdc9S{u9y@{#B62(IvQ63yDwb=8{W?Ux%=%TQq_YxkHG&iWOy>|Q1dai=WIMt>-WZ& zdT_sB@<)O`XoHI_({IBNQ{<|XDX%c0hvaz6t4dhEQtX9BHlTIol&@(E^n+G^{12HgA~*ZfbbXlZNecoL%>NU1@K&b+Rg@}e{;%}eFRUVRvjj(F0!=O z_|ptH*~Gu9wM74WZeJ&rV?BJPCiPA44!k>rpalzh;!W}XBBW7uM8`X{9<(X*izo87 z5d}Qon-#~ryfxu$un{Ml?j-ihPx8n|s>6A9FI3BVha7!_LwaQ}d&*_$+8~r)dIx7I zI?ml?Y<)ZWH}MZ#+kvLXGW~TRt_Hd#h->Bt)PvRadeYPt9kTsopo=Iw<~NWWp4t-P zV^}<>7T^`^MQXX7Xsh}>=P-JyRJU}46N9Le|13P*6&Jef=qVN47CfyEu6m*Ucvs2czYa@u{_ z3UPQW4?E3M{<7+U0`_~-i;VdnE^Ic8JPQbxwDu_o2K+|Igvw}Ec_1A>EdzcA?Gjb| z&B|B3&tJDz{#Qbm#_gwFZVvJCwjfcS8>*oA-hQpIB|4zhw1vIVttB$<(VD4SvuE=L ze_5~^;^6`zXH2HHBr^{BrOacdncAM>g>I89U|v>}@!x#j?7DS=8GG?k?Femcc8@gKfll$;GTT_CL~jTGG-Pq7t~e2)+1 zPntmI-_-%CI+He^znp{w=#Jy*!eF87RKW+vdpup<`XGi*HWGp^x6+T^bv@gfq?b^_ zqlZ(Ba&_fO7U8Oj%Szx3+(=)irIAFyVwu8)6KZqxHB-|#?&6~f&pjU;FB`HP92aaD zadKQy#_o1G(vrh@t8kq&u(4m(}`w=J~3@s==!V>m(C!E{1g<; z3?HBVRC7-@(O788QH8UsoF4QJ18wWFfL;`c<#Q1r%|3865Lai0Em%IiBQxjIu&q*9 z-K*eNwJhFOB@WIm>CiXSs8rzrYgED4sf0R5;4)d}eiXW5qG0VMjhN+dn>ze^}G z3iAGhIy-r>vl>I0*G%q1$;+*cf|49E(u{?{cXbmAC_hhMA)w!5eDQIWJ;AQVD|g$Kp#&GFMsugZNTxP*Lo-tnZ~ zztm5u?0*u$X1UWwW%df zV97(=2ShiF5Op29bS+k{B9a zhh45O2fI3Y?kRO%26s`tAOsJ`LP3U18sy0?=&rU1&o%ju;(DDl1+~9qys<^;Hd1?s z<#LIWr9Di6Syk^&_jpXtEskmQgo=g3K~S(fz5iYBbF7Kk$aNt?W>zt)<7k;LBw9>T zx>lY-+9%S%%px9*!h1jUi*+yyL05@=u)(VZqSr|qkcPieFs+I&R(z`&N&c9!JQoUv zAbFRo{}v++pSSqaC0X^HS44pIK!sK=^LGUsnUIU}cNw0%>S76&VwC&$kxyVBbUhGv zkL9-b*kpA_>+{lWH-fr62$8tBNgV*uBA5;!K$6>7wZQgc#B#*LkxS6FqrynbzVD=W z4&_5?yjDUNp^dYai%@{XNXRb-T?8yB+>I+>kqvnGh1K z-0OHQwp12Guv?Wk(zy*&Ug+j2jm)p(MG)w;ER;?6Vaxp48o3)NKa;2ih?9+O2-PNY z6!0q^uyP=}TzXRT)u#RHX;hwY=|6*i5vysnv9!DrzaJY`t_b^j@fDOe5H(HDaTNZl z?!@^1@{gzVd~wNA+B;6BHdma`(}KO2|K2`dKi1AjGT=&6i4nOSYYKzDbSoYzIE7=eHa_#Xkaym{sgr2o`Nsl&eGz5(UOur=omrf4zgoEm?7 zuUrlIsKa^f3m*E6EgHGeY)LKE2FdT@Ii)T&Q}#cwotVH zlrPM>7Jba$*$*(|bx3Bnd27tfZ9ZpGU*0Ziq}GMi`C_9SW<7aGj9I3r+bN(4`2VGI zf8hGgI*6UycLc6*L)eb#{uO&7s|x9XkdHC0EywrR$2=j>%rl$RK!79%`WRxNpkc&7 z`tdWHbBM=%F!hGE`Om%lbmlg@iBuA#??(%qVV?1Xsa^ck>F zmm57~NAxaUt{Jo)v-clN`xqkcov<8kw3%tF87SE@F=^DLnW7x}?o?bs z#K+i`qey@y5(~U*Wn3}JvzK~0#SnG6>*VZWE7yAbRG7)gWjgef+lsYxH+%IZTV}bf zy>M)zp@e#{35$p0Il7Mh5UkADv1Y15!cS*?CQd%ThFzZ0M=?>Tu^RU;6F=V%GD&>7 zh2IVm-U<0rN%aZ8`zP(^4QNATV_#vF+B7A}1L&OTikv|PJeoT3E5-mxuBz7Lqt!=CA zar(dG@)N1NB7JMm!)3C1SVZWZxixu!gBtQ{z)D>5$uf>jXk~gXV9tWkSbwZriUw&; z+TB(&bcHARLw&lg`rsH1o6xH%!da#WHLGl@h`40u(dVRBMzV_ac`1~H!i-pc^%%dA zMG%yYy|^KXJF*CZjsy^FKX5a8Ia&?fK1y3W(}qxE6micdb$1X|8xPnI(=`2YwAoT zGOBjsLSYLvuP?C(#}Tzi5E657QNq+3k7?-L+b%oC-Yd&h#eIN*dzje|MA)crh4J0Mx~u* z2a&b?Rc=JS5 zm9BE`(1DlmTZy7Da-R0?dXtIC1b~2mx(hxKQNSrRx|qO2a1w+zR0d`E`iH8xxyELMcmbi@O&8pMs-}*L;gqS;@4dE^NT?ceY#pXfi4tF9_)mdsAnE_QVXBHT!SOV@Vjg;tRi0$I&Euv{ z3F=HvM~RepMf%}mK#oB`*qskTGxJ4fmJAhatUiNEE9(iolcf~TWNFl_I=)udX#219 z*nyhZ;9HIndn$}eqKMsV&)h>gevv+6XBBcL|Lp^6^3 zXb%;cKbR;_l?nQck+)La23=koJ_D`jfYPmV<%I zPEH}Yurs;L?8yy~lvId(#Gs#0#__{Yy1!i9$ zWG~G6Z0(k>9qWM`4-bSiQW!CcCCQUaI~5Ro<; zFWcRuu5I4@=oX#?*lBaGu+zZO4xPqu@_inRmM>hhxZoMD!S@LVs3xy4k^wAq6H2(J zAkwoXta?M9(I60xY|!~k(!IQ{A<^e~*}>}%Yb#rPq@)y$_@Pn@MrjvBfgU4CYz$XsU*n(F8QK_Rc%nNg0~zey-$)U zgAtc2a!qtNLn>V0k&A+4kTf9dunOe|d>v3c#%Fn5+y6x_*E7y4RUU?p!M~97@`}%H zIh(g4b4YZbMSBd=bjkeisY*PgNZr}}KI#q@B<$$cGi10ZFi%GPp@9!>1QWO=u*LTk z-J#uqo$TCJX8BJ_H934Nv0#{DDqKgFl-oBlg!+nW5@L-0e6tfKetGOSxBi2Y({-je z0(lFIku-api;{I8P4y+Ys2^LI!pXeRkcuazy#PG6BUOI})~@%S7B@U4TrHvUq|8mA z!dGV|9B)cJF~^*^SgqpIX;mp4m2vPZjvfIjFUdgzrV9+Kol*|)M%&i5hP~LRmLqj| z-03{~;i2%@*Y`bBzbDS~>$6KgBKf`p`7tQRgz+m#zRn_qoRDOS|zY%GOP#Qm%)3XMi0C)$z?pEq;M55el*F@>jKhUvZ zAPWS-zm~$K7wIoxiv8jL*T=&7Bw+8z@Hb*l0Z0J>g{*BTK3|#r#9z#lLILrR!V#6N zL;cU9{dS!P5f}b6(d5yy3;kb=%~=IF5`A9A^Wa5(ZW;-v#R`(0U{Zv5?Fn~Rm;go-I(v5D6 z(eIT1;JM_4%*ID94sY9Fn%Z2zP#iqJIlv{E)FsvB_+vpgEHS4vlkR<)+QxAD4h%LQ zj~SNs55iKurv{AW>1XUrVI+kA*t8s>?9?csMXH(nM-;7VTCzP$c|$2^nuL(OBI9S; ziYhLU>i!ob6H{hMlp1$VBVu=tlNk9Zk~bu?Eq3=N=9Y9TUw&Zs5c)6EL|`rZo3t`% z39O~ItCx!gkIL4D7!PFsz$h}p36Gks<@^k-5f}Kp3t#_fMJ2{l^v87Xt;qmc<@i9+ zpPw89r5vkGQiG!(l*iGL=>|&WJ*FK9Tfe{eI)26VXO<8}0&xtmb2%e;p%o@wP`xL! zEA(ompqxP7X09AmzoZ=b6uTglexn5Tdu$7fE9#!O!*yjuQ>NX~7NRV6bNg&)SekLX z5669nW+_-1==0W(Gb?Y{pV3y%0uy1ppy4mFB+Z!aj0a7)E;kZrkX(x;qrnGJT~d&m z^#8Q$3A7Bola(d$>#1Wqh8H46TY8k$qBLj?HpAmEz5=JTlB4wnC86q$dJ;e`iDxz~ zd#eXT{9@c$sNG?dSIj=r$-@Fj`!0(1_pe}zDEZF4%eXY0*~I`++~y>3)+o;aTRZ0j zf(kJ7+J?eQ`Aq8Z@xDpA&R^G$-|9vxJ52Ev+12`EbR!oS>q~TvlBLiiI4>w>E)L0| zmyIivgn&pGiDRdpcv$8XiN7^U22oWzrU83YqBzDJ{}ueLN5^vZd#e2bG^EWlj+sEn zv-_JRpY-{iAOpa_1OG`IaP@T-<@-cn%!`B&^Wa*|EsoUYrr$l@6F1-e|A_husHnE~ z?|Vf>1PK*TDG_M~B&0({x}>|iyX%USq;!MCFdz*>mw{)SqufaipCk18v`I_{rwY~6(!HHS%xUxJ1u6MQhDYhC%;p3q>n$x~2%0mC;iCu? zAQFH9?@ph(hErTriLv@u&_&}6yxITGR})0>VD^+6_dQ^B0pa0iZ9NM>Hu)!s&&xY8 z3YKAWr(JqaL;9M;tYwL(0A&}%z*fPrpPmYBY+9^Ww@43al^qcKsZ5s2knc2`npi@= zb8uipIUyh4SlkWhrw{={8Ze&0%AT(}oGJU=R0?K@18ao*o(+iyI8yXit9Ne6pW8Kc zWzcC%79f5KBrFLs3KG6(g1PU+?))_WCGi;3wQbeaDJY(>apB_vy^3H$*EXKMzDbXm z)~Z1xYc^=s{~X6mu6IBJLtqa|CvLr*+MIX+nK2Bor4nmBsDN{w9ZGvwKIezJ(E3l? zG)wz2P;bpswi+0>0nc>+P%uyvkxT!K7MevM&OaRK>Qp!L3_ioX`(Va>G%0jG!lQ0p zLhD;4HAIdSEGq@QccIY1mg(r8cU~)Iixw;^Cl*?kkB~SxT`1#WjT;>eL4GORf}C=v zT+A4X(bv#G8cX*dZHF1>tv=d5R%|mhwtacqo>KRe;&dTW|8PNxb0uND4Qh57*18`1V6BuJ3?IjbeI-E;mjx`gfMm*4?|l;z5b%djn{|k)r5lOH4IHj<}m^6<}mK z$_P@l0MIb?)4v4)Rdnrw<3`cjHVbv z<1%@BoWgPs!-j|WPG71$Tw;68iBZEqUPn(3gl*)I);?8olHuib%IR-xGgh@h$>pb8 z?b%)WR2!j$nudMkFQpp2Hy(45rgzAJ8m#1?`G6RQs@LDt;CjCn78<&MB&NPyK6QgK zV#Jn!JJ_10n;tMQZ7K|S0-$)4mudBvX5aD~Z&IFwJouOPOP=~cr5VdGDFO1<8^^kk zxpE!PM!Jv#Hr;-xna>fEfIT2xE2m34OAzT~Nt_+jXKu#a&^Ms9`i5sKZ=*AcpN7u? z)^|2MO#j99%zWj)W75o2wbiO>401<=pssew&b@(?Fx#L@^pPG__mhRJNYemtN8|pt z(hywpkL2tIy8kQ_`iTH#`Rh&c;ktzaOP>BLBKhO1H0}xyqwMrrk6i0-8oD}{7xdLn z?p;@8vWDYnC9JFyX3mXI@-Q8`27fGBhaef*qTP{EFE}9sV6r??I17I3&=dNJX@RnB z895ZZLh!{^N~prZ$t;FI}pB=B?*Zw5W1!+T!8s?_w*gSob6(vDq^!7ExXG&+=A zqC$lH-{L#1RF*8Hwsp?-e_KC?aa2ELTYplL@u9)tGGI`jbAg)q+0*=M-S6hdH8PF4 zjnq7e)mrQJr{)?0SWr*h_kas$!2n4-a;RITpAA}&K6|NTxvTr(UCqYZM0Ja}+AtOi z#78lLi>A^27vlH&O{6@Y(0ua{+E+O8TEXpq0=74;6nCTT-1dUc2SWs?rm3}THmXql zWPR;P4nb%=iX{D`oW;P|JI->P{_k{L%f8wDLORN#8vMuV!=Z|4&pFC*@U!=fmr`lx za<>>V(4EnwGo0;Fo023QkTCB_99fQ>GMt!}VGE)l$=XyK-7j&DwIo8Tt(dwzPJ zFcH3>#U#0kL>d6m;6$MlH`j$9bwI4J0DK%k8a_Z zVcp2R*W0j4{_xmw*Oc|&UF5qjpEh2BZ8^Wek92(i1$6?zeeCp&U$diYLngryP(_O# zF|2{>tKdMOug3wgOUm}l+&Nf7E&>5G@q9rz1c5&(pJ-R|026OAUEXgL?Qu zAIZd=dsOB3d<pDnQetCtA{& zdrgFk+;Tn-?LxOiQGq2;+!*Xv!`Px-Bd`m37tm^UGVbRz+diV^Js9%qWcu}pKbd0! zf2WE>v{Qjo0mNOKQ zbN|ROW=rg@8Oi8vn-vQ>-1b3}|LKl9MzyDK6EEd%bv_LhuM0juRvdP7wT*i|;kt%M zyNw>+C|2S3&a2eblhLo6Wx74j-k3tT^IbJD?X=d37)I!%O_~^`6$!_!m^|MK=dKC> zLzoW4X^JGtK4<+7eHISJPYkC2pmw=_L!zaly(Of)e4zHG)61lO@ z80dsy-w(^Cav-bFJgyo6DDwb@HvT6N-%NM`8L|_5S>T)k~fUAhjLG+KB{k#UwVLin->ShK(RV5$h75{>`Urm{umCN?SUK342rq ze2ON4_O_9f2sj;MLmI@1D_trNb(yVyAv25<)lxr7f<|#=Hd%bd-AS!bF;CeQ{Da4rGuFE=_~ymL<87BsM8)&Y@4cU-?o@v7 zI9@U4NUvGjq#gu3#j<8L1bJsKD_+n%Oid)Al;;~A(}>&LzBTT454=tQDSk(^^&%ke z9-G6HetaB0h~?Y}e4bI;BYt0_Os|gSDCpDc+KCD&pFbG_PQ5*PJ`-vW$ONPY;}D7X zY?ttYJb!o6MnNEH&A`=bN32Q>IQhG#U=IN5bPr&puOo%Eo^!}BQ9MLhO(?mZ816w_*qeA;H6N6QiK>Q7^ix5?0U zQ&{tt6dzyM#>snTZS7};T$lhbIEFk4uF4Sv7-rJDKd3NbyD|!!Yl%I{?Tr@~ud2yc zSQet1okIMyZE!}XLrL+C=HwC;f!8Yo+OGsjH&%+~K zyuiNhNt(VeFq+CzMhQe^DQ9kDIsh8RGUQZ^bGyE_N-^C_fdwmp`wtr2tBvt7yAc*> zYJ24Dy9XDF@jko6_F08m zEwNC2*VF|g#<31dW}_CV?CcjQ_JWrS2Cy`j_KC&))$*=sVix-kH;c>4ocY*JGEJkU z_nHoj7szLxWKOn~u+W6h`q{9Cz%X)KQAWjiDjMxq@T(e)SMlcE!s#0*C(+G}ED{xVq)F=Wq>WyIX)4uc5hui{QbZp@jyvU&eKOPu&4)fQWn9utV-5fw_H!3ukC0fH+i?7W{077Re~s(D(CtM}s6Jd4W@qFoKl!__ zctFb13M6D%W@iJSJpTqu3u*jKCP%fe(Em7u;8Xy674F*Ui^4iuQF96rYB-A0<;}0^ zs&+$b24CZ9E`ko{tdIHsB0Wj*2{7HMgKSb2k)hL~Rbwb&vGy2@a4Jn_erFl!Y3y)* z*qM-M+@(Dq@MmRaLt19q(i{2kch{use|OCHr}mWu^7_AB+Syv>&Z6M_G@U@60$>7( z=~*Kuxg;BNRals_v5dp}vg5!UDfclycWXomhWpNbe$EVW^c^p%{dHEFiO7**V@b{S zY5KHrH-A}xoLU655hdwD2RW-VMN@VLwfY+?@{SC^=aoaqsazEdUVjS(;dnpiedGp8 zVT)r;H7Uwp8@_i$TW;n8E)r_R>QF|_lmxo_|2PX*glwEK^*_4{{NXT{dawfXgDf>r z@^i(f1J?_6`G&G2X6Kha?wS=_jZQR?xUngbHaxGcJd*1}=FBWZMDJ~6gy40w?oX{j#oAr{=JH?#94>!0HOnHu*Q$t!2oX8izLV7=YcEyi8f- zhFMKbC2JKlrsU`2c!D+av_)y>DjiI*%~Xf zF~`B&Mz)D2+6g(Lokg`LxK}kYBWQK-aK|o+N~XjB{la^jE^GNXrEjykL^VDL z@Q!H(0Pnb0u@dNmkI_wYQ??24 zdHk-6uC>|;#80v@dxtGF^AHD=jMp3(P5W{2)cSRS3ReY5j3sRc+S7d1IK~C#)%_x( z3#G*TOnBhY6o7fYQvqVZS-P?N>AY+GgTeD=8QW&kVu-JpxZ`Q4yBJ;5p~YHp<~gcI z?@}rKqZk{1e(WX$Z^^I8(>RV~MZXVM?*3-4olt56s1G zDf%pHs+BxEoak}8bxplYwDSs3EqdQ~Se@|?6o3~Wp&g02>t=N|Nps)C8~|NZ8wyp} zvaNUF{;S89bjXsi|JSygybUK?S7A^cUTYk+Fxnh`fO>$f#rNiAnaSJGyd>|L`|oZW z&lIVr=MQX}1yM~J){ZSDT0G}n)&(qxu@(!@8NodU^tSONs1?xBv|jKiUys_J zNRc%;D4897{f}cY@~T!B9y!8m2A$Cp4D+ zEEBOSRffz|uYo)1BD+b*pX$~9LWdF!Z?(qz$bE3^x>wexT%NZ{r}GbOZpdu_WCOQN zAeS!DaucAX02!wD)yQe&93$XgQPyroL~<6!-g;naiz^Sc)o-FpR?)EJG$(dHRY*2a z_X;pKo5;A#Tg=-ft$dZA(fZXQd(uNj+X_2?GaqLl=J3M4bLq+&8a^3-Z?|(B3Z()t zoOw;hSj`DltGdnQa<_mVgYBpG)UY~pzdp^He{kiq6sM!=r_fIFv z7!|YR9xeGdv-YWk)==ds32?x^b_7LV%#>`?r8*8;>R2&H#ehk_+ljoI$D`KK!Ne)x z&UOzpkld0erX2YCN33FLoL1yx0;*aIQg}P9c$Ry)h*A7u6+N#Mio8qpit_f*D-mZ~!gQ*wC}s zH*}2&uj(IwhXUDJz}Ja5Y>@4@%I z*FyUQ?%xMe|9OLak6rzI@(Rpg{KM4M0=L}pjI*23Bkp3&CMr6vB%=0D3mkeC-xG-p ztGo{Vk`a=um@z7&HI3wDfippM1Rv!xYaX)rZb@%zh_7C`>3bhPgT7FgHJHuQY}@wd z?^B{9EmkG&PBHONInQnVigUtP$}o;}l#Y_JWY{f66#x*&aQGQZ`X9{0ZI`mw6QbrC z*5xbbIj@stl#5?a-0jvN{><2us+dSmJFi#6D1vkiALo}Pr5_?GWgA3v zqLN?a-hC$T#RXS&i}klEviZMyY555=xyT@U;I(y~aoX=*#q&v4qUo%S?OYvBPv_{? z*@JS9z>JPnFCL1w_X(I#i+@2QRr9wGwVAdYH ziuq)9RgL6A|D*2IU-EC;Dop?lA+3GM>N{wscG;%UQ(*J@9?bVoQ_^9i)euUq*ue#0 ztskFAFoJ%7TauN0v#)blWF&l{?YI)GTn}N3C>~I9ERx%Ztw(5}86QBw$D)jP z(+}y-Un{)#urU7bU^;l_B&NvC#8tZx6pVP7&4*av1cr99vYtTn53bR7f(BVeN2kYX zi@Y^)RDl-c{>!MJU5P#}zYLh!6fqo?Pz~}eNyQeG)TRHURsdP$Q=sh|M zSGl9rqMy5Mm%4D;%{smGx2{m(l`(U5l;&pUu)YJM^+nS^P; zf&~=MebF|BPiq>t-iptEa5xT~JD?@Oe!K7FjLXtfBDYa}w-Y$(gebNDyBXyaa&=U@ zd4de1@O$}a02w1pOeHd)N2iXdjWx6^HTZdZ0EmQ{9D}X-NABU@3jeREK-G0tQqvyo z^#C0$t>$vTn%0BOv17YiMhdHf*02U0!-o3#ROZLH_1iMv33M=F8oSkuN=G7xi#CZuoEX z{fQXC)qCp7-m4Q}gma0EQ7a@O_kCYcKzh>*6nK|Nj}e*u5~6Y(eJFd?Gq6-C%~`S{ z)a(#^V&Jm#h*-8@xwuL_HmBQ5v-UldZyG2qWR4p9^rY_dWL1-eq#?@Fci&i=W*!;T z8|2Br(V~jY*(ivbeVu8B+14AX&u&d!Bt4k69_`S$yF&5gK}WtjX}C!?=9%8DvHo+o z z>jqVJUV({paPF^{vAu-YL3i^IZx*>MVq>{11mCCXGbTKS&6Rw}QN+TwWQD$sOPAg& zD3CqLs%|;cGoRmSZe2cVzS?R)t!dAU?|P4ET5nX3qr5k&Ll&zuP&l5pFz%5~i8}}1 zw6vdGEmx)2yc&w-mHllPr^#AhC1Y2=X#LAc5!uo2WO%Yhq7-^uroC(J642oUOm;6> z2aA`4%WBV$1&xW)l>`2K=_zw8(qt8K`t?p8CycX*G=0<0nhtAPsNnS&s~mkm#|$w{ zNm@5!0eEv3SEq>bVC@6vdYG)bWHwPBZMWuF#ycJj4(`lYm%!ds&J&1{L&9%; zVTXF_8js3euAaEwg7OIlVt0t1#4Irq90e}S8hHRmCY^QLv_A+sw~X(tr$g--qXC=} zDbU>cXKB!RQ3XyJi3ENv3N0m*kOcTq2XVbJVad58b`|{EH4^To)Rr@T|N`ZROfz=J_#ys>@opmgX7 zW$!06y1%vL&%YUSp>OS)y-ux&txIENi}iUS2i^)5Y5(rTa-4{IV>Z9+q1>*C{(r9i ze0#tEzZq?Q4jHMLR?p|vy;GlxYEIBS!zF_k4{Q+L7zOSW-*wv-dW6RWYTuvZY#{3XaOdOcJ7@x^ckN6M2W=3dkuGdXy*8kE% z`!%DS3@*WpvIP(v4+4gN1BP;%Yo;yNYv%suHruQGre(7ryvM8a&K&{a`yR^feLv&7 z)R{2qCF*JQkl3wM`#+o7ylky8B;49o;rn^Z!ed5?mM=-cat%ICdDs{0%J5fb^Pr2h z27FuTEj7JNrJXtRn{RpZ^W~`BdEk4hohgPm^0dEZ`&nX4H3XbsFNg#O%XcZ=5`ve; zX;|0IAzVtp(p3Qv=LYzH^%5XNqnaZ&OL<3>+R&S;eMKeb&uzWeZC%_uS2w|Bd^x@d z>DU#q)O;Vj$k1t?H2xs7bWkXpwRtVV9E<;Xy*Q>oul=-?Fhf}5DZz|5glfufOq$(`&PJ30aqy08tU^p|PHb8K3_ zyGxa?y}eTcQecx!R8%Juym#Mq5&^UkfuMGuY}yjq>ofU3f8k?$mN)0hQ(Do1$BklR zG;bZ{voUYOl-V7!*9@CciXK``YYl_-g+%Eh?WH=HMs47YhW!~u-5`bDWyfPC2uZqf z0dQj`*eD))Nj|#v@BbVvQd>(Xabiz$`zl_l`wYNPq1Q%k|AEN z786-DF?VkZ5WUJrG>yseIC*$&a6aq1)romp_{w3b+G$1GE4W>I#`8AHK z@}}$rcSnhE#o<*?(IU7Us)0q%qpq5DuRVe)^N~*s4}7Y4eYdts#=V77A2l*S+a6R^ zB9(!VB?$`Y$r+L)Nx<&O!F+|CN#dvK;lZ?tuYea)f;hAZn6irN(nd^hTgFlem&0|g z9W}K6|L4aIm)$(<9g&9vq!HPF2tk%eO9&f0*SyS@Y}seVaS(oT=^2b~O6ZXeJp))(aq-IE_>K_?(z1ctsv zSBBMX2-x{fo)=-=SOu^;u5!*e_bJW4GiLJgD0L;p(Id}P7ru90^}z^C&UIe5r0zJ3 zGTf*f<)2!ny|QDhm>U9go~a^{*=I-e8<|@f)>9P9gD7kISE~!HyVmIen2m!v2ew8$ zy$muQR`e*k;;$5E1T~M%@?48l()Tj|kSpU(A>}{7*IIa9X-eMdPF8A4Onxq4V0#+A zaobawY^vihj8l^^Ju&^t2sNF5Q3hR`-B1ufTInDt*|e#iLRT}}0UJaFGQ&I%g97|G zA!IX!+?p+*0Xwzj&xcBFCF1jggl75;|BKIineg+s5rto;UI@raa_brj?c7kao9$tf zh5@xUIR`(~ugwowD~Vl?QL<}f&jy4SL#Ks6%%Z(;WzjIIfLN_5p9^Gs{)P_?f$nHT zS{cCK*`F>$D7!s-XM~l=Q{8H#10L;lg%A!S(aR!U0o`z*$*=;*yVx7ca}NB!;Lw8ddGUf}efzew{7!EMp) zC>Dyys_}kzeKaM2F9za@aHeVq8wT+NC zp`2eDcgc4oY^}o}V|)t1(3T<&hAEv{R};fsp&Fr$2?33XqCcbYXDcX23iiQA|-2|`Q-G& z^-#(;f9L)iFWz1ZZWFG+Z6<$?wD73{8H;A;T>sWRvZ81NCsWB{4);p^4laNZDhgCn zajHPI!dzrK7|Cxx@?WjR>ethGvbutU-u0vA`m^uQ8m4>hWjYN9nuPiWgYMqZ#nrbp zZiojac1Sb8c5(BU>BFe0L!_B2tKnB}ng0M2IfnFq%pnGQxuXejyJUJdLk;PU86Wh= zM#k$~>TGcYi!Yz2@73*)#`>q?J}dtqZ4}&XSN7>*MMBvh&I(UuzW(etX+rEJt!x1{ z_BP$5i6+v-LYqjun`<__*(!BYwdXYX$GF|{KD%R}d}*egDm=T@TD3I#+Pe0muVNR0 z95Vs19K){J{xCu_$QP9AhF!zv@$ut^2x;n|{pCau4g6|*V2QG=j`J6Q88BgL7lS;% z^M~}Z&`Xpz@UP{3?-;vTRi9XZvIXBPx@rGC&;b8oo^>QJN8xOdeF)!^KS#Y05K$!` zmUOf#{Yf{49>iMrvuf~>|UiFngr=P_aXmHz?jT^NL61%QM&NB z$AGvO7;pY(leXru!hYlluD6{wW@GDBITY-3Xp$BcPXPiH6EKolO3$wzF31* zdc%aLI?NcAITJ->LQ{+5<|%6-_4^mP5JJX&b_j6HrV_Exc0ygYB;3EY&{lDhO8bV+ zjjhgF7WhpQOqIyyn%*-du%N`eru+6XYp;Md064sZBJa=dq1NQk3A#+{(MwmMMw;HqY- z3x1A1!FQ>EP~uyfV+*eemAIKjNM28EI4-iZ1a->~6Bj~Q<~a3=dgiO9Fl zepBf2@y*jg5o9KPIH^em7KlcW{*W&1fwsYoU(Fqbd^inob|Y#^oSF)6f|4+FT#jpe zRU!YVzxt9*xI zeBx9SBTi-Lx1C$!VVM2_*;wInMABHF2JelooNkUqv+&PY&bb+*en3irxvh)in)%K% z3tmgdiiC&WwVO#>QaJsSVjjGWTmu#+5xvn7ik1Vc?O1~m?ptP0jX%^zHjfnW3MxB# z1c>~3i`#_S(sb8wEP%L6H^mW@FZ9{FRS-u4IW9G?@efu}+bYkhNcJ}BKySB)wNvAp zDldu0Cz_ctp>u|-n1hmfvJL#|PO%mB>n? zqOAZ(@AcNl8ys+14d@NT3bZ0vKt>q+<`S#_e>L3z1{9>Yjqr^@;zO& z7wiF?MZng#+liRTloCIxkwIi*+zrd&>YF)D)Vpr#8qZaLX)xen&-kGmxFC+tU05iZ z&qV$Hxcp#hlv%|%AY!bB$C2$F2Q@doOK9R2)pJwnnp;|(&a_)U*S6p0`lp=HJ<8h9 z@{o;gDZU>EtwZ#w*2OG?@ftJO{4dQ(r;U}!-_@D(IHnY-4t%4^v5pGwBXEd2-n6oU9&7OT?tGuJ?(aU)f@K+?t@*YD+ z=h|d1V%?%G{ABH8^p?p}vx&vHDRN452+{(^2JUm)Lq5T-43)&jtl=#-?MTt*ydfB) za}Er#&dgMVa!8qb9D}g!0F74k z*@rb!S!rxGWP+b(1B^yPtOdt^UMkc*iUd5T}4Q*7o86$-Pg)Ntr*;*_gZ` z$c2Pyqxchhk6l4BO0cAWvhFG}Kx6B0{ah+8q*zz1%zGUT0!rZfD|j%(x6>I*zSKwYpyPe|qLyXR`@nLu(#V&A(qdZDJtN%z~0=^Ed$9YwYNkbYD~y_aXaAt-q1`fbC8 zG4jni4gn_u=V{~{^5ULjz=AguXA&eISkq(m$aq1Rp1InRStpuW+oE+LgC2j>oW`Uv zljv@KDVUDAkwrr-eie1_3Qj|uJ1s3?la}yOUtRp@uZr5*smud!Sa>165)wu1J9^E# zxA3Du$pq5lru+{Xi`a4G`L^Ez$>FtieqB+Gu5Xk`ubxuwPS|J09eUCwY44S~rjk;S zMh3REtM$Bqj~b3z@_K1+WOw}kn#$~1{P=w!yNNlqFvR6w!;ieHqrMS9Sb%BYHrig3 zgf^#8%@{}n?mIvY!nLx#EHieSO5CGWOXU>Ii2}S+l?Gn#pah}2L1xd`1fNjuv?0N< zQztj`yB(sIcm#{tv8^86UU*&Tpc*dFyT^i!>BRY{JW_uvbU+9fwgbZ}&nVj7mF1P^ z!CZo(p)MnBXO13q36H_$IIvEn%YNmazvBqVm5qStjERTyX?ve06sss9mya>az5|19 zmDss|nCnXww!7910ms!N3hSgl&DN<2n-6`QK8(=>d-jH^p4h@xh1Pp|JW|a+maMpc z(EIk`6FaS7(L0EIeIcgZ{gBFS){$xcfydkVPF2VmzVrt=>xsM2pp10)4~PB7?B0QF z3tL~`FLL@Z^q>8$nvNU8gXBa(^AtZw(w3|5djfplttyj@j?C_=TCrWpf1s zCJ<+CzU@|?xj16_0U00_abivajr#zpOE?d|+$?!^9078{{uE+sh7GT{@tE4yS^EaE z1Dd0oS26M;N_-f1koHI0g8=5B%jY6vxVs>m4?%&o-$Y2fVL0|`5%pigI~%{-{H zMPu)6)@Cq6iIn59*=f^LcWCn8mzkc2GD_3rGY|wjd(0topD1%TuDsxlU)OVSJbE?n zi{!5gVcrkmBe`ds9n-}mmwgB1uZUuN2tX%id0*FX0(T#;bw=Jzj^i=L3|Ph^{4AL^ zwtCi$DY@(JqZ&}Wpo8Vyn0dW&WL5JkcGea*q{6>SxN-bq1Vy~O)nnnHDExwB+z}1? z#kjG*vY4rz!=Qtt-+c-nC$v%&NJd;YA=_Lnv#X0Wp0X9$itIJ%NX9o?+*qDB8z?*| z)`oaW2@mMOSmd7LWi|h>yCYHUUZdR>W_*Zotj}sXG-*il1|_yR!BI+Pr?Mb!pm8eF z4o;5=J^P^5WT`HYDC4JNUL@H0^d9Kh5Ak&kUMu?bJ#$yG4C7QFB+q;tQD&i_d`4Q` zo0ZRMX1T7uVc7504#ExU!@j+?eXkIXfAO;+IHB&0j>oN0ts?i*U^b{mBIQ0<$mJCp zS-o4aswBuw?ZXWY?_GP6gOsmzB2?lI=Mkyj_4`E5#cglMzxxvf-GzHh8`e~$WoC{` zysV|NXhipvS<6~>>Ot|TrVL8P=18z?d2}%C0fU_ROJE#Pu&rtZHqV#6<^)^EKHwDt z)HPG>uRONhb4ssI2SEqk_!W&Az^Db6;FjZW@2>|z4>DV7@XZOJVD||5SeN%&=eVH6 zs@P`YP0{Y`<(aX~23o|Y+!h^@6j@#^!LjFnY*$cV@cE?RC~xTWDY|i3T~PNkduN#l z^kH0)21bM`lq{*VsVOX(f=hG8RV7lTGX z<&Fo_9A)%CiXBCrw07gLS=n)fda=8+#}$8Ca}ISGa-H~)xydSm0GacVs}`AgJf>CL zHR67f(UZW2DSXH&2S)VK#6ek?VOom{{y|h%rUyi5m@2OD1+jc@*5&z~8Ndmw zz7uizY44C3GT`^Eu5!gEt~=I@3POnmw5T+1vOlLbSebG5mkv{3WfjS`fg!cTb6GvU zsc*;tZ4zxzBY*q=GE*H44*qRgQPGk5%9-Dx5Hh*F9YtZ^zP7W1F!ekB{gWJdsvh5vG&<71oof4q~tMmKupC_xs;LfLaa{H3A$AF)IS_$)1{R zbbu2y+7fN>(b}%IaOYEG=hE^_FtL$)g_rDJ6O8Y^z-a7%j`e9MKx?u6;T6ue+#6+f zZl$8WJ#eJX{-ka+;_|8~#PWJ}`P(H@KyGAMN&;zDe4|w;@H%|Mq!x4uk5o%bcU!X} z;JiIj6{4KIx{vbV;nor=+xD9B-n>(^83s!?JVV{I|I(r(y;#>)=`4(gWDzhp{#+)fYi(F? zE80{__00P7>mo3CvHfgITh@If>UhFkojlQ5VDSt&T7HHlUG-S+dhwRKf~fQDYU@0U zD$df+AtaaHCS4fS>eS8oLJ-i^F5wS9TfRIppOW*3K79~`y_qSz1E1Mf=<9SF0d8iE zyABcfTISMyseL8$hGI@_30Y2OKfN!rL{1dZe_d<*s-q-{XBd}|NwZEH8Vj@$k!9f)k%l4N-rrWvH{)Y~C$z_lnFg(Rb-LU5eZGSMn z4C9`B3_MXW^WV?z^4p5q8!A7)Ogj}Wz9zFU<~l!rQS?3HXw%M^i;{orp_TnR^f=37 zwpZfKS=sV(Zi+oL`>X+wCWfinA4zG#&806`MOObm8WxYEBJG#cAF zs8xf3C&+X4YcZ@fM|)Jt`6oS(@&DO_kk}-t$~X+Jt+>xF=IDWq_Hnv2a+l)Q!@;`) z+o6=FL$?fvoa}J}f!Kh701JKbRAk9_v8}0$3o9F7sW08vEpYGhbbzrR%BHZ^y~M}2 zp?O(n${i%Ik=Z4YHoISyi&g(`Op4Jd{Ld?V=#@>kNhh(^&bxQBRljP~V~-1;D}1Lo ziiql|(Nl~fsyQDzOh@RQBo@yRzR*oByTx5(NJRdQVZdbZxUqPQJALUlRDE|eVa!%L?7*zKU)l8zp zcdI+h*e0N#-dJ4MWKfW5ZQBJV)|lQpo;)GNJy)}#NI8lcgIWtS2^}U+BaSH6HKrUD zwocu~6j%gbTAIjUmJ3Wi5n$wiG6Fmv;^Z!^;^(e?EQs+8!_WTo3|qrc)9Ff?We>B@39xT^**YQ;3~jr&^gmJ1$$QKL6Or_xRn zg3R`J_}+Jt-MS$B)Bk?f>(95obmT9WRYKHS^(^QK!Mr&k?7zq1a;&7ih9YlBnvus0b?JVp*|6go`U{(XzA~vdfN~w1w z>sFnMgq2dy5SacEVELSy4=L$n-d(S0Ngjg)R0*;oZJVuUq*PmiFVS_dq^PPszn!{~ zBZFWVS1t&Yf2Q7yVm%9z8Jy_|BuO3C09u1{JM_`d97F9UiM!dg4RE$$_3l(K!#-v~ zCdpr=nw=qTHm%j)5mc#altABnZH{lrb>_2#*vxp`8AHT`pM5c$q`^wj;#3wV)8W5J z)9y=I(?xOlWw)jPc&8{k+#{0ps{PaXq*IsrRsMPKRpnnQe+M;*-WlmO_Iz-$waJi9 zbtb#iI*ovofNQ`?GAqGxjF-3d$%t!L6^YQD*|C zXM;F=g50|ww3H0wLQ`2DNfc?vRfBLLwwttinbWT4!d6A}uh)&qkMPLs%X#KL^^&a@ zvS4ocSA^@AkANCL4uEf5^p;GTgaQGj6%eTCiup9qvPpQw> zw@R|svWQ{?@Byu!>D!f+ww4;xX9U{I@zng5nnN@K@d0d%k#Jed2u7&orm1E<+Y+O_ z`H~2B&$P@hogw=jcI__+GTrx@X)Y)7$*T-^3Z{pBK8Ddasgz&xjPs;A&Ug1_PyjgG3MF zZR_Lb5MiS|g9( zpyilWZuhW3AHj)Xh^4Wb%bB(xU1Iwo&Vv0#t!mdum0n_HRrR!d1WvGq#x9hZjT>2M6XJwkGe{G4VQU0!-Y%h}N5$R=2|BrI4JX z2l%lmwc(Z@^~FW-p5~IW2!A~`88yK+z}o~F;un?q3m;OozZLTu1 zA(}h4VK6|G)>Y}0PPk}nZSK3-W)sGiM446bHz|0#!GSnpFyZWAt$9P9v1>;3aY9jR zsH`}{_0Girmx6M76((B1L(X&Ue6vOw%E?HW86jBlHz`vuNfJ|mz3Q&EN~(V; zeJTvxGI_}GM8w1k85?&K&DoZagU_P!E|Bl(dd?x52 z2z&!TJ67(p>TX01g#w3TvJe!agQXs?!5mC9+4{f<=JPwKPj5bNIeC;3#@Ruf&Tc9y zueqcBG&F&V#=ckLpV386I<&!e(Mzr0ni;>6ZfK%NNt3R0eRo76kg|uQs$i6)3ct=zWz-THK$a9 zXggO3xH=j7!F*As+rJtWKh_XQVRp^vf!-P2%S_dao57wi490tVGRvKImp?OcIunk* z_1oILTBz(x6y}9&d_k*Bef~({(IA120m1DVZur^y(3pNbefkG{-hjjdXoUGI3HiX| zw8-oz|9_5ub9&Xb9{DB9QHvn!=cX~Q5?B1^R)xPjPoor}i-k^-ca*eq8W^Cj;?zV*E~b4 zx&7SAc3sU%3UA_S`V*JA)84M&l|MgNE_2!TRjh`#^tvXdIFAt;{tR&x`Q?Y}Dc#_I znP<)~;EzzwB3>-q#eOP6Qrt@*Q)EL<8OMxN^!6DW6)SEgmkHs7O`s*3a+)Z>ERs>( zqwclhJe|O~q+fw$2$i(6^*f-&EqAU_TGr}c&HD=e(8a06D$QO;bh%o1dk9s}Yt`aY zCP9PTs>4E)QT3iAq~?hK#y_{H;hguP+M6RA{o5l{1biJf9##L0Zr9hff@p#C_f_D} z&dN_GY*aVpMA^QprZ7ud_PKVng~okiZW5KjezaNPg>?gT!3`xErTz>y z!nE7u3Oq)!Ay1p>$fLzzz`GhXgVT3v}^U=-o%Qy^m_! zxUJAwa`zZ~cK)kOjL9c3SIFOH&~X4(Ric)Pll5vmwUhDm9@&g#^Ga*}q=I`Vb}GO? z1dORvrZ~^0;z^?}UvPn$5=Q@V@|p=5pCst^-v*2Ub00y)1}|-qDXW&Bq$gAUEO2U- zpE6Hgj+mwIf}TD!2)l#E)63TxKl)D_R;qKa^0N+6&y|!~l-U;6%i46~*Rmh~s8s&J zOoD=cVUf#Vmp$l7I~?`>T|?GE0{fi{&9!K8rNzAxa-i%k5Xn2diy!UbR?j9Ix^q-g z!POv#+o=6_W6!nakPzc7!m&ELz_`siX>ZsovtZwjXrr05A4a^u$``cv=!#}kIN=jC zGd+j9Y*~$kj0^CtVQHnRnz?L}YnyuML*T0UH)`|Ygn8S9r)5`DYAH9ZBk7rzj7tXt zvuNa4i^j2`wI0n)+8brEbC_Or*yWzbz z{Ga!I&)M;?9UQRjci-22UElBL+mJ7$g6%%9R8D=kEu1wsoMzR`gN-YF>p06%RxK@9 z_&Th6(e|@N58IaVMFL`sI>alEo~REYcI>ycPiq)3iEeF|W+ctj6_ zJt>)JCoRcqLT}*GTeW-&9u&t3g1wR(9(R|RblZw@R%!tV&nEFq$-~~^T{g+G{aVmT z1ra+fHOC&g6I+v_aA&1-=%GysYRA6&u2ncTc%f`BM{=x2Xh3;N8zzmh)ul(aiEUG4 z*3~EfEYrX=_`H4%)UlJaAo%~p)F5~lq#=hDYU)ClZm?d7+DAodv6l2*bgFeJ*xXm>H3*#Ooz542tMfkdss0=L{>C zuR}JghGmwyIe6!?Z*x*vH!*nRritT|1_1)WRvMc}BfpSQ2w}lHZNE%bZg<#}QrY-B zpXp=-4nltF(zNAM$H-bmWx$1;%VpBQ>GE2|*Rq~5s&1E!5bxwE%0niTv$xtM%bk~} zMxhm#p%kjKRZR1;u*V?`A6W*b5c9IRapG^nCnVRR#l?wTmBPDHw)9*NC{gmrmO2Lpv}ZPYV}xHeEof-tHXgHkQ;tD(0Qvp_GE6!z5aOSxaAx790JkP z3AB``HZpDgkp4z=%sPI;vajQTzr6eNS1&9kov4V7n?1+hY6C-@a@6Qh3_C5fU1Ugy zxp#&IOg^FcB~!i#o2;^bt583`SD}2T+!tg;A8BY;f6ry<@t(U&*!-r4Fc_~n3^n`g zg^lDxo8ts1NI9#+AWxQ3ms`;0hwN&g9)rc+pV)o_CF-+}+i-~mk+J|xyVLjG4wAFo zlk?%p+vL)?=`Fs^G`ZcNdwt zVocL}X-h2gH<8%PPBkYqNmdTjJfOOjTcmlvS%F)w)HN#J4(-gHX7eds4U18;{JCB7sfiF=F!sPy9JXq(lR~YR(IOwO#i#8h z>w#zX9;2};`N|h1H#5WPdgA%J^56}ZcU2+>ga0C{hMQ1wAIAjMqcy!sUg^8SDG$GS zy%-{`&RoDtp%HC6U>>Y4wGLOo9PYY57FG`)Fwf=KjnctU-C)^%9(Qz~lYK^Sr+i&d zn!1KAl2AdTUE+}^B(&}{s;oWLZ@S74Ggo^k{dQhQf91k}b8d_&e`{AT8PH8IUhr8$ zMZUw&To!d7LBj(=+_;kJeUysx(?_HJCl4TYN@4g^5)K-v6K}$a-6y*%bVL&2 zO@}vs&$$2#4i>RcN@j4R70iWc*u8ah-sY6yxmihE$QpLKO${CX$*$IfJSJ7@k8>5FkzQhsVe0l9VMj8Akzcc2BvJ8ulpBFP#^!s)7 zcF{keE`6_54e@woQr@N9@{<_GO!ROfNE|g-plP$ARjfK$DQyqDm!OVc+2BuhoNcNe zZ=(CV1gez=Nqu?61TiZyYRnSKL`=W!^oB2XB$W(|@dlEgj%a1f-WvoqlNO1Gq`Dtw z)7~Xswo-aKg3SQCb@KLhR`k=qW^%wh5CG)w22%+JUoQ+dbN(kRxRgB&DVlS$>WlC7 z9xLc%3!O;^b7(IF(NHQ6?XQ*tUTo)_DBN^(mqT;93elP~T>wFmp3s?#YK+yv`?v56 zYTMKk8e>kEs74DaMp=3Fob6L1$VWRGC7tqbhtKZ>3y6Ugk{q(9|Fl~%9<@-Y!xW^6 z0k1Zpw%I7>ndCJ*%u{HV{6dbu;E?A8bz5d3}&%cz7C< z1tS1KTOz+ImMDIvF)dUy_yZN)i*)*qKXB*)Gdi~D@+nqvQs2P#dr(Yw=|{hwEo#d%w4nr2k3hy{z>;EjR7iqgugL=}Gqw^@QR}x* zKUu<+W(FSV2$+5w3}a$h*>+FW9U1Atd#p)U@0~0A#o2^OltQjgs6DJDBV?*8cgw)5 zIjjZMu-O-0P@`+9*Xx^UcM|Nw$imyAZy3hPj-(7bqpdFqR%@ZE18{_m)N_S3nt-m7 zsacot-q9(4R3zP~ow5Zj*nOZEu2hm)wbO+iN%!jN7%;Q;%QYsa%Vw*Q;in~+*?bUr z8P$qXSm1@L;JEmUt9b~+#@-wq&0wQl)Ou<<3ZtNzQJyyzPck)GQ2OxnDa7p?Q$>;Q z##X;%K2&+k4%bS59$gm1@lUYVNTxai%=O8iN*#XA+r}8v?8B(ok{_rO9iTO!q1*Y0 z$DShJnHGL)jK4&V6)3I%?pa1=;daN*sHFLxkl>EGsP&~aBe|xQ)1_Dnj7IwAPqhkQ zEMkKtz_Brunj)bD8otcE%N*p7jhpRu&q~!kV7^j<;8Vq z5;L|aiM)s<_Hne(lz$L>YGBk7G|(tHS{#41yiEG7=O1xf45E9NFdx^T4K3^YRSPdt z4|slA-t@m6G+q9 z2VkOr$}4}dXS{p8pQ~*=(;XPlfqsigExe*B*m>c^Bm9b`h>?1`yohq?TdGM1PM{;D zUFV~7;ont!q2sl7)UXR5&+n=hGr)D!$MSt&su3z84&M@^trxds2$I=p&!w*H1RZ=J zSdj~BU(~x5K8^UfTQ*e#zU4-OHM>EC?!U5xeGZE&+nF{?kA)Xg9z{~S`7jTkuF9(> zqJEW3cYpK`L@<^TrZJwhQAo(iKN&*%q-OCQpkuO7{y~$Q$&6V{OOQ`18pm20NTZ;o z$K&DcF-6npN6`=H{r|g5=eZ{#5a7AfA|XJH7kV#U?BkurpwPn^Ym%Y-2^2EiA47d- z&Tn>&KT_WBq-}fjX={u8oS^rnaz!jMoR+>QqzY#LHjwufC@gE+D5q>yBL}(V9TeaA zHi<@tZjSJ%qW(CD;()UbX>x2$LBI#d8;yn&W+$kUeKTIm9fmVfOAQn4KlwVA)B(Z3 zjTfXc>lu^?uM_l}K*_5&C#}@&O>k8BpZD1!Lw^E=Ez%$R-gd~w>rKtQnwhqail7d7 zjzLl|S2YjEZY!q`N~nyhbjW1)|FS%ox|kY$=p?{tnCMn>a`h77}aDk-=v+Qn&iig&vLf5jRvJnlJ3&D;qxn_b+YXoP2MT z&sxPl=<2JRNRCr_GRMQMz%gj!wtne4fiAaI<_E1^JKaQAEj9}!VmBF~ie9=h-5t+9 z@%S_5-XCE0g$Oq_qEk{5X)sjyGJDHfq@ zMe=?4PhzDTbC<Foyb zUf2q(XYG6CEGDE4_GEvmLcw=>n~-tDF1gFC{X#6?xSO79mq6wT28fg%2}#JxDM61NX6>%@`k5g`3P1z3D^E( zuNJeiAi_oqsOCX_+-=;<&sPd>ILZ5IlW@N9A`hzU*7^_Z>l;k+`a|h=`B`NLoZ!Yr6542^pFP_WI0V;TEuv4no#n-dEl{wdf3aE;GC4^mQg16Q~bXDt)hhx7J zI3llLk#|Q5>SMI@sEm(cN@4J(>r;Ka8DUFW4|cGoo7j3+ADj%gKg@*EvRJwpCG|&? z1@wU|@kN3CgJ6nevy>aPatB49+iSppFzUw^GWHJR5@ew(KsJ|>yx{J^p{{l~K5Wm- zuP(mms^NeT-$nf?GCt73lh!Wi`MjJ^E30{Y=VOK!)S`T+2{o`wCCQ$W|7N%6M4?4& zbVd8*kGqT1V(2}?wkMchp@{{eiDT8Az<19QJODU+K&zKDlo*M2)%Cp@Fy%1%eNQXv zo`Mr?x}UKQzuDgB)4r}kDf}z;ch545*k|kup5BkUj>>!ciVR=>fqP(7AboG>(*_&Y zrEil7Om)W8TCODC#~lY{F3uN3P}eW}nL8J*)a}&{n>v})f_%H0paHhI5V!D&@;$H3ardVaD-Pq#su;?h zrby0qUOrP3Y^qnV6Dtglt(ZkyEm|HG);|!wG#0ONfA!5@g;^y6mCUrT zDP<1cxp6mYmix)!Im_E;Hs72*yiy+A|4`<%yoN_xCf3xe1l%MT4^tBlBi7lK&({_W z5_1aMVLBH9qhUI@ROh3Yo+3Z)j8Ul$*p70jv-{4i4r{g1w(wNpR+wSR*Ee6>JkMiv zO{EbR=4#)AdFKgxzf4&<)Z?X|tlm=G$eF%%>^pptwnw<=noXTm@d3-6(%}>hDY2?g?IOxdyNeuastt_Q?lU#u$hDC^rQ)uFnQ~P~FmL~ozX^)O zTn~A8b19d=p%VP9zfR7q(ALqPnh1d6zckvTP2juJXd$ zpKTAO?H$*452lMSV<3>zR#Z{v8>1`I@$}IZQg!dw89BS>rx1{i3%+6N@nORFtjKi{ zA8zRC`x@~_VFk%3Rz5El+s(Qh? z$n`saK?Sx|^^zh{|N69Z3~K@&WN)FM4&H&{UDIBW{>GW$ z&R)FKj_V_=HQ8>9@ITicUnhf8g$4u-xM?x2THUo4apZc!Cd+#tMBJ!b^M!S7*qnD{ zu&@!aRUXrNA4JifvM=>op044szxQ)H+@|~U3Kc}1=l=>APK?DRZ8FAtV$&-6R@?=XP69&N&yJ)HZ| zB!K?$#)Wji1UewAhETzkGLdI?&O;W(>GW3H!&QPYpr@q3`6x77yXC{@I~eJJnISny z*&1DTu1@01Gen49mVq9j|D|k#;g+f=tm)zL#_vDfJu!>X%woxR16rfA#p3T`@4eHW z(o^~cl?W!xf zccItms+bX`p;bWr+oRo44--ZFHy1S)FcnqlKvr`a-D}tJwayTbIs#i@l;HEG<3g9G zN3A}Wd+MFl#@E9km|K9R!w<838{_jcEpmY5(X#TI1d6@Fd%suk^u|)KpK=}<9eiZ;b zL%mZ7L#WT}E%siYLG`xd10Jwde|TX{w@-Y@UC3wW7TlBBh%kt*Mol!V9(bEuc#pW} z@&Pp+7(u+G1Q2^s6SehZ8Jsq;KZN>n^-q#ee;`6m>+5lgyV=v-Jzk?-SJt123<1|eAd>gnvXF9BO1DjurjpL+9skm-fCU?V{bnm7Ap1m$!!ujIe?h`$l2Id~E0q$VkP>hM4G%*&#ZGD)uT|tutII zTMW-1Gks~AaW)Bf_-@Cn>5aXs&`jn;jn74QT=K0hszI-<gOcYUTZ+?+{Zq&3s6958vMaV!WXqdJvj4(J`Z^-R7U@&0qBx@1dPS3WNqQHa* zBhY`rqGNLr_k<)c$x<6%rDR4|HL*bizyN9q{1hydrz&0zI1Wrgx#(Mrgh4xZE7%B0 zu*>9vl*kBnIv`07^sp$xd0yyvXhA~cX7Hao5fbOj9|htdUYHm1J`q z`BBX0nwhFPcSYeo-bXKeOW@L|bib~u9ZH)&#9M`cj&|3pzXqRr{l`fsqMV`j_u|@&B&l8PWp00@E2vx_FWsCSR zOIr77&)f^(1F}H!PN#k1FQViaLq*Fy=eltN?#p`&TW}-a3T1gwtQp+}*rJ~PaO($be z=e_3w3)>`mKrjr>jpiRJQ)Gf}GyVSd7tze<0tw3r-)-`lj+hJ}#;uKEv$nX;XA{k( z(8gX4&lxT(zrE%*_47Qdc0*?`X*SxaDZ*ts7Ac=sW6Uhn8a;bYwIhn!#v+lXBx&7= zNlD+7gJuO5{dWgi}{e1=R_zU0jQP89YwJud(NP|k zQqP9*EmkKA07Ax3I?gOzJ_r;{Hf%lgDw!n_6$Nf6bGgCg^IMpv7K#3EZKTf1A}YA1 ziZ4*cqnRML<+J3idTrDF6>t2@fZIMERq1nOHFf zr)Y}xsS>J~*k2bvIPF?O)yJ*wyjj;?-03X7o;A1wKaOF@_cYk*{};(ee3@V*=T!H$X-JQ;(wwwM zKbotD`dta)2M|V!ft8cKB!u_f*ze<)Nm78F3smY{(^3}2(_)7tqu`AJVx$7s=+?nm zpgAvX5M1Z0A^R!>ey+T*Vtv81sgRPrTjEB_&%wwnyYY~pUU8)BRAyKEqsjU+q)Z~6 zHtZh=K!sqQ$?YWU!1{~idf*_C;$jglKdHI;*rXwL8qIV$a~1>J#9B&0%i*7f&eR+h zEqr+w%mq$8TKNQ(2*F!9!Qek1i+edM{iT4$DD=^ls&?g}hGuH)#l+SfFeJn}vF#+g z!bp76M7sYc*YSWXu?tNvpg4?u`%0GN;t?^&olmZ{2;af${WQJnt&_Sa(L_V2sN|Gt zM099nX4NpC)|Ho&g1IKZKC^W#2@e&L+X|Fq7$2ne$U>^eBcYrfKJfEeF(c95Oc!@` zo!J={07VvQtO)F#+^x~7^FwL2G84!0$T}^_A5}R$;fv(~QY|KLDALz{m6i+x%cs6S7Z)73Mr}E{5+Y zSNO!XNpI4vS}O=W$7LI=t1`TmS_iY+KwR-V+BoRPlL%W)2BToAgI8KpqW~i=`z2YO zTSd5jz!))WpO&vb5mO#UL@%>!k_HEG1;}9jRK;I$x_$Wa_-7EAjyY`;c+QUFRGhtN zd{}0COo>NU-J<_``N0L+#V<-&CJ}oszn)%)Po=p-q(Nbl4u#&#vN3#9#a+GH5+wb1)(-#KGu=S zXP{@u=lmbzY!z=luCMn|k0S96nL&rs=0D>xtrSS``h%ASuv;!EK=?NXfqszjQ=x}E zsDHz+NqLntj}^Mh1Ln&DqgDJ%_;%1&Kxhx*pm3kVLZ2oM$Q@DDVJ3UvG#rw)+O9jm z@%$|St&$NyRn-rT8T}=9NfG!749=BV#lMT8ZONt|tT7??V3G^)TwVarg?YQhe^;!6 z8CxQkkiX07$V3P;$Wak~j+?e-_#77z&5P~ky-1_*!tC+dm@EtsFVaq)pKYOG-a?4C zPVCk{(PWnxV1Tcz)6>3WllS}(5BD>22Os{>`4Q%ST>U?6g>Z6Q(MUF?C(EpuW}86& z;U;nF`-ePZTOKnV9AZTwDU1OIipWxcv!wg^B2A(^Cx!9Y)NEWU619iRsTFczAf$j$n0RpazK;$(<^-$8LKQFh{mMKuUnG(U8Z^|ZflA^od# zYcBdt`3BUoHlIzrF}-OAon6yVhR!w_TtQMVaGmv-Rhlbn78UF5skn$J^avC8y6crx zgcniASSK4a354J*bt>3@yOSg?1hYTT83{$1L0>bRQSL<9FD%*qO8%Ti&GzUz>Z7?) zE3w=Y+CSPMAGh+?Lxf^U*dBy_TuOP*@u;>UZakD1)Amk>5lFr$*a9DL%n(ph#QdK2 zKm~Oj2!cd^sZrCRpjPewepWFIPgCn=9PTdtL(vk!&DzVOGIviWv*xyqF@Qvj_X}`< z_?C3W+>(&@%qJN2Te8(2TrV%(#$I=GFoC-jqlq6@S*bJEit(;&qCKlQ5dv;;TWf%5 zml3zCXuUDOSwW}2Q%cr`YUEYhfm%+HS~|$%Ua9BVdZ4myLQ42o9uitlMM$7WMXiAY z^C-tAmlk9_tjT6!Iqmldg%LoQzIOZThQWGbn4J@#f-1{DER65tD;|<1$BsCC>``sZ z)JukTIw)Nxr5w(SOV0mA29R-T4TW9#^(rA^NDr@Hu7~3;zYw663{)$h`lw6=8_p{Vgul;ktT1!v zorfsFTVQExyT4>HB@?Sn>o?!wLC;1)W{#2boLYgB;s4H3KxTN^Tl>zk?{zF3DSKnD zS$jy6L!`ii_Lm}AWzO&UQo)Ic^BT~~L^?L;bST+(ox)*D+~Su1LA7;f5T4m((;opK z0i*EQ@J>Ma(wDX+a&NLKx>6?Na$B|XEk;wK_pA6BK7sE5`}LWX_9)V=wkbLa?4V#z zU9s@ihrpYZPaR0J%QP{PLsbh=N%Ph6Y4~_JOBLeNHKB#NB=HB08$PrYn)eg%dRUa) zNL0_hH|_8_79Q^KshBsDfguXNUUTPY-Ob8;z7pv=$BbjbozH7|4IJ)FAj^}0_al z#b%z^cizF=;n$n5!Mm_scS>IfAV>(S(7pc>(ZhR)XCV0t8GuP#2-+xaV_2lyp144A zUHCq z-TT&XDU$FyuCyRKxAc!3d@>fwEuE*1ouB9=S~4KDGj*|_QyY)oP>9Rb3Idx^_-Vnz zOvsMSLZCbYww1T%oJts;T3cjjWeDC7bPxg+XmYxn#~IP^0wd_ODaZBuk2!PNyTNCX z)#klgF6Sp=r|)t^BR${cP!Iu4nf{{ogPH9Hh|a0~B}yaDv+}AI&x@-dCT>eJ%w_jh zLt4IfB%ROAbAB@^A$GF(NXOJvA56Pex*vP@?X*nfTc-(>!TYyfjPTFQ?Rb!SxkpGxC- zXm4s1@2kP@dh8tXo2f&=rO#@O1D*bTD%|uCEVZK#EIH}El%tXB@wb9Vf(PMA$(54V zbwFk=2+&NOb{1@0v7I9--FK+2Z_AMrZduHJy{D%Yj91?-mdw;1AkNKh`GoW?0augH=s^$R)-Xx zjM*%M=)CZNwL;HjLP~cTvR=T7omEl7P8sW~^Y(XlaMjmh z346Xqv52it6$?kj6unW+eWwAEhwTZryYvp?o6L3#fR!=9H6hRWev-xq0T34t2?rx7 zF!3`9K1CKO;Mn#5*ZV(BY*dg~ZaHBh&C_V$e+FYK=e}L0r6dB!M=FU@_w*wUmkBBI zOL5`_`I<0`rLEF%X>e8#Q9I@|p)I<(MzLVsnXhw953rvA60j71p`k=QXtW>*QMJJ4 z&{m<=6s1pIdcp|#RmZ~An=ob%NlNVp?E5hfH~*04)k@OrAY%@L_E!aX;rr;~Ukm5Y zN}oV;A$BD(hoHOk5?pGR4PB>EIN|XKi>haz#1(39c3U*0S#gIdO43^@;js05eg_uh z44wMIMyc$>LLlwJq|Uo#dF!RbX&&9#6hReScY{cNp%3w#g4`B2%H27Y|8=RQAi~3F zd6X*d6zKxZ;o(J<=?5CSNsB+FdEQKzbihd#O75D;W8C?X)+UD*Wb$PKhy#YRbdes# zsId~+(q`{=8zVKXzKQ(cPIvn=FxrXVC1lhaPuc%64`Jq|$TJY~sMBXK8-0A!oi z7AZIiE*5b6nJZD`N{x=saPx_e>_!&_?$=z{1&yTu+7DlgMLTtBp-Ek6qGx@q?CuRn zDtO6aCtV5|HD)y8E@iQ2S4FtmMQ>Qu?53;mdp39nfwp?@4qlK)!`Wf%xNFDZV3NI? z8zqNDr!glO^$-vP2nvJJTe>U%dXOyV&YQsH(FU8Cgj9j-EmmGCp5K!ZTVY97c1_r5 z{I`i+oH z+vgjK`R-dx4B3M+z>5SFLu@I&uEFV%E3lC~_5C+>K8eUVYcWelu1c@g{VsZwZ1KGt zY+vM&H60?&;M^rXlMefpV~!KKGH$c><_4x}y`DaJNl#qqu9rAEs;ONcxZg%3Y9f@m zq)0{dFml^Q(P;11A2W``Vm>vRMfK#>V;^F*_l^@Ey7tU|Oepsau}i|yz3V=+-Qa`Fh`#$|^N@S9rsH3ONDa5zeaaz|2Y8ET zhP&VXwtM?hsAqsjRuZf7-10!wzbmORe4A|ir~B+SZ^I_e@vHY~&jQfAV)e;tAD;At zm3~(QGur2{hBn}ZoM3+oA!NZJ2tw=(lBY+<>^;V z1*Cz=8_=!V!E9lTkJ9!Uc#0e6;T1Jb1MLroCNo!*8(#e%+zu zjRZ069L6OU3|;eu+;EpU$SZ#YvdLqz-~mCg^=Fn{Unep^(gy3O#Z;YAhc9z=%_6F!Zv`0#{-(|iRWQ6C-(7_J;4>@1&>K+)sJy7yUuub@}z z*Y@-TDt|n@Sn4s>K$~(P)WyE8aV}x>05oiY*MO+Ep@>P4!f&VIkWcQiO$zYK8(b)J z<>U;GCZZQNPs454Z@PM zU1TkpCT8;B0|_+lD(G+ZI0wj<2KYv7(_F(sqED0*ftwn z?cfEV7%lBD+s&a}n| z8Nuo%{d@O2+FqW=r(apSGA}A6)Kup?RCRmqpt!WyWQaBQ-B#RwXWEcBq*3IFVDO+L z7*^c!t|SNwlAJbLZKea-B@kwF_qd{xIM+MMGzpZhkFJA#HfiP_-ONO0o>~mH1v92z zo(HF*yU4oEp@c^?X-FM{?W+dLlnKm192w5!ZT&IK54Wr|8mkr$Tj7s@MyG?M@}SZ* zeaScAiT+XS?Eb==nr;UAJ6}!B;{#YHo8y2gVC(zDxF6Iv$q4XA!3=URlxtL+-ut+Q z0(1`HI6?AS7O@{bZnItfhUZ7CMScW&c2I02yU%ZYo^ul(O z>RH2Cm?TW&GJVerORu+NyU(bpj&T_9;|!KcNYT)ddY$+r{qrU?uk?C2a1O3L1O)iW zq&!OHvO`WNS4Lf7vA*<-h8}pJ-z{_hkt{;Je06qGKjGF}ZLx=&FXz2Uv>eH!d+xKu znz^~1O4z_m92)4?B)+5M3$WPw|A+1Yxp_`39+tXdRus&8Q}|GM(5V0!E=y6XQ)$gt zzsF1HzpZ*WzJ&*=HT2=8D+WyXts#3DvsBWFF@Y*T3np(N-+$Y&5T658U6>Lvx946@Ti5BsH+ zai>ngVKY9!Fu-EFxYvYW3KF_*$hs%=fxJV5s7Xeni^Q`71_9S4lqM&KYqC~v5^|!G zI|f5JRUKIp?{Vx9ozeoayvaZPgG$?483-5g0m(P>DWb`dvDz!;hN?{;o0wgC`A4iQ z3}nsa3d}5hSGXEp*hub3-=uIQ)BsHwp|<{rrk=a*w-`3yIvF(0quy;VE`JB&y082i z$gess5SrkCGJ~R(EU&Ed<{@ARSoR9{%W32n^&HyoIjJvY385A2t935TT)tA4yJb=? zp{49^P+*L~COZwPXrjHJw5Q>whRVsH@Odk%>lwPP9~e})tnr=YalFKc0Kr_{n2sTq zpbX?DiVp$5UK)dG$e#ByNPweR9^Xxtb; zu}8(Qx$`hY|AxZ9Etmjy5RE$cZ;ED|%SG390$Z}IaEaJWMr}2ji$L^^R03aue>q7ErE!`lLceO<4tew_gyE}%9ZA=-FAoZE;FDkdA&;k@ z&c)y9#wV41uIuYl`PQHCUTE{Z5A08_&EEccSIPuGiJiX!=G9QYbS%L-1&fi)w)85T zIz3t47(_!>=Uf?k#}-Srf2?;@Spz0VdUUp(nX6dI?NF{<)d#W$dR9y%e(A$H0L#uI z;}-9DrJz#B+yTi;&82W9N_-;5CBlzGF(aGK1!%&@(!;NXlTt-OSeSID*4ed1EM0Q= zH$osx$Wns?BtAQB=!Q896WaMlffS(7gURuL)-juL{4Yo!F_M7k4tB>3{7*Oe}cElN?oL#wMe$ z6y*cq_fs2rXf@_-Xn3-)x?@?+3OGakyS>#v%ap92jnry3;Xhtl-E>_i0D;X6QFtT&}>ivBHpbUI>Y-s9!uL}U)i;R^Rlw6M--I4rIlZP;m8e}UssKbCS5DEGZr}y z7ijS+y-HbK+0J)4mpn5y(esbST1ton7F`?cDOC)F45eY5MW)k9ChcD5A*W<8VRjZLn3G1 zIyNL+Ta+Xo@2N<|*Gg+0;(Z9UQs3_EA96{_F54QS*D|={nM?sRE8vLRWV|BEkppa( z_h3q_fNKGSECKtABYqVtt~-4{0TTr?%^d7|{&KNCZ5QLiz#PrBFEUbe?bi*Mk+v_j z@-$>wdkFpC)NSO)??k>_8WSl&bq@j>G1Rp7WnXJ^@P6J zPbts_#gd66)|655ulK?xPSmb|+iaoHnSXoaWYy=VjKgKu8mX?=b{X*xed)HTP%7p8;IdOVZo%xG zwnI8!FyY_Ns)fa|p0+k-*ZDf1XXNF1k42`CoWb67m<;p9oE-e}JSMl<%;MPZ_4k#H zjE=a!gADA#4jKR${pPZxL1$n;zkU`ci*rez8CA#585QZ(WqCDv_}?WeAFs00@vLR0 z_u~DB(79`H|FE{c3i5;b5^pwtioZQYka8Pv^>tXiN7IJLNy~q;7x(^~b>sB+R|@ z?mF9eQC<{%+^^YKZeFu+`mHVhrLZWRjm}7xa?&I&BlG*LO4+zhS?Z3)&62>W!WOu> zl0_rpP@5`ly^r4Ly#NKx0IzW5T-ij|6thDBlkp>-TlXDpk=1jV&ALw%t)P^Gf+C z$tW7SpG`9luWJ6kf}$foxl^6sNRRdsC2-K`iZb#WaH$nP_iRG7jhFUik>?}KgjQv# z|0;&Bbi>$xYi{obs+eDX{WuG%@@X)0W7jy+yyQOLiYV$zcz7ui4v7vf*q&y}^S+7rEX z+!&4HNwq@`Nd=QYVRVhQ$ZF=U7B`bG!#u8Ullb8BqNi>qzDs_Qm0>W$Je%U-*=`V$ zje@VowWU)(b2~bgUCXrPjx{_1XS?1YpL$T8go?E)Wt^piU~S%#JtdqTR4l8gY~X;dK~J=E3Vpc3K~9+h}hJ z)~A>T4!BkQ`@y|8e1Ql|>;c%g=l3SxM=R+LKsBxPP$Dh#32f@C8Si{{6jg_G{L1$} zc;`WuG;Q@r=d;?2kNGsrq461FpIHj|D@d(IWBv9Ykx>pT_l)1eE9E$(nTrc1?qRS0 zCHkUnsZ>1O?{Gsf9o?ZSkHLPVv*GHT6Z#bXo}Gwzff?s)!lh#`a~$;K=3~b zn|pF;#G4bs$o?`)@i~Oxcr|3F4%enw2xj#u9~MY!P}(wUsFjfP4ev&Q!H5qF)9OGG zDX0=Y124jpb-ecA<(0Ei5plp+3Y$=9#WvSYw3AH&eZy3Bfb@g zk)vbrK#wsgtypYb!eW~&CQAscA55KPbXQL!X%Vi6P8mk^K+Vf!azE1qS z#-s{es+>5W>L!%cm15ZMNnhQ`dABmp&$SQax9q$tn}1te#BcTA2OLFz%q%AnyFi0v z2M|R<=6=M&sBs^BSS`3n;BOqv#Hq^i_CDpW1x;D@B*1E!N(X5%N(CUL_X3J~@RwqK z&I4!r-{V6T<6I+P0`AqQKlE4#?GeTy_}JC6keaDc77S`J!)tzyGZ1xxz1T%hYSFyg zyV0}1$|@$l2&}#z;Fxp_K9tDcq@!2hOAE7eZ}!l}jCK3PF~4J4B1PiHLm*bOMKu>_ zoXUL+RyFSvFWI(Yul@#ETK~tSL!sh!?Uy8L_dKEOEo-MP)s6lS2keHwPG!4Ps}*`o zHx7J^zuqis`2{={rn?^1GJSGaJNe~_^(X1B#CX2G2e=$};v z%~uPn+ydq-}BY5y)1)i@Bri-a*r)`rDQe58$K) z4c~7f|C9J)9(&-g*lJ(}WxZEF1pv`NB|tW|UoJ+jH-HjRU;}>=FwT40;Kyb=D75>( z!r{DBvON!7Z)Oc$sYlr>!C9owl2h0fy#*^Ja9xUa{LnNE77cYlZgQM!;@!3#0oR@G z3tEz4{~Gztlfs)5pFV`Ri(9E(pt(jv6V3OgPg10oZ=!!F=?Dl?$KAq~{wlULmPCBM^t?6UmZ zrg}<5pP9%NbYaX9ugn$uR2a01DaUFZ3>*Gfn zGy@FTIT2^8Kmj_KT#prvaFnnFx;4 zBUyA_Z>>|udc|a5=75!z*HNVC+3TYxmpYz!8x0822@hu!W4OA2V16bK8Wv=aFl&!g zN8{KcGH8=c%fX=INTo5i-n;LL=wp+qBSc!ki1wmY|o;(eCHI0cJ>{}sQ?M~B$(0XHDQWgUlPxf=lwtCvudjVJxgo>PrTx)xZjDsN6+dgr{5mje z99uU#=gAJkp{_4#dlV@VH)4FJKxQTOTH_BC2hPN<==vusLHBW63OP&(p=u!)t|jO5 z^)M#@6}!lBExU~K7@}+;*?XK`maUij+(MF5o8X&OaO|}Yo5S-^?Y%+rmOzwe$oeCn zn%y{LIvKIP%?FuL#N!!@?c4Mtz3wFo)Hao2c|yhjzQ%H(eN=M?u5$#s!7P%|6jRZ= z#$K3W!@*6P`+as#AG(tBMOV1R(ev4ejrQ8vV!U*yl#2P#8z7jbt!fhZ=*t2f|L516 zPt*5+$Is2{qP$N2Mnr$@GAGDz1G6@Dj`DHJ4ey&ea@SjC0HgzdG?Yu5=bi>?J_LAl8-4p>?R>1OG%8l1chlNntcVd2! zx~=m|NtaL8-fC5A4UZb%+3pJ;d0&l6rT{i1oadz*cf5wgpF3$k02^xc2PIcCCGQz! zhbPzUW_U(;8(sE)a^dPLyGNLGFS#`ECvQPQ?lku8=#ZA}+3gMvkvw8|m5kn~&+bVm zb5?N(N~!;J1H>OEPaalygvY=X1m|Ie?XLz3Ji^I=t{>bfD_iS$T13}%T(!auD=8|= z?LIbIxqn(}szfLzhfBOy#(Q9-ZGo$WInD=_s?UId2yU!;BKQh0p9hDO;PCU8cU!1b zYZ2z>r}-U4akT`)q}ojG9;1IG>mbjr1Y}Jg#Mc~->|4xW6w=scUG*BLM3Ho8f$-DT z`s(Jj$#^R(w}PFRA&O8O2pt={|Su(a{aX?%;_Z~A2s(B9PX(&lu~1dqb}~5G`-UTQPO6&1tO(sY2ksT zm7ng}A?K%i3Jpsu50sn^c)^}`t`>*{FBOQk|F0b>N=|su{-oa)mR@jOQ5H}Cce8YK zWOh*&j~HP6furv8tmn5IH^_Q^2a|8GvN+p6=M)B_XlKupnQ|0o-W42{*1w5B6v}5I z5b57!-j&aSrPGRB1_q)=gI3Yf$xXQouypv-oS&9{;Y!QXbv}>m{(b{<)AC{1xFEjjJ)mZ$Z^9*2j-4f%J%+Z>_{`JuSBBy#@3{{a91 z|NnRaWRkr~13?spCqJ5Keo#S0P)KPf*cgHcliiDs%+7|L*^t-_NF=0LNU~No=1nXF z!QMyE-lwn>EVK~BJHxIq?7-!~?03((bJ;q{kuo9V;c!*ve?e^V3r2&C79E9xG#WpVX+rtzTFn#Pk+G%OJ_SfTlTh+>mB zQBAUBUdX9pzR(VJ&Y(&Fxxp7=XvDpn&!DYwM5&}j! zy8u@w-2xP6Qmrm5|Eo0L?Z0^fkReyHLhjJ8d+_x3iJX}#ohZxNHCKrp1wnI6Lk;UX unvRCi)u1to;#!7C*WvnMn}c?#q8dc#)idN4dYQ#Bp#cAqIr<9#0RR8^v9^x@ diff --git a/1ano/isd/quartus-projects/Teste/db/Teste1.cycloneive_io_sim_cache.45um_tt_1200mv_0c_slow.hsd b/1ano/isd/quartus-projects/Teste/db/Teste1.cycloneive_io_sim_cache.45um_tt_1200mv_0c_slow.hsd deleted file mode 100644 index 599a3357410f72f0c7863a8b179e8fd160510e81..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 744396 zcmX_nV~{31)AiW4ZF9%Axns}l*fy@QZQHi39ox2Tef!*R)mKTS)9J4KNmbH0ryC6j z2&fts_}>TwWc9DH{gY~c9GxueY>8Ny7?>HDiG*D&tWAhGSeS^InHm3e7N&nw6=@<_ z3+F#XVkQ>O|9ok5`2TMUE!h903dn!rzhP4Q|JK}rFT3GqZ7kFX*am`-ppZ}?paquE zrIMTxvV5L*xDw50)6Mg^Ew^li=uRiH&#w1OJ9>MZUz%9Mf!PGmgOU_OM8TB7rZfU% z>r4xn>V;*Mp>Ud_fT_vKrKQtUEuky{R8++?jmw?e&D(A_H46Wy)dY{^K=6q3fy`67$CMI^AnVhxco8K_8Ffn$PFw=)=xuWq85P z=NtdV<9T~n?T6ob-u3;%{$*Kx`)xXsX!Wld!6~F0=2_Wweq?4?8&l-1-$*c z1JlqiUtuV;(Fs>h-_|wuyVpU}&=(fG88gx>ztJmu-WoTk(VL7Zgd17ToEsn#ygoBCwl`BHr7kJ+(;9lL{wWrv__&{p?gi-k-_i{qzsUd&BORN7k7wza0pH;gYp9n6=d_l{GUn(cb6cR2|FV`>FRM zYMj)cE5$rQkJ;!QaPo|#6Doxj25N<^fo@B$GVIeK!wmvej}l!ekBnWzRslRw4}un{ zoi-vrb=1w)PPHd^v_={V%tLtQ{vMmmZmJT)-bw|zBMZRbp8aXArGrz(mIkb{hiQL( zp*)(Sd@;D|){!trj(m^1qGicogRhhqn+gwQH#UE|7gV@C=|SI-dR> z{AkCenW0;2_4?xOEXkC-54IoIjoiVj@WRq&XKXp9Iih|Sf5c`pTU{j&ZgZ-3y*pVK=Ns3XQKD9$0=JaRq=@MysXJ1SOC3< zP*6Q9@bvx;j>P;$F&v$$-{yWRZ@y`k+i&%9$r^fvk37!J^;bvn7{F4z?fW+Tfyv0{ z{?-qR`C<}kX&>Jb8+t{q&h1yF6HPE-b#I*8`?IaSX<%@%P0Pspa{uMV*VrHIr2lO@ zT!YED{S@Q&;lMZ`%A$l^KoolvZpvm$jn-;J!Nd%TIdpxPOvUdFSMRK>5PHLjgyMe;>d$HWYA7D)dcpk=% zq~^xrS~GuW)6-6z zF=kmoiwoP6^my^=5yT*6+4H=fyHw5RVpOuVZR>`iXT;ab@Cx9!yMJvWoP>&pXFAV$ zMxtNuj|v|a_6yC-3b|f5h0hXS>Jm~HnZ2M~p$A5s{;h=;E!(_&($dH&aPX+e>wSc` zduvCJALxk(@{bq3YGHwsF+F4!q*zr*JF3fmom7uPdxnYVv(pbl6SquZj~7NHvydxd za-EIYUv7=+h>Rlt}$k+F&iGn`1| zsGX4%LerYh;s~ifeU~5w|MWbOB;J!=foaSl4PK)*pHCYPwDa{B=bpy~Y8=5^2|nqzAiBGGhgc9Ri>53=*qac8w!&5mpN#6oRVad8G$|_H+7c;`5Mb@Qbkxu zPy*PIT0M!9IRN#`HnIsXr2+&d7uI~>E%4CTO z;s%5y9BEjM#Cd0zxRTKsXNUo{-`rC*4YRhRQaG}`qJ(FL?~@O8DiA%!BN|I}1xUP? z%iOT2b@78qV(92k4b8!*Lo-lO+Id6o7+%)z)u+ljpyIHQ3B?F1&WV8<|0?pc41)bk zWxI=%Tot>YjTN8U_SCF;kR;+)^A7OE^28tt!!3kwcqwFy)ckfwtnBuHRa!7$AXKkt zK*4sxZvMuc5`bXy2Ex-vNpVpd1+QZ(k00j|Z%G>s?I{K9<*E%lVuAk`z(vH20Vcw; zQRN14%q_wouvkV&$xf=yj%Qz3(eBfO_Mhe&O;j}&@llU^!B}`sFBKyq@&_IZX+?01 zORs1@TMC7MT1wbJ1t=?{N($AQ1M)C6I|skRsiB0o^EucabNFm%EB!MiB4^!&pswOu z98}f2Yg&xmyPfeY<0(nBrsDB>ttdZHCVj z4P2CByZqKk#>1e#JzLODrbkcMq z@EWiGJznehH?ra`+zGlAijmVt{1)hrMLd^zmBO6=4x4y&z^eib_QY`$L2-d-Isl+y z)>Gh=zG_eA47Xw2-qgdzloa9=?%skymo+gs8`cR!4}Y)s_Qy1P!Vrt0X>+}&ax~nV0PTq9!trCmbuB;|=&xD+! zTFr4@E;X&Ne)b-0{O?ABu_eMif!|s^&9&fgBK73yAgiCsStG;(ok!y0)Buwm@Awl5E|H$DbtwOnke=hB){;!p>SBE5i0@^J2t0&xb zZP0?5k)&XV#tfXMW%NT~$!eelEYb7?9_DRs+=UC~k{O>P!cPu_+@!bM)Wx=ZXV@?S zOAE!!k`QU|Qg&M&luV6-eF>7LD>5)f<_VGwmq&+!W`~rqy+v`gvJE?+Ge;R;{zZ;Y znKJw{WTW+Kuq~^x(L^vk#|6}-{VJIkPxdcWB-eZ0u$AGR9OV&%(=4sl6B@!0AY8_Q zu_~+62uwAVX?vfs5aip9-0k+|h`=BnbI%GZR+qWn!n@0ltak5rg1*3dAVsHWzZ5!m zm87S1QZCnlZ=s1g5XRfjp;2$?WDxKJoKy>4Cg+o9NZLx@3^)Co`ar0v+Cec3Q{ZM^ zAVj73cXS@?6>lkY%-s7p#u!BWV^_ULbGqO=E2)) z!R<=+9dPa3Tez|31^au@jvsTw;odNK*9pHn>fV)Cy$ATWL`c>#sT19@(FDFyl#Hgq z*)ueA7l=M_#bHC&dUfjp1LH~CSHZY)^-H%Z)sN>C0{TvGWlyhl=z&A`-M8CU32x-Y2_o7&_SPX}WNKloy1c|` z?Vn6LKW$9sqwLPrfkBIKBisGf=}e7^z`2>FPPcju#O}i%`$v=D%Fo9@vs`-E(X7mw zOD9tp6Csf=hIs96?yWoaN(nBM*WPQ1-uB5|$o3~RFv>EC6oyUqIyxxbhv+W_QNQk-Qs6(vcs0x1!j%0kJ zy=`TYjx&Bsd0S;HD)xhu5`j`8q@dI8r)Sh*!U{sbT58U78a3!8fpH_qScm1`%)HiVdb`b%u(6jUPW4{>n{# z%bMfzHm5)d6=Oi+n+8KGf6&BJoyEK1i#k$^`{kAKHKQtCH&U!as={49%2aYFTe9N? zI)JrW<-VvG7`jUHy&VS^`v&1cIGF{Uw@KQsE)#O%&Fb$+E5Gi~tM7qWZ|XkFq3A-F z0GzVckS*f!d|PBU;;P61hLSg){6s04CG{cB$6dM09d5eMUa^d3Hc$3qKMf|e^>PqI zMyE?4%Mu*UBx2CMw9*c%@O}(LkkuCUaTPXSc#kVpu(^zfR8js*@E`$&BzOUrExtWl z5t0aP#qN+{oAmbR`BnTB37Esf@!36g1Ov|UExAQpSQgXOY|9HGma*IH7Vd#E^6J*E z(YB(Og>!qG)i)}O$8V+%E+`^!-zx<4z)Kvn**pwzmFYVZ<597n4l$~LH+TA4KS0At z&|C_A;n$gSEIGrX!^Ar^paQs1*JEnkTCjBJ4ladK=(L|ARwS6Q5%3+w+~!zfeVR~` zVEgpFC5t?=R6xC6yzuI&}kXO9C;d%1dXBk9DEJ7TJgRQ9Ns|; zfbk`8lDj#tP3EY_>?wIK;Nx8~T?lNTgp*i~d3HXRBi$TU!w}!Vw#{JTm_U7U)V>t0 z{2HV6C|}E*;zKV1e`@1yD{D6f*aBHjk7iJMujRMHMTA=7h8kz1#3Y~UZ;S0oKJhcE zI>NrmjChi{M*CM%R+Baq`4lV9X5v-#(9T)qej5?+OimoZ=6qOqNLnWJ5vtkEJ>sR} zXLypG=6{;57n0;Qoa^6lx6wvL`0jo9-EjQyH6`$@1C?VELbc>e9FRmuC=)yF?`vr~ z-P?~rY&@THwGjX!<^gWi@ZCF>xe2-CS2YM3F~mIJfkkVP7| zSeDzJ0Af?gCBGU-%?}s@eC2$y2rnB0`sM7iClc^6V38``DIBI5U7_pst{dt`n0pzp zyIbDQ4I+E47%PCMZO~x`!+T(ZONlmY-N}^^GJ}C-Y|!zNshv;$q`@jDo$Wc>7ny&e z{5DgXcAh5L(ZlfAqA#%Vq_o_mt{e0{dGlfRv-rW!ywNd{HUoN2 z4aDQ`1NRpl^jx$^riH;K;3$Y21^Kcg>A^)PAWelFhh?CAYxY`kDK%L)Nbrhe9QKMC z0j%R+;J&<8K3ZZ}k_nLgP642>7ONCi*;Y=PJszvT4c96G3dx`}VyPXfR&Oe{r6OBm zC`Lt0`+Fj$00J&E5xWKXC=Ne<4(fWFZkUk@5%grtCUq-KyCAEp&8a%PZ$V{VU(_7- zjH`!1(6YApSh-o^+q7(U;HrQmR2N%(CdV6$L<*v zRw!J569)6n=84%SJ+q0ZDZE#gdGDA(7E!v% ztw%vHTjh(^z4ZTXB!s>ifkY{1jut7Xi{t{VFw{ruPQ7-)k zl@>i}B1ANJDAH^2hgYgVjghe1AX#Zs^aN$9r{V)K2sg^~7lc~J>SbCoxXqFlgaTS- z03qqa%j-FCOx9l};lM#AJ7maz8w5t8!sA+deqiOmaf+V&!e|$VN*Z33e&-+W08tl6-hM00=Fu{3Y_7f-G>0ddKMj} z>w;UWnW>j^p0uTfj{$HHg^-@`+1dRw zNMWC~ZD2rz@)w8EvAffDd$7|~Dd>T(Kq^%psK)`Pr!;r7VPq!sRETj>1R22SUpgpH z%!$10K2C|Eg~HznuiSZ4%e^N3`eR?e6&nSn%v0SU7ZJF4R(oCK+jkj>vZu2e(0WwY zPM+42J*A$s68pwZturgDapn`sWb;e>m@{&|BAvct)MhWR=>yM|@ICyj*q(9HWnAp! zUO_`VYBB|;JTO~Atc-s@*Z9?HaOCP$f`dz)Fwl%`&@}COIGHjQCpO?}Xhcwpju<;x zGUoqzTU}9Md~|6oYcXtfiFDuQaAbUR`%Yo>eBkf^%YXgb0}|@ceb;Dqb63x+kj+Ga7(hJew=RxuL<#FmjdfU3VE%S2RwG zz6_Hj3kc^r>QJJ%Eq0o$(Mj7gR4=bruz&aN!cw5<0wj0}ehDkER<>Fr)#gLwKS0Wu%QTS* z1Lw~Mu^vbZeMhzI1DT67QQQs`u`e)?)tu#}?<$>Ag0PnrjwC^laS8@2a8Ij82~H_R zQGu{a)F(hK;)P15S=`z=!O?^ZP9c|p{Q(K^i;$-*@1>8+mZFZ~J#%$MI*3Cie+|s_ z13?|$81%I%h;R^W`2B4RGyCr{cd8WiQH9g3Ci_#-6!mP2p_{>Kx-#rb(FtjOP9C^oID6Q2CsU+R?A)nfBc_GA++a$DL_QJr z!6djvlC@Z_2oD?e#2(-gTNdd+W5K!nj)~Y+rO>3G7nbG)^a;IOTXxn=$fXl4qm8IJ z2Kt?fN;R`Q+(qaej^Z>i59oVQAQnuKVl9xI99T8Bg#pHMEunI-0PnmEelg$U{q0_U z@HZzKDY-Ahl*PKIDuiIRc5pU^Qn&;*T{#h+tyC>%BMDz=DpjvK{!^8KOr3w63L2G zx^tp1d{jf2XIzZB71K1E0M+~gpCjpfaTI~wU`RroVH(|`fRNkhu;-kj! z*A{E^UdEL%kcK&Rni5 zj4CL?+P7hn3(8bA9F^j>gQ1_-NfcJ=jP?tO{`ra~$d10(+Zez7!MGx(585bR$M~B= zH-Pw@yOC!9Sl*UPZ|YYm_ONCn@be#5OfqX)x|YFe^K70xHp*u64EZyy{^>+XM4Kj~ z3j(qp$~P<~8CRd1L_}+TKtWG3BHv#WNW(m&|gJ94gp-o`g zTOpVOs(SUoXij+_v>>9|@o>qh#nhZ*pNrpGip;^B{CVu}Z0?Nmi?F<4St_Qajy#Dy z%$OPbi>>K#-2QQs*u(s%BjfGR?&J34ivMsdqQ`^%SJ%yKoMIU5l^Vrl{!JlSf0}#A zO=3$N$CaitOvprw92+VoU7g`$qPS(E4@=qs8P*;^DUf(;G6U2ZMbC0>jwMj;sBX0Y z^k~fU{D7Vt$<=TkOih%7>+W@*T^t?)B(8-p@FN)l{Ueo{4J)CxB6jaiPRWFX_E~0M zyUriu+-YSjXu#c3NUh-zNKuM>t-R)w8eEfEs)D{9szQypt@SMM!sjhiro_4IHmiBI(Eq6jac)Fs$x?&D>-+(b zr;;*V@c7KRSBldJ*>GTC{H&<0!xZFifZ1FD+uY&ez+e>$+xj~~iF7b5HXih}jDy}P zS;;_=si;YZ-K3=)XyDEyI!LbPFT%Y)La_Au0_(=v7bkkG0EaFYhpxx%C+qlrZ+v{F z-OqdQr+87b9^}@ zd3hQJ|D$T`UbYyDFye$U^o14w+TRaO7PyVO0mdj)VPiEIMe?jd&K|*hCCFm0zjNNn z7EVo-jme?a7Uic*xOtPH9ZR+P*`KYWqx9j5MbwNfZ+DA{|D04akXc4V=_sAne8-0h zvo{d}9jnh}2;HmpZ;rKWgi3J{Vf6>MC*HTZ^Ae`mcSUVIEhGx*r14C#1YOWi;d}WF zE#t|aqa9x_N1!iLFwYzNR8Z^G^Um3LSz)B)%EvQ);f;7|M|*4}Je+S_j9qU3NDp~7E1*KCPQ0pDoba5y=D`4LHT!Aktn zR44Vf0=5wZa==2A_+yfS7e!Ao(K-= zrgrCXyJ7#Mw%qM0Q|hV0Es%d{7PH|NG5- z5dWzELeDG#m|=189?L~JHuw_!9_h*u#{gc3lwJDiO}+ragqqa$#eyK;$91GbF3w#h z-R&GJniIqE1PiPmi48BK4#?V=F6zQ^_JRu%TbWQ{C5W5DT+IF0~VsC>q#m=HG>MH&of+dkF!B$XuOAlO_su31pL z*iG8vD#aBGB&m$;9Xr)pV7bV>f{rp!Bwe^{+v4ps0<$T2y%fT2|By}E0jTuI3fY`~ z44j8|zKsC3I6Xp$6as#_=zX-aWi_I`o-=M3Vj2FktffDhawms$u%GU~_&BoG;y4Zu z{7-jj^u`O$boVwvu%6x7xSqc=<)41qPdK5d6?%bCte;iCj|scpTfNK(>lGPsQQnZgV#KoY}pse-i zEiV0OP3vx_ibSQhOaZ#Ly8q0TQFR6H6u$u-2C`ZnJ#eDwo?B^RAw=Z*GU z__Ah&EAG72fnqMcdntv_a+sS*jCQ`3v43PRZnTq=On;KTxBXp>+os=1CtpLdZMhp; zNb{?!cmxnzdyU^A5C7}ScLT0o^RCMwDC}^0YnTd?jp&sH%TuZte;DvAbM%@VX3>C* zHW2ZgqrRH;3u-^sn|oN0T9Rdj_b}F5(TJe==(c~Hb~d*-KUIG78011LF;-zL)|o6KW_ED>gdhh4lFDbgnvm<9tQ69)*X2V5e+np1LehT@s+$;Vy###BG(ymMHeyLtVJ-xr z;_F=_Dv|iDgedv}qRLsgq&CoyXbA(6P3&%u;zZ#LxbR=8!pdmPQUnHLZ^L`ZVW29K zFq9lp`;?bQnKwel&Nh9@mZy}H*51tm^ zusJnYx8~n(qU~=IOXHQaGRSU}S%eHiz|NB5Y&p4h-MNGU8uiq6b?t<1nkJx-uZL(B zo{cjIBZU_RRh?pHkO!uCQH-y+`mX`Cj}0i*H*7(AtvGoH7K=O;VsUjzG-A|X#gxLR zrT{9JV&Gt&y1=zcuyQ+Dq^TBjbl|QVM3yH6_FNA!941sz!6^t`NrW-$iu=VTeF~_l zK`gvE?(=t*VKcq>iV-j+>;=hB0fQJlOS?4i@*vr`r;ax9Do&$5>$^V~^aUA7s)4n* z3s5@IkqgO`zh77CC(H2fFNwmeLwQBW3}EM0VPMIQlTDVymw){!$vMBIp@ii-mu;bny_j zRpnf6nU+$P32n&WBdij>39dPGTdtP32~V?&9e$qmxm6Mo1@t?ZBj-&SbK2!3Ys9GvoqQVH2E2D{w*bxRYS# zoWjqqS^Rx-$vvpk1C)6$9aK#%$>l? zK&cTu_<}_pgSqKvKTXF7qn&CRbKn_Ce38bbcZlok?Z#<{O?*LhYya)=k0R2C4F9-m zxZ3GW#J<>j9W0^6(HG2n{W95ztrc&(I7kL{l{Fac_dxaH$-}14yohqUHnI!d46<=u zg+(N6adfwgB|d2=9|wmrW@T7Hr^Vcs$&t^2wd;y!T~ol$83&|D>n2RV%2zr!;n5&A z9(rgrIhi@eOdw1ptMVqnKi}Zt8@=uBqi6N4&LA@IN>q)msne{@%skX}RdPmPdU~|a ztFg>{Co>!=TDwq{dIv1I1z+!Hbh0p}`h~RTri2!qQ-9ZO0^RqV*C=w!anFi)MC!e` z1=qapeAf0pO85>8`ZTX$TvNivv^$J61~TgLOy57Jzhrp0?qer2NZsoCA5rGuBf0M} zO6-ugG4L}(H60$*yduaEf?=j%7h>aKDxyLtm&Q{Fa)cY#aQZuZ0e-?uWlz1*t+?^cH^i0kUP{AxS_dwo48y6nceD>)@U_Uagx=&*@+==I8#(Sz_|% zdVhYYum=#1CW8!5>-m1b9)50)*p-9+K5Lf@?%ntU&)9G@OmPooDO=pY)zvq45ZXPM zEo_szRBlV8-Wr#8PG>4ptC5qjGVq%Nt`YT&3MaMH^MiKhCBFAZfMG7@ouQcnB9r=Q zUP0djn~Ey_3=Cdap);7_?J9@zo1mf= z5sFntd7b8nhDHkAl)Xsf>Bv(@4YM3{w9xQ?%-m$`RC-?EY~5)P{*i`W>1^k?0Lxpk zTw6v1!i5&j6cMzkUNp>$;>+V4I`b4!b;!oWRHa2%U@3s6FEBX7tGGiY`3N&=@?46S za;V(EAoQTWlbc+LX5rW8#p%q!x!QbLX<+MFx!$Y?YO-fJhi`W_l>0W`9$725fN-#D z&dZQfSmNio_vM`A338@R-8IIN>Di=t4}tiD+1G8XzIXPDqh+sTq>l27>uBZo!teqzu zW$n$K!Z}{jnYKy?t4XmF_KC%6E7sR8DAevQzdzAI=?BnRKK~M=2CiDQc2A2wT&3^I z&iAL^)r)&!&V(W<=l;N##yOuQ@QBgPoNJ{LC`uc;xAHT=a9B`MA0N;!6rcFIsv{$9jUjYLjS9|dU2FVuArzN+ zzsH<%0lCtObUAPWaIGJY-j7#f-N?ap&PmQx+kDy-sAIjq*XHf)A8R$dx$OsJ(8=Q zj?GIjIj>OY(AvDl8q$~9Q?>FV_R zZ`_F5X3|2JBMHsv1h<)0KnHUHSHYCH5a;kCwvY_sTskB#X zG2QjQ!Amom)mg|+T`BcmzS<3W#UPCT5&6OYBl0`YcHR~IUcB^QizcBLR@Y&)S%%Cc z|K&47n_}1Z`7e@`)4{M+1Je$cQr5ZynU+&g%}*gk37UvnzMS%f0wIf+>`1BSQDMce z`$U#EleBF`lp=w@?Y@@txPpT$1}Q2fcj+F`kl&nRLv#z$-Q;foE~i|1aL%RsM|CxO z5~OT_h5mllXPg654pTVa9?0~UYdAp&5EsXKK?4tO_u+y*L`Zrq6sPMvlUDG=xBPTE*u@F;={p6H;a za%r;iwrBRN&AWi#9s9Rm47=pKs`d$wmV#t*+^HI9deOYrd(!NJud4LN*1nf>nm*68 zJyi}=Q$F$ULx9ohtX!1o@0#L1)+N5Gp|%2-+^TIsq$eJ%AR?gXckZ@?$q-EPw{A^G zsvl*dU)T1gV4t-1%8lul;9ncTiXgH<%kadK1;`1HP^17r_uYXv#0D&&{+DJ^Y4eoK z8*s^NvSNg=&xiun;ZP#j-RAKejG60kl#ZG0lH@1)(pg}32vGU4)?;AgbW?X9O9w#@ zvv}m)xhMRZ&3@rbE5;9;dT4ST_V*jx1a<&1Na0Re*3zKOk+pw9i!2J7-q_t&}vI7qxVWpSScFf(i%2BXyp(I zSSTPwuFj-rQ~8JNr-nk3?YHY6ZYzeFiQWS;nFltD2Agqs@N2M zcjw~95v;%9yI<~0XNP&9V??j3PCI_9pK4|=vlj};QC=FG+jpQb(01TeIzlCnIik28 zSR{I%U~kpxKp_(QL&{kMm8{QJ%sZnD&tC9auh@@QfLt!HlnOE@xjG?gS>G;fG5gSZ z;w@yM$VLp zpeZivnd@qPJL}h*Po}KiI=F1?buBc3tJh<4h)oa&BR)JSM_S7qSUo~xv-4*upegzv zMCy3zOTsLXs-^Z({tqK1B7uMUFwBXqugbUMT!P%JMBs&kCifOw7uQz$*a_S9m!=uP zV;I{V0z<@GB%(E1-wEoLwO=H=vsqIOj5-BRVb6klo+vP(rRP5wCx5cG0?G2zYvG6cA#_1Q^eJFn?N@GN~$dDG#`zc0`-lKgtjQPYVE65kYCyE>ry z#vToI5Br1n{d#izB0kLI=>VSP65$I>GWUTE69-(-Ulj?nzx7Zv)h$W02{ynp9e!P0 zXhZ3BYU;a1PMi9C(Q|`4eYX3(-h7>NctQRG_rJ(8!+{R?qVKxzz<%NR@&v~)*fE^dKb(g&Al5oA!0;+iR3u(eoTcxOtq z77SM*y+}*@_C1e76#5A>ZnTZeIyq{z#e}zNk+J+5JCn{u$=zsiHM$-$73(zZtlkK8 zHOUOSLqcU|aod*Pidkq$ra76K=%g8i9oHYavU>_g87;VA5$M8xe)Qgb&nKf#_I!&* z!KAVQ%!Nv`O2=FYRZK;~*|;ZgloRA8e2gd3y;fC+*LdNHhJ`4cA{4j~>|O3@ZsW}g zh`Q%su_lxxfsfxZ+0C;1w{ad*;klN(l1YY?da$vICZw}n9_n*CX|}rTdVX$|`e5^F zT$8154Frw~kvo?HUJI+RAZ^(+)x~M9r-L50Q3x2ILh+A`J{kKmZ0vI{84?=(Jc~G? zqJfp?vU_mk3gwXlIuJq)3gHrb%N<}>(cAhHdMppFbFvwA^=9U{z}f5dIA7{^bE*s7 zhV7@Zo$SQgqVTb4_qN~4*vhu6YT3aDOAn9GorKGR9L(kwtS}X5Ba(RP6!JlZN@N4P zy-^YBA0n6t0Y<*sQaT7u27N6Noj(^_kIt+fJgA$e#AHy6LD$z(BZJ<3D;EQ>(G8V3 zh&TnaN3#~$FjoYpO20__vXUtnsX=KhQTYhK2vn`qZvff=YR>Npv~snU)-?j8`rD8e z1PVLOZJ=PD>`eh|2$1^f|Kg^1-1IRN{H9MSZ+^$cwAh#^$p!XLi{Ho=zAV5F3q>*RQR&HOxv`q`YEtG+=(IGW^4 zrBs*1CvY0*nT$8iZHi%BiXVf=b(%LtdmfS~WoP8gbOlNCh*#aGr(!u2%LX)wgK+($ zc|F=Ml&=TC%jM;exMQav6_wrVjzJkDT`U1@W?2Qq&7t$<^!LRuM(AyZBw;O1Dg!86 z?%F6gGsh~|MJKwSKGH_Na|**ta@g}_7t5>D%@hNu)RgKllF3}6&QU3a&RU46^6})| znu1Xl{3ejk?%W?$-xso;wF`;AIHf`9nO!s%a^oV)+Q5Mpms?sdUVfC{~ZQpwA&B;weKFWE6}zfmT42V$ehZZgcWdCsZI6qjShZ*#wbRDyB1Y zxeYA+KRLq`)vT2l;4!11m?=KVCE<%y*s&R~kl34`ZRXm8!q%5N@H+GAlou$t>5K~! zysrjZDk3yhoeN4SwhdhCBM?iB80Ks`7Ii9?o?`rzEh@jWm%q0W+8;LYUz=RhjSTR; z7W96V99ip#cZBl0k`Cq{rMZ68i7)K4M}$$yA7h2EoV^K}3;a zg%V;#s4vO21(?r>d;*PA!eJ|^_9;xAjDpQEKoik{e;BeDWg$jDKiiZ>dn+O``g-!R z=Mtleo>1;0JuUzzTm4SS)gr8AOTbM0OscW7j)yx0JZn3rsHzN zv?(#V8SrxEOB~cQgdPSM>hpGP=4Zk8wd0ArwEQr>zto)Np#0M68VSnKBcf0^qxQ9R4+qqht#Mh`p~9&oLg3E5NMSLt8Q6Emhi$(T7_nzURole*p4 zBP=i5Z^3>?5?hy6#MMi-TEPN}7^++Y5bzs5=d1~?*lckfNUunWkAGAK5@4k-Edblp z{S`twig^KKX$b(bvZwh7a@T20cq3X8K@2tYkQhqw;99C#L6o87V&Xi>HVfrhaBv(+ zfJGKOt=i~#D~5R=*xZ8Le3Yb239wI3fiS3)qqzjVrr2Fk8{XYU*qQ<)z^>&)kwkgX zv+nimI*1({r|t%H9(OuseM**+b$n?==c?6-2;|hm!>|Nf|J#N0H~+9MYvmRd#TVZLA%PjbFfeQ(5^!Cj7kvZ1ziQ90q007e)_pn~ z@HN>0)pT4Oy+1+shK!nf!^h`>dW0X~P7Vl%D=T1nUTdbx0-s;H)8>nrO4^U073_v=25Q<}7s(#T@NreJl9a#l#yz3ZT$GgM*Np@;mCeUs>#i*s zuoi*lOmQqZQKx<(7wa-XE}Pm4C4+Lj^DECc2W5Q#Eq2chzUjG%na&F4mQ zWSunhRf7OtdYbwyI13I2S3qb;JX1*`^w=45aaaOmJn$U(DRgul@>|7Xqo;s>Rmu2y zOplaQQX!Tu*>ii`mmVJb3UKAd!G12}Z|MU0-^k0CXBw(jBe+Q=IQ;Mk?KO zOU?`^=#7OnXkkFV{jpn{$suhhO-$yxe$Wns%f9P* zU*~=mpwo-gX0G`rxeP#(41bjAZ#$xK3|2y*PmxOmSyI5ZP(@$}O`cWOA3~ivdPWwh z2=ycxl|UumYu2FyBU27=ha|5^T7Wq#p?;gQY$zQQ0B;p~<5Ek_=;Nn=*t4|px80Ga zbeDxUh79fsEjdeYanPVJ@1!d#1|P2$HH0>co{40^7D{ZvR4y6~V}pB7f8>|~6*(x( zj_wnifWz_6+6Z@9q1$^p)a3d9?6v;HD?Xz%lP3g+;G}7Jgxd1pgkDmB9G-wZaoZoKq>RQSO!%V7DuP7A`d( zp7os(FFRsa2G0CfU+1U{aY|?IQpI0VEBWt3CSe5vrZtf;8u3rCju-w0uBRNkYXDN} z^N@Sh1zE|rUKi%isufc+qCN~Yf;8k)$GrVSHtE-f@OfpEYvrnBx+R~N(TFtM=$&35LB@gbl zrQE|WY@@5HX5`cdN#|V?;6Sn)mvR}aF;V8hj@?zT<3(6qzvLvU<5#CH0B}0T*Mq2p zUa;Zp=Krx%GQgY1y;#7j^Z5|Ffa&VfadF*`72(TxcFu8-`8B@4{G1+vphoiFv7SNZRlU4Z3)V#TtU`oGupjI3BVI59nJ8rx1?gZIN-0t! zCdVXRXKb@(eOo%Uz(H*l0-59)Hqb9{o| zfZX5h%C`dv-hIfTmwmf_l~VWksr&e?!;Kz#9)8`7 z$g0c0{sReyKK+#x<<2JAT^y$1N_@54lRZC+UHqGGF702NiqAZYXwUf99#umVy#&H8 zo1R6gG6!94PELh0zLiSG&UUxqdO|{znf{!r`CA3jTL~sxrMu&?Vdvo;H4V%CSS@x% ze0!GdghGtT*y%2E3kl06KUYn9>^TXc{|KFZ)B^DVE>oP(H{mCNCR=Xm#}DtybxEzflGQ$>PH21ql1MG-DSi4i_U{ck&)U0 z(JrO{A1f+~@yS-+)NTx+&SluIK2*_W!!M~UK%`HpAtG81I_JRsaTnj`{j(ve!tzh! zP3B=klZaRX?6;xWuuXO62oDvk+lSb-WL|(5@(bsLLtlG1TaqwG!ly=%%g{-{b!!^!XCLt7>>Y`MaBpP4;BO}PO5eA zE+0}1J=qooI?kSuya6l`$~p8_E2x*iKSebL^-rI76&9A66X#ZH^<}V=8OaVFG2?l6 zh_>?y{T7Vh{;bb}+t>09>?ddi6eD>5#eNqUVzO}QcDJi6G@=ZGPp09p=JJ|Dr8Ol* z2kG)OsRWV@u#Cw<;&C(BttupSP2V5(d~=-XziUs9q7iwCdw9Nrl|w;^<#MvJMyuf_ zD2)mX-M6kL??RtUNQ&!`)3KkRYF7csiZv7k%1AOJIDuYMF_j=G2^P}-Hfl`v8Diio zYVS8Gi04V*C2;S&Ztj$qdyD%@pM4lC)G<@-5y;rZCu0{g^EK;@@o4};@8wpa+seQT zVO~IX!w)?!FQZ?`(JPz=9Nvg&JCHDaSlERS#J44Sgn4<`-@z_SOl-h!<;7^qjKnDC zf~uu+bFF7$$_?i1O%DhC_hS=;IrYv^&p7L=w1U-LV5GaD{R*lN&=v&iZ*Cc+K4^$j z1BC-fd;%;X1TYDZ*5SZ(J)>UlWG2xO=7IR{RFhEl1VzKb;iLc)EL@E2Eu_LlaAqC&`ZBKd5A zD7VRS#iygjrtz$}D`KbTuex8mssbu=rlH&woS4pC$zdrDp?aL!3>)(2SHf^%7;YDL zMNe#iIGFyb#|)@liE78v`ayD1*bE}`EUKHKcQb#DhyxXBH;nI{wZh1zIiya@YxOB> zEJ!#anpwr_F$IK%(-{;Sry-RMeCxcuQ-LP&RU@~}600iwAB9G$EoyGWHA^+(rpmUM zDV0`DtCkI~f32>XA>`X51Pda=OrcPR4_LmQ`+7!Hjjfk$JGQ9HH(&T)2IGG6*&!Bc zD@U%UbHb-9j94Sh78h!Z|Hv}HJNZk8xX!Dz{r(qcfoe~I7tI8PN~AE*jbR%E`h;Pj zAd2AW7~-HGa2L}*Hlxk?n20|-scMxc)R1tuSr>*{Xq1)LbVc@HForH^ZU_MH{ zL|O`K@a6=n=yoOv7w!D%FULYAo{~gf-Mz9I>t!kSaWwsIp%iQWQ``;2E;O+cUGG_& z?Z`3|#pO?nTjrn_bItPtaaX<(gam5M^NLly%j7BqB<_g5=ff$l&OSq+>a4d=2J)-Ck7Q;eJjY<{aApi?O=h~iqW#{rwcv(Xr zvVO#uLM|%z_{`UV#n8McJqLkuHLjgXIVCTbZ`DHcA@sFev>OE8%GIqtxo95Uuvh#m zI3qVd5%@n>=-pk~yZc;x@~^~${{*>uhvQq_3!E;;Lu%;+pyp>~0*}D*4Kc^WT_(>| z2LB8dv>2$gfwP#QWg~soEtO5D7^}2d5)dve`cvYkct&2JU0|3OyRk0@l2&dSn$Y`E z@o+3b@xE6;yIit(C#SBS(k;>Y5^yZs18eSVtmvr3ID@JJVtz2s-#T&VdNa%_!ZW6% zi0UBrLr`sz* z@2KaX)hKpVE=5|d1h$csh~5Qz`|?3nmut&PHiE8a zKkt$A;-Lkc(Y(d1#Yi497cwznuJQD}Bv3!Z8_%lWCK%(|-39UXE_n ziHla%j4;jAFM8en#b!yMnSC7RJDy8{#6Vxhq7Bp*8WKh}r)m5s@o7O=oE2&^Z$)li zukhFytw56jTK3-BhL9uE=k;W0qi`AYkn+p2(F+N3ZFdx#f7s{>X_tT8_>ju0d4GR< zb>o^}3}vjBw=LE?ZPRjTr)BMrd%wc}43xn|RjB)K32kTyEi5Qld#1=%nT-J<(bCIk zr`v?4qBqm^b(1-b7+JVE&uGA0BfMHJ0N}Fx?-}5yZSk^bQ4-oFsZ!;Ug)xVZ03L|! z3?GGJJ^kt1pvmhx44Lh2wgN1;hKnK%ucOut{&PsZOQ@@d$Hv7qMx?jD1uH%DW)=J^ zrDB#S}L~@8L#Dm zhVe=jQl_6umFb3`U_NINL^>p|`h%5^$2badnUP^&*v=b18rjIIOBQ>MS@neVPXc7i|1R%a#N}AF&j}C0TVwF;#m|@_$_V7j?-I7 zHYi9WrS;bSq6@-M5h${$?Z#aEt1fX4JH+VdW*HNKQwMEDQ`Rp+S{-XgD|vL#y2&WH zqo`+D2=W}^z|)O3{(VQrIP5_V-e2lJD}JV!hhxH$Xy?aD2U5|89cRa!v(trZRI|DU zIGnRF`@<~;0S-qz?CpCl>RstQ&pa<2rHU4CYeSDMr+-f+4iOJ;Mc5-NFIwG2-!~ZH zoD-@%)Imq~BmSURRpl9{{k6zlj-ENj2u$h58cCr2DayOzGsZEG77ls@>2(M`uhi93CBMjFJcbNpHQa~O;Au&ZUL=#>h?jb@ghb$xof;fiIdJgM;e17ba z=UN^InJ7OJ;Yx-rpDfLa2XKZ``f@IodirR|w2gqrj$jb%`2D;ZJjnD@Lg{MXa@ zct8HV{;k#-q?S!hklduR1t3h$=pukL?E_J1Q(nq$%-3KAosWVipU3p0xpYUZf_V$h zCmPEygTYrOm*vv}gFn6+dI>%VzKV{)h1B%^B&{D}|7Amo!ghQL*+=8Hx#)pzLr4-; zbJwT4wz-Kj+LKD0OZNgLb@@H}bEA&{fI^yu?VnhFN^sbaljo0YaYkWhx?$P{>47ny zT_`*u1(3XLSXWmEjiyQm?CB7>hZdw$iTc)ltXxFPEjR6mQDy#!TG1?nuzlze(bY(!08?3Oc0 zB+ac9;?1^igoXrtIm^SMQY&^IQS|^(O#Tr_+A3TT!5n1^Q@{fSAo&r7{w!TGV=^Pq z-3w*tzqqG6i!yXsK=8ff=DqOZm-O)yX_i4LyN1>gp%H1gHer94ju8GgoCP8US+he; zR#dBKLexDHa5=}tmH31R4tz-?GK0%zv0hD|@q}nj96e2p+`BW21SC=i#7bp2yWr_h z<3W#6LX-d@e>hG3-?Kt(-we@Cac~~@O{Z}M)|fi&yd7_kk0_yHTS-I|Xbhe$$iW66 zx;;aD^7BP>Xgd4t2^g4hWr~Pjz|bK+kK;KCTAex`*vnW|G}&m?kX}hNgWUdSR2*s# z9Pev3Qg;+S^j$#5Efa;TtF;t#&hUU9oD?;i`s*%>d#V)oGFz=i?u6&@eTyDB*VnF}~M|9m*^&+5Bwm zx_{Va3$>drEg3rX`zK!XQPAs;JSO?9<#hgOF=M`Ni1U(_9HQ%cJ6u}oY6mpA`SI?2 zf%lw9^F0nUlKM>hHwuSoqKYg5j7x(LS(HFYM>Zfsofv9~$Eb-)d!qbAran@9qXsZV zj}eSZ(wrBY${I?-`$WxS1TQ%%AluO4w%g>`K`AK@x*M$sz-Jm0SEx3NF`*B?QkQgJF1=%_YtMReYVSyU2Uj?W*a`9w@#%j;&}QRSo#N|= zoSyZIQUoUXtaDPi);Pq$VEz&gWszKTDu8iAm1T*} z!WkYhuw%LictBW?Yq%#RMP>Dv*`qr>sbp;^U@N^Dg6dj5q{LRf*vv0928mN-^Z-`n zf8t9qoa&Ra?09j>aI#F>dg%`GZ1TRIc)umlX%6ikfUdS%d&7IJuWooo1O%MGsY|X+ z1<=oB!ejx5V)**VX4EsQGw`g{dz$*U*P%JQ0Fh>9wC`B}B2snc5S)cFiXz$u2iPRzTz@=jcy>(7HwtVcQr+2X>#9i9_}F{mUdhosDRZ$O4d)kl*< z$Je7inl_!7Q2by zD(0ChN#xBf=aer9ISK)!-tJwLj#oa1sW zqif~Js%|&bd&d+pXT8JfKic_SlzEX<>VQv{lAQoQ5}Z0bM76>095wz^YSyVNb#63S zoJ>!V07WD%sy7r*engd z=rs==9fcS?obv7BeKuY&AisEW@_qEYFZ#LbeV_ddVyE30SRuW0nUh`qVh9u_ z>39zhptx>2TlsspIK-6Ni?qsRp`A{Z)?vsR_HMQ+?M86{kdREKvu6t7FR>f1f`T=< zJ4VgdB|;44r@m`bt7MSC4@{U2AgQ`%GCfAAlru@f@t&?KAsOt8D8<8SGiSL5Z)Mgu zv!c_sVr{78xoJ{1W;%->I(O2nJ7rPk8EH(;F8^6ya+*!>cmfgFTnI}WxU*J!ZXyN# z1ICT%`01HguruJVJ`9m*2ot|p+|y2n55Rby9BR>b6v8*Z}Cn;A1sW_+&utIz|y(^oi04z^TzM@)|qCFq##jE zGhC7hlJ(i8k>vloWfcpk$D@}7Kva^9j!wM8Qv4?hyZXA2ImE+ zSXW4pg03;5MSiDWC5;G<8W?AkAfRpX27%pH!6v!ssZU0FI=XWE2$Wu$jHOo@E& zw(R*+)`G$$j-Ek1cJQ>p+Y4$gkL{Y2ye|?(wzBr=(n`>2UP9oMO5(Wr5v&An*N<}V zd6EA6<%135b%o`UFt%u5exj)h39_c0jdhR5I*zIHy%__B`TN4uM&#MHRL^-U`N#(z}MX6U;%uxn!HsVi99){yL6^E`MO4ov9>B6o4`qeABs7 zj@1U}New}ersG}|h$KoOR1-_=L<*s$CX=#Nn}x1sH-G@}p$pr@AV?iLGay8La8nq$ zR}xt;FuP^F=a+qmxEHWj6+QGQ>s`Q8*yT7mh-dSqjO=#0b;mm88P$j6z? zSxCM!N$;7^&uM?wpjk~AeigCOJcZVrzV+54llQxPzk66{Z-qOG!MiQEQD{F_9QauC zd8_gi2}Yb>uu>0Isbv_fP+dJvkDOqfE$REiF_(^AcJA79R&C!qp~9`D>E`V2H5=}` z%E?{dwc#Qvh{6A&VRmh~9LMem3~+99Mmx>^FDdLp7W=JBxAPo|&w(7!`E_9H`S31i zSk|Y@@hRb3tM%=<&87c+_xHQAM5gK9C;PeLfh_G}JjT2=Y=nz~vau_m$#NA#GTT@) z^YvO{(-h8@>wA0EMCxmHP1zhE?i%IBfNdW5e95?tH@plejg+)sM}b8I zDVV)%hil=sLTw#XIu<}tR3n9O+3c-N@3<@+x$66_h7Ed(ZdS=z0VDM@1Uqz>%5Ky;lC9t0JNre&%wrFqFUGtTsmO6!BSisg zJ}B6Q)t<*h>FottC@@w2g9Nsx`XyM|m~sr`FN`=J5iDPxSNKi8PvNK-XYN*yvhl2f zVbRUACk^Ii4u_TLL|o&};byh7c5c&7(M|W{Yqd2omZK0&vnQ1IG=gxqB=ypk_pg9A zdc+~;UL}Uombr3fO>LcEwoiL&YAOcX0pv3Qsj$DGv?7&ZUt3jEH5wby(cJ~1@9Wa4 zKcJ)6*()92&8cCTqH9W8G|D~E;I)|@S`9oYhZDbeoN0jV4|o^+pDezABvAG_c=Re7 zX3uadpDX$&LLr0+wSd6S)UN*m6+>c#h0W*>{LQj5cRLJJ4DmFl>_dv6q*4F%5i-_S z%e9NY;2B5GQD^_--TKs86-5m_IiT?>F|s0mY6yYizIuU(YbUX?yQEqU1j<%ne*~YGx5Qc+|TBiB8iXdH;y54`VoPxj;EWAC%_F9pz_-*114Y018RO zgES27D#bGc5EJ!+zT+t-_i&E_Y!2xbj@a+QOW6nK`_}%M-+g_}=EoJZz0Pa&gxIzM zAYr3%B47ekOK@kiM86kO2_=KLO1UDY$SjaWUebx@FM@Y-dfzSjb!^83n^gkQAh`D_N#%Ui-rzU5ko zWbEuy3RMOpoFjPWrstRSJuVsBcSa}YElvY*pT~~m8^bCgq?_qDKGn(Y?A`DESh#V# zFG!qt(5~rHm{QzqibE)5iAGx$!ZOY)YvY0}yvAQlx6zNrsqOjhpk_6Z3NsvDE&|=_ zEYIEJ5Dt~{wd&w#CGsRJQ6iRss{-{9Z`uoA0EFzx?_2V?MfGTstrw3IMcrya?%~(P zK^`gx3ifi=VaQVaV%1mF!AZL6KMY$5I&2)=3cT1HlQvB>-nDPWTE!t3#tKF?Oe7H2 z@z4=mQMf==6{tGrlR7`eBnme7k303NX^5v=D$5=B+)*KpXUlcVt6In{b-K1|(Pne` zQleZ*$g|C>%Emh_P8MPf^3m9>@3W6}%YbN$>bo^^l$D5Zm*z z0^z$)uKEmjm-Ix01(Y-`use{um^bj|bgfkE?zD%sp!7Eg`o`N3-?)=A${_K)$ab~% zL;x#Q_X+lK^_QC;2fo?We%Ei~(oO;Nf=@|!GTr?P|CR+eDt~->k>%MrRH!HN;-I^6 zfPl+ypO$RI=L!_P5{@`oe_}^!rjR`7FGdHKyj6uLeRI=~xoXEYkR96!r~u-8;*h(} zE*GEf=S|}xA!*B4P29?UqYLkFsx>dX`ioH}TNdRT$uo`uG?C4okHmyEZCvGaGo-%A zeMi?x%od>60+g&arH%ip%$tikDJ_uBGBj}C(n5CfbrThmU-rCpY zggtD?ZK;Sc$An;r*ejVG=D^09i^F)M$NdOoxPeRdw<+AC=!JHW9l;d7yeX9GE?Q$9 z5Xu&N{lb9{o7{?~1nnb17Ev^Qyw!0y+@s6{M}6yAylP%-3Q=`O)=Y;xP~T`rV3rg? zY;${QewPHDwdj(+P8(VEm|KUjGFLP5QKhnp>ZWC4&N=@pM>+lffV361%)?pDr#{{p zZx#{jAM5EXNG?XG2@U?k47=~X&cE>f+;9VxLSq7@rVcAF*YmSE*goFX>U|0An+gre zY}v4KRc9EE;fn2kcta3Ynqc$ycR-uDljZ zIT}nh>~HDtE&S!>h4BewvrRb@Na052c`NQ$pt}S~^6>&H&{X0thdcnJQ8Ip9Mo#jt z^L<=;o$x7Axx0s&uIn3UnTNQ2LER)s+~?fYIyL+o6>Ios_gd2SE&mh1Z1-GFxXoue z+|d#8Iq4hrHZ{gZxSypoXsIs6mSw>IOoIbj*!W8i9{*(r$s*9^&q>7vcH(MKKi=xb zi>-rrRr%q}E9mliqZcc(3HtN;9~@!Tz@|ViP-Ge0Gbn@vOIORw7TE-zsfm{23wTY{ z%-JN>N&KGp_?I7ZuYi^s-3T42IFkq=JhWugzhp)%k*H;x7+x}04kd=Er%;FqCh15n zljsL}!)3!5So2N^dc!o17_?3TCd8>$$Q{Bux#=|LtNEcKf8KVwp##MDx4Ge7@Y)+B zyj>dBm(HhoNZk<%;xaiKB5n6J!+)Pk|2ZTBybw~Fsfh$LH-&*(y`R{-0F$Hcs(?Ql9^!;*GSF?-=U{8 zK>9(-X{88};iXbSEk4*AivT9$xaBa0`tmlvGN-))>a|p>^76uI2z1@a5IJFC1(LXs_oRxb z8`A<>cZ9eCnpJ63yLM%d|8b+1z;!%7UtlWHR^2<324WmILmI{11o|pKvVhmKHMcNK zu~X+UoOHO+z}3>B33<_EvrfN5B3KrXTPN;@bNSraf||Ft?Bc?S#m#Ge>leJR*~#p+ z@3{u8&-}UN*1KKAS(1n`biuINWtpZJ783)(408#|M#RL!ik%=ft~O;e zR3JDk+CpTT2w7Mh-I{b(drwG5jQN}z+@CD6#@#{f8}o>1Bg(R{Uu^;?k`&`2Xq7{= zW_XkeWmM_dLgWL6?0i9Bul!`~JsfCqwj`)fb&20dg4qh;bbOQ^P)Mhsku;y3gS*as znF#^V)gcpcBC~KFe#j>}(t0xf+zmBYNoi!IwYj8O5j(#XJwZ-~`cvgPc{083+`Z(X zbP%mscRp)$5|ol+PoiPj^6@8C(w(m)-SYsg4`HWL+y4?5m=jmhg+&@(AH%hWgw&CH z&7o(?P`Q!l&&vK$y~-F@el=|Wg0WYnrhWs@b#%8D^yDg81m(N_XS?4WxbF_L+J^9i zQ2ml3R7N^2ucPyDK&?_7&$ZOW638en*kYss_%KynEA+h^TmPI}EbVpqr%t?E9|$8~ zU^~t~0t3?JM7lArB+z+endT$FjzY9zF4q1ATh=!pfmMW6S>>TDfGR8oUWE>n`Lu@&1FdYOAbILjDesD*=-GvG;bg zSz7w!DXaO~r9fmdG7p(pXv$J9xAV)&H$8_0?E`f}#ZqD{#i`Bzv*-!^ZZCwuA+Fel zE?Uzm+A_{1uDFUU`gP*>NKEgYDz1pNkhv}oNY^10Yhz3avY~>N^yG3X7J4NrO_a9!Pq>!RQgX;h^xva&fCH zeuRq2C~Z)|`Z6?^BrkI0B2b6`$#+sWCtStl63}&m_vCd{W`*-tKu>Y4HbnE=8e;@lYrlH?^s ztWpqYN0cFmSb-!fL5|7g12Hs4g&-(&i-#|irI)Oz1J?-vfg-gOE0uFIsTOJxdBfKQ zAgPcNgnX<5+OC(*)(ENp^;=f=!l)M4K*&xUp=?gFe!= zIle87zX&|qJ61>VP@w7)j*pG4T-E5ZyvIoZ2M8&pr?#HpagsMU1N(j(O^cdVdeX%V zEyQG`imQlMGN!G~6;37IXG{Lfo^z%6)*wrm7oTG#l%imih`((B6*ccvY&_#&Z0i{` z|7K2TmpB-@@&=D}KWq3uhXsrI2dznui9nW9cQnOmT=k&C>BQYCWn30dw2KL_$8(8} zN@PJ6PqOuP+AbfbjbhF3WZ^pG#%#7Velyz2aNr2C1rZfMvNR0gIsEEls)8)@G z(09LcxncQYQI;hsNh7j^rNnvo<{{dFgL;6ZyH2>wk<8IR{Fcrdb%tE$65}480H^~9bsrvyT7!(FNNyk<1X!2j zWrtiHZw9_NNaK_ql3tP|tq!Mle#eq$+FZh(?pQr4-myJ!_A2T2d1hbzY6! z*^K!{S&H&vlnVYWsN{U{rV;YS76^74Q9v$iKsW<)#%!j>4jFlb*2i5VeH?F_v*PEj&kch+_nI5{u!R!R)e`zVAMVs2Isi= z=H9)&9HWR{&e>ksE_5;}aI>4HwNl$IG?V7JBmenl_c0fl3{B-kd#toMVv(AY=?jo1 z1O(<4fGRJe9pDg)L|($(Zoo1b_LU0CI9A*zwvv%-k;+UDv}i~E%$<3>bRn-qS6^z1 zM4ow^?kIVU`iWJf>8i%_`s|`r&M--t57g(!A;a;lIzc*rkl~n7>`<jke3$A6A zHy3->B2<&eMXfP0T*{vBK%oSc4bEswLkyPysg*=yUrQDMS6aDs9EDb)7vN2y_n6Ksnc2@y_zo{-(esYJ8Ch|HLu@!_%rG zevxPqk^D)z_XR=M_)5iP2J=#TB?JGkOTudEHE)#UoATeO`7ZOzud2-mvzZxrRo8Dr zwii1hDr8PGA{rHr7pqH;Di<_dMnU{FaAo6%+3QR%?r@ z2^Y{CYSc#-vpkcHy&Uj}L%lHLVlAScH$mTo@`t1a(bv@)Ww;QBCD3t_oIE`SeS@}gyjZRD?B8tT}2*-%%p zFJU>^S}gFQIm?HiCR=}enN(NUQz!{3kNl?MM9#I%c4&hcDB3Psy(?gI=TBKZF-&i!={Gr2`b;r*dYOUj2mre&cZgXi`YA!s zmQOaSp;_8=*rH|rdk5djKBsAuFqZ@rX%#>1&kzUm)n`BZ%=?DUd y6VfizJd8h z6q+;eGdGB_nBT6RVOfbm+pZza45Y}ne!dtdFBBD*#(G-@EB<<>8P?XU1|QoY#a&Y#ag-JQRxV$Hw||l>zio()n{LX$Ohoi$hilH zN!2lQ!(cN-J7(&cC*RH2{oLPth0o^A2{9a&6*n@x4q0T4rUUSPE^cPHk|wi519c%L zqg&>xcpU{UNs^^&p&AayIzaNeqZi9D2~Oo4ia-lE;xY@9q<0dyBtiz}%>oe&f$&x) zQwv@c)08vU5ww$TY1c~Rm;~lm5S#T?%wy3DHo9Jj<`hd2-OW*hs=9C^fTE(tagQhI zdXz|=u+B*`LzXnjb^OV3=0c?7Er{iq@^n8n+I(sbv^Mwsj|O!z`mXH^^>8TvlbiP? z{L?mQmFdeG^sDYK^fJ_(t*Fn0gget9VO90FTI`B$hE7cYn+1tuBQ5S{7Oa~9^)9Z@ ztdj^j4OA13vJ`9~fYn2rVr{lyQC!rpxRsf+w(ydPKr6Z`BdbmYO~6axS?{q{_98^5 zNyo?F8k)h}b9c>l6y(MyAtpqIq$=K`h^Q_VauH-=;)k=)q{n1!B~jTWltAmknF>HT z1=Q~{N}|!S&(vb9LPvc);ilo{LRLRZAYVFE1Jh-*o3G3|)c672e9)0}jY%*C{R2IjfV;{xPNLJJ}o~nk`o(fPO5KH6I<51HuS&1(ilJ?jqps}07d=l%hWV}zE8kIzM(T(#lnl)C=B zzFRf%>Y#J3!3QH#GM2`fUxMxH%j4Y1)?(6t-*Ujh`6JOmoGhOcTP7n3c#40$9@Co$ zBkyQ{dOh!*p9&LqPi8n9Y&SB*1-FWsYib|Dk%0V-PnD{4c}0LJa11-*Ml1|1iNaHO z=n9=jB?)gi%yTfU8ppt293Ku( z$#zY6JbD{%r06B_cBkkr5yu!eBTO-F0yUMh7^)DM7F>}OAVDHi+^!=!WEql)0tb*( zX^{;Z5crpP6D~wPUHXfbInBO1{7s=QEWNJ#!QE@kSu{*ZAtCZI?b`k;8pcPNx`C;2 zcj7P{9fMT+U(TNcu6re^@W$x@)>)Xs%R($!bnpLn zxE#pt=AYMIhAAC(0NrEbm>K8wf>}P{s+<*%XH1+(q6+mcs!E!S#A?fL-?e+Da^n;= z@xIJb8I@#!4L5cpC_^NWLFT{?F7IgR_jJnqc$~Ikr6x0SV zu-;k(#^^>6kS%dfaKscrEkN^^^}Z?*xR+kv3l$iVvoL%ZiR3^km@Jm$7RLbW?O9S% zJSiTipa9FwD&|^2@XotZhNdtmx9wUELH-$Vga+%!(_(-niQtXt8Xs&noQ|^bMNy8R zngoa3uHX zc{%g$`RW7gC5hiG48I{>=b8{?kbeH57gI5%7`dy9RSK=|VlA>VJI3gfbrq3CG^ zpC0H&uMYU*)4kRQM#cmU>e_|(;!knbAAigxu+UgC2#Wp969!z(eqBH(i*0=e0o1f! z2VIc*^FeBQOKz5P_V-U+E(G-qzSPGdp~Ucn@BgXI?^U3)1OZGi7#*}qG5#`6@5>a^`%zJqHSqbAgS-5NtH1>j@9|g%}PtlLcKwrkj*4MBr?6g&m<)}$WPw)`6 zeu-uGs$vzQ!&tUl>7+1~i>c6whttqQG=Y#L9psS*DdL)j!lE>d;3<5kT>5pvy%%0? zi@iX>i7R%Se-mP!@r?=LdIIaQb@EOp?h(D%>$HxAceq8XOxP1=nFTRp%?mE>3 zOk>yOEvY>eKHUAh(7z?L!pc|;ZvgH$CU+VoqQGR7G$GP#0~l%tK7+7E0wpFn4`!G;(FCmB+i2 z6JU%Yf@IP^?lQ6o9L`fP*Pg&yN%!s3!;cvvrj1PtE!(+QS9zINyQpX}WkE%%?t#@$ zS#Gs#?gImjwk;V^VaW?Z;f&&>_=@%~rkqAAYBDU$$#zJ0jlbeiws3x#hTVlTFiKI~ zO&)26VZJTX-nWGgsuq1&1yk1CuT^=Y2Cy~`FYcS?#0Ve;j-G$c;%I`{}$3wxUp zMLUm&g}WODdc`(StPWQD7qW}q`rX?5>Zj|SMeS>vlzzbe2a-&u(I`fkF>46Z6%Ix< z3bOElcycTOYLhKO=_YWAhAiBOQ|W@ReUr4O5nAaki-1fFnJ&0e#87)0vG9PsACU)- zla*t+MojCs9l00sc@xi&ww}SU(^_!H3t2{&iZc#5ncQMYLjFHzUX0}h9~9GQO0XB@ zsDs%=23V;cJ{xk?FwhJOfm*q99)vZ-YG}DU0i5=uqE+qsDs?=4%^IG}V?BqVWxg=$GY#%H zK=Wi%r9(9-$kJZmDR-aq{d=N-)`6L0qk1{Lq=4SxVKL8d3n!E zASx|nzKS)Y>$cL0DvkLn!;rVVFkar;IV$&<%$~XzLr;88i@5@hC%XR6dcRPPvNA_F zmODo%ONC=7v9baLs~QDdxE3(yHyN9P>C3=CQ57U=<240hS7YFSM4%WT*D_tb&BvW{ z#vR2QIv7OgSo$v-YCyR=NgEYu&xB97Tocx*bfW1Q^=q=`Mge? zvdaqQTnDefrIqIojD;?I{uAf!vm2lLeyeg6AuVp26mh{@_0NrK9OWE~fgUSmd<2>s z(5O*4fOHs--~EWL0s=C!NG>VqtIbe0G*rH6AaFh-7DF)mxV4)gU~{4Y6x~=_AHv@Y zEYP_|)PNsM_d*(P> z@MoSpl&GizlX5$FS|w_I<`i{~Exc&NmcxaACA-ro&%Tj=LGIlmRpl!$iWnTs(nV#GK;*!PKWiHQYB8O3_IEtnJLs<0l!uXN%V|3qmA zA4QH?7qqSMBW6lw2@e+endzggO1YF#oNaeGhf@E_iLM)Ab8Wr_vD+q%*DqsJ$0s&L zIz94AW#&=b{N!DoC*wil!V5+l@u;!QUm-9-ToR)pV5ti08OxY67*|*LjR}63E#5K0 zlV}n-XZzC1Bzijb{Y>;xWx6>7H}b@R;de6meI~xs$pJ2aMaF0#zHfkOrk3R6Yygny z-@if`f2HUYef5D`fmLr%PA@~rh#sBbbMV@TitK?#T8=>MCDTc&1|S%(f1x}&h9?NU z=K{slT&EVDz+25g&8ive-w`A9I}Ys(O`)tY3RN+S*%)ej1cVd&r8TvFTzTZDQq+|B z-{~nQ<`26RzzLghn!z6f#LwO`1$TImB8my&F3rao#TQEdmE|#Y{~1tbCnW!eJ4iqa zT~-K{ZUZwaS+eXncxjHF##xYUXtUB=EFyqDabldf%M$rfyIDQKA#gdsanM`H$&@LX zPYwSm;4xeQeE%)On|iacHvg?lAkIiMQg3PoXD~moryLCO#LJ&o@b80{t^>wcqHeT9 zk}dS!kOVS@Ce{AoX6WSd^z_MM$Rli}O+WM_W)o^W+cX?xC%Mcs0d92OJ?i_4M$}PT z`8FIy>vQUR&L-3kS7{yO3ch;S3l)8tss8X%y0LQI%5_vy zOCZ@oQ%MzWRZ1Qa5bv3*qpOZ`Ks0az^<8ZvoFpEWa9t7m?k)>|{wHto}_ADo2{tCn1#dzbwMB>YL&$P-#Q7UklRD38Z0l8cPeC)ixG6{DX*#|Q1Z|<` z2Ll$+M2v=B95NZGXEUa_TS5Sv4S53AS&q21O1%Kc*v|{*A7vZH z9gkGyYsE!C77o{B*~KnXm??u^3~Usb&rzyE5}s>SryD;(4NX*tj7P!Luo%c4SWO1G z7?sH}qEGAbalDEKK14Elt#?_ixRGln@H##W$T*HiQ5|X}L9yB!&p|*IF~T;saDR1n ztLEnv!6}2ZSakMH@F~3idc<}3h1rj~7~aT?XVpIA9yAA>UNn4sm89feu)nsBY>a9i zW>+$!uED%R^qNi4JDMqxTGrJlNyo+N48G*oK5sPWof$^m*ndGV4fxlyghCPC7oI_H zUF&e|?9Q~I0JKqgC-t2PZ$Bprc6K(dLpaYv-saYO_``4c={GNzwppKSl(yB7VS&>AKh(W*xkAYAR|h47g?gT=$Rz}&3HhcU{Tlx zAf2w`R5xjwN-LsGoDns8DoiBl0hjDb z2SpbHubQkGYK71(*~WpQgKw!gkq9e{RUQWl0;T$!{u2piWWSM*18o2bRX1FSc_xWT z1v(B?$(!`EZOzqcTQ#&JH=_DfnI^7X9z%9hM1!oy(}(NpCpgjL78=)ok%g)Y-lTvn z>TwAQf?BJ|^yr~bkQF9KG5Jq2Jqp`Hg`0#_;e@5)WRC@S3zQVG)N%PH02|7Y*rgLX@^y08{UWH1*%6r^G}u@o>} zAHMfXssK$7GQtqxbjJb<=g>3V4TqWj`lP!v%%s4Gi0J&G87m=bKqfUJf+mtet`&q3 zNf4OC#1TR=sX#&oWGF}~7Zk#n-)~)>wVr41Z}0tn=l#CEu!m~SyT5nsXYY4k*5$W; zYpu}Z(zGh+@$_;_3kURv@CrNTsM6*Hy-Qu~kNOB=@Dix?AODA+@!Bs5wXWPW=OP$W zE%B{4=#PW9JX3n*&Ua|km=fHwgvEH8V9=<~*10EW)VNp8ISL)h&#};`Q7zn3z2&`e zB6HBFccTCEua-Qf2?mQA)~x;2qSpOFvVW?0x!_w1*pQbLweFJEZxQarZi$Zb^(C+P z*1@6%5sWIhTC=`8&Y~uRypkMM!SpR%{l@3oaaNVsqj`15hhjVPnE5|lvfpdg^XTI` zhmP(0#Px>(gSm<8Y3&5X14w#T&@b1!$o`Ag*S83Y7FTx{iWWo`==f^@3cB7+saktM zN0#sNpkM#z-~GAY_2Fgmt$IJMYKxmxXkV~)tuM)!*#!q3y<9PRK*oG0q-z zG;Zi|j5DABhN>c=m5EAzgAU-1*_vSpSJ0xsYN}~B(8(xW<SjE4=X@} zqg$W!_(kv>;~s&&#*8ytBrO{n-KarQC2w%GZZzFB)I>BzI4bGfGd7L2zxa3${|l$R z>I!G;@21PS&5GdVa_3#)`ue=}S*rM(*{n83@NH<-W^aa zKd}WYX14OJj#y!T+ECXu&bNv+j-2Y6elP^8SVOkMNHq`;LLW%G&AN+CA_4+hvm1vD zIrYbzLru%KI^#5LzT#tr|Lpja?|5%&iXev84OnqpZ(uHz=zI{7dP**n2Z4zP3u4H) zJ*bRm{cv$T%wflfZDj-Xm0b463Oyy4Iaa{bc%m?XuR-{2-Sr$R?C@ZN3iH#E$F>2PS6Zx5Xdq1pC^hWY*sgocxS1-1I;PVG2iXwHMjc&Boa^yK;jH$Q~` z8$L+iCrWjzN^vXYVza7rPVJl)ZVsk)05PW59>FlK?jaz?W)pY^!1L1)M^llfIv5k; zL0UXVv__V1jU#jR|NP&5?8Ck`3Qd&?*mkiy9?_MK(Zg=Ei`{XL&;^31lWbXtlH4&$ zC3M+8BP23DZTS%@~4!3ELnU+^Dvv9sKD2ui?af(HzY0QiIzN4nUdI~;QQ2yjqs zX%!T)q6BQV;_k>42;i(8YDg3w3_%Il{2aF%EinQ7B{L>+lRr)F_(?7>LRXeV)q`S_ zv7{z7EHYuGg-;Spl2q)riGh1)b!3v(b2~{2G~qvJwdC9_thqWG{~<}{+XDiG z{UQwVRj@TlNGP0_(5nQzc>v(Y}er~tA$k0dD}wXKQbR^B08c>J$E z`n8RP>gT0Fd8;Up&@a}G@fo6byQtq)X21;w|Lv2Bzl|G>rh%>2%O}W7P}iJZZ}R!n ze7Bm<#|(ztv6rA^)v;I9c0OS~LJ6b8`7Sd?2+Xo-W&jYf!uVu6_HUT2dU*ol69n>f zJ77=zh@zeY&FN(U$HkrJFTeCnZz;te&)>)9lW%^@z0yBW%1Ea4gb-Ug?H0ARbPhDn z6KMY9Km7|o_4)`j(RK}Rr3ae2g|~LYsy^8I?Q*$SjMBcc#uqNQTdy(G2!cmYKa|fF zf;4z8xW@=!!7@QtHribX(%uz8tyW~S@}>DBAxLxQf|wCPR|swfJQ#(0Ly)S<1<|k` zt`e*r+SOX)x)7v}DuR0($SJNMH!7?Ta<(goWi^p07#UF7=4E})2 zz+(eAXCR)J6o14e;Q=nxYeH425<}wP0wB922`=z5U@MTQRgWM^(jRe2G*Sd{5l6?h zY$QkRd@+d{w@u0G4OmlMq_3k;nArHF(tXHr$iyd=M%vCkv~)nU)st^7_b>Ds`Ek=e zW5_QD4B4LQQ%(ES5O3P@tyyA-_pkogdw=x{BgDH3yYng)Op$GwzZE(JUYf*$7-V?!u`v$~g!tASWCV|SR{t6c<;erz59#e)8# zov|SmcDLqGKnk)f4*D-cav8fT(`!d**@)Y;SP+K9BHQ>iH7$FK2~0dVRBxA9k+D0> zv)A?jDCaq}cxg?`K7dszKM0q<{XsRU!~<(Y!P3q)|MZ$vf>};-HTS54>`=X{8?<3l zIQ}9urmK%Ws?b$C8oJlhGgZG&ftzSp{j2jZrbcbLm(}G^zuF&$!M|)j_&HR3e3~Lt zOAoUN=UzgmMSa3YYJ#|6W+0}!P4sR~58hsS&~)sG^=-}L9JQS%YWvi8ecT`az$x|i z*1jbGz>HJcY(PM{s9S2m;S5t+Mva0CnTMN!*NjqP3NEYT(OPxkJp<#=8KlIN5rULh zakG(WxOv5lQKHOV8H{~B0WyjV!aX~tvfdu^CB?;vLuVBE2;f?=Ve|%2;~9b#ii}`Z zYirRxz`T|uDYPF)X93Py`+)Y~XEVrRJFWCzOk1{rVe8~mF}(JnjfIeP)8#O5F?P)h z-j?B=%V1x@vrwkSRQA@KXfzm8{md6U`1~IYo}+eq@wA!senD1lNpuCuWU;m~Qtv{g zrLHarZ<)#jc-E1wHp7q*q{4GSF#k#TBLvhHq{4GS>(fkN$7j{Xid1+mxFb(h@;A%1 zWsgFT3a<*A%n1tKJ9=S(DLuGc(5Qd()BpX)Oql!NgBnNYKG^)l@wpE|p_nlDAwr3@ z6zAsPBu6o2tRkK#14<)6$Wcs8Roo($Xo8a}iYY@CPp4#rbz7wVU=K6*p{zKicjgp( zHbthkkcpw^hR*+m!JE5=ox5vTlv8OR3Jq{U*L!u%eR$2!{P5>}DAM0bdiV6VQQ&j0 z1!RKp*5#GLvTk$Lsdf?-giW)Q1XOV=<(o=i9T@%)c~}>I^fKT#f&BY@Umcr*FflG0|jz zY0~noKTluubNqnhS#H z9nh|rc~G4HErMW)+QimaQ4E1Ex!Yj&n&4{im+1#z$%8Ug+)u))c~Cw)w9CReNo}eM zGUoE-0E0PeLsexNIXa`lyh`Ea6X=tPzxAufT;tMALWAkTsP3dK)ar${K;n0~Pjp|f zB2JoJ27Q7lJ<(DCH6xfdmJZnAN3%;s(nn1X1*V!w58DnygiL(a19HIiRdY$f-PCkUa%N(_!M7^=OGhXLR1m zWLSi;?Be5jcuAxXR=lG1u`6^Q7=z^yFt@TjOHrPFn&>@XNd$B&R}yKQW;MJd(D~Ac z(k0KQW>p7U+cMoam2;GpBR_{Sj8Es1QSCnn&u3jb!}G6Tcz&uYYA?lzvhLHS-}L$) z{nd{h)u!4E1i4Oh2v=9sU&AKXx!tk92n3<~w74q-D{Z>wtV-rOL5xsWGz7CY-L6sl zCxU4A-DU@~HpRS1tEuZzKUtfijt^A_nwhj&lyT^+O}G1vsj2nG>f}PO(x%%L24qn` zZ&v21hhUxSghJD-=(d}Xt+eSLbpyKQZjFBMjo!_<&ca@0bIDpmPtuX1p;YZXbOuw_ zY89O-EU&q?t!oXjZ&wcG8lQ&Lql38qFTmW{6+NRX%49HlZ=LRJ+Uqi+zdG5=n^%wD z{O5l1BYz>dZ|b#nBK4?PS_>;Y2bgp=OC%Zei!=nj)h| z?rUGGx(zi&p|dadrb$Bs@)(JD?#j;ph0>e(Z>PoY5|gC(o1RP}p39(z)0Lh*us5aj zrY+y+6MpKaeaheX;BOmgR6AG!M5Sk>ZParPQ~>cxx?t(vgZL0V^62tJaSfH83l3J{ zQ0W!Hp&}eAy&^bNgF~g~f-qE9m&;J;6~U{kWtv8{i0N6KiZUg%)u`)gAes9Cp|7fr z2SxTta!PT5PE~sM9yr!`52zzGhH9OAS8;v)YeyX)+$#-I`LR2U+jnrkFH{!fx8Csd z9+Nh%6m6FWXoniwEIzrI{~O=*eWKKm7R0rs7j5_Jf=o(jMFK>mJMtnu&lfx7KE>{# zm!kRGwg`-PuEM(51L_tlj#}7mwOD$hFR;)`y#4NQ46K$8 z64X1Gul=d!N2}%K z$19#{eARub`A07?>ps;uwR1kzZ)$UnVca{TI>aTQ&iD@ca3K;`u!eG>({%MSt7AN`Uf*<7T`Pts$L+n9oAeL{Kn>bb3wnm zoX&c8fj1*B#}_wY{cD_WPEN1~aFzRnK)XXu4s39~IXSW3Uu>%61O%%6WjjuIv%1*i zUMTD1#isiG5UBU(cG@53n|rZrmKU2UIkBPkOFvk)V}D$*yqqK>!Z}*Y)rwrjCRzMD zcd>f$aI+vJe|l)$O^>ij-!R?*>8_n&!2#?xLt{p9mf z7xmQBZ+-F|7r3_gzhyXKMbPKXj>(k;`v%@}>C2~y0oidbpGHrU&M~gqwM@(9I-Hk# zXK+mJ{mZxEX!iS_y?+^9QglE6NmwL*#ofwR)m}VNDRhigpSyhS#pf>Xzgd2d{Iv3u zt}KaM;M{Qyj$-nheKNp<@lyu}!hf|P_I}TGoKXpu!lu5LiSR{HvNfCI70mzCVo1MX#&w}#S znqW)<;(Ol+%KL1BHX}m1dv_+{&_(idLhhrl33kaN#tBRE4_)OX16N7r?%rE4<0Sis zf}8GiA<^x2nmhDXQ`Xm3$F@~#R(A)zV}L`mV>t4f%?}bE*3a{>!njTO>03I;^-tGC zv|l7;5AtfRyWF^ZgD{AWjTs<`?4n{(b~t*_`3@cT3y}PHo`=0PyGfGOErMIDzBUl{ z&M&I&5oaUIJp#O6AAQu{)Ci?-=tOvvqntNg1kR;;pT+kqo~M7sZs+c;>Q3-5`(hpa zvJ**N;c=>T->3;aA;*{GNcN|ET%J;*zqyX~f1S1)E|j{Y$%X3BCB#QfJD>qu<#UaV zhihHfQ`^ZstP3mS@Y4CiC9GUH_@q!0;d9G&xKI)grA>LMi&ulI1%-P$zGQDo7*X+m z+|XwO`eiS?5K>oK@vz}ny9D77)XrAF6)v8Und9;ZRcG42Z*h&x9+!OwZSj+DPp(eo z1!g4msGF2$uT&6|hiaV@0-i^oXv~&q;U7?f*85%BFwk|Mtnm z-zb#~Z;JuViJdn9f;@?sIVG{9a0F*PDcYcqv!D}Y`uFtt-u8@Np+{ZgUpv!1ZFy?s zY)}~f{mQ2wUi*Vd8H#0U!@r2?&?k<$iX36&0OPn?am*3oMAS*P?s$Lrcgi4Gs*il= z99^X0PPlcAU=A+8umMRD3JIk|l6c80=Gfv8vyilqG7DCr#YVKIlpIYR?u0ZQ)n;B& zm7#@IG298kW&3lg;w1F;!vH}Es}9nUqFOWG9dH1&-{%3;)Am|c;UDY-=~oPBIjXNY z&ni4ek(FM}&AO=x8&%p=Y@+5=(_TSC(l0F|?;4Z;sus?1RIhR!Qr5b5Ujb35-}t1` zeT74MjEP-8TLoTxt9c3pRP9Ma2d7MdaO9w!0)bo@UcXz5uPOkvj*?vcQ$IVVG-4_G zRQYfJhyTN`e021$QJc{EwSz+%vR^wmdm;O^)^C`6_C?3R%YN8>4$KO8;_>X) z?siA(!vzLW>sw~O7PDY=BJFMiZUFRIIKU67rIP;YQBk2guxQ(F+C`X~n2J4_fbFTPe$h#%Zm4 z+#lx5Gi+g7-pHv2SA;q94BO1_J-MlYdkg+=<5e`9lu9f)i%5`vC=S3}KX%6YFc+)!hZ*1x!CgJ+~H#%vS#vt^SD;E=RGx6}SO-^`r_AqR~z^6>>FN&9m<_9rwFTXJnmv?@J}PPaw&q>jK=odm~h zi*C;rO}j-cvN%Ve=ZQf7z2E$bt6rMtLg-$RhGYmf9GMiB$=%wQ~ggx;R z!j1~7AgU6u2~xu8med~M*^-u7uR~P~Q^;XwA16EQ7R{FQW?Fjxy-)d(Uw$Gg7SyeA z%8Ex!dyLh9@j=-D8&rt_u&>OLx?l?b7S=911anlkLuVG)J*>#Nsm+Qxs@pF&;C4{3 zXQUx5sULc<@|l*@1yh_z14wHY=SZFCf^Zr*H=aesAdobIw4_B)YX%^Q%hawYmb6I1 z0El0Jk^vk25yuuR*ClPl6DI{?NJ?{X(UnDVy8>rfAiZZk$ETTcAvrVVgDD@LqwvviV%s>VH2+T&17?deV$@- zJ9VzY!B0+#)OBW?&BrN>G@w_M9>(#(vOlfn06tGH+dL~{kUB@$cd77@h^?V+=K zVcn##BHGWjo=ws~X!pFp>_%BpXAk6Go!yJ04gkQRm-~Htc`Ek;HrN|ma0W}hK9h}c zft&|ExZP9R*ddLoF}1=w+jv&0@WL3zCl#>5JKIoc*|>C$mTg&l@2BAZ#(I69DAfhL zGe>I<*H3dDZYErxrmQ=__XKlI1wYYTx3+-H{=fc-pZmw355Q6TLb)EZE>Xy7tyu7b zDTQbhr<8E}%EBZU+^vDqQ4p%cvScAhJ?4VZ!)}K$s`5gIAoW-gTru+CiYV9CH$Mca z$BH0Go>GR5l4+o$!rFzVQZ@C88>sFFAJuqN3Zg7j z=}ckFtE3khbDDZtB-Dy+QiBJBW{~O=LCq3BN81g+B<=n&W{H0E(W0dy5j(DgY zr8j5$ZZF$6?H09$W6t)SC)@YeKKv)1I%#;iHJ>wc^-zQ2XLZ}0L{_k94d?Pi+ryE{- zSWh*)Q=^S(%eO{@(Z=uinZNmqzZTI(KWZ|$dF)`qBcmp8^pQY-+r3|{Q3{sfgC`!( zsL4y%JQi*~dMOaF!S8Q7y=K$|1TmDq-JBK~D7xqlMMXd#!!=Cem zJ@0(*7v4HPHv{t+5qkjmJ1#8y?*N#R9Bm8e+(u)M?Q&_ubVD#>j_{+@AfcZ-QXVu& zAy{FK%Qagi%9u$@vV>s99FJ(KDDe?m5lQYOLx~x4MBi|BhUeT2%qYg8vtY&?5t$K6 zBoPw`VI!C^#~sj6TXAKr7vmgj%+be%AZsI(&3x<%b2Ri82VX4lyYg91ve;@DBjgb~ zSB!S`!OdgWT8BCH4u>M+^WMXD#wQhcDH1KIvViP-wxVPKU8_2dFX8X6K2xMwb zq30`!A{|gT2Z`e_QjXr?Sb!*S62}7PyG=j%CfX~V9{SizaH{d08v0CIzBL>Seg4kJ z-20U8j)bw213S!a%*=Jb#X74Qi?C&OAD77LQlaz=oTSpT-N@WxPhJwXM`o#QSK1|G z3+9?8W8=_NdcGpEAw*`#!`2SES24S{$2C(o6x2E6S&&LER$Nlw3fy7^PH|`|Jr_J+ zkg)t11ay+)$t#M|bIFI94XNtnHkjR^>&ke5?#PQP8nwCx^?ySh#wXRT{nH>FwDErd z-pr}u(=_1Cq>Akkz9|hhZTUV8_P77$pZTZn4Dd!#AlG1q3DI?6Lu$6ATuM{s497}k zfMKl0110wzew%n>wgyxkHJGos_jc6KH#TW81S_-}2(V^=;W8?dB?PI#d__#iA+-~S zUZSsDb7;D4E@(~`mFLdVwXq^KmGsmdsXc$dghG4ybi_1JW!VF+ z%r4vc)5NKRQF@Dkwa6ee?_e~+-x40Gy+x-g!x>AtJuGF~Eou$QjHR3hOZkO=>APR} zo(L=SX0%4RYE#{sr^+Zt3Rwp_Wb2(?XLd!kiD=8kpizznfj!-)vr)FW53sfSePhMy zc78UV9-$8hfi@;I8)e`g%=&;-yKpjEzfs;Jq!F&{9?r|4Q9dlTX1;Okx|QI`rk193 z$js_@mgIPlsaf*P0}O&_46la*{%`ZOZ2EWp?{#HjKdzK0s2)%FzjIvi1^_W9AuBf% zS4`8>9X8ekOHJMGuJDMZZp{FZk=-Z%E02C{RO}P?RoH$BC|bgW!v?(n`W$myQL5^8)tIA|`_px{P=~FvL1%#GBFTJAKTnioGD5^}-=Q@?E14rdT=;`VUwd$Y zD#?=-y{c=Ar4j?E=;H~RUPXGd+OEm{Ulr}A1nH?5QqCRh4;@od&dpclzoGN{K2c&g zmn~I)0az;lod9c@5n31Jp*B8tVBK3aeDJ2L_#Sq0kRa-D{wq{5R-Fq_n+FUbS|!MK zR3Qq0ADeo4N(&z1?Z{wlK~Z}n$}8_oP}FlsRpuX7<9%c*kk3A<=O4Z#odZl?ooGwv zkm@`k)w}<|=84mWU1&*6e;3$1>_Q4T^56vtseG2hW}&?ZI4nm~zp)bHgb)lj|y>5ge<5Di7)` zkdmT97c26<`ieI7jObW5J5N>af>88m=_29XN@nup73preAjaQf5|6|}m`mFnIw#!? zZ)4E3lwq`a#VYB3Tp+rWCAX;7Y?c&N?g!tkID=LWPG)xfyCt-A$w9V(gqB5eh;AUN zyhvii5m*+ym^unKe$l9cRvnkf0iw(FuaPFx?I0-Y_7n_HCHpwa^3A0y?Kmu{1K9=? z6B1fhK24F)WAqaSJdc)uy|%NPbZSchosR2GstN%}Zi|929y2e}4xI>)okM&5jaBX03+VXwC-u+MdYk&J&BR8R>TdyrQ3N9Q(Z@~rkPxX*}Wt1luESm|1O|s8Djz_N=1zb-`#vb)Ze5 zUW*l}eO)m6P(kmvho<&*K@|0A8>$1IO4X^p;%T}{6-(n#h8B4+-hgVd0=eC>1g?+# zUnCsxFyaaZ6;ty3NzEvD8Lzo=HPa4wS*rJ1@y2S0tQYX+*8QzEw@l^4`67gg9}M6L z=NFx(NA#-L=A@S-mI}QjT30joGU<|E`$KqFb+Udt9fY#oZ%SuPTfR?cz4txe^|n=z zoOGd9XKnWj_N;~C7-T}N^Yb9*@A-yjpM4~Z zr=~F+Zt*1SAn`ZniuXf&-b_YHvqjf3cseJ)b0&X~rc2}L=Qv2y73AEr)I%)YoXJNE zTPub*oupd~6WU3{XYDjE)<%8%aSvYQPHiE}L+1akGH_!uu^%NvhQscZo}Or=DH?;`2{lzHtA=`_En8lP`E>mm(+^nIExZdH+Sm^YQ)r z%6-vg+fLB&kVk0e4P1phP ztmc_scVnIvDnjU$5>VcZhG0=9$Nhj2Qi?tA5a#U5tKnDVh zT#?-?L;=N$RSdL35Tte9Y}vsZ?}DS6ipoc|)x8`AJ-7!#ZKIg(O~_@~Qr==Bt^UBf z>S5`wO5z{Smu{V|loVjKt94;1c@PoSo56yWi^GbY)xkyDVdRS@+WnIIjBSl%FSaWL zro4*)w>w3#nlLd*NjY5(=bx^fNH&F`1(v_H-_y9Vp+#kz70){Sc*+p&MkXcu!( zkO{q7WfOkH`T$+A0lA8kYmOIdcnZF`#YN?Y?UP?K9h zi6vd~xZT)%090lu4?B)6v7}3)n2AJe{BAV6VC0p5j+jiB-0oyeytx=EpmASXlMbIt z?$#jq(F`BaWk(IROHMLxDL}ibYi1I8b;WQ{rTpI;7XXmwzL}>{+XQ?Fy{%<)p_wJZ zJiXS)9^KG-yH_lakfm}c$~`M-#&8VMF`myu4cLdY?6xrv#HE}v2btuc0luneIQ z;s&`D0(A(@?Qmt*Lh?`hBdo0YoI;@9pWCs&)D)ymfoF?ngsTPZcx#?f6>IiKu{72G zhK@L1tyO2Rxp>|Cf9Pk!A}I>ja(JSl(BfeEwt(v@{CdUi#MF66(5bc$L4huuNQ1nl zzV-oNbGWrq zu6(|HG}7^LzE!gdK&^vW!=}4~U=V3JQA$P4;9?;~ceB$TKNdV4^+LM=n9m{r zbgGOMb5|Q|H3p@kSc?`SJK5R8KkV0tk{DN^A5sfPW(Pkessfj6!=>!s$3#`|K{VGE z=UBP-WuhvgE={0?VCCH-N)eNc78WvCA94MKv`ro?X7O;9)LJ%ph@J+R4UUBi=y3TN_iaat&n(Y1Ho(C6Ag zOiRs)AO^M=vl5a|KT~x0iYM4SIw z#n+C&YKTaVify5(f)eNACC_>T;e+9C8g!DdR3NKbt2?Z=J{V~)1hdu%SPh&RWx|AD zRvH1TVYp>`jFD|P1X#9F$?6y7`x9UpOhpS< zN#J0Icodz63@k%f+L6q62suCp%N7EBR!7gbD8MoRx`VJ^?D zS?2=T0%13JlwgNcW#oXqY9-C>P}0!ZK-SX!Xjf5@Ekvp31M>Mw`->V+`vbYFLeQ3- zBHOV)k+w`W0eRyjq)m!0V>!J%9>TuvF~QmV)x1ksF4bfyA+F-?SADMZP#q##Lj)F{%Bl@Y@K!V@|qcf5lt;aC_?Kmne-yvDS~GjE zaI4{g3o5X&Zkld-o_M@UIKtaPMv{^{X3y)Ri%Bb2{($q7quYprIQngRTjqZ`!#KNK z>L+0`K!23pLq&F?a_`)+;&uh>Z-4S~9_##A9KZ+r{#Mel07X;Yz+(JF-9knUjzRKb z#H-3~7oXhP3##2FWH@itZ2~7Zb7bi@oswV#<+m!|fg47R8h+*oM_3ZJ$?cNMliaSP zutA%})n!Q=8o3IKw%$ZC7%#%B2ncxNqu=y{uOEeXhbG9Ts|Pj=$P>hKPDXY^#C;I?U#rb^Gk;mOrSRu&>VUvGSEXwCSf%RO|* zT@QD=`gf+zLLXO|=aH_EFDvTo&10*d{E`3DS3fnTQm01{n-#c!uy>>^w%%7(sppR% zwvpf30K?1rLJ12x33zMdt`&*o)2vZ@`NlRjI0| zjPary#=^Vi43yA;@~L%ko3CY458F5IM7|-S7`xe@y3TWm^nGd^T34+8?_7)U27r0z zQ>dsXPYx7NTJUss;m`t{M=i&dj6WSPS#@iXJSZV(;M?EAj^Tib#K0`W+2IsKBF{w({{@aCbbiOdK@3 z6((IYf`kK#pbVxGK@>wZf>gIIh$KD-La=DqCwSRc_|;UmF1TAAnR=%nW(YScN-E1G zks{)h2S{SdhC(K>q@=QnB>JZ4&)N9A!X!y`8#$u2lb?Q6)OfFQt@fubMij zf6D-YD6m#@s@QE&2=!=#J;oCn(6R!R=9hwF@xBro&{6})13ZPtr`JhEM75EN8dZRAL z+F8@12jg#rV5J@p3(UFWp%-hzvqO*pzORUeOyGB^maxfqA(+*pHZFqTZV&Q6qs+2; zM2Fyo)SF<^pQYtv#jG9yYOp5~l^)DOvtp$laYSQ4V;F?8vSP_fJsPlqvs@#I(5{)) zBNie~jH9pi*5N#@nePr7QIW7gMY_lAifn2|6{%>Q2hr)MQLIg0VbxJr6@@fjV5?4> zuVqsYZ;q&KoTtgKo@%X5EvWAsc|O<0p3xV*OK+2}&8ai^>;ZrIsng&2*i--MsJ97b zCSg$OG+=Vod-%lT`GrL`hl&N>=4RQvuunXm)u}Nv#eYSGUVgK+9?>os(gK*BD!3tf zl`F;<$|9>%bc{o9IdgPtU7^9fuZZN7E9mYBo=Tmfy`8I5Yp5QF&gvA}R@=et(88@f z$4Z@|lZ{oL^ti;8Iz?k8!X#9ZU7uL+((-$@*Y`G6F@=)U?JJ^o{nDDw>c%xWL468y zic*~-BPVuQt49ybd$7-@24(g(JJo=Ezr$Po4d!ibzU_yf`byZ`Px$mf)KoLj zU7~f((yC(ZD+|V55X5$5;Y9GjA+BIph9K3W3xZXOga(uuIGILV4?%J8ZAf7Zn_Q!d zNwXr=V_8wt<79h*U*8DQ+jK!VZ=ep~(CBR`PGmkusz(=0!zNdqLsLDv;I<5#WV@K= z(4rn)(m-UKBz#0=PuN$ukfI)4(uP{gSxQ5+e3qgfL(<1h!q-)GRlUpN{PBm8|s@xUVzRqMBtWW3r?ShHv z*eyG!_I1I*vU6(RA~;lbPVHL+3&$IJP2)rR3i_Pdw+OBl)~J&`p(RKOL26$Y9IVl% z_AP=}muMs4%(R?6=1d@TM`Et0FPem=zUF?lT}dMSxWhyVu{wU1*VL4%yG|<3YgFJA z;B1PFo=IPQw|sNC_p0!Ht_qKn;W5%2s2)5{Cbvd1R5fNw#%dg%n!>aVuF-X-;(|*< z=aju|8ayLgi)?W^AiBy_C3MoYCGXGYC-AT~utPQ)+2C}-Qc#fgm+iDa&NugKMsoS2 z?6DAHV>!J%&IP}VP2`eKPgmFjds9kp+VXu$?=OA*i*Nb4PT-hZ*U7z5>A4_sQmo-(c4`6txK63?p)G%`i*5Evc3upljL5iv3IHp>kPzzPdID5mxIQ$2l=Kn-n8ZW zG~V~V^IKl?(WAZKhsrXuo0t5-+h$**Z^D`DHwXFL+07f|b60NOAfdZ*^M)DS46@xJ zjNbNrm7e+T3|D$qUU=zl-&g6G-SFW`&+LbnPV~K%p4kx}=BQ^+e3+x2@6jMfT_|^c zJqI}IsPxPX`Qb{>>K;8rr6&!M>ipAtHK|3*nGpNStG3uvJks#D(MxuuWk%ag76ptI zy%W`!$l*mqAlu;)KXflpoB_<9l#GpZtw$bMx2j^JMGYRD3pV`92%;{6F}NyB`QdN}KySB4w?9 z>7Gy_0__10M}ZXk3TjTYoOMOtZ@`Hc(}EJGR#23j3!-_6B2wV9YHl-D6dmV+yNzlt zP^xUOMF@(DGrXznLJA zEi|@aq860_io=DV+8~p}EK?F_S%jEaEvjjj|PhSP`xxP^PE zIJm#23c=gfqtLbcb!*RI|VAbBYp~B6EtOnde2El4%q> z7(jpqT#@M$Csx}$Z82O-(~kO*duLN`0yQBpXE5)kbVNsV;{KTcd5Z+dYpL;>0C^q) z*Q}~3SF?&#G%l((*>bF8@)!^S#xNbLSGScf0cuX%(=C|uGYny`SKu`^#};+yl2JEo9Uh}a#i$)ttJ(Ga#jxp@ zP3^FJlWk+zz_e3e0fQ`8=Ed9AnLU49wLcr}b#eYLTG!0Z|0_5fJzL(}v%IEjMx3KI zfSN7uc`WZweBOsve=sbs26%3HJ!G67gl>*#)xl^L1)R!=ijc8F-3s*8Gu|P;}S%CVQj(=(+B%E)ahQUTir%+*~Y&QkC0ux1mi#$5vq*IgE_9Bny={bm< z2O^4Pdhz)uFJHL-;{E3?@5!`XJ{X(|@#-BA@yHkbw?F&VXmOxy3@1?(&Q&28BB5Uw zHE05>2zq;06m=l~LKd=pWkIY9MtfHfoHdgZf;6Qr7?mk_`P)O&l)7LL40otjYYt6Q zS_D@yI?F2Q;?OjuE*JzuOs?11Gz4i%T`&lS(l3ii{}2>YS|rOT3G_lxdO(uQ@7X7c1jO4}u{&7<44*>9}N!lGr=rJsmyYpF%Li;4Z6)Op^Hq z6@nq4ey~33XG7{T{OCb2+-!_Qk=D$&C`5yHX4Dk$i=EPk= zFa+gANhxujk~Z>Rg!br~hQ;M-27_G7g;Gx`8Z2DpNvU`AY9|=tz2X1fxB%2W6AaHt zFl14sWXMi+yX?82-L5hu`p&aYzWr?%K13QDF+bV{OkY0z_H`E@F*32#91!x2yVF1!AGvvnx{k%d7?<`{<94B6G*i@Aapxd< z2xhZ>*nk{K$`Xh+k`l<~GF$b-2IN%{#B|lxPswf6Q(;0&osNGRb2;g7WTiwJP0sOTX(Y>RDu#d zcD6uwQUEeav5iYvxn27`yCKRi?+zN1l1;yld(dEUbk4h42zep@bTDz!9Y+HS;Y=Md z6-=8B`q8iDPzbW2-okjhCi5yftrqm}mg*?T4|_7mHzm~(2fOs|PM$}V;T(PrXr}Lz z!8HSQcx@rE6b5IwMnJZJYv2f?PjrGyP|0u#+|e0(CBrz=0Y^IV!7`(>a~vP;=13(4 zAD_TiP>(&{jZ1=@fToeK@fe+M42mR4xW*-q=zA`8 zA7Fc+mZxquC4PfT?l+iQCTl{s*1u zwI3Zd+YSG&S)&F)w0%>^HddrZ=7PX!m8A@1z0=Dr>(k?Ep^@F;h;h!1rpo&ChyzLp zcvvEWX#^{MdR&l@1}(W@`AXJ(qZ&;$N;5SqX{Hm&R#Q|>QDPLfjq}WA2$gB^hASE0YRxjup& z`2|A5Q5}tTK;xuVVb(q%Ixm?Ay0N5YI(l_?P?fDe2u7&2D1sgN(T|uzq4mT|jO}U9 zGuxEXqE2h$^Bte8uSIWOHk=++rpD*F3|^1u#jKh`Q6^R2=Y;BE`fFB9bw6+&-d4Jx z*SGGz%iZYmY4CG%D$VA&9-nce^W#QIkEfR#$vr`pT#q-K6ZG!OTdjZWn|}I_epj@! z(D%CQiYB$LxNm5VtT+a>b>)fI!SreCtkwfnfDvl-U{>qk6{6@5s`ZH4 zkraQGk{F)c+I(qe;gUN{tT!`>VHd6WsZ1{#RIBO5Fp_pn z@%nttBc_{5%IFC8YkfB-8>(brnx2Ac92zU7M&;E`m`H($`o`gxD}f^W<NXBQn=NQPB>pa`5uU@(9x+%+@M8M^@C+QA`jv9q)oXzB@jW@hK{d< z8Z5+=c24#@qd`;pcGqsc;tkk0Ex!I;e=*_`ZK~l0%vx+b8q<%MqWthhH$A|%QNgEx2 zxDDr^`DgnI*GCMPOQI0oA_KIfW(1SZQgMcIh4$?2AxD=Q#7Z*Xozi5Nr$N(QNz%XY zqXV@^w8)FOT?i%?k`JN8>6rH_@a*5KK%R$ee>ru0KwgxenixtTJrATQ*=@tmdoxgGn9&yzOg&2>!;+&jJUh%In+_XQ)m z3omH3zfQe@zDWTGNy5@;Ur#;#)+gVAeCD-5BYUYvmhS_)zv#jD{@%}sk}0iU^OC7j zISbDaxCHno2Xwl|TOF0N3Us?*nf?YAius!Ml_}>U2y%=#x;S&0YnE5!?Q%hDp1}e1 zKzo`)^G+4P4d}yC357mHtsrl45j?Jmh@)g`#TJ0|kD+odf+&=NLrTHDDCc~RRL(AV zSZ&m6M+vgnt}H0Z*(E_ZVz*oVn!Q0__SK!!lwMIJmvzs!_ALvXA@KHJDG*~*D2#jZGi3C;wBlV z0}Q17LcwmaCLJDE*6A12Qz>Z$8RHJKro!p=tU+Q>!s3|b95YTASrxebtzgFKBCE1l zn}LjT%oq@wpIQQB1Ez6v=nAJp4?#Dspwz)F~x7zw+(h{kwlW?7I5F-3WP)pmR!utXU&A6o30JIh?W;ys8NvL*B zLQXEZLu*j{a0K#8`bu@gFC{rH3A91`lQBNm%##10Q4c_(+6U1htnmmM#+t^!Ya=P- z#8TNWLgyT+_k5lF4hz%uTz2Q7uMLIkJw5=?xtvDO1)xAQE-$Ctu?cfTAG>v&L}!(iJREn-N>U?ZG(mHgRaO$D z8dNdiG|{v~atQe}#jN|$x0)M(Bxclf*37pD-B>lxincKVD^zRd`vVG-wkY3kkPWWR zbJSHRHeBuW6aq?i0n{Mp{H1sRS%jZe%t3wIvOc1E;6865ypH&!(w4)tjqF=^4pWb@ zw`0WqJe%Ph=1iA7jV&`+W{VtVdhY=o;OZVy4igR%3dm3InRdM#=8P2F9#UWmZ^Y}H zU5cA=Dc=8Ied-szFN(&r$Dktx)^YIBXg}IB%STdmLK1@~QQD98%<>VN<$^veqi>dv zm@F48eM);q^oUDgg3+gR<@8QFeWdY4wU}T5_K_er9%u-POHl-gB+&OjBN7d)Lr`1_ z7c31;H(RTz3qd71`AL?JrW?$Vg#nI%Lz4`!8mlN((Cs>%uC~rbOY24(s_Sl}#yE^& zd}%_)gSvafM9=m*kSA4ls=rce4jr2)UW=jPyF~m zkaVF|9~y!LaybT?v??TBF6Jh*M*y|gkUoqa0Xt-d8WocDVJV{}y}Q;6Pdr}f!_rIu z$*H#ThVGtSBdFp9wHI)d1KW(%?3Jt!j{w0WCxylcGF>@q*sKpRr(U%jm0WvyDt!nw zXsi_I*bX&>kiMVg4!T%NjBSa^2-RAyt0(x9^gsQLJ5@E$h|ujJLf12IGk4@X+>yg4{M$eBJ>!TFs*@Qq zq4Sw>LId)s5FwO6Aj+WN!orad%!m-W!fGT0189~%1T!K8d#@>!7@ih_84)_J)||%% zlF1Q0jKr#iV1)>w(=5Uka4kB+PM>%@BSL7s3e-jfH=Q4o5upPbr%7yuQexw8#-STT zXuTB4s6=g@yj@1aljvFh22M`M>xkKIQNEIlk%pM2Qpw z^_bZi5jsC2bb6Fy59+C=bb_URNYv-;$FKQI-y15dKgt=zXtEz2Js=KC8|-Wr$_m7a z6OY~w&?A6{Zoji6N)gS2Uv!X{9Odjm%>zY`uKv0c6yzx9ES)7MsW4FiMJw<_8!?=q zySRJ)Pj@}F>&{hLDX-io6Mv&*(qYCqOU()p)Ge2Z!lgX)R8gvIfS`bNVhg+^RkH%A z5ltnevYmhrsj&^t7rU@k%?hLkM&6&#P3+$(!F^Q>)tcsOUK_cdwtOE_^ThA^NALeY z=rGl_ZDJ0kn`DWSnTs3OxHy#?RuXf&wM{*8H$y4Bwnb!{1*BLJe^JmN1hX4vHT#%i zzeJ0$=Fr&A`3MW>yPtC-!eEqqRM zuD~O14qdrnz@0Fi2gl}!0IhL~V=LFkQ+Vor!dXOTxD!)&qKp#VR<{Gd)@}3I&~%JS zq-aOiJ)vXdlkRhBqk3P=|7{lS9JHKCpJ0(5PUFqM^%;R{fu`~Doz95S=lJF4w0-2q zzyE9A6{$L)1Wy}(^ryWj-1wc1llg1@_y5~mQrBmYqF`Z!1w5}E_EHT=BRV&>Q?lV z>)N8Mv00r6;ucKs&No4P}r{Q>x z*Cjei@p>C?!~eb2cw0J!rxQN4RB0 zJa(AS$s9Qe@ga}s?v1xFa||-(h!B`{ub`wYz*21ltJM7vca2Y^gOgypvPc!~D^y>d z@~WtKt}Y5ZoJ*DKb8_XIm(K*e9%pwh%{gOf&Rqb*Xdsvv!|CM)4I_PvMzHnf zgj~LGWIyKHd`3WfBFSq99RdXQ6b!SJUV^pyH{f0igh{F7O8NeGwVJ>|U#j?=Oz)v$fRY!#yx zPEk)VTg9ke?7!^^W^;^Mtn6+H%&Hvj!_RAWPF6zgUKOnFq>mkq?s9+ z3jxT=sv_#GsY1I2d+|eZ3tKSEzSIlg- zy#eMWlM6&ociy&B2K!l(dBJj&X5}d|u?wZURG}Qv&QziEQ-uGnX&G(eR(b-W zCV-ti<0IkHY?P;K{ImD!n|6z4qdffj>#7eX{}dsr-!+P3i+)okE{ny&C*>oczUTR%)ObW>zH z#;|Ql>q#wyO^XX;i6canx`7;`ip!Bg)P|^lR<)qJpavYE|9WxfF{Rg4@>PV|62*iD zkoT8|Bkj-a6ydhChZD;TFqsQMWBR?w<(<-6SA4Z}I&yWq-NRj^hA}rRnZo3+Nx)jZ zojzQBnx5^L!U>j^y4k(S5lh>uaW=pC;-~-1Kl+bi3a@e-98@dBAhg34rUB+=7zAct zHLNX^7_eP9rlx^(tgdtjQU#S2!Jx;ANJ*ibQi-x5$hg1-(F=mLp(xPY9_7%P=ftPV z1@}9+=OVa3({98CS&(so3)(s2dB^-(1^)cdlQc_mlbZ&Y@=Z}P`4x{>xyTHBosQ7g z$x_vsGeT|4dQ;|A%b7Gsk4;JpI@!mIJdh4A29U7)noBFx^qMz5IbQRM4b&-DVoaId z!xlJ$8oO2C4Ch;wTS3j8!p35(n0}319M>wZdkjjeJcQ8LP+_iQhLqOd$`xMTh!|2IcapBq|r}&X#ZWG8!ooqjIMnHiI!$-yIe}1CEE__D7%Ls zC#*>ghJ7oohwLjW^L4@PezB3NGER^hvTvo`G7P6MDe|E!`-aZVFs(3zm@hkI-?r#8 zE!Y#Lq6>%@E50o4n>yLM7HEQfGt>4$Dt&k;`{w?#|9j`|Y~Nm;_HC-)Y_IEx`D}GF z{pRQ1^O|4(z?k0*A{S=iP+e>>dQGtEP#3jcndmp~R|j@L!HOH?j++&;-^>!#D;?~t zR|XyI>^BcO*u>La_dSe*t;)bUg%t-@|JqEN>3GuN*LJ=tD>X8vdo;kejobkK=A3NA zl>FZt7jy7_1Ht?3NI!RZPeyU;@a<=wCgdT*N}qZ9xCnjs?2~VQ+r{P6Pd+c+7Pjua z?YXzUsA#8r=cByqub#jB(l@;&_Iv(5wx4|STViK?cXq7$ddhlsq|f6>f6M>!FMRQ@ zMR{L;={4FxaCRA+ysu?wYgFRab7f)x4S3N6jI*F%)m{bc&=Y2|NqfvJC<$Z}i~%%< zmEk{D9}L%=2G6U7w<{k&gZ7{#Ip-B6fov-x1+GQSXg1cA61?2!5;NQ)m1(fuYw45|2&@3d&otM;J6TapGur1?)1Ky8LLA6kmO!Bw{s7~Jj zT~0fbcdEHEEN7G^MOgFL-kqxS8gt(lGE(P&+(UaYmFMIaNvoCk+ZWOyy81=c4FZ5C zbagyL%{-q;0gTx3o^&{!0R7HV;tzT<04k6R5__Eq73)ui%Xbfe+}VeSY#Q%FHdP2S zXzW8s%O5T=gT_AOI4D-)uNtoEZoqc!(UVa;c|-%nTeU@jXyOBhGqM8^!0S})kby5v z76&@dqQEM<0D*dcZU=C-lF@K!f3%ygnixT#-k;lPe`z|D_D31vzB+$-%hUODJNB0z zM`=?oPvCecUMb2%N?DM4@XRnwPrvoacbGZgVJqiE6r4xr3yhlLuExMcd1}RN~2r4P1M*WL2zQzNoGlQ5qOUdn3C9F&%F@FUu8DjUq#9M!|B%$knRs>iIA z(E|(TLM!(T4b^qfP9qeaeBxgDzvgS%)O~N2J={|g-sUu<>@X?QPDi$RY-pv7Mb{_o zo8ES4UtHa2?<_+_ch^w2_E?7^ou+Q*EXtfkx&Q3b5>_uI;w>NH%ol*CdYE2({>jT1 z?!S0H;&Yl=3CfwJ?@Vq z&Aykl3{HBWVR1p^{Atz@3ZPwB@$P`-!A_bFzVba^^6UlQK!Qw&!5n{ z*aDZM#5sTq(CFp#z{b|QLOEU;*vyvp_AKqRThs=JGt7D(nDxD%{`U8M<3~R7$Q=-d z%KO(^S_~DM)*`4Bx%N%1V}R`@XTL<5E92(A&Oh1G4tD;jEbV%U1SMDO>4=^!Er29+ z(?yVrNZbl~TgRaeU{(^%;t`N%Td|uhEuv7Z|{=|cOm+mz1+Z_qY-a?pYp=8{B)2Ho#AT2BP?s;n0B7a zPTMRXz2yzRK%E&OG(1B4r7`d00nRnK7l-Wz(KsTW9evHS~I!) z7e~y;mD0HbO6U~8OOokPzd(_Ls)NI3*O{M*ct3v8ZUb0A&J!hrZFRh0#D0}9NB4kV zg!4pts(sC6rnpODc8jVGoTpVl`gu-!(Jt#34V(Ez!=}Anm-qZd!{73YhE0Fb_%Xd` z*u`Hoe#|c#B=d{L&Ge!{x_Z&LeF9(jS})q0t}a$s0Tj=);`I_W2N|DV^SMp=h4uOZ zb|eA%hYMPPNJv1S-63O0>+J=f`%)gUUR~f>$ouoL$_M0jWB}Iti*@dDxZYf>tAqjs z>iz44!W!qBdByA9#k%5ML7?8B+i`qcu)MihTo$7Xf0sao4?q+lWP8=K9=Cby+r zA1^jlLIwiW@nt)Xzu8@E@a~lBw^?6os)P&#>ixN$_CH)~_Idy9#isiGo96e+cG@53 zoA3W-f3c~`JvO{R>Hf)f+Mmx;Z0h6Xkm6mvT4fX8Zk?FDy~A&}NM}ob0*;s4CR2as zF1Cs=SlrxnVQ$KETvp!7ZSl=2SM${UXPyz5s8BH?6e28CqTC|rxX%@GlUJu7KV|hS zNB-LruetNs$DM6RsL^HVey-N7f=ZK`_aL%quEcLhlKL(bR2@J zmE`~!HqCtYG^iBc5R;qLM@(6xHz^Y76Wn zho3B#IF?D{bq4Ps=M9|~CQ^LCdWWnN;nuCPiDKgVR&)MR0T?ERBjzg;EuLRK|Jp>0 zOCBm-FORF*c}6JNc%kM;5)w2xuL{DTJN5pa-Kl=KTh1BDx|!nk4}QT{e)c~OBugt` z+m17PZTrGzr<=}%DDmp8D=PYoE~T;*@nNS)i@2{QxJ4+cQ8wTgjk3LPye@7h|3QMn z9jbaX%nd44Leb`Th?-l1}`;MC+e7CzZY(-PnVfk+w0r_*+dlLSl*vo0TMaza2MYK z^zqHtJq8d6r>cqoC=l1kh>HE$_x-Vd?K=V$gHwPKKlgcc3kqg) z(N#&kix8x0D}tD4C0QU8n;(_T9D?*1T#!|x@EB0&4kV{tk;={m4@g}~3Kdjwn^q7j z(&u%-?O~xwEgV|t(NI$ItC_Q=zH>p$p593c6Wx~0(+@%FoFb^xW!XFNU~QB`P&_)9 zJfb{AQd+1uHJ>U;(xY=p6w$C>CDw$$rubr#q}6drw5>rRn65ddG_+SpJUW*IcUw#R znVjvcnQsqjdqG;~nrnda;-{sf=lg@CqxX%(1cp{J-ylm7;uj&W*OAP32(x$T_u}YF z4wj&ePDjtT2*YYMNe804<;`7kl0T_Po%N%HL#f;LZ%KT4emN(-O3KRtyvDiI_#>yd zrT}-kml42iBd5i#U)`&}?4UX4v4G`n3(hInmi(TM-ze=C!_gobS2;R2T|v`$c628(T;^gH8@fscH5mcjoWQD9ehm! zHH}`Tx1&T;IhHQL<{dHSpgFXJZnokcWw=7D+MxoiJ;w^M!*3B*7dk(8P#Nkp|J5Lr zS&!)L%6K*&d`&WqV$akBWuT>kSPR!o7D8dB0azMA?|{)xZ8{SW?pv>nitPL~hMnPCWP_VAhXeRtNDwjHo7 zI`u3qhi`(>YAv;mI>`lr{3@>wUALgcsO4fsdh9NU4nJ&>OXkA1!(5y4;dgW>a5{ITva>2rX+pjSbtvN?VR4$0;jxmieY)x;*igo1)`uz$< zj^Q~LsU%50mA>X4u)EO-H`eJhNhXpELQMpvJUYm>HrXvnCXyhKK$!swh!5$LPK8Xq zJ^R&G=g(=wiF|uT)v>C>iVZs+s;I-iHWEThH)x_2SG@jj^K~fR0)%&AaL=Wj0Rs2* zr_l^>ZzMox=b@Qqa2kUCilG@)2w^|7j9#?A&Lc5V>u?k5`la9h)35(vIE(s9cNO!* zLWKi-NpZxG4Cp9{{N|&&9f}*;1SJ4qDd#s|)4Q&q4wwfo3JO}M!}-mMd2sS@R?PdM z$-`MO$9yjky;}`lDdxc$!9@7|*TjIYz8S$;F_#Hn4>2QHG!(Vhzz0`usu?rY%Kq}o zoZ#McFh)_pNN4nRj4^E)M}Dwa0Jm~I6*y9HL9 zGbdT)JLbjt*Qi_${P89y$W0Pty0 zY)m~GD`xn(0Q|c$Z#@L5_52(I;D__pBGUZ;Km5H8a89jPmW1Pq3rR43ZLV+}TgtOs z5`Z;-q{!b{$p2T@7?64{s3V?&{8>a9zC?EiB)}|I6P$jEtVb zR3sbkGpc|?Tc`t>wG|)6q%DxehB{0;K)+Tg zMAhp2WxLUbX;Usws7E1m+!~TSRXy5Ebq%NRBmT-){L;rq{_`rQ(4%#BjA&fA91oUg zoxJll9?VW*1mWoV)UKGL^%8`m5)b}n?LB6vFoJOKwpzjL6qeW;TC@{{XQ%M!p*6bn zNiV02C}gJ)Gj~{u3lA5)_1Z&M(Rx&`V#yZvsH#_Y%MBa)AqHnZ^5%C-dnk7_F=&=h z3M4mc6uj0~sHt9E6C#l++s@sossiBLGvQ51o=wE<97gH6gRUZHR0&nyeD z4=p9Fzs=vdG;pocQ;UnaEI|4@W$$<{3n;C66E!FpM0KR!&%G&BD&y}IIH+RB_wQS{ zRMxVn>^n%Qx|%jMrBBh>`v{w=JJGz&4KB{p;NrJ@`A0qW#=woV^_t^G)`$&N_ufGg z@l4%%PyziSSo%EzepkQWzOo3)1@}nX0XJf3yEUsCf^-pFFnTWTl+vC1Bhp21!DzXN zQ~{liEJzn21WU)ot#u}jL(@fY!P0P%r3w5ovEpfVvIGQb&@z{MPBMlw59p<0)rixQ zgBSXk$$4+la)}Lc@WaO=@6YYDe;W%)&0F4oI2IyQlvy>1h0Z?p?(|s19^MgcN}gxM zs+k&#Ok2JWp#Gdk|K}f0P)$FZ;fRPpGKaf0{a(7Jp4YdA1j?kgD=5{tOxZneR$rH_yDmbw-N4O1ka z?LP-fP!N1h+g5Zu4lc2XuL;TpE(}4P&9S*cll7sx`~lUZIKn~(@52GjsKV>QoG5y< z_R+KFAK%0$)w(yqJB(xS98#53Pi}99YF-XdjXldZr5>j(-=`jb^gsFU{^Gj>$<~D& z$u4i8_U3{{S)*_Ckb2yr+ZElbarL;yfM5|ER*$7mb>B4OtRAB>w1;Pw)#Gu+k;7ML zy{sO=8Y5pDx@@@ykE}KdSv_LbFxHOi$T{(E4wR&8v_Bb|G8JxRj$x1!qLUK(? zQlr)fuhb)E(6OWtbhz!Nqs4RaA)B2WI*!`M*3_uiW6C*-Vh;eU+M{Rl6;-jwuhwf` zTRE%#4Z^snJ8C*t%&J*i`h|X*Q;=oHtg2P4AI#B(y)H4+F+%0Wr@SgZ$2WbSD3MgP z$ISjOXc%n{aV|t`JZULROrA7f>$E8^Z=mYJUO(NFou*5#?a5ACzE4$u^r!u??|4n9 z>Z?%oiBt=y0&?KcTn@URMq{6NJfrG^tsXO~j&vV-n z)YDJhd+Ny-pERi1SZLG`s-2e69uarCjD=xQ8o?ac17Aeo4?z$i1{;fjRzONH{4#Xa z)of&Vh1qijwHSRXDToYN>ugn?E119 z6*SFd>Ud;x#op~z>`l8xts0+`Y3E6%ecZqP2@hTviwp{lrmyHF^KA}bA;KQ@SDxg^ zpv;Htt31h(!2#tq#t~UJ?Ud`t-~jB}_}^?3kSQWMj?F^|6uT=T4O+JuSNC)3Zt+_H z`(lOpZ%cW}d>d;)#WA5dyg7HIY|zRYvY`XZ-WL85P)S@K4$%MD!vT>7e&Ky zsk*&2^W6a|WsR{HsKKl*(Ind*=GJ>X(LkoZ_T%4bvopA2e|Z`6yB%6-{{_?{eC|jA zD)f?ba1HX%;b#L@KgDQ1G(Y=cdq&}WGXaym2dbp!NGk#9il3ksxjYWFU`R}Q+dJdf z@Z~wCvrUT>I$pp8Cxd2bVw}@jFqXjlo)3J*drpDSks7XC@;1Y`fi6AC`wwI@Y&==rM-%4x#99U`O%S7vBr`}L)X83RHJKVaNJ? z>Xxip`>F~?)9F5I*c&E$pymInmN%0&0hcq$F+?1;g=3NE1q6f=o=MXGAs z4Vcmfj4z^4oQc>7QdPU)b|)*MH|b(2eDk~_RkaI3x#++mkSN4i4Z%_6(l~|<;aXhA zBI2r{{2>4s(GT8ko!{FZ9BFnv5ike&xpkI#&n5?Rs&dr`DmE%|t|S0GWeX|>IH;5r8=NF* z*Ijat-dtkW0mjQf^@wo5zNB4uN%%+{)4-#ztw~o}lWvzwqLVK?8C*=X0T%XUwPwCQ zXf&pt3PM5fspu>Cnv;D6HOqCnU5$8`n_gTA54d#aj?3U*`@bsYp_Xl3G5t}$bpnuW zRq)PU+X~885BAzjHyn_@Pdty|EPNZz%&g{NJQSqB?N0oegz~R${H|_sG^J`OSI-IdJieEjXH3*+;$TLGl(BaBo|vSNVz2J zWDQ_L1LvY2WGV=>!;@WaY<71XykfO)IUvU*t$9|G%y$QQZCW3!xjLFwPm=lepvcR9 z(FTutb1^GO-b$#1K-K;2`34;~8xEvmLSShP*wfMT9onPmfnNAd>fmHcp^DcS@_j=Q zRcZIZ{JQJL!MAKUbSHe}M#KNrFWh*DdbdA$_P4Lk>{VvKSLMHbGVwP`z-V|>+5U?t zQ;bC9aW&1FFfeH$o@z1KIiM<@gyyS2yOBmN4?TMajcf7@VRAC7K4GG^^9l123YZNY z*Q)`IunExI`3K9po{R>!V}EHQ7Mpr`3f|AIU)MqH?1?|s4$M*JEk>EDt4D6p9A(~2 zOZDIW`QP)tFARS~sSF-vI;RPZe%PuK5H5&S3?*`FAX+>Z1SCqrFa*(`SF6bEE6Y2% zAo`_o`Y{DDZlzg~{)h`kF~|lTksCppI~PPAUuV$(j<#8IacHV47er%TAmFfzD2=o6 zEg?vqR0Pq=PU?UUY}ei#n*K-;#BdjFUWAsz>Se@=;*YpwbP)yBZIGZ9ZAAB&>)af? zB4|Y)(S5hUlSG+*^r&vZ;t>}`)6_c7R zb~{5ECZAvnW(T|x6HD$qHquH{%QR}A<`k+HU4lH`jxJ9%-cI7ke8PNwl20JL_0sXt zo$eGDMK}bpoL-*dVqo)Hp{70VBlfEkYR)dk?YS7!Zqe*w+>DFyN#Fc=|Jxr9AX^hZ z?qXOPDCM1DY}-_=1l68aU9Fg^MS^+*P72IOPQc~_^$t80by`qzM0=A31@f>Jk;x?o zT+*)sP-H=gsQu7rat%8#32MV$Bb_V(wFz!FU_gth+wP@-WL{CiAQRjn^oI2$G``%o znctkCE@P;X(U##1dBr+Gy;_i^i6wWav1)#{LLPpW0B}_D0ED2G%n543PZ!EuX$kPwD3R9v?|$Ap-}-aG6;$iy3e{{K^L$F!Lrh}a zYWvChGwVz$9y38GN_0&TCJmM|LO6y;ZaP^A;CV|9D7?rQ@M z-Tfs)O9w)khOnqrFex(WbiQkIa`RM!%yE3RO*Qz2FZjAI|B`SaHIMBY&r{=DI9*7$ zkdS~By}bLL@UGd>gEVStJYNxIbey1z6_IdK+$&b3#&bc;_r02LO^xS*TNKd=BaywH zS{ep5o(rP&oKA<)4tIinv#Rfn3#e~uydsDp!veq@0l>7sni|gq_v^J5^B=(?R6TEB zVMWn+E;&fyuDB2b9B!#Zmb&C5EviZ`8tUn` zWu$zbAvNPGTba7M*#0{c9M9`MS_z>)g3|GOhj4WX&}@f`4NPnIY9c6n)Ia%q&-~`?>mG~zcuP=-<|RGNMso^0N&$O@vE~eTdF%VS=}EM1DgD7_qY|x~V6;O)_FY}U z$bU<;Zh{0H1m{O$ztb61qIDP49)duy7o@&XC&!8st(zc*YN7OnP&Yz4)yW~K(Yl`` z`ljI6Oy_SqCs`8|&>&jL1ei(FSyQ5QTNAJd1Y}L{&Z^U#u0S8$D>Zxfd{R38mfAmI z%N^5u-n3a%>VtcMx#Odi?D4OjlfQQvf4wZt^6=MgfL0 z8ePw`$zaCdX2CDmtqmDWtp_64+vH=p!WTarwg=i;yj|B>g3 zYS^Z2()73Bvb+VCQ1l{y_r}c+>RX0dWpnT8qWll383F}KnUPDiv49f?Z3Xj zYZ}L2FD~#h=KbZFN&9mbj;mBCue z>l@2$aK1>k1Ig(>ik6qrXS4h*dG@xZ|dV!Qg(W^$|kb${V>YzF8rBPu+j!nX8DXO!~Pkxl;t6 zdGdLw5D3C*B{z9>LW5IQ&vJwhAAIqD^d~+uf@wvsJeYPYYkE(fp=r>3ILe9}TtAwv z(VjeIz7+g6^>l0v1gg9*zG94*I(m2G5ab`(CSH&>sF!dDS?mP^Q zG!wdRkI-d`Xv7w@%6hI$K2K%x_kHJ=96u2J1D(d}5V3A8A4QE8+gnbUF|M680j2@` zQOjs+z}=_8^A)WHr=V^&W-0_}@LUi{JLM#AK}uH37=ko-F1W+@Fi$MdLNg*Up&>|v zR|Ju_164`{jUy3)3?Pc&VaqaN2rdsshYmpo5H5H)auR{SQ!DGx6oLW~yCh~yDGhyx z2Aj&TPLfo4F1f`lEUEYc4gXw|!^P;9=zt%@E?-XOAgCFi%D>_P@_!LG#Hai{KgT!m zNl$X})bN>pa2gX_IDg6T@-Nn&4|0gSu z2QLK?6dGNw=>o}RJdC)@1%1V9hrVtJ{nf(cKu?u7m3F|(Qo*enxK}$=9&}5qI<<3K zQ}xQuZKpW&EMduTGt2*RegSuDf+@~lwv!^FIZT@ZZbv{M^)G1%Ny5~CTBL8MHyW__ zY(%MCTW3vMzE5ZUssHhNKKNt5xu6ml1`NVNanfW9P8w2p>ZC1zDXIgcUqQoAXX$Rp zAPA)j?h1HL=zc;~WM8?HW-Ee8t}WM4^l#PvAt+9o9~w(a6F1-?Mn`hNYeEBjt<~;( zUiv($V2Zk!BBSS4`y~FZcOlCCMXttX1IY9mNG2oRf>ux9t*eq`N+hV{tJkD;f8;Gp zhf|7w+P_Ycb+pc@{UUc4ImZR85nZ|S;c3EBj!&}T@s`tW#g<%}^2$qz-02X2J&8B< zR!>{L@2&pCpZ^=X4~yK5=Dz){woEuL70XXv9YZm9nA<7*#=hagaR zlKci3veJpr zM?Q&cZ(l}T1srhu@Is28@`B|k&B{|`Vi!s$Vog`gsY{bQ7U?~kUw(-#lJ03;l$rV6 z3B8hwBUeu!Qc299r-*YGC&W$kTl~GbDP?G{<;e1V%J8-CS^wp~8=Y?z6!fsna}|>l zFN66MUPfOL?>cJrNF!OYRUUmI`^v&H7lhq$#x>a7jbN2{0Rd4t+8g?eAWj$i8mo+SVJcg|I-zk2@iOW*XC*zfuK*naZOZ;74p-Ewh9#e9h#DV_}R)qnOM zzU%WpV=St{2pSeTG5v!+val+deb0c@{rf#EnGhpj1g(Q@1Omw)LN_A}LX{PFi_Ro_ zVimsP0bSvdSYe84gCRThp(QxAFkrAafri{yv^IVS(Lf*{YKnM7=OrV1AFK&c{Fo}L z0V&+VAC$f}=O~8UkB#p7tdnDP0{a%#zeb?~|2b%{DBv!J5TTbsBPrmT$3Ji$5OR{i z%`rq-au_Jxq{GF;IGy5d)=fLG-ORU-2Q)|NT3@JX$R^%c>uRr{BssR3G~)PjQg+KPxW7{CxmK3I>}Sdp64 zR|NNsdXE|RWhaMEP3nS3jj=`-bsj~N#)`y;ToA}LCwO8-OrF+gECi`ZT@X#~&^U!F zZWb^k^^Xxv>XJy$p(maE8gv@3BqwV&k^M4Vs0I$gv2^zsTWFE5i8P? zx}fpL`s;3JNsAylc?u;Bv$8s^JgF01@UY%$Q7hPUoz_CMq%OE$pa&W4Bg#8Flo+w3 zE{W@d>qkkHtg0ooukM^?Ni|*=VuwtK9CQ)mtl36}_WCVrwv+taXDg@3#D0`cUB-JN zhuN6E9E__oD`?S(cF9b9pv0vHBD6Hce|72lusd`yoeZq(z3YPyI?U%-0V}2LgSK^i zC=i6NzG|xIT%+4{RG`|)E6q!tIeRUH9+6K|RL{9&;?SnTJRX=;*xi?BJYcWIh>q!~ zusI&My?9{SEo#lc91ol)9=Q1V5B-~I%&wYzCmR$ucD2N)HSyj#9f=me-B{&Qx?p(k z+m#u<5X|ww|IgmL0PB{fWnq&*EV)!fM;s6-50L>vXOsVa34#pM8j>0&l`}0#kz@`% zJ=4r_nBCj%rX7`F6if&@2t}n5Oj041q#)5Ch6xoE3{II5Avob8m=xZ^B?z&oNtuEO znCE#f-}`>wT6?Ya@AL27-DlQR_3VG0|6Si&|GK=_=Y8Jr-Z9=_5CdMi=D{S>&S=Q- zKy=Nc5w%^hiU))WhCaECy3Ic(#{;|4HNRP7q<8(Rt9W3AwtDgMHy9t)2v+exbj<_H zr9MeqAE{CJm5i==%p=ycUXn}*-zQ4fJbrf*jf}<^G-G1a)ZWfr^Q7q|x_cO1-lpCF zG`-W*TRZRoskaJ0KQ{0H=bKTuFx@B3WP0^>&ChoI?(Dj$s}a|0w(GYuo!|q1>@U9m z`3R8}tK)XvacaRByI6E66c{4AU}A6Zk$?7;^+~v3!N`%&x}|wwh+G6$G;44vz(Fej zy@1Fm8%_}{qzsrM&s=222GXtXT^6Z>S^l&C7vIDu|IYu$H}Of&1fy#0!wY3a`G?EBqqFxJogMpg?S!iK;+p?O zk*)3Mm{HY&R!K!RZZ}o6v?`FY(z6wgt=9OQ#WXo+my zT0Qla+W0za(|&2A6hkl@-{>;vVT#Yj7tP^IHNL1V^3c~C-__D+n=pu(L3JgW5&Y4) z0CxHI=TyAz_!ZW=Z~&!!^TT0=;-Ul5L)uzEe=v}Q2E96ZzCDKYgoCo=tfxjYJ4eyI zfaF-`7cuMMw>-KR_A9yW)zPavg#Iygk1*%CeToDtQr1+~fB8kyc3-WT@6mR(zz8b- z_T8>?j|4yBYl7vOM7;Rz7^PYNqDe^#bsz#nsoUKef_fg&9--T<|D$^snp%7Ti?Kz2G775VmoBD@MmNa!<(14!-SO-hsx^nT8q7BNeaYD6~Th zkprmUM;*#rK6c?stkA-~Pw*cC4yzmp1b_=p2jq6_55`(%5es|>W0=8+n@$)4)&8=b z_Q&~V_z?(qPh!xO6iFcq)giWaj%2?Rt^tO3>+)wq4Zr7@_V)}o5%IE&bX;dF= z3YE`~Cns-#>LbBEMyNhK*9ttms_@8*<}`1lTK5OT)rTOTMgK98Xol*0 zZqUgMG-XtW>AP;Mf4VUQ=IE%SPz9Z@s7jizWmAs=4P_tNXbc)kzPa4xXv}q=|Lt2j z{*6+@NDjD|xYXq}*9b1X<}=kt57}SnCA~aFFJTiP%Y*?>g#b4Kr}n|x!+u*jYufT{ zBto6_-jDdFtM497VJ*n6MlV{~RA5w02^;9!JrJ;n0n04z_TC0*hhTQo!ixqah=RUD zFnejcHOFOeMI@ZG>=T07Ni)_V>8!OHRtRPv4FdxRr$ch+z^NFtkRh18XkaLn3J!#& z9Y?nE(11%9sM_(kl3Z6_u}WcKt|;ETxz_`(8WQze0>0L&=_h)G+od4@vIsT23vmwv99b`{te97A% z5Nz_|bC(aF0l$G*Qrb|(Ee1D1k|DW(@FA#aE;3!kPuncgYMX^aq1fgMMc=>gV`0}# zKV4%juWKKeaiizKjlS;Ve%}Y*8^O8W49Aza=_q+Z*!4l&DEg<#SPpZ&S#@%7*{+xI z?*M}szQhh@BvpQBl&h489ClrbLK)>**>&Y}U1%x6#U zwiv%`AyqKP+V(HqnSc6)7gb~>`zIfxX+;&TFW+44I!zy)6QFRI+>x7vPvGnooG%RM3781BrxsZ39UD>lGxTIS zuHo$Q_Ox5nCVXeRd>*^}=5PIuZ~UI%oYI@o+GWt`vw@lY-A>GCcg>RKwndf_ZTvux zOrD3T@gv*iFyPy+DPz*yR~#h&&vv<3deAu?6(||!nB(oiDFHd&-eGjMIvHs6ZbRN| zm$%EkLOMX@ZTv8C=*lkJFm6QV$L(;ujSRT;j2}S((_G0q-d+*Ofs6!)nRTN5q*Q?; zIY5AtLTFRp9Rcb4RUaH@0OpXmKWCq3pvL_sNDVdqH|zK`$3cM>l_#O+D3nt`CAeUDIYA{AkK#Ty0Xa#<&-8M`!ARdu2W;32 za;iTu6|gaF`PLS)`1!Wq`X%4;Wax1vP4O1@Le!*l2tl{EI9lvtUzr|vL4;q7uh8O- zflsPTLy&sh1;Kblz#kD^p6m-j-fR~HS+3>}(GuUGouVEug6lOWCtyV&`c$38ioD-0 zh#SiSExf`#S^`8vh9GZs5tLC2A_();2vU!`pwSA`&)kC%k@_)K6g}>e(9Tfw!t+NC zu||^dRgpwrJrc{L+oBC^Bw74)Nf39jdw`N_89P}WoqF6Qw_6ex$|X89qrygGHdS=j zjgwSmXT1SeW3aeTZJ`!lHnMd+^_qUmRjUPWxY|PZ#E~-62@sk~Rc}_cd?u5s1zi<- z_RAsy8^ z+Sx5WtOx2g`f~(1Nm%k^cs@}OHdhUq+@L*&AC$IbAFMm%kA1dvPM^r{agZk5+t~dfE z`1cwJ*jE-&6~WzFp^cy#Hpof{itFVR;@TraO7tBlyy#SfpoG&Vh^b9%OGdPUj-ysk z+%pqI9}g;86a=}L=CNW$x&VHTaOaHi7yUUJdWE2*9{e1)E6$igsKUwi)j5s^AjPI# zE+luNHgGAQll5D7TWsXp_&?e4WeYdrJotl#@f`Cs`%@w%@eEU>LH92ubtH~TmQlXe z=Mt7NeLFp=V-NYMrf`C#WoY5A*U0jHQOIk5`cuE~H%C%O&$CrQ2vU8_9X?hsqmnK8 z>y27D=VJHks;q+c=qH52Ai*JO+|7!$3PMU*1UDcqZ3MFlD)nfz^Whw7rIuAt8VJ$V zepv-!yaKZPgo>jbwmmeX5dYOj6xESdq4wKbr>T7f4K2@_OJbmg=CXF^ylgL|6wQm| z?f?O<31U|b{L-3?LR=D%clP2^Q?47`JE|#F!C71ZZK>N$8<48ch%t1#Mz)8pl20Ce z_}}Jh+0W*!J}T0VY|XvqdboSvkyXL&?_b!zCh zuO5Hz``+=Pe-!FbBmZvg5~E|NqX0+k;B|5ufSty8RZ$OFELUv-F(+wu$i9lthtUlk z94^(Pry$S_&uS=8N?>nm3y3MAdjbh+LS}i6Z0M;INjXV_hC=3@k+@s1QHczWxfUezv<9@MTVWW2gOeANxw z(9h-37o47v*SxVBS4|y-PEm~1iAe$HMs!ulC~xYHIcsG9;(#b)?B-g!)7E$Dhf%q@ z_P?Q`Mu<*>u}iBrHw+Tb4fdwPne zp$9GrYsi}(JUhNUP(&ra{?8kr77yrWu&9zC(6~8wV0>faPp3p+7TfCb~{o*3% zot|TSDba3ubZ0JBq~iA#i()xqFpip%5TsslL8yCDiNlM9(xT#zhR2#O1vDtymx)=b z(#DH4z-aidg@V8-fjIcIM-s-c)e)A3Bntl<7;&_f?NLb^V7 zg`kgmXwSTu+S?r(7*lz>!xLkwVB}UO#;E#>>_XD5*)^S_h>MKZGIeeDNNwwc@z8Do z{BNHh8vjPAlx%QM)c*nz(#}^C4>fzFt%C*~kQs>(TEN3gJB$rPYdzXiS($~6F?p1y zdbBbCF6|GG_7w0QE?DJ}%H?s7lvqai$0<*nUTiq1>D%df+G!5sjaBos<@;3gXCA-c zOWr!>k0Pf^1RR1ExL&GJ%otdy$i1i_&qdFY2CyN>ci#o~s{sEKKc$)K!-5Q?4w7*$X z&)piQr9jWut?{@uZ+zeKEkAxvwT|wDvlg=U@IzGz_td9urqXum0H9Vt|)Ivoy9 z!)JavyymRd`L8IAN!iC}_(HHMWPuQr&;csJMOR&Bwa!`UsxFsc-)@rtm0HK(MqLvy z>cK+R0WBL?6GS>kjD2j^tkgPYVhO~7jV_&JMJ_ZR7VKBSFG9;qGkNM2pw?A68|#j2 zT=qx(m0GurTVmgFweIo1|DBug-t_V{;XQ6@{mH-bnfJan(gJ(wLv#3+Zm|VO3friz zfH_`ujD2Nk0T)C~h1F{0w1ujK8Oac&-f%&rbO<$NPu|*q3?WFh;euNLNX5eh%*!$o zAxO3Ef`=_h*s&r;+=0roJ~X{`7euuS%%&pfZhfrCw15k47i)r7iHj<&c5-=G#iLp; zf^0{T9|Qb`bt0PJsA{8UC|+i95y4HOdL=y7_|Dqhu5xBmrEk_#%$4(hjq6*^Y)nSZ z;j->*8_-`!P~}21^2*DqP%hy}s;nbG0Mka~0bwgg#@X>1N~P%550*}|lm`{RFCUOk zOxnNgtdpkTyg#3Zyg#>Ne?kXfP4SqZHpy{(=&Zx{sk4nSUD{vrva!D;^V25HdcvDZ zmiF`%f<3>tRYsb&d|$5ewcq*7ul?-UtdU4T;+LzF5-CUqsh$Tstkz&ChEl>1Eg3r* z72l`cl5>@yBE*m_3WBqkMV~B~bCvM(P%;<6qkwXqbKon@$;wx4vT|f90Rx{Z;@7B7l!8#F64f|bP^GL4nR8#0aA;tiU{%Hj=~#%%EhO(Webdvc!` zFpbtl;gSW50gepGu4MZyzLra(+iZnPPTzq!@!BO2FV!W1DYD6vRY0GYNGSIky3oAv->1M1ku(Uoc6EWi;g zHgjzi3AdS}mQd}~;|RR*7}oyga_$_}eZ^kzVg#`^68w_lb{r&1>#G z`Nk*^dJJK8MnF^?c*AaAQ43Lm%+QX~K?r9RQAZ6frlKh;mclE#_}UU66^#oXN<9?~ zA}v&!ipB-^rBDeHxfa(tuEn$ z6E)HU2Lq~tdT>=WLqE8(WSf6`PFUarj`gA^?u+NSAXg7A9dcq{U*c;C6s9=uos64n zDR;>IFQc6VP`W@fJxS|P652QopS;*lcE08J3$4K0gDLE>Hv0qod?xwmKa>J;)bmO4=(t zYO%4xP?Ahf@&%|al}dMyc2f=QU2@uUcUeDo*v!uzHuH0b%`-lCSS>$y+{_j6=DEAX z_M<#^Z@c!vyWjF3D$~YLm8Id9WmyK`j8vB4vy{s++>Q|Hk-23BVjg87mbFi5xI{-K z2pZG=h~Z8dSS$^!@pIbw#p~7B{Nc?7UafR|3@ol1R@Z#P()E+=bimyO%B!*e8Y7CU zhSjyisL^mL+iCy9#X1w5t+yBJ>i4f{1oH8@o%YB1W^Um%1~yj?#=0r%$#&YGiY_*_ znWD58tf|~0z{a;5)Qp7&W_#~GzTM=pr<(-TxpyC1MHr(6xaq>&lxkr)(55<2>(zU6KJR)iGU7=?; z<*xM0l@so}wA@AJD(St~TQu#ia90_Z=)3Y3=~%>a$a*fKT;BMuy1uWJyF#z|qkr-9 zzU}8ex_He>B;o)Vr^wmEH$iz8Y(tOdTtzJ{*|LNtY| zz$pr%YMs+8w#7-4Se_(H+5R*&X3#a!2ma4Hny> zm+}G)nxv~Dv;fQ`&FvO{+3wDTaY0cMLlas_(e$<^@19f~Fb3KhMdOAmg2p9RYeZ&5 zGVPZjabYZ%B-8VIu{|!yng^6721ltMnk3T$d;!1$22Mb7w}Qvqu9=?Ti`{NPiWwXo zMD6u2I`J`rYx!a5)wbBBdE!Fj6@vF9yI~E6pH6b+Bw~O><>akeCr{ko@Ji7L%EW9$ z1@Mb&uaev02Exg$ykdBIck317e3AMnU^9BfRa5~tw?2Pv$NA%e9Cdsv+@Pi?F(}Mc6idsqVMx%9rD+XYQMmy{p;hoqb+7u9G5> z$Jgim4KM!VfBDyswrDQ48!1U4GuCMrq0e9mD)fr)6(i9{s*zG`$kq)EtIC<;!C_TY z_I~KWSyd^&24`wuR23CmS@8fADBT+VZJT6hC|nRuwvr`dh*)RHcFuspm13HZO9D*N zCdhLJ6o7IYo{1S)Mi^>`yEolaYCP{pMUiW=_|iM|(<(qVIg+g(Af?z~B7j&-l2{50$7>Sv(D(TgbHPTwSICyxrNpvNV7TS~?$s zOVp?&I0`|kL>I(tDx~|N8xWJ5x(Pw5L>B}}ukt{mT#xF5w6_QcEem{9i7vQf1wo=M z%zII76Dv|B7QrpTF%z_|^$?^=EQ06()D@S9y>-@b z5)%Vea$%DL<07Z1iG4r9p7vIaS?y!uZKSuYoQ^s+BA# zDJc^St&1TN)BssfGE%W3YAz?;()W$J5g4=e43I$&yIeJv*`Q`J1(IUD-#rc(Rz!zz$< zX)l;T#h^#+!waeDh^jOHry~7Kn)2P%-q||$!)%?`!X>PFjcYA0?>y%3-g$A%@LSWH z4i-0h@Jiqsn z{&!WPqadglj~!LguWErF)xHS(4N%_c20Q^26$)llwadNmW9CC=Rf`@@c%hOwJqndj z7R;*FhUT$u&sNA`Zb(|5DH+;u^t~q{%mWB{r7+N+-=DTBUEBNJ@XkDvXK&kTWF@|Lt4>Zs> z*GRxjb_lR>pnfCgiHc8a;na<@^CY@YemhKpG}u?aJ>Q|-V$Xm9$Pm;dYvXZ#lc0Jz zH>(zh`0|mAgD#9xqJ0R3FaJO^oqfnAMTKu{CfqEvf1$aW7W5h1Bz1}uWXN`uF&c1F z4bFj?NE!fU0>q{WC8baSGXY_gP)_&A?X5c1Ok9f~5g?2LU;sb?c;o5krQa~aQ38xo zCr0`aAO)3UP!NC6>e!)w-vY}qEJ)c`@Emb+ProN&X$G(!&tHD&+ujvF>iLJ*e)^er z#mwR)93nM{Ijd~J_u$9y&0{$a@?&+KgGy!1x~Np z!e@hsi7Z4bKya@E+p|f^_Ao_;7@*axSh*|f1?;*+hMW&mAG&f^I2J){9MT@GbCHeV zRyiLDlcZIwSIq9p7Q_#>;n(<*lb>^jO}c93?U=IGVk(FzIN6@W@=H=uD>5JEB`M~7i&3?25G!ketz z6>}$fH4$jHzdhfeJuH?_?VVzC7VQI$jY?`=OrLq%b|KeGd7=ZE)C zbAJ98LEY+NnHxA*7pWRhG}RjKG$L{kpM?^D5l4C}DFF@Hs~z%N54hGcgW+IYmsQ7v zqP^1od~lKyL5iyyC?O-m((yISiv49f?T_;%w!)Pdj3zo*^8SKwP5akcOI(pyPA^YU zV%WsMwbPZDJ*uaMZBv!lwB_57gG%hjKIz$y`rD&QY>CUIDhDN9_%C?`4IMInNe8Fj zWF-a^iuz8VnL!q-UNI}N!Ra?yi513`zUeoW62rJdaYT{bvtqL=lBeF1l^6)s4yd@m zA|8(&cJ{2qhUe5|CANEnIW>IMi-wR7uDn!V)iq)LF8ga`|Ii+$ymCwD1cF-EO#V04 zi%MQ--t)o^jhG_h?0pfmvv;oJqaM_%+yQj&#(s2NPXcUc6xs0N-*33E%Ej_GLqdL$t z-689^7{`SHxXSAk=a_X|A@>GUr!yxu>$u?dUTivz@Rg1m>X?_5K)F7J+Pl*-KkBGa z5r~4QKDd5Ub=B{msZ0(+%Q~)u_*cao^I}`pEUe2{ojumqbDhr0EBDF7zflqz&i%BW z8tv?-y^8&`IlX>&TvMiJMqKIE*PYYr=Si>sxi9{)-}pqN*A>ClL9r^b2!RqvDb!9G z)XRWZj*~POn{Zb~7&MU~NLyM24;+#SUmVfGx&?mHmb&0^CE!%zVt4EI(6ps42wW`F z>%_%ks&I2?+EN!pJ0&O9v5!_u0QnqgOI;Awn@k{phwe8<0b^g`SJRdjL7{FJ!Igzm zAt<)gC68E2(j$o=ULt&YFOpt&Nu-5YI|sFyOt6xWfACWe-&srs5rxa*Q| z4mfp+l4we9CG+h88i46_LJU`ew9D5>((Aq^yh@foc%CQ(v}@)Y#EOC>n~<J9-qXXlC0PVJic7NO%+r%3_hY{Nu+%}KtAIt(%ONw=4l1+tWRJNQZcim9QPN^hqn zlvMas1VMIN_&MB^_@uwAhUT7YVRhkst!o>bKV3TV9)Z1X}mFpY})dziCFma+b*^cHsJhJ)lh<@j3BOWC!(%3=RaBsJw|{ zh!t}LhH>(2X+RJR_0)!HIReA<((7B+as)QmV3s4W!3MJ&fkDG7fztt<4QjCB$J7y+ zj7DKRd1RTp4m`zb_yw|d6G|@81kp&QBmss`@02706>+I==U`T>hOfCD>Tjzi_6;|< z<@+<-;8xwBM`&VTCylk-7Bki`xh@n=Acy7>R@G5MLa~6W7$8QZvsN- zc?(QkmA8LUKxp#$&|Jff6{`C~HF5@W)!Z)0r;MuNbCy(lV;We7y;IX51&26)nue;m z9lE|YP27(2m-U?X zsaJ~NYDxVELC_5)lu)_38w?zwtAO(a-2U=Ij4YZqGWfA+umKSk|Bsg^unW5i29K_2&bb6MD4b(od}aHsq#aF17n<}qKhG!oGuZ{izX zR@^O>X%&8Thoy`PxCknUj%k=Krx+Em3mO*{(=ZDIsl=h>CfYed3&DsmyMh#t`m`ZP z1?(&Cw;Sz@-k~c)qk|9>t8FWSD*<7K=4*@$HUvch`;r(TXuZU1PXQ%K0Up?z+dY~S zEem77mn2D#$k#*=!$cJ_Caa^dWVqxbd{lr=lCW* zO_8akQ{}ivKMLL@)P?HHq^=bF8E}x+--U_0Tl|WoPSOdVs$X%wyPTPT`&n`PFud!&CJSw z%{RR64S)NiN@uq&)X^&?IfL#ZEz$a`*d}LecHnlYaHkypXT5URuhCdT1++mG4q5;i zuLDL12`xv~D@jvr4aF3KS+4+;%GpMEj#n$m3*{BFUP1GZmS{0T51bP9p>uKuL~}}Y z3|Ls_SEq*rUb^&DaVQ_?Mw%7n@v>7!L7KcBh$8TC8r??xnmRe-6uAgHgcUho$tpR6 z&Unr)XR?VMTRAl}Nl&VZd{A=TWWV))E1z;s#lNh<@&2!#=>`Qd!iQcp>Y@&ihbU^D zq3-N^QV*4nQ40;Z_>`42KgTzHpG=*$fkIKID67*ywmWlyY#)aquOPn`BB$zBq^J4< z17}!k0$O;pw7D+n_NK@B0+Ft^xpO$97IKngdal8h>@9$+LwC*eVBlY< zkkB1ThP_1+0l)NnU;qnhbIsA~d$a&s0AGTwvLD7hn*I`CXowen%1eNMvzGw0&}oFV zJec^h=Ow_u`b)r%LoWfo_m_YlJ1>C_J+Wh5?QY>E7#MV-kz7Qf+M1T!3SmS}W|jr< z?1ymB$SDPJQW&Ff8wGXro>grdI_o0*7}*X>&cPnptwApv?LqiK5U9dl*-raQuU#CU zlS!(o)5xF^353&f?c5QWBa%-?QdaSEKw}K1;&l8i$8)3|l;^nGA$u}9za#B`yx3G# zH<|vCZkTMx32!zRo80?{i65Kl_d}q%ezKkRm%%7;{LT7eQ~8A(s=$2w+AnP8uIS_C zj@fU();I{bBxB0TrHNR3Fc_Qlc37 z-!^3`4~V5VLFK~yFKh=$$kfKgit;3Q9#ole`qR3+%YNu1pdRAmZGnPUh(@d^FO#iE zk}wDowYIUgoe<3VUo;dtylrEyTL@P9UxhOwqr5{+PmF5_Y6xM+MgfdWJ7kDd?zI?$ zaDpjn3R`qp)C@$TR=4{MP%%Gld_h#Yjz1i8!T3*&}AHs2Mbni5O9~ zBSve+7HOv3gDL_&fpd(os0vML;89PHwnQ+OZ_iV{eeE~B{(paOgy4#kcfY|=^QBb*87nJ_*jMH^xL~v@Y*rnz9vUVW z%nj|5=*@_I`VB64DD46Vju2$tE)LBQya?)W3gSO7yRQ+X-{6ALEU@2N^dBqIZ*aka z>qn;om~`ty({Ct(4(o?tr$Iyfh9c>i2PC>XgV@Dya7iSVF@O->07zto=`DWuBzv4J zt*42X0)Z0iXfql_$Zcyx@;ORZar6Xau@F7g0yhS}a{^qsPbU725`!gYxJp$G8Ey`W z!YNs)RKa10I;I*Se}$=UQL3$}YN%<6;;ORCQn*N#Q?|qMbu7P(wN582)MaUZ*-raQ zmAkh5Rd#g*^x78DB~|8@7bq&`rtPr=iV9cPF;*-)!^$(EbVqa?b3A`{@x0yg5!Y$9 z%j#84ah))EZvvU`a>3O2Z~vp;|8M^f5zlLPqeG*u*AcNYkqJzVue)=E_}UghWs8E~ zVl7;xxdoc@cGWf3UA$>1mf;u(Yx>N^jemX_s9vTG`P_(+biqyI^T$-vaTi z$%!~L?Xn9-D?3KiwdY8?Tm(xiJ8)VGwvH9WF1uv3vV%WIorfgJK+7egl^w+hO}8gW z)`*K_X=PunQEs67kR5}_Xk|y8 zyuCqU>dKm>l^s*5)FDd0DBq%JWk)}zR#;qelJ}xsN0b_L+Z>rRXiEP5dT4l$H=%p< z6&&;!rRv?Sc?awx)w^}CelmO>KK~a^OnlP)=T>&oVQf~?bC@f~k5w!C?7iHb_hRa1 z_Fhur=i%jb(~r;Xy}bX;ANy6~<0PG{u8&_Q+ec*1LH|ZKr-wEtn@4aokU5`){Vr`z z_FiD0Shj#52Ef$zsWVOz6#UZPw2_fv*)(VG1r>F20I?BjvuLe-HjQBL14A$c!9LWw z8rge60~pb00u|^m_Eg@>_PBzfwouJ-1BX}JSW|~)d#c~R0<#qpjC#VzYl0%; zcg;tn_+Pq0Q~a;BgtWsvPBKUg9=~ytfB5@8<4b-aXbLngZ&S1&nS!GY9Z4$pHvP%h zwImiDG<;Y%6xfqHPrZf8)CG57qY~UzALn~c(H3wq8gM&|H#tR%a113-Ima$Jd`{7B zSD+B3N;zU8MVooeDOzeVfi$sbsS#fMn4F?50m%XSUW1$vtWvaSl4V($tTQW9XGxNw zpkE-=UcuWVk_)p9Ns`L6NJ^hEB@ZaGHko8ezqzE1OCd)%pxUiuzCD<6Y(AR|C*4fFxugchtNF_t*S2t*b)+YWUa2GXH+M}vmHMql+uMgcs-Iu^j_v)<$ z4`_GYt8a3L?$n=^&ZeWBKty8Y#IjuTb+bvyM4Z}#9uvZY(9|J{|{Nr!_<&XQVkpa}vIa`fOW8hdHUsz^Ef!RfoAhre)d(Xa6vS5 z_+%w#r?Ic_t3~a*q^VP)^hv#0FDX8>O9Ey~ib~+o8D+GRRQoQ8lT#IqVNKl`#x%-B z60_Uwmy_$QIeNZ5W^{0kHrTn6oUEcni>VGBo2-;|;f)k>qcLSOFUrq-GJ1NCJV40- zI`%hv-R&rmnVUx5?x>4403Y!bqUxwc(Nrc(uRL@yu5M~`fbfmrJNAB@s+{LU+ubGF zrrn~}z|HWT^T2oBxBt_B;cElFqcC|d6B=k9&{MR|h)G{y3L|VrG=5yyLDNIEBv!~f zXojekM2)zhM=5<&OQJDM(D1>`@gW9k&QY8MUvY?&Nt^^1Ji_eKN!aaxp=nkWC&2{4 zue6nD6CO{eCr6zGlLUjEkut&fYEdoq+AucsOOETuHIZmT=dFh0E;-putG&HGlG5)r zJ=U?SjZIZ^`ihB|+DOT_0VtW#JEt*{4x)Dip95b}o?nTW(pwBG4fKwGJ5wTQm*nFy zoYN6MpwIvc8n$fX_7+OY5cWUfd zdY!?9AU22Hsu&;92xg-U5(cHqMtE$@<8GX^`sG#(k**SrASJq1q)iBBql_Yvrb5wA zYoy*Gn2j>LMJyzxDhxZW&T-T(mQYcR8JQ5o%K2tBDD#1%LUOR#7{&ZmS-g?)#jTyB zY$gU)u!I2rkx%6kqnTuT$`Rs#lpaba>Yxt0uouTA0nzO30dlIol%Cr#Er9GZ=^QBu z{Bd>BA(`Bk3*)h54-%WMYfn+?gMM_(svrp#9Gtz6j*UAmHmOKDVay)5?Dip2EUusD z8vd1dY%9zfYmc6u;0thiku@80iPoI>i3CV0p7??$WE}sU-G#t&!zNuK4g)K+N$i$VbZN8sDOT1>oy~ z-*z`~9m`T{xevZsl>`b2#<|!sx(mMe{L_~&JbdxtbC(aKMU4(73kjF+U{qN)j*EL` zU+>GhZr9?Sa-C=2fnCwT^%7Qm`gutx)csuVCgLZ)dwBUEp@F!cAFgM&@>R7LPgJsN zvFdY|&%OBE<-=#>_sCDX;(ax-7Dk>Ko97<0W=7PJSS@YC6MQ~`=e1$vM!5T=q3QE2^ zY(C=-28PYUoy)j`{hF0I*7K3sYT*$z?f@V!t^8xuL>*TFJW z_h)nDU~ZxbK)NXIoGo&1)Jao6yNf0ehfBXSzL|EQS$4S_M@{#8)+I&10)Q4m7o;*B&kgn)Mx5K#!x|#J*&!xT_ww${zN&w$tYL3mGP{mlB7f?|k8f zB1Ogi(I4|oU-UCECQ}=*9a-Rn5TM2a>Xcq8FtYmg+E>um%uf`-^?I#>$O5R62|;QO z7u>HvmLV%{z=)maihvbSvqLK;-SjL- zgXceHyWDIQ#SF*3Jx58%`-;nza$fC8Ki;e;*%K247?4dSEEl4@&T zl;!7XDhcNajnryGNe~xFze*T@DP_m%x959=$Ab3=YoZCFUh~8kv#$;$&pFC4o@u!L z#e|T*nEW`-tR62WG&vt zRIa+#1|n3D?KnO;&+%Nv`5#W>JZ~uATMBfgG*8zLoaEKz08uzN%H#OtJg-6>p&3u1 zhHR(v$NA<^2NdTZ7S9J1wHUvD!|m9AySUio&~CH8*y8)(tcO5#!m^$A7moIH{zp`o ztNkVTiQ{j%9s4&F@cMX_P)V%LNB1h;2?z@y#p7FY4A3Ubr_wd(MS^Yr*yE>~6zg9?HVa?G8 zQ~w}IwfND2@6k-!0R@YWWWGOxtax=d;q|n5qsCcX%x?Z)4c^k6))Sazb;n|)z2orm zl{@Xer)8zdUd`Qv>R^Tqk?pV{lJ!rU;yQ-W5U0~$+?RRU{hW-uyJVc*@;Moo&>(lm z4f8#J<3}(5Y&akq^tl6KAs}MJBlB0xv4KFn3H@_24kaSS-thL;YKn8Ln6o{gW>ta& zWX>>_uFfDyD54Q9V6+Ezp<>15UU*mXiYYyTT$_-56R!zl{kv)I%-`wD(v z&L8pOC_)yAzD_H%_AlB!KkhNBP|g#X5ju2nl}25ah_n|e>aNLl)t#}rpKiDZas{6x zmdFl@g!%j`|EGS86CBu9mDtPKgBoC3!%H1ps;84P2%bNPJVW0np4BiBZ^MhLJhv%= z)FfK6-fOnLM99d(do?Ca^Ip{sIb=i%3uLshKX`9Xqp(MpYEC&2b5NxmM%)ef6QJx* z#|MRf6>@8qE1iEGayP|7y*$ZXNg6<4kti0HpccYFXhu+5MXCKL0>-CaFc`6g6wN4t zyDCiaxCJd~5IrwK*Cn)mR9}Hh8b+_|JBXUR9vL}>Ck@!g2`sOWnsAE*=waGzJgz|}^&`wrxy)6SvwMrUgJCE2W8QZVyn1);%K!L*ANZIr2o$6ia@}1iu#qw^ z=Uns60azic8k`Hf;zMAWK-KI?2*eoQ&I;y`?uwt|5p!i^MNC*XmnsD5uDIY1EI}}a z0L!2ds>Uz`sj*yea0WY-l?$S_c>N4^aaUXt4JbNT2d3K!IdN=pS6tGHLp;m9a4H2- z$54=yA6u8&5Bg1F1STdsidEx|La3jrMloAL6pe>2By~Vwce)0%=k&HT*tF$)HP}CQ?_0k( z5Y)O*tHG?IgCCB*SFJnwi>C-`+X9i;3N9Y9BzTo=7*YsU8tk}Yj|f%W0eoS#c3=+6 zR}ADCNQQ?r7^X8QqX|GJR_KdEXAQ@c%nNApvX$7fi+n!}RtODDl zGo%LTFyyS#4~9SmDUt2izdQE|(#6Q&YN1~67#W!tzT)q{;RH7sgKJtXn$=ixle@Mf zYca67D+@!PNytV9NDVsPbd!NgZWK<|Vb;$h8q{X^g}%uu3`ATc%oIWELJYx5gYAIC zRs9L~wmEdAz>qw{Ia1L&_i?OP8JVq2vZ_-(v{0Q;G|HLXWK(G`B+aA8Mci*6 zUMO0sPdlAogTd=VyH6+t?e0l0v?8-ZAt98l`*+}6RiL&`W`NI8Xn;rU-%-RwI$>zU zN&|ID64Xd>d}tu_E2aHsH`((DeQxr-Kl&3Nu^Y>sf}W4w9V{OTd+IPodX5^*vvbyg zKobf4mAv#JNDbzK=q_XsjndI-)y%TiA!aVfmIrYcF`Qfa4dcin2B= zfE*jsyqhJf-05MB`npI0T&~siI7iQT2m)cD!Ep%kd3E)Gc$``cg7CZBL(_D-V3f0VfXr5UM8Ud6Fg1xA4MrTgR-mrr zmunkP6oOfSmUc8KMWde&!CHZC02C3mxII|kNs=Ty-8SI?>|rmcY5`WE|CzOSr9eSs zPuP)^lq{E=*35Tjhl?$}LBJk&CG+js14ShHX$(EFDcfnye1Fi+t{k{9a8e}822Ok} z|3yf0XulE20bx8D*rheIiHoWqCSrBWOuj`?_S+&>Xs=SfN0v0g^;`h&)kum@=I68Sc;$NPt)*ODS>d&4uxcB(!$PC?)Q6$XDFWQ6m@DYI>GKt&Ul~tKLM1iev+5 z|I$rF=og+x7t>__bkkIa?Cw29QgFdCvY zrbtdf)G*_an^=$mIVt0SMiAr_k}*S5k?2{%-qwqIZ`4kau->vA)(c%yRmO!~RFyG= zK%Md6cI+?KE^Ugh5XMkg-~6Gzk1bfgf(U9hacLzCK6O&JEq`hbe#SH39iDmGEouX_ z86b2Xa)H0|Der&NFO3Dc*8B?H(Gx&sF8~>LhNLTWEg_BHSiSz(47qa80WFHNYEy&&^bnSWym5;VvV1%W_;;4ZsnmVqKq_Aug(CES=M6h(@ z_vPDzUxavBj=r`UOIkDEpW}-8M*5N%eZUYqybr@O`_T^zblIuox=97xs-Hr;?*Ew^ z;-NE~mty)|gnw(N#I~%spXEZgCUCMBUwSY~O_;4=b8l3OKeJIlWlG}78&sikB0~I71Rvfdh*eb%jRgnZSx|G>TEUeZvNmh3U zOl?9)d5rjq{ zcvkpnt=Qurm)o00o2@yJHLv|YN_V?XN_8F3El{%MCJx1xCOs*NEbG~(43D(PNzf-P z+b6{;Rqk}a+wNLHO|6P?&&iZYGVU8qZnGzSM45K_N~Y@SY0LNN>No$s-}3WM$HXjM zsH3ZwF#L3`!NOxe@OX8zHbBja?kMOaz|2*@xy>|X#T%i!4l|Hj^_F$P5ynBBJOH;k zBm|YNc050PR=BUV_RqR{hw;CvT>vF&%|zDKNO~$i5Mm3kZPhDQx*F3LBvlE2w5!=z zGPSEk?j&b5W>;lia#XYNRTn)_KB%r+nRi(uPxZT;Oe?#EGEpG|4B1BJ%JD3kd{uaP zxKREt){9U1`Z0MzpWP*FBY#vXY{;1=*E%GeR`{Suy<31KEE zA@N72DWQ&zn^R+Vml~UPi&{G|r^e2c8vAelrR_icp|G{u$mq7#+8S?VgthZ-o-)Fk z)+yS)H8tkvcyQn~8uNwlCkxWnx?u2bqLHIrk+#+acYw@E1rgLd9YNaK5CnZbJq*qP zqfyR>mbj=$BE2W54wMg@CU!(SOpUoD(p_4ME<7{y>Rm}_S^;(+iYw|yT&1+JyueS4=6sB0wVwlwc-%7NvOP|W3 zGsS(l))DZy-~S>cZGrMqu1ZNor7ue5DTJ9h3rHyBNyzrLymcz<^HIxNGKnK)Q4y1$ z(%U4KKRE*8l!qfAolmDb0`>-;>Ih6oAkZ@T3qG=ZYs6S_`eU#Eq08@$$V$(%Rlt)+ z_ymVY&t#rl)dp&v#Sawpm*n_oI?pbVRh(R9?GXJ4yd9}YYj_XAN&#cqnV}w`ftwX8 z1&lrX{b?-j|Z~eRbu-WDso2FKeovFIg+}&NXnGsiAHEnk)9_-`hWc8-}4#&;mn3g zqy$kbIYm0Np^}SrW3PGM@AMki>D9@1`qKA(@TqT()b3V55>9QUTNvYmeovGI z$ynvB`1TcSIPp;~h{-FMw@bm@+Hj&F$jm|rf}B$Xw}5p@9v}pn+AV@UsRT3FZJ2uq zGPB@<1)_>3f9n(sK}iqEPs5J^%2t~>k4PXjhZcv#1VL0~u&1TNo?=C**%rYAilwZD zE{?(iaP+ex?o>!_TynvwX>82eY>h)DmeiW9NrEN7C{H$^25in!Yqll{9Z7n2PBcOW zsFloj2iz$fdC3x)(@>?O=i9SgtcNr@*b-$SO+-O-}~k*SGiN^>3lK& z+bk-Z{+<8rlZk($R9DgD*ko~0)sE&V?(`#~xF)?|skl^=LaCW9kqI^t*eSSldk@f4 zL0;*TjxZ*DyPGlsfO^F(=&34bPNUvk8r9Ux$SrEs_?$*PPa5^_y#Cw1@b^d5q8xv2 z3XSm(@W&4mx46SKU>tHOL9y-0!7&2l~Suzk_6=!NwO1}q?MM_(HXTANg!td za>1G)H`acZBuP)jC4s@^?Gc8`hU9nD3sB=dF&3#j0^P{i6#Ew^n9UK1e8nl0Zp!^{ znD6-H-}&G8CO-Lh{x`mfPkPYFWau*%<&}U%v4?uZz}z?tnYMguzlcTo1K)L6|Lj-^ z>j+kAC;|-hTOB38lVR+VlQ0}Pad^l{KoE%_0z$H&gdsk&ZBtPG)G@W7gdvVhUoSwA z0hP*uel6NvGDa>4{U^D;c9|P3m4thCcxUcH9&G9y9=U zdJL+&quDnZ=qLHAYR!Cm&|*O9aIU!)R=P^Ex<5K_kympqvxh6me1rC&$Jgu5A&$-E zR79LV95K)jEM%=@b&HfDjU5h5+SRv6Ng!-Zjt10T2u%H`k3QL&hz?eBFxKHge<9(nuG=;IjOD^;%O?--{BQGhI?8QyGUyMg1IPict+}i5mC)5_ zPxOe*=me0nS-(58KJ6CGW_>z3X*p>c^K!vN#z*}0cf9NQFzX78ZkuX=grIGeuw;a7 z9gQj?2%2t%l|&y3&AM%|KiTNK4n@01eYLG%2sZ|~5TsdmLC}fWNKCK} zX=)?{Y1Um3`CE41z)=8~oTf%XkY?QlVf=_bVN=c4V)PKCS$9G3B{6@E72%|`eUf6< zT@saEr5gq3x0Gme>!p}=mo%1n4xw0DG1m`1YJzDW-TbOyO>uXzq~(K~Q{5#;*vX>y z@dwG*&Hw4~Zo~e@fq25*+Adt3!X$)w3>A!2jB)NHl&noEcDAS!RD<_|%@DBGzz z+5lbI%2-Va)1*o|AfKPuzfA&=feaumP)z~LM9yt3sK)-Xo%YB1ZZpv(astCi1UiPX zzj%LXQ!YNa<9m0;*L2E=e>5B4+cCcX&X50FfAe=o1y_li-1rtcIc=_o zK3lCi40VjDU9c2pQGc}lQ~SzdY8Tvbd_3*de$COL3>f>fR^i1GGHqkX_Rv?POKd|eXc zf=VTQI360tQR517Nz4-En#j(hjI3^qeMKxQRi{gWyp*P&S4jDX;!;jB)5*fNHd-xd zgvf5D!@9qEO!YvVJa@F7zoXS3)^=9ZnMOz1@*_s06I4$HhfZ6*wMh(S{?_+?)E9jq zf*FM;d5+S+O2oLS_S-Gpvv!zd0}c%o>C)JlLr^>$bbL4U?NFA*_os4S}T5R{Ui38I2Z00}d* zdz-Wrg4CzB#i>>t2t}L=Qjg7wT9vgW_e)?=L=w!|8pFks63qCTXd*xolWT&gpo7k* zK6Odh8;ur&@1LP<1oL^&M7+efQJvdGOM6 zU-qK<*w}jM;@RG>p1=Ikx4rA)()WA*A-11>=3TKfzB_+so@%=hW7k@vx$1bHs^hPH z=l}P~|0G#-DFJ(gn68(gPgfQl74O5%FJ z*4oC*Ij%o07ere#xL%+Tn8RBg3GHz(<~$SiD-pBJSc%v=$MwimGWUQ(Z`Ms{J!%R| zFsru5%%E;GI2|!uZmPW0d66i%M7pnV+c-zaa9=6| zh^@P_jbHjTANq+O7^@+o69=3u3{#Iwbn(;`zg$B!Chz`YBWzV-moAf?L{$$DF_ zm}`h>yyDV$4!k^}gb2Q3;jZZ`U*;MjTBI~Nj;f@k+hfJ5hPVadl}2=r_N%sxmurXz zVSk|D0kzTQ$5b^$fYp?`7u_%bFx5X>a^C)P*d-G@T@? zyK~$qY6{~~EZd(X^X&l-uyPTjtqU!)&3RV$=eQ;+7`09~L-lVTbs*G`FEq8S8;uj8 zmi?qTCb~;ccc^~h#CPFRa13MXf2eGK5G~(b8QmRsw10RurRc-6iBA+w`F9Va{V&*g zTNII?f)a{n9>YjyIJpyit<$DBw&7jK7{-);PwzGo?&(|R->c23`FDGJ!tRP~_UvFldoFl zNy9H7Yt)wVFOEXz29BrOU%$jZ`Q*!^J zbTb`5{?r~_`9p0@ys0czkYAUCQneCLe5pwSqlE+t&J%7%rzc$7QOlavoV*7Fp#4QU z1K1?d;0oVH)`Vz#^n8!d7zRYRcx_7=OP%i#%B-b@`vRR|>^7s@#@_V&i>A3Qm-UN- z&GyB)EH4he>|wu>=NLl%;_zdBaj=)aIQ)2~7Y9rEi^Gqxs-KR(I5?f*7w2t`%yKWr z|H7im{E*a`jOi$c3X?2PY+#btOF)$~2zhq_+mzfN2;k;bJ7n{}USII}fM<<+xxV+N z_2L3gYu;aMTH2r6;XbUl7kC!Z{;Lb*#q$30@TC2@o%YA%v`h)M-dwDA)&BC@r~PYh z1{bVa?r^c*r_b35(7yVzt9`DT5wseb>a`Teq;_Q(0=`@h*; zINRb4>eceiC*Qb9`tsg=Y~kTlUlHCQHgT=XCYIV@YD<}kcOQ#w(Z3P@FnF-a-Fy4P zXP*@}u4HGzO=L=^yg)^;>rVE|l?A&bx|$-o^lTCL7pOz$7}xDu5>1+Q0!m^q!^HGO zIh`i8aXmg`AnU;s6Dmr}g=Wm2CCp>;U7>$H<*xM0 zl@so}wA_VfZ8lL{{P#yxCb(f!0j zQS7dsr}B2_>rhVD-RhM2aMt6K3fyUz zm}>z4i*$`peull&;Y!I~N>^0!SJ>5+4J7h?%gR7%To4AXg$u|)yL3RA|CSHfcvO-v z3IhvwzMgjn4-FPG47~dLaeUcM`{R7GgM_BEle)|#YZ=Sw<#CHF6Xg-w6q{P<=j$xy zY#E!4LfMM~vt(PP~+k*S?f&)? zO4DE5?r^#A+bfome1o9TwWS)&W=1*cYu-q;s{^^T0MbfUrF3;tFLZV?`B|5C^nh)> zJ0jjJuirX)z_v_Qwj4cR8`lTAVSq+mP^dhp+;Gb@+RHk>aYIpwLHHIsKt-QK`hjlX zRo1ysi|BVt2ZTVCFP804vMc1vb2yB8&#Ll6AyDtn?XT$PKPk7vIP%YXxDZ|zQ?j+-&y-x91JK7uis>&jCc%SPm z!Xs;MNj@g+3+-J+3|eXrk6*S!d$+kwx?`nU2aj8Hzdrb=CFAPulgACszrTTQc5p@s zl&0zCYs*Pe6_aAp^|h&}Ow~Ee{&G+$(OF%n|4jS$Ej2nT43&KcOLf za4#lBBGUOL8mMv1Aqb9gpa{mRN;g zpgLB`kzav7AsH?>=N#1J$PYt?SeYd4BPdXs6|2Y(jML2fk@rzaEc23*k?<>NMNCL8 zNEKP1WgYn)H$W7a;RBA{oD4>N>r_T`$^DLiobi~ii*RomcxP540Nr)DR;c}zh?8+M_pg-ll7;g*YXZ4`H^A0XNPWXBQ3Rj4V2 z;UzoH^g*)Ye38?pTpo|2V8_#Y4m*ykyG?c+J`Xw%?<&Tc?f6U#sl9Xb9}IV+AEB4~ zJ&vxc#T^WIqaX9W0%0nUp9cAKcTHU%`)gnSalboaea&3E9rwBlDn77JFwc9SiCZv9 zZ`ldSI!nxYE?nXNz9PWH$V;+N3|f=6NJPcpg8NdR+8xb>h!ttaU9fb2?Jx{b8P7tH zcH9M{kcE!sR*)Ll1$Ur4({n@vTKlVW*=f7PAa+_(=*E3pl@mMeOB#t9B!Nj%n;R!7 zcDzU;yDW5%kOb4QmhhzX>ub`r){5JLBet3~^Zh9$ zw>`LV)P3?_bRI844Y?&~0gTpd`+McXnXVir!~srW#O2czyKj!f%RrKXw7p#H#oX2P zCT1E=$?Hm{BzY9#Ke z_6e#C#;enx_$&YJr~L4f(Il=TH=IDl25=yX1%(Q&|JbPDBc?D)e-P*OY68L5FrsN+ z@vvTLX_SRTHEkhCd*y;j>Y>Dn^e0>pusmRUVg12=Cb^3k zVWcpYBDmQQYD2*l(jqjfu_FBm7ewzhX=6yq0`{{;Q2Ys(L_ZjNogfLvtUXEbCtPy7 z$5=%j2<4nLad7b`ToRPjn3KgdH!CCePLlK|ToTC$4oQ{)z=lS0$+oCcj0~NMm=-2}pK3V(Je31#xnP zAk^YywL^}&S$c(w8<0G}U#iwA?azz-WjkyvORu?g3dM<&k^qeBtJ*V?5~q}c1zi-U zqd4d=`BEr8JxySb?TF2|aVd7%@~uf?DfXw=zx3X}e@b{JBX~2AE(ZVw6*v~24Mttr z{XZUTsBd4?mBTYocW9?4K*^n`D~D%Dn1KQtN(B^+hc=kX;n{I56M%p#0K}yg%;6b` z8#yJN&6{XUZG)NI;Sh}-Sh5rL5Mbj0(jHVB)&kNEbgS?T93q@Q$gYwN!pSC-%6A9z zcNfxRdcbhM4&w*2V}A54GSQ-V4?uXg=uUY}~41}um29T-RJR(EU(<<3o2o?1h zu{6%M`dr0eAM8WU9_zxW9ovU#IcF927TVlE8&;+76VEIS9_kv7wkWJc-efpBdc48l z5VdlO;(cTcj?iX=;UNa6%6_jTdP|0f+o9=KlC4hrqq18WJ_uClcG*t*^F>da(ji<7 z2d)-6iX&E(24a8y?`ywtfpONGbLdFB_*j&b?S_xI0j-^$OUmadDgX7iZa(s7qXR;n zrf%rC#BkmTq2pn@0TUN;)|l^LR?34DO-0)ljOubFVB)?}xjA$^t~Q*&gB1~sYVtN# ztU|}*l5r8k+ojM;=Rhxqj%dx$#PM!>G}^FOk?POSafgshW6T{Jtga6ox0@qlOwRp< zm2L#9&~Xd@2|*?$ml!@+FIk6Y2W?Ac(QDw#v9a63?E#ZpqfW4J%?_OB+G^rS*7tn zkRy&hl4YR&sg+6Ac<`Y#ZbA;MGebOUJPd?mX8m}k(eSS8EQ2&Y-K-4X-e>O)r= zZ&2G-8gEeDR>`tqeOo2V2K8;eJ=-(r+oI6qgR00=(rUU#x0a^={z}xou66zI477a{ zb9H9}+LCh;#kt2w`@Z8p{%ilikCeu=(& z0aKt1&?ba(Zml?`7^Bw7-Q?U_45mavzOKP5*Sp0vOsUyiN@jaRS9*+FcihHUO5icu z1XGn;D^n?vimCw(lYPUaqM8g(`sNl|ZdI4SoT>%(pRf_|0uJs+Bpiwjy8JL)*_&)aj9 z)V21bpt=vt99KN-mQwJ^D@u;q1d&xifeZO0FsBPUSQeDX#g7e6eNHvSl8D&a3n|*M zNFMRabqCH+$2)5^+wYF z9TdPFA;);!x#>@J=g2LZD>ORcc1mC7DbvyZw@?3^7t)MW%_nv$G+Kh-{BIaQn2TKf z$Qdz2j7R~pp0YX`F{jrR>IzM<`iNdp{Ha&W6`C!82}l~^(EGhHRK|)`z7o?-q@Kbt z>22|&%2#UBAHi^hEY^pv@|7qFDH3n9+_Xr%DqjgOiX>lmpagA8IaR(A*p3|}S)o~2 zgI@faDqnfn08lB(QlM-)IZ#@C+Y!#oT5CB-aSGjgzBxj(=#m&o$CgYSeMv5v`j<=H zqDXFdu_c`hhMG!pvVWkKUWxxw)Zf&GRO;1({nzuYt3~c3*xy_&nu!5k#rewF3%EOo zziFP?3wT*(q<-JKzVZ00QMJ@*q3#9vSW)m;Bg0JNzD8nCj6#eRmFUuDqyjV#XdnfF z@K@Uvf)e|?;FkUQ6vX%HSA?L111^Z6s}e24{pgHmmDt||fwCk=Hdfp$4M7?!O6+ff zN3Ai!uRyQ7+QJZ&*xv+qXcbVf=fYVBLQrCVKSvY}6+gOQ{(;st1U2^elSDQ_u%0^( zOKBwq#b%OdZ7_dfqgjG#O^N+oa=#>k6SjThm4$Tle0P8tW?uy*7Y6Z9lKJ)k39P?} zqz9ez%=ZUw-xfC#Yl3=Iov*ZJzCqCl0p5r9mMcw;Ur%6bwF*p1x#}vN?1N~+;6{7~ zx+}`2YWZ{K!v5vD0doDY6Omb6(i~t?3Bo(L8jIs?A;hK zcAc!mgyk6~yV@C9zKy8an{fHtzU8xC3Ok?-B%T9yJ`%+1RA1m>!Sn!y)CXY;HBAxR zFnECA7WjY7afTojjSD7DPjB=NLFyS7w0Q*#M44#iy$nIBnIeeL4N5M;#wu_En&3xfP}sqrNm-8HKmg0urg5aTmdZ~-2g<{ZTixFou<78+$?0yr&3 zK1qoNU2?l$fWnmV7{cK8ETwqnk|1)%LFMX(U3P*z|)rRQ^23_XTJ5feRCAy`T;D1OdEW~9UKCmkX@%F`8b-#?p5@f zyCb)9b!N~|0sD$a42pr9N#<8ThoK5`Um6u~5d;C2=vB0GnMW9hrUG`s7}0~`S=*pR z1zZHnh#qu0s*f2fQUSYQjOalMOogx*GPmKQN|`NuRBXZnKON&|5ACTca?Y9E zUCwOUEo#lo+}m`X-lqTbEC2CV|4PJ4T50xJsem_-l|cvuFdHT~(YgiDLg_MHFz_;< z&(f&DzA{=27eo&f>?v(J+JMy=4?)Sv7#0N(5P;_)hFU0vl$k!^r@AAPQ)ZKvZ%rvvWxj*HKfu1BWq~-y z9I=5_0)~h7V%_Ea$PrtKD#60sVLPcJwxfrY)WdDGSVwF_V^zfr_@x}2t14!oNDdBG zWy;JY&o)?9^;@@cp{gvjv2OR_D5&8=-^r(}wR^r689nfwU@X0l!j-UZ&;4Gv((je} zD$;8W$fb0H-BDk~RK;vB)QH~iQ_Q>fUA^VYPvKeWsL`BCyA7Ttu*y?Aj`A#_POBdO z7|+rWX>C@_gPgTlF~iN&v{x@>ZN;;M;gnkaK7jM6u^yFTHjE&-n-*}DYdcdb<|TRp zBx)IDYN3RU$0?mAy@>_StZHs^I zN8e+>oYeC%WxRQaM!jxTvkmQjQoyF+(-^b#WlsI+Lc?`xm3g^ZedWaE|IF!jJ>R4E z=W%AI)wxlZuiEP*$Szb!B!)WVe!BFIL+__=clRbJX4XaA(-~3Bof68NADr`pA09OP z@Bg{)f9-0tl;_i*5ioQrP?i6&Bw;<%extqU8xjdZaI6sm22>J&#+MVbfDSgD;FYG}vJ0R`f?`MSo>Yf1Zu!Y&<_ajOUZzzx%7d zG;TZv6-S#$s`xsVtBt2+RUz21H#s^S9;UHip8_z*_OG>|h@)&3oF?BugmTWu+ z;Vs#C4x?Lg@Q%iN5(mP{*-TT(L^QbstnFLfH6Z#$M;l*v2gp&+B6N8!aew@eHz4lW-@(+Ji z0cq*g1)>K`(tPnePv{3fRMrU$jNPVk?<%Q0bZ2 zZvbqnByZ$^Xtcjf95|=@Anv00UtQB%%52L(y5@BBcp%}W#3z-;{DR^lUG1~K;)oeN z2EK}2t-xNLyM23qc%+;@TByOI?S>mE@=`6;pR*=rr0N zwS9GH8~^D>YOAgx^zEpn}@FccebQ&dSzHrdxS?qo=#Lc zAq6iH)wJc?h>9BQ@4o$WUw=xQ{<1T1Ax8uIKm`UHFG95Tbp@$AQe|#Yt7NX0S$VB7Q#GJ8>tp6=4b#^UN&=di@IO4 zV%7A4Dcqz9V|lV?;wkyE>ar1lbh6>4m0 z5To<8>8t9U$ZeAKYB{?R{o8!qY;u*9mVOs>G z8nq=k&BSbkAWd}<++k8ESKNXnt>u@LGL_LBV*Z2n^MjPxrl`k?Ql&CM0B)2KdW)qM zh8u!XMl``ArlU$@5qe<&!J(-RL8&E};2xt)AqaMm!v@%+T2RV}F6hS6OPzT|ZPPbN z8-Rf&#b)OvN6o5QJOk?jwA*K0)s3&mOXz;Ry)ZpSEt|^bYuU`-^Ycw*Vn42uOsPj1 z{&$WxULnzjy(A-gx)W{8pxnCyb5Y|Qf;6Qrh%;kH3qd5DvOp^pf;6Q?P=T>n5u>*?cNT&)r7j3+g@d$}9+#xF zu2-ZfbwSX`>9pMAVQJm3v7(q#mjn$1h;Cq=`!1v)a$IuDejAZQQ>$hd)0&KWT@vn% zM)5}w-e}e^Nirwll7?G`|FT#Z=S-5!w+D01)r$a`wav6hlKK9itiwBwHPP0iD4!&m zZx9w@%QGbx=U^OFw;_UbcO%qwFxG_2I(?}!9dK~#AE;PA%cjw|xJzWV?DwqJ{=UT?BM1z^?1~&wy#v4pE7HSi+@;zT zVHp&D!xlt>%6}ItW>;j`Z6F-SPjc98U;^GHk;(^pSPo9Y+}f!VxP?m|FooKF&q~U+ z+5HnFMv+_#v;vtn+VY`xVM>V=Rx6#84&|$`(kk={CMxG8g&(-Izp(4F zy+BT)g$9Wik&L+V4kjaxz!=nG(RU21dlHtX^*Vs%xl^x0uuF0ND|j8JOsWv5R5&4e zdE9HbYohWwCvkT<2~$%ezS`^v+>Rsg;8TC`o&Re%0$OWvN1&92S8I+t?kCU4mG}c{ z!IEk~;v5~Z4C8GL>k=v}g5W|5274^6sfG}wBjAFE4Z|K7L?HHUSV{;oZYhGL|95}1 zA(A0TN5BO+uu3LFVixBh{UUeJrW#Ozv;}rL0>0uNl?P+bT1l**e|1%f2kXBPcVNZ3 zY7U;QT!}~doogb+g6z&9%NGW<;GlAaw!(ZIN1tT0lQ}kmk79|{{+<-x7n5E5fh%y~ zmleadr^DU}N#cO1o-6TZti&V0XF9^)z5Cb#MmqX!>P@oibL!can3(0vo;72H@5uF{ zKk+zs|9<{G81O239ALAuN~RIVb#c zG8+|h4%$NCu|9MJbATTs%MiD#CA71Gr;tVwZ=PsRSk8d8aov(pTCAUiRy)PMw0n_?6c` z|K>M*bn%Ro6U03u-NI|RIkMM@bB8d5L5zic<$y+Je&33IBArYD!I8N`L3h$KPKcJ* z4_%EDQePqtO~dPgrEzP&LwMDkBkisW2Hgn?5n9oR6-7tdkAZ_lcmsSbq%_(?)9U() z@P`zg2^Nv=>>W{?z9hKP&3!w_RE&y2MHHk-ZVxN9&j)yX?ZL$}@}nbnv=MXzeZ%(V zXcMZhxuEmH+{gy}Hq|8>wfSmQA9(kcZ>AiH{ZruaFmzNp?u4k%xp>^8zSYVN#cz7)7rEH%I8FjmFrqnEs{3gqkKNsGyS7NwCU*M5^3SCY6tUb?U-ku zeTvcr`kX8*#55^3oUepr0cF8G*3a77l3;^y?(8+>Ds6r20 z23Gcd27O=B93miJbsXN$bVE;HwepSsfbmJbqn$_|g(ZeMyWj?=GDt8JSXemuhEjKS zOjebE*0ur`Ox&H>)W|(;LO*Q)6y&V;u%{w^4fm(6cC#Zrpoji>j127l8r~mu8~W6N z@zn>|%A&du)hBdue4G^-?Kt0BY;o~G=>V@mZ^sbKL{)T;8O)*L7Hcap58XDe zInl@(U;C3ool|vH!P>(Ckn^2;c=o^Mp^~juMmno(1p?tcl$lJpv~^h0e;*&5csSPA zXnFsywB}{hEV-+lSF5V=w6}kjLzPc#=a4;n`obVF{eP7?DmcOX&Vc#nA%IVbtv>wl z$uDZ zVI<2N(x^?c<$4{Go=%Y+H$+<w>+#g;aA?~Ubt1lSWVKoH+$h_9)Cs#13-dV#uT~y`d!2{jId0Zb)wy=oKP|ELhTBglgA za2c*2KD;2FW=e4kddxyycFPTb68l7M)GFUPOL{X>X_9HP=(}H7AgT+sPnI{C1pXg} zhlH4__o2g-*B1_>-c*cd5W#E^A)75ZR0wQ_@0(gF@9%Ems+MI$fB+10#fw9XSs8yB z0-vqX*O*PHzcyhQbB`)AAbx+{LueJjYKc#q;3de9f|RV#+<62{F6%E3)TMu&({luX zWgskKqopS4@}=e5AF8anImH`B7VKRK#Qra4s@m%RI>|!K|Hd6y&5mC&U zC}SqWzt!5E5`QUY(}jWbXpbx-BYN4!k*0`2P6?3ThEBhSK7B7%MP{@+wl#nG6HzuX zmL^hkq+s)N+uN3|E@2>ja51Ce%T8QB9fW1>*~8c6qGlm_Vwa(1e;tH&?)f`%>3z6h zyU|Za9E4=H_nf1>OC?r3*u-T5Tgj^^35WLj{IB*<(j;k zQAb28JSxAwcTQ6TH0O`&qBEfrzR0!q)BJ|q=YYfR8Wx!$%BKbznMoQ|EO{Oa1o~Gu z6^;#8So^>_5v?X;c0B=if#XV z+I?SY{c=NcvLHS*7eI8ECsUH=fl&x7r1CE^MNSOFPz9-*P(Yo|u65&H6Tae^D1b?R z#J?zSo!e^H^Se-}RRqNfSke=z^Sg-EdqeKT2;guTLSBv#P|3$o0sS$*0c^E~@fuSy z!|3JJR)SZq)%#u8BV6ZS{&x|J4_c9e)0{W_JHlNkT5?(|HLp=!l`WAntgywt+{_)n`^wPeLHwLBvQ-8VjBL+BNL>m~AoP3WCV z&Vti61qvZ&$bdX1ztHn5n9MnI$3-vJ-q}@R8^orr)4!vc>|5EH3zF5b^)7T&eP8!e z^JgIUYa03%U*Y80oqH-;DG{r@mNHgtjDH@!D8>`&gc}d!kITU?gjoMzgCZgN(|d%x zD|w7P2q9-IfqDEKXDSNeCxX`Yk*v|i?_cb;mAL8xms*B1haxq|NZ~JY3B~n7f22&1 zhODk53|_8Vfgujc`wIop9CMVIv|CPWAUCTdgpCEtiNSC8#B~46y9_xIwe2u}KS*(` zr&UIGg`5cW!m<0?)KYnFsLQj}f49DCuo|cDghP1vbut{tuqrGDa^R978U`U{fBks~ zRf&WFqufff3SCyJsi*vLZ7sr2xQ#kYjHnubck%Fe^BH}Y@?fzB=roCZa;JN}kZ>tk z39R%TYz-VL6MeJlx(pzmR50&BJ_-tEKNfp$YJ12Ycs~r9FBr(J`0>8_FRt;q5zirNIq3iYSv58deuthq z$Z6vk$5akx^hOcBrW5xk60tLU5v18A={e+DE3_x{S{=8;S>a+8q6};@6Np7}4u-_c z+WA<`QiZYr!gL_hm<;f9X#JpRiP)^Pb$|Nblwn@h81lhrje4?EsEis;Xi^fauqQ)N z|1g$*Vq_I2fxn|)d#-ryoHg3$n5sPHx^Xufyc~3zPy2XI z=T!tTwV0{$9jWpcy|Xz;?B8D?_h@C{^O+LQ1@E4i55PfHUjVpRy^5P%rKuhx(ye4M=uhpq1TixChr z0zCIDuqV^tcYL}{)TqGcr`DiM0$sOkq8?Ds(MXYMSll9~CEo}91uSIDYJ}ld(Zd#> zFPXG-@w zVO=ylRImKzmb~lT!^&R#RU6b4#4}6e9w@6}P=hzM9Eccu(Vyyj2yk}J7G3x^?YUcB zWQUzei*ZFV3s9!3+?b?3r8(aew@8YtnFvV4rt2B(^Z}vPr@GMhArbSK1n7+x=!>w; zT39E4A|*YgLF3gGCB41sF`8k*6?y0dlxgaPkXdnl1}-U&MkL@p3RR}^r!e6rCHO`u zBWfXe^Ch5yNr|S)6<(xh+0u8Q0yBp#QZK|9T0Zx!Foy>4FDBcYkw}!|z1^DjORKAS`*5eNy6q6nZcAt4{?-}LrPn7-GS$` z`T+FgXxTow=liJ|0|-pA0nPsH!(_7aneyWcJ{^Et^C;E$2OTa-BYKv}*5IYfa8T*< z{UGOks!obji4A&WKc7!j)IuZM!Nl)iV+gA{k>2NL23*0M1PuoN!D3AN8?d;PPEX<0kz>AiDG0~d#(vmH{S z_}R25YAILxSS&%g&TGr4SSJ1(LR@;)s&EShF0OOAr$@4owS35h3A9M1T*D(X9r$7V z*_n}__nuwdB?6?!8C$cg+cUpnn^^lu1!?5vcl27S&K&2mmyD**nAg8#-{a?>-A%E; zVCluujj8L-lV>Z~RQSSck_sSaoJ7lYdmd!!s_G0>suMkZ&SsdY%#W#6(Z$j>3%3^x zwKWK44)r?o0lTYyf+-K*?`0Sk#!=T0o9X^kT4TF~5C|R(lRocTv6WD;_=C z(+h0a^8Q*qNjwV{>H@P%)Bp8%QSu(0EBL!zkS|c$Dl~_)iZpe>+E&jx$3LC#XafOo zx{LWqb52G?sG>ATFkJfAM3@X`WlC^YUe+uF{su8i$!z#pY3ZyPrkaoCnXpiN8G*US zbySpR(`l$1ezFC3(zj-XS!fGQ5&=cLn_bwnbDwGmBa@lD5M##m@p~wEk^o+`@ed*>jc4w&6hnP?@)(D$dcq$v=FeNh&$<|Lw? zaCp|vwX#qaSyg9VJ}XZpNpfEvQ@oP6(@?ESVav*2Sab@Rc7c zSB7SYm}!kLSCEheC5&8w_v2qg&@-+rBS}te+8_{;7Jv`e0R%HkPF0t}25{5MD6nZI z-mdH-7rq5m(&@XA%J4fHaMM&9#tyHkX+A9Phz`D-@a{2V^>i!-VOPRaT>&=HKwVtN>SZ~E71i+qML4f~b9MEl0MZ-D znY{J*+jS(Bo1?XR%Rc0IWhf4A&ztX4>gP#Us+`mPbfX2`O^@>)h5jnOrjKP&)Xr#R ziAX~>=oJqw8TmOZAhycQ`$EC2uSS6fkAX*E&UY*gOYlC(V`vHNE?=GpkEIV@#Uz>3 zcBa3N8B8cC$ipfVhehRd;_slHtie-8(w~3J`FK+5&bFzE7HocruFYq@rq6Cf7Yc?r z=BpApF-{*uTGYsKqOm<3`IPr&uD9Gjk-GOpopVJRJonUD%Zhlg8fZt;%QQ{3SQ^O{ zcr|$DP$vacALGOaUG^7NW_UMY0N)j- zm$AF3G{)i)5wm(OpDC9NN@Jt;zYppkSs_K&a{Nt{ya>ap{?4P_qxY}x$i$q>dXR%K zS^4)T+I*^p2XX>~DeWDre~LAF{ZGFO786%pEu+u+N++`+vjE>>?~|Il(K~_Klbp{G zWba<57*}2Eka|RDx#3I$q>9KzUt`%}n9tdY|3rL;`LB`XJyBbbd81xDsp^f<5TAYs z2e&>LnW_r}*&M;7Euu=UI{z9Y_w=YH@eQ`n6rA=?w>~6+Ma867nsD#9}K~S_?!nvn1JDlF;$87$|eJ`YSM-_jU<8k(G& zNv6zNIyU6Ufd=wZH7EchS~EY{ zhzp@C(cv(}9?2$HHQ@htlQ#uAMqwe(54;*JM+G|2^6^OzS4L~S3AF02u+9%vw1~Pj zj8S$CBx}`v*&J%Z?LW&zwac_%J6baotOj$OsFJ>T=b#o4x}-v|=)hYoxLbjlc7V21~N3pfzu4njkN9!h9C66xsKxj#)6cyJl!0q=J| zV>QT%N_L)l{Ky3OWD|@u8ylD`)C0H!pi8|GXUvQu)Piz5zLqdRDdcj{K}PD5u3}?4|jpb4B186tB@DE$S9=?e5I6|my%1@&HCgN?T z8f6p8CHJ23&L~yUx@?M|BAdRFfM;Q17pX-Tnh~Fe1ngwHL~aZnMxl1&6`lP&a`k+N zDy|l+jBllAEW?3+Jqt`oj+u+IaHMXY-?r)L6K&S8cDMie&&fB1gav#aUVyOZ5IPSK z_SppDgh1iVhY&za_XJ>;(m3~nLCed=dBp(wn*H5@LUz>7X<-H<;Sv-u$&f?8i$BwM z5e5rpps58&`ck(BSmHiV9=Z>bloQaf(@*XAok_JOl8tEhZeOLacLUCvhB3vlWjHvw zG3wvTI$6Sk6r^fi8qYP9FE1tHm!t}G(~N63B%HFvd}t$HBjJ)hPiuxRZ#A08l;;!? z?P(>^+Lx3fct{Iy9pD)bRGLU5^Cvrbzxh6cYoWW6Hh9%qO`wtQ-{V|{x~;$Xoi787 z#Xo_KWGZm3smMohI;@i6!1E_v42@0*(uB~NEgJt~^&>4=d!FHR^!-9w3P+RrW>uZa zmx}TpomIr}hEmO!3cXWS-LeYqI8r_fFaj6-BybV{nb&KoH$dyaVOyvQcf(!WU>Qs! zF1N8pA;1-+h7NtvW=fc4%%=@^BKVaDmlelqMMSO8O6$Jmn*H$CpH|dbixc2TfU7z} z2mUbzf=KPc8?O3Tb6i2TPiJLim6)lf^w$vPQ6ePLhWeU)A>fDeIK$4%eVFq95!psC zZp^wA{Qc^MS;JNha#lduUc|8}4q(|5r^>SlWz!@mMY&fTWw4GG|GVtKeBg1W!s|E| zFZDPQ>Qn8~VNH;e;pDYasKF-u>#9IiP&8x6QLX5aaK_3yUxsl`HtzJ{=&p%Um}8rf z$AM||{>fUv;d#FnbS%QKxgJf;SCsbCm-`tq`(478Tq^dX!R ze2Ls6f4)(>RR1BfcaZa72Vq)yIs)_R@d>BA|C33j(O`$@m^c*Tr7y4EXBIWoSo6-R zWvDa)tNB3+%4FEeDQKwxf85eXdWHg*(_E8Z@u3YuTjgIR)vy;3O>LRxol5@Oy12=3 zlmg*~i63*4JjkgOEeYihPv0?R0D5?@&Pui7l%6U_MZ@SuwM2r8gRWz39~Zgj9N`Lt zOMwCHaH?nVn?o(C?w#!o_pZRbh_a1BUxmbN&}&p|MecRxLR_@*MT%AV*xUD}D7p~V zJEJb>u1?8$sd}Ktu%kO8#_CfN-P{qRRCp;4n^K!bMQ1kNBlt;Io~14>+Sb$wv?Rh9 zh>Bz2PhNWwSvua+uuZFKV01X!%EB+(Am-!E6A)ZFuK>3k>B1E;bfWzP@{j@qikktL zNVbG?30*%cO`@aqwo-PXU zj(a?V-@!_qKC|+5)PK)Tcorv0yClBWx=gE_I7`WRdE;KMw2m9|;rX-eimv}8*G2_s z6eg&t^vF+CQtCF~?toROc?rWtzyuG+D-z^3H2w6{bk#qptLP}eQ~`80fFpa!#e0Q{ zuA+yvInt)!F7pR-m5FQw4#op_>97cc<^xK(kua;+-XiI{jZR0g**{1<9R{x2~ zz#67tJa6SVqrSN_3Z}XkNl&U8vj30YhEg;t2!3@nybJ`6TPur+xY8w1=?aW$4y7Vo zLVYkiXtY)f+nxAAVb~LdhC(}Wjod6H?8lTZ0#xI6CHLA>=Nm_IX@ouI1UgVxoYDAW zaTT}9+dK{;3=0UD4z`$b&IPgz5@q`qw2XYSiL)<%7L__Ld;f^*X!y2_FyaEroGqbC;O=tZrwUhUuF)aYi;y{M%=hQYFd9;)Gx4$f0l(yAi2j6 zZ8@U1Y7Q{*a3jk+K^wLJQ0BU#`#rs^=bD%USU2jB)~_6?fnJUhov)AC?_}M-vHg`% zeK9_R4W<^2f%Ty36Aubp`!|yz6kHfk^5&^^2R<~9D2;lodcoXgP<6=%a>UOZB9dGF z&HeV<3(E))70blz0lRfV<(>AB4S~RSXjwoTha{pZECK8NYx-s_Pfo9Nvt{wc>B`tH z1AU~G?cqi9(3clKSH9SXnJW$F(Z_dejHnYZ6;z**UhF@&G*U*l@hKuu@&_X9f@cE~ zB@)2r`dH00WY<91+WHD4%}G}2R-rUOG!>mLb7R`zX&H!ekX4{lpEn!*?y(D5JI&hzH())r;FdBPk^Z2{QzH7AdG;OZQ^Zp>;Rjheg~{fKd#B@vyuGQf~R* z<)2T)URszh$-pyb;!`J{n=uqqqCzuK#>Z--<)|6f`o3C^pa$j~<6#8-Khb0j+lo`; zR1s3PRH{UUY3(xs2c4OE5@JMAsc?e7lcqAE@$^ykxfRkks*7d#U?Y5O1FUuv&TtB8 zNYbVQ5~Lm+mn0FK4tK;_*KUn86Uappx43qJ&%!?ki-|7{6ZB3t)(X+)g}*0 z+fCkNC8lyrzY72{71LH>1xX(cJuDa`snc{@xqMED@cjJ)Er%uCxw|$2o$z(ql#LDN zC3B2Hh03K$eY>Jhm~pW*s;^b`eX*|W9k(~AwvNvuqP*|kUO_)=xtC&Km*f;4(4Gtc zzH1k%=Ocvg0kDvG4Yg4fI`3GYBK7&saCE?2dxCWd^q-bL_hGNYEE_xRD`~0%id?Gf zKkg$X%=3pxOcE4G6LdkJ)_LiZ^G=DXgATO;?gGFfhn0u0T-G zON6btiB-f~V65`r7)yA~A6DswOb)O?1am2A>n>5hNR~gw+j`eUdmb_$a&u)sTQmNu zo$%;j9>4KwshbAcNAPg?++QDPu&tLazv4r>YOY_+Vn72}-KP=YE0i}_y+H8Zz4klG zSB0#7_zN@8DD+*n>^&S&DL<(dW3WO{P3z`DjceNgo02amq;&4~sf}bEwxoW>hJhhD z%5@uHYOTr4WO>ahm2a10_bI>rxuuOc}Xwmbn{ z9P)uESygctnO%Nd>DuvZ5`SM2K_O|4#F;;A3Dd)pUE3${_#TlW{^`hdj+$jlOUgi? zs`3W@m^7Q4!@rT6uf9=LaW!>HknQa5J%YD`EHhU*D?Mkpg!gR|1(-IN?L6Z0y$(_| zsYM@0jPN9l-xz`;TjM5O@uq-%#O0=Mqd3t8;s^M@kqam3(c9aP;ui?|(;z9NA15k3 zj1T2S!kW3^WgyKr2EWFvnK+9ZU&!jzQ+62&$S;HuhqY0e!bX9&c-VQ!~sp*i@aWhd;R ztHCCx!ZrchI)U2E+!Itp|p2#h8N=NoeWCIOnCTRNz6<_yT zeSWcqT!YbJI>6zRyLg>OqSdcz00v$uI?wGpr!;Cm^WVBP$F+xxOXiw4pA%*eG&RrR zrdJHdHP#^8{OpF5=}8lnB5@J55PsJ%xJ8OGS@{=El*q{~#EXXC_xqmn2AX%Dfnc0% z%7O(T-hkkzz5@eX+r#-`tW*7w6{1rRpx9lxCHCP?olXfOyNbC`pZ{}^D5+V$%*v>r zAa>vZl7@iasngn$-KkDz*=%7s`Y_{BpPX%~R#2RiGI@2rh#C2`S0}z`qhOhM;&Abt zq~tqU`t_$$4zcc0r;kv!xm?q%&k3S*+rdyP;RVfMp$Ug}%p6&fM1*lHgd0Fb=zuK% z&IH%E)3*5xXu70r;|VwmNU@8|A&|ivjaWjag*pQNOzx9e2QG#ybbhL}ive^Rt1nN8 z5shB^C^i(?a7O30L3$gD0AgyTTBt!60OMKEp4v)jIUbJq<=9R<28DJ7S7@!QE|I{H zDzzbR{eW&m&s*@#VXzuWoKbi0Nq*iv4WL}Ro&oi#^^t&_hKdeJFz)7DLs*_?P!Sc& zB`p8zFOGKr53$1N0$|p>!85juc1!cJma|UhroM|)=~sQ(a`WHtUgL7rI(>iIKPm76 z@h*{QAq1IgTUvbm=Q0vsR>NF;a3nk>mK&;_1G~CN@9E^y)2hO?p^_%Arb>u-L#LuY@U zSEE<|Wjh&Hwf<<4`OLuO(3~!c|Lp+faKPZ^ME;anC4$LEQ2?7|8C(n}4>WB>H_P zQaw4R#>MG5kLUxlcV{O{{@jxp`V`;O#IENLNqB!x1>(LmIo$_L%a% zKd@5>j9y$%qD}GqE*r6$aXFEDF#h5wjLN)RP^^=MfwH(S53}|gtP2(VLiu>D> z0URY8h5^m|@%&W!@TvEP4$|Nwqzk$PU;>B}?P)Mh;6}p2%7N;_l|O(*n$mTAS@H#H z$S!vP1 z2&Rdcy>pz~`H%0L?#H%FDVWafd_10g5mQ+$&0m@Z`gZ;nU9rmqN<%(UmG5eg<{oDFc- z$*g1b$tEamu98;ei2IS{2nOl`hhVyzbZwI>Ep*2pI~2xsD5U~p3BFk>3diL@V5T{1 zp@M)^?XB%BQAK~j&_nl{&RR(@3(y=v$8GmJrPwRPySECy`;zhRq7hUxIz?b*v-74SD*Fbg2LjOcH}bbw;6Z{!Y^Voh#inz=EPejV z=HGvy25+5#k$m=~uwFAA8k+bz148nDfTMTW*-tE?UI=oH!Qo*+)?x%iyr(N?0`UF7 zr)q{fRIqNF zg>Co^SgGD;oa-PGvn;5Rd3n|-a=TY&QODX@_G|UI*@43;DHEl%vP3h%9C*kh?E)C+ z54XN1dDtwdH^x_U!lm*-gCo=p!&C4uAZNU5G$vPm2bPX%9EOlUtbZ5q+5IZZ7+o@> zGuE$X&gBp1I1F34U_em9siu6aPyoG5jd}>pgh~xWI?!95F+`a_Ncy7y0A!13p}3o1 zSdj64=oEGP?hDh^Go7HK(1cRG8JBsr#_gl#ugg7*}sepe+tVZyEsO zx`Gg276~@F_>|BAouLEDEmN=(91x7x=fq>p6(-*NVmFjIYcb+X(*J7YyD_QzK6E`6 zXT4U$P+yd@8!k(hOm28XDChA+!9#2(6^P&<3=e9%$~Z^RvsJlb=u0R_Jo0`QQP5D` z#2FSVpG^#g#Nc zajyTH$-sQ5O|gpoXJLt|mmHP!`3z`P(}laMb@5UwKi9^x!mMSa)r-Uxh-(b0WEHc* zq#YrciPCgeOSEOg@>=9X?(>X~3)%MX@n{i6=y=lhAJ#JBg~bvS0bZf^`CYf*MnyoRmlU7}NgU_lT+%i+vxx&KT7?K?59%emdT ze|GA08_E7*-;++1gY!=XvNNhy_LOeZ{#!<>Ko&GMw=sTy_xz(d=^srwFPYBa2N?K) zjNGdY`-cFkFQyou3CCrqv+A|CU1W9qP zdH4BAS`tVW9BRL(_v5NB3z@19RE$?x2s$y5ZKkqYH9@jE6T7Q>w@t_6_lu+WU+H`*och2_8$V&NWyi1T3)*cJ`gnjvqy9UP#)n9c} zojAg|%Y*{Fiip}8Hv^3+yLs4s`!6x}7XQPZ`K|-7XGlNy+OE9Uxt@-De9TH4E8pt( zD0kR%jXb2mTAz`BA@vf>EH$0FyNtk6eijdZIbI_!1|@-G7Dd8OwB(G&VW9I5Q^z#p z!XXf{2y-}LHUjC1P>ItV1*GtU6&#IGh&M&o6Vl|vjtGPG9ec2_a5IZAk`m&ycCV+Y zT+@*f>Vb%Aid0+r@*)E}Mr25sC3;cVH!Y9LO%I*xg5swBb8!yi_A?W8;|bUvs!@hW z@P$W2*w7bCOT)ecA3&u4N7hN#%`ko&cU_kWvjQasnk|8@K=xXPlZs%2T9U)gJIbl- zvpR+WL4h(lt59Ik+S{jCgs#0e+LkfwayZ!)?p?d&VO6V>2C&=O%rvCGC8X*iluy8A z>zik_a9fM(2cIN3(*u=($XZ&T5@@2tFY>2iYJLz|SiuogQNp7!u@$>V~OY4s< z-|K8@Qv>0+mN{k(oJ(Syjv2y{pLIvEx3Od;l#B3hfw*&1PJhf_lEudXeNdp~lW6m+ zdFjRK@sg9^uGo5_&vR*D6gleugMF2OuhYvQ-Ssc&0h(z2EQ;n02R_Dwj6!jM&iOLo zW+CpvuiYn)g8#K)E8#rR++yC<)bRDDrVp01T()bYs7O;#$?B@Yxzlm7-i0H#-Q&=(ek!*F+}>JCFV8Na^kMVG5CY&>$*4!HuXO+5 zZh|g0L45j@0;T{*dmoCOb0m7cxR#hI&qd^)V>)aAgr6qaDVVUdT)zbO$wR)O3r;x}M^hI*Boy&Rdv`7nY@ z9}R+ms;bkAP4uM=?>))|-P0ub1ua{H;{lbokQ4>oI_QCKKfXtg5VoJ2%&-t1Q~zHO zA--)YaV_4pST#zRkTG-l-k9r}PVa)97o{;-h>!6~nfpaumM)g-skJ_Q^^PUT_4`)$ zXWaLM4s7Qy6{0m78UtVF64W2gw3o+U!7WG|s&(OGO@<#LgGF4KZAlhtOyNZwch z%B3Q9Vwu7s1Ww0ZErM|yfbYbU8VQw!ikP8hf5cgmjnu${m8bi!>M#%v zQ8t;{IT4kM(2#RdY~0E+%}b+j$Eu35P?8Bt%J!C>OJWI?3roRyAu3C>WjFT3qAn1Z z3=^E99Sis<2}YHSuvCNW$iDKz=Xqj4p%D;XtL_r;#;%rhwEe%cOSBOi2N}B*iypFS zKtAil%siGIVkDC`Du&krM|snOhWY?+xk(>i_}}kmxTlnxvuJw6 z6-HqowA_*)&1NS9uk%fRmm#!AoMergVW?>@NeHxLJY0z=ex;gmhQ4$?ILWlKau`aU z!NFBt3Z3$`;(x_`$ya^iiLlq|#$tMJwDpDqX<4$N<&*r*`xf)yU2V6+Id5YF(v!{<#R9Vs*LE9^_q>~~-NF7dL*5AXop2WVmr9SV015#fFWP7l^9Fd$;BB|k`apAGnhnu(7U zyS@?yHwY#2PG1Y=f5+{sUv`@#|N=_Gc`8afrY z5Pra4&Un9}KaKiA{OF0VSZ(Uv-ak(Pkj;ZG|xwEXg%&Mw6n!j4vCx>+7d^lMy{U=x#Lp9HX)H}u=4fyr2!|&PyyPwa>~wf*8Ts*Y$Eqavsg{yR!^DTVlj8YB zBty@OmP_)U=6bTCSkJ3`YfRz;3g~^e3)RsRlce*M-x8q{u8kb+dN_Qi=ej3!i3nn& zoH%{7LyH?zRVx=)+f?gLQ%h^F>v0ugnSn83**Ts$t>0Oz2$$|~cmL^nf2Q`-=edLk z1vKXPxNy#|ik`ZGm!cGRkHmX72ZN=+gORb6VWIZy<2C&f@*Fa<`h_~g$I{yY1C4N| zzL-?ZAfR&7nZz(X&o@EN6C@bgtw^nspg6)jE||@OVRF#=p7dEqcxgM9uqzLeD8Ad0WymT5EAj11UFDdoH(RkZ|>EJA_apa))RG zBIMie$A=}698U29;MMkgxDkczx~M`3&*Wr)??9~LRhx7ND_Ucw8i*bCoNpLmBn|)Y zYe>V9N4Jfw!7b<^&CmfpA~NkTfO@1`IH>p`OsE$R)@w%QoRwtcxq~J5GQqopxmCEd z_38Yr9hanyQ9SSP4y%+usKP268zxx2%(e7ks5hr0{m`5&O{6oag?@R8gjVon zcxM>BdLY~%`!;wm>@vCM93k5s1jusdd(zm$06yKcSoF&@SLYJrmTNt5Sr`v44r-pg zWW9XCG1EzlV}g9hrU!W=F0WrrJT@*ARQ@qbG9rfM+KK!7V=fzi#_Fz+8%&!m*0;w3 zlU{1Q30ta6%(fu1las|b78)X8Q?#pWy3ZO0S0uzNI(*D1s%arL*yateR%2-@(csHo zTt?m}UA}y-!DK!Qk6Rv)nq!%-bv?s2j|(Qnd7ffyDt8h6FM^D@VtSK*Z#sPueY`z_ zg8F;>?0`?M%KfQLFq*GC&XnWsk(n8&-dr>AQQ;Riix@V6EI!Rtw}4%E5146-9&W*G zas9mNr!3+>52yZ@l(Vy{B^E9hkvBSF=wRGWKo9PH1~NPs-ERF-JnTr<^K?Zu+k={2 zaZEU}Y~n?KTmuKd5QpBtxTbHKC^0b^*7ChfT+jYgD96ON)&<8A%8UGfK3%g1C1q#2 zwF7e;b63OMQS`(RAfgz~R)of(P96qy&eWY)L7AyeK@AH*_6j5LfDjCeLkB4Ohwb{S zmHk!lQs837@{Q%!5`6a4D+Mo(aAp)J93Tj4YBaxq#-Z?7BaRKl_0NyHn%kSl(VS%P zxr5KEA#5*}%cwmnyDY-{VUJSWM#-LCd<+}-jFam)Aqq{v=#ykkCz1gtk)oB!<#=9* zSrn=%doUVt;1N4<8AxDURdQ!k)ES4Y;=u%WEcr)A(<*L5^~b&|5Az^rRtC(mtePVlC842}6U z=M^66pD#I`->5JHkKb*RVwJ?eu;xMUB9*y!U;*o1H9=muDe{1shPH4?Q6BYRGbxNd z%?82Qj9z9i3Q8SF-N*ctwxsqihbr^dD3s?|-#7kEUbBbdZ*RgX9M=uBzz;THhRyPr zvp@C@&jW-72vp4|zeRkrtzdr$mn%~-qQpSeKlv4IBp7;XEK#z}SME%4|K`A0okStk z%HT+VmaAnnqgiN$8o8XNOsm00au_>_mP2lb7rZcub)*>J@_7{DskZnvB$Mgx1lyFtq+`NMVqJq z_3~H1)2{a28UeW6BBG8wlMsP8%U-~lx-vbHG``1&`G-*4?`|lM@E~LOXHLPm-`n5{ zICb)_mFyE;gft$=OE!uO$*egfszoBM0`g>e&+;9V0P@T!`R{EK1yGjA9U5y!4Qh(t z-QtwqAP6yvBAz6}1)anfuQM018XomtcCs5?U`Jpk8g`5#+fQNT&+KwVa_LVBJSTO*ri9g#K(1QTGe+xMn z*Kt{U5sea)3VD-fV+db++rP==F2j5p+a!E#>tjtL` zL25cOR;%1R5`{GUnzj#&QXx+@5R`)`PJ}#6kZ0xh5Uzp3FTj6)gp#6Vy=8YLe@*8l zZ|<8HR-v&Dby1q1xiDHu-0@`bPFl8>e*C=p!`i~1p{Pd+h6GGR{cd{F?N|EOcnSLV zrTXYjf{JbNFQB2fi`L6PmGA}-QVP2u@e~F~)q^a;GlXO4_VLQa*~|uy6e5^CA>UWq zuUgxfryw1s+wD54Fw5b6h9)YaKu5$(Su`#y5jkiwSp1ogqs7;&_A;ltML7~szqHS( zr;V%8tZbdkCB6=>SK;u*wFvhAa1#*asmBi-j>Giisdi zsKZWAd~F2r-Z0GVvv$>%AeU!cSxwyFpKo=PppR~yV)|X#=0Wl-jyih^ld#gAB7h5% z2awKH-w!2Sz@{PWY|c$9BlFwuS-)d28>`{_Mm>&=#fAfpI-F~BWbRP^LLO&5+x){SE=n-^CAE;?oH~$d$pRH$V@l?OO{C!jHfbY{JL9^ zdAbS~gro%OfoAN0r5B58VX9*D=T zI!`ef)>7dcn4+BUjywmC)>Bd0twv;StlI*bu|!~x(Ni-rQAE)SP=}7l0~r7 zETk@pR;~7u565~a`AM;^`xl8A%mT)Ro?v@pox6xO=#&E5#zHIB9T0`rQ{&l*jK|+I zz4v?xSu2KMTe069>MUIOx@U!wQc7~?>SXl3Vn#3bn&bOy+WWAoq(y++o>S^b>33)| z_zO27({k$105-06D+Jt%s1EUyi?SRNvA#PIxqUD+=>zjcxrazNm9!nCj!M^?II_VS zHj2ml+%L5>d^ZrF$6&=Bq4gGkqj3Py`-+_vFmw z0Sup5dP+1}e*@_R1+0aZegcMCS7Hhwsz`C1s)R{N5Thz4Sm_kHT5l(*9Oyhx-w1$x zd$37rYs_;0xYXkO;KX%%*~XM%s~t{30SryR_~7PDSxI_ktZz^t59aQ63pW+!1LU2 zwL$doyB0_UoSOEZyyRV)BYtGfes=w1B0^KQMfiAtLa@tCO4Y90= z70+C`-)RmEA4XXC2!5u1qKIE#5;rDHQ@?&5w18Yust%-tL_q{oVe-Ey` z;W{N^qVy{b*z{l&=nCkekva9OPFqB~Z$0&d$MD1T{YBiNEY|no<@S z6n?r;%}nAr`u_tMLFc}gM7t5YvXOPgkMI2=W?x)#cU%&3&EHNglx9t)UtDs(14vho z&OtYgB=avWX@tEv`ciSf)p;@jnC(&v)k0wgD$+#}UmGRmxHI&U<=IeTN`WB_U4HwpNy@S{pT82C@w4*cjE+$I@6%5LKdKMDb03ex`E zj{RG}EXK%PHlGyd3&>y0wF4gYJm9uwJ!_~_^zsx)C^n&QFHbkyGkvLNG$EfN>E>z6 zw^p6$=70QqKIxZ9|EUUZi zeyI^0Np#y4v$jKX1Cz)+^hSU&dBw^ifl}0}W|&c;#Km!vl|{ltR~x5|fU{>kvPC*9 zH-zQDaH6?J%YbRke0MOSV+l#3$({VeB}bFUR5U5Jtom>ITDGS(8?~kC4zZNB7ZFsbBoVzw(#A`wc~vZ8zs(n07`{ZFQm7&;qh5B z9;xr4Rya-au&6MZ-0hQ=sgD+AfF;5aGxKr3wZdsf2V%6- zCj-r*E$Nfe^qC}#EorO;&C(x!Efr{A6EBRCL?E(JkcuCD`a>jbeZ1y!r?J&OM3egY zZ0GV2VW-q%;Y<5Ngrih!(^DRhDKfo>=v+TvONA)ZhAAFs$5!;X3lSew{xk$?f4=dj zn|?q9>3{+K#9NN{Mip!ac7ROfJ?X+KSv+0h^ORgl}&t$W<$Wo z!4$`SJj8C`l<`frCZc^Az4l9f+uy{6FH32gp>I}!RO!jPuI9b|f_(}jbcdnxJyS*} za^iaKO#dQAt0W^=cf^BRy5CYcELU#x&|Tp!Gi7wTE6fV~;Jg0RU;Ewwp{c9g%L-sF zhJa~J`&CKP9Cw!V0XjgzRdqTm5P9m&p(mKL0+DCfZ9zd*ub8s}00v`z1%qScZQF!I z&I$nTimU-O>tSs)8Xg<#lyBQ&eV5})7(@eH*Bm-$1xV_wf^e&PnPN7J`jUXuF&4m* zM-J1he@&GYh?GR9l2xXm$_kX61h~2COv43EQomtF9b@C$2>)peGbS!d>&vKrRJ^0g zH`3!EjcOmxX9(Jr!y_8{MCp_?9VjQy?39-|Y2wKzBQNf{+g^;}PN_dwbzI$TwB;k6 zvWC}Ry6`JgeWrEB-kz`vbBF4q)}cD>7PV>V8AEnG7_uLD&&Ry){bQ+p)aQ^DU_^2_ z7);6T8nQ-}5np;$`T)>$W2DmiP1G|fF0o>_$6SZnn$<=e`En6F`uu}9bT;CpLWv_A zi%wwogzm~b{mT?n<>=04aPga3|)R%YEfs>YM@GiS*9Hoxs&a&Onlb%o)_1 z*q6`kgYqsWI4?AJ?)r~7FRf){X!iXdeEUC0{XvT7^6G-2yHKz_GVO|2cZ_Bj-T%m? zGLMXstte|-!yrVyV(AYWr5Og_4RkOL4bV-Cx}{e4714^Rfz&w7FhVWrHsEQ@^29k( ztNV(WS;&S+3a&b}JRwM}?t*AIqS1rkhUsaVs1Ovb?vhAa+lT@5f%YV+)m;*V&CE)3 z&5f}prZqD%c#pY2Fp!X36Zg{`om$=3L`I&vT5{q>ikH@;RxgqrFE-YEh=jzdKf7MD zWvhdSbg1ro6U{-Y=!b#Co>KC^sO`@EL61UzkUiET>T&K5nvPPPS&2_PO#PfNt?r{f zY~UY1`5iy_krCTz{nNbwtA-L}XEXga_$Y?P3#IM&Ag3wXf!D7Qq?z#*gQf@#KyBGs zl0I6a1?8TP>O*gDTT9LugBTxF+=V#Dj4=qBqV>+$4ni^y(Tr>f1GxOzyw=Q{c zbfwmF1e2wPuujxArubq@ZDu=D(+=ZXr>q<&V-b~`<@PVk^qio*#sn=9ZNSW49wgZV zdqT73^4*ub{BlT54U(oUKNuvv`5%4N`=frP?u4sH_nDF1I34?BH#`IZ#nNh%tZ9`IfhAB+_H33Zyk^pvN%8!z3 zLAB-!B&jd|Jv&g(>zYd?uBasQ-9dHn%ApSxjq1gbN|!1+Bly;h+&f_ZVhl7@%1W0< zR5V2eUYCp>bU5f4$WP6H)<*%*YL9;C$+@8Qs1>xP-J;ea%>}LNDQI<{`0RK7p3&|} z^kN|O$$}QZsbY+xzEPD-Fz6G>H8!%+lf&Zv`FHE z9O-yLOS6;@ z-U#s3m-I`@==p%&)blb>D@!lG0BZAq418H_VqOMNX|J7H*$dzDRnLA^gn=4QdKhTY zWy+GRR;In|D?>oI;J(bx9%$Ja&XR!#`&^c6zk`|+)fi z-QlQJC^TLhupxd-&XQpUm^EgA$4|?2A(*pd+YN_jFr$Syto<=nmh6b>Ar^vedIP?z zEExuO6Kshv5U7PZpEjyAMFrgpWPxrQs$c+JK#fyW4>!s`glf_?hIm6&;Z4(*v0i-g z1Nz_iX6O^8l6D-3)MoO~>n9IY=)m@t;S~KfAWjjTDh@1|khD^2JL^*Nq~)zSihI__xC-4-cZ+c3Y+t@%n*oR-qg=?!=6;ZGnZB{M?AS z01`A)5`r|!E(mx(Sy>^7w66v!A;>7f1&;^PpfET)FkE1S6oNF$MR41Z371hEng$v{ z8f6zO?UERlWxc+!B8_qpgjH68ThLduE7B;t;0`?qB8XEopCwilqwJDc5M2s#lAGSZ zQ8CIci7*QG9fp37LE`NNlH9CImKgG|B-3raLZjxpSYLin>l%nav59JoPo`R9zaC9X zWyWnIm!{l|>tVMSOibiK^M6CeJN}K5slUK2f&ZP$S5LC36g@e3((rDxiLu8*!%R&? zHD~(^u3Wyd=Xt~q%qWxTcHr7kCev=wY^tZ_{47;Lzx^wRReno2c88tFvN}!M3N;(4Y1n9*L3{shSuU|Tsi*VTb3}qbNu`ojh`ok=d=?33XRzO z)}Ro0|MZ96vsjPDEvSV^PxSt{>^bK2X^IcM-Y~#HI6|hc%7@nKlM5m}$GJfe1XNCu zboLb@p&Yk>?3%E01~??y$zJ)>Pvy8}j~t?uL4a$KSrR$Gsp6Ic!2TLLV4Q8UVvbwD z?ZOoi2`!gKK^Etj;}-CbvG4_Dh-25W)u`eM$3L(dDPXXcWL0k#J)Cjb^H=;F-g|t~ zwJxP{oU(EpgVHJG=c>y8LS^Au#A8$V3{{0C*IAi)fG)Fei2Ws~O`8I`Oufi7r2cf?Mj*1n8{^GGxRz_W9X=6!f>x2FpRG5dRpv-yx|=#j z5+@#}S0`Tm#ZP$0$NyMF68gS4p@_XbcnxJ(72n6bHKX~9s(5u5G#ZRG?9E2VEwTm6 zNk2}pm!u!4qRsh^6|?b-G$P25)twK)Y&-#mP~vNJ1|Mq+_ViPg@htg9Wc}I#YX0E@oA=4vRoH z{O+)&8o?HHIJ(y~hKe5~nVcetE2EMi>ef17lC16z#+*ra9g?$Fu5`&!$Kh1OQ#lVJ zgfUoC{Rfr1bUKFa^*}rd_aFv}h^>L2Dc~em5FSZGY28r3!6AYF;U2|p`X_sHA*6_y zUTz>R(zonRt~PJjy_m4P#65FJq`YSdd+>xXWsNvxsZDXVobF=*HF;jojL z0@?JWI}i2?!X>B$0TF9|b*0v|Rf;hYvsrcAR;hKM1vTe{?g9|xHIm7%Wj;(>n-IZ) z=%SINM{M`}v7L@egj&Zp^WB?&QUb@YkK`VIgdNj=}e z#P({__4J*hu?yv^s@OX*x*Z?yO7X_NDd>D~x&kU!t^4XBgOwo8UtF}#>8QWf$5Q!G2N{U&66b4gT6pZ9B(&X9T~3NJVNP7hh&FY!H@*McrW@Fcv?A6 zoSoQv(70HCOdMUpIG4m!Www9tvFwiRV=0WIE?LHmq7*8;@A-ukGJluE^Ghx`tcfYn zt)nFcsE{O{1G}&5MSe{6*u(pmvitlq|UpWqkw)bBU2Wq;v%NSF3ac7+|Y(#70I$ME(LMAMZxW zUqGPUA?H6xu!?82*-;5Bpl2$cO4B70+$(qk! z{GafautPq+AWq|SI)F;?SeBpDtruOzAKXc0BbMvBMVIqGAad=%PkZZYfGHlKKWn@s(!+t@0?@I|@l!rYYSxU9UD z+v1y*D|wEol{qdCJ)kKjeg17AY+mXEM}A;=m`@HMPIra1qL;tzXa4YyMy*K49eZ44!;jHA0&+Q- z&5l8(bo}$|aj_9LCfuk35bkUaMgwPSz{wD0Xo97!7}I40CZ1OmtKloIcZ`d$;(7^R zqdv5#M-#+Qbhse&?RIMLx(LNS`?_8S(Nsc;Utp2FelO|f&C<6U=k8}nqS}IVSERSW{`jd)H!@jAk zxBS?a;pAlN-jzp`wZ-^iB<6WKoY7W!I1*yy3Kw7U@GvCyOPlh-x}_$C)s^^k?RgIC zJprhHFNuM z8DNSYXhY|?XBpLywe?D$XbcmL_z1B;?JL&^u?xw}93FL<&SbC?i=6%u6?LFv@4)&}Z;pw9eY*BGF~?5DRK zkPuwmo#pJ2hG+P`y8;B&-uw}pLKAu=F2-rgx1lFDje!1#_;HYk05KS~*mF{{S#JmA6xLuR0ky>=QH_BG~3h@=y zZ4un8wKoXbwpZ&-rE_RHfcv@P0TXoFQZLnQSrOH3={7n5o!G)tsBT@bG&*5ua33hg z!cCF%@non!^}se2^l#rmH_BNqHx1-*R5cbQSU#wt@*?p%02k1V`dto!>|c6pLHh4Q z%2B>yVL0@b{nIpccO{kRs?h$z1eHi=ZX~+KMk1)h^o~O%;_3<>3iT1HL=bUYg`U>l ziJMZ1)0Q7niSK#llYe9zDp7T-t3=bZq>|?QiFD4`WTs4Hr-c$*!^%Ux;`L8vNOkyifK(QIIkZlxXnAUCbURfNdn(qhEQHp^23bNR?QAHhPkvj!EW* z|8JJ8Jkay=ma+%BJw0z}RC%hBd-$0oJr9gPa^0v36)vTHcsVIsm+`-$hKD{;>U>wz zoJo4kW|Atbh@^B%?4Gg2{(g?A=OO+1%6I+Lzx>UiKUERC{w$u*Va+g_XyO&TtkW(f zTD=(^vzuhqG$m?z-B;Xs&n6Uek4q)HlxMx!>{6cfX0uBf_~gT?=cK+GTuR_jsXzTv z?$;|$wu6gIPMqfERIp>Pv$`{l-uzZFd;FN{#PLrk*<-+7d&4tc7HDwHo>JGhz2lR$ zx9Z3ft*H9tnc97$G)11ENLR1+4<6#y3NsC^b8~8KA`YJwwoE zy04|ufEGu1xVTx+&A(X-qgOLCGr;M33ZtL#F@Nhj|JGPowRMJ@q2Bv!s>z%$DSh-m z+N1%yy_S~pSRe&K{g(P{s>7y(X}S#>vZ+4c$kG=Di`DDBtW33zfTC$zNg**BsGfc* zn`(Tm)@}JTH{w&-JF`CUkLnNV=9#EF<1xwkJ+8xP8#-lGW{;xXvXs|@nsMfm)l;Vps zK~mrD+rPXztEls6+3O!I8?8>cF%lJoJ%cj+78Qhgb3)L=I;kfsMuMIzmsCwJj4VHt z|N6xbe(jHcV<1tL7Vz|3gXO_AQP2re6*!w9vR@paM&6?}k}^z`g&;LS5d?Y@I)hA) z9;JmK6}1b-^v~6{$9YIa?Se7=6AD{3daOuA?SjU5h;HQN(yF;3NJZ^}G5r&nCDp$n z$e5}Kmg%3EMb{o$+e?(mJx%{aPjtJaC~99brhlRYtm-!ouI(kZW=#LY5cGP@QKhPJ zPoKe2ViWx`>ae;!j8bWW8IN}SZ}W9p{$apSVdhHlbuJ!UWAWh1^vU*UkLa(SdOX=f zC(urUI@O;qu~KfxlL$%L@#@0D98WnsvMRAmyG5;und7PJiKo8pTi@^lpE+ixfjMG* zW7zuEX4>hK2dr;prafH144|G-&1QPL1|=~gkRhX*&GcELn$0u-oCIBgm>83fT2~^Q zX`~d%w|aY~;I)b33_s?0Qq+vuGTC<30L%8eN{sS%D$h*LBO83TMK zb2*fv95SwMc>V8HWnw?BbROEdUaT_N1E4k_-%Ml++UgqY41gzsO*GgI;Qt`imv@i{ zr`jQ>2EmpEHqo>{(2o_n0tDcsrTw`b&5mRT&2$pr=DLU-l!TuMWeYn4_-WP^9fgp~ zq~ptW9ACV+v?*_B^j>bR#veLOPtT_GA@YY#yG64poqmfhnMCl@zxOLX@;AbiDzwWH z9}e`wSUHxoI4u}EMTF6mx?pOlJoe_5(v-SjbR>W^i_v68 zqfsLk!Gdoi%YP$CQ|f{k=YCMs8h=(JNS)|{$oX-mI)HE(a=2Py+W%pu`w z=~G(+mq$#gFS!GNLXwz+LtFX4vNs_9&NbXR_^&EkEX-SfffJ^SyB$|~Z0VqbByCaT(OM(!d zB@7NkT3d=ft(or+Mmvz4oagBSo|0s~L14V%(Q!G;km4j+-Ju;ota_gAcP7bvi%Mr0 zCQBM|y-QxnVNtgt5LLR}lvLHNc$K*>`b!Q09!qHquLSsC)c2aK02!6eNA)t>H@pX? z0WR_$gk&l9r}t2$L4-m)doO&n!d+Mk4|v)i-cywZfk2f8k?pjajGZt`|m@Z!Ir-Q2)hG{SW@FZ+=7JDOaj1 z4OZd zx^$Je8b`-K+xqv^e0&&*>%ZK>$Fyd?JqHZ3kfS5TEM@Arn4{WQm1<3&e6P?aGd45{ zCr-wfr#SpbL~c!2%1Q3Kb`EqA!elO*7QVObwa=E2)kXO?!qfQVXK!qNdDVS6=G}d- z+ZLyX?_(YAcnvOm=2)QM`&?a zk)j)GqKsk*m9%Dh(ieCKm6{7fPbB{lw;Sh~9`yyBJaYFVPmJ@_tVXOUJmt0t0^QY8 zp;;Iz-M(g;O1ASfrf@#$OR4zhqid||k{Fw!k0plJ^wyMwvq_fgc|hW;cb@4{U)U5m z=#9e~X_)%;5k7+Qi`FFO;w!mYTVG}R?fD*A^C!V`Pz~*xNf|A`XMTVi$u%`k6Tf}h ziw2tT+%Jhu<0&ss?hr#czx4m)iSKcemw)v}oBoSNhVuN#*AKrh&%g0ae6j;LZ6?La zKb2z+yl6Pnp%?9~-jVLEkN<@W1dkx+GiILhP2)nzcJg^@k7(v8zd@dIFp<;YbVWk0 zaX6R-o`+3v!k0~wny(TCiqM+FXq2&hx6hKISMKDsbCpkCoqlL}JESkntR z`l?U=&;RVFzHbbL4FEJP6s#U%0L@1P%$O#^@yL@=*xPRF)X2^e6)Vz+`-&jAB*K|} zwOgx+gdpvM3!cSw(>%D~SzI??Vi(+PH(Ep3BX6Vdf;co^XBPw%02T=b98*|(gGR(x zEs`4`61;JH#+Wf?gWw(*G>IHyMe1=E1dp-mab&V=@<9kzCF>(t za&*bn+5)j8S(U8O&LVkT5E2UXtST=v=io;V3gSH#I{oXkCiS>W1_d$54%@p^m8=T| zF@BK+p}yv5C=}5{gHlsHo9Kr{%bND}x-wD>1G-j)jCsH|UWhb9Vce3h?ry7D01p%f z?n>JK3R7MUMVm|3l5BZ(l&mM{cWPCC#jc=$zxpHI`8U7kbtUPmn%otz`&G$F?ehs{ zSM7jNh5{}^m?GJZ?EYm>Z3jLXq^^)^0S311!OM=?p(ECTnb+gGH>5E;(&%K_m|(wC zFgw!VM8r&DI*Dj0q+ZSESUJ+`qjCSOV1XLJ>`3od2V}UpBF;hkRP4&ZNK}sW0R=6n zRpfY%hpV&+7RRuBP?gj7Gqd-oGM+sx^Bl8CXiaBPnX1d=Oq%~`S8l#;HYuI*qvl}3 z#)vn+gbthWw_}w$+V05O+zkG9nwQtZ-%izG)0Q7{B7g0({_NkrY>-r&R;LcLybBP5 z=0x7iAgT7yDOn7yAdW5zSJyR2YJ+UD=vjD^Z9R%Q%zw3^L3n8Vlelr7h%z1Kl9(XM zS{Nmdy;@jRxGES$)Vf4>M@AcK=E7CUSpa?6t18xoE2QS3YoS5Ay>TS^d0ylihQeDV zM=tcJ=%}p6E>)tYKhMzvs>m>vpnS)SxP1t`W1~Hr&2eQq^Hg7kVweX>VXVM$dBV3G z?kRjt44^Q*a^i=3(xf#=b6?=~^aXyxKX}vU|KwO;5=>{uYhy$&+4J*(Nufl85$utj z8Y=Y+_D(TJ}%qR1aY;PO46`b&TG-;M0I{_ZyU zVn8}pF-b;P=PPpZ1^C`h%AG@`Q&sY11}0uueo2c_e;`FT`!v?*>9Hw@=8f3 z5xOBsmd|rk7pvm5%=g{MfXlyQX0B`Iq6_7N{%Z?<)_r0Swd!Wq#qHlY z_5I{cbZeb# z&Fm|lZ+oTp>5}K$UUOZtq?7>e?6Cs+n5%U4Rgf*8az_gO- z*$*7pThUFG90He`sEMx)(+wjq*I&uG+e{z@PmWfnSCRQ;ydI z>K;eW6>@<;yQFz=ShFKyx{*vz_X0h8>Y;3LJ$sV*9s#~jBpSMQ;Y`$5ewsHi1S^(j z67XAdC?JyR>i(s@2l&!G3x2%6_W&#Sd%%y874?Jqdw`R>|M%b=k{G>6^bgqwx!Bx# zQppo@XEYzxy0@r;9x!Eo7Os3Pp&)HYY@dZxHwb0|ucF|$| zIDZJVJLKbcYr<#K0gsEWYNdxjy+60(gu8ChLDiJ&*R2*^_4^@E?_dA^ZoAlI=y|tU zbX6-o1nT{{9mmH7%bVIdS6b;eayi_SmU^#p3P_%as!zy0qLyN(XxH^%nJyacWl?UG2N_7Tr2KzWP;g zSBPByp4}54{K&}pC}WcM<{N(!^`63GcALHQR%NzX(bGneiY9j87opgJ7DO)?O^U4n z4@?x23l>`1-Ll8eDw@Xx%>%-U9a_4Z6~(5R;0_Z$rK<~0jm;d2LyI~xLEzEZriRSj z+Gznokj|I?>N1-Mo}DFVLXf^!5tPUS<_F+I3u;18ay~A(2S<-Ua{%~WYn+rM#bWx; z0d24R9Qb_}%q2;&4JJvd0eF+-&|4ctkV{VUsDK?;cO~*ODGd{8a}h#AqzczudIOGo z4kntf)AA1lERF}5j?v2g;_AsZu{<*^?W>rUwz4Y2cylU!e^JA*4C2ixn(^jTkDvSG zKY8;@4}rrB0hMHYj|foPNS5l+LNv73uZL@BtUIwGSE>Vgnx$ByL9N6XAZw)u z1?Z?^nBT0kji%kA)^I$iTa*GC{Rm%k_+jeDG~B@=8vU5}mDsSvQrOBN<>|O_3borM z1fqvO{;psCgJFENAn8>PFHc5SEee&IptY|MaxgY=!O~TW9F4^$A;{Ro1*2JZcQn^K z1ZjL-5EviwwV)KfT^rAJ2-5hv;11k0$_@b>ZHthh@hyU|_Ar&mxwdf_g?hiJ@Oz*P}7STVj(v($aP1dU70*9D5^sP&;SoSlyTuL6|kY zXC>lfR^=P^IN66w%L{Iv{qK;Oj(?-nSsJUk8vrC0RpwVIR8mJ19k*mX>qMs5)?`et z$i{8Y`w9J*^G35VUEZ3asjr=cbJ{JUHF_AgNG&LU#dLfuefwH6rvKjm`MxiD_lFe- zf!>V1G4=WDXn|=%aY$VDW z!d9Mtc12NPgk}JY?SV#X4lZ3Oekl)N_7-AK^eXn4G%9cx26&O84L=B%zx_cKxXWnY zP)XW@ovuB4zCYjzWdAqL6N%Oy2(7w79a5Ged0Yz*Sp4?Mj)dCwNJ#4TbikO%-*Yau zLe<7*LtVH$8S{pz)|-rI8WiQ+-fd z*tD6N?ex&wGu|WC)NP&rJ!R?q`*I$QVE+D;Ozc956rOv|yEyK*;cKms4JkMo_(`kE z6l&vdm_nfc>S0sR?-ejc*-@(}5Ol zr*n{jK`XFUWBmXoDHm!SU$$d^aZb~wl$yhKif0j6oEx@}6PBmKo@jns-Od8vJ3i@y zU;Lq%O`r*14~x7mwMBC`%5ivZ04&vz!@jZ{qYLgf8zsv{uw%2yLy&sO1r1us0YDhS z1HU_iFsYYZ5JS^-;yCnLnLMP{1x4RN0nD2{tQM1-@NJvRL9jksGW8r)v?_ z5kon?^3LWLIbK-#l)ozSjf@__(UpB{E zw4ag)xP8+tytJT!0@w_6zH4-ai%GDr%)Yr`Oi|bZ)zJtFzE4@s+1WrZ8L+*5Uy%0A zSKOi&r!}+{IwqSHY2S*V(hAbGA<&N&GtQCr%>@D0Q_6UBOLofZ7lNX|>>Lj`Nom*s zy^ZvA<`pGnV1hPt7pX58_4Ze*nlnjsKPjW(AaRV~`&<&mL+wE6w2d|&ydtB)ui%Va z{O~Pi>oh-HEsCvaO{##^176sZ%(sV`Q`{b`iNQWh=2b_}_h+{Rut+5}nO8|xHwZUc z2;(uMr#I#z)BlqW6Q{>iB3e)DTRG~1s9TVU<@>bqqwx%V4q2#542lDy>kqa1H~vYI z9&%DnOAnFg=*eJqgMG*~%~*-2oq`>ATl_DOC@`woVL>Hs#P^qKTR1EX7AlVgJ)4!q zm+i3eOw2LAPIRTTogh4@JQf{O5FSs{cA;%5X@BYJNFLTmqHm4!%^nLn1Mn#4{Tq)3 zJ5)YO?XqZS6fTPnaS+M=5kX%UNHhsH^Xv{5r_1?jT5AUptM3t$j|>M?lwo` z=}3~y6S<^O2Vr0zxQH}gk|gup!B_$mdzp*?K3QwDE;-p1P@B0F+-j6md3p@s(^%PJP_1=jgS$iDdNKtbrsTlMR%qw>eAEcnR!*xG2LY zUPTyfIt`ZqfXD-pTR&k)FLOF1qZ-FYG4rB1fH%XSXOHcrW_@agbK3H)Nn(cc$3FFw zUiXVpdC`oCM}VF^#2j*?gjj7X7V=b0%^eX;4~RBYr-fk7a29%XC=CfQPH{)<*BI6* z$yJPWQ&kl!RvAuYUnO4K9@fUS8G<>(8I%x=S0%a$L8?>RddymXHazIgk)eG^qUBUnA#I%kyL+`@1fq@ztWOE$nG9k#(e$QFjFO3z-E5uG}u^uFTp zfAWRj7|?NTh;$#)+9UCFd0Q(I68Z*u=cFn<7c@FTj~E14ot&!N3Ep2Oc1OdXbe8Bl>HuPEF4vTstUz+AV5L%v_zip6XP0{HAYt zTcmn4@8+?IW#Lduy8ap8UY#15@eQa1N2vh44iW~Mt6cra#g8;90X6MLFjuEQkcA8n z>^X-J(4OZN#Y~q15y$SrOaqiI>8LCyX1WN1a7+Yu>;9B&wpaUwJb>|8q8LF_ z$FY@xGispkztp44Un3snOxQE$dag0o1Jck`>O_GDS9g~%DMC|q1*@+w=A~ADYEX2w z;si56&-~Jd{~KQvnIJWNr-Pym;-kv%Zh|6FiJH1K#(6WQ)&gW(U`_;qjszS>cv#Jf zIVd_JD$+Fg7D?$wFb72kjC_#-GIG|!D3N2G92B8r2IR&#M<_h&XNq&oK@o_JEP}yM z!unTVrkqMCC>HkQ?M z!kQCiFG-k7tej3qu1*9Ol1{&Zn$bY{#?;KDsI_>>R?DSfAPoszCZQN5pL_N>EX7&s6nWL zYu>)n`Q5*M&3snjX3dPj(aNXX^=Lz=P2KAn zL;1#s&dif-pO~OBvL2WCB*_6u zr4Yp|S3ORv%8SN2aAhI5URw+v%AnE(n5Zo)g0=#UXIU3K{Zy^1IgA171)8fjlc>_w z0O-NzJ5vbqJhz2&|D!& zjr!5iNUYvIm`W`B5Es4H)xh52r&Cw2U;*o^E>$aE6I9HsFhl?2jnb@(uQ}`Lx4q54 zdBwma0uIY}%({Bk)h|4|aAx~+e)aqR=}-ShUl2`J`kwXu>W9Xybe1w{P*($zX31Yy z8a2045HM^BAFlOK*41aJh_bFe&qP%F)#sRqDqVe=iKx}pYYYGsZ3!}lHlV0=^@?-- zs8_L|YX*2uL;62}^LaO-+t#ic*jd8c{T@ zokiq?KJb6`zo8o9lP>%~rcrsU(#9||uI7=z)!4&3lCtlC_9w(T^p5@A7+Jn`q=~Ef zmREl8b?=KTlXiqUe9!trAB%SPH8hAMX6!3dG(~W?0o^nd4F>QTk7Wo_(G)@OcM+Xk75vtucxMD}qhPdV69;DjF9=`zH9SsTKg7(bRMZQqj1eC6Cam1V$On zGKC-&jSE`WC1>DyswD*J1Qx*^c&>TqT?c=#xja%4a7i%vDBCt#xh&HjOICgH=n186 zXSX{V{@XQkU%XY!DY+I^oG+zhnf&OOEkt@Cku;!CI(oi67^KLm2_=ulww)yN{n_$z z+j;hXd%i)qMnGuuDy@&kbDq|$?hp`7FjqYKs{h;bEs9S69my1#G4wS@Z2=XxdWom8 zKl=SunqF1)KRQKZ{UyWg|9$7Rh4(02C)JUAWnt;{jNCr)JQW%f?B6x#54XeHBgalg zW3sM%NgWpyJk{i8psp|C>;WlHO&(#4&gx33K2vq5nlJIJbIT=9frCHO#!S z9(hvgHa6k4T%pV2QEle>tuO!IKmR#nX(zCYqr-tA85$JYOwE_P$m*STEyiypJh6H$AA64kNOwN zoH%Wl^emINbOL-%_X5cy@YiTCAAObL2^fnDhKf-OP;iMs<&4xqQ2aC(M3*}f38b$% z?lmzJg48R1=$+6%GkFC6t7VX(UU5MHd61FdifiPfnnR19W{1X&ICwUiz3IB<`iy!?R6we1?i7xjJ77ch ze_M$b^DWPe+_|^On($6k^YHO*wToBu?%gRp^I7?wQh?Vb6MB^^o^zG}=8`C0FaIK# zJU6)Y&7D`5)+JX$GDI#U5E-=kf5g522hF?N z+eVl@)BXo0*U}G$K=p%VJA8OaUB>2Sy}*ouSZ>oT@S5f2_IpU2H19Vk0k9Iv}@W{|*%4x%G0hUv$;)hd_0F*-rc8d^5fJ=D6sp zmY+`4UL0SxV}D$*d^$Syv4lh$xmslt-*(b_0n@ge`Yo(=TWUL!Yob>{h|te)jqh5OLk z-~PUjkAYE|aB1^c9Qq3X2m>`>)oZRBBhm02kq2n>sOY3brsV0TsyvpKqu@xP^Rdl3 ziGSoPmVp|ZrL;!o73FbvLHHhGr;gw_(n!v-M^^6lToM%0UMNkODryfcFMzFh1i&3u zifJEais~Pe^H|#@+#WG!$PcxLR`=VMM6)=iUU5luQ_?`^UsL6=P*hUl)jgVmG>nX& zQ{}N>%viHxZfpnVt65W?RX@5;2w`rlPu8SH-!JA47;ZVw!%El+tMknF=WxJ$61_-3 zeYZ!?Hwe>4Z1Bfn;J4>Y<8i+vi#D(z=nSscyud&!gSPmKm05PrvGN<;AlK%&7~@l> zSXUoLeyOK=Y1&Qq!cYxbYunIdU6|&fPv%p)3TXc#0oTxEtMyLS29>u;dAALgL_=5R z2?Fg7m3qG421^vecF9_Cif=V}a2}?C*MqI|w<;?!Y=5ZtJ)ItgLS$PL)Rv5BCSLx( z-tNB~9b7QqhIQ3cnM8O(>S!5Uqs&5j6F&}>>?$O^2nUw24b^57+NVTXg& z6EopQM zuu;8Vly49c2s9{ibl`8A-=6OfkUj<#*(`Ayjby$>WzhW=*0e_GTx3vGA6rTSMn$(h z%9~_Z8r`ic0IU(}egddi_qx=yOo{(w>QsaC^Y=_^=` z|Mc-KQG37{T&#PZZgyW&kz6%$c1o+@qD<>UaM60%*?EP616B}L12r9i zkmVJ#?+V&bj(B4zz@ZJbk6pR002iu*zhjE47R9?;a^{2qb$c~}KHccjjF zi9oYcJMFMU`SujvR)8Rz34o*8ST*1EmD5${@nfi){l}Qb zCI2CkO3kkpkG@DQLAgz@7TFq^xmq>PS55v7Rz|MK=w;*JqMOT_&REN?77aX-WOa8| zSWJ#C*&2GvNiyFani7d84w%!fetMGR>*YtsOjb2uQ0F$}%_S#man-&7f)*{SD*l91 zoqgCrojjz$^)Q~LiGw`gCV%&v+rM*@|C7w^RFw?rxyz*^dSg)ukQ!my6wj(8=$WZ{ zO_684=a;|#KYZoGM?nl6PRJAp(lDxmy{y?VU3ciQ)9j0;t51R$bo>uXzeh_1#EN2S zwMuK_1fbn8)yI-5At-*d3EJps!Xgm7i^2>+@uN+!U=w!8YPVHqx!Xk$BvX z;8-zLeR0GU;hvKJFy5{Z)Fi#1`>Ctb$yt~1skgTsDKz;nYCqNrk^ShgR-XM>0Gm1K@;Q`)e=>~)(MbZ>J4<# zkV9|OqJ%M9;B(u1UxOGlA|x;Z!y?+Fr$>3Q#p#Ku1P@UEF-gzzVs}LLOP@T{RoXSv z!@K~rhx6!>(mL(|A8LMkdYTuQ0&Dmgq_ouEN>?d8&I@EYF~mw9=cO^=x#aYR6d(g{ znJ*71{w+PE*m6r;KcufN5W~r*bOtf3XAr|94`M)5;^8d-A{dClH-Sq4rh(r7lHc|> zQJyPK(St-S6m-MgXPHh5l~kt7=|%wFp@@{F#8HFAUzfr z#EG#02|*T0#S03-ru@0mWC;K*!rYOMU6nu4vS!F+RCRl661L?>M_fW85;*niO~0s_ z%bx)I!11Hx3Jq-Sc`{kzYhv<-;(o4S+Ph{w`lZFlR@6Y}5+Rg;z?0Y|L?D1vQy=&$ zCrT~Q!J&7mGMMTSz z$WX-|o>0O?TM?L-gVqMwp4J>%qD2=3r#UVU3x0hRr6xc8B%vLlrKL33Te2!59=Dwq zPmxo$W;`#Rctme&8czsIgUaocuTWJ)+=GBgOep$+joiuC9F1yKgI-^0FlpW)GL0bx zs;7XmpnZ6`s9jZwH!z98^{D@yJ8hpNWymEAAZI&mf3po${I>u7i$Cz&!_(E0j^n{g z?FTqNs1pE*2WFF1g{KawV`>x5CTk01x$bm4oGIevvJ>i3L}JJ!vEI}#YFj@?^PMTU z>WwR)wsk>#4F{Ji9^k@?zh_^;fu*)}!Tq@!9<^-|JX^v;codRnOL(~~xIJCM8`X@( z-&3^|PA0!l&7?yedtRV^=`QA3hf+l^EwT-1(J5|d>__QDhv|CI|3V*Pyds{|GObaW z2omsFGsS@#fCq(njA;kVgRFqZ+M!nMP!*>dq%|Gfs?7*c>I&Zs@i0v zk0=+%_@JcyalW}t2q?XaCY*^yj^*_7cpeG*FumaD>B80JtHTabzqea0J zQ^GZhNRs*ffFBhFCuU}V(copTetUI;U?U~t0?t2~a8pUJN}+R+={}20b*JYOk8f!3{Dr%XE!ekWf|^b23pIMVMY82D zwMZxP{E_89T{M`7I9$YlH|-X+R()o`yB-F-*MHNW`1Rk2NKx}N?ipFuh5_Q-Ib5d% z#rgNl*xWfRJr#53@RvPz=dRC;DBeqn&+mbiuWZ~MChRNTOA)-cze4A5zsqax90pHF z#ghSdrV8L?J4MWV#bZ+&t37~b(;UM-&}<`cSnZ}X?-Cp_v3d6)bP{~_H~gdDSiioY zQ#IChL*O~FGF=;iuf!}Ff}S!8WZu@?A_R?#%ho{nnNx<*AOL2dHg8v@3^$uC0xP(P z+ckh92+aFYg&RT2qVV2Txr?!Jx5Wmy!ftn zKl6@f7lwx_kg^I6^%8i)-x&zD0funFlc|_5F(7qO6gBM@%~6!zy(zZ{ptlLPXtgA= z?D!Y|yMOcVhw;>q){UnHxYP5?F-2)0cUvVewVZ^`wH2AQ>uhk&U!Kj#R_V)g3E7;z z#C&zOpGc-ra^N{9Stl<+8bO=L1a6x;&AH3-*;v-w{6e13#-^+i2%64iW2^k~>1^z% z=~nw`m<3uqv47=*e!VecdKopi?z;`s9HuossnDf)(rm=bxyGW+Crb1UIj*3z8ZM<~ zRNFNHgeAMyv&+)xoD3l>f90RUGS-%#+hGV{7g3N)`vWp{i6JGxAQSf?K)R^F#+q3! zFtXrx3A2(^EKUi2}iLm%8gkZHGQHsm*B z$ba(te)wCzFbM1w@AwEo!~@Sbfc&N*H-sia(lwa}+02RTq__t56#{S?au=Ko5x)tX zv=|vRUshD~Mm5JtXDc;elFocB()oLSZkCuVBj?yKDz(9EvW3eE+N zrl7K&fSy#)HwAB0^4Svhu-9UlvgzfMkz;6XC)3kfVodqC#1b>LSYdM>iIIu+fL|K4 zR^2;iSoo$b-|A@w3%~mNk3atlp<^_1^I*YKNoS#SIn#DH$A^(KZM!YFSLw0uH|Vr& z5@=PXZ3gF&4K-&NM?-+3TdEJLHB7TXqv`fo1fWs_-5&bILkH)X-pjtfB<+$bE@OhS z0^)<170?XMs}!(Y9{2qPeuw_Ei@p14IiQ|*KUL#RTYgC6ea7G1eB#qFBz9Qi88IBv zmAjSos@W0Yv1E-`6(P>SkvZo}O9p5wIj8Y3jG9cHJoM2f8N{J!D{TvaHl#hMLovY2 z=6r-;t}OrnSE?1pJ`sYswtxl+Wh%g|2bo5l%Nn`1aDdj<<`3v^ZD@-X1%BrzxyS5W z$+)og(qsQg`C;_NaU3t4#KZD{)6E*snm@{#YgRre*&U1?H61>aXldP$yyqgeC20p@M0f{*aS zCF9cY#esyV$`joLOOaMsIFUe#$o_EN#uhk?O8uqYoS=89t6lkA>d4MXn+KP)u^T>e zi(1n-W7w_-!}i7B^}F8ifvCO=W7v+Rw{(5%4Yi;FbFfmac^gDIc2dg|8UBrDzvu4=wuJR*c?KjI2Uj>krPZn|7S-dIG+Xg2_=UHP_E|qbh#&cl^m;{hske zsfFYiM<{&hIe^ZAX@unaHoaFv5)q6d5}%SN)d46BOE=Q%l@FcM28TVOcSg@Jt>|~F z+F?v})8VDDT$r;-PWSIBVEuD=%5<)3%U(15F?kl{?_Q=%r^) zE&4D;P_u;)Ty9J&#)^zhToCxE6*@*)Cq96*rCyOwo(t}dM;4SxsI|6P$gyHBDBGwD z5$y4PF*flPK|Kx+9*5p-R=sIL)L||NE6h1|SP_)S3UiA?OKcL7YqBTv*X#|S?vkTA zOf|=eL}Aes{GjS*k{;7Vr$z@{JpXq}BaO-E3D(qIuCm&{KyJ+{>`_o*_MndVn!W1H z91c9VaA4Xkn$vtYgU$M^cf9eBzIQAfD8)gHC+&?e`TyB_7ogkHv^;2tfdCT(i_b@aDV~iFPFTp5xXM}8i%qVaE(=zI7X z+<^Ca=}>xob|}p#!BLyfEWx#kpJ1;~`q%#O=l^i{|C&&8u$L#_tCB;TrI!H7OAgyD z@ChWy1iZ5q%(aQCt|a8zM4E{Qj+;i1O3SYi!$WK)9*A{Y!KyY9Gx4^)N!C?u zqRhktvY{=DP-*$8V+216?rOCLZu~YL$d!? ziQ1OpM8qshG;({!Ga6NgmjB<;?$x-eMM(49UA*3hTQ~5$9{=n zH^H+`iDEkQXuT(KYBB7-;(80VLnihPYniiKjgw+=qWq8`{S*A*0WAHB`HUsiu-lr4 zZV&J_vx=A(-W%v7hTSg{^bnFPJnWVEFwQ<{uGLCklPuJoR`*B^Eozo);~jxWvGF;6 zX?Dw|F8^w@d*-@F)3TyHDA`QQ!=|?FBt+y*VR> z5g4JpFB9fs$uG`NUSTNE42A$m&A3GpX3o1sZJ4=O^qaBhzvq)a{O|n2M;8Vpy&0`V z$CO-7R$v8gFF|VZ}46f=LYsH?Xh^0p3sY z7!?0Pu(IeIq%_3@0u!OI{t(O-9W#7D8zHuG11NsIVr9{R)W^4mQQhnK`Z)EZsVpft zwObrfn9SJy2NknX8BaK31oN)4cw;F2T!spuSotR(6Mw%@u;uHyI?Nlh6DQ>2$RJu< zRI!m>Xmg;YdL0;yxzj!l05W^eg#s;I^1N|LxMk#J9OKiHZZTeFEy*qiz%XxQl(|ogXwZ?`o($Os{x(GV3F@`S=%av(|LlYEv$TAXh z8HrgQk{Tu}qcr_Ndld(jvOM*kFMh-Nm8hw!HSYPmCFg`W6|Aa+_gDM8=-kyNlEY?g z{iJT+6=G{!cWt5p`e?TYYf%ls?DHPaCypnBa~~SpO)BgfQwosm2QgjkWKMbvU^KQfLVG~qlVLP?MR-I@e^(=cY zARaa!yxg+EYC$jkQ`yrIIuv_{!lVu^-=;38g1+VWKmEX01_Qm~R=h%PC>8`ZJMsy0 z1le{J)^h~F9)f7)2SZ`o(oXNnS1kE){LD5=HXt)pK`sbNUk!xNHrNxS3MzuY?n)eV zIv+9lmMSP#qzWp6h{7aXJDm?U%O(V=f?N=d@lbkHY6uluj1t|RA_#21ocaiSj|PCT zqC9Iw@_Ys`mP?+HN^dSw9z&Nz)nKn*2l%KKQzSv9OBS_{@s&OFkyOZwq|-~nCGK%E zOHf%P_rOH+I)US|`9-$t&MfUKs;el>tBonn93^^;s4CUR>^|^0X%D=9K0J!|3De9Y zQDfV1Ac^=CgtUXudL07DJ|hg6%~1Tv#EO_cmZK&{u~pPG(XEH{IOwY)Y64N?MT7y; zfRS{wcn_hQA96CUJ-rhO@}c$byyb`V?_d7szW*z}ZK{xoeiS%M(7%Vx#%M~yYf5RO zyHTI)Hoas}PJ50fQnL#;eMv#LSiyGJE;aT*&0u zwrf+fIjMK|IF_5uNj(l&zdkvelX`bCgzllUv#Q2k-hV)jW}gUV)l)Sl-|&8R)kW*Xhqd#iVDtf?WKlWe9jz8X;nf(j&^ zdd1tyOrMWvwG>GNo^55cGSeMOtUx9qO|;(`cbuPmGElkB_{zVhm_@g*neH4(nn(f- zLVk6XTh17HTw;H7U&TTic^TpS=tSp-CXHMS@73GxH(B^+Ur7`ful{V8dADdWyz`M; zm&|s#`-9K^^2<~C$sjL1DScMX{pGg9(f-7opB(K^tn!n4SX@nw?hI|~nX+e|&iTpF zM#h|Vf8RcK8@{=P3EH`HMOAa#`_ME1S^rq)5udq7_UrJEwak&4LrJDcq zeg3!E-E2}S)r|(cfF(P*WGA0{$FpC(Vr;)zefi5TmlP?hL!b4tfAHx~xH0PJqEuN> zX*EICzM(4z7@@QF9c6^h+BfK@P*`q>mwVZ4S zUZZ_cplM53*J$4X-s)?#?+|hIp!OXkuFkh7v~Q2NdbaizO{Ec7h7tlu=;4;`v?9H3 zye`ylfvBQvzo_4vSHG!npI&Sf?xl33d*>JK?Nu#W@y=jneaVc@_x^9ZZ)4sqYR%h{ z8NHdz=)dv)Z~Kbh76F=)^f-piD0?`KKye`lpfoh0XS*7Tweudd#@4rCldD6JHmIyP zHerh{f(v$gjJ{+p5$0Uz3o>aNT?7{dB!2@zq!PC~LtMnEsYzV$aFlLH5$rLi(M52< zuEQ)1S#jsEnOKo7f(xFz9SN9`8QqcQjkVJd6c@oIJFv9@lR{YB!GIY_5~jru#4rt@ zc4W;1@PO@_L{67AF;qgJCTQ+FX~5}gPMUK@7}KVlQfk)g_DlV@Uijp}jsI0KPo3J< zW22wc^=tYz&!akg%l|HeH=iOtoW|*t0Sl* zbQqFIP=CFctcsw@q_Y9UUUIa+lG!PzCE|#+Dzk`pas+ik(MmKkU~@JlfQzEku|~QQ%8D}Dp&LqM(RwfU6mYwIjf4BuXj}*bC4sykWP4+GDMKOZ@aWz;*NDr`rvu=IUvC-6qVyke>eaul=3> z_3w`Yzbi$hv&30kfV)aY6e=Pe6aSxwEXN&qu*5>qxQo=czJX z$1QN(^7{a;P@nrU{YMocs$=*=t(|%bPZiT#eqfcpRpt-(GyU&E7yQ&~n(=%A%-tSf z?%`~~k`}s|w9vouBmd#=`9Rpy!->@SZclZKz1v$l_R`dCt&O(J(n3XW&n7?$qPa&a zMVh~8Gbs?2(Hl7RmK zSw|Cn@A)EQN9edIz zqJ^cl^D5SM=G~$;pj(Xi%^2}-{cG?1rk@Ocf@^}}4Z415Nr!rVb5MLfjiefLP)wFd zX?Ht<<*Usg=AhX6;?SPB+4iOgPxN1!c6e2rwISkmF3xI3dOSb}0)YztAzK`{>( z>j*2^6U;#|f^3WclJw!ahdil*V$2e?rrQl1qv|4O8QeM!)@U{06xq`1z7|ap=1o4S z&=Uh<8!5po6LfBHf(~pgi-Z1p+`|?+^c*&E4+(FSdoH)Nw%(<-_VFkF{?j|(_`wfG zprVzYwtkJA8>0obqJrr}UQI8NDhG>g-93ZURtON_GaF+{2vWznAh2L3$>*VY6wLmN z7DA9Z&IOGK0H+4^Ze5*KfN>Elg>FzEs``i(bNw3g5}kv^X#hfyI?h)-9*)}53yR)d zJDrR=&IK84Nh%Svj8;sE73=zSw?iwh6xngP&DWMd#n&{bHmmGg(}royT))O0A@7Yy z%7}pcQ%ZrRtXV4EsNvVQaZ`Tqp6E-} z>5_lEz5L_6TeO5O^P|g4`iB1bU;nfppEm0URR7Ja_b^fnUEFXKSQ^awNt1xK3;DIm ztQT0?q*-4x^(kf@kdE5^Q%Crz1HU$D);FZ{2Z*e5`nR~o%B+K#U-FMI>wt*Wr@qFl zgEOfStjzjxeNs-P1Dc@PZ&RD~f{DX4(e{^={G)&I0L39L>aCgY4jAGL!1iRCby{9C z?e7$mUCes;5LcZ6+UA(-IWl8r-Sd?GS5j#8T;XNz4`1ud!@=!j$LXV|&Bd(0@XqJT zWcndq*3Ihq=cqKK)#&->&g*bqgp)J)I;)=F5x&kj6Yp;vZEor*E`G2fU@_~D$E^Re zpYu&WzYRF0riZUDD*|HJR^n(lyu`&}H2^3CfSlcKQD3a9g;iM*r2jHe2Sq^R)rwPBWySRtl!CDT$g}po zbyZd*gh&L>C-Zt@MKSAsk)Rv1@#O1`DY$G8YR`fMm4pYo6B7 zn&S8X!p;~x)|`yNiy^(brLv+K>Kt~fAs<2kHF5Rq06dQ4_e+4sf#`do%eq0d`IA*v zoSW*j7wJL+L@fFreZ%?AADZswbu2nYr?f!BT+Zo$=rD-+wuhjmvt%h>B=9z5k7a>q zYs%BJ%k>E7BS@s76Crpw_wdtHp1xwKBpuEh%d*Cb8RHl<*&0Pne(D3X1adi#)E$LkpFNeCxXXAw_u>Ngy^Q7YxbXPhMlueOer@ zwpYihvFPAV6ti){&##6kzEFil=WttT|G@!$PTE{<16G}NIesG~dc|h>nv<$q)#imv zhdmV7Y*)Vl>LLVdfy=Np$AxP@d^RgGEHQ)t)^*gC4`D;7_yxrEWX!i zlW%Xyqe7FX*Is&kK|))KR@j+0F)M>!$HjONd)Oy5?}8VZ@A}_(bT;o6Ez#NhTXad% z_0N4=_sc&V(V5;1kIwAY;H`8A10>O{U9269wPHCzLT>XcYz<4g`ijNDIBn2LR@tTN z91J8E+f&yL23U<4q+o;_&>M`-;?zmg;9q%ZbXoAVS~Hs+jOgFMMb_YsXP(XuM)Ys6 zA-Oqq<6!JGGCZv@^u3j2FR=eW;9IoDG-QT*Yo>Dl-DnCq?hO_jU%YlON>>Qjw`ykq z5nuNIqAdi@S_|iq@|a&|X$wJIiZQbJXMdF)4D&SRRc4EWVISI~hP&k48}Yx5uhVQ= zsOSzx`*(3Lo&repL&U+D8#&r*HsQat#*vZZ-GBWD*8kXa%04?D^(Sv$W}H8tBFN#y#5yYx>3~wS-ph@YN3I8Ng&$<=)CL2s~6siDwzy1yh5Q& zIj2f}Y`C~qMjmqdVJ@y!^{L@wUl)bBhqG==tH8~)3jElQyyH9ngC{uj!)#^-OB`Xg z>(Mn<9zsb%55$PsLjX2_>`u~r06a|&R-Sn}hkj@9<0BDFo?c97Yy@-Y7pwtipG6*C zOcnYCZ2(DvnmcZ#=Ta@V}0cEk_Q4?Qiw#H40)VO&gXwoq#s)Kh`|AGG7 z1yNY&zxbqz80d;}#s`NSvw3Y!PljD`D24NXvEI-pN{*u}mL7*2>~Vm$O)opNEq>iY z(zfV;I6Q>5wO3;znbj-C&DFN^mLJNM{n#J=?pN+d)2zZZT-!Pd>abgDt_(_drzH#9 zW!lySLCplr1hffMfbjDLT&jVn?J;s!1qtt#3a5~6tg#|*Kv@y9l|(;**FwfB<`t=J zU9hBgFtpanDshd}wnY#a1NDSYCqrt7AhoRv0x^OwOPBeyvpJS7IH{cY)|QuDKB%s+ znX+ZK{%dvs?O(jSpEZ=K5saG6+M_JhD36siF?Sv~o~43e(D2`G=a$(1U3W#@xutqkVQp z%#G;`@P}%_;h1}XsqdPYdw{C%nwWcltM8hadw{I3Dz}!Hd+6>|S@{xkV{p2S0`x4x zq>@!tc`>(WU*}S@nR4~!RjA~owueaf^nfMkW_Y&R-4Uug;l^T^wOilO&iouSvvePr zC{{e5^wHh(*hOb}Y4q$I;BcvGUfN)9uMKud%X7lv3s?8w{o*^`rLZe(r3r`Ct~o7D zmmso!;#=SHiN9;Aa6gLVekd1V6ri15gi(NYO48ju0Yff1XE5p>TZ~tB5kOMQ2??a$ zMRvNe{8d_h19}S)Ja%0Ripnm+C^o!u5zu!t0uIlJEI`A_SwWWG0U&oqWPwb51jwE5 z&Nz@edvK2epb%yqEfaln>r_Vodkh2N6tCmnyj zY>4ga$3wVX{_(|OSR4lSH`D1fe1(660VoP#0&2lyrSVyh71TvJR-A%@@p#o7hWEZ@ z_q+Z=WG~fw^X#Sha-^an)h!AaYY^`>Ha43ij8bAXV$QshtqzD9A`u*R7zW0WWQPH> zI8Yg1dg>JO3cmU70(B7TTdjmYjin-)+ zKwqaY?top?hRTLuF1Z|cJLeGhPS6mf((+T6+20%pr={Z%%q5otDE>rgbx_%DV?LFZ zuh;<^E$ymZr>x|;EF@8mOJZyj2Zx{}bwIGy{66wr7D>>x<0psYTE`q!Yx0qG$qp=k zqOH62-mn6;>(0ZgOlrNN2FnN6g_>=AZH6MINbw8ue_y)BJH0Ri+#oZ6P>PdD>y{|R z=c-71C$8~0-!?MI`xiH#`gh+KG^e`I^(qpb=u{SyDiSaFP8+rSb*-z?p(0Nt{;XFV zR*`_Zw1UGb^60G|aq3|e2`_-|T2?;8DiUBJ){3&=u!;mksx=JPs7MTj=t`s7M@PB`yEri;8^fm86%_OorCiTvX)E&Sbc46}hBfZZ8F6dgVeh zv$Rs&Oe@8EKKD!h^Iv%58{Tl|&2Ne*&P}zaV4{m2bDrCN@|=Q6t&!-C7YiKeja(GS zH5;)+87PudFb5#k;Hv|Li|kI@cb-!)m^-Z@#i=`^VW0+KGata14qdI_bXk21dvxz~l7(&}3MJiZF83cQ-EZlK7P9_bZ_Vo6Ag2XWi>@_?heP${fX;j>|wTl}v*dwQr>27gDAXO9bMCDT*A zG9M66^`_4@fkacB{iw56h%G<%o`0zw#qYV}cgLDY0JN_Z@$kM- zWklkf$%}+{No4}>yanPl)%f^~rpzSRiGYAk2OvFHr6Psfs6L=73Ze*@Q;}$ALEx58 z$VVt2&+W(^?lu4y<@29bXah;dL!dfdw$t&r-dqLUtyj#l#TVX5ax!wavK`0c2dge; zzrxEMKgaH{!o!)D&^Fnzgg|kESS#EA1Gb_zW0OW+amcRuM+tn42!l&H6<9>O@sW<83cI|Gd=3N`1>y z70L!&UY;WO{Il8*JtbEb9BOQ4nXR-%>js*sd*`_B(9#vnLs_@GGs;s;P*1s>rhfPA zD+%yZ(;Hup1>%KD)Dx?w?(p0nUL^fz<6+)LzE<94`2w@=3bX8U?#i%Sx!|FnmWN1( zy54)eMf2_o548d+?};)>zvk}>308jex4(S%15;&J>%3zn=h#?kZPD}KfJkp)r8;dm z7qoV!l81CR=upleByI$ggH-Wc5!_M{VkMmc_YJB>y|X?-JGn=94{)>u&~*aUR(p-f zJHn|kbcrjXv90HzC+7%UT?dLlbmie z6kPD$6L_VOd#Z&6b^=y_IF3f?MHw@sJc(?lnDoywd%{)|e{QUy@M& z6{^JzGDX`m>_>d=4}JY#j~atA<+r2M44;Ym^Y+{u07A#c=YNFY`d|#{whQ%IDzPGn z@x)q>Jfh`6bW;dYiMe2DNFJKvLM7&c-Fc0e2`Xo3<{Fil3zodA)HL6xDw zTy*0}ZtTcX!AvBuKQUL|HFx1YnGIx#EmUb26Z zhAo*q)TR*3+!{n_slPAv_YaL0i0}UO&;I7G3pBAZ!MMS5MkZw_7rHD|1jR2dZRPnagDOtKV{N!Hc=L_(`j z&b~deV-sme#N`U4_UpVT;H81qKrt2mQ6Ih5*sJg$PPsMwD(bw(VfU}PKEsX5I-gdO z^%lwpN6ko<3x05XzV$o?9Feh_KgV6y3BjddQmSSt=H+&>`Fx+#ro6T80vL~>KZZBO zV`%G5gj+1Y4BleD#tsc5+9rNFqCcWOH5Ic9@~`Md z#7aHEx=6CYKoe+i1Wmmrwh9=9{H$goPn)hOr%Wn5Rc2#6GNEwLCa${ZwNxZwXo#qD zV}FrDm2Ea+Y*$N>q=JtK+9*XivYm8VjfPP3>?-aaHeX-8YCruOf99t?_G3yqMPFd| zF8y_$kM4{ijNq+q6hyA{f(IIIswgqchi?RFbNtk!cu`s-7d&qEM8ZMafy|=SO_dT` zcSyHT$&p@L}<<86)3$26-v(!bS^I(2n=6%i>>1td*ag^nOb_x^~7_L zp7IH}X?o08M!ZI&e&`SUOwal10PjE|1Uz#6iCF1DpMbC?a}5Y9F`4ib4tU~gaON{l zSAfrMOLPR^GBhW(UP=Y{1SDFawx=0G0?Y!>S>Ycc&>oP3B48NBxX>XZZ64sIcogypn;1(Vk>P*< z?^)q5cL1PP_*)3n=jV1hUhuMk5+Pu;TXCI?Qo)A6U(KBII11l?EPf8Tt6*-pm` zUN)T{_}eN?zL(5Wy8hk|mVZutyov*|7s@tR# z`#mhyW#)u?qbI8(;sQG@;7EtkXr;D95Jk|zoKtdT!6CFI!}J9MyI^3;L-J%IlZk=- z%HRFe(;xd$B{I@Vsz*kpgM*6uf3qSKTZATH@B0iBXgMg;(x2Mu9 zf@jcTa79pVSVB8aO>I~N0W(v^je};Ea*bOeBbPjMOlHAwqISL4F1}b&A|sas{6gb5 zbgE0{JF^1RE$SW6ZL;QhE2A$eNm_AV^8i*7J^OioXurAS-CeRf$`oLh_f@j`M*04f zngcS7xb<~?Uy!E0W-9Q5ce$0!cc?>&U#=66lT6O7&Yo{khq1mA>!IUc;EI)GzDH*S z;D}yvGxw)s4KJAIgBA~T9gyJ?-&rN0tten?U1l`=BCbynq>r&BWRZcr(M_gI~dSdn(uS1e4*XOyX0 zL7LqnScsI732z&dXmwpMc$6`urWLGm##-88N&y&#HeX%mjL&GpwyYQCjpg#(Y)dXy zj%ID-gWF@Z!`WQhzu0UWZz7_+8denkhs>z%G&EpHd+DFO(s7uc1N;0k{BMX1eWFy~ zR3mp$DrLg)7?xK7@yT*2{vzUjwC|vOC>?-OTV-lny5*bZY;1gs4LBPwsdT|UR_F)h zZ(&W-7w-8c1EsOB-1_slsU`y)9D&QNue~4>s{NtM{qvS@EeGSl&-q_I{Aa%{+KGrb z>b}(5iF6CUKD=ou&ZA?+G>YwV9F`o$v4&?j+v1;iY!ZUhdcGp4AXrL=BeUBG%h%a= znbvbb8_fklaFJ-%IaZ|BbHU>l5h2w?cQ_bZS_o3>xuC@mxQhTDtBwjmNut@8Iq!fB zkPrgEE>({qNUi59B5jY6vp6+s5*A^GplCgp1oMRg1HcDitxQQ$QjT`=17OyIdIs~1 z^)e+%KC-?h>M=z!c%N&ASiw#uZ6O7%6v;px)!mZNuT>rv0=PFxpK?3&$s>15J}Nmqt~aZ9 zhH_OMK!_sJ^*6*3q7UQi=+6`CQD`_WSC96HZ*@vkPwrSvs|qo>XYlw$jWC!2y=$;j}HdINJiFQhO$Z?GGXIO zLV+1WG}6`W*RIpHo1K!X0Yhjd`8au#wnh80_$O-n$9MtF`J39KZjc{?$*t6BbDU z=UzxO_&Mr_XYk=m1CPdoxkE(&C(HwVDZv2;hC7^huOU81 zf*nRWi$yx_z>q0=ECeNRbU~D##3F%@0>hoD@Ip`mM?W=ET1Z3zMT3#@TF?qX@%l}W zljdbbv;+x(U|vxIM?bae#Usds+H2In(IjoS0jr(bsGFA*i)5Dq8)g7GAn6cm)?Y^~ zl1rX;dzeA3pbF@&S~K4r8-zgo(ALUs@y#_uV%JHOs@NG+P;G2MlB7lQvme%ALX@*( zGMrkZBw5{{(^}zq$XE88m+~Fz@a#yc@CZ&yM(pv8W?PS>xGfG2I}$N)lA2lWQ$_9w zvsrA5g=;Yv{6gQyr(!YeLlpYtAFhs$TsvmmS{&b44$mI?U*PSVK`d%XQkdN1_-XhV z;SO9BX7&n(LVuV~D9=)a*#c^exb%?=hLUxREGX$7t1urGz$(m_?Kr1KK?78iusrtNwzu0Bb-^m zoM7;Y^m^uupeQ5DjDbDpq{+zr9xMl}2Uu(h3y^b+U{F3m8z9lQJ@2$u6N2*ic%tus zb4vydg^+G!ewe6|L38spZM>Q^TZ4))EO;@uB0(OlmB? zwMh>VA6so!Bx(1D21SMjkWXAN|JUrU7VqWlKnLpu5^%rvN*m-ke#qj6w*k32^1ry< zDGR$gZy2jFbRguqc`kAmc7+(#BMN2MpEQO zx~NFim`AAm+cl3eNG+K+l(i}}vLOF+7MKoM*t4eQ2jd4gPAmsB4776k{nXxtwI+Hz zlN`caGk28mG*aQH4#?*xdOYKC2!F58*bu19vuwxpE8TIrU||Q&=NAVwoxlG6M6+iA z6w&cjk#qvh-g*mS65KtYKY)ubn|SyDbw?K~oL?{kV=tJL%O;7L(JPC>{F;0Fn|Ud) zCa29RNGyox0%DzlL{C7cXRl6|q3HB-8U59>93#-x7yjz+`}Uxa&>E;mppHnuT*`T! z%4I%xf1aee6~5x=xJ@c$7c?GuK)D85n)y7rU>OQ=L~2lNhwXxiqg&yEqrK03hFoy8 zrJ2uw3-YhTYeOx~6<`C`Hqz5fqv>mo^fV(c$QRoskDC)Fo8rwyG8kFm4DEm)9AKv*h{J&O`FS|>k2asz*aCR)IiIdlx7xA zdrnqk8FkT1IcoJNGo-dLon)M2q~r1^of`iJ8nRo|$YMbo3nCUZEKp-X)xpRr9|raE z5YV3VP^W{mvJV{s&Rc#c1pLO|{q1T=&j1=qrm6XBJ%9r%i9A|QkVf&)RN+)0n@w2*?L$MJyrRDJ3k0tU=v zAo{FBz`d1b&M06tE2aR4FP()Lr?bsEiJvD2IDiVSS!4qwgsqs(lA0~_uY-1R4KV;1 zLFc|O@TDi2VJ1+kjL#9^pf*;ASC2Kz+T7SMbbijt*Q!T%X8bSm zXA8{avH)ie%tSi~IZ#8AfBr7q)7)X{FRV8!uXMODLiOk=rN^a7?P4dEAJU``KKI9e z-Ec#VNa8F`ssoOjEjqS2znhTEhWzp+(L3FcU-$g}DS_H?s zsHjO@5Z*IEh0@9+36orrrY3bkj4RX5D0WC`fyQ=Os;CH#wop|_fYBBzdc9@M(H1Id zQkNWWp~`n>yoHKhudg}MLN%$Ksq0kPUgO6Wzg0e{9&M{0p$wDIP_;wPbdzmP52dSJ zHxIb73w_#U%~{g**Lb!mdm)3jE_Y?^$)3=VJy&*t1l}GbaNaFyjo1PSyctN~@t3~$ zKlzbqjw?@pVW4Q8=O0&RGc=B5jiME1>D4eII6|%IU9?)dIOrZ`5f{f1UQ= zu96i2yVJ-bPMyLZW@(@lOIH0#n3{@IEG3cmYk$cq{e{sY%uQ0VKgOv_f1&k(<2donai4k& zTh;AB94l2Y^ehO>F!Lx@>95jpju9t4J|EHP_65<^fhwk4Coqon*(aR~^%lzTO5e;i zCa_v<4WP#vmM&t2Cf_U$hYcWfztmI++#i$K3`3xq#qFWG&&nTW|j5cnYD5c-PzdJZFmD_EQZ!10bD>MnBe$KQnX6E$POnPZ$m^7Rn z0N3_St{AVtnm|gfI%t+;m}X z%5z*!)X8n}%_`|uD!eyUXznk#aCBib?aC|Y%bePGJ^N+PtuR^mH84Gxz=l8n+y2Vc zWKVV!%O-oWF;qcHTiq6nS#`xq-dd{#1p*U2*)6bA-e(guX5cwJ*=#m~0|k%I+zrpt zE3m<~?&8XH>ck~I*}YEmTr5Lq1%3{k8ihSjM%CZCDwd(xXvH%4x-F=)E|v)|7}rGH zI9@E%c_b*7?Vb0_zxX^qE3r>$ERx-<;$(TSv@<8^W_z;r#E)x$l_y}^ze};~DWVH2 zo4@pAzce&=u~2T>taE>q?o_CUA!k5`ny-`;REC|GV_!=%8y~KUxFle2CIR~upY#`h z;720?tNjsPiM4Kt)Yt|)!~|@#BpId!!8<$PFb4!#Qg*=Yx?Xpgm}wW>gM?h{IT9W1 ziejcsFh*Ey*J6G1sRh$wf@y>mK&H(#3Z}(RT}D`eo3lN&U|RgtF~Vxw8;T`n+D{!L ztTuuw$=9f6+9k^fD`MQ6i>x}}kQ~;2F(3s21+0Jassj!U)+AI%iUk?ix?jxjhkhGl zgcasm()3r?nQsr0)Ruq+HmP@=)%^j4NY0K1IGd?yrz29ax^l%uo+Li=46OE1Hqo&%d%!+e)a?U*%;0#D0$aj2LLV!2>-~f#wS~`KQik+hi3Wt z(CgMaC#dmlj@Yz@19qG|$U&*_e zBP^NI7p~GS=9vXvR1(*9W>vz`tLM(^J4LcAgnaC~Uc7qYtrBuR{~SD9MxFII$9^6= zILaWg8ix=`Rwz5^U-BY zf(F`cze02u?DpcHev zg(^4LYs|31YRUZAbOEqC-Ad8?_;Ly%T6ZXdi=j`H9*-?jk{T`R(o+Fsv+(5PpAta` zamaS}(XuY-Y)bYC1#*&_E$cQS0g*+U?r&SxkwRxj2cSUhqapC4a(3^jI|4GHz^iyH z>z4K7nv+A2BHx~bQzjk`e53RP!?{OMRL;Ie!{DPlMsOSRV}#Ae-LhU>-sUlagX)hF zKR)tfG~(GJM6Gx{m7yionU|Jzs=@G#n}$0Cg-gr&CBPDiqSMbQCcz8*ualPbz6Uns z^$>GjN)NhWK40aOU3aK>e{`65sCa>|b%$wjV{@1wce6PDuU>FLB*9PppFi|nKOZwK zG!503D5CPPKlC!|;>K#8>u$xp*JcA#D9frh2LdC#x$QHyrCcD>iaI3~TyVWQK}rRJ zR(7w`zK}fZW$IHV5aXWKIxT{XIPEp2WbIur4|sABX~rfEnR2x#%vgUevyiP|U82~6 zQ9!bLheI!8Sd}P@oDg}y6DZ@Wlh5~|>1!r;+4FzfG_R@#1gP6m5`P6-3Fu|(<*H~u zcORMimbvG;WM8}Tkk=glX8yD1;#-U~zyZrVizi{8g(tNAM%5+voR9eOmtT$^t||*P z+rRd9E6r7S5q`B zHOcO@)fs=dV6ZpjpJZ*V==H3}`ifLESQd$OphQiZj>Us2QliHy zc9earme_}gIzw>|ed0BYB_r-3Am5;3SHRvHROTR3p2z?zw>+TaHK@?#!h4y}(Jc?= zqYn3ZRNgdPZzd{3S#(?RhRGE5bp5iOq8>UgX;Xfl5(JS2E~n^cXgkIL{*Fv&JBn7y zbZK?Hy;j$G_O3NZ3)1RlkXGOEm%i@pmvN1^wkR9*ifg_D-)Qtk&UhIqIZ|VretxRb zM5H~UslYIx9;7WRBI5>tAp7*2Q)g2FUTmb!xFSeVTbokFf02~~Jhxe~ivJ=l*MaxC zmCU9h<>k=$+)7raq9o=3^zEtOe0L%<2TJXpWHuED6fHB?aXLn0sH*#;V?+2O?fOG8 z@(toFOzF=CcDRW?YXqsZkE0h!Fo#0immfE+)0v^NA(l8ho}->Y&G+c+CJh5Q8@%PD z`3WO4Gxk*rk&Tc2i=*`(V@^@+2m<3UA@)y>`E6l@1QCYt(5J=*^|OpEzc)a!6GLQW z@L@#KB=qib+pkj8MIPsW=O=Z3&yTGDuBZiiD9I7_Amw4{Uqs>dW5pcGNAOed+p`3}s9e^YYb8 zFW{(W-}?4I!42TnZaj~>gw5CABH-(0+@j5zzR;ig+h6m>mm(LgbX{KkE6jmPu|kdl z&ZVH*uw7_`ptUW6K&(r518kKr!DJdn8e11c@ry(-$g2V6P9v6Aq^)(qBluU~kT3z) zKQ&FQ3!*l7l2{8WM6g2n)bxd15b%1o)S%&b4=+OOyzRo5p)XVf&zS6?g1Fp9kgCxI zF?&k0(Ewh_#O8cz@r8<{XSnhEn3a!nOZCqsJMND4zEjh@OSFS6Zggbn*dnzfo#DXT1~vr+kr`QAA26 zVd)jF7dh*P9B6M3Y?!6N3f+>kR_2LU#rV#5 z2H7GaLwLWFBpzpSAk$6OL7Ev?E0M0DvoR2u13e%J5|0|4T{GSz4Xk~t=sh*cvcU98 zoNFtdU`nnM1-GudsGBD`Q6%6OCrJr&p^G<_#V(($y`AGG7{vPU{l{YkV|Z4YJcw5*@P4>E|UgKH8~9NX@asoFH*N^UF~ma z#8V)Sm^Qb4{2R7TJ{1$}GWHK1S`TAzT-g6Mi}p@BaN_0=O2F`XKGtGlm=gZ)OVJ|w4(0i^V8ta`*NybDq5oCDGsMiJ0Td?%fo?_$-TJ~u!LXd{n1y8%J(nv8zZ3P+i zx*#&p2>KYKVvZ?e)Vv}MuL~Z|XWBGaDzpi;f;7BE@VKYV7eN`ioL8jbbwQkwG{O)B zE|+QWEJ(xag6PPhUqZpHMX0um>nRQA6xYaBvhS%4GU8WyFNoQ|PYf^QF zq_Hw%EUURDE;(tUi=k69nj3=}!>mSo3L!gaN%hcSV3(eEC`fJ$?%>KpGJ3XCMEB!n zdKoqIB(P`M1G|{%C)Z5RyG4tcemrLSlfUube$Sg@BAnig)=a}-p*;eXQ<6BgMzu22 z`;Pt42zxQ1TVqc*8H(w$Ef{{7HnqM0L^bxRGSkTX>ZvhHO}k@b#cZa#J*ElCibzto zg4s-i9R|^^M6(z;-kds{X^Y_*_U>((bDTPx>9YdV7)UF*ShnYtnLe(;wJzsA?7=`& zTmHsO9|$Oenci(}erB9pFkSvrp1a*%qw^gdm1fO+ce*`UdFb*WkzYFrlLlSwsbQrW z>mDsHDiu7Kx}7W5<l0Ah;+_ntL#D(&Iv0i-2`v*=eg1TyL*1Zxv5PdID z?I!`MZO{ItW_?CUn|8)M;ECm1lf+uzKl&yA@W=ckQ|U%qMOr7?U zgE`%phjY=g(o;Sz{#{o!p5XJp zNc62&nE@Xi|N!n7+ICl&5fSot0f!T8dVtOON=UNWiXIG=#gkyZ;VnO1E@_BVZOpSzJ4<&{XaMCJ~XC6|2x}cH&4$rQn{&YbbuEO5vLvNxQ z^`{G-_h_czsWFlg1tlsb+l4Pf{po^e;sALxG#Fe#%jtxm=+7dF6p2b==$w{)k|f_qwR_L2s)vW><4BbxMS_OO)P4sAefGtVKqq*XeUI~c@!&KUoAK)&gH#v7pnbc%*T5e7OLgte(8qfTrB5onoOJxL$Pe(5oim|KVnva`umYB%=yPr3f`Q5MCK1odRidr zQSee@_%$AIW~pib#RztpI#HSPkE2|@IsaJN6w&-IbUHcpNgu&ajmClNNqxmfaLG|t zU(L_iFF8uPx_ppK|w)9RGfl3Dsw6LwO(? zheg2jD}Cp2&1Iq4?_Mh%NVG1&{0#>4_ZY6{88ol+9Dr+4uKo?Jg*-Yr`EfXCwp z{F870r~c^Q`iPR!KZ5D4izW%#+OQ@F!fW^e@Y#f=8t_8h1@kWWJ`+S-OC%t0MeB{( zq!47dZd<5?%Is1pH+r`~S&@D~5yZev7-O_uz!6YCAXcOw;DP`a@)`jx#7J%fA(j>C z2e=?o4s5&NskM#z-sV9x0+OmmSqgu6w-z~?Z5qHX`ysKE- z$0VcIR)Z27YX`SQI%U`rxFCgY8Yy&n%xe$lV(XqHP_%K-!cy&g|{vUhXBDU)7;4X zwwd7yv9`yHFNt%EY^n38O1={-$}|+B!M0Z4j#K9}H_&AU1_S(7QOO~g)7%G;4oLR; z)RBd!&R%E4nlT?Rf4q}n^*Jk?)7%J?N!gCXIlA}SDnOm)#uyfy8%rKeGIJ|0S*5wp zCkE-1L{h#@?@Kk>e<6Sak*$}M^rlIjPe$P-NLDM^66EQ>Mz3JDyJ~ZD4qD8(9x~J^ z*u>#UdWcY6mv=H-+qwXH7H8u{_jv$vY`#>aZ?Gb5kY9iITFCDWA%Jc9#jZcOc75J0 zTI~AcvFm^AlVACXcTaWlkRw+6Za|H$6;i{#n_c&=5|G3iqb)jlXs&aY4DGrLq7jv} zvgqVFv)7--HCCj9?}EoO$5=|nd~2NWAxOJk1h;Ea;0^cP(5|~+P~W0UsO`ICt={vFk2rDRbo3*BfE}%fC<6$PZyc^Rx;n7;M2udQn$+%xiiaGP&*tb^kl?sl6d1$8#sy94c*C4tw1DCtAmy*uma)+(EuVa@UgYANX8ExK^TfE-!LL62UbuN zn1Kled^m58ZR1W3tVW?rIj};d@_GnQ6<8g1&}R&Sh7dd?SM%Qo+-f5To{S$FLGS=+ zRN;rVTk^hYSl0%M!WHdjhecUyND0Qa(N-X)w}grT2gr2hDgey)2UB8fJo6bG6xAJ{ zRMn~=F9aEjR?ZP#sOhBUEwZDW>1>B1d7DrOkB>lB4et?NI_l6LvfNxsv&oC;M|ilE zrTPk@fOZXZeL$U;IS8u!j&KIs?jLRKty?f;1dkhX7$L+u!$`$YB16 zK!^r9eng{`4w((<$l)`r`(#J-8T`~hLnHkQ8w)=UgG=bw#HmH=_^A&--l`x{%Z(s? zhO#0E9e~g!E8D(D$Rt6VOAYw{|30tV_$<@QiIusL}~|niBztV z|7&cXZ07y^{ID`{99K#0I|E^la2$nYQA(p^S#<7rSQaHvNC&`Ku1t|MsU}m}Hm$b& z3KxXc!tILA+y)8TD&>RYz&)pv17QO($!jkE&Lf$GgM>mo1 z!y?=_+Sm9xRcPKJ*(+^0@ET+&S+!=qJ7*BXO7`M}E)6P6>||h?HMSEp!8Z~LEb?Z1hR$| zIH7AZ<6*mSja1A<5c8i@--2|nuG}d8)CKWfePp6fZHg7EqSbi^S|qth%%f=QV0F=| zw6`D#gc-}HcUb5@z$!nu@H9HWGEhy9*M4{e;GJbtA(*5L}&-{wunyP+Rsb&aB zT1Z3%-&Ss`v&~T?CXyDk=fTpifRMzP#UUj#!vWs+1Cwx-+8lXJ=0_q@f~(L zRF^&Ht@oULT_Edpybx+JmMMx;XI~ey=12U}T!tF)OXn-KqTTpGHBuy!bo(_hi>H@U zau?BcnQx<>u;i3(KBshfdz?M|6MoyP;1>S5U;dZ>$bTDYarHG^hq>ngN(xTSfVbBH zTOVoQ_Cz##BU?m1przJ$rZlTqQ5ejd1J&!cI zg@);c{|Hv9F)R~$u%P4UXdd=GkPvm4e;G_4(-9=-Kknm>sKZ$9!p;Yr@2}sfi`;elvOZ4}0(b`0Y zBWQt%v{=M;;TmbjT@YiyHT#TlUPe|Gf{aC65Oh-L9Kwo+ZoO9*A_Qs2iy#Mli{SpW zQ#P*g`i!Zi*`lFYliB<78>*NF2*F`=#b-jd!alIlfJa%)XiY0Z3hfE6Nm zP9!bspCt3`!RS(-pz18%NiyG`jz70uXaBqB8&p7kpx$;q7D-UkYZP!(`9^2_I=Uty z3-#kB^KXh|8O?^d4O&U?>zwpq!ZQi%v_^8l0MAqh!i=54aa)1E zrQ-qCt0q1`0NzGAp4;J!Y-K`2Ivz>-rr=h_% z^NRxZEKiumUi7zkFSqBt%)3R4_wsl;s-Ah{7yQ`I1QD3Jl5G~ETOT=-kI4nHZSlo6 zpVPb-kDXH$i%j2j>^z)>*q^N^9xK*ah|+qsu`#S6n6nUo9{@oIe+Vg6&~w(;SY;u$ zXbY1sbKKYv^jMKPt$Z0|45X4o0`InuEN3Aw`GnX-*2PZJt6b$4>MX>Gz(7iF#38CA zsq*~frxQlDs^nHWr7OwYQH8c<8Ft` z4Lg-Z-AC%MBKRiDO_6(np zSCx7q+}-lOaB3Pa3Qo^Ni$Ux5z-_w3?b)FRqUuRF(oso%9t0}4N4CSy*=<)Kol0)g zc_p=dP7A_Hcisen`gm@qo(beQ^ygu zH-b4D1nLeWYN^o<&SnuS*1_NwoyZh~vTVcu919)@p0TLWm^5O=9IPK`lTFaF^C3uG zYFliCW99*|Ek>woV^|1MmAYWJXGE)5bQ`x7f>p5Il`s^=%QpTOEJm!k;eaZf9A>;t zQdGhEX}i~+I8-J2L2wS%f!g40AdBKlxg=F|HYqp zZzxl(w0i7dbyqMPIpX-d>GALLb#=i5c1w_f@vA*zA}UiCgzHSVi_O4F)tF(jRHiOi zdVB$N=n*_onYv)~_zn!`qcROaN#hdNu@xUSpPI_F2*NWJ-GiE^X6P71u?xq zp>pJRQiUIa72?=tA_2*}W{Ozc4vh6vuEv^W>9C`&|yQT-TdJ9KYTG$e;6*U+_CWBhYf{J-ePR!L0UipiG1oh&Gg_o_4{&g%sul+XdB@ zb36ggK-5c>k;7x&J6|!d8DK`B^Ops43L&5w8!En9Fz0xXm2hMRs$P}gqze?sSG*p?q=guB}+yxp!XWVVp1|n<{=488I8eO4acDBWk};T-`uknAuyc6$D0b9>xp zJ=qiw|BWWKt9y7FiC&-4EIKqP%G~Zi)v9ac6lM}0BJ0!xJlM9a3+OkqKIdmS-L?Nm ziIl7~&5QJ%)a*GvV{!3r(8XIOKW|o7&z;xTSdn+V^z79_@AC4?@$=kLk)L)|?h8={ z{0N<2bbv7JI1Nkx7V&`Rc~AA&=c{9d!lktM*BmalNbmCXpZl|)`tws2QKEfG^ z@V20sql@TTM1GfoITpy##cA(di$KWNF22&cl<1;#Ep});Z&u9FMRYBqpsPNDt%1NM zM;Dk2WnGI1NLo;SRh}$ei@@ZokKij#8p>i{$_KGm52hI@RqnD9-;fdGiSvICD-*|Y zwZy~XZ-M`XMO+3-RhTI$Vdr3GApA(tNR63-$GAn1eOuAUEvZv#LeZhB6hAaA-or(7 z3*!4`5Z~YRng8V{{GmX6>!=UUr|A|F{Bs9Np*Ts?h{;pPoNM;W*06ko z-2qM_hAadb6}#YhFC&jpmOf&LLnBCw=Yrk#KoV4}h-!wK##oUS&jn9sq0~kzEx^?6 zinMqx*loyh!eAHG*LFoJFBi0^m@9&6rMX5;tLV@ijGsXBKLc$ro!|pK0$T*Xk3_{~ zO^l4z*c~jS>N_M!!BNUc&U}AxaYR?)8-c8rjz%R}-5@}a^ydU}OMB7$8_jYcG{o&k*)+tuFM4prOlNzq z0=@7$i^Mtzs#U&wIfcdYPY;F?oZ%G2CzS>&$Ud})@1vjNn9mW%^J2QvvF(vZbI}sjr{g z$)n)Aoi^nSj&vs=-*C?_b};M_z9tHK+jH-D_N$F@bfI#4wW!=wQBK^VHf6jx7&qf! zeDVH&c=_ihL+ay}@MNeXaJ7wrvPWa@98w3h(Ee;=oIPBvLuxdDk&P6{D&X4M7U>*P zWALeQuy%c9bPlPH$Uegf0x%jd2sN{D>KsyIXav&oI5jcM7lzboRt#N0V3YIDK3=KTm*^}3`MLPU2+aN#x=n=r7_9vN|nJY zJwu0s^-zb^VZ>%zh>yKzXzx7TA(#zbsS3l#mElS`eVq;70S(a-)e^8$pSvU9u~EE8QL;Yn@}4h}tEQ>9)R=1J2$`GS+cPbb^Da z1qS?l(l|N)=2B;NNo3)5vg7%<0gf}@omnw$GTIF`N9^kuHgM2D56%8)1k)*p%=z`w zLme)9m562IF#D(6{X&0Xym;IKX#^OPLQ}!RrO*_yEs!n|3nF=Ok!eKhQx!{cUdAPq z;g38$M36pl{fx~oiKQP8INP4;i9m@ac8O(QrC4^}Em~sP$J1c^@BOW>{%arhQH82e zb3fN7%lC?c&l%C2j!il6bCVEN@TujN?xq|#8>Q+TYn6=M$?#(2z+sKrjCGZZ74BDN zf)V|;d1y(aS#r71qk!<+T21Y$kg%?jSG7=eY0ehEQjg0NnG6)14HVQ3xv#n$`kSo2)QKzFVvIO+4J48emF|9rqQfP z&nwnk0}{+1v)f4%cC}`8e=zV(C67BvN>q~h1|8NXbyiT7?L+7@EnEkHLa%3gacy1wQ z?RDiF>pj!uhLab16+>Q6I6Fh1CcT~3`A}yfc{{yCd^>9leYzFS7{kcw%s00q)vX-l z$=g6<@g*sAG*P1`nS+=v?fSLnees2!-0{`(ZqeckJsw}^*FN*7UjE_fhDoB& zSd>S@B>jxsV@PXjSZlO3cPve#h`rwQNTz9QUGT8oF*Jr{%v#HMndy5Ctz zQ|p4Got3n-E_m`*dMFp+*w0^vhSmi^+OO;&FrP+CYrEhy(ayRc{{p6tL<5GfY5E`p z#TRl(bbWFX0Gk^%7n9E|zK~0TPfdHuPl5}mfAR`Wk9r1vYOZ3MF)#u$ zBASFslKJ+WyDis*w%=?FPM9R~{ej=2t(C{KCs|x_(#KHKY*2tS-%ZT1?wrwG*%)s< z?oz0|9%Y#n+jwVkcHFm+T~~(-0Qzxx=23WI#QwqxYJmiWk+zX&nVu@nbiy)lJ6s59 ztV)~WCWl8MNCJNv*+Ge9Q+O2hCR0Zb101mBFLf~H(u&MmzBP_A7W>0~>j&;nby!9n z7C2;8?3S8Ixx@xaFOOQXPc=w%8CU84ZKsE8|H0x!N5SD zp=ZVT#Hp+Nb-_RnOm5+$;VX_zZkg0se2L|iE+14|ypAx?1Bz+EKv#iMi^-Dhq=(3_ zlr~>g4D?)0V=u>qA{){)zvb_J>gT*aDrGtq(+P}x1}tbDz?BC-?tp5Q(!bg+(=@RbAV zdfMm_f|^eAi^Mb)IK-eO+G)-&pIg&uE~#ZYku)BUw5CMgCJD3-$I?J@d$ulEmz<># z)hoIH)2TZ1QIkdCTE!=-<@{gliBEZdQRA_@`9z8Fvez;*;09*~km3nNH1*TEJ7}Ry z?=liWY43c_U3;1*l-p7go1bGid*#eK1z&pM>dW5p>|5U+1Kp)faLzDg8WmTd6St_f zKMUIBX3#D_{o)t?Oyb= z_)gkCNGiLAn_A1W;7?&@v#-}&q-VT)k82RrRiyV7T5MXw(5i#*WTAD&|WJNU%Tq068hH%AmXBi+X^*I)OEaH|&)&sbifIeVohmT#ju)-AsGJ3i^fUrO0T zL9n&pJk%P|lEnt~?YW2ll1RjWZ_&x#ouFB2Sd~N~CYZr_Jwb^?Oz^PZl4MQ5c}Fd9 zIH>BGrzH|GK@3CFiJ@pv?;+155-~v}Ji*isRfZg)l#er@OCk|JwGPW5LapEUoq=CS zOKNHqH5M7|mRGF6d6)`)6wE(nRTz{%zD)(rvo-=$?1AFbdQFm)Y@&Z3om~dV9R^GE zB&)lFK0zrnqt{M?wYbhlP`IH%uMe*-*07=d;*;uQ1X}i?Z4O7#SkH6F&Eb!vYdz5N zzX-S%(ESa9?mgY8kFum2H-{)1Lr-IZ*#bj*@#?TaDe&8%=RWhS84OBqpl|| zAk$lZp~YJAk2jNl{FUeb{`;mUkI!u{FO$b{PYpmHkbw%VaNHqyfH6net2d#GR^K55 z!7x2Q7Dub^g59P=4>)q9XK=`9oik2NtM7t9fT6#S<;%ml*YQTH?}C6wklKxcefl|C zeHTO*I`9$jBZgYw#p=5xW<%*kqSJ5Nf;7bHyJXN?qa>>R0JaNdTM5Wq67<%{;Nt9{ zp0&vaF8Oe_Tuo<;?G(EkwtZ-l6!(UCE(c_b+w7LjV#mws4}kD08&{73{N?uB|H6(h zRm2;tBEpWR_gsc>fz!KyzgT1BV4q9jQogwD8c~-t5kKx2TOg7dw74cKn-P zdG}Ax=$bdyICRY$UfNAXjXJ!bYo3$0VIxr{yrHi7!_j7$@B70UvmIyo(Cj!+G?HP6 zFO%)Kjo+qu1_x^+nC&?H0Ya)_@R8+cv6ht`FPQ@5z`N#U*A;d(i}13?E2c{Te}e)1Lyt7GhkP;ZPj08!yj!%G_W3BT&8)KaX3fO> zw}1L?`k8+rC}uUo?}>RYp~YxDjO-k%nU+p5v^^W-)Yd>?N!Q(1EX7p_qL;dEgvvG3 z`GZ&1;5d~GK4N(q;@pH))l4@>G>E~#vHsikb>*5VdMDWC4MFsnw-Z`&%@i4Pt6IWM z>2+WcHtt^=1H;*0FC$uzp|3Bps+l5lkJN=ou6xaSRn7Fg0sF2EYw2xd&<;24`dTk1|XMVb)9j>dX*U>>(R40JS$Fd)%C}%HvWQaW?!ecN<)H0 zI=L9$V3u+V3(4$dt@7vwt2#KOxaN&z_t5Tfo4sO7_Tcui2d081yuii9cswq~fA*^% zc>m8w&!Ub2b`-J=1x6YiP00*piQCl-yX~@0A{RXEWil>0iT1GgVnRcZE=CbNU?8xz z9Br-dCj@E2T<{F4Js2jehvpUt*#nnE%jaGoRG7_comNSbO=~U* ze~CmgNL?J!V_toohc?J!xzvJQNOW77UE9bJxtm=x!WZ;v&oR5YYCW&KwYbUhHlr!E zTAxT_#F@&Jcx?|jhEY}|FH^%LTS`4Zu`U55x2!hoi@u0bPljhEk{FQ2TtZJTZfNSA zI&_&1J06K83DmwvzsAE zjpr+ZR71(Lh<0k}z^L(D5ZVc~TSft>e=-UPaFA*|7sQN6BknqEdP7Jmw3D@r0>gxH zTJ4e*+6mMks=z^%Aw(wmEW@7EK{??12%D>a;|%==&^&0D*wZPH~NgZkk9Rf&%9(Kx*x z+<(xEe(+D3G&F)1Tau$UT+fz&D(jV6Ycdb_uMYE~rZ^W*fQaZ?y^P;GJ>{$82_dQ4 zbq%58_l9J8%vZ)fix+3R-}bO=={aAWP-4g322KzVyY_{U_`+`f%4+PC>`v_)p{?eI zP3b^LBNqFFAg}4+52Y~cXFsnGM&t*WL+|YQ9XQcV=`5s|V zFH~7yP{!>|R;620n9`-$Z%e61sy_R$iylxYuaXT`-B?kg5bJaBOojhs5 z2_xI#G3>e(Y(^Zv19M!(vIhY?sp)ubr{j;S-60>pU+pRfY$tDdI-c9Z-omcyc zlNbWk_m}N-!ozCcrQ`Rz)&7vLe=qM^Iw7~y@u$`Pn2+yP`|A7eo9{2%>3CdkzW@8< zYF|09dr8Km^K&~LPc;~uAT7kVEe@pqTE6+_H+4yA-noY@Je&n3f=&F^WfMzvo8)}n z!(tsQK|zt+8$DU&Oup@f=bsk@WkE;Aj3K(AC4wk|-gq`8R~8(as=%eoq(a?5&sy&s z*Bx4bo;+0A-9{0fFI>Gl+UlBNu-CWajm(MVg-SN8d`WJ->&2@V-YV0Xl ztrnCo((l(RKSWtSer4Fr{y6wj+Z~I-lBPTh0->SR}bYk(`LtV$_97) z8n`QrjK1={zxcI(CR+5=VeoR3xes_ZeNZ9B*R}3g@<{H2OmB@m3*P2ED;u@Ys`@mo9DG{0-;a4 zqG(5tBen;`V(PK=36+!T0}bPj{vr&=0ThqTMb?oKTu||q+27J$Wfd7Aw$ykB6B~Lr zr;d#FXO^3AcFt&Q&z^4&9Au64jx15NlKK9eKufJZ61WWOA8FFJWB8B)kW0}i64r!E z2Zv4;^Qg!Fs@QP0N+YAWJ^U*D$QTv(;_NSc|Am>*+%8T{th^wQCs$sSY=_%1%1n5V zNws5g94gn~I=6rvDHgYs8&l0{&$g@Z__+L4*dQ#3D6W&P5(f9m&qFq-x>AJ$LY zZ<##@t_`eNZLXWj+SAP_^eZ_m$Ec4c?srV%FfWbSsjVQDfv*T49XJMgYVeS0CEs=- z^};(@1a~{AUakn8MNO2`>{Qk+2vxq3`ut%JrPT;hSrXhkiRDZ@@J22xb^eY=~9osy?Xq-ThyAQ1^XB@dfR1Y-35;uq>n`qo#tv=Ly%_O z1%b*V6oAilsIUPvkw4VdbsEpKVd^Fg&ai5R)YI$#@4@Rg*i zW%}GVyi>Ux!^f7atEKwNv7^b?>l3W63g4Nj!wFw&G31X2Sa<#(-*^8P-dJEJigI*A zUSQWq0wPC>I`l#MVk=xcBS07I_B)IdgONmwoRnfSI-G{w1-XA8K1i(x&R=6lOItCSHHw1XU5W{ z039av{#6>&2H?gR&Lb?Ld{UF!uQn6t@tQz&Fr{I?AaE5n{}(BmQHr=#K@c5xV3um0 zs@(3d0tKw%f{r`jm|Ds7NMlWmHqw`5ZB4FzYYkK4*9p{;J_LCG`jY9PUL67dQe*@2 z{=KuOr+Rf%W+gn;8^A!@>r9XJ3gmpsLxGuwr}n!Gro^xFh?5H-3oW9Od(W?wU`kxF z&==w-*b&tclJg#GU=>o5NFBWDu?AQnJ=WN&VHHDmlw(LdtV08#7+ zqKulplAtFPsbXrPCf*wrh{41ah(Td6)d9I`-l8@x9u+7kk95HTibxl%!~Wv@vK{Gj zfHuI-5XYmi&bSdRV0e~y>pO3PK$U)%?Koc0NU^yChNHqn0FyC}3BmPd5CbqK7lAlh z)CMpkd;Gp}{Sc_GU$*0d73Gn>zknk0@y++g0r~y`v{8XrfK&h|Bp)xu(fIvwKt3Kn zSl-mf%TW{e6hTuqu@?vvg-LXn+z7yjQ*DsHU+^NX`tD(?2xG1zH(i*UQtK-#@2OA= zc%v#~jFswH2`^4^5p*O#lu3r=O5J?O8|NX#m)DI2K=IP*`4?Y$?%mJ56qtqbBgU^y z-+sFiWOo>X-M~1=sY8SaAaSR*QGBqEuH+5V30khVW&JOn3%ZZ? zd#ylorUathsk2UD|0yjhDv~;Ifi+lwOq#o+5EH%OK-tM0Cbk>x1Q4C?qe4tv5<#Fg zI{}rV!CN|edVW`Bq9r`|{@K$5yt1j;tivh*xj;t0d1`g`^aQV9uL+&TW3*w2FfMyA=RJOw_h8GQZ zZu-K2dRI}+1T-5zSoUhQ38Ofh`fHU3l&z(#z1~RN|@I;N~&+hSAb>?oHi_a*Z-yPz2F{Q)M%r zc{(Lsh^1l64`ZRTP=iO>Lye}dc-#YJqiMgbnJwGZrV@{1*~y9qWF$Q~-xw9=h9A^K z7%T@CNVn@mi~d_K^x41kJGOL(!yUt|At#3H>m^&*uQKEfx!>b|hh*a4D3wZl?#K9F zG?gwrx=%unuDt;fBUYuc97ksK;cY=Zs=)t4wTL(G7SUq78n-BA0rVq+;qsPO4^uyf zzyqw0LONK{SwR-zDgqgiJdNif8AiMu7}*6Y7WH zzHUz~0lf)!n4lrpS+s!n`WGai_iF_Cls1Q=L7;655bw(bOTL3;kGdy4)F zxxq{?5qL(*?-jdhYh; z{~m3z@0~xm-VUEo%nVK|#av|pYkaEPTPkzEx{2jmz0Wk@=e_;=fAQZ*!H5)OyyMSm zFn7??q$BV;$=>DKjE(8D z>f&inT4AoZ0l2U#;?&heC^xMxb_dKQXapq~u@#X{lEa$-R>FR5d9HMobdFAJ>GR29Ty^HN7G>TMvp(x-t%36sC0B{vw3X zZN*=S1Mi7A{*A<2`1U>E6~hKyWjG(07aUl$chc)*;$kQ<&XK!h0dGDF=%64Q$Ky}p zJ;Wj4@zcHYm7yIzp{;t#aOu~(y?(uUx2QEJx0S@@c?~C|A9I%Pb&w%^=KPs|;Df=L zqRvA3YE6T$?+h3qS-( zaM;ENIgls-;v+CZEhs++5;-(!-2S>Yhu(Warx71uvbvi2_|`Pa{$)-=!pDdM-b-8U z!}Ai7N6^cO`97`DhuFj>Rc#JG5K-d{PR>Ra5GNNW+s|%2k_?Pco^035mDBT9W)AQ= zyFQ(MzC9S^qvQvOp^S_;oxQq0=QX<@arX7rz&tMb(9T2h0>X0;+dl);qH>mWw2f)1 zUlqKS#=()`s*QDom^Z~52tF#XLyvhE>9&gLO=%lba8gGjz&zI=alk<;%&$^3rsMfI zMKq(J@(Au2uvEbv1nLXsb~;{|hSTwnhHlZHCxR;hyCi=iWeI@JNP7v^s5WN=L-ZM3 z16=A}%vherX6Ev(X=JSRm4EEhj{p8-_tpkPBUHj*^zC(#REpzt1ic6p+)z_i)*A<~ zeQUL1peZY>4Ip;3OauI74@ps}b#SF9&l4;~tN*iF@4ynQiU_pmZQ3W@dHGSHgP{fS zwOhfg){&TkA%SXRyGz@OR;hI`p(r>5YTaKRDL?_o*gdns`GylJ`H`MM^K6(q;A0A&o%ZbMfnIexkRLIAM^dWqexxTFzvmWDycladsnwd3 z#=1P7<%2vff;+WO`8k#TDwpu*6v89VDfVWw&CT?jV!`S;<@V!#PDhZat?5-$nrt;} zVQczOSWC7Jw)P@hc_f`!Iv%#NN`yY{{w(ng()j^rtP-KhWE#GLY$sb^yB;mDRDq)D z_v>-?wn$JQNh^A_DI{pyp9LgnMKTLOW_814JFfqc`?Cc38owV&b}L`4$I9FL!7A6O zP9*EE<*pApsFHF-j}-he25&TsY?%V6H&Q7~Go#ZhinRBz7#gn9Kj^dUt8+hoDc`J| znbJB{N?o2jMi>N_#+~12`*9%5lw4V`kHpcXmmaIs&2wk=U7`0l=dKLPjouX=vh-M` zyF$OyCg`AaYcL-+E7Fs3!S2lV zFP!>(2FP2y4nOsLuS0#)>wrl;ceQaovxi#zmqtdnR>|j>AdBKV)}pR2jBA# zK08vinm2FjrxsYlp6|e0CNG3Bq7&^NQ8AIyeU+6^;6D@KZ5h)AbB^ch0RO%?HNF3ZkJ zG9#ALpC{B~N1$iQj;2=`>Cm*7+0klqt|qmY?r~|-dCL!J(m(JcU;iKfT=-zRP^(En z`)mM{gA*a!8R1$<1Z3}j08FsIRk@WWEpQNIN$cj{XP!c$0~&fzIO>@G2qcKyP+ep!aKsZM5>+s8w^%+>a6fETk)juzzv42G=f>-fgwbD zdI7zYnIjqgmK7eR({hjq5p|m$8%0)l;5t*zHK^p?m#Gxq8Nh3awF#(eUF%xmtx0yK z&^u#0^dD$a;i*#UJA|t1HdZ_epO8wVC`8vkp=0FJ^@>hc^$dInKi2W|9w&Qz?0=UA z+^682UgS(lCLlS3@|uP-c)JR3f!8hYx)9htQAO-ck_H7xC&CWb0bCpBF#_bR*NB8w769mkh}< zc3fI1mmFuuO%L^o-4+T3Lh+;rvPe($3NxS#WeEUB`}j*|k)Pd0Edh?P$6G3nr|n|L zc6zP>@fhRgO%L`sH?Q;&`PtbIi*F~H?hU0eU#>~1zGh0uBo>Cu8DB^Q=r>t2U+i_}0K z@K%u;3zKd&{}>csuUjTvAU%?f6gJ$F)g{scEXIi|m$bXN9bbrd52;4RE*lg}N?cIW z_Eve{1?llhVsUx)mHY30@g48dQ4GsCVj(>4V|@BPJS(oni}#J!$vEPV{E5H(VV{@S zbcHa%ksiiyfdY(=BSv0caT&qyB1tzRf=T2%9l)kFr;fa}F1TlUiuGDJD(#ArbTh#i zN4#B6j3X8=36L?6vGJ z704WY;x@TU<$qNSNkRLNsbA%v2I&eK4@@>y8smuVLrXDx^m82ZIbtPq<2+&52iEkU zDobyT9GIEFqv!;KAmDQ3C;rlP3m!cH z<3PI(vn~jSydq6jSrM2r#bF({NWs*nroM4OkXIl(!Ga&)2JJOcwYZ>NBTl{EG($5V z(FhicRzA2{3$xPOMUiH=Y!+)r0o%yUD^6Y~yRHrwG_J(i%*J_oyl>+c@aQG*F1nei zAjUTB2z=pMzP`Yt&-{r`|AsFJ$dkTa9*p?QhBmfEb3FU5XJc5rzRHdJT(m;@@Y>QG zu0j0ooqLPsxGBx?<~MDqSnQ30D~vc}ojhZd?XmY_&)C+RaJ!g5c_>n^dCRw69otzx z?r;2WZ`%g4NDCIOSFC&ioOj1K8ZBtOnUytvh>ggm;6Xz3TxCp&&bz?sT;IB$YC)(T zZMJYUu;Re9fK&>?iYFZmj-j4S4|h#O2tHor*=72mtpue)(A_dW1~WZ-J;|vK1nLY7 ziIB7j&RtWN%<^&6sc2x2s=4w^m>J|5uE+duI3Mvz7rqs$jFE62&mu~L_+^n4+TD3d z0g$OEv+xkop-KKt-A$@LkLURj&U3dHH1A<+llny=46M=RO3SO4#m-cK4v!UkN^3y} z-5xq<-Yses{}RsMOgR5V-}xz@^gUrb)e5`uG@DFHNRo@7a|9D$6r*6ftPjBjjR*>Y zd$x9sg!3D;EHU`TBsQagh!v}FUS$}}FPa1aji-MZ5Plqhj78iJvdy-;Gavb=O&~=;7dklD=HsaorrHE z6@nt^#TTg7WQD*bqqh~a0n~K+nvre~6)Ok4`A;hTJWI|Al|NbamZeSC^4y`Xk74K6>OLFAwNSuK!mBeKF3Z zpFdk?f7&mNJ{CiMdxqR@`NS<+47nmS=1feugpU8e`^|sxV?X?(-tY#@uBIccMG`mU zUQIq9b_^WB=)DDLqF5^1VRIyK7;F$_8F|460>H(RPsYZwX^JVGF@}(TiT8wOOB`bVb$X$)jv{4h2Ss4DfBe)z$8K>7BJ&jYIN&*(g$e1nWq96#sbC`i!! zb1E0UeIpx63z!3_(OT4oAXfdC@7NT|TXgJBeMmxek1%{m!3%qY-qp>#%-vC!!}6-k zHmJ+dKoV5S*~7>kX8+<;;QlXHE^`GawaWC6(}0hL?-QR?+R_>2O0JtDsM5eGc!tFO z!7m6ng=XoiV?HkrOP!qV2l-!A($VCd6B`S!*k!z8HC5G{-?R&TyvEQ~{JJTz0b#^d zmnSyt#hEa+OJZZbv0cU!UGp&YA(Qmsp7ge-zx`Do_bE>$=%WCGdISqHr;ab+0I^K& zH-;+$G$94|``#E0F}TLWV3RD!n{eK?jmctfO%NUgFoMV~fQrU4Al`RdkNN=MQ4I;$iXh9<(ZC0AHYk=NRuq58udxF)y0-j+y#XdP8j~kYD6NN>7qvR|1bFE` zs`d^5`@%TMC%0n$x7l5-R%QG#1wO$W(Bf199Ju``5Ql5i1vsTd$;;WdVB)C0(S?r@nsIV{Te^MX z#$)paA0w=mA0uw2#|S+>)nml%M|q4!ntB#E=%Z1sZ-p*B>Tht;pvY4FZ7X3zpi5i6 zS0F~2(hf2u2p|@$4#@Q@<%dlt6gt&>yu3&0cy34i3+%9XRnzgi3+ZEVz1c5EXUwi@ z>Oz-Mef`{y^Wz80n;L(4{q3IqT4fV^!A*;z@a{yuR_sMXQ`rPDE&H2LQ(tp$e-qbH zmIBRe+N=_OH{QWBdv&@nCFCiW(Px6qas(S3zT{7T@aF@>bQIP^cPn&jgM0$H!lCOA zYve~nGukdov$&wKcGIocNVfuhV_A`j8$LZn&@lvu9iFddMJi<%JSz-b8< zij3l25EcR6gk0mMw;~@L7o>ZljtVLbYPe!WK2k1t*m5c&J(>eszw1-;8E`=~kC5*K zW^1Pzo_vjBG>haJ51>kJ%xuNECCySK(f)v+oJP}UGrHuYF;Z8iPh%1$$R`l1F2NhyB~}Sv0i6i;~^)helQ}b=H37Q*?SjY+t#cstV1PD zXbUhMO54OLPfDyju8XY4Tra>xyPHR_HSydl8g2UI-tOC-Lv!~%x!p8Kl1eaw+D2m0 zfT6%(TaiFQv?{UE#6U!$zy}BzBG@Pdl3)jtiqU9+h9=)P9{(8sKj)fju5ZebIr$id}EAn&=K|b^w@E3JM1nhn2d?d-_u6ptyk)Y0_gzBZ>>$T#w#!| z+M3J-j}^J!I!qVh1(?>rE0;f@xUFb3{Sfb?PQH$)_8ELH*#ob zJb>HvinYeuZaDS`x)EWYrm^GK)EZ9*T~Tsvj(U=$D`T6mLL7cAYl1PaJ$k-7=m!Jj zE|+M(s&C_DEh<{G2@?%e#dHSOpsJ%RwH#AKMrJc`GK21&lFGWwaQl}>l>S#vtoc9x z&i^)F%cdTqR;MS!!~3c}lR6ceyW}P6eDd%TS)N%jE+b)D`LR;XncPy@4*k=@wd{PV zSpB82D(x@ZY5$g7K&C9D6FxVA3Fn(RPN6;T!1#81_Rr|M86SNa@zH6ws5MD*;n(#P zetrE%KlP`-{Iw-ttW|iPFSeSzTs6HmyJcTlAX5aD^9A|4Zrz)B&OpWm_ZS`w-ya!} z-O)M{{dS6O9O+T;9&NP+F z`sJqN-MDjOFWijy-{x!C^zZ!dp!um75_ z{G``Jh^hGo4>2wKK+A&gjwv|g*xCe2%5}i9beJZ=Obc^t67EC>gUZHNj9eY4&FVZ@ zO@@w9v^u$FhZ-FX%3?*TA760?s0`MPOun7PPa#M>6EJzNS4$A zrSS|YN$FKWUe`MThGMMckM(Q{@2)8{&2#1!&8F~XOyThdfB0`qXp*02v%TZxYLZv` zhlUgn;MD4&Y`{LeCq;!W<9{P)8~Q{k1acn0_3hi-B!7u{Wbl@(TSc$&vDPI2V{hGm z_y@wp)_A9ddv>cMXF&j*85rYC7u&)l{M~@AkAm=_HNkCPS>Dr=s`tuaDQ~N1dfA(MDwtDK^uYTC;8&Ie@5ZuAZpk%7cNv^6Vi~ z^Yk_en6z8 z*r$Bni|6b*W*&p$mbG5Tl@(q1ds~y=j3!-j8fPTw(%AJCiowKc9at&N%7-{~W!K?J zsChdqt!6F+vt2)~P<0_If={QmgU>vj?fQPXCu;!><_4o6>O)s{9f+ZnJVVf?L&u>7 zBe6}iO_EcPK7|xY)JsZrhD)LX5Opw=L~V3^meZ{@ZL65mVq^y@DEOWKrxD0tOPY)L zUln!aF5CbXqE+UaJJ>(uHnK4DzM}t)Z-zcmDkZDjT>D>Ocu4qEpcX)}aZpYwED{Pf z4-!n(w~t$oW)JcSWtn^PbHUhcW#?(}ub$_-DHEKoo+QfZd6-2nxZqba?O?Qqi zNm_lzfAG)0@#8L-g^miWCeKqPtx9$aC4#MBPFn5DKudJyx49_s(5=g>q``KpWv}5V zC#?=0jFlwTy4C0e-(vlu(lWAJRnn^D%aFX%y2ucel)9Z`$(NxPNVs^S6RmEa0{xFC z%(goV(ql<&xVJSUV}?5Px+&_co_+GW0{E8wP?LT>@3s z{Avk9%7yGepQgv&$rSgzq$)!{OE7@y!8y;oT~qqqTyoWM4joxN5H7Wn`R;62B!Q#k zQOB-VN6)thDx32aWlaHfE6IF+Fa(l;6*1UOdR{BZ>IMPL^~-6*Xm(iuJy+}_2N1i< z(b1+RqugW7vxb0rT$Q{T12m|*5s2@ioV{lRRQQ%7F*P+$Obz1sCy&YeFJiN%R$Xh) zQ-Oty49vCWO>sGk(?R+d)qv-U%VpAC#C9w$-&Xs}Qg$I3YfSBo=p{UixYSVyC9Z6GO?ySl z0xG2)018xk1!co1!i)D>w$ppvVouTolMg7qLfXI9E3G1B)$=8lThJUU7|Zy<&{NPm zNw12giQ|i6PUp`YWZD!@z*9T-akbDwlw4PS?Qhh5>R|tNcW;7HA>iV>pHV6lt?;A? z%Zux3XLn}#A)WQj|L#-Y^@q>ttb(9}gypCatzVB*2>SkDaeN47owZ%~!_03374K$4*kTIH5?7OaByUqjINUt+~dXBA8yjgDG;8iHA8feYR+d4TS%VAffI z$%7NFKF6~#Xb7 zS(p1#_T-;Y94~`j`o%x`n}63Qe&U%1t?;Fgk!#%#25t89&?LO!P;NS1*EH6ms6-?c z4cZJXlJ!zJT?6wkvR;C@-K(ZU*-V=&%z6p*5iGN(0bChFQXD$#CD3*eabQ(Z-`}e; zdr?-jCpks!$JLp=z`df# zd_0wcOxWfESchUQJ0Xf`9-;K4FZOFNr}F5a0_zQMk>IkdiL=Ol3R?@ z6*24VUD7Z+JwaUi_C0GR~L7)md zWjl^9BwexDEro`w{G4vR=ql=mPFi;2=XBko%V8s^xw@*h0s__XWjh^kx9DGk+?lFUi7Uv@u2oAb6f-t zeRZu64PF{W(A!q$AyQ+>(QimIOiek;zvZ*n_5I?@2heOOEe6@RL1^Jv> zIgUn&1lL$}02MEnl&!^hiDrm7J7*G9BwK$8#5|AtGtFKeu!`<)*ccQmYpT8MJ8EoC z8`PO;-t+Li4_~}`AeUb)rCD4xz5t2JWj=F@lF4<08~cj$QjC4=y^J_EQ*O~E zMT@`T@BNC^1g4GSUJ|rq+GtA*CBU*$yZvsZhzFQ9kkX~SiK0A-BuvmK%}Izm&a|;d zou6P67&&MehgeZ!3tthWhDtUIe5Pdsq4BicP&mk5J=jDrZJ-XPK1Yc*e8u&OD}qlE z)k%sz`k~JT{Ini!6XWwL>I2n;-F4#FOUxljq#}?;#t@`YDRS2;qzJ^?=DV3`BiHE9 zOdB7I_4}q?!bnsG##=0CORALk$?#SD1C72B{if(U#ljH@%fX68>U$h&|8QU2D!$50 z;n)4~|Km@uqhwX57kaId%`$vyyXsKYgYXd9E-NOtudG(72zDgQh2R#n>Wm}vyjGe* z7etde(RYr>-CMvJD-vyV!QEzqI!p?pepKyI2+|a~pkeR8LDy@5@U(CtNK@#7JJiTZ zmg&g;^hS`T&;{{RID!wzAbxc#$T-pk!K4i1OF^i9wZd^|$*&d3B?#IniCWA(CG+(W zV^Jj8*e{ZB7U)z|k_6pca)(iZSd$}Fz&cq;USzS=I7fk}Zd)K5=DcQpRC8Zocn9Gr zp8r#^D!Wx6cRkKf=~Niv_>@=W=lEvm6D3BeL|TKayo=d_^I=Tk9ZEMVo?rIqRoE>A z+8r|3itrF6PRV6JX(qm(T(YEPM`?c~4=Q3xNl2v=mhAw0QE3Vy$=IK@t5xpcOew0Q zVPiQh5OWOoZcV+du*+J{XRG2b(uD?yAl%>jq5tbI{8X^VDwVG@_8GBnjKSC*Hb&uU zUzzfB!5D*q=|l>`g&>t@5iDacmK!U>3PC=vE?CB3Y>%J;5mgt0RGuz)=)glO+6L3W zTR|#M7cBEKplnRl#fp6Li(r{Vfl??1;au>nR#J`7?>~|^T)*_J;YjUyqYtJij_Z<9 zbystxd;C0rsm(z|mA#ULe$rq~^|%<+q=Bj|5&=r+)5{B>?BP741n30599}4oTM|H`D0*!T0~bXMA5!Y-rTxw$5QDytT}z5aGhGV=*|69%+HF3y#v*X3Q)a zeK#vB{fQODH#6WW`gd2Dh0+{4V`e4cv;`+e3)f}L46q-euwl3^V`gaYW!)ObAMSg< zT2k?LjyC-eC>)CB+Cz(8^Xs$Q&}tH|LzPr}Ar)1!H31QF%9c>$T6tYuAT9WJXb*)> zQk}Hx)(s=@&6s30gLT007*<&={!oCR2k^v~ZCy|@7bjzZ!ibS>ICAGe;Yk7o&WFKx z6t5~l+>l;nv<0VcId-qwoZ!~eTsrhUTl7?Na>ue@rl`)`qS>O~j79(Szw+IOe>qxy z6i@0we`AOqvtR{=8UyMw^oP-er;#nHfwJOhU`uC1yWoDmC1EG*FFLVX)Ukp7V4nr3 z+gMO)Yur0)0cjyp0Gg{A~I=JNvZ-(>3Don(*94{iHP&fC8;#; zm%w^;fu&v?N5#m;56tiKnAD!lGwQJylTI*@RH^=+omsxszQn!0YWe6x-;$=vOQ*DJ z4yR{8pB~^Y@?5NsLOd750~QMy=R)vYfXhcg@!&F$Sil4^MV767n0)~i(h71~Ezz?kiwXC|`$S}k#D6xPEqH_g57Ax*| zYfQ@^ZXZ8JVgWz&np70fMTl^O^d}4I17wn5qCh~yliX@6bR{Y9hf8|z#i2u2d2?`$ z1^hHOola~=bEB!uI8A+5P4c+ySc`yPj&eCwu1PZA9t2isx!`&3&{NSKJ>Q?*lGO?# zxt2Dg>K9cv2sau>$K@p3QoClpL)+ELC>D|5(KLvk=ULsZ3ODyDc$WfIw8j+;(x|(5 zXF}bV&`XD?Fgg-Wl2P&Ct>K3&%_TX0{8y z#mV-e-=a$K$aby;c?(gd(_Y9@}8-9(;CAL4O%95aQTN!CkDQ!bt{8CQVhN`@*fWbov_0KJg@MdSUI;-$S%5lh#*X3`u zJ!n8V&RLRcET^(8$*rl!IU0EW>KzYWdU*A&=bnB0yQ8sI9OHZ1gyNmI15*8l*^#2N z$1^c=G;lp*-+%k>`r?1~?NRltq1kBwvs?F=XeAmjh%5li{R)<=#{4ou1|Uy4A3>6W z-lAHt0?1IwE1=tc4FcXqFymvG9uG=c;$ypmaExapt%Ao;a4bd@-AYnNkp(k=xkK}j zLZbVXjHb_m3AzBUj|>b0FbIR$qso<5e+L_vT_^bgkMH!rDX+9ee|<(ez7vDX)< z0x;p0DA)+-M2aP=HS^s$9Dv>GB|0{+(96kB?e9zr)RpS_BpNC|{o+Zvq^etxIP9V)1nWr^>z3*G=%L7L-e z71~a6q={xf^d7^Tq}B>t1(F5ITujuqE{H+tXzxG>gY?RFl*5gb?UD&WYODR#)V97N zsMFRGz@WpV8Tj}FT1@rHO_CLu#%i+?&|0b;F+n!89u;E#FTNT2M9J~Y1s=wktf0zV zh*^#-y5uI3nqtVDHrZx`lp{uXtveuJiSd@yKhjy^FUsxm;m(oD?L{hfhtHUmR`1V| z%JoDlfANz)@FhQTE^o0%e~;8V05P*}O2@34vg_T3BQt;#;-zjexC&6*FHv@kxMH_F zf@HLY|1zMs!;yhJ0w>(>Y^Y)!I_E6{CtM#T2*|mWoVP%kfzd6mHi!1m8Bi>h&xpE= zLop7W0Y%I}-s2p}X#gLl`7srsi0jB=YD#v^^*L*0i#LB2z@OSUWkAr+Pf|vZO(Q>_ zGKyL5ab;pZt~TC7DXa(KYimzs4h|$73#+5G(`g4NkBUF97ftX%N_MI3!9;XYCFpOu z2NUO;`4iEgbWw03fo%FYa(TkcO6ZbGqvdPGu@NhzZ>Q7FPeYqthJ4ANd*A>3hA)mv zGPN~hp@H>RxZ9!X&9*@AeBCr=nclfKGnP-KA9{3VY!wxHj zSHbbCb7%nc${6nfiDP>}6rraEuZNR5n{MWJB5QtCqo`!;n1*uez0mE+NS9%2zD8lidbx zu^6}zqLi+W@7`U3_BQ~rr6IZGI}zT=^^Iu>iWa0%d;!?pk8W9r)F2CE#IPS zEIIpvf8~3>?xT@i(WW5}p}a*7xCdT106!L%SL|2}FpHq~`=MUSW>MQ$&~;Q{E*QjI zJLpGIVIfEr=7Rg<5>W}DB+z6@d1+>{q6#a58$?7Z*jcAZtVk8+f*5Z|W=^b#hIY-4 zhagp$3xX32%$?Mp9p<{?9P^>6!ipdTCN8@G?4s8FJKNE;Kn02 zobfGzF9YAwKq%r2HoF7Ax;>7sCykQ?PmA*>=2SIfYPWv+!F=CSU{i-pI*vHv92rJ? zxdOJgliQI1rKC#3nQ z(Ms{0DvHF;8CGjlU!AV&rphSiI9Apzx^_xccTN>ONl`bgVKQO$04tdkSABb)-Fk9} zfW>?D-1sMl2p?Q|?N>g5>l*q1SH^}uJoB^wReH@AD9mGTHJmT}Z!DR%K%t6u`NB;z z{#3ie#Cub9{$jgkQNH+TmR zK1Tc}2cd@^Bg|8K+}R<|`E%1!OQ3+HC25c%!Q>aUdAnW}AOPH3tpXI{q2vewz`n#0 z0-juvy`pIFBEVTZr|_%LXAHbKP6wm85PqcVr>(`|mvOLL2Hb&9M+mrC-g^@Ss!nFv zjstSATQw*Rlj$nJ9Rl_K+)n#ruv_+=F^3L=&u02Q0kwZ6h`oS1nS9#uN z2F>Au<F*74&MD{7LC(})e(2G$%XDLl;2!w^x<4oy?oC~$3UfiwOr9RPOr7I`{0r;}x_fBm zGK)Z6a=$t#8$K$Pn;B^4q`Bk)kiGECW4tWdSDU0f4T(!0w`;D6qc4~JalLfXToQnv zR*zu_0Cvf1PI9Oe1!_>LfUlZjtL%8|o~IK6Wsy75o8jJ+{l(=r@0?A7bv4r5o6&eB z(yI|($!KrJeRf}9FtT|3QstapX?O+cTRI8VW@YGyd;e%Z{pk;DQQ6>ZQD&~`rS)AF zJ37#KDZb40wr84xI&+I=B$oDitYk<)?|lSq_CPuX(4~2VY_{0 zEA7P@-Ci9l3kc@$(JuUMtDv=#w}MpjL`F8v(OT1?HRSQ#4)T;LHE{BWJ% zLl1fg;GvIRJvQjz*0B9M)3w|Qx)ysv&uG(L8QKJUN)7BU>6ztQWzAUQvwq=U{qPS& ztN}n1;k_#w-2#B{@)21g+iZQ{t36s6>V9a!PUMW?P><103S@4Afd5a^P6}jhf}^aH zf^WFsDCwj$ocT$Pl1>UBY?9-olLA_qq-ELB01t-9wo|?$vc!;QjY{@N%-7BLmuXH_ zqu1C6t_yC*i;q<3R#V{~bGTN8Hl1UQ%Sw)?#2S~U!c8-sQFuLlI;X;KFBLxR7PU5M zPK94jD*QWt;dAychF#aR-35(WhDpq>nYZ@L z)3oa@i1E)1o1{<}<8A6+O}kzMwSJ9)YhxUULswEOcOQ9&O&DN3#&AtqMiEO8C6QG8-xL`=MqLH$7T5k} zl&|5}S4*5yX`0fGR9Y|DiZbFVccx`o)ecp%tYvVKTxLF?IDu(@ZU@TN%iUhe;35+M zBKJoImr}mU+@;VDiiG{nDmjf|2Z#61mGQuRX}K<-NN@Wv1Pt+49~DbobSt z@DG36_nj?5>k6;w3iM^PK~cq%ig>_k=J?qFeX_jKTAA~dHOrE(U}K4-Sdo_3wgBvJ zv|rfPR!du@G=5>cBVnsc2nbR%W!`Nv2^?JF)p=B9lz==!Nv zJZt=DP}E#Kfa%LyN-mI)yeYLqw$!6um+6B?-e>yg!9b@e|M3QUP2K!?!0CVCkBPC- z3%NLMR$!2T_k`qbzhFxc2a`OkkziC84`acrOWu~Ctzg>3GwJqHS)cEsRO{PgvN)_Z zWRt*yfsSHDiQ@@U#KF%~3m$<@pwqi|^z`^Hz_tO-D|%2X_DI(co5YZQbeo5WqhroU zU4A$HAwqdqdWf*qK17oW(A0s!6Z5EaAD4fM;xec3jwckyyUB;>xsy-PT!1zgpxylf zG*KSgQh;WgZ+=sk6x+S~*kZMse2YXhHxa)2Cd$)N0owh!9_@XovwHR=0T*6)coo#X z_g*+X5)p#$TR7iuy%($a1Wk)oU%Yzpy)Rxpd^?(^mpB*#1$5@ph zJ=bCwi=dxpt{xbYDc^$$dbD&`m?Qd|U-gxL;wrEtHN=i3nOR0^`DLT@2VW-9 z7rE%c*@2z!)NL?Rsv|h^!zYK^?$8yEMZCNzpTvF4qBIj^JHKr z;GXbpdd^Vsrw6?08+C(RMYMmB#F?R_y8tEG!y3ALt^yk|##-K0tk>;Sfla$bGn8~Q zP|~mY*S`NhOS5zo*K@<`8HHd{S#B^3hL**?YLYm|_2DQLt|My46(n3FhQTrqBzm6uqDV3owdgiYj8m~q)yrCJE) zBo0Q4a@3HfX4-SCk~k=&AXGxhof+O(vQFY`H=H3v$(30UnmRZ+B2}T%o^$~^&EA{ilBAB^0is(;cjy52L#|+D443)#AgR(@cBo&?wg{Uws$c zDx(olcob7AVje5fOuHcJ$(b6$p?5fTb7-1r7evyTLw864ygg_%8!OUGyWkPICRks# zVS%2lS&?Si1(B4(gdwcBN5(>P3bCS?=^~kW#jLG8Nisfm$=wPx3UZbxbkQVRlBAg~ zlFVd?BuIsNN6-CQdvFO!bdI}YMB{1Ae0z{IQ{p ztEC}Qn|r#?OZQ5(m%78SOEAUAPmu|ifYOO%)zz>6g%>cB4_(%CFQmW83lLx{yZ|8| zO5I)Qy$0l-zMV-{-7R<(cnGF?W)C5i?VQeTOmX5?-k9f!hXF)}&Lt7_Z~oao@~sa7 zLD!q%T^4q0kooR7ae{__*BhCXZP2y@&`qNXdeUBZaW+BIJGwFHRY+E$7c81~TUF10 zI-nj!@NP6}qlRXJ+{%gvkQ%m! zt~`V-rsj$-ap>9ovzE6prUrytd4O6*jk$~Ib#r&Z&idE>cg(uVckCCl4^fU8_A);C zivBmg8Tv%2d5A@>k3@ZD-8O!mLo5b{L#^<_dU_fe2u$O z98y(!TH@;h4tUC$0k-SIGq-4t#i!q*ODyfrec(-Rc|**#)%T^fv|Hp$C1DIMKx5$! zE0!%SDkN!1Xp+(6*I2P^X@e5wutF_lZSJaAe7QoC9_-?AwLT~aABWDdICxNil*7m$ zQ99QK?b*@>$qJZzwWAPXs;|S43u?Hd5JQ71gpDx14TV8Zei&oft(A z}k#t!sM_05_Y1|z@zHP3(Pe-kQFvEyD=QO6&l!g$l~&*J6t3>UyHomvaD%K$OY za4B5rf(K{_(R?U{u^Cn&SY;KFo|KYhRI(dv7lJvfXp|AGso5ZMs?(2Dk>$|ZmBuQa zy|AF?6lu;X9sxp%?!lODi(2B)Ije|nb5y!RNr8mZ7&SsrRHPppY@J$4gmK+gMV}<8 zB3%+39X6y7S4tIMlB}|dyW>{d%{BlTn;$L(*uLg|yIE^}(#FHEv?eW>OM=9flRKEx zJoeh>qyW1}c6;VYksjW|qEttpuAo)*p2%tHmnW69evLs zJC&M4_pfuDb3rx+q#WPYErl@ZIvGL1S()m5-z1wL+u$_J4XT2%4Z_gN<1BmDZ%TPj zTfU7EsJ!3uEpPnz-xS?N$}8gjt+9+iAFeTc2W~5B39aeFzOs@K7ujV8sqZb@I-~id5b%h;cqh%24o#j-*DA%G(72;_RVnVW-z?e4z4< z6{);k(26!u-MK$VREHyCj;AiL|j> z7jA2FeWWDBCHJ5aw1bl!y1tUttP7BD=wMllx{z#KK21^HzUCHlhXj=a)5n_EG9=F` zTGfRQ9#tdzNV#lZ0MT-hG|j@l+>|eibNql~Eqs^0?#=$|DIeDNZn>Dr@_j>;-X|3= znxE41y)cqY{`N=oOa)hZbT?RAea7%1*gj*YsW+T^*$RAJF=H6St z83|JEv;zcS#H$^0d`8mjb=n`S-+ZegzJox$e;wa#K$@MW6_XUaA~Ai z{@rTPRV3yRsQ2e~oN%{WbeY7w+bp{3_d}rGpWA7FoNvDW-FDGcL<}A6eg47Rj{T*V zD>jAGd|MLQb!!*8#FD;5TNoU`+$AO?<^_hwQXRB1`YcfNu_CMaaj}IKi+>nBSQV?6 zMx-7rtZC!|&=f&W96Eg5$W30Ie&UqXvmAZN@A<%Q{_9Ui-I8kL)4n9?jWq3Ms=M_i zR~>^=KA4!o+?b}M$59I8z9fd2X|YYLnAA9+hYg2KU`4TdwTqZ6Ez6ad8pJaWkEvZT z`;vPM&w!VP6+0l7%{iv$hP}n4O2b9NgVxr6l#ZTn z4;&2hWIN<4@7q^<`pJaaDdjN zs@ODqR%P9k+LmFtvh`@M&EB^0f^>d2Kt!|2^BG5M=dmD9G!|qf4u@L=q+ZiIFEvf8 zWCHMI-o(C)tBS_2wC-@4kC<)obc^C0EV=E$yC*wgr4CsKOQ4Z9HS4Cf+Gwh+Pi(l8 zwM@IPme%1j9p3jl7%2ulnWl$OZE@ZUpe$@!RcKTK}>g5K^WN4OsvhA zWf>JlzE&4>Vy=-Q#Y&!8U^<5tN0Q9}2 z4a|DNTvOZ=7L*dy*EA?23@O)LL;R(${jyK~g|MfZLTc0YTVSIS32%{Z*GSlMO%|=& z)>DUV$1#%3?{kO*xP%6n_yuLnFGw_aRk|fT-$<|vlr|ox4x2a^m3tH5upXctlB8@JR@k^AbwMWml z2lF>H!4E#!CT&02?yGsVSiB(J5r>O0Rr7p4C$EcT$H4yO`dIVa2l2mQ?BkOjw9dl& z8%?x?Qx1H4?lF4H-579gkN*j^9Wd!?F^`4vvgKl!2HH<rn8Sr*lkQI*kuYSUa&Ywg&8Lj;VL6BVlIb;85e& z7A@zP8dIS-;GFf+TZ8sv#T-+kFhw)o`{mlaiV(~(HOj6zY#S>g@E?n*Bb>*|?K$R{ zI>LESmbJfHe1LKx!C|jm!AqnAn(HGzfJ@>6X}1$V3Yo!@+oB|1a>>95_S=3PbiO+Q z5}+`zg+`=!!Ph(%lmHk?d&8x8!6geyfT3N+{cB%6K4Vz&4kkeMVWHE0LwJE2@L|wi zzVmFjZQL+!FyJ%Hp#AlO_Wm@o$RMNi&F15R_O|?`4#tF>04<)wc4wAvjU!XBU-OM$ z`?r5Ff;&CWR7o9$U8lHm_gnX57R3XU4UcPWA&LZrh<772riEIFvBXj#>!?`w3$dndLjgUO4src54InX%+@%~I>|cQOcF+=T2@$j*h9+P11mf zPWwyeRU97<3F@p;m9tjXM$xxyr~Prhx#$}aAcBs(Ki~QMgSnmdM=~#ON{P9bh~3B4 zLP@&T0dMcU`At%R?oai7Em$vA!u95aV2}=s2L>n1(+p zQ#!^Hk}WB;)oJpN%8-tce^kCscVtSL2tl9x!2VJBI^*;o8S~%;K285oV;*Dl zANB2deEJWyzUAHito~2Cn33MDG?F6SG5sech$tN2@mXgH|9mW`ZR^ znnUBRvNMD23yRsY%Q6`YX#s0@DUjO99wU0?_Jr3!Mz!zyv})-w$A~sqM12)enbG>d z={aBQkhKv%aEHdno@9E^7pN2Cj6qV_9ec!c($8ow@d6YNOtJ7hoohbcZ|P@r$?f{c zUS;^z`xOdJYF}O4B$u=z7`jOuTii&dCw*bv%5aF#&)6noq@U5(Jnok|;PGgKQKz$MXQs&JQzU%jwyN}MN}KHJbo{4hT{8Xm#Ni^35^RdCvyUzG3BegpL< zS82sAjx0INS4Z=qw{LKmp1cP5H+#EIHA=>N23dF8`7t~0@t$Fs>OF&Ge$Tj>-ZMy7 z?-{pm`aK&NwzrnX$Z&zxk4!Es8W}4WwrzBTON<9T??9cJd|qjM44?Oj8y)v-Y)mJN zM#rjA51ohg@wuIjkMpe>718Kf`TWw!m(QQNAoj-v%bVI58KCVEaI1X@A`rmEiiSZtfieD9AQtcsaR|6?g#iC~D4Q?XP< z@a1ll{H}lQPk!#dcpjDO2oXsuLzApI;i*FJTFIW@g{JIcjntPK+&wpf1k;Gs!;NuCh?5U3D$I_#SUo(~;%h|b+{FpUL+J$`zE7BuT ztgW^5QhMokm2ss(t~QD@kplG+G1(lvz-Es zWw%kxZv3D6te58Pbg!0#ASejDYckn*&=cg-nC!i}4ru?PRC6x7xD1FBEH`Y70Jf=J z<1q=6>~#rcJ=j|}XY%Guo^%rqBUCnNl?5@4m~auw@9=Wf;37+&;gY=UY+3+6efwkRGx&<= z`(V|P2x5#qL(f=IJX~85JYA$GL%RlgM$~GDprBj6B+5-u8$`*2XF6T-tc9gf(&)9Z zuJMH>pB_2A&!)0!y0b$=b->DS)vWE4v$;Q!DQy9vx%2TY%!!RB(fH~n+et_$ZAvum z0TrjpK334$tWnqM;o*~(O&ingSJe0fO$=>3x0AWy6bhCl*`m1Mp-D0Af0X#j^9L`kzz zN!E7%BQN(L&XO&{CNj?jv_%WaCm4RAlYlYev#mZCx3}D zV?sZJO~BAEV$DXqz$$}XtVp<|z(6A8_&IucT$zHyCB5k=f56q<1snxo6eC>TWNvTJ zrK)x&ZF&Cc9S?4&!ED+sYR%P5+HyUlEx+~~e&(f5i3T(6_VcL4yOWKJMS91rfZe__ zlkb9HDF?j?!7BEe#EMh|z9I%MGhayV@nf%TohIJ}(S5Mf7z2@m zrr}~mn*1UNQx7MCyyqM1YzRS`d>7pAJL|~?DO>wvsH$BMMC%8^8h72=W`V?tV)9*b z3ok<>CZTDsuaB5~mqa}s92dqn;N#awD6L78?~*Zb8vcZ46W+KO3Nq5{!97 zWOQQ)bU+G8tv5uf&dcY5!EZUhmClIB1%uxb4MB?j*jG@vyyQi&@LK{nQLPt(RF5tQ zuMr%b2nd{vW)<|?rX`~T?q8^K+ za+KhCMBRg`vLwmS+$G6&f}bOFoi>NaC9g^8sb)G!e?e6gN=H6T(N0rj^vFXIi|V$0 zt}MFRTbvUo!($}&NsC~z(t{4jfq+IbNr%Wwo^(Jj1p@}Hs!Tvq4)qR|(r69@v{wdefF4QhGn}wI6-U=STKi z9duWE=C0Q;P>Y;opuw6~fEVpnJ#;6-K%;n(*ck&(M)9Hy18p;2)ShF8feuHMkWo7h z;YAq+f>*|dhhe-Z!$9UTbH$a7aUop!MlVr}ZO;k4$x9%iC+;~B?>W3-_MB9P z2~8vbqLVkw?WD>1Zl_JTJfYa7obPhQZtuaRihTy1X3**33(p%R;j1}S{^8&G{I`DS zwIx-qo{Bpd=C>fK@=;X?T}XbBBbGXqA#jm3E#A9lz9h<#WtY)%MtNvH{~2F#Tc07!5SZ(1{UHv(Z$7 z$v3nN<%7o*as%-9Iiej{!WO z6?8O8C-DqymiOMI&Qqv#A}~3cfeowx4RPL+322@9HjN{(LO!0jp6PhpPBx=0Pm?T3 z-oG(H{qn3;E}@2hbP=FLlalVR&=3z@qG)gOTGiG zjMHvW8{W-nlj}*FeB0-L-ba7moeq)Lh~}7x87QeZ86vl_nrJQ$J~w-?97%}0!APAt zOwA!OEF1~^q*$RB@8=MCyFm+>#?osU1yF^aqR9N%-AbvJ7)-avu0v$xV(cupHWD(0 z-8n?Ym>&)K58IuL^{bAaL*y++1k0KS6j$^rI&z4N*}$k;lVBQ{XmgF~5E*QIxIYNH z(G=FJ)2MC`h85W5+zKXIoo982K*1nKM_*qP^iT3J)Usb&Fs;jB{++u8{#U>7$`0`k z?4NulvRwXm&@Yw+CE86a3(3e*B-AFnTBY)p|o{Xz;uv z>VVR8skuPob+4nD_O1w)(L4L2)H-I8NQOx+xC4~~0%~YBG)}dhQ?zzPaEFAa2zDER zqw|V1b}qOFHKg`@cPtR0Ua_wb^iwCf;1+ZG?9d8@XF<9nE_mE`X1u|qL?+& zmLeqw0|pvYl4lLAS}0>ZqWuwTH2i`8%Ky|lK4H1J9{5&5dk^K?+R3>9{f-o%+k-rZ z_jfnEpLUC8dwMhW^fUk5m;Kl;MpdMCRCraS^;a;h#3o-fRBdRqXfW(6m>>xtt*gl< zo+B7Xu%|T6At>>q3u2}VA~*^zcWNd>P+&+Ggn)9RES+>Y1SNX(hN><4qh!U70Cath z5r2D?C8zq%)eaa`pU0a6a}3Onr7fekE3<9dJQRLBpUBs(19{waHZ40T%@C z)k*@eZ3lo9ht3&I+JPdtU!pQqlBulWsRd~VT=3xFEg%AGUbC<8tHlnuYjv+vfuMOHmEF3w6fSJ}}B@{IoO{cs2O1y7dVBwJX1!yG3pSQ6_ z72?605Lg!>hwMA)D^Z z^KWCb)LhjZkRWNz9FQ*u*Xl|0_sr8N1YTI*KH~XJ*Lx~*2)sa34ntsI2}_^~)g|{L zaPyJT)~{3SG0e{W8c8`CTA&Z>^5q3Y|we{Ga|1fzLBNMA&K{BJhDue2DHKk?6^%Xy!a) zVfiJ)2b!WfhPj!l09=(o=W^a&UeoZ&HtU+m6nS(;RZ(>afhzAU+u^lsK%AQUNXh(K z6~aTH-oJJNHy}>U9ZDpIt$sfQ>ixN$j*s(2Dy!5DkQlbg`=23lm9%~=*Ko!OO>0FV z2bR}8%76}U19K?8-Js9gH$nM|O)wp!7zN+e(tOP z)Xzk-sH)J`rFd1L#y}^CKd?I=iQ7uaYZlhb2#7p3I#v&D`j!9=3zJOdQ>EXZ19*B^ zu#}Ui(Zb6)hQ^>8p=Hls5ISa2rHFuI3B=0T-ve1 zgXRB1Z^kEGdYEj)y?g(Q>X#WBy{tX-W}s1fY%f)(b9?6PwP#MdMKd%y9lgG!n)EMi z{>0}d{s%2Z@~memg56V)?ILPcqz@g3u1f`dscAhf#Ibz>n;deuIL+Pm+rKv#lC_wL$mIJ2Y|NP;IZHI zhK`9@cggWdql{x*a(vP#0ScEi5>nLLcId_tV{KnC=bG=%adgrsy)IvKe9~xje~zGa zpi9%AG&ESv{6eBoJ?vCG<%_QuQ(##~-Yr}4cnUOjv}SRG{I0cjm{+ChOehsPbfn)x3- z_?@rczIYZl=!~EmhSUa%d%&q>Rknqm5{ylv@kTIfV+ca9!VQWbs%zVrJmUt1UG2F?DPfXjn)uy2|Gw!9Q z!yTkk?C%sAIoMSl+PirkC?uVc(#x2mS{4qzr;!!{0U_FEFSoq*T+f#G?poeyw`dOS zZzi<=rguE|(Km+WRZq1a+DD`4=wL$t(WmDX=Gbv`USSR$N5>H6(7x~%qR+$XdLzP3 zK0dMzh=FO-Fl@u-BZXiN?MLSo=Fon8Tp=y59RMRhV9qrV-ZU3-vOP^EbBOs#ZDN4t z*|aqQrDV~k#-8H7uZqaN^Z^oEz zKL3R`d}q}A=*{RG(>2nif@*^Vp@CvE5(@%c%@_kGx8TceFEHC|Ob>;10qA#2rjU&( zS~*DqMa|-kNwH!!ru{$@wQ@|c3pbXz;Oy0vO2vxw&$4P zxb2Y~c3Q@c`j-rJY2BioG1?_r=1xHj>}e}$G8~7d`L#D!*c9>j)ioT)2^`d89I#PW zFI}$3R7ur@=S4B0hQ^f{|Atm7+An{tOAUi+l^QGSa}ap5=-|pjGJ3QcUUQ8#0I$sv z!DU%IDe{T{MY}yp)ipLBYjCMO(Pvaluc>gV2Aj70kOuq7Kl9*yZwn2koptVsd4n&2 zcfO)1>pvWfK*7GULc}7taYE@M-ha^!AxI78f|h1yqdt7AUzwhm z3kLHvo3t81YOo?0%+sh@Yy|0vxnM9)ACHDX*jM*1S2yw|t1>XM2;Ddj=4VYapp4SO zL!Hd3EpC8)dO+1I7wBFnjTCs1fJI~|K`P4=_RvfaLw>$${OHZn;RBT3%oe&pBH=cn z3l1gC?Ad@WE*i}vrY-#(xjLa}WMt{(IKDlhH>GH%EkC4a{_H>f6aV1skoP0#2}IHC zHo%-je?+r`Kv&knkcff2PB#SkoY)p?tduSmnNS-=7=lzZE(k6>_Msvdimto18B{