From b36275c65423fc26fc8adec30c5d915cb5133410 Mon Sep 17 00:00:00 2001 From: TiagoRG <35657250+TiagoRG@users.noreply.github.com> Date: Tue, 28 Mar 2023 15:25:43 +0100 Subject: [PATCH] [LSD] changed the timer value --- .../lsd/pratica05/AccN_Demo/AccN_Demo.qws | Bin 3313 -> 0 bytes .../lsd/pratica05/AccN_Demo/FreqDivider.vhd | 2 +- .../AccN_Demo/db/AccN_Demo.(3).cnf.cdb | Bin 3410 -> 3404 bytes .../AccN_Demo/db/AccN_Demo.(3).cnf.hdb | Bin 1113 -> 1111 bytes .../pratica05/AccN_Demo/db/AccN_Demo.asm.qmsg | 14 +- .../pratica05/AccN_Demo/db/AccN_Demo.asm.rdb | Bin 825 -> 823 bytes .../AccN_Demo/db/AccN_Demo.asm_labs.ddb | Bin 91142 -> 91179 bytes .../pratica05/AccN_Demo/db/AccN_Demo.cmp.bpm | Bin 879 -> 878 bytes .../pratica05/AccN_Demo/db/AccN_Demo.cmp.cdb | Bin 21156 -> 20923 bytes .../pratica05/AccN_Demo/db/AccN_Demo.cmp.hdb | Bin 29296 -> 29214 bytes .../pratica05/AccN_Demo/db/AccN_Demo.cmp.idb | Bin 1969 -> 1943 bytes .../pratica05/AccN_Demo/db/AccN_Demo.cmp.rdb | Bin 34721 -> 34727 bytes .../pratica05/AccN_Demo/db/AccN_Demo.db_info | 2 +- .../pratica05/AccN_Demo/db/AccN_Demo.eda.qmsg | 12 +- .../pratica05/AccN_Demo/db/AccN_Demo.fit.qmsg | 96 +- .../lsd/pratica05/AccN_Demo/db/AccN_Demo.hif | Bin 792 -> 793 bytes .../pratica05/AccN_Demo/db/AccN_Demo.map.bpm | Bin 849 -> 854 bytes .../pratica05/AccN_Demo/db/AccN_Demo.map.cdb | Bin 7637 -> 7421 bytes .../pratica05/AccN_Demo/db/AccN_Demo.map.hdb | Bin 28238 -> 28206 bytes .../pratica05/AccN_Demo/db/AccN_Demo.map.kpt | Bin 1327 -> 1318 bytes .../pratica05/AccN_Demo/db/AccN_Demo.map.qmsg | 38 +- .../pratica05/AccN_Demo/db/AccN_Demo.map.rdb | Bin 1339 -> 1341 bytes .../AccN_Demo/db/AccN_Demo.map_bb.cdb | Bin 2215 -> 2216 bytes .../AccN_Demo/db/AccN_Demo.map_bb.hdb | Bin 25551 -> 25533 bytes .../AccN_Demo/db/AccN_Demo.pre_map.hdb | Bin 28360 -> 28357 bytes .../db/AccN_Demo.quiproj.3500.rdr.flock | 0 .../AccN_Demo/db/AccN_Demo.routing.rdb | Bin 40313 -> 39709 bytes .../pratica05/AccN_Demo/db/AccN_Demo.rtlv.hdb | Bin 28089 -> 28087 bytes .../AccN_Demo/db/AccN_Demo.rtlv_sg.cdb | Bin 6907 -> 6902 bytes .../pratica05/AccN_Demo/db/AccN_Demo.sta.qmsg | 84 +- .../pratica05/AccN_Demo/db/AccN_Demo.sta.rdb | Bin 29620 -> 29213 bytes .../AccN_Demo.sta_cmp.7_slow_1200mv_85c.tdb | Bin 25275 -> 25170 bytes .../db/AccN_Demo.tiscmp.fast_1200mv_0c.ddb | Bin 145878 -> 144825 bytes .../db/AccN_Demo.tiscmp.slow_1200mv_0c.ddb | Bin 146544 -> 145452 bytes .../db/AccN_Demo.tiscmp.slow_1200mv_85c.ddb | Bin 146489 -> 145551 bytes .../pratica05/AccN_Demo/db/AccN_Demo.tmw_info | 11 +- .../AccN_Demo/db/AccN_Demo.vpr.ammdb | Bin 516 -> 517 bytes .../AccN_Demo.root_partition.cmp.ammdb | Bin 519 -> 523 bytes .../AccN_Demo.root_partition.cmp.cdb | Bin 9188 -> 9060 bytes .../AccN_Demo.root_partition.cmp.hdb | Bin 27840 -> 27794 bytes .../AccN_Demo.root_partition.cmp.rcfdb | Bin 11870 -> 11816 bytes .../AccN_Demo.root_partition.map.cdb | Bin 6866 -> 6699 bytes .../AccN_Demo.root_partition.map.dpi | Bin 1186 -> 1187 bytes .../AccN_Demo.root_partition.map.hbdb.cdb | Bin 1597 -> 1598 bytes .../AccN_Demo.root_partition.map.hbdb.hdb | Bin 27111 -> 27083 bytes .../AccN_Demo.root_partition.map.hdb | Bin 26884 -> 26860 bytes .../AccN_Demo.root_partition.map.kpt | Bin 1324 -> 1329 bytes .../compiled_partitions/AccN_Demo.rrp.hdb | Bin 29181 -> 29096 bytes .../AccN_Demo/output_files/AccN_Demo.asm.rpt | 14 +- .../AccN_Demo/output_files/AccN_Demo.done | 2 +- .../AccN_Demo/output_files/AccN_Demo.eda.rpt | 10 +- .../AccN_Demo/output_files/AccN_Demo.fit.rpt | 218 +- .../output_files/AccN_Demo.fit.summary | 6 +- .../AccN_Demo/output_files/AccN_Demo.flow.rpt | 22 +- .../AccN_Demo/output_files/AccN_Demo.map.rpt | 54 +- .../output_files/AccN_Demo.map.summary | 6 +- .../AccN_Demo/output_files/AccN_Demo.sof | Bin 3541729 -> 3541729 bytes .../AccN_Demo/output_files/AccN_Demo.sta.rpt | 2232 ++++++++--------- .../output_files/AccN_Demo.sta.summary | 38 +- .../simulation/modelsim/AccN_Demo.vho | 936 ++++--- .../modelsim/AccN_Demo_modelsim.xrf | 41 +- 61 files changed, 1902 insertions(+), 1936 deletions(-) delete mode 100644 1ano/2semestre/lsd/pratica05/AccN_Demo/AccN_Demo.qws create mode 100644 1ano/2semestre/lsd/pratica05/AccN_Demo/db/AccN_Demo.quiproj.3500.rdr.flock diff --git a/1ano/2semestre/lsd/pratica05/AccN_Demo/AccN_Demo.qws b/1ano/2semestre/lsd/pratica05/AccN_Demo/AccN_Demo.qws deleted file mode 100644 index 21879461b2bfe63459546ae143e4b3765ffbe48b..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 3313 zcmeH}OG{fp6vzL$S_!UPXlX@?iy|T<_zKaD)KcGJ(& zjaxzRgVd_OGr6(#MopDeQ8L4s$(cF#Jm#Fte?APV*7{UbMqgFYvUXHbSp~RF)^hR@ zdIfcBT6wz9?!1!P)*77Dr3KbjBWu%qnY*^u%lFl_WzF!#I(CxEqGJUu@AMhHzP_** zpgRaH{jp;{%xMoD8I5T|DI`)#D*->ws{I?dn|Hg4T%D`0`Ow#{vptK$fs3+ijzyy)56p83&(+xU?6BkA_Y2QXc3?T#DTg72pO*laID^^zaJ&I(0urG*A|-X8Z-Ee s@@!da_S3DwgYl6rwT@oSxQFmsk2pQ)BhJ+rg9Fp?8b|S;8yWBE5{Z}-p#T5? diff --git a/1ano/2semestre/lsd/pratica05/AccN_Demo/FreqDivider.vhd b/1ano/2semestre/lsd/pratica05/AccN_Demo/FreqDivider.vhd index dee651e..74d55de 100644 --- a/1ano/2semestre/lsd/pratica05/AccN_Demo/FreqDivider.vhd +++ b/1ano/2semestre/lsd/pratica05/AccN_Demo/FreqDivider.vhd @@ -13,7 +13,7 @@ architecture Behavioral of FreqDivider is signal s_halfWay : unsigned(31 downto 0); signal k : std_logic_vector(31 downto 0); begin - k <= x"01AD7840"; + k <= x"02AD7840"; s_halfWay <= unsigned(k); process(clkIn) diff --git a/1ano/2semestre/lsd/pratica05/AccN_Demo/db/AccN_Demo.(3).cnf.cdb b/1ano/2semestre/lsd/pratica05/AccN_Demo/db/AccN_Demo.(3).cnf.cdb index 925568976578b33b0bdf8198ae1754c223372611..4937d3296151065dd628eb029466a70cb680aec2 100644 GIT binary patch delta 290 zcmV+-0p0%68q6AyPZf;|00000007hi0000000000002y}WJU=ncq)uxIljaRRCX?K zh7wE2e<7$cM39-TQ@P{JU-A9pV#9d3D zm_r~5Pkt?Ve6w3i5qwXUo`L|6@f*%1G8C|UN7DtDSpIMxK7nZk6*@*`mQsjWF6LJV oH6FONw{if78?uK9$14I2N`N7>m_?mhRlQYJhlhO(4f75*(`Hv~iA=2a_3DVe8 zQ8zEy!4b#V`NZQ1DuP29E_u2`W~h3u1-U^lPB%A_Uh-fAEK9dUB#`WBb_yMc9ZLB$ zSw5aJS)P=c|2Da_C70a7DM&cPm}W=`G0U@lYkkxD1KR5ZY@RdJz07R0zAfVIG4y!!15hU5nN*V!+H1wrWI7^7?oK{ uA!fOlUm?_Z;MU&C0UU0~9wr>GPzZipsN{ub?1S>Nmv~{B{Rgv&3&R1|wSjg3 diff --git a/1ano/2semestre/lsd/pratica05/AccN_Demo/db/AccN_Demo.(3).cnf.hdb b/1ano/2semestre/lsd/pratica05/AccN_Demo/db/AccN_Demo.(3).cnf.hdb index ed3abe078ec44badd51d884cf69b160114e13402..b59c38130011ff706243bb5e0a5d14e2dca9d9a7 100644 GIT binary patch delta 681 zcmV;a0#^Om2-gUZPk-wI00000001rm00000000000037600000004La>{m-~(?Aej zpyee%95^5@96-u}N4<8QN5n%QA@zh>wThxBG1O|Bs%cz_3qO&cg18}Z0Os@9uIJ01 z5E24qrSa^1JKp&`o*gF}PRAorSN|coBwTMaM5b&Ny3#IEc@t)9Ad+Fnf8Dk7rT2=Bds&NeREs zb>Z2J{hx(b*NHFdBre-`vu71GhW3haUsZ86W!b>%sedWe8f{F@dz)yKwKc#Ik&6&mYNi3!hVljOV z`wpe;t$*ew)+e!^vC<|ml-f9yTKQbA29hsi01O3^?gP)h$UTvtGDPVBxQXI#4jhRkY081D+y zrSiH4O*%B61g*h8oe=z^e6>hVUSwz4G+jJBI~|xl5GYI2bjuG0$<{nSN*CE=bh@05 PWN`)%UcUgd=>l*8p%y-^ delta 683 zcmcc4ag$?$fBjn~1~AZr(jZPG3q-7f@y&Ga{L2mk$Hg-$IR)7HI=I;<6g>!hZ*^Yz zk>Z32RZeT8Tmwayc=O8do zL$Y|P)y2m}fyq85&)7=$EV|Y{O?)zRQ6CEvY>WPQe=|0jI`lW`MCKW1toJ|l(PZVZHMi2OJXhAuech{W{H;E3Pulz&S@UHC^&XJf|8h4B{|TpviVuBpFv@EfmyZ^iWq?WE{rwoR@#|pu*i*Q{8{=nbG4XezSvZ PdBcZatec-R6)*w-0Qo@; diff --git a/1ano/2semestre/lsd/pratica05/AccN_Demo/db/AccN_Demo.asm.qmsg b/1ano/2semestre/lsd/pratica05/AccN_Demo/db/AccN_Demo.asm.qmsg index df5a6f4..584d4fe 100644 --- a/1ano/2semestre/lsd/pratica05/AccN_Demo/db/AccN_Demo.asm.qmsg +++ b/1ano/2semestre/lsd/pratica05/AccN_Demo/db/AccN_Demo.asm.qmsg @@ -1,7 +1,7 @@ -{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Design Software" 0 -1 1679489466777 ""} -{ "Info" "IQEXE_START_BANNER_PRODUCT" "Assembler Quartus Prime " "Running Quartus Prime Assembler" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 20.1.1 Build 720 11/11/2020 SJ Lite Edition " "Version 20.1.1 Build 720 11/11/2020 SJ Lite Edition" { } { } 0 0 "%1!s!" 0 0 "Design Software" 0 -1 1679489466777 ""} { "Info" "IQEXE_START_BANNER_TIME" "Wed Mar 22 12:51:06 2023 " "Processing started: Wed Mar 22 12:51:06 2023" { } { } 0 0 "Processing started: %1!s!" 0 0 "Design Software" 0 -1 1679489466777 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Assembler" 0 -1 1679489466777 ""} -{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_asm --read_settings_files=off --write_settings_files=off AccN_Demo -c AccN_Demo " "Command: quartus_asm --read_settings_files=off --write_settings_files=off AccN_Demo -c AccN_Demo" { } { } 0 0 "Command: %1!s!" 0 0 "Assembler" 0 -1 1679489466777 ""} -{ "Warning" "WQCU_PARALLEL_USER_SHOULD_SPECIFY_NUM_PROC" "" "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." { } { } 0 18236 "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." 0 0 "Assembler" 0 -1 1679489466896 ""} -{ "Info" "IASM_ASM_GENERATING_POWER_DATA" "" "Writing out detailed assembly data for power analysis" { } { } 0 115031 "Writing out detailed assembly data for power analysis" 0 0 "Assembler" 0 -1 1679489468340 ""} -{ "Info" "IASM_ASM_GENERATING_PROGRAMMING_FILES" "" "Assembler is generating device programming files" { } { } 0 115030 "Assembler is generating device programming files" 0 0 "Assembler" 0 -1 1679489468400 ""} -{ "Info" "IQEXE_ERROR_COUNT" "Assembler 0 s 1 Quartus Prime " "Quartus Prime Assembler was successful. 0 errors, 1 warning" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "367 " "Peak virtual memory: 367 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Design Software" 0 -1 1679489468583 ""} { "Info" "IQEXE_END_BANNER_TIME" "Wed Mar 22 12:51:08 2023 " "Processing ended: Wed Mar 22 12:51:08 2023" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Design Software" 0 -1 1679489468583 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:02 " "Elapsed time: 00:00:02" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Design Software" 0 -1 1679489468583 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:02 " "Total CPU time (on all processors): 00:00:02" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Design Software" 0 -1 1679489468583 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Assembler" 0 -1 1679489468583 ""} +{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Design Software" 0 -1 1680013329122 ""} +{ "Info" "IQEXE_START_BANNER_PRODUCT" "Assembler Quartus Prime " "Running Quartus Prime Assembler" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 20.1.1 Build 720 11/11/2020 SJ Lite Edition " "Version 20.1.1 Build 720 11/11/2020 SJ Lite Edition" { } { } 0 0 "%1!s!" 0 0 "Design Software" 0 -1 1680013329122 ""} { "Info" "IQEXE_START_BANNER_TIME" "Tue Mar 28 15:22:09 2023 " "Processing started: Tue Mar 28 15:22:09 2023" { } { } 0 0 "Processing started: %1!s!" 0 0 "Design Software" 0 -1 1680013329122 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Assembler" 0 -1 1680013329122 ""} +{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_asm --read_settings_files=off --write_settings_files=off AccN_Demo -c AccN_Demo " "Command: quartus_asm --read_settings_files=off --write_settings_files=off AccN_Demo -c AccN_Demo" { } { } 0 0 "Command: %1!s!" 0 0 "Assembler" 0 -1 1680013329122 ""} +{ "Warning" "WQCU_PARALLEL_USER_SHOULD_SPECIFY_NUM_PROC" "" "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." { } { } 0 18236 "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." 0 0 "Assembler" 0 -1 1680013329245 ""} +{ "Info" "IASM_ASM_GENERATING_POWER_DATA" "" "Writing out detailed assembly data for power analysis" { } { } 0 115031 "Writing out detailed assembly data for power analysis" 0 0 "Assembler" 0 -1 1680013330690 ""} +{ "Info" "IASM_ASM_GENERATING_PROGRAMMING_FILES" "" "Assembler is generating device programming files" { } { } 0 115030 "Assembler is generating device programming files" 0 0 "Assembler" 0 -1 1680013330760 ""} +{ "Info" "IQEXE_ERROR_COUNT" "Assembler 0 s 1 Quartus Prime " "Quartus Prime Assembler was successful. 0 errors, 1 warning" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "367 " "Peak virtual memory: 367 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Design Software" 0 -1 1680013330941 ""} { "Info" "IQEXE_END_BANNER_TIME" "Tue Mar 28 15:22:10 2023 " "Processing ended: Tue Mar 28 15:22:10 2023" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Design Software" 0 -1 1680013330941 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:01 " "Elapsed time: 00:00:01" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Design Software" 0 -1 1680013330941 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:02 " "Total CPU time (on all processors): 00:00:02" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Design Software" 0 -1 1680013330941 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Assembler" 0 -1 1680013330941 ""} diff --git a/1ano/2semestre/lsd/pratica05/AccN_Demo/db/AccN_Demo.asm.rdb b/1ano/2semestre/lsd/pratica05/AccN_Demo/db/AccN_Demo.asm.rdb index 82b61dd98d7cf6cb6181c3b71a3d26602d38aeeb..89034e997f33978d0e12802dffb6d64016d74eea 100644 GIT binary patch delta 545 zcmV++0^a?(2Db)~Pk&_s000000027y0000000000001`w00000004LaoK#tl(=ZTj zV7YIE5b!il@U)u)0&L%^HeG?#KfEu7^SLp#8O0QeuTYXOCI{XP% z*f5QA7#Aff8{=3FA1iGbIP(XB^<)c zAWT>T$$N;?evQIvj-@6ma<;-#z*KAb`3;#w=i=mMS!CiA5u+V_t+Yt7Mqa zj~tb5Ff7$PtZ8ct&Z0EzMiokc9Yk%-7%NTcDuKY2W0SZHaG#Ju;Fr!;2iG| jL_eb_eHNRg$9^XEmWCI|zbhlWnf+^8o561YlVbwbJ}LOR delta 547 zcmV+;0^I$#2Dt{1Pk(0u000000027y00000000000021y00000004LaoK#tl(=ZTj zV7ZS4gb?sFPw=$sBv4@cR<+Fvq%KES%j3$p)2xLPhuE&@uZM9QdTFT;BTc^e%iNxJ zuhZ$=CB07SC5k$?2>Qlg@l9dfke587=o+yPNFT51Q+mHbN`Fxv5-g*@#1#dv7sOpE zO|Zy&LaYCCX!5r4e~~O}c|_z|EoiMQbF)Y6=l*2edTanSK+&$!1J;yYH>7R#8Ih~- z$5>*`Elwem3XPBPw-$GnC#g(U5DlV1D|(l~t;%nd#?78%tfo#LGi3@6{6BRvxVd=# zn1vg+d5v-K+_(+=?3AC!tAE9I*TWUanS2G5&SJ!J2&d0};x{sXjcqar%0;GylP z$4Vt%4k0VkM#)CC$&c~3Gqq$!wV|3D|GtZWpw)IQ91%Ji%|qxY73Byy=yNDlhKr(t zdomcUjIBjZ=QozrIJZH~s1#QR;}P;&0gQ4AP$`NyJ5&7R(p5B6$hmz^k_>@}TgEe$cYHB0-+HRq1r;RJHF zZc=RNujXskMJ;qUiIMD2NSZcbqFLG`PM{L4y7n1<>JVW*5`mVW5-hr|>K2+!7%Jh> zB{tKC??-HO+2dZEa5!zJSXEbJ@dr7M3nHkm4$AMqGa;q0}j$j5%KF?4Y=~jWm=WWk_W#(8NT~<=g$$QX3rd7&i(fs z=dUkjSz0Y;R?xhPDk4~JcwKY|7Oe?1B}J-M_c|qpN~qzLZ2ZvAq5^f7I%*#a9)};7 z%3IjKAb)eg%2Nd5za;c3#VOU!Pl+y>x*oGn5b{NXB9h5EjggF`RPlhY_8%mXSS7jH z`LdlYa)`dskV{%kx6VGxj!h;TH$}cWMD_@@Zu26!4(-m);7mUyUm`lKP);uMu7nz( z83J#<)r_G=VeK;~v9wH<(>Qa2HX3-jGQmF3t$>;ozdItZ$2>ldBjTebROnzDIBgVz z@Gtr22+_!(h{$R?1SAdo-mTcyRuaWc5D?TR%pg2LTO{i!lthCM{VbH6I4GjW5pa0; zhCDcN5oCv1J7vPS0X=mVI9;LMiu|(E#cs!W?B=&0=i{FLoyU34dd+dJInFZiO?Lj5;%}PcTyvajvhz-`MU$QX z*Pj#@kH}pGW{rHn*)hgE;;2yRx3B|z2PjA}g-~S4;@rUdg}Bkp9HeueVO|jh!?>6| zY)nCPJv|Aqg|6b$jf&v3zP)S??`(NAk(Erw?vjtm zLZ3-Z`aHDN!yYK|8^4q5`72j`0X7UX9HOg3Z1RYu2oT*-p?GQBcHxv>E)HvQUlwA7twGhk`=RCrE7v+ zl|`}mI9xqGF6=9aFi^Z)!jWP3DN5yo2JduKG4_I+^9;D@x*kVVbds8xC)&J01(7-J z;$&Q(aTBcz@p@Q5)oa$+XTQe&je%Ltcg&|>RKeS~>HoRk|w2!(GRZ>c>Clm__W`N;}{oCRq>@)b6` zIlKjVo9xJ=?O(|A{VO$vU+|%cD$S*SVh|%D+!To*RzSUvn-hDC2+wRM>^SNR3_S*6|(Cesf%zeMGr#pj&MxQ}&f2mrB6{XoK)K_PB7OnV(^k zrv}H+v|Yc}MBW5rO`&F>x7gXT2Ts%IE;Qjw6TUu7oyT8bPV#TXE>Nh{ zI{fhrgE6~2zIQyEf`$z9U$@`;>pp_nE9UK;Kc(j6=-B(8wjYBg_x#**PdPuO+NP1G zqL6j#cwG!~OR>B|^8_3<=sb!g5b2hvr5U|`lV)IF$qK(>0na2DcqYMibt|RG%_~dO z1-t?upe1CWTdPC)7`4*?`weNvi00Wrz-geria>tV{jMl$_bW8)rFSr412*al?|#>8 zp3i;ryqiu(lal|+~Rnnd??0}mk4 z#Q}*f$yv4(7)NvQPeF3~0K3e~M3+Q4&q+&5``1z^mNXitFAFE^_HI!8d}b~PN2-<*@TEgYh#_V>^9_ORRSWRN32x>A%#di8P<_0Mt)Y=KcNZTcne8h}BDOo>mGC`C;|BO;o7H@|;kpT?9^hZ31N0l%O@XM?(NL1>#_xpUD;=RE z5X&%BqHtPMvO=fvmDoM(HCHwCm_%_o>l7k7K?1I7Brgt&j?1+hfWk;#3_qLj!X>D! zn2_oqT|F*Zuu>a$KY1cc>~#&es$CUYsQO8MGo> zX{E8d{WqES{!O|oO=+d^iq;rxe{{QWO=+b$t2OTQAKPnRQ(Ap|N#?sz*S{$P(LBvR z-G;3xtu&>TCR~5?aIGn=G^LfMw9=GTpPAE~hVt!3lD=Py`)7aA)TLFXnzd>Te8TSP zAgMen?liw>Ng=R->>)Cbp&ka*=eJ=%_}9k0sfGb9_gDgjK1v7U-qJ6Vxa|1Kq@5@c zt-33&z^ZM~=x+=Inpy^2Wu8fgspcsC=6#XT0Ay61ZcP}?&^L__+~+y>Pbqd4j1~bE zUXI1?Hos06v@l71SjUVlVB5*|BdE-pHc|f1okrLm)-^iu%Iofe*r9adLBnEBQrmgp zE_l9irBvv3&ASo|qnjbf7Hg?2Wn{c7=Gb36T`2=9Pc8?uqksrwOcoqK*{Pa`V{C2b zy=a-!`jwPs8IVzR&cpc^joA9^&*y)ffBm#@A~cLfj#R1eTKbO#Upm}yfd?AB!vhUN zkO|A!D$~v*s*zsKuZ{G2eHb7Z=@kz~dZn9%S%6`H7V@PCc|`Ma3EEEBN9HSgM&_h2 z5bN$Ey?_Wqo6krjs%BaSy_&p8&s52%Iwvn`JUcbv`ZEpJ5Z08lMy0|7)`B1^jnsCK z>OGBQRCG?t%cUZ=Vn1Mj2CFSQ^Z0VW}xyTg(mYrU}va{(s4$IJ=!(zq}fR5Gx zfs|AePAGIk4Y6dJvh(m|s@77I7T& z1D~o?c)(&>Q(9@jb`9A6F~D|BX{DKYAHn;iCv1O?dSF#)#Zi}5!0|%U7J}0(u^>(2 z`R7j=jdn?+UDEL3ADs`^XqPnQ)E8GyDe@}h4fRL7^MZ+1D{tM~ee?KgZCc8~S(rXE zjAv;LUT}Gg%g?<$e%9yoTr

ioNcxxz7)$W%SAQsopToOmFo(W)H3FLmA#-?a2DT z5{lMd<^A!Q_kVb}B-{P$1H5;VuvwVuuq7a~a}Jl2Hv2pOf8>t5$}ykn16zj7ow4IC z#l6jK%tY4QV_1(6`U9ab10$-Ofktc^09>QUTS#X#Gg%cI2GDAm7qg-e3&ILH7?{#zBFV)H9VL8V$ z%niB0BWh+#kY9zCeIBk-wMx#6&GB7SE(lI18fJHl-Z~I;_aC|_|HvnydD1WM)7I=R z=0A6|X*SfCx1qXyrkdTQ*gPFmRIDe0>QzO4+#Xh00WS0Qa*p6sNGkNPQ65b@n4|@?Cq8LBQuDG zVH{R^ADJ`_GPdQED+8~!Y7lj{x79n}O14_9 ziPWp~7o8CXHGQ6`JIn7oV1L%Iuz$gK{sp{p$`8f6evU9Td$!=Eu~~6bCwVv%pvIaz znrrYcSO*+S8cbL33i5_U%0Xzram8@69z;FNcbV5;4_Vc@Xvr9b4Y=E{nA6Rhi3h{$)6C>cjULOFHm{V5ybgI+5{e1Q!gU;HAM;fq zb@4?G!E44Da?k1xzVDD>&MugY$q}a~1_F`q0U+`%IXv`WZ2duYrV=(T)Z~C)bolwx zZ%#RKwv?;HVh9Jt@X#Ug3)np6%(;ftKtI=S4u)z+mM)0p9hE4Sv3X$@m{PG(^F|yi zJ?mA;qV!?coohCW7R*{fa~>+j70hlgjRikhA7&vwPnJ);m)3$U0-rf^Qe!idCJNmP>NAkT?-qxRI0hfq13B#pm5W4ZK4}XjPS)ZC0YK5sFMfpLipEsWmna1I@tmOh87v zK#q#JkXA`xn>VbeZ;eKuqdRI9o5rFWYDVJeXxmvF6;6OCk2!oy8$?zHtujB29z!#r zi9va@el9{0+>yIAs1noGVlurSInjE-9iE#qyxV_y;=$(m~g? zxQrV51#}lGf|?+O`0GULzAUIEXnGS5(`c;_GkD`hl*m{fOyD1>~kkI)ff|PUNGvTSL>>NTZ!P zpZFhXNGHQVhP0qJs?;AgppzeKPN+Y1`3dQNSRuUli^89#dE9b`6lkQ?5c>e6UvvLf$ zj>fb22ZAIkcMFc@S#cDVRR!@Rs6Cp5RuhpxSR!jBA<;N$9uAALAz6^ZglQRq&dkA? zpha#}22+uiM^K)za7MD=9C68@rssH6h)`t&IK-BsEG8&xTG?oyL!8GYRGe~x1)hYu z8ipvU@~SYfVg-zHEg;$!Sixm5DNCTMEfmB?NpH|kz}!sV*gEWXyPRXoY{rN~m2+jK zP&pT>&PD25d4vYWJiJ8!6|NJN)iySjlniz6WY|Gios#fp%mCi;SBsULgr(!vZ zLWVpuc7~ly5QyZvQKgZ{dZHWTh;pl<;S@*`SgL4jB`o15!2@GX5hxAPNaG}!i`{v@ z?R&(I6==3sP#eFn?WbZlDx{PY;1r`2QB*cR3%W-PYnO10;4`unXme{c-Hw9dm{K9K zG+U7Z*KdTPL}O(Hs1TLzy;b4>k#ngHNAqBX=x82PL@0pT8lXq0B2q~w!EMwkzFnIl zP=C;IxV;3e=Oc>NG&CK)2{n>r646npka(Xd`%dX9vv>rxyHQCLzBdQ?C4b%cFj;98 z&s}7*DEL$gJllClPX#*K2#T?yyUR?qSaM!5dq z#KnXq>58weK*N93p0~_5IeVNDpnfeo&GYqh{4{qo*MRZqtOHy4lyt7`D^P$x>ZD}% z@s~ET5V)6rxb(d4t|B+sU!bs-pO3{oM8=yb9yfwhK<+zdoOQPQJ@316eP-)Po{-YF zJ~}Tm*g4dd**D-VDPuA@`5Lr*@ELYelsz_j&vp(7FG$@+WU*ZeZg{VsDYY zVp5?)>2!}BJ{y>AuA=j{qlikK>o+T&UVPt+>*b_ToWl=ysEx}d3GGCK!4 z1KIQPnDiGe*V-Q8QlkYq^DJ3z#-olz`*!Xql1=ygY!W?CKJ3&;^>7~?81Azqz00;F zqD6B@a}BB+KKW|^FQ!5F#C|5s6y*w-F>A(ZL$8z|ot$LbK1hwLU>X40m4PDtUJq0l z%Jo`+p$HMT10Txumb3)6NFQwJieJTlJbs;R*TgSP*aq1OOucMWFS1!H=*>Lx4967i zeTk*Ka12ImUXCP&;Q1vZt_Niu6<;yru3Y`9w4q$XnX1N3-_v2ROLKn!WbA(X24yXLjMf!`#Dv+54)rHNRPL!Dg2z%de5VrjJgEuH7 z#vJ)D_y4>W5(pHULK`S(ts+czlO@z7a+PW?iQ`mzNmYdnX#9XG3_XnuNyN%2JLXnC zS^y_lvIdxBm=kyUY_McG1bgfX)@8y?S>5X_azzu&*sQ6uH09+NUR5I!SAj{HN+Psx z%w-U_hG|C&bZaByD^i8qJ!Iw``|d~OAKiDiV?KD_xiye9`%bg(zKDJIUc0L!L;NFA z?GNlO6*r_o4>h3amjE2Ac2`w}I(A8{fy@=@AK?(J-Ilum2$^Q#!iIL>hwg2E3_o0g zzPw8fBiuahQ+JZ+WXRnavx>%;Gh@0TH`x|o``R2%!0(|#kg;l@!Vf`6IhB2q`12G+ zf#dxyh-OLSYD9MqktI-74LKnm&w7r23(|hh{u!Q}&}%AeN^rfa8hIaV>IK~bJFRBq zDp@1DqCqa51W#)+lWc#C!6{#tyvdhZvV>Fbg|{ew;<;-d%TW1v`H$bpJ#n2Y+=5z- zd%Z5{232t!mt*|pqm?%}lPRruBDO4D$1QH_+tW}Ms^$(gJuYngdq>a z)a`&63nlj6FE(dy^%$P-ApI(0uAl3FJLC9G(=}1+L!y?3 z{`+JpQ1pn)kIWQI*c1{a0))GfIYaF65eKL@)KVbEtg!Amu=+QpV1^il)*IdRW@N(e)e6*3xXP zk1ZScUr4@Z<{t_l??CdM2XfgQJ4_P#V#fr!g! zBv-{P=zKNYpFUjG^oT391Wk`h1{nPPp@tZNJ|Aj`A+AEwYn8prI5)TZfHs$J_Qb0! zI##Yi=+0T`GEC+2yQ>Q7O>{cXZgJJ=Wlf#$_0!F@6@XfCg zO)I6Y+7C0w8Fk4Pm(j30dt@(oxyF?;v{xHw4t03{AE!5>QP_24t9ABtWX9H9N|6W-&TypJe3PJ)cjY>_@L1#kcT zT8*?^1oxR{c$T*kbG_vfppxLeh~7_XNB|kF_(PQ$oJL4yM=CBfnFR@ZAuUT}SV>-K z@(iev@cNRru$kCRoKDgg!AVSHWN)iqNnYVn?VO(SLUrwB%g2J|e4LtP?)P@V;W4$~ zkpG+DP^eNuiK(@??tNFpog&oVRRfS{aG}o#4iiydgD2>6Jt6O?Lb)A)g{@h{ZLKd` zr>Yt$yx*=5(dy8LG{4e^;9-@a8C&MKtuueab{U!}hIThJ)BBxkMf-D2KhT%f&hzhi zKgz`^3KGJk+>YRKi~&5};vQR1Ri=KclMrc7HcZV6Z1ou;9sNUh?JI1-lCG*UVd}Q8 zB;Gqp0zMbY!?n-NrDNCxJE}@b$o8p{s)*uUZ)=`RCcix}p?x8HI=}G_UiS5B!cF>V zOV)SX_O-#Wc`>UNXm=U)n$es3v zDp8J;1{Tr4A|C-35pfJmp>>3L ziXY|#Rb<&oPs(!pu!uEP?cCk@Bc1 z&uRrtoG|!_+T>kr=#-t*GN1?uK6=;b)5!b7EI@SZ3G0zin?&Iy58wze4I>6mMxXu4 z28tq#vpMS^osbITj1yT5#?%PqqGOav_IC)Yi>3HQJ_6=FERFIw}>6EbRt@iO)yuHJxfL{a@#~ChK|ctfyP( zL!AczD>fsx9G&~}@541w_V_{SG3LP4Lqi*CsM9Z$EhXURztUfc8j_)2Yg~6x;QKDElR6 zu^rtB(KRB^g%!w;Kk}-`ZLUe^J`g;L8G}pRFsi2MX^bu(!){Z4dUs6u5x<)9Lswh5 z$3hnrN2YLIJPL$F9vn7F@!*QuJ&)X`e;Ew=nYP_oWlU2+v5@WpGDb|EGc-r#Qq##| zH#9l>;B%O9ktrLql#F@eV1ApQt7AjP13IRr@a< zBELZiy&H2xcVA{cZo;e;h@h>0_(ug)L5W{YCD+qnl_h|&qpMbddL()CM6vGRH0pS& zRcq@duv(WIU1q4QJH0*L+Ts5W2A79yZbzVVGY!JF- z2*nREFL4z|mOyhU`w5EFcDYyV(Yx8VCTw&|-!5ofoD1rxazxbjI^BJvV5!|H&flbG7)GFRsMU+X#7rX9;Z{##vIV0(IXpn3fn9@wR-NI z3b^jSK!UNC=AxpSF!iGpeXr~!#Yx#nq!k^J@Jf@9^*G^YjS=fxs&YfZwhRD^IFv4H zHXgMe`@IqAWz{-xdC%K=679->dGCi6kw}`Ce?jU43>v!w=PwLr%G1PTZ!xD3H2A#z zdcJZ{Rn?#pWH8dbM38EHGym2(7D`82`^hbkZfrU_mo1F(pwM7Yz2np#@2y$rnu^<1 zUY#EA@(qF@eJr-2RqgR!ul5eC`4HL`YNiJ;k(20^X@u+R#PGsKb?e%zUF#ry2N6}q zf5AuPEc6J!{z)h0oAF+hFX9Ice+DJSBWB@6>!z`qi_>4H0Ygb5Ku@dbZ z2SM6f*k)O6d=yyWs@V3{2$q`oy9kCA5ihq>n~sBCy|J@__h&bXjc7saMzJ*^zxE!v z!1JF_<7u8$bK(EAB>43r3gJo5#&<;}^hYJAoH|`f!=?vkMNz5kL28%Mb{}Ash!?)S zPuAr%S)|&-O{%h#hn_$_)tw%0gbLMgid(3e9Y;i|=qjlUb5X8?Cs2Q- zs-|K^3l7gBK%k$J+Aac`Uf^~}7)4O(lmcy&bm5C4aUJeWZpe?ZBMTKWIr1YmT>gD7E*tByPO*c$KPBm}1nMm@9`c9EP2+FPhreIlptcaVOV zUa1U_SsuV;zy^IdgJ9o?#78On5cX4Ii}uViYW>&6a&g5?zhUdONIH*xs6$RgyzH*##Xj)m{_6dh)t*JPj7uA!C>+SfuDY_JYu` zvvlS(eMAVt7TM?xV%>J3=SypAyGhI~SjNMtFib5)f^MX~hy!LEYzuyIKh+kNo8FgX=6^I}fR z>pd%2ycwHoTjqRi6$p>$fu@gGC`s7|)j2bRqOq^;-npPTf*^d?f{L~fdT8VYG+~g5<{;dfGHlA z7CM4)D?W-gGf!Y|BR?+azZ4M>Vl#w&$=^yN!sb7$PcAF-{tm6r#C z+{)m)%#fh(j|PA)=xL0Sgd{__bqfJJC{d9Qm|CP2r)rG$UiykWc-_?d?UbJ_GD30o zI(M-*O!3m}m=E1C8uPce7@xh*MKp@#@Hbt)?|j#a{Qa*)o+IauvqY65CmshWa-T>x{a;=(@s~koP9{i|33iUO?pKuljcZzmL+fA!=GDAB9BX= z{2c035*~CH>}q&v&KVx{{i@)X6L2r2~n=+V7ZfG4g%feGpF8|g_7Qg?VMrF9dRdL6Z~&EzMM zEm*?9R>?{>XEB1GoR|Qhu-VP%&(AK}k2ibk0Cqi`_42l| zqon04Qp~XEBfvvFfi#<3?WEVzA#f5Q~~uPJoWe^x{ThgDqRM$;8zW%|7l?Q?-0Hd z9HmXfyYbkv-PnQ##*Ld?)q=}R{D}AoEO)k{D|b!d5JZKHo^l<^3`>^Q9%@BuSHt`@ z5|sHwVvg+?VxIZi5OalU z6tqMukD>Mtc7ruy_RT{lqaF@TeR|^PySI)lif~06#U_U!wuY7;Y zU%w46ym9gQE(6iNpTAkrKdr64$FsX0?amwSJ+M(m3@>e&(~?8j*n`xTHj0=P6we3} zT(&-ZZ;OG=;2Sg2$2u|ZDFn=W=hjZqIeO5C_qb@PtDRoyXxhb%I)P4hlk|&CV#;T0 z9{ST)!)mV}w7G<2o-DCD5juZj(y%C7(NW+$GB+pbcHOl-DgA{Odm0DNe|jatCN=3` zRDI0d<`U|Gl{4tkNxBEeOr=L7+7Yuew5wkpS6&(1_R#fO%jtD?^k|CUT3zU~a6$jg z?2RWyF|#wqaMyO-8pP^-o02wh347nY3#(3fyFIv&wPn|eXxUPDYxS>eoxwX7W9$+K zv-C~y=GdJVHwTkp#G^o?Jbz+Bk@X#i)_I=s!Yzn*Y{bv@Tb;H!N9}YR zn;EY6&7{f)r&GO>L&jaUF<(=p*PIZtV*DA+LvLIS&h+`sWpc%0y<+3X=b~FO$Ho{c z{?B#J`tQy)zq~RyWp%vxEEo%Db{ie)rg_{l1sFZuR4CUkfic zIOFZO-p%rHnuWKUht=Hk4vFG8D`1*NTQ(!r@0aM8F?TK5bA-;v^m^&twl1_YH86^L zW1$|4_q3RXliTqT7!< zc7JrFsA%THxsH`Nsr%X$0eAAN{*S*A!?L(&mm2rXu^)E_ExPO0baTv5`Tff-b~aNp zeUB%(TW1QckN9!Y8HhBZl>7KFal$<9c|&>jV%oAG&5qEV5C6_1agmJrpl(!E^0I1o zPjRa2p1bArM@QrBUPY@jA#aaXTO4a2@RD)!sK=_uq*-Wj<7Sbfg8P!pbDHiyT;LhM z*c;z}y73s-1pV^GYb!xu{1nfd?x9=r3SUoTKIm$UG}F!Y-eG^~u3`mY*#$k~j8yh+ z`}OPHJZ43;AIkzQB~DSd|E{l$(OgX6+!s4iZ@nxyPIk+yI+QAjsUgpBD$;GfVv;FL zA^zdF`6|o%po{at|BCq&jpLWM-<*P}OVW$>b{I=lwx?7ZfbC`$VY<6anKDzIC!oe# z-@Y}~Ddjs7$$CciR5tdS|`2t|&PG5>cY7XP`^Y|CyFqw_jPfglZq4Wx9DqPU2$c0{ixPU+hET zk#j~+Fc}=M!@rB+gWWOcIN89=Xou+~f!1H1$YE1{G4vA0?N%T^FeXpxs`ThUQ^p#oso5U>;2DwMN*f4&P$XVZS2W}p{`pTkkfvZ!v?~vg% z9IjBe2Hju#lW`ewac7NZOBlq`l!Jd^1uP$QTv5n37RzbNY%TPH8%f&Sq8Y8j6Z8f^ zv);e@mirx3eDA^LUrWw}QW6KyXFdUCqqC7!m~*I$$Mz=c^N;aU%?(eiYV5i-JNVdB z(!rher7@*(1KJx|rEw+qT{gKw8R9tqkwzhd59wzO4;Vqyd16x;bvg(tqJm&6bhq^s92-U3H0|-6C6L#i#>>lV!o23pN}geMJ@rb~0X)A#{Tw~}EhuR@eMkrN$?-I!9%ZK5nKN#P5|;jm1xMW?%dT6Gj@i02 zS{2M`Z@k;c+8GkSZDcj9KXvsKseRJS^e`tM^MsJvx@|5=!&_%d%_AKATn4kQj`-2I zKC7_|NId~!lki}Qm-(+0rT37E{VX{F@td@9QL%A+-S#{=JOR88=@EnvI zQ=F#9-(vk0=M1S27n9C6R-uc!V6C#;W9|<44=a$>Y%wH5SyE&nYShjn_@Co-WX~wb zc;ASm*GE@oY{DCc%?{~fs&&}i+MLlRWWha)pt(bu`SD=k&lJx6RZ}qig$2_m%R!z* zYrBrW|3d7&>mi2M#a4$vRESrDb%gVT4=44misRa0t*!OS|;uR7Pf$KIo*DY<$JE~?mF_ldEuG4oo3d5qyG+KWv( zSeh946HT}z+2!h6aZjDD0tzs>z(m*SK>G<>b1m*O-AqI2-CKE#7h59;t3J3d|wC!HljHzvAamg2`GMs)l-kPZJRi8BfFK?@&@ahabi)wKB^qsI&s~qp`RIk zclGWxLhShqq8}=!gtp6lp!~dFw^lCB3!!+|f*`b4G=C6l=UBr~CyT4pfvmn8U5v~{ zN4<`R1b7B;=h$Tmzy*o1+E2jYlAneSJ+feJyTe$5%ylgF{^@CO?E&5W<`1I4YH0!W zV05w~siCy?cxqAbg{(!&uUvNVQyYF7N-WctS^}1Mo15=~fRKJHH1op3bIsc??z=IE zmbp(a_@>p~1EG|8Pu+F*6<~jO>h2ho_2O6`;sQw*6T#5u+PWnjeX))MPn}{7VnyVC zTQK3iNfx9vC&Y#+3ZF5TvKp?6bEkNCG!y1muel~g6CnIN?7_SrA&i@#bjUsk@c23^ zorhe@vkSMmJlmQb7hUcc4dyyV7DR*Rwj2{a)wR)>+z-$to1I$x^R+xe38Sjql>PDS zxRSj-?tHPRk;Se8(B7+`1G13)Mkm7tcrn`#^H>&@4Iywk>3Eihug6Vdq2zJVtz%iq z&qx{niwl?j&^SM!nrta+WLa!qMUY4NxR)b)YBGFhhqUz^K?@hme^IEsvhOM(lwbnb z^X}jM+Dvk6)zRYy$uiSG)F$Z-_{$fucpa@Zxsj#)g zh|w&8*#C#;f`@)L5?;7n2%oyqw$GYEa;-V|^?8l}hfrl2M&-^8%lvjg#pWiY(U43_ z3o$ym-aP`{R-H%RS2kmQ^(Ams7iVqfPd(jeJkb;5urD!aRKqg%g;k!UNun!O=0t)Uz9Fim z`1@f*>9j4L@gt@6UOb1=;FC(bRhPUSzcDXq$67t(S4Q3_@H2@inQo4|c3XBq3#d23 z^~jSdVJ8|w;o? zLl$_tkahlY^O&EuRG+n)OA?2+q;A~-qCpEl_cxitWqzh^vf1)U=~Ly}v5WI$3ld*2 zkYRhT4d!k0m}a@hf$}TX+owr*SvWZ&N7CY&lx|Vdw9a_XPuAd&;R0cdm7v&5fez6@3Yyxp}|#!Xf5lQ_7ZF-l8E`2iJXEQ!pO!X9zp3Ys8_QKvV~ z#{eLj?OLHiL}|Yjb|+e!n+R^q-SW0*X5%Q*uzp_n!GI5+J+X;hF|9SqP-=Y>@CO4~ zj>gmP6EO4V*2NKf8~&HJ8H zWJGgY-IcmbcxMvh8^HCS_ISnPbeTcobG+G%p-y&f+h&?O{~|d?9-5PEtx8k}Ue)#Y z2-pJr^d?;L>4e?fA7n}sk87&Sk6T@GbXi!XkuU5Vb=%poc_u6K?mBe({2>`~Vp+8p z#%|kCRV9WVK)3MvHQimAH%M+xDdb|A#xyIDh#hs=C@oVgD!FQ*<&^|kjd=(}lLY0q z5EGC{Qk`3ZTmy)8#~1sJuB&maU`&ZV8Z%#YK12CD7TaDbNK3YD9fdcK%{9u|(XJ{r zus_3DAXQUGQ6P>gNh+G(WD@QR0!-Jf|IoDdDAzAPl{6Y~axUx(3GTt=1Fsqs!^V3W zed`sY2oDa$C-zYe?=#p%h1Dflyw9p?um~De*=T9E?MLLKV}{Z=tSmy6kx$*GN=5TF zccr55MIjRSVP3G#`Dp)OQEBFdO^}0%XZ|g3f^}I9CKu%g`5_~38&X7+Z^uo|z3L8c zRmhxtev@sEfBrVvre{aM*WwO)s=gfXa8|>xlBS`>#A=gFPh$2CcyWNoMvzN4mc}&L z%~T~Rl5_)gF8yZJCWr>f@78iBSCCVT@ADAQt-Njfwz>jQOJDif_(YS0@{`K-($cXd z_qU$od3oQ2uRbNL4;QdDsTJ292~)rx*WQegI_>!YJK z`Q zQ_5H=zSY!Q!^)M5ojZ0QWZarkv@gq_u=ny<`mPpbT&zkW&9$PP+~oN+5hofl9}sF7{9c|UnP=>HGm|o!o9h-l4}>LFvk9?3_a~=@e!JuRRtOb0E`C35 zs&EP`=(La1L6Nwn@~+&!k3orrtYW-()s30#IDn~99HcXSUf6_$`?5E>K39wG2UNAW zm25me>9St9@A13;GstmnI|9PJS-Hgw_w0zi?d*XpKbsTLS4q|%iEy4Iu)Hk)A!QY+ zKQ3JW3S_z)I9X@z--1r0d{7K*3iDFpDpXv+HBPP#${ifcV=AhRMaJSnl`9Wa)BO=2&ZULN?vtobsUj7r^-SonQ##X~I=? z9M-D92nnF@-M=YNWKDfftxBGGl|yNm>DWL>oV9P8(EN2!)lLGm2^iL`%aIok*$uT0 zc)9i5I=f8YnCz=hizugP1#2NMs!hUER1r2Q4DgA+0ZV#dBr#74$$ z6{W>^@oo@T{(W)+Z$9*W2EOs73U~_6g13v~2-W4+wyLDF10sDuCZGbEAG$CX2M{+x z73ZI(nT$fgg5a@KX+B}hTe`65_9~oi?IfdF69)T~4EWaF&#+P*&&m{}7sFNsTQ!H@ z=nHbpS1{se6^!`2vL*P!!oi{11D?zsqK5oew!L>H5w^}vU`XQYw5*wP^QAt!XZYnF z{=plnKzCQL>HY>)$IFxdCf!mx9#Mb5L+#%41O8Hj+WWpQiqbq|r!b>dXN?Y6L49{8O^&#nC_a@B4e zNUXW7;5_}%*I$q|;)pm7H1P=b``vfIVa~TupTZcwJ z?zcR>`t0oBhthlQ(*9oM@sX~03T<{qzz>#2At0wbmZcZ8jc|V5{R#j8QqHk zYraP|!#1bXJ*4)rVyffe_{7^&3^8_N!hKylbZoEFpIln+_FqI5{gd8)E=FfXH{x|n z(UcdP?iV--g0yp9N;?}z;lw|n_y3VpNJ8h|T(C3a#%XVQHm{xhGH#<&3kVSJ{aT4) zDy4I`Y)rDyzdcvghd0@rVO}BeGY#CSU!{t#$#hlaa`+Y5@vaI}wRt2@Hp~tISGRZh z2_?b^BNp!0VFHOR-N)|)oq$B6}fs~bFW(^Q)--dB`Mer4Wi8~ zN|gt{@KO>#;2T}4=$PunjV_-Xv(Ajt0}%kGLLG>Z+b$lAYg;a#60Qo?@3jF4EBt2W zT{8!JZ-=r084#7V-QQyCw#_u_o0$I`?SJAqma)~2-H)48)GJfPRlN)FQJyV}+nVJk zTdVtI`_pB@o>z4$MWHmegrpJDe0_!1mOZeaht*`mm+GF4`+Ldl24R$`G<%VriAn;z zOAyp{?Z$+$>@r`~$Dn-V+&q7)E*#nLFhiH9mJH9cW%Ti1;%6G=*|xV9493mIW)BP-WsM8jI#$ShROqf-ESTm>Y3o5oI%-J*Lq!QF zdjo9SF7h<{pzhgBr|&I;=1**%IlsDZMhv4O8Ew-^ikB=4)wYnjc!v9qR$MC#oGtPB zC0+}#XNPQDk)n2kQH~k%M)$~PMaWXuoJNx^q1yDDb<@UjzGC^85GFRyk{a5LNq3>3 z0vs&wZDovvB^^QKe?d{OmL7Sj}J!^koz%} zI+gNxeuc7kswkKiPf)<|@u)Np9ga>( zAWE?K`7c>ZsyW%AVgUnkFUg&F+kH3MPRGTR4MJrlu>9wkB^5_bu2W8+S;&4gX0nbV zI#H!65sPmwVvUmb<0n#w#ju|`vws=LY6urR>^&8LiE*g17)d z&<}T9i%3WmR5Yj&QE>qxOALD;f$TH$e-qT!wtj!{v({?b{z`^GLf*Xh?z!ild*0w4 zJ$jtf?Fs(hqsLnC@7Lh#LcgHkHRKJZlO|YCw4P`>J!H)~UsIb&6HF&gB!K@WO#lz) z&oTXe%|<^{XWum&!Ou?od9?Z^7%RJ8imtxu`d?q)uJ48SY=*BKJG}naw0P(L%!@m} zu69B4gk1+se=v$Yy64%(V|Kp7mTviJK{0jtgo|Su`~9cnf$abr&gP#kT2gdkr?y&L z-#+O}`L`5f5#_l_)6}Wltrm5V;d8x-eN`)&69rp`OihPdY(|RKjfY#G=8{naI{m8x zMx+N;*>%{}mpchJRrM~=iqz{csdu;=l_8y``Nk(T9_WsW-t5P`Vl*68l4MeG3R~{_ z9W*{r)j~pLQ!OVdJ(C}oKQ~thlsu9vL{-^(%3#lZK1m55@pT{p>8UMXom5(-8bMaorphGZCZmjA%&asBfQw+F&y&djx zPs(n9d-IC9V>!}PlT$(~XZf@zMNw|B@+9G z86lI=g0rM&(uniahAHDYnX2!9NtqSydpqc6NoAC{9`lBCmTW{$Qb6Jq>7oeN~BWt(c>RXTDasA;iY61%j;acDA5PCddUbRx!$!|pro zl(eOnl|_=4i|-ZZ2|JZF?Umy=*F+|TMa{0sbj$YI3yycFV{vDBQ<>S`NsNdBLC5(j zuWi_klBT9VRL=2q+__EE`#Q6K>rpEf>kCGQ(BwrSaup5PuU57 zmlFGP3~Z3ZTLrD~+{`stoWvg7tHZ}2E>m?_KSR39b}*k1+oK@m>Onj-rcZsrsDaLM zSF>qCi$FYw)URhGxO<++Jng$tvxjdJrA+_1%C*MhL%ak!FslyTS#EQLzguN66KO`R z;U%gNR?g{&hS~};JF;E2!HB;iLa%zcFBSiX`;hr0)|w(Xqj}hU(NIb}cQM--w$k?*C2S{PJIhvbjchV6xndxJ znyOq66HIuwrR8fpX?-Q?)i8#mbCxu-a{2O$=h~10 zQNI2(@h;m@+2#8O?@4|?7%tz%sD8^X>~znJdn*hkWKSkMr0kWkQ%C_hXOX76sfR+}$R5AE*YGCFZPD9v!M zxI$ObCAS1oY~nah&h+rrMt+`-dPO5*r1Ui5^d7fCSWNX9F=vSyUbAoZ#G;Q9qZ>8 z${BzEGl-ur_b5e^%T1R@Hb=a*>8AIDzRG?vnH25jYFBQVcseO^2u*|yuH{o~a2;AQ zRsEYCva>r`^OE!qIWS)a%mqm}d=+t=-Z7ka!+d#0>-@*rB5_fGe>Jg*I9&cn`NhE4 z6xPNxBfhTr;-ue0AR%rlFSf`2l&fZT1rHCMK5@%UeyPdEgYdcRGOul+u$_lwz)$l2 ztgC_(yaZ&3Her`kW{hnKS8W1S`-F2g#HBdifqzAe>B8sArt^4W@2KwNTBwiQTRS6S z_V8V0Yv=YW;WQ^LJ;Eb>Hi=h9Iei!XG}$9x-^A$<@1|skwzFLSQBg{Iwjh?(F;-QF z4X)d-*x*Jzah4B#^ePvM3V%7wNAW}tCbY<80r3(*^iGx8XWjXNxavEF&_l>pbVjN> zydOcG7a4zd7SHZieQ+_zh3xx>=~of|0;Q8Pd_GGg^iNsgb6^zd7iY4aP+kO z5!yzOkVao}_hWFldPQlm=m|8SZl%!JcCe4)9tgICUwl1jLkee{!zYL$zHBvRLd_K8 zUaLXsQ`fO%cOig@{H9a9X$7Apy#r{M#~`U}vgt6ju=f@XU_GAlQ<=yqT#Q8xyz6(}*vgP~jAg zK9c_dHacepbR71owq%3B4Le(a4KBvZTz-|`zYU{%4k=2c7m%KL zgg3YXqJTAFm$Uo_ZN1xoffOvi7~O`P5&r=<=H%0QcYZdz%xhaHqqiR~V-NS4E9H)& zpq{j;ZDa%;!nTBI6vJYM{8U|NI7Xc{L4Z1Au|aO%z3?g(CjTKJ%8OON$+|stT;0AW z8N`7MDZ${|JvZv=T4LKi!3zk+%e6teuUkE6rXp<}X_H5i-X;f1Lqw!cy=zXPI}Hr3 z&^HPjT#U2rR;I7=hvUp~QS8eH+wP^DD$ArSAC(|Fu5Ys6dGU)P#Y({+I4@Ed+_&+w z*=0WIEmZU2R%LgE5mE;hMyMnZrvD<0C^OYD`on|~7D4fOhLP7|iss^z$Wywq5ip}c zHUcL0UuGlJQgy2LVX_gPG4->|MqW!zG#4MYn&K_*&kIru%xFMr@vwsDUyxd?`VUBI z2@_bKC8?!RXMK92w659+HlsmpM2OX%{#+XYfbj#?Mx@T9&$2f1NouuT)|x-l4~Lc5 ziz~4iO_!y1QcKyr4&rgWqGb2P9n8BaKCuiHCQwU2fIyAnp5M)~u8gbDb8(c=HqEF$ zOz;NGYT+y+zL%N(*O){iu6kghviQ!QrI)Pw&xTU^r1>(P1JCPpJwe`Lppv8q@#v2{OH?#-jblw{MbjwGio?P zO$()_jr8worPXkTpH@TVXHL?6WZa~NGyK2`H)vH7TAj--VE2ZLh=@P0qXjz6E<$~w zLu9r*iicceKPBEqc0k2KcVL46XQ9P7bcGd)q@zeK84^AfHmobUcU;zz3+*LC3W+kRbd#9Q zgOofWSFWWT%PwF%_j=5Mr}J2FEpMK@lDe{uSM3k)lXyjR1R^qogWhyQ!}?!Upd#WD zB?&Ex+Kv_>tKc)u5*xIb%q}G=>1?V}4=o_xBBQO}AQ`+np)5E>$%8uE`+?6FhDc^a z6+^N(b{daxmq$}pB5r5{8M%mO!vsjWK$5=~Eg(zBo?Gv@!*~uY1X`dPc!7NbQbbXe zJVZ-TDMZW2Jmd~hj7WJ%ew0E9(Qyb&78|qWl3dCBj-w)CCB4&;uQa=ZL)%)y*l0^G z`vSWKYRhI{2zJclAtkW3yr*Kfr0f#z8BcMXhdzKrmMpG<(JVRe5NW0UjQcL6b11*q z*E`kGXM34+)E45gNy;Y-PtjU=mco{1o6JYa_voi6&y+H4(ED?W2bBqG9Z&MmR5*W= zh=dHI#p&&JOit*fjjEgqoghgTe1%ZgVUwPN;z)ch^leuc=;e2lbZ{Zs9XI(s`9vtE z!WcP0sO$;%B7mNMi3)2nJxM44di1zjFQOTcCo*&>oj?M4ob>EPI}y7-^-oWD}(x16(oY2hv7Hfe#+<@rQR9lfPGE){5VeMP{ z%K!fMtxvjS2OLwq8J5MyQfBS@~txV})5qWBMWatqYaqNke9mXjshZ=xM3jQBg> zhNoeh-{fp*K98z2j#A`ElaZ&W*aUfSqUAuQ_;&s!sgEFT0ph*@$)cWwF0k9?EFDT6 zwnNEd%Ooyi*-ts-UqxmIQ33?DjUUtI$pX7w2~P!+(QCQT ztpFsBN6SH*ShHoBs$cOr;li&sWI#O%wX)IYkTYKjZ4ctjP}xY$&=5X4+mC09_y~$< zVmcdMPLmSgvCuPP1px_qlm=JlLQm>K5IeFbOEE&S^rQpX84XZOlNY=k z(439%JX^1wp=}yA9ZfMK8jchvio2RT?A@K;ck`+k^%L|DFrS@N5&4bD_#HJ_Q+&4W z+v)V6Fd*XRp+EQee)N~?7Kb00hyIH00bNz{NHcrObL+c&Ybb!o+Wq*~F6$x|ur9i} zZfTeA3W*RS@cs2gt8b2RVeq7MTeQC38!r7O`0 zWt(g=5eRX4mmPy6y%?6x^65|FxT#eS1hYL^z?wwsnjnSm-0AMFSjak}TqrrIf+tm+ zYeO5MnSv;xp6y^0YA5Ji!eK3Xy48oVUQ||pDQMAaQR(#jntl~H#@sn{I`!7Cs)|l_ z{aH-SG*datzV5&Mu`ddaO|fm@_g+*%I;V%bt$Nx;D8HvM`6u26)x(1UM#Zj_WVD@q zPswgYGtoeixIpDl-9%JGv_ehN>UMt`f}7=u^p)%@%U9Ts;TYd@q&)99<>IPu3-8Xp8?#Fu=`3T|pc+AtF)X_i>toVOoc7Ig2w8kB;U0{>m4e!UE}MjuJI-^zE{me|+)0F(3Io zCOpJQKn4KEE1l*dFJYN7mZcc0s&*lA;bk3hpq+bPXt$BR7qE?xR^bBh_KHT(P49@= zMi>>kV*V)9p{>9+;)&d_*J_h^;E$3zdpQ7u^1LQNrrPmJgAU(4iT-$}+vkFHVQE&~ z)MhZ1W4!bm1es9pgLqOeW!nQ~2kl@EtDidSA{xV32K^uJB=#oi%dGjl-YYmbgs-=a zODIWbWTbbTq$^&sg<%-Ewoi}PiO?}pI|HUN5wpUAR_J` ze;W;Dp`k1^F!;U0U=3yQxnUp$uerc;*C?%BD{n4-%xK7QxN6eJr7SDQalpmd3(P5p zMlpl7EbHmm!wNrXFT6VOrf*op?wdC?YWjC}ka@dIvxSGVAa=DAgX6NT6_)BFwDfQV z?p$L<{qeSFk|GkoN_MzvFLqpZ7!s4b=OnG=XoolwR|Ek_hR$7|V%WN7I20M?>}DS#k8LY=JJd z;|JLl0@VSu>67efx1{G2L{m>oQ_~+PXL~yClz?OC{X1#%lJpK`s;0Lie&85-%x*?Y zZ8yVH+s(Mc(dI-cEgBr}L#Nq|=NN;|M`CQ-U99ub2kU&~h0;LhV+!Wxl-AWy%zD*O z%o=3^7TRlWQ}6Hr|8ZpgZzR7ogZ979G^Nz#CRgp<1P-MGG=~o8)7Ne;auJq^V;w=C z{<9QTI2|`y)ulAu>Pu64{T(5fX|V zbkS_&N+KhQeFYo_?!rzr;_I3No6YIrtBw3T9l;N13&~T7(|g=@1s%l&T`p-9UT1Kr zaW8{CsjEpE8>i0=)Q;S}`!Brv{?|GFmKK5U^=)8fR-K>{gI;u5)I+W_+Go0_V;@O% zmb5`W9Y-BPYjY)G1{lV4oYHFb4B`AH}mhn9yRA1!}81C$-g` zRBd%PAANR%Tflqf5#EqRa!U}!0)I637w6Hb{n2vMaKInUqJ3woPgkoxOZci)AHc&; z&R0-_@c!)x?=53F0(*)ks~s?0)D9TxV;+@lrOFPlI&W=ARE z#W|1J`X>8tJcapS6?b`H0C@Nw9Dv_zc-JILpNVq)Eu+$#vwsLmQuS9G zPlJO~^XeJEwKER5c6K;Akm|E?3iW6WO?e>is%c-z0tct^HWj=KQs?zvQG-MH#@nQX zlITXvh1#*pg&M(JsG&yOaCx3|*zkS?YDdW$Pu7H8&hjxaajQIy_~JGGTL5`Ydhww>=;lC9YoKG4R%CeER4!Jt>0_DJx>-E=U2 z#*aA1NJcuLqtvTfi`~�W6!^WfOV8-CcP3RgiiT_lg?aCepp8OyN6qVs5xNwHq!C z!rX9)oq9V|70LkvV^bUz{PTDcW-vIoa0X^D7=alKLQmy`dad>`(7V|X(&gK!{LQy> zSNM&$_NCWEB~3q-!MA&E)YW|)HuakN)&F;rgl5HRR&4iItfqeTi4eOQfcJp_-rK#6 zrhcW7^!&||p4W5;|1%%(PZNbL&|p4VLK1A3>2{u@5jVB{Jf7p+J_sxOsu(Uec{c&= z1~rqlZ84#erH5Lm1X+yfZU%*JAx85^&D*IRYp1cVu;-8G90qR^_uPean9MI~FJogT zF7k9J3c!OL=YbO!)$%2DLWhQ~_h%Ez@U9xycZ$U|2zjWudZZB@X`TF1amkC6F{=-1ll)#}9yV8?HY1O-;G| znNiD`4$n;aeFf&VciQYD}jZ8~spx5wf#T=sKydzeMLYK+Q zbI?4I02;|;J4#A(dcu7nTO%M@0L>Y%5`MjcWK<8@gbp*@!;UEhEfdInU&&grG#_2H z8mJN2nGVX{2?mrsH!@Y@`_vbJ=b>MA2@lY$r$D<&n{VWxrMuMG7U1jIS za@_5P@MmP;QN`N+2XP0Q%cuJylIAYaiAu2`Nkd*NUm5?s8%sl8yceqfCQ-G4yqVDs0LMxLss%Q zf9!q=L8@?%ufAAqU{$;*c@5@=4My8YR&rb{`4_C!k?`0DYawRu0?%}}H{U;?yS@2R zDUw^SG;9_=n-+ebWMzEK1+xqANaA^RlykDo?4hEI2jNBYPm=LY-EiUvlJ;CGZ7825gD?)rrd^QNnk5 zOdt}~D~rxDJ6VW$IYT33u(yIN4g@KcZcy_#HX^%Cke_vPv)P#2rV9XOqkxhG{Qq# zh&HAY%3xo2p1{E*^$=>I= zLyNP#e{*`-;!1ZT{-*nS($qVRw6l161SXe&6+N>V5ykL!{nAvMCG-DwDDCYqVcq|pdm+A*p zYmX#KEuM32{kPU*hgz*a9_X6;57)>MxaO+B{3*kawdL|`4KQ*C#21LTz?&b#hHF>B0a z?X}?ru&ou7OLIL%PU%pj2^R!CM)h@IXaNFKQ#S$=s*7xO@WSjhmjAY-R<9-e`z1=Hvk7|*(*BL%1h4SKD%24-g)F;k|a8|2KVIS+aIJ5Sfm z1UXUNdx-7-GdR$^faWf|E6Rjxv+7(fl8g(?hC9`rBuwPGJR3%ev=^2;v%{IUqMjs( zhCXu0K6i;Rj??=n?p3%;5N)bK{OpdfqRx`}P6cE>E163uve!k=A%oIzO4{Zb)tDQT zHAmMx54fBKthVMjNBC@s&}Xq*n84)>b7S(xOk<8MbredhJZ$=m8fRAu$Of>&UfT;a z7n%XVV!}r|4<^bFUxH_3sgiLvH7*ei?MzaWw5EO1rVfRb6@laby|Fp67(vb0sG!tH6q(G6voT;fPx@L9eiu5z0EY z)MpQ@NFLBr$JOmT<^gl;>5Hmt2oREJE;M7HnFm3lZjAxaaSkm8EXHAlgJxDCQyx-k zUFiZCH4AJH-zNo3$>h^fZvG*}#YtN8h!w$8jw^3w+V3>t_r3;~1bI-UzC2EJB#%S2 zVvx2(7$N>J5YS8DK5>PZyQg=O@pnOz<=OEW8yLre@KPd#ef_A)nF&?%-GHtJF6}nne{7HbtIHkTjKLu2X9@fSLmtd z%uhmZ*OkMBQPUn7NJewCTAXzX_ndZ?zn)g34VE%i^5v-p?g^sTNwC(XI>TH6!{4pV zT?3B(8zy``^f8P?+X)WoQZ(-BhvPL-#@w&e4!l?^%YxjOm7|z8&4p$R>Y6^4F@PvZ zDs5>~rcI3b*5-2H&|8>k-$#Kt^ineDj{Umo2}^*w@tx`kz?kif(9(9WH85st$Yf5~ zg&7{kJV z8Zd#@TxiDN4f8zKw}#h=Yf30`SGGwv*D|7b4|!KwJX7FJ!$Vt za;U&6xXf3S_FGhNxp3K?a&`wJ^tnq|Gm;Vy_aW~5%bbZ_yi^X!N}uNh34{l6S4qro zjv`xBrjX3NNm?@6CNV00{=HKhdh=_uw}3nt}?`wjcM07ihz66~&<~x0UK=RiinP4szE{8&xej+4i@hH*=OC z2&81otigam^+A9ZNjQ6^VutN4$NlzISBs0m@GgzG!HT??UC_-WQsrOLxW_#Whgg0= zYJ9FmmHG?icUsw5Zye9pb5MAM>h%;sbo?%|{D`Jb{-NXnN;V=bIERmz37Ek9Kr?SR29P%#b`N!pgR{x>dHVAhu9o zS9Fa(ZDVmOwC|C7+glZ)@_1Kxs5BY{VeZ z3~3zvWGGvrx8XdyW!b13#Il@ZW=M@zn!yjuWYU8coZ@6%oP&DdL>Ef@Bdr)v1==C>2-iMZmp?O6UUx$egS>*Msz` zFZ%#Tf622K*P{Tiqy?Ll!{o5u_Fd0pDb2vednGBttcZwx$2a>6e58Qdsj zteHKzim?FNJ563fVX}r#j8L-OR8&XKbaB4D^3U+NelffA}d zjHU;6N$Nbj0n*qa&WAsCW6ci!>a5Yj5}9HhQwz}aR^%kmY-tL^vzWGN-{ov!nS2o$+AJOHFk<{YTAlDZ;?rXe`1n2oMdMfXk!EPCO7!v7i zNphntx)nAU$M?6SsIa2vq*2E7ln8Y(Xj6BJK@4RuzuX&LND)}smT1;py;2@1W)&d8{4nHT zAZ_a-7$7knnkhUw``nTw1~~mR?sBF|F?PlyG&+W)n<$Uk)>T^qg(qkr2OXqOlferH z)PA8>wmWi2$DaPit(U@NE^%VDT296G&3_j;6`hzVB-##4wKU}3Y*^DCGWlp#+wr^5 z9ilC(_~@V!@!5yGH8rn)zSOr;nw4*E3giW`?hDya^Ga<2G3KoU>-3L)94?nELA*QG~>t^j-3gtwxn3aqHJ4}eWue>`#)I8`( zJMpXAHs{pr66@{RC5Cc@>N(E~aYQGHS|D(6&NH!iwGx~trIv?xh{3U?@vMMgj#2#=`6)AyJti5cV7 zn3)8Bt68Q0uC@5G#X|E}|E-c@(7}SI76I82O|7xS%doxQ2FKdailWt6hCoqdm*@o zzKr~{@X&v^MtIm=;o(6E8`RK!k_J@&R!n>?(b8PJR}q29Zjes#zBnwylc{s-kWi=` zcBu|}rLZ^lTbUOZu$TIE1T&sa?+mZX744*Uw(bA61$06C`{dX;5NNfPH1K3QR(!zS zg=EX~lVx5ZCE0gqFh{duy1QaDz0L31+dP`hEB8IX*h!Y{U&p>fXKiP+ zVGw!B3;>aJ4wZMC=b#h4TFZxiW$QjVeRo+|P1CA*1=U^$;azh9dAIbpvT{sY?~Bfl z2lL|Y@?^8@;U3b|Q(9`B5OgwTyTv5Czxp`82c0?SfG6(XcLh12)w>0`6VOaSF^loc z<05uEa)PLEmNhtbeE9x^AkXxa3Q2k`LvU&nsN><1Pu!h^R>URaJf zYW-CsG`oeHOd|bsnW+7QjK;T74!soIq07`{;a~Q6Q}#{*@!}xjo^sNr=n`zg zg-v~r{0SswggPn*tYkr$`I*E}6|fBWTHp%YUpCAKzUqDYb4xF6$P?6r2?!5jKqTV4 zzc%6K{Rbv^sI(&emzzzHdDM42hX`aUR`T+l+6IJJD9}B0Pc|4wjCAvEp)@w*O0|kx z7;I{qJ`4Z4?@S9T`Sh8Yqb>hG2Oikn1+XmHp1mWk#7lOCYbQZRH=r`Sd{Aq-Gg;e3 zUtT@LHbopjR6|d6R|{Cxe+@kY+@m}l#jm-oQJBIE0H3Z;pQaM=$&RRsDDp8 z)#7agAK*WssB3As-JIIc7~gwi>e4}$q*b&C(yE0aA-@I)?w3vSbTD{4BI)!RoiI0t z@rDn~6Dyr`4($2Np0e34ifSz<<1=Lrhoa_;OCJ(%FFEj?PU?)p^lJ?lw`KJcTWxC| zIOoy%n5o(6d*T}tZ?u-vcP+JLB&6%_F`WdCOV^HZ%G0i^IS8L0*m2kMV%yP`4vYkv z=wd_ElW0-Db=)D2={PI=$X7(X*DCG7y1fpf;Cmf2l*ZlC3wBHn=b?~eI- zW}w?f_xM;qs{eRSUp4zgYt8wAiMs8vIEz30%6}PKlF4Dwk>PUlKxZ*|IBwJFp9gYg zw(DclqCdxKTZ(ZzpWC@it~gfirPs3Fn$oz4%Vs)6RCGABI7NK%tKj@R<&BJGJA=-= zeAJh}X$5<+(J_CQRbJNj&sq6<`Pj@j>6|7_wgD3Lq(!#b!M`NbB;K&*P8Yjp81yo@ zW>@N9VPq2jhl!dIGuQQEaO0YNM?RjXkYgU#r^fZzKYvA_*C^3u5^>IkYKnE_^hKL* zsP5b~*YC?8xicY7Qa0u8443M{>>Zt|(CasA|07(Z$FVx?ke&R*r7wSTQo_qeU*q)# zD{r6maF{wNKj>h(w_U#I($JsAAA`t4AM@|;BaNA*J!`OFrZj0$xMoFY_J_}NOPr^m z-)R_Lo4KgY+gF^y7UOv;}J2RM|vBWL@+K2j@GlH)dHsjgm?5i~;2Ps~~wR^K=iO(sv zZe{wd=gsrQS)_OVn6FS?w+5%%zr|Xg#0xIoiKpo`q#GpoJCCHpomq88V7XbwSZu9; zv!!qoNly3l%jpPpV{M0F8Uf`%cqqYTS0$6LWLHZwMazo9>=kbMN_t^{B zao;qJR4q=tv6Ahbv~#h+asR+2<+}h7C3*UWc@+M~qQb88{Pzp!Gefm3)-5Sao9|vS zvvbxb`;>Ip^r1ApJRVr#vlE42bqqL2F|st-Y;i`U^|u2#T-Gnfe$wQvD&&V^mT8bw zOMWT~NTciSpGoH`FyQXAZ;?db=v>^$VmJF8At(I3tk32e<*q49<2tiNmu@%gmtC!L z46PaD`0$(+>7Y`UfFXUq3or5g|BLitrtk`B1Eh!CBA;#=Jx}6p7`&Mmq`Rdss3|xXZ?D!3ex-+Ii5Xn07sJ!{*4*1a=<}VsnATSOj=}bWe@?5Cg+#g zwttywpbJfV`|Mj8oS~ZQ!xdi4%!jhlbQx0~g0#`y#D?k~<>9lb#qQ*N$4PkOL(7_9 zK5~l4ctqY4+xR%~ak6e_Gv{$~`E8H2o=~1NIb@hgG47^&eP$cRcJY|yQCA0dYOJ;%bH z-0>G23;Skh_e0~ma4a-`{6{!Ie=j`wdrS=gIwk%5?WVXrr6p zOXF*rJNqxFali`CAYDOlsbQhP%nc}cF=J2{^~v+Kq#s~qJK%FJOHvp9gacdMJnNT_ z?q%3}G~1L+?`*!&%!!Q*}gm{mXVIIX=cY*kd5) z!qA`08kx-%pzRwlHmP?eT5kiTfz&WDdzytNReY~?FnEgxQZL=9q`s&r*~Ey}q=xr* zi;pFmzI~w$cJ>&Xv)2lpRk7zO+JDzgP+LN;x7P)hY5A>qJY&%~MW zRmoG3ZcKCocBP!ZW1k`S;p^oJ%`kRRAIw#bcj67*uQ*|xR;xjIaCw=Pq*=R|7;-|; zg`QD>c>fSdFO8_qTkB{{b&Bj`p|#K34xiH}()E^A_>4iV!W1y^r-*0#s!5ps#)Rpk ztwA0}>tAkv|D~|^rl*{%oI@EgBAaEkR)Nl8sMt|W))7wAVe$-|^OTgRLU4>=focG-#6Z8Lq^S~BZ45aJ(r>pwILGGkqA!Y3LJ zPkOdi2bUxTE=6O`$hLR}RozmZ?`l#{I(Pd+a$>y#%&>IrlO9vHjjK(bgbni4|^@WRyNsPNtR0b_Q5c=UE0cJ zga2jx-Gv*IiMvmplKfCTF{)D;0NpA6HL!YqaU{*Z9t5H7k~sr7u_KM6+^jCp`*Xfn z<6(lA9Pm3B8R{F#pYD(^0t1q0vy(_5WIl=-yx(<2r}Idn!gD13_R-1g`d#`v@pt0E zY-t1eU_z!Uz3FlN!R)e#Q_OkrSdT5j?56dDNfm~2YfvTL=oRD|8rheFo;&sZiPlZ0 zcU+#Hl)u9u;)>1oT~V}IkG%DFl;D1Nkdm+bJxIM)`L3&=I$Afl2 zppLJD={%HLzAt&3vrcy01mZA$TuB0WZ)?-&NuJGSl)j)`vd*n7hKku>Cor=q*Tms6j4or<2c#=g(;Qc67@ynL|>;2|)iVN&Vdv?zEp^Z;*8 z9swz|v=EcStGr{-jdjJ09Tm27>dt^sb=?F;RdmhcdZ7J|h{oFayHUK0pskH={(y>( z4W29-+a90WZ51=*kAJXx zB=M=st@0KgIWNRtn%MN*_8}mT*X0^TPdeIcHqKYic}H6K@TNuFQ_FnG<0a>9@Fb#_ zV^e(FgSkUU^2r-~Q-;YK{RGaBBM!q3%g*?_e2Xvd#MwL%R>xf~2{unG{~AxYcujFi z3#d1u4JhNQ*=|WBX|UgL?ihGNa;0*n42j%X>{BPm6LCaUTD}hZ1y=^15U;{E4C#6H z)l;fXO-%51kt;)#_{8-a>W@MX-gJ!bv$kcalCR=ZFru~ZZ4`>me6;FsMl(l)L=USDwtTfxU-VSUsd?1Xm5j_az z2Qr(j2StRKoVdBfe(G5#c?dhFIc}8`=h`{DlTA-ZrG5FA>Ut|1iYC$? zo$+jt8zV63Mt{2WfWsAHh0B@YNxC;upT)1xtDbC2{mwVt%J`5AfY~pp)yR6gA4B;y zvija4`=lI}RNqFHF6}9Hu&o0n(u(M_G{%nNs4B0`6D&A3zwFYAax_lUk`JEg<1jHT zT8~A?CN%p#*NU69CqXelIH$ELBc|{uyl1K*LzcbT@fAPXWuGA>kn;Pjzw>U{)n?AM_EHeR27(~rA}yQpF!fn< z(E2S)woc(i^ZrB>JRbVtqQL)9_O!QyS!~wwOzA>T(T`jJF16D)->78QUWs)d(Ih{7 zd3W(#UYSXf*N-ou%a}KUn4nO8$mIJ=?&m6u(w;g37iBkxj*V0B?!U+~D1!?#?J%I) z|AKyqPv{0<`ZeZEzzf>V`%b<*?Vu*Re81TxPoIN>O@i3&@z>m4TBmUGZ>&VWo--&< zNvf#x({tE37|X=aUFZfu-oq&mHjUm zJz$${YYu8u4JY2&o08TC{<6)iVdGCoo=YBVMy-g!5c#By z7!)mD_Y#V}RSA(Or24USP9}szNFL{(S_?U2b>`o26I-9tWPVz?M;JNmnlVj6`)<^v zq6^;aK$XHR;5XUk=%;@r+w|=U_*(oSkJQ7#{*u!)q`YPD15%xNzAvd@Gkboh&l-TG zn;$1OIZVMoiY(VijivvXwTTh{_zkRd^8}b;bf3GR+$z|(BhV9wTE0*oPf0URtvn2` zl0P0uoHuyN9F@p)3 z-hY>zMNHc3)%L$H+LsD~3S_{&A?86+-X`jGPg?}gcRbs4`wa@Dr+l9Wa{0Dsd|1Jm zOC#df1)Gx!hVB=J{(K>MuWieXQwN#U%|vlC|9Ud5-FwW2Ey2fzl{;VjD;@WK0jH1I zkFr$SxnpLYZZBhd3!|_R7NX5W zczZ2FIkAG16;wyRI;0Yw@7}cnA+z?ZvK`D2;`Xy68C%-m7XFtNgBrE@~i+KM~g% z8l#pQs9BQ_QCCnV|103a$D^$p9F?OAHaD=#?`^@3KugKI;3WDIkc!2PR40L?raw@O zDbwZ1Zhv9I#W6PKO-bgWVf2~5<|Rsz(CbrZBltyL5wk#8;s7d09obaOoZhIusw(1+okUmD`R*I_8{X;^0d0aF=jz$G z(|a8T+lAHyo>=LSACy>d;ZYfFH(ro;k2L?3UcUN0O@tAoeZOP!{tIY-#p)2mERi&4 z$68Wz&Q`3XmGkV)B(8F9W~yKgG&j$&xdtPiBADz=(qv*?<;6fuI;$HO0FVGiG~e}L z%?~B5fvQeEI%hr{1rtKR!C*eo^e?)w7&9@RZpC<$X=4Tklq1rv&plnb=LJDq~BYsp43B=hnmYWY9}}OSGqbLh(Qv}N(w?LIW>w(ic{&(EQmJ9|J63x| zqr>>~d0uz2I|a|9?k(-OaB}S#-cxdr7fW@5*V3O;-bZvCrY$Lr8wtL5C-^XWV#WHn zWm^dVSo7P#e%jr?zl1q-zcd-(?Mgju`g5?byLLKQg6meM&5cSxM7MJ5*)k=EH#%m5 zKD2b_Sycy0HyF9rne_Pj$(n?ngY% zdp#Mj6Z#b7*cU$bj;z10n&h%CCGFZoV?77c=pYXt9s5g+hi6uK{TES1zx2P}7ZaEX z&5k-2Xx6i}w@cha;o5~Y@)wPx?6h~#`~O5PC8Kk$xW?vPKI+dX5Oh*%lGnJkfdKK= ze=A8!r@dG$YtpR@ug$>v@Fw7M@Kv&4i?CS3S}eY1GO)~L->YKBn=(vn@yH&oc8Ua} z+q?1*OxwP=;+F+Ab2FR6+{*JWvg53PWF=$Sk>Gay7ALvH(+5{{$tIKb+z^b1WzxRp4lr z?5itYe62}1_)E$ZlVbbM_L6}FCtN{)>To+kWZ+0K>t3n1{sYluPg+M03euHJ5_7PU z0NfjJ+YWI@xqI}F=ey0d4xclwb;_K&FY*#|sxr|Io%9shq9|=Exrc9b&omE)gK4fO zA{HW-=Wc}#WIsnEr6NQR61Vah=n>=e>8L9rM{>mRLS>4S*e4OXaiau>AWC5k9Xgz9 z!rjJWQ>3jz+Y8(*rm7k(V5;auL@AT*3+D!3mlD`8cur27iHsIQDnaVut;~A#`O$sl z%Ayhy`b8R#Sx+Xh5{5HX);UJ7R3#>%NRhQFah0ne)2&UmI*azD3B&Gc&)h`w@9T0z zT)COEsojP(ysucNvJbg~brB&iYg$1-2OL*YDe%F2Ck~8`ls{0Ozd^iknK)}4jBhUo zG|{Hw!0om9Jf>Ar;`k~Zq>LREAumVMNU9Rzh~hhb%qV$z3X$JNq(~X!uh24bylVb; z;j8zI^nxNIq)&fgE~w)%qog7Nv^Xqr@wR+@R7~_4nKumK6Ci0%^P^!&K%-+b1Hhbt zhn@}#>lQvOA6ER6=SVc5QMD40n^G5<90!ZMNg?t2+EVuacfvL@H~)dfwb#9U;M3tH zNkk5+*P{oDhWA{t{72sjKZ1W$?A`kY&6?cvjH&+AzRK%L+bP$kMr^qnrO1;{o`~<^ zTpnm$ufNCdNBy2Fd=~t0{m-~)G!cUpgao_uQN9!F5oy(cQ@QB^}?laDCa26y4#3s93?4dB>o*o`agQ({hXP2 z#tCo$fffm3$!q8HHxExfC)th3WHN_-;UDj(VSkrhKZcRpDStN^$F30nYaOmT9o!uC zKowZG4)Ao`sxZSOqTO#*y0Ar$I}q4Nwy znxTsf=`Dudg5ShVXwfRu$VSM7*?d?d0ULrVLk?bpXjOQy<^^#HbVZec4RyEF;ER1=6Porn|TcH zH1VcBXNfWM{x;Zl?(>s<%)RX=_7{8Xtl0W}d;}ir8-MfKv(a={{R$*Tnep2PvZ6N-X4}UQzXkm;try9DVY4Uq)1%fV zOH&%i2!Dd*Wz#+b#$VYK=CVwpgM(OO=GZr}(9q6xgS=PWB@MUX7b}1lm!R`K!fR=L zke$mSPe#(9cw?QY9DP-=QYJ5);1F;c{qCp<87J)J+w(Y*1{E0V^pjFKaRU5(J@J3W zfhU%0|K_}ekf`;+8eR+lXE73~5Mz0q9%xvDC=~kPc#dC!tWh3$jTWv#VxHtEUjdWX F0+>80K^Xu5 delta 672 zcmV;R0$=^^2JZ%tM}KS-00000007$p00000006}R0000000000003_T00000004La z>{m^1)G!cTpnTHw7qa@{w#J}UnfuF$vRpO(3 z&DfrCLW=|fu@a3Xud~n3Gvk-%Y<6WbnZ(eq{pbB6?C+NAZ+~EagZ~ zurd0B=yu>6i7=|?1mI-OFV~A;aW@83Jl`PN4!`v40dFfq7PCf4<@up|wjeQPu zh=~__9(v5?@EG2Gi}|%*2#b9!TyyOA#=OL1mnlc=Yk&R>@lYFVSi_#KU&0^`^+JiR z$mY74i$>MD6-U`~RW$m3sq#X|tkNQE)k3vhqeR)xMBQXvk!QiC*v|9abVn_;D5|^x zPSs^)I@=eyUeraYW@()@UC|Y~YCZWuqjl$JpfFPz{Tg9~SSj{ChWH)mhgmaA_e-5W zdzc+{I)9z3NF|alxSVSB@m3Szi*?st=x1onAS^p(f6wr;Zxyg%_mRkLPhD4HOu4`bDd}bq4%% zKhb~5K_s$k|K_}gl<4)r+|SPcNHo%o^7I|_4;4(&Pe<1)a6zV}k>A9(^zwG{aAy$_ z5)c*;W>NBSav`Tx!aH;b83len~_pLFZ}%KBr+QiN`l)n&YShFL2tjCb_ zVk#`d`1Woz3vs*@YaC<(C)!r?8UMw3Pt0Uy=Br6&9Xxv6%0TDmi`aoWLK+1M+yTDKi{lC_pw zCLh~Z(rkYaU1kzYbaFY}q>6NyQ1cx9Rkbk&H(&q3lb40e)&Msq8#ze?y+Z}YA6b0^ z-MwjGOR%wWn+=43W_aS6cK%qNiAld~{lo5gy|5hk^X)fp>p4U8QCT*r0CNcQ$dhFo z;Hn3+yj5;hm6=3}`~oW}PiI}YHhR1JAn-#2mAL2+ds9Nb`1(x80g z{cti`r|8mH-e%3lapYm1>gW3@rwVjePs87?GjxpplS@zRyh&7HLi!z!M|oH7(*zR^ z&Q3(893Tl20pYN$y*;OjjfLKFeb~2*tfvonDz?=!#u>$OkyO$!zj*spnqH!Bk>kmrr$MU$iWaVo+vxD!`|h4Xy=- zb&r3oCZUh5Qg=Lv&YRDvZnF4W3)}4DpwWWqP|h*@q4(F?iWu%Q0-{?`&_>Uz4Hsc~ z=b)K!@O8Gdtu;2veje)_uF>`atR?u`%3E>|YxfA5b!B3|u$qRw70o}n1Xp0FXt!df z!TXu#SU#Brkrd2Q&-6WA&G~{c$2jl;0%p7fu7MvOotI%#R((G*_35>gwmk+e6wu;B z>om}6+1Q?^j)x~o?7i>}soP`S%6~=1$?X66rX5&IE9~CW(64mcPpjJ*F{>I} zQEv4u(!s|PG3+3JU=;dsTI^8~{@`j=_fE+5X^tEf*YkoWsl`#nQJVx6PYHe;B)>81 z`^BSH!kD|!$Oz+^P4h|iQW>P?F{-mPFE*bI6o1nwy|tfOHsqP>Wnse2V^nOOSX&JcJ!GmYG!;7x1( zr77ARN1aEo^;&p)(>d59BxmY*pnqVXYhYj?Yv?5qUMOP{#4PWi*XNzCa{agUs44Dd zlFI5fjrsdOa7V{1hT9{f1^M*DWxb!E{M(W}7vOl>SL_)s+C$bW3HRC)2b&JU)KOv= zz|q4~86b>{2L(E{b2jts zfUYFFv2UkRId{5S`}yP|vk;V;cCk3^$=4*`s%e2gtv$w`r%r{l#-Oacwo+f{-c7x5 zHC@H3`==S_r)v&W73B<}#LzA#(a<;beJ#hYw?9_)PQi7s?|qsB9|W9U0MKTxNgFNz zGo&(w=ayg`#*vvB$W8M;Xfv){%|OWt7$`E-g^LCUhQEFt4c(cGCas5}o_0cEaHCEm zV6Z~#yR|96z*9L)xa{wchPAUGMi4VjIhzQg1}MaM@0WWF@i+Qe$P4()u6=ucD=pS}CB=kT)6XWCz?t2dBi8 z0kRcehsw|;l~E)`xxHTTRQ7o--%={gw(89pkg+l8Ulg5tL`Tg~y*btgz9b)s9d#YG znV7{=@&-B1AZM@M1#ON)pFCg%F+xyR0h^xwn@<=2$ep`~W8e=#vPb@#2L794SwL~7 z!0NLDXV8)j+IfgX)=PFbiD4~Cn%8+V$%>)sU-b6%cU35&xgxj73f-j^&+p_9?i2qp z?eu!=WAGjJ!kZ&1@)S5lH=Nd!ITU;i zzFQR8FPvW-4dp`;TVW++O=P+3#(7cYJ@`fYQKXj}gO!ujFGSZ{!A5Zg*>x|8LFi4j z=~;&6VY`nK6d%VAxKuW773NElszNL{$P%QPgInsNRMYxGv{k9|uQq1sT&Hw~Zmt&t z%Zz31#<4fW&T(x=D$_J~kLk-o^unB*3YG>Nk&D4siKC&G}YeaOK^$xF{Ya?G0%!PFAKj)@&=LxeJ?`%=W7plA2uL%s3R? z>T4(!$fA}Jb~(fqVodbOo_rjuf^CL1Tz<%4RO8kBv`Ykb@R@hfJj5~NA?bswHZH$TP<F#IL8ItSlRY|k^?s$yoYhSTOuFEMZt{&9+U zE7NEpfHL4BV2RUJfzSlKlm2ceGsf~B{LXgXZDuBnU8kK?jS4dhFNOF#qB#+zf%e;; zS-W@!F0r5J$HhgEHiq>?Oxh&QTl~HLs#WGatZOOguRh>F(V9$O<9Nk3LW#j_WUL2h zLvraS-Q`gyjzXZuG8-o4xVDRA=eQNycW8k9hw)W$n$|yGQ~=^nJoKyIvB&Ai^T~%= zDcELU_3KqW=RJu{%2?sMRyF~`vVY2@Ud)OcAGEATusOWw1t9!NcxzE9hxJEKu)3wu zXBVf@C3&Tn(IKLj(X@}`Y`S}|AB{mFp*y<5y&95>%=U&EKJMe}nZl3DL>HlVpX=4k zg9{hfKijM4w9!p9lPVnraz+JST+H%{4Ij5%8X1EguR8$JzxTB^c9=T)r|k-F3ZGP+ zW-=KcdPDV&gqbClTIaW)eEI2Sg3O#x^ZVSovWAL0p$jjS5;@zcBEU1Tv<46OwOk&xYN$Y~>g?X4$qAg~8>A;IqsO z+n(%mK|5P#vTYq}tEM|ifB}X$a39vjAFYeaUsO^ zmX6*sPQKx3AtC*Wlbt^#tspDY%Ej^H%}-M+#vy=?;J2u*~(~9=8FinU{mB5bszWm?Cn0vz~VRGM2aO>o?%%`nI{JpfMsBWD2ox#sH zx^FZvK$HwK(Y`JH(k(QEKvOZMdR`U3v4}9cb@lX`K=ky?;hAZc{PkO(PFoXZY_kEg zTDkm69D#Q95G`VPtnUbFh$JuQmRDhJ8<}z|I|v7K$=3MHonEKY@4}sZ{kDxYqv~a8 z1;i|OnSoZnL2`!7fWVmU7F}liu|BI-wiSR~+mtq0>dl0<*P1tv|63H@$X-#_TuXsM z9N;}RbQ!x9?^MA*W+1Iu>*_#%@CqWqo)I52(ml6h&o}GwuK#Dv)+`(h7Op^Fzj&tq zERyv0XH%L5zG3Ld*i<~s^SmL#;)}o37sEt*AVM%%SqZ-B1A}&7p2NCAol74_d*sn9 zGBq`w&UJao5w0KP60F>A?dSG*Snl`l>QlIBDPhC! zuPbCUK-yS&24|qW6Is2Ls2;6r8a$f$#Sn zHxgZ=bFwKo_z5pZK=Bp?<*>y)J5efeqX9yDR{FWWg_rI+&uTvcr^VhinS~S zYRnDizUZsxZ{#w)XaUOhrk@9gADI689BM9EqysTVf8z#sUvF*VKDZKH_j74;}1!YZfP*|Hb zi&gTVb0Xg|z{Q&izAq#w^biLn`A7f7Nzn@CzjFbzIIS^9afhv8D!>orlPQ3!=0e_$ z)ti1Kk_A=R^lyFx5aNf(Lz8y(Rm`Le^aw#+O&(ml-M_M86?OB9)Ut_uDfeO6%Xb9A z38`5WS&Z7@=69$v`E#YE5C7w%M5Q&J!dh6MnsuaF6vKY8nOGugw=nR^HlFcmNubvY znZvrAyIU)&Q7LC%sboJ~a^Pia4>VkaWME$YFV_L!arSp#26KYtH(0B?O_26-NNGm7 zVst!IaFrFR9NN51un&RfmoB^|wGSQAk4x`dnpK_X>1FPcdpu-3axEeqN%D54N=Gp! z_00VN+q!?-`~qCau9*9;4p@k}uTi&G4r28+{XCbkkA>od)*M4*68{|&81Gcn{^puY z3)@s8elmORiuTcol;+$N zS~o`oqyMr(Ib2F^U~AXyZ4WfwlgO@X9DH#{l1uqBiDWTHIYq;+8g4{sE@bqyk{Y+@T!*X zAz-SBUBYxfewZgGm+o*lb}mXV)%6?ev`F8#FG?K@a)}X)RXBZG3JFk<1)Di~nV|b5 zMvdoEno%t9pY5S;_oJRAFS>Zj9oQ=8iWaJ5{eeX~#!?F_%DvfUk%3|P&>y$waWW;~ zycyP0C6m+*#JeWo!zjjKf3s%=^Ombf*1lzKw#~in4@pEzR;cYP%5%sYBUvx1EoL82 z*vPomFw0g3fOolT>C9fxYo(jr91!%{I6u__tzAxEeG=?YH&GwH*{Zprz1;HwH1}E+OW-vmWN2%g5qm4dAsWOY^Qdru!*cV zmiO?>sV z7bE>IQH}G1gX86DnWafGUW%ibgE9^QruN36`RI`mUHQ(;G;M#PyW0x8#fs&s7WTL@ zY6XQk{zNOeUio#3^Rm^)<=q7Qm?5i-n`y7L+2)}D2ca9_iIszk-WJ&JZdclLR*Mxi zX?6el&~GmA3+!KU8gg*)}!TYfkJ=SPJ$^i2nA8RS102X)A|BdNas!fXPqy7exk$*f1A)Xx+1=-n0+_#o*Z#CeTsk>dS4(lo2EmmDi7m~s542{c8C(FD}c6U zw9kl>;}`sKM~&TUg2;4x1HezAYDs<92yTF28aE!M@hqwa`1Nx-!_YL)DkvrQ*P{ha z=`PqGZFCCO`7K~9xmG2LqwV#xH1~xS#GRJ!XVM{HcVbm4kdJtJL4z0TE$|ehd=6YM zaK6C?;otn0pjoLn86r0VS{}QTZAFoz%gsl4M;!^uL*-{9Ux$gjy+aojfkP5QbZig7apFlSK`iB7|e81G#DkhKqNsqPRc zk2vB;q_+h=c790#un$=BM%=($@_1lhsP1et(y%VzTnlu()Var}O%KR9!2jJVhGgs= zDH{GT={yPzPg_mBevt@bH^dAbSvYxHV|CO*E$@EbVdG;}2?ww;q?^EyVs!C(Ewop+ zAK-j-jW{TshNT>?Y?<#mR2PBe%fMQGqoaUIh>TmpCb`_jd75rCaLiEt?s@CGYvOeX zzV_apwfoT1os>|P+L^3!z-R_Gpt^j$Rng^l zjODlj@EMTxE(8vOwR>${3^YuyR<)TNioU%|kU=eO?Sc*%_fLkvROkZqtBDVxezta@ zL<3kI87L15mYRm`nB7H1m^z1sUU8~n_!H5$6=>|)(Ug)TK;p-0sI&n_4|5mX*jOv%EmnjVd(Z}uxdjd- zL6?)jM(;{jqW~{|kltX!NqcvKp2Y(+MeMK)V1*I&v#lM$7OQg?PfV{7PZCzfK?>>z zhsSgAuI1LCZWjB`A}Ft~K_gX&X{#EBYFHxFCUpNV3cA}izqL~(g9#WO2UKm3w;AP9 zkw*4H2t2a0&)oi@vYdeRy86&uL#S?@E;Z~Gw(%h4KHOv~LQ5($(3G**>PI&xwxs9a zWRf0i6#gxPoFQhpc4_s~?8#ypJzZJfAatQ^o{a-obmlS1x3zlCiAC9lr(p?eQ?Z&3 zK$mcKz^eYpb<;|lkqDI)fuGloN~|Ds;5iq@_xOvGj0WN*7pquC3g#o^oFT~Ly5YLy zG>AM0Q-yuE0b~v&`%r6a`1EVI9Bhf>mZ1kzrtsQrEtukVvd!YU;*yi}AEPllBHRXx?SDO);NZ81$y`AIe>b|g|y`uyMI8)a0O#ol2Ljm5R^oW)^}g0Jj^yIvk>Sk%HvE? z{PR;>HPV^sa=u^!*7ZJ}fN3hKf5g+J&*79{Fzs)xi`vDcA4aBHw2}YcBrTRyrl^Gp zC*^zgPD^C5pS|qGO=mkekLQXTkP&RUvFW)Bo3jQ^ti<)YGlDN(8Kl=d$cTCuNp{Uq z6}RfRXc+_dGMAh2Jy#gau;9E?MgoNQOMpbUZOnIoCGfZv!6Ry{^Ebh&_7`_mVFjXA0%BRgQ@D)h}} z5rzg=VXJH>3rv0hy3Poh0$JVd$XuR%Gs2nqS#<=vmVKtTvVTUtVRdFs?+_P|m=Tr5 zZFQ5?H|1;lrn5u?N&jSC>^Hw;I73I|W;#K#LPt+PDsDSMT5QORJ z!r$~;E3lLv5%kj0Fn6L`=KHpA7^d;ZNERNrSf}mID9rt&sM1z z4tZZno469uBs}$3g~;Kyd*1!lU1VD1(O*IE6fAbvns>jM^@QUY>P^w^m!Rmy^3Zhp zj&J*1Qz@!dU)+kL#H8dHE5^EB*hulRrL8ceOl$pM=g#)3i4NVxkMlxFB|K}hRP-+i zy_Ox)pBhW744uo+ov~9vipHZfqBWQ){KsKW_Komh{?9>Ub1{MY4n>Mn>VQ5$qm^Cm zGR*H?ZZ^R)(oH0Lp19qKopAAR#%a3;O2hCq0GVFhB)$tM%O?$NMizxO>33CU*TlAL z01k(+@6xHqVV+YS;h7;1?-&*D6sIQr9)QNyRw%djM51x@=+&CNQ1b=_V0Ta`nc=R3 zi4M&;?14W+g-bYUUDL7HQu*$a+g~cNp!UXoPL%a3r&LZMm}kmQY52%TE*hKj`VMD5 z=-{Fd{Is+rbYr7TrUcx@d1n`3@2LZzv?|d=4Qw1x*$v0;Mpbu75D=G4!R)7m;xtJw zx*UExUI~Bpz36I7xnGm{_+w7HLg z17H%|cx!f{?SArF^xgqmxI4AuFtWePh8bGu5=}shvR@4uc+Y|HqNOV^WytI;Dy#dQ zshthRByrJK37*|3P zGqyz3JTJd74s-rsNp|hSCeNY*P%gCsp|(nC zW$``{LG+ULU$XUNzYRv=I#DUP{XwW==_^mct)rMQ6fBYmyIz_~J#I(qzw&OD0YQG! zaW79Vg+Q;%zuGKZfpy0GF|QEBLFJrW6#cFK{G0xl-6(cjnN#g(t)lE{a;~-1mO)@m zxy+9gQ{>FsWK$tT5L;h?dMioJX~-F#N*sB9q_^nrf+_Q)D0E4!J39(S=i2RWO#yy_ zEdYN*dgGwh^S&}zO~F#97aOc!H|!~d{9=Y;?arpljk*sUgi65UM0b3{dnHF-_M9pY zYJ%BN1t&)^6E$=R`9*MgY#V$(3RS#vIeWiTUNUnp1`h*${m~A8JApdel2lj|+*q0Y zg?ubYp?$ukGL~NXO+S!KKwq~Ai&OxZ*N*wUwj75AewfQG5XU{5r^)APvI z(_Kvp9M=`xXV~LLfD@$d^u+B7l0$3s_tX=W$I1EAoWdm|^=joyz@AgzkhNkZ;OAp7 z%l`Tzfs9=TQK8+y6!U%Q&%FD6tSVNDfV)yFT-l-h`#?@8_4tpDfnKO2w5aI>=)?9E zE-2J7iPXnU4-ll^C02wi!fNMp6@yoDLRAaEBi|2Lq?<9KI3&YPCKTBD$Uw{NdCS@G zO$XHUgZ-PYL9@V8h$?CT?eIniumOnDLg5lpX=cN4HUP-YVYBG)`37E70IwH{-U0I^ z^w*Cu@~f2q-Sq!>9Cr#~*_(CX@KX)Y$BXPTd2^ra2W&{uhne58+LnLvdeOBc4DmT- z5amkt-9iNTVtkPOq4VFkbEsAWl)OYv8eI{2 zwK2<MGx! zoJ0Ci?xzs8lki@5nr$69rsYID%;P75BB|F2v;4ZugPxo+eiqc`Tf%X$C*D%rJ3F$A zxV6Mfd3cbhzqBQya+k}y>%Mdsj0ufJFJ-U7$|%So2fPg|=7K-(~)` zdTZoTrQ(R;CmAAl3CX69tN&3+A7a3_=A7#@>PSJtw`psuf#riK*Nzz2*4jcO)@UDD&sv?w0KOky!{_(!^3P}Q_Qy4 zM5Wv_a3kv@8*Y+YoJA=8jcsNre?-l;D<>9(O6(hDg^C=kwV@=Lc4$lw>+nE`Ng@-9 zm)X3<`OCFz2IY^UmJ$YrA(>v*oC0D~H%e3G;iDN!JIy4@zGMoOUXm(JTVboXDkr`oTGXoKdc5hr<%7*)<3azC_G#aasZpV_5L;7%lL`*4L zvEtv9qtqaMFF$AZ@hwq$OQHNNl#7equkg62LUJg)cWgK#{x&OQ6^vheFooY5aF`vPPrMrCUTZc`C75*=b{r^K*aM8=kCQshfNCaHv&tU zxdc?icbh8K7Y5%AfjY6)>PcV^b+X?-Jdgp!b0=vwFSs98rEa;gM!%5rerOb8@Qq*r z!h8{>5A9Ir_FX2@Js8mNd}8nkD8cI`M=f~xmAv8Mu3mJ>Dtix86;+V8!I-j=0STVm z70u7bZt~es+>1Uv=wgzM*lGNR6);x&a4HVSFTIgu6B0oc?4V`XUF3_0_>}AL&+Bl` zs><5DTzQ0w3cC1O$eLq^Lf z)bThY#3IbvtvBs&e<J>GU(f4NK?$MlL#2vc3b`^Q0vXkxk5&eOzWVeOkbUAFOcTE42 z7)!kIBMumR6+qDV--vH4M@;@FYPqVsWemTHTooGIts1@ZeRRs0W}NAVd^X1FKQ)ju zx>$hlVah$>-7_E4Ut^cLi>8Xt*CsOHfX21|Dvb_ZF-%r^QfZzI%i`4xZVs~4^7Vn@Tfum*Uv(TMh__=m1tk2V5AaWphTh8<=s`>< zcuLp*BR%HuIEN}quQr#jNXSM^SwvvLTXL(9u$>v_9ZiMY+PI4|2nv7EEv8S5#M&ChMbV%eX)Db8yvQwK-R6>7fwKfZZ5f{)hi+$~^43nDC?M;+g7}^VH|?#R5FYVKc1j zNP3F-kq12_cWwVeqS?5cU(BL5kKCGup4GXL293BrX0dm9nT}g~aJk61s!FE_NlZ)||xL8#SI7 zcx-OhbVOhz^B+b?zC1`VZ-PBC;zE+sh*&=*fTR&mxt=UISMkYnp$5($bAE$e)09&l zxaQ&p9uIwN)`;H4?%D}^;nivyUK^5b4jQD!s6XJ8;3c#pdO~|X4PZDXOal)@zsngQ zF^Og5e#OQ$P+PInP$=5UGj*cW`ig?U538OgWUxspQrz&w3Qwb+uh*i3NXi=7?ZDQ} zJ#HtP2CW}6;>5S6abEjq4$n@>z~8mAm@P~YpYod7{G_Q%qaL{R{ZIdX1P`nj(xp;ThD%#?J3PtR(kFEwaZ>%>OK^Em+zN6pN`atC^p03+>+oT8@2XQWm4cbFCn&OX6Ng-@__u0-K zN0VCG8B^g9?;WKmRGTNm`h096u_^tv>iOTf+Nz+JC7cGf5BLcl$zQjU1pmp)XlE;O zEvL1v>0235xmC9&%g<{*FzBc5RbEpxyddH+IC*qxPcbFl53(p_?w^j!Q7+wyW}zBj zWj%`$<@eILeG4<8h=qquM}HS*#eY=NEK5a>D|JQB0sGr$7gM;#+D#-?ze=e%?8+Kj z=5?wRN^*KL$FbcKk2ioSk|I&6eo7bVb%6&6L&@vjg-(GAQSMCT#FVe7E4Hrl(`FM%Yz65_~2SWhs(xf?94Dk>b6ohTU3c z{Yr9Rt?Yhpl8XQ<%HMlh^9>q|Q!tTJ?U-f~qNE?Zv@1^O)JZ7yL{cFX=ZO#RE2{mV@KZ!G(}nEJ&m`vp9`dBa-jQ`xyF)Tcfj+PLx) z6i=N7;R!u&Z2P)l{991e-K_FNse8dd*Q(O${PVmYC891~!0%>s)81Wa_Aq<>6%27^ zZguRSr!qbVd55Us)^<#0NFwef`&6Fj zwKqnEVK_vJl@fsVN#S^{5huDy=rx$MbZ_v9@5#Plw*>6IpXZE8vQVmTr>Bq zmLWuglegiMWp?G!z&eNQ4SoN`&r0zvl;#T8D2Y`j^SZ52ls7jjS<|o|v?QE2odm90 zLojczbphY_v;l(y^R;_Wf26Il7guLjw4Yn=Y22) z*>yI@N?985@t?P^-lKa!rzsSiMI5FtT^tnZ(xabwb6-dwr!o%ctMce5vwHvByYG)% zcyXxFk0+*5wiSs<z#@-qUMxtSDjH?lk67Y`TdD4P>%`&{|}ID{a7 zX*kzT3Lms2S>8pHo20p}_dck(xe93*Sl!IU_HDg|kkENV9S6Co zEj3{)N{Rjwl(qTWuHoH}x(;oQe9~Q3XX0tdOcM8ur<&!#R5k{%bfYgg@W_zb1rEoN zD_yk1FKaTbn1-)mGhe-DBHt$(OR0Yol(fwvmj`TAmzup_v)H^i56+Z*RhSyLk8-&% zr;@6bS*(p!>uXW>{?5eA*%&?g`v5Q3iPm9uQcN^uMt!?HA%c>M_&~CmE54KB7i{#6 zCw>>Q*>{~d;zFn3YAuAU{$zbG_s8B)VlF7t!J%+ZwORzJTNp zOnsY()kh8*U%6a`Ql1M2J|X^@#Vx4m`QerMwJ8I%QxP^lTDdd+2-GIIrdcxMpSz@F zdw$~)Udz!T+lw2b^K&f;9FY0`l`vDWq$EUqt>Wvzqn(*Y@$GiucK8jreyg7SN9a+w zzd~+vj|2V=3RlsGJiE5l#ya=dftS(R((&p|z}<(F<)tfXp4_8VPMpQB`|N#mgj+K7 zf;AAOr@1^XwK9n}i`;|g*%P4!wrD6!flmljV#QUVgIwNoE^eRNZ;Qy+`)j?tlw zsY#*`jQzn}D8{VcqHc>4u>Du7o|^pC{qDyT4+{s2LyJuuerlsuOAQazj1bY5=|tc6 zi^=*vOhXSZAkUavwR_{3-WTY7gZmG4>a_<=ze)OmlIXd8@T|>W5H|Sl<+ske`udTe zpGI!M_E|a`?6JRsO=Q$w|Bf#3UQhEs-#gR{d`fkFc7qrs%XgUg*(VJ7){@L*_AL%M zh{dg2m0PqNIB@NUIm{Zq+c?Q1u1dA8Wkl*JwUy@=prZqpO0t$6Imy>Fap za?%~8;=I4gXe=MK50zPTX18A7;cL2G;x%xxv?k?$IgJ%*R2+hPbe_{#t{}$Wl7OhM3R0>-jUgX`F z9XVF!^i7jZa|vcVt#2eI2UU21l2rL*uZav2=$U%>&!bIBJWKxCsDCMvnLZq3U!CMI z*JP1^jmA9)-n%a|6HumnK?3q=kQvA2RQh_NuMXSLeImIUp%%dIs&JxDz9B2ffvYyp zW^U(RL@G%$lJa0*Wm9JdFY*UM<@b_Fd8ZibmlyVyE$UL-Q9dW18g_nbDvVk*aB-~B zoXXCJnWykxB!8zJ$7+4@D-_jy%}DqCCJsMAhpCL?MdzEbFvG7u{onJ&O-(`fu+UhL zLT?ZSg(DTBU&%a=_hHMQo;|um}0WT$SyVyalxmpBxGn{klS%!d?h1Th+>1U@y&4HTkivOtEd|fH-4M}*7)?f(mHETEZwPeI2t1ntt zMt?^rm!rKg9QwWv#P?O0OIR}zPZs^lk*BX!Do^k7Hw+q`4VMXsRv%b%qP(I?1Bwcc zJOT8^i|P}Mfw%B+j=c;)I*rD!Z9=Yr>;5Nx0(2*LsE6!()U?_;OidqLQFp|u6U5x? za>rj|CW-rgWmpP{%LRAX6?<3+C5LW)O+s>+7mn2ryER@o{aZm+=z0^|T6$GfecAf9 z3dP~aB+rA=(n3SWbJu}vI?VCLpCNl>jumygkt8oTP;VZ4b~nawa8O}nf}F-jFc;Gp z6Ux|NEhm;Kz`sI0D<_qLUQ_+j^tyLiL}H#yjjK*?LK6&74lwqDeGxHAXS6KkANfmh z%6+Y!B)#!;)ItEqyU*Go2eOne7Yz9KLahKGKI+^Trd~g$l53H?>@+c=7P=PFeR18T zhgU2#F^fCGzqUX*+6OZ{@~_3e1uo?@gLhMC zF(&rSYOFF$SqYn(p&Bo6*Z$!Fu0|Vg2}3EIE|mzyuNcx_!0sL>M4*(q+p=JH(M@e< z8x!T@tEV1&Z+Lc1I9qdCcaUv~k2OFlnN^J;qDlG@KPq&I#IIzOO0f_2{|tV5;D$x-q})YG%^ufbFq{1?ylE~oj>%l&Ox^XOY+j#DEJ`OMZWOG3VEu=fZ8}1hO>a*X8Zed^2N~$#3F! zz%STxk-n%s#4i}IPTHdX1Iw01X&<3pver4oSnSF_^jcjy$bo88QQ=ZmF%iehmTFT+ z!9C&YW>@%mQ>r#4^fd#n6lFv$!S|>_78#rXHX<*2mXbmi*aulFJ{bPA8$81i?>lcH z3kc8a4b|ogmfJ#>DBMOKA}?+hh^nGHZgC(#s70ds7&*I)#)BW=RynK`7EavoE@c3?)xiPuLhTWmQXA6Y^TjJ z=WblmPZhqzl;G|CYP5A+GN{OtDY18+BEehs+0KSz4)MD4zRz@k6H#q&MERwzq(^Im zB*iNoQ+NDfX_6?FoE8BR#ayLm;i#s#N!%_T&&mh>6b?+xw@+;D`6nE6N{T!aRpI8e z3Em#BM&FD}f@Cb!Dk2aDC2*f<@n?#np4IQ*hkcC3tYw~LxZ3yBJs~|r`PJyXUO|PP z=S=KrrKXqsv>c09yl<3G<-l-c8TQ&CN-ZKMx;J3qjI;39aPXDrpXV)ZrBd;K zLt@XkE0YNq1O62!Dl?MTBV$nF!K$C z8Zq*oXG%IaUQSe-j|Sb7UI^R}7 zbnAx5v0Txz+%;A*d^bO8Za_5J^aJT$u@tY)bfMa&M&ERc<^Lb=BSywfJE zqi^!>E#lxr-z_{TW52 zMyo?MANvqOMlkKW!{AMAfZ%3U`i%Ia{R1T@d9(SUO=#m%6@D1kEA=eF+vnA2wPVgu zk*Cgmd{ZTOvyv3WR{k3=_Qn#>3B!M_s@@MV#r4k9Cm=q&8eMVBVIP;2P=#;iO8mKT z4mrm#Ms)v{y6PLhA1rXpVJP%GzMuRw0ipL_B;qdg6iDa|c=>Fn(=jLR`{~A^e1soj ze>>qotHlmXy$$=wl+z-&vZQ88z`0pWzS%F~P1O74uBEPqD$z^aS~NtNAeFdlQwmeKY&jsbEJX@4Y%QvJ5| zkJFW8P$DWyh-VW|Pdh3iC4Ri$_p$4&@v-$dPPgck=Q3kTrY`I*u)L;mYnG(`JZlv+ zR1aC6J5cNI!RQ`@B$zB5GVxoaM$85hp_f0ofthoU0UOUFsq)Y~&)yRO5g~a2o*vBw zR|}Qd?x(iz9TWv=Y&QNefw(IMf778jo-cyJ=i=^JDaA;qaQd5U1*6@KBRFXgP|8S0Kz!|M4e6 z#CEpH& zN_L3TL4L-W?|Jxe5Pr%7KRAa^Mi6i%9-3uNR1a!D8@jH%g7dQEOFt@*gXme7(~-#C zc6wYcxVwGvX>=;2OIo6iKIhKRbzk?mElss>%KU!;%?mR0hnhlbgNH2>76rxFg#l&-$H`_*5SJ`tt1b91Y&8$RS-W+Vq>27g(b3|}Kc<%D%h%i=2 zIsx8R7KpmMIsT?g0u{mKtwB($O)cO2*<;W35a8`VoZdK2yT#?r5y1uFc|mWiB5zZ1 zdeeKi*o~#2H(i?#SEn0Iu7V@`c6qb40m0p9e!DFqGaZU3C=ZAhuv zV}Q5KaeCt#$t|?bb989+TnwfAHCUfxg}03c!;`~c$bkzM&|9~iOu9s8CIX4_?(hhrJ1o%?R$@0f*zN^aGqseoU_XLwizB+# z5(Tv3apuM%QN3Vb7{gaUz3rChsyUH#JWkR7h8HlOkws^_+O`a*M?x4S8>;mGq#6o1J?30D}_bF%U8<3+kO+ zayS`BbcrQ8HYe)k<1`VH^4TPoEpw6_I^%45NNCmv%Gs$`hh2*_WK$(dle3%^qQgSV zT&?Hn?7Ww^xm$$h5b)k{3!~GP*%57zBf8rX?V1xu8i*sh-4fLumDx$EYZ;3)s z0cNZ*J4cEn+HaZCQD$~T_r|GLiE7BqPQ8&t`>dp@-q{fyjgz!Ubav{EB)Z>9dfFam zC+Ye)N%t1iJ3C4H&rZ@EaYVORqHXp#JDcu`Bf7~F(=-^6 zbl8LKRKAXE_bkM{FithE(C`9=bAba8r6mvV#<9<}$UgpyUebHr^KRoM6aNK?C!<5* zE+5q73NLPWaNczDwSkKqb_%6PD9$$2Q8ANNB#JYR^MW|r3(IZKO*zE26{7RmtSJW@ zxBUy)w!~rM^SR3!W7}Ss%Y}G@q$`2Vz5U3;+m1MF-H?jIus#t^r@|eN?gWHxK&7~k zhtlB-Rv_4E^gIQn=#%KY8LWKi>N>xtc<^yZ8V5e*j_dLQ7&+?zZ7!2tl~9O+x%%VG zwFlU!;0DI;jl;&*fJ7L-xXKS0pD)shFn)0*9l*xHWH~!@W15NJtv3$azBp{mk`ZybHxAoY z@ZaV*Q^0!}S-LQz!~ySRoK85{0^ZAcU^~&+67b#$WF$V!+`xN_Tc-gJ>9_fZxNDZ$+NeZZzi zpf_&UH?ZkmhkeoxSb^80A~F)+6XHk^!nQunrig;T)5-LLa8(4M4g2n6WTm=j8rpsXk3-DG13-}ws z_B*@;c%%2aVgz_AI(dM%4a&Pc26*cS(ILcxmH=AiMtergLr9Qf;9yEXZ&a@f7T}F@B)0_g=1NKMfZm2c zl$$zQ0=((oo`MB@(En;Ftf(<}j^ODs_V3NF}BqMiIHw%HL~7)Nx<5?x`Ls~|cKjJg&KNXi$rsgyhh z)XPh_^kBsnAX>a293V<;oVu$BA)?^NHCBM=k%9;TqP+C&8mj?O4VwVb;vGN%qN_oa zBB)yih^qHSI1(p53Kk&BRd|F=sSw&!u>~aEU%(b1%1I;rO|dnQR232+%4T|qS|LER zIN1&m?J1bPf~f0n0iwlKTYzYvC90OFAnIoG0MWsM6#_)tptB{;{E~Xqt!MY0T%m^$ z=nu*;j$}+$pPr2&4X0UA&RS{A1gO>_NtaQv6 zz;-AOTQ{)jfJ2M{Y}{IPOMthoI7yGhVdJ)MgrrB~u&s{cZ7dF3XPn-S#bM(nZG;t$ z$6@P;)7yzSY%AmRb}|lIdmL}$aoG5ljEKmZh{Lu#jyGNya7!Q-mc{Wl6^Csputj(X zw?*6%;B849Z>Qt1xl0BT=Ve~j<({=8C#=Z7Z{i^*kpaLR7Vy&)cH{+VzIVYEg1Ku? zR+Fe;!zd&rVM}^bU%)YWh@%XirpPKNBzZ_dAs4)d6!eizkIn0cOe;s3;z&cinT0@o z$TA?lfpX>}V34=$hKx$yvi<}+o*#*8KW9a#58^lW-gezhf!9WLWVm=ne5WwQ*zDY! zLwtqu{{R30|NnRae3V;AR6!WW$6IM?-mhKMEN`t5ffDPcojqsH?&!I!yJyoS;t&}k zgm`^XqD*=*h@hT=!Urppgb1SYrJ};89>RjqUh<`v3W-31Zr?Y%v#Gne>@erd{O13C zGv9wsElD8Rgph<^6{!i(Cqm1DCM#|m{?xGij0O@(F^pZi-ed|UH^RtX<`ZBjiAz<# zTmB)iq6p??)GzsEZz#$p6JHV`pW8-8H?r#_ZKnIQ6p{)$$48w`!B)o$Z!^Q9enZl1 zRnnOjvX3#^v4yV}^$j<|8-t>uZQa)BfI{<6&l;w8=n z(s=fFX8K(am=`A29U6wT*Owa;bOf1O~vCgY!^1$uf1@pq9o0RywJH(Fe*y zggGTj?5BdsSQlwIg^=wLC1AqAGRa{WYD)W*u@I3#=U^~EJWSy+w-YZ6()p~PCBffc|*yGL_6Rnt!cmaC_C$N{Z6 zha82Ouh|Di#QsX*9TW3)_-%`6)DBR~VulASqMEsUt3dD{F2Q^Thq|23!J&bk-tIhJ zw(xW~!Ay7=?j7vu?mgXA4k7bNAB@~u>RUw$CB%s;st=AM5Y()K7!`CAEH32d`26ar zMX?y;N6rzCporh2iTak-IDla)w3zQ;VPN%~0M=B(%`BBsO(}_Pa}ENp%`sZhx>9lw z6vig{>s|nWj3@;#kwU-)+`&sx`MbIyITka+^#W`sufurf_tzq=e0Yd#jC))*4Fhbu zi%i1Ah50XS2)>0TOcJ&&Y;iZ4#<{7(~grBvbrAvXfT7DB=-EfTw06s6IJrL6W0ssL2{{RJdW&i*H literal 21156 zcmeGCXIK+K_XdossHiB2NN)<#q<5(iY0^YMdX?UhUPDAwS^(*tAXU2b-lW&iI|K;5 zC!r*e^71^-|HJ>|`~Cf0yVuO@?94f5&Yt_8*`0lU>(;GulG``wty@kvhsaH?XXD}N z;O6>5NPtg}Pw>S%ZwF`V7ve$!F9ZeoZ%!eBo1%{D3v~xCn-_}K4qi8Hz3YhnE8?x@ zf3sVl`~S=Tljdvxuk3gG5cnihSK0sa2Msv&sXWW;!dDf|uhVq}ElrGHWmqr$`kwFf z&iITiQk4=uo1?fw^XijeZ)Edpqv><+(pUViU(*?BY0X78i`6RjhO182nR2_>ztjFC z(sDuoK&5v^FsYt)Cw%59X|R*yCc80V=p_mw!F+kx?O3q#n0X4gk{mrDTQK3$7OY3zl`*_R?Oy_BOgWhBmH!sa*n9LmnlH)Agw_w{09Cv{y= z(p~^Bu5vbmqbbxWByKi{d@Bx=BtyJXdR#u zVLem8!KR;sLwSoK5%Hy89Oa(v27_aayXQhUo2*-TDGmKEXb!uB z0Dh3+YzxeUdz+&aFI7PMG%<5<^AkTa*-KO0{$4`S4j!<52zejaS8`%-RB2avf_9!C zRl?z0@?}TluxUSI`_h3U%*Rv8&J2W%`+ZIyv^(U-{24whQPB z)Dl}Q@2Ez!(&z93vd;h>n<2-6_Y=rC@xTyVX#i{Hq(_Fx9 z!^y*SK{pd~OM%`j!uxfP+hXj_&vSPu%Zzcqz%a_dy27Tdw*^zdqqmVe&CLa4#9HQ8LJJvi^h1#_0BNi7y_TZ`+SijZaZ)n**UVJ2X3RE@I@H#QtKq%G@8dvaD1h zWsaMQU;Pm@VR;l`yF0r(sYJ*`9$e0w=MCD_qZ`CoZX0?V0j-#qmLD_2EJ+#6B~B#* zDd3VLQO@94>^e0F-WFG#cL<3jf@WX0?wI>cp!hST=Dv8nZz6aE$wrcOcz&Pk;br(n zg?oy7ipufde_O@ZSL<&{Cn#y@RkmX(qg=*)^~zGQCo-IJRG*ssAf3OEyp&Z>xr}et zyQeubdxyrRESFHAaEA^Fwz8pFWpA zbs{4qK`^Fl1WX5c#$KfmM%;uO>!7%RI0&}xnPU&_lo^{p>x7Z5vfwkETU8bZ+23Ks zihcafD6rbsU~gm^>pvS>mY!gbg~xmyfirEk=KhFO2DTqxte2hYbR5MqI4`6Xv2^Kz zd3i^{rX$mZbo!N({lTZJ4 z#*R@V!)vSI%4+SEEMtL%=vV~TlpAb%9~=}n`rgPuEIV!F;%gWI2IHh$pE|i$Zwc%t zV54$DhJC&-^+9bKHJ^4x0L2u-_ynwW%P4zo3d+1apdQ0(B+ z%oA(u$Cq%ssGP;myETKh*p?JMyP$*2eQ<}BxwjG|G``MKjn#{`Z96BRBM#e`c>G)u0=JcV0AS8J)Ck(w>TH5dH znv4LyOT)rsjL%TLCuHm@cZXhkusiNi%3L(skuEC0olc;-uk;@t+TqIYrmTyVzuzA; z6LZB3>TS|%n6_1hL}Gp26hDBzczG3-4>XwQnZ}W+msbpsL6lbtlKflEdSiTJ9+ew7 z8WcieF3Tg8ny1ov=t1dqD-DiUS4Abl9&<%MLikz3$_c|l9zq^_S~?}dNU?4_stLS& zWW6ce8XyL6AX`rwNpOCh`;<*sAVtqfHv+r8^in-Fk6^x%E zW-iGjIw;?-WeK7ops64H6sj6}yeFQt3zLRdPfV~;b^1ijMU?*@toPtu& z($G+WA8VE7y_Z)FXXx*1<&+AB!(Ukik;^m;D=iC`;sM<Oj^)Zhf|kc}v~F;9dniRM0&6CR+Lm`iPJ zEf1l?ov15t$wN(SUvzU~UVPj!%UnC1FBZ!{xAC;F&oI%+EHMNQ-K*BqWB7DXxXyXK zzcr9)cEB{A+>2)N(*8xJ408WA;@R#Q9Ts52gj%?)rN1lcuUI((@HI04R-C$dkBJs8 zdOm?I5LV6qO5*TsRd5GFaIpc& zJy75C!krXtfGjv*@87!4O~|!iw9#y!<5Vr({YT<27#>v-TF;I~E0dzfX~=&UaIpW}kaL2~!{%0m00*9M|}&SHzWzain~ zRxH7)Dm{VDJ!$S_Km%4jaF4(yHqh&-Jr)lh&|QcOTA9>o4pC^1tY zlYSu)+|X~e)0!vA(){6cW+1AP3NJf-(qb5M{Sg$L<(WlaNiGEx#czRRO(OPV>n`ho zR}e!jxYI9k%~ky@a){F#R;mDD%jj}M-7k3J!T#Rz)+Ko{E@jJ4Odiid6zIsnAnj5lfWGS?TSn>iYye<&w_5f|tzLlLg zcl6v83H*`I8D*kvIP#l}*07^WKcr@E$HQ{O^|jiuHdt|%m@c_a+Aihb$@z!b3NkSs zHNV*2iVhcp*=oV1^Yx!LrfCVirsq~oWG4dy8gPGAzfqN(u%%QlUDO^Q=Pf2=m$&{BB({bZ7v97dF(9{}L#j=y zheo+#3PjR&p0CdjU!-iG7{_Op%*OSAth{Q~`suuZmnV_4 zL5-H)u6D$3*(oYo+Qc|%KIf{YVfp7J4LyFys@ubk+}P=&c?3w4ea=}ihV;SoitzA@>f8%n{{+AGfWUa zUZ=LoTvGEyd; zWRatK^c^u(I(*-c zEOgZ*3w|ln3xSiYRYGKy#+v0lut|MCecACF6^mNOm9aGRhoSd>V7xNw*2zHIv3r)g z=+l$`Sf^LgCrUqc@I-}9=UDGx9`;3@U$A;IRd3i*-PhVuGTD2xSHjRSVQ4e>LVEY$ z$#B5u56MI0E?Tp1yQ|H9CFsKVWNEW!dkun8A$HSDD^y2AW5QN(=dF)gKADM`g+5t2 zUj~evj=m+!8lU!wh_1p}WntKXhKl{ONd$aYtaHWKVQ#+Vr9>fr;Xx-^La?Ey%(xi zL&DbtwSPCdy&+#NVI(>g2=yObEVBjq-)_%|BiIf@uEC-;1j>`Acx|mj?d*GHY*mQh z9f|3-kIeFpt*jMkSDnQy?3Hmy;G(7JZ6~sZk=ykmI!($?oJ0c$vWfRN%hwMd$PK_G z%vK&UViX=poXd&0wAxq+>WGKl7Y|V{Y~RLg#J0#C*p>tUPqjVbxG#_0XIH$=V0d?b zkFRFtq!^$c{tkD?QS`?7c#w*)|EBrWi{s~P>h;If@f|RJ-BI}-WN}jvV&(-zU^A8b zlAH(=C3Rl2z>8sh&_|RT=cg`IKJ3`%yz*r9FYQX}ho|5$zxue!D#&4++~r2_vls3|bDqPYb~Xd1ZV2c#QOSyO6Bn2D#WZ%wV&nw%*L~uzmq3OjF$w zs@=#AoW&JF`w6*WYKoL-c@PVfTJ{B(95i|jY{w+YWhML*F>nCl!!C&TXOkhXl`~aPOI8V4v;60}(5u*<8P%@P_(9ijrE*;HeNhGeJ->u;Yz>Mf6s`Ft~G(3N?-eWDZX-7!}x_-+okhs?*d^*--uPS3(g$C(V;i88(z3%xi1S7hCC3QwQ z+dg-he_nxYcyqBwLE(R0Z-5V&Nx?RJ>tc5@58DF4pA-}H>_5LdDG*}~M&H{zvpKBI zz}g`*a=H^kwaL%*s-7AKJ||2wx!`|V36~Ort?#dDf3|zF_}cUJw)1=!Lmr?I)C+V? zX#+oPa9%h}_@hz9?KnAcZMvppq?7=RVjApt1L{=H>jp;SDJr-scao@%0MlH51_Sot zZ)=OkUc>cIC|{i+POg*@6(?LHNyM96BS9DE3x{87+-M)K8595MzMaLfLtRt3Vko!k zyghZ2;u{g{FMUvlgjrSE2w5`zg4yan4Ju5@#x1o>9)2Pqxdbg=#?B?c{QoQhc6?H< z?V@MgYT95HLXRHtR9ztq8HXSqeYiezv{432ac5;6P_H92YQ*(aGPH^3Tx>9HaI=K% zxN6?yIK&6mZpxB0o3t&=mb~S`n=myZ4K){Z_6`4()&pUb{0V@$tkSY=Bb)Y`&=nuO>6*}wqxOXgAt<`bKMSO# zw79+l(De4SyaTY-5@M8KCOReVyBsAuYR+`hkiK$$^7V6Vl@ohA)#!!s=&an;yV8X@ z(n7mWF28an^hS@-;a>)v>i;=jWHgH$Owi0qs<> z0X2#4z{#CI5v%8)D*+&vCsN!YH@h^C=j${}XZ$8Jq72+=M9!pK&JA0a1Jo;bgl03k z2svAz2M$mKw7qEnbWy1tb6f`-F=*TJdKql8_cz|nujqYl()N%6UlhqcHp z?~R>2JYSiAak|~86d8=!FH)2`qHrv`RYnH6WQ*$#zS8ra2naf)_2_fVCBeA-(pY4q zQNlw`)M}hq$#RaA?ti3as=SH#B(yUu6oWpp-V*B2nha#X7vQPLH8^w(3-}B7=%zW3fMv(Q=$Q;@gnOPK$+XZdH zkHuw+c%$Af+Ug$+!F+K(%+I1P|Bd;#ZVtYpuxS$`v}j43Tsd8vlm;d)exy5gHUtjE z4lUhkbj;=56BVWntXN-@G8#1$F3A+;W1x*qWN!PTecV1&^^6NLHe)1Hr|o(^Px88- zRCga7O1X+w4)pTShSL?P_|yd!nD)z%N-~UF_g|XU%YyzYN?yvT8UlHMAG71-o0FM3 z)`t=u7W~R(vr);6FoHRfqy)>*@W?sML5KLRR&TfC${!#fZqLVNqXG1^l<#lx1peq> zWJ}#kCj!Y>MLl8ZQh$l?L-JzE&AC-g-7GI;wj1R(+Z}~o-Gb99gMvnMJU+r+j zfW+S5C7f}nDO_#`KOi`gO_VDLgTP4QC$OiO9b%^v94b_Q@T3ZfshPOi@!{hgr#pvU zG7`L`@DoLad^lefIS7caI03NYjm^WrOCkDzQY@Z-IQ`snPP|VaAkCdeFaTu5;L1~r z1_^|cq=ETMuTXepIf@#7eEH@G^Vd3X6Pq$>W$bQG?eo!d`;oOjb8)p0m z(+6c7l%4RA^>Ey{gmvb9Gq5@h=EY&VL^apG+m+E}!X8}Pc|?KKeH zV&#gta!IZuTrlQ(=g`u99&UP}<+r z?t5Tm(Di7ap%#z%u!em{GISP}t|CR%e{V(M2VwvU*C{$DaQViPK|qI_9F-(aaC*u# z!VXykF%Y!`oYNe^DmB3ont5<_6cIp^XP(Ed^EhZeLcIww^ZnF2K31!-sk!-6^ai)!I18Y9mv3~9cFC7 z{c|bL%nQhl32fi%aK{vg?3ix9p42y&nnevo)mC5K3OsSIs5*b6n6hFec`fM}axIFs zMzgN_1FsKGlCEHPxT4$-OvczJi?oy~5)bGxh?cH;diY6=8;xUa@Yy9d>?gJ$hJgM_ z?1+!ou0`PM5!WW+*@LowK8GH=NL2f42Fh{ck;eU6zRq(=X(FiXRk!`L4Ofz{t z&~GPnrEyHO>>+JEtHRdM|=BjA5$fs&$@6=x6nuO9VX!F3|f z_~`s2O^JWVF04M}f3(pqa{?L|!Q91vc3`$+cH zT#VZslOHRLF@Ebz8OgPFU~DWc5gU2y&+zZ-@KIIDXEj{C>cTV?oQ4emH-7g;Eh31? zJg{_x2qU@KaR-h7EWdn@Q2#S=XIvk{`=$>L#mV4%`BhZW2^T+)wk{a&Z+ooH<3QTi zg%THaR$qwwN`bI2JF3F`x_dbVn}(jfcI{|jD@$;Y?4}_4uVAoEN9GmDbxVlQH796w zPUm_VySCM#yNiz6iJ0Uk;*CA|wX*o`@Jmn|dU-1XdI!ul){Y%^2)eK^hj%Z(A{ZYs zvO@6J9aKP!-#n0zhM-3K$Zcj-xcbZUcQB-t6tp_E1E<(1CvS;R#C(@kEtXaK`!NI?;UR;UgaWIaesF9g={THe7 z8_F>&@#|c{2H%5aZwuTT;uk*KAZ`bJJz=xXuzE~U%(zxi1bBM-pUObe9kKyW+uB554Fgd`|MRSI_28q1ni zU$}PjnH`kI;XpwUFea6^`<)9y*Ph|?!|-W8vYE~Vd`$>nob`Veq3yV@0zYpfGeK7q z9VECB#&uS0|GLBrFPg2lAJYICLU=>Hb%-JxenESkS+)xzhJfDkqE~H|Bh+@%-+%I$ z3)fw8?7W&E9~>o%#M#&klLewh8q)LoL8;R65XM9d`MkfBQ~aeSoD$ zcolbBy*_}pdG|d+{<$c(RTMg`uKQB72s8E2%~>U2ml;3gMs`RygbA~(I? zQC$QLOrAq!&!G1q(JCG9w`P>C?8Icx-Ti#}0P_D4v}HDtSVyf#a*q&Bij`s_oOM^w z{&lKOli`tte`bJy&?5IBvC!+Cr&qpgK?)6|rw=co52!AMV$i}*$XGDj#e+9P*rBBT zQComXs8d9SRgn%t3Qox~%1n9yBM=8RjOPQK(|Xv&Gs5Fshz3(3m6Rw*;Vyw{hd=H; z{}^Z0)!Ucc+NDy$bdfVsIWO%P;xuE)tu9~5l+l?H4Fs6+J^dJ_p#s($r|PkPJ#7}& z=vk+Xn=qC7-pG6xTB`y?5RU7*$W~aG!j7;%f@RBBlh1}zY<7#LC4NqXJtnleK8;o? z$yN~G)^tGLt6RvojyAG_sRxL_mXx`0Tj%esj#_+0poTtA6Z! zm)k^rAZ{7B*aJ{NcZ&@rjM5;;?E3uIJm0Ld3ZX@)c`Jt?&%KF-^`c;lBzh!v_$;${ z0C5~(iGqGl!pwa+^K9I)-E%qxkfj1n1rUW#=*{=ee!i=Q7tjpCucxi^jq{1;X%p1z zf=Fp)w>6_r$klN=*#HM%v?%vK+!O?%>GlIuXovNDfH!>DQpk1@2}%tNR72W{UCng? zMi}P+XB-eAn)m2%P~q>6Y|tdO3Xmgovy^b~SHzz_iFQaRkpN>C()~g)Q}-Cf*kwi_ zqq~SLXvLn9`3U49P>{d-MoN`FR+yOKzAAPq1n+;^y_^Z!6|ZnellrHOor=T<;8jp| ziiPcWbpuH7anINZJntewG{w{On^l?3HrN^>8CgOZ^ag5p+Ha=Z6fHe~W^L6xR&>pS zOtP-XEeXPiJV**X^5Lo%aI{;w0}%mH*{c8%)6b0{(n%Ageg-zBW*{`_&U?g|{itK&P zKIB5n9ZLx0`PyQ~Zv##ThifB37%%ut7x{erZlpVP#gQ@NuE&Sl+Bj27e*-RJ`E;v(VjD|dS|Sk z>q54R;bA=439p~}J@c_IESI-Zl0J7+bHAI%ZX!9ef!%#`p6~4OE15H11f)g+(zjlz z;$=Eig=1UfQzS6$vilEo)cl^Q0M|cbl)gxu(hL9NnOtM9HWnJ;%CHo}#FAm4;$m1+ z7E_T~&(PAQV4QnN8mNll zaO0R2)7&G5vrG8~5jSeN(hpiaeI`LIM3=V{UJ00M!)zs@>NkVlOhj81<%X43PdBg{ z#Fl?vWij2tM{m0DUtOlyOHnXvTl(!Ax0nBE>1m*U9h9-fvluTNr|6Ye-?MgjuANQ%-h#oK7BB`$Ze+~20&!vagBsH_iO#4s-G-jLJ zc1fYTe81}9eBoGPKg^w*S)cTsN zCK=Q5udAd(19VR_(u=rhYfnR`~Ju19q@Q zZ=*!F4$@z6ms+mjIfa;9sV=RH&9X3vg}H{f?by^NF@5|%el}MfNxgSv2+2Hwm^%<*D z&{FiA=+W5ebJ*Bzobz}=X}YO^Pa3BsdJ>R)D>0Huf_tnfjf z6FskxgB%$GM27TH?rR`fTZ#d@{m_PaIcjvWpC7e@nH839e8-^JW@}x$<;DkGHx4xkx9?XV3h7HL#&FYSe{r|hd?}r>RhIztAD&T(3BGZAnDTh~H z2XD8I&qYoLS?1(xmGoT?yU}uJcC-pvDHzklls?w^SkS_fBek~3u{GhBUUMo6hmesm7-^R8$rUZbzR0R&}KADqnpnx4K zf|5hAt1%2p&lvyAJU`3NE2ey2!rRR}R)PE3@QI?IiF3m7{*|3=(3`t^jBDdv4@X20 zgLGvSS6{ZoT>iPzR=~7NthreJT&UKC#0PgLs}f3`?#NRlMRPc-^kUfDbr{-wE6 zeI$sRRomUE$$ZzF*BU8Gt9O~|DR=SSth}2+Nqm?=hBHFvK)~b` zu}`SH{zGCjD;0@fB)lI1p5}87`9^jZ=dlJ8L|N4KDGRCupR>yTb^~RYr;^SXaqlg8 zO@hET2Zis9*T$Pp(SF^8kkJnC0E2UH${~3K>tC&kEQ|Zz*dQ7l??={ilmN?s0mWRt zifm0`s<5*ZBdNmUZFO1kn{^l?j>}Hz3q2Na=hYz#eale6*n*S}OS*oO4q=K^=?ldh zfq+e3LKa>1=icfOQI+-al=Zg(+v!(^BFW~I*)EE@ zpHTXyeCz&LX#S=l2c%VKZtW~b5V*35y_?S$jm&es;1EcKcy^NXk>349 zfflT6jO(9W+e?YJZUWj46j_%!9jr$^;u9XXa3t|ESE0zj-@=fJWb#~;$8KxEtLi8r zU%;?;auEBDUeF{v`Y)N}Q~fD+$&+^-8=(vd!Rn!XR8?OkPn0ytyb5D`0|xt&#?v&V z3pKFPPX-lS&?@e!gwSXx$HNN}(#x;iW6wx~$byDKOm@?I*93^Bb3?!6z{C>2?DBod zDPJ%s3eM0VSE1+->`t4yd8_+c)u6q*Gwt@##f#m`H6+-hTobF5xMYje^^by4ZAkP@ zJF2ph7LP?ej@xa0QWjxqD)ez-dcNaspiV;YpmFvk#4obnOOm63Rq|xTzU7e>$lTXRWX})T;Auq`=V`$)jvLridIb4n7-P7{d?I+wW;vChX&Wy?6aW? z?I9prVtGL#$=ToJg_n-`O5}EKTWa;%I241;GTwohU%|UP$m=X<+ zuOe3MM;>w~Nl{oS3zY`2){FI4?AJ)1jDoka!f|X?gEjUiVuHPLHp@-mdTX&gi%Js!cXX52&_jc5rK?x3CCYA({*13$`B8;>+a|#3D`j83a;9KYURf1G zZO3`uX|~7NEf!f#F$J^szJmK2@y=FtFk5l4xin%->C0V)PG8BK8)nn0ne&c5Wo(menzRf`5FtT+m zOpLKR{d(s~6R+Eb7*2ddy#nZE`vU??XW$A8B^{s4R|xd;U1abLF}+Ox6XMgc=gpau zIr={4kH1kvhPE*Kl?c?cLkgMIP^iO&G_iTXXo@c#=s_U%@1EX;HH1YrmodRr<5fD} zF@p6f|6MWX52{7%{qM86A1RJ^%{a0wStQ0RR&4jD^@VoQFCH8y`4MR7_}3@lDyf zh{sXDErb0SE$#y8tt?E#Qr@$} zmib3@hzYh9C2yD;XVXtm{h5l9a?LV`zwbrojtqFh4L-ep=>?XFVxeLScJ|?UWU|0` z#3Ly?``=a{{)P`0@7!yi9ILEQcFH?0`Bo|>cCkf&-Pp_?JsDRxT%mz@(X&e|zD7oO zoz-`^PV~H?a_1RA_o~q*BGSv8Mos_7j=!Wmb-Xev$h81&|lTP#KoC8_? z@nvlwxHK}*A_PdQH~TG8n4zxI)U@>8q16=4Y0D(yni1lS9rNtAq|duoS7mU^VOqWJ z)95nIt{~(dqpE ze~7NkonH36=(A9tJKPBBZ1Up~UL?;XtBtJ7Z8A5Zjt*3k`sP{fSh2)vEeF2MnCXU! zos(#a<2G|#CeNI(Wc-t`ax^iTOG7kwd9~8_wMCMnNAjz1Q0c)NW&vHK3mzfKd3` z(th%a9-85?6;oH^MFVn1_DOuOx9-nDi=sNd}(i;`e~OPQD8m-j2~Sd>QB+Pkh5aNyJu9_l;uWvwpR2t4q2ewT!0 zGeCrz=W*DZBfbl8OpQ?7x=reSuCLPf8|bG;H`~PfB@@-S4`l5F4U3`b+`M}{pCiW%qyNz6}le`tIFasimZ~VXVSV<*h!p1cpEB4{4O<*=Rmi; zdoMeGwN(fPQSC0W$~PEUPkoDQzLF%Zlw9;y%<4(b17G~guvpV-vhBSqA@SX(bFzWC z=?9rP>ww4{SC9T9qXF9H8JcjtqUSW+X06;AuSWeYq4S!a{=At9uK=5yHE%_I_w*{a zA5`URh@?=hnWJI`@BDbVq7Al7=V0LK5ulPKF&WTq?sU?seQW0ArEn{$-9;p-&!UUG z-QXR`{B%Qg3f{(~mUO<EkA;iAI?wU$59o{i5Re7pCFAP(B+H zn85Aju1sY_gbjA)wYOH%A(@V}ataAl+vx#^yt4CSn<6;(D>JT{2heuj#|B43e$mjJ z$I_D+j9Mv9!J&8b)*~2+KJ0PS-{S~=JW?yur|RP#F0q?Nb~yR-`C$6g;TzC{9|5-A zu4B+c`tD4uB`P-lUX6*0GDEgHyjzkqEXMmYhjsVz5Nc~V^CF( z-lX)GEuBG*K5`nKK3Kw_mr?Hw-|BGoZ&xJe_Pa>Wywt6zI)J@6wR>9j&QxvGnC&Pv z@J}Z;AbI<4>I!^t5+vckV{-vv5R~z+z65+oKg1zatoE8XG_puDMFeqMHy4AU?~5QJ z-T}y-v$6#CLMcr;g)7WW{SilWf&PZ&x9nk)Sd?xyD=L3prhr!pFq%n&D#lrV4O)j$ z`62eU{}pHM*=aV*SuExKZR20d4^;Kw$oVq)gnpd!%+)|(SNc9&_z^+3@yp~WVsHVk zB@`K;R%$h^nLhF7L_ANcC1c`GA^4LHT^-@BYDf2@;O#i_G)ZTDs>u%bJG4pjpP+x% zDF*&nAD7!rUw(Lz_l?zi?xFRkFB&-PU7^=+v^CO#0kR=1l#iclG^sld>0bHY=7@;S z*36RV;)syj^?k|_aZFFme)Y;dH~P^#0Nwc`X^7T|SNp_!1XDA1_$)#qtCH@DcUYL8p!W$2&sHWR^I{met45l0upqF^0S{VR;;9O)J(2y5d;KJExWn`)wyVNMsqBn)>q(fNlZu16sg1XAT^lF`YAmc`q=V zfzG|>o}DcCuGGz$_#T~a57dEtMs0%FqY3tNlpN}IZzo@daXqMEvIz5+JrWr5Zk{nN zpDKT;${F^StHxxgG}D8cbLSpn`O#3XTp}>%kTiD_Y2)&g4K^`Jt*~%!=twRR^|pyS zy!u|^btq?1h{vmN$eM33QZJ=S#pWSa!qf-JyUi#)wN{q^+8u5HI`I6_D z_{*g^SJU~9wvzzVv`bnl^#4^?A#IJC3c3{14QWNLQWV8thDZuWWS^nmhufo{)lL1g zO}08e7RBX`#vLQQ22PWt$wH)|!o#sv?*)ZZcRmV0zsUIBLmfVt^$Zk%Dt1XXNEj+t zV%#0761-;wjY7LOd-X;=Ws*x(4M#m64kT8m@61{(QQt*vQfRzxGlDjCNnhSanJ_Wr zX>oT^r+=_+%S;u9eK4TYu@d=zHSoNzJv774&1f6OvCgR_aLto%2m@ z?;S9zUf31S%MWSfm?Y-$G}tq8>Thul^De?aF%XaE4p;FS)iRg{GG^EAmKIR){yYl~ zd-na}O1Q%zPtinJy&=+}%+Py5X(;5GjCnXdH#@3p;E`tikkpo1_LQJsr%&8B=JsiE zH4W9-YuB)N^O{eGFKxIv!x}>ipEoC&;n}txe`KmPRsC(eaKo|NAJi;H)jj*`0G@D}r3u`a_J+O(+Z|NWf?nn(j>dN1@}Vauvhk)Iv!$ zgGKo%dc|B4W$&nFyVVp2U!?!>O7F;*zB|Mvp~@H+A7A}geuxXBdfuv7mY1tY{}PzS znuSHP4Dpz&p4{8=2zzE4VT0w1PCSs?%Y71Sjj0MtG%?+b`<>}={|}1F^k;jnBFRJx z4YL@dT()6*Vms;i>d~|mSG$?x^)1fJEoY9|s?Wb%HYF&i7zea$*%DF{b>t)#;>PeE zsvDEjFI2}IDvqWrL&3t)lkQtGa-caihPY=59vxvq1smep$h=lBW7mm!PCM;e>BGXq zDcJfvH7+l;l3Yd8xA$koGqjN|pU%t{2NF;%+PlfpWR$HvRjR+2%3?Ovvui@Ry8Y|# zqO5)X1UGLjxm8C8jCCn<-3Zib%vSy;WCAt(XwJJ16ltrmKF;?snOpET)bGvut#75! zgnRQ##4>1m^+=T3+^8#Y|Fr41Wqfl*ZuP)|R=WUe=^dgivB+5IJit_@#m^p-XP_QQ zNq>QSW)1}3{58Te&C^O1kpLJ~cM69YC?BZ$t(L!g=Am72!y-bdJ3lS5)xQ0Q7Z%7z zJOxtij0K>;F6km-l$YCky?)$ZROX}Sf}ZaF%SEAa>z$LgT%PMB8@`$QeIUnqj1dF-SB)R}(oRrV5KLC}g^IZGB{# z?-iKH!Ms{&hT+!K)~&=Qaa2-(!#H4)WmHYlrZ^ihuRs%-s7ccy$LzCWRbPK97r?Us z(wE%$$6-dY6t9jEl{(CT;%Tb$4oKs@* z446#4TJT|`$sSXNAw9*`x=++gc z8*hIdb$nY8rrXM6p<73oZmW)kZtY>Zt(|b)I1zEl!mVeGG$%~A^;S1>Vyjpq=~9nm zo;8xLu1Lbh-%0blFIg3uRqMs&>p`A~*rp3Toj_Lb)C28we2V-!%K34r%7%p_H@PJp zyUZkB4h(Mu~2k<|>pfR^9ASb5`3Z~818hq2}Srsw7xEjN$fSp7Nw7;dg^rbEb<+V8sY z_)VXjQ8JHieG{r%w@R~Hk8b%(g*n|gm=5W$)=kN{b(_a;JuVHtdvseHmTz27yKQ{= zHXDQ{nqO$hVLHTy2Jd&b0oKo&ujs>P6`*esGrlAkqdG$bqmnJ-ku7F*y)3dpMJX%Q{jw4 ziBLxe^VJngPK>34VM6!Y5X`lJFh2LT zWMRcOQO>rOZm`0~m%aRnOF$y=$xb# zOFlkC+Aubux^g%wLYG=gb&WK>m~IZUbh8zzllb_AD#Tc(KEUTM3fMX)B&J)#EZrnB zYg#hni|Mj3p&PBxiAkgtVM5nip%VjxtHOlp#e{v9e0=Wh4HMcup_cZA3Dr7P_m0of zz&c$NX6d#P6Aoqj2rpfe@o~C&hlV#WoEsdVNTxJ=HLc{S0&-(=bM`xEIg%T%Md!G6 z=gxLL+%`b+%=+AxgmK#x#w~xI<64L+0^`TW%v!mF#(cBuNVAVWJixsfNaBIim-L@Wl^MVto3T_+0#f2MB zOj&?#u*QeId2XJVGW1kk8+Akc+&;v~{Ng}Kxb^6^Gdt4!?g0towlZ!W-G(r94d~q{ zl;qovu$c1UXU4QnzU>R+)*6;?d&0Q2g>mC6C|zHAvUe`Hu}zhYZ*93Vj2mx1x+f~0 z?9I>ho(!Q*?!vJGkvP+6^JIuxs1I#WXfC6fZwHW;e8x$iC8`igfhyP|bPc%8b}HXf zGF(Q{DcU>^A&E=o(JfEr(QO8}wW>sHJ-X$&d2}O&1n5SOyJQ~Sh;6eR-8{j{>*jGt zUN?`>wT?i3tq|%`dW5=GlH4Oy$#|;G=7|CmNeJYxCkhIxd_^&vN4G6=Q5W{}#W+g!_OncdP-jC7sEavpJtkCYy6Q{XRV$#l}7 zc`O~yaidU5aT?>v8?7!klI0pl@hxp`w?{X=-qYpg(T(TyE;o;EojGnE-L~Yod30M; z!mT6<`jCd|=ixRjj2qACl@qrfZga!9<)6avaO2Y5jRO5vVrl*)#lwv!DdfhQVDoUx zUm)^u+Y3&@NB(+%YXgM3C+rl8F1Imz`9oayhYGx+s+UmRmq7WRWyh-}Ty4m5AXg;( z(QM{IE#Lp-<3~cLksmLux|Wb6`#WK|HtZ3bLffTerFbej{Vn_e1JT|Bz+Dq?`&_J!%jhiL=24TW)Q4f7k{ zRNyv`rF?BpK=$qr<2EZyw*z6^W`^mOe|Xzt>5MSlc)8qd9&XdabUPfzZ5p@*WC$-k zxXq*6)G*!h59E8e=~;ye=CXu+$*+m{lP$&M>}w0|cYq8dSoQfvp)&0!kVi5-K~i!G z6>iBS5xGUbX?kEAGsJNQzsAbSIV5IC&LJm#hUEN_E|1MyY|K&4GWnS%nJAMf%aD{! zl#S1TUft5uq)Oe=@g&Qim2tl2$v`rRU%v4AORn_1eW)$v#c$+y085PJ&NC+Dmun;& z$o27L(7m35-Tw~&0RR7Z0eqEPNK`=>$H!Z0YPw!myQo>N+8Plkv2NPgbLQ-hdoJtl z*>s6GM1}~lczsc#OnNbhpq_%l2P>0=2%_6V1%*!$C0)o~>cy7|i9mvG-^`xb+;(x< zVb0F{=Kp;&-+#_FqBK;55K8-1o0A58Ik%q6U;Klmj}4#$7JXI#Pu< ziDuxSCMc#Xs6>gF2MO-pz}7~V?mu0BE$&HPn~gFd&bjv4^L(u{W!zM2S>icNV86Pn zdVPUZi8Fy*mi_g)K{o_u#mS=?cm-4UbYjuih_fp{u5b4TXe^ zJk$WAx1~E2W)v@R5OXF!A<}XdLYpilU}C`v&^{Py%U#ZYW64nG&|rXgiNs=VLOvM8 z3o|Pkc!|baqeCGg7NUF@o^%b>LdOv9CYCgTLZ~K@idfe3qaEKkRt67UUd82-^&k!z z?*8bA6I#0s9e|myMZ3rN{;J?NO)StIG!3F)GlUI;XkM_0tQWJb0>M6X3C(ACq}Syd z9vSM7_LZ=*#inBk7Qm0u=x~2u^jL2Vge*k^FmiQiV3kr-f}f})2jDnDVZ|s*QlV~w z#pUcApIkWlo-d~Ht#hPDP{HoeRC7mX3P95(Y-c-I99liefi;!z5JM(dkt*Zcd;x*i z<`}PNQx)0+3KLUM+^!{W(vo6dtUN zF^`M7rh#p@qG^~oz3|0P!MD)3Nn*BzEp9`zbZ%yPZZC8+vjk_0VM#D$xfSf2> zKbl2VLQf+yAx0@8RuogzRZk6i46}V7SKK8QU7kb}9o!z4YtcQJx;F1T(`2F4e2Kz< z1_Wt4x&<>=CT}j%mmN(sr6>W>I<}|Q`bS;#HA~TCQBm;&xg&lX=~YWQAnM|s46&Vk z@Bs)W)(kz((2F(GL%i_v4y&fD2wrzQZ}3KljXPUf+v{2JkGwnn^A+T>2#uTg-#v6N zuR2fMu+hrXzla2e)xLq*HDF&o#WUf4R>FoVg#3-{COo_Bp5OqyUQkykqW%Q{0RR6a Cs$*mT diff --git a/1ano/2semestre/lsd/pratica05/AccN_Demo/db/AccN_Demo.cmp.hdb b/1ano/2semestre/lsd/pratica05/AccN_Demo/db/AccN_Demo.cmp.hdb index 136ad173fc9d20990edcf67c6dd742239827ec15..95ab5f2da93fd7a7ea438b014b4d52a6e00764c9 100644 GIT binary patch literal 29214 zcma&M1#ld}5+x{>#mp>=nVFfH87*cUu|~{fF!^JM^0z=bYg;mfmI`bgN|TecAyUjsMWG`b+d7DBxPe^Vr60_74xvMw;<(a zVMOp6hFK&ToT`RIazP=wB&8gt@(qIQbU|TZ;h)Q{yqDYdj`qq*+btf)mxhM* z+jE~ypZkqU?S;U@sB(=H-W0WRtDcqp+bY~={N;#znvbo3kK9^XZpXPx)f|_n>Ym^= zRZC~#fWn8Os*}DkH=<|0=ma-s-u8o69@tOE`U5}FOd5Z0Lj$FjgU%`C61Au8&5+Y# zfp%AIH{m6`)2&s%>WcKsJ`ZQ%mGn#Qze8iLpHNGF-HsFQ!%Llh-<4Efi%t$Z{U*j- z@^D07ulu@BPxkkp@Fmo{q4Uq8u?Bv;E&JQ$BO2o~2$3fHQ29~y9ztG?^jXPy7&eJ> zB3HZ@WWkC73W)51`La_1gl`cgI709CajO+mxk1LtB&qRq<5E_8+pe-aHUK8C>LohC z6cbUw5VrRB-kaV_KET|2qrjqMx3*7qi{#MQ81xcfn_ZW&RqfE9kAj54-?08g4T^Ps z^N$3X5v$3~hG8@Be%a|ocE6Qdr0|Tw$8Im1?IuT_ldD2Jpe=!~hTU!-a@Wp6zZb7> zTwP9!2~(LO_>OsMA3h2U=~OpKL^~?-E0BmsaJO9I_@G*Nf9kpAiZV1Rz(5HXU1esP z!BUmK>hiYdrTTcDz#$GTXgsY!kq9J&ZeC9hdKpE9cq`HH^YL+!MZa^AR%4hmPt~r} z!8;qF{*^QLiZRpa6YRq%68~zqSksClPlmOyeUnPBU4~4MUpx&S_Qtox9ftfR;&kmT z#g)jQfl&pPi2yv%3^3QQMb)F4ZxghQpUEYPH~)z4H#BEa8!@%J-KQUYs$G@a`gmTK zMyED!rP>bJGINKC&=_^`N3V~wAbu1d6MM&=2BRwH72KhJ%rKP8+@gfa1z!JNYyckX##)^&(L>rW47ytQ<9f@mLB7J@~bpoAePSMwNe|JH*Xr?C2f z*TU~pHD3zwrbTcO!Ie$zS``8G-Y!*G17n6?S|I-5I%_Ozv(^K+@?lF_f0?qUzSKP$ z-#YO_-9tgKGKPC7%K4AKGG99nCB2U7m%%&`dB|!sjz=rL@%hT0(jDgBXuUlRp+rJSm`Yv_CFAw*N7FFiwwmptVxQm!{E-9Y;poRQI359Dv zE;kK$$3=|N{7cSduGxmgalUUao7O{~?!{CfQWVlxuyIf1?9HXPZDrlAL9I42RsAW|IyQg@gf-vv@cJiB>=9Xb`vp)Z+uqxj}C4<1nc;5 zwxA4lO$r$>;Z_ch0-W`S)EO}@F0E7go)Q=}3DS^y&5{3&*y$dXO8kTW@#t=_eF1ode2KG`ZeWJ{Y3mNekga8El8coGPC#| zx>bdnGGCl`ub*<1xm8)0`WJNGo@yGnfgM5&8|eY`hj9s}~Q%%s+*6BiI zTt#lBCpKW)C+1f%fUbbsheOstdo1-l)U%*%KdBL6F-~4XVMnOMX++1vaGbSP^sJE4 z+2xn!S{pe&hFrGzIu@-Pl6|T~V54Odp0)b_f&IPC zaXNQwbpaXHNEmotqJQ!rGagE9j1GL`X~n~}t)YND@w4Bn^<7wDf=fvIp8LmJ_KDB% z!Z1-nw9wBw5A?1Xk_3%5xqjf>vx>?VD*^LD^X-*9=BMn7Oq0UjV%4@TTN1C=s{$-8 zrL4yhfrmB`*P@p~^oO>j!)MLc?~J4`D1IewK^6WL4^h!^6ub@i!V6F3gUY-x)<%l6 zUR7fhxsbtn8r1V_vbhVcmi#}6fDht`>17#1{&EB<&oqHmM|!zaqPfm!eAICyg>Lsk z#P?l|975j%U^}$Oytk=8+WNPfF8DR`)7Gi{JR$qpQ6y%lV*GUC`fP>zdC*xcW|%8n zbee-=kSd>_>Hf0VC?WO>+NShVC+(X|0&u?a(tI{B6VjP`<1^lz=j!D^>*u;j`LFV67RY)3+VdNWp8#2;kD<*sXROuI5owKS85 zLh$C>GlU^Yw}3BKLuWeGk-c(vUVg$B$vOaq)bf>=m3#KE>Xd%`1b+j_Fr2=>&?%0C zZ$Y*81Gh@<+m>qSR$U(~tHJwE&DHjS$izM5H_n;N^MEbT3QJoK3+c! zovwo~!yfEtZe?!!HoI_EgC2dL(@prZfY4j~%x+I4x}PpVAIc@f0h|xKn%(r5wE(2e1aNO$$z`=vX>pD7 zplw;Z@-V+5=3h6&wR0`9SU3sz86Ugkv0jeaL_HZ>FbI9WNq&8t}4R`ofl9|7; zGodW(wLUn7b@}vSRj|2aitd{M3tMI5_ca!9!Qxm~(%xz!&Eo~ zQoZ@Ox(<=J(p6P6zn9JX{DQ?#1L3fTlc`lGmcYLE@~QWg45d%HY3su{h`4nSdMUys zgzXMLgl4SyZ#O3{!kcK-o(j`e$60eNyHkj$_n1kFWh<|LABm~P3ZB3{0Z9$Jg94FTQ`+Yh* z`%SCZ-<~N?HNM|*-=p(k2iAwuE z&S4h>R3;$*Uk^*Z#7|r~eancCdrH3Fo+VHQ8S)CZ5Bc(tSIvw1KsO-~)KU>rLyOhz zzJAv0;*W7vhLFB$IFm+gKr0*+T!FA8dxdEqgVM8{nPA8y_IN zf$##t69^BT#Vc|g2v^CrV{^$FJ-vA^Mt|T>Ucb&)O)}vO&h#d{sD$n6C@?J#!vw_g6@;g2J4Y&wcT`dS6Og;m&(eI6|HtDK138^+I zsy0cf-V)I7eQpLKBqNwfj1J2Zger;5l6pcUXKnQk(E$j}YabgOMs85^LruF9?rDvX zmnbELdnpF?6n)^Z<{B}m-whDR(#9T;u-g9k)wUe?Cj&DA1S#EO5U|BycnQ??+oy-a8?Gn~x(1G|WgX&~*myrf3VIX14ZGmtMmvdJ6F>&P$Hkuwi$lN=ev#Ae)xr zTi+fB<+gU!EM&b{UMBPvMMbW@5m7n*FoQ7yLFtDXe!hya8fyq^Xf$3dsm8d;TY(u& z5iAxvE`M1@@35%PoFUb2xbh^alAkL~@J-vs#MBgv7{ zV!zp$AvYD9unhGRn zs@%A4K3zw}2SOvPAPH94d%_ZS4MMRrZ+5hNKGMu5^3ZO~^P4?YN`GD5&zRW}eVt{} z+K4dDkzA#H*~B1dSPcCQYHd_%U|G}v01sYHo4`}+*cKJB?L{QEQs2yQV)@KqBCPs< z1L;rLUc~wA0!{kb!kW3wX@3rJz5Mtw+3G(cJ5FPnlrbmn8HKlZhVG_2P0pGQ&4}JW zjeAm!WA1ahm$5;&Z0}Qv*?d&3wp`dEXBxAihs~uto|hx)v>(MQ2-US_flb-LJ0|Og zc&(H>@sxYS`ZS*Kr_}n%rqdOsql$tIneRzO7M1YNhBhIjb)| zL2K3&b?=yX=AaIwYUMUo&!1dzwi(4gw?T^Fu+oaH$TT@|)S-e>7}WA)kcV<)kfm~D zaqKp@vOmK2eo==Z#;24d9*{n#Z<$@lxa-=bf9?=%uyEn-N}Z@>I~Z}-z+#yOT@Smn z!9me|LxtBEC&ewx5am%}4G99p7MbV(hp4D|S~Ok`4=4f;70WWVZ7_%_f0kN?<%s5N zHEIvzK}CZCP7M@rKmG+A7AWBAKmk|yFW{Jjc*ne11sTWQ9ewn)hHZBC1N-oJtz6TP zBFxHS3cHdOG13;ZVos>34Hsz3l7%o)h9SPBV6q)4 zsL9NwT=}D{0qhYkS)*{ZlKz5IBQ(s;_Pa^^%$lJ!XPUEfhR0UEY`RY_KdJ^ZnC|bM zSR0TGe??f*55N^#>@;HdxRAhZpjj$^x-H3nZ`s35wwG6orf6q=#{1 zwZsNZivRpR9ta+3l!C{T0AjLzfu^-UMNk_i#Vt-0<&Yr@aZVJ2xh4%mgb;)OD-k-< zFF9|)W|t(!g=XrCr@Lr@fkuUsXsP(Gd#v-{Zk(hdm3C}NqGEhmOH`y!HleB$2HJfV z;k5&s`JZDk-|b00p@i#61BYO@IMqJ>?>J+lkv|CF6eAp!2Yc{%a=h7KextI%)PRtO z%7!lu6`@fpn3X51tjl^>;y<~XG7YGnnUvS(VRmqp%EY7bPj9=O^~&UD{=W#D&>@lH zQ77-rwLvt+T|Nd=PYg5-Yyil8C& z&Km;xw^~&08)?>u6Ahpq!vC{jcOf>Sx4k@_+(u#`l5}Z}_?oGs;kCRY$e`v}Mib8& zis?v^go$COK4Nsr=bN$=#r=Hc`G9yw&5in7A>&Jy4h=85>nZsk`>~xsfK{TMdSBNt2B}U zwBe)iC8Sj}FbEqVVI>{dkQ?e02TcpP@FJr0ED4$HP?ZZ|U3Ei(K--bfkZC3Z$bfcf zMn_QzS8)`(o4EhkBhzEPZ`Gq!c5llBE1AfF782yc~lXv)u5lQR| z@kC7S^{h=DwZ^E`_AgBrxwwnRpCeC$@c6ghTj8}@n%&OHdC~in3(OrFF5#Q`x{ysX zDF$V(+Q=K2e;3p_Y^mX&^SmT~j%7h1OZL0QclBkS#KHFDEL$)grLZ4Kfl_SF8Hfmh zNX;&YaDnoCdemJA72F)b1FP6fAk37fD_Y3aE;&qs4MGxSR0yGRSRX>gYHJULKupfF z;kzy_4OQBEH0e-BN#Zg^Pgty|GXh>n4>lW&D5C`;gx)YNR6`=`7Yni?I5Ye=F{*Cwf)n2t_wRkT%rw)UdY>+Au}!7YtwHjWSV# zV*Z!9Q7f6JKN2!_olA{5aIr!f_Tl81GjjzD(?<|V2jt|Ii8ztpMb${vvSLLNUZ+>5 zYbdFv3Vk>|ti~P*DdW-n5X6ji;V=4S84fhDecnIRgYDVgVzLOAw?t^uAU9Dqkz;x5 z670;-p#wxiM6M9<`+>$P7|0wZ@4#@#P>%R-L6J2xCPv%Z3npgUI2;+ePtZs|vAtVz zIpRkaB7=%W&!8xffYhWmsDj|KAy;rR`2EVD`_CYVWEeEeM2;^%f{LlaO$gDYW5`kP zySV%0FEbj3v)Qk<>@v+SFr(192C>>^Iw@1q}L?hK%L!N$` z!SpH)Ad+WCGoG~iTpfa#|EHw)+kIR-wSvlf_^J_tgY@!bQ%QaW4 zaYUcdsg$G1kkHsvIY25M*|2GORom<~?_ej0cE=l*CqW;rAqU{Xq3S4{`cMA(r0(_P|rYa+qm2 z#j3wKzd4fHNZhx^5F;%+V+|p}mI#&Azf4>LH#fG!Tqc-9O)jHQRW|!D8Nryt*($*U znuk?L{RD~O%rv4u+RQzQw!;j==_EhjTqKaTS6ew8R5r=5=d9J0`%YKJ@pHNE-B;%4 zSi5;@xa>g0xv$W)NKp_eAsctmGiL%(dLi${d*%& zhac3rG!F!H#ef9F{{+h*fia<}R#jWeVeoy0jK~?RJ!~37=UebLrw8Jdmfuznb0@`y zVLB1fgg5lEFoSkqGk{ls1((Q37%?>_36mruN8oEfR=$NsanUxKw>oEh`0&K(pt!Eq zqaj>!SZ%9NwBNwJ=pKDd0-3Rk|Ci_J)33wY1|%Xp_dDV9rLcN>`GnRs{$P3skXjhYWy39XI!)To_S5XI|8a$zn6;uc_P;0AuS+PSJ4 z%rRdlLK&nJDk7EXS78?)YpauyaI*W}iv7mwiaZklrAQB=+S`iL|YOMiB`m-J# zo}(P9(AF9X{Ht z-Rzwo{=D(ir@B%cUC;QHqCkJiE|=)L^|a3_HTZj@=;=jb=;Edj+)+7_UPJ^%Bk`lG zh_S&Eay;AqO6;%I%wKBi?;lBjno zD_U=59Lyf0a#G6i*eKO(da7bEGre75Et_MAr%C5ZB&DaypeOc^@KyZ>A*<+l2(R8-$qLZ`r2SE^o_bAx3yoA}6MzR>&E{Yi2 zbr9Y`Afk-16$HT$1b+~6DPyP}(|lZ&`I}4@lus>Z_BLjf_bKgMosLT{lR4X3?$kX; zvexupY}!pUm8Vld+KK;acmJz({*P9-#X|9d@m)Z_u7Y}5&gs}{@jqHOoBwG4HvC8H zr&|wND>1(-j0%>mzjh)sj%%N5Fj;Wkn=rFb2v`a%Y$U4Jf6vjOdy0zgEK}%xV#$ z`jv3de+hMGKGNx!C!Fc;cvNcc!uen^qx|HgLkS1r8wgS$P=NrWs6oumvy9=BDC=@0 zL^bf^G6ORr-ds(f+)h_L#U~9*`9w!cpxjvZy4cZjV7D`Rsp$!*n!vmkU8H?q!6QBM z*kRH=@jw64DfbWK7M|Ys+8(=tJY7Ow7vvJ+V(kpDceh@6YQOhpi(%$bEtqop`l0b; z-wsJaihD#bnhO8-LoA@II-|Rvsz@hXiRFr)iG8amd3ApK{M)BSvUNK@pR3M@ij#7= zu{JS5GwtevF^y$Jz5g@uWqHmWRqitTyjiwZoIsr#^{YGi>PqUl9SME}HT962zu<~( zJ{DtEnQf=@gno7e|KBDoB@}pjz3hT8T;oD~@6mE4eH0H!Aso$?kyb$yi7s#(Ux8qW za{zwh(5CU0&t&vHh8-AA3?2Rq>e+09m>Kq2mzB4fyS2r{3f3c&#vL=oCL-Cp*jkV! zmEX-(Z-7@()r{k^9gY}Gza!RTdGI?I$r(2nNp?FOErun6k@S2(ymadB(}LdZ3w_tY zi&hr*@X#sTph6wtCox0m>*RjnqUbY?KXBiDlYXG6GU779));egzctT~NK+^>JMHkM z)99mX_O!r=w%-0@B$b}0XX}ReX^jTZwGvNYms9i7a55z~$?r1Rg7o3#mCi=< zPSXb&0}uEg?;=DdnVupaSX2y4wS*A=ce~3WGw;1|>aA{RiPodI`VIK=A^vJ7APNcU zGd}4r10LUfc;d({V9KM(>rCui-ti*}@k!_#JH!-8JhHL?N2BAw6%VG2A=I~2w{Kts zpz$O%s?OB}_1#eQPuu%XUcYOC{qJtcpW;c3glv&OiJAEBuvFqIlFK^tbpJI)q(#?c z?z!%#=bl3qcupYdF4xYhYPb`%?k%6U?qr-@{59uh#HQwJhyfihQ_M~4mMM?aX1nI2 zVBI(G_lhQ?#$3u7Ed{0Gh z?e!MhH^*XLMFVB&;5LO4i0|d5BsD8ddbeOGHBQj}8YJ3X8aa_JMm4Z2Axxz`;XL1V zZwR0Ew$(?Td*l8@j5K5K*fLeMDb_x6meHl9Y*`67L?aNF3hBKioBBT&6}Tb!O&|DG z*9#8{1OQ)mEbK?g^G_p|#ysNnZ|ha?VRH=XvVhn8riV{k`-8RE`=Ve$q1Dge#45w?UHuf0vf zkQu&N%Q|wmI>`>GSYs~3=H4o?F>xCRSbXzfIPXv%JFicKT(*IzuzJ4;A-k@@&~X|E z7B0MWhRChyC)uUa>oMzK2hPfiGqBHN6<@U3?XlUXRN12NM{Vc0bf1TK{mh1n9VNeRI!j} zYzv8I&!?^T5@Cp~=ejs2SMg@Vs;(j}hR~MG4-`LCUy`b8imz*jA;#A|*fCr3ZYX_& zhua~mWmp>&aP+D_VVbjl