From 8a731a9fc914cd11d1e5a8d49f4e9ea1b45a4c62 Mon Sep 17 00:00:00 2001 From: TiagoRG <35657250+TiagoRG@users.noreply.github.com> Date: Wed, 5 Apr 2023 21:23:18 +0100 Subject: [PATCH] [LSD] SeqShiftUnit_Demo added (pratica06 - part2) --- .../SeqShiftUnit_Demo/FreqDivider.bsf | 50 + .../SeqShiftUnit_Demo/FreqDivider.vhd | 33 + .../SeqShiftUnit_Demo/SeqShiftUnit.bsf | 93 ++ .../SeqShiftUnit_Demo/SeqShiftUnit.vhd | 52 ++ .../SeqShiftUnit_Demo/SeqShiftUnit.vwf | 872 ++++++++++++++++++ .../SeqShiftUnit_Demo/SeqShiftUnit_Demo.bdf | 350 +++++++ .../output_files/SeqShiftUnit_Demo.sof | Bin 0 -> 3541753 bytes 7 files changed, 1450 insertions(+) create mode 100644 1ano/2semestre/lsd/pratica06/SeqShiftUnit_Demo/FreqDivider.bsf create mode 100644 1ano/2semestre/lsd/pratica06/SeqShiftUnit_Demo/FreqDivider.vhd create mode 100644 1ano/2semestre/lsd/pratica06/SeqShiftUnit_Demo/SeqShiftUnit.bsf create mode 100644 1ano/2semestre/lsd/pratica06/SeqShiftUnit_Demo/SeqShiftUnit.vhd create mode 100644 1ano/2semestre/lsd/pratica06/SeqShiftUnit_Demo/SeqShiftUnit.vwf create mode 100644 1ano/2semestre/lsd/pratica06/SeqShiftUnit_Demo/SeqShiftUnit_Demo.bdf create mode 100644 1ano/2semestre/lsd/pratica06/SeqShiftUnit_Demo/output_files/SeqShiftUnit_Demo.sof diff --git a/1ano/2semestre/lsd/pratica06/SeqShiftUnit_Demo/FreqDivider.bsf b/1ano/2semestre/lsd/pratica06/SeqShiftUnit_Demo/FreqDivider.bsf new file mode 100644 index 0000000..b3344f5 --- /dev/null +++ b/1ano/2semestre/lsd/pratica06/SeqShiftUnit_Demo/FreqDivider.bsf @@ -0,0 +1,50 @@ +/* +WARNING: Do NOT edit the input and output ports in this file in a text +editor if you plan to continue editing the block that represents it in +the Block Editor! File corruption is VERY likely to occur. +*/ +/* +Copyright (C) 2020 Intel Corporation. All rights reserved. +Your use of Intel Corporation's design tools, logic functions +and other software and tools, and any partner logic +functions, and any output files from any of the foregoing +(including device programming or simulation files), and any +associated documentation or information are expressly subject +to the terms and conditions of the Intel Program License +Subscription Agreement, the Intel Quartus Prime License Agreement, +the Intel FPGA IP License Agreement, or other applicable license +agreement, including, without limitation, that your use is for +the sole purpose of programming logic devices manufactured by +Intel and sold by Intel or its authorized distributors. Please +refer to the applicable agreement for further details, at +https://fpgasoftware.intel.com/eula. +*/ +(header "symbol" (version "1.1")) +(symbol + (rect 16 16 160 96) + (text "FreqDivider" (rect 5 0 52 12)(font "Arial" )) + (text "inst" (rect 8 64 20 76)(font "Arial" )) + (port + (pt 0 32) + (input) + (text "clkIn" (rect 0 0 17 12)(font "Arial" )) + (text "clkIn" (rect 21 27 38 39)(font "Arial" )) + (line (pt 0 32)(pt 16 32)(line_width 1)) + ) + (port + (pt 144 32) + (output) + (text "clkOut" (rect 0 0 24 12)(font "Arial" )) + (text "clkOut" (rect 99 27 123 39)(font "Arial" )) + (line (pt 144 32)(pt 128 32)(line_width 1)) + ) + (parameter + "divFactor" + "10" + "" + (type "PARAMETER_SIGNED_DEC") ) + (drawing + (rectangle (rect 16 16 128 64)(line_width 1)) + ) + (annotation_block (parameter)(rect 160 -64 260 16)) +) diff --git a/1ano/2semestre/lsd/pratica06/SeqShiftUnit_Demo/FreqDivider.vhd b/1ano/2semestre/lsd/pratica06/SeqShiftUnit_Demo/FreqDivider.vhd new file mode 100644 index 0000000..e79de6d --- /dev/null +++ b/1ano/2semestre/lsd/pratica06/SeqShiftUnit_Demo/FreqDivider.vhd @@ -0,0 +1,33 @@ +library IEEE; +use IEEE.STD_LOGIC_1164.all; +use IEEE.NUMERIC_STD.all; + +entity FreqDivider is + generic(divFactor : positive := 10); + port + ( + clkIn : in std_logic; + clkOut : out std_logic + ); +end FreqDivider; + +architecture Behavioral of FreqDivider is + subtype TCounter is natural range 0 to divFactor - 1; + signal s_divCounter : TCounter := 0; +begin + assert(divFactor >= 2); + process(clkIn) + begin + if (rising_edge(clkIn)) then + if (s_divCounter >= (divFactor - 1)) then + clkOut <= '0'; + s_divCounter <= 0; + else + if (s_divCounter = (divFactor / 2 - 1)) then + clkOut <= '1'; + end if; + s_divCounter <= s_divCounter + 1; + end if; + end if; + end process; +end Behavioral; diff --git a/1ano/2semestre/lsd/pratica06/SeqShiftUnit_Demo/SeqShiftUnit.bsf b/1ano/2semestre/lsd/pratica06/SeqShiftUnit_Demo/SeqShiftUnit.bsf new file mode 100644 index 0000000..a6512c6 --- /dev/null +++ b/1ano/2semestre/lsd/pratica06/SeqShiftUnit_Demo/SeqShiftUnit.bsf @@ -0,0 +1,93 @@ +/* +WARNING: Do NOT edit the input and output ports in this file in a text +editor if you plan to continue editing the block that represents it in +the Block Editor! File corruption is VERY likely to occur. +*/ +/* +Copyright (C) 2020 Intel Corporation. All rights reserved. +Your use of Intel Corporation's design tools, logic functions +and other software and tools, and any partner logic +functions, and any output files from any of the foregoing +(including device programming or simulation files), and any +associated documentation or information are expressly subject +to the terms and conditions of the Intel Program License +Subscription Agreement, the Intel Quartus Prime License Agreement, +the Intel FPGA IP License Agreement, or other applicable license +agreement, including, without limitation, that your use is for +the sole purpose of programming logic devices manufactured by +Intel and sold by Intel or its authorized distributors. Please +refer to the applicable agreement for further details, at +https://fpgasoftware.intel.com/eula. +*/ +(header "symbol" (version "1.1")) +(symbol + (rect 16 16 208 192) + (text "SeqShiftUnit" (rect 5 0 53 12)(font "Arial" )) + (text "inst" (rect 8 160 20 172)(font "Arial" )) + (port + (pt 0 32) + (input) + (text "clk" (rect 0 0 10 12)(font "Arial" )) + (text "clk" (rect 21 27 31 39)(font "Arial" )) + (line (pt 0 32)(pt 16 32)(line_width 1)) + ) + (port + (pt 0 48) + (input) + (text "dataIn[7..0]" (rect 0 0 43 12)(font "Arial" )) + (text "dataIn[7..0]" (rect 21 43 64 55)(font "Arial" )) + (line (pt 0 48)(pt 16 48)(line_width 3)) + ) + (port + (pt 0 64) + (input) + (text "siLeft" (rect 0 0 22 12)(font "Arial" )) + (text "siLeft" (rect 21 59 43 71)(font "Arial" )) + (line (pt 0 64)(pt 16 64)(line_width 1)) + ) + (port + (pt 0 80) + (input) + (text "siRight" (rect 0 0 27 12)(font "Arial" )) + (text "siRight" (rect 21 75 48 87)(font "Arial" )) + (line (pt 0 80)(pt 16 80)(line_width 1)) + ) + (port + (pt 0 96) + (input) + (text "loadEn" (rect 0 0 27 12)(font "Arial" )) + (text "loadEn" (rect 21 91 48 103)(font "Arial" )) + (line (pt 0 96)(pt 16 96)(line_width 1)) + ) + (port + (pt 0 112) + (input) + (text "rotate" (rect 0 0 22 12)(font "Arial" )) + (text "rotate" (rect 21 107 43 119)(font "Arial" )) + (line (pt 0 112)(pt 16 112)(line_width 1)) + ) + (port + (pt 0 128) + (input) + (text "dirLeft" (rect 0 0 25 12)(font "Arial" )) + (text "dirLeft" (rect 21 123 46 135)(font "Arial" )) + (line (pt 0 128)(pt 16 128)(line_width 1)) + ) + (port + (pt 0 144) + (input) + (text "shArith" (rect 0 0 29 12)(font "Arial" )) + (text "shArith" (rect 21 139 50 151)(font "Arial" )) + (line (pt 0 144)(pt 16 144)(line_width 1)) + ) + (port + (pt 192 32) + (output) + (text "dataOut[7..0]" (rect 0 0 50 12)(font "Arial" )) + (text "dataOut[7..0]" (rect 121 27 171 39)(font "Arial" )) + (line (pt 192 32)(pt 176 32)(line_width 3)) + ) + (drawing + (rectangle (rect 16 16 176 160)(line_width 1)) + ) +) diff --git a/1ano/2semestre/lsd/pratica06/SeqShiftUnit_Demo/SeqShiftUnit.vhd b/1ano/2semestre/lsd/pratica06/SeqShiftUnit_Demo/SeqShiftUnit.vhd new file mode 100644 index 0000000..457132f --- /dev/null +++ b/1ano/2semestre/lsd/pratica06/SeqShiftUnit_Demo/SeqShiftUnit.vhd @@ -0,0 +1,52 @@ +library IEEE; +use IEEE.STD_LOGIC_1164.all; + +entity SeqShiftUnit is + port + ( + clk : in std_logic; + dataIn : in std_logic_vector(7 downto 0); + siLeft : in std_logic; + siRight : in std_logic; + loadEn : in std_logic; + rotate : in std_logic; + dirLeft : in std_logic; + shArith : in std_logic; + dataOut : out std_logic_vector(7 downto 0) + ); +end SeqShiftUnit; + +architecture Behavioral of SeqShiftUnit is + signal s_shiftReg : std_logic_vector(7 downto 0); +begin + process (clk) + begin + if (falling_edge(clk)) then + if (loadEn = '1') then + s_shiftReg <= dataIn; + + elsif (rotate = '1') then + if (dirLeft = '1') then + s_shiftReg <= s_shiftReg(6 downto 0) & s_shiftReg(7); + else + s_shiftReg <= s_shiftReg(0) & s_shiftReg(7 downto 1); + end if; + + elsif (shArith = '1') then + if (dirLeft = '1') then + s_shiftReg <= s_shiftReg(6 downto 0) & '0'; + else + s_shiftReg <= s_shiftReg(7) & s_shiftReg(7 downto 1); + end if; + + else + if (dirLeft = '1') then + s_shiftReg <= s_shiftReg(6 downto 0) & siLeft; + else + s_shiftReg <= siRight & s_shiftReg(7 downto 1); + end if; + end if; + end if; + end process; + dataOut <= s_ShiftReg; +end Behavioral; \ No newline at end of file diff --git a/1ano/2semestre/lsd/pratica06/SeqShiftUnit_Demo/SeqShiftUnit.vwf b/1ano/2semestre/lsd/pratica06/SeqShiftUnit_Demo/SeqShiftUnit.vwf new file mode 100644 index 0000000..5a6f4b5 --- /dev/null +++ b/1ano/2semestre/lsd/pratica06/SeqShiftUnit_Demo/SeqShiftUnit.vwf @@ -0,0 +1,872 @@ +/* +quartus_eda --gen_testbench --tool=modelsim_oem --format=vhdl --write_settings_files=off SeqShiftUnit_Demo -c SeqShiftUnit_Demo --vector_source="/home/tiagorg/repos/uaveiro-leci/1ano/2semestre/lsd/pratica06/SeqShiftUnit_Demo/SeqShiftUnit.vwf" --testbench_file="/home/tiagorg/repos/uaveiro-leci/1ano/2semestre/lsd/pratica06/SeqShiftUnit_Demo/simulation/qsim/SeqShiftUnit.vwf.vht" +quartus_eda --gen_testbench --tool=modelsim_oem --format=vhdl --write_settings_files=off SeqShiftUnit_Demo -c SeqShiftUnit_Demo --vector_source="/home/tiagorg/repos/uaveiro-leci/1ano/2semestre/lsd/pratica06/SeqShiftUnit_Demo/SeqShiftUnit.vwf" --testbench_file="/home/tiagorg/repos/uaveiro-leci/1ano/2semestre/lsd/pratica06/SeqShiftUnit_Demo/simulation/qsim/SeqShiftUnit.vwf.vht" +quartus_eda --write_settings_files=off --simulation --functional=on --flatten_buses=off --tool=modelsim_oem --format=vhdl --output_directory="/home/tiagorg/repos/uaveiro-leci/1ano/2semestre/lsd/pratica06/SeqShiftUnit_Demo/simulation/qsim/" SeqShiftUnit_Demo -c SeqShiftUnit_Demo +quartus_eda --write_settings_files=off --simulation --functional=off --flatten_buses=off --timescale=1ps --tool=modelsim_oem --format=vhdl --output_directory="/home/tiagorg/repos/uaveiro-leci/1ano/2semestre/lsd/pratica06/SeqShiftUnit_Demo/simulation/qsim/" SeqShiftUnit_Demo -c SeqShiftUnit_Demo +onerror {exit -code 1} +vlib work +vcom -work work SeqShiftUnit_Demo.vho +vcom -work work SeqShiftUnit.vwf.vht +vsim -c -t 1ps -L cycloneive -L altera -L altera_mf -L 220model -L sgate -L altera_lnsim work.SeqShiftUnit_vhd_vec_tst +vcd file -direction SeqShiftUnit_Demo.msim.vcd +vcd add -internal SeqShiftUnit_vhd_vec_tst/* +vcd add -internal SeqShiftUnit_vhd_vec_tst/i1/* +proc simTimestamp {} { + echo "Simulation time: $::now ps" + if { [string equal running [runStatus]] } { + after 2500 simTimestamp + } +} +after 2500 simTimestamp +run -all +quit -f + + +onerror {exit -code 1} +vlib work +vcom -work work SeqShiftUnit_Demo.vho +vcom -work work SeqShiftUnit.vwf.vht +vsim -novopt -c -t 1ps -sdfmax SeqShiftUnit_vhd_vec_tst/i1=SeqShiftUnit_Demo_vhd.sdo -L cycloneive -L altera -L altera_mf -L 220model -L sgate -L altera_lnsim work.SeqShiftUnit_vhd_vec_tst +vcd file -direction SeqShiftUnit_Demo.msim.vcd +vcd add -internal SeqShiftUnit_vhd_vec_tst/* +vcd add -internal SeqShiftUnit_vhd_vec_tst/i1/* +proc simTimestamp {} { + echo "Simulation time: $::now ps" + if { [string equal running [runStatus]] } { + after 2500 simTimestamp + } +} +after 2500 simTimestamp +run -all +quit -f + + +vhdl +*/ +/* +WARNING: Do NOT edit the input and output ports in this file in a text +editor if you plan to continue editing the block that represents it in +the Block Editor! File corruption is VERY likely to occur. +*/ + +/* +Copyright (C) 2020 Intel Corporation. All rights reserved. +Your use of Intel Corporation's design tools, logic functions +and other software and tools, and any partner logic +functions, and any output files from any of the foregoing +(including device programming or simulation files), and any +associated documentation or information are expressly subject +to the terms and conditions of the Intel Program License +Subscription Agreement, the Intel Quartus Prime License Agreement, +the Intel FPGA IP License Agreement, or other applicable license +agreement, including, without limitation, that your use is for +the sole purpose of programming logic devices manufactured by +Intel and sold by Intel or its authorized distributors. Please +refer to the applicable agreement for further details, at +https://fpgasoftware.intel.com/eula. +*/ + +HEADER +{ + VERSION = 1; + TIME_UNIT = ns; + DATA_OFFSET = 0.0; + DATA_DURATION = 1000.0; + SIMULATION_TIME = 0.0; + GRID_PHASE = 0.0; + GRID_PERIOD = 10.0; + GRID_DUTY_CYCLE = 50; +} + +SIGNAL("clk") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = INPUT; + PARENT = ""; +} + +SIGNAL("dataIn") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = BUS; + WIDTH = 8; + LSB_INDEX = 0; + DIRECTION = INPUT; + PARENT = ""; +} + +SIGNAL("dataIn[7]") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = INPUT; + PARENT = "dataIn"; +} + +SIGNAL("dataIn[6]") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = INPUT; + PARENT = "dataIn"; +} + +SIGNAL("dataIn[5]") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = INPUT; + PARENT = "dataIn"; +} + +SIGNAL("dataIn[4]") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = INPUT; + PARENT = "dataIn"; +} + +SIGNAL("dataIn[3]") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = INPUT; + PARENT = "dataIn"; +} + +SIGNAL("dataIn[2]") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = INPUT; + PARENT = "dataIn"; +} + +SIGNAL("dataIn[1]") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = INPUT; + PARENT = "dataIn"; +} + +SIGNAL("dataIn[0]") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = INPUT; + PARENT = "dataIn"; +} + +SIGNAL("dirLeft") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = INPUT; + PARENT = ""; +} + +SIGNAL("loadEn") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = INPUT; + PARENT = ""; +} + +SIGNAL("rotate") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = INPUT; + PARENT = ""; +} + +SIGNAL("shArith") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = INPUT; + PARENT = ""; +} + +SIGNAL("siLeft") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = INPUT; + PARENT = ""; +} + +SIGNAL("siRight") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = INPUT; + PARENT = ""; +} + +SIGNAL("dataOut") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = BUS; + WIDTH = 8; + LSB_INDEX = 0; + DIRECTION = OUTPUT; + PARENT = ""; +} + +SIGNAL("dataOut[7]") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = OUTPUT; + PARENT = "dataOut"; +} + +SIGNAL("dataOut[6]") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = OUTPUT; + PARENT = "dataOut"; +} + +SIGNAL("dataOut[5]") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = OUTPUT; + PARENT = "dataOut"; +} + +SIGNAL("dataOut[4]") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = OUTPUT; + PARENT = "dataOut"; +} + +SIGNAL("dataOut[3]") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = OUTPUT; + PARENT = "dataOut"; +} + +SIGNAL("dataOut[2]") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = OUTPUT; + PARENT = "dataOut"; +} + +SIGNAL("dataOut[1]") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = OUTPUT; + PARENT = "dataOut"; +} + +SIGNAL("dataOut[0]") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = OUTPUT; + PARENT = "dataOut"; +} + +TRANSITION_LIST("clk") +{ + NODE + { + REPEAT = 1; + NODE + { + REPEAT = 100; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + } + } +} + +TRANSITION_LIST("dataIn[7]") +{ + NODE + { + REPEAT = 1; + LEVEL 0 FOR 1000.0; + } +} + +TRANSITION_LIST("dataIn[6]") +{ + NODE + { + REPEAT = 1; + LEVEL 0 FOR 1000.0; + } +} + +TRANSITION_LIST("dataIn[5]") +{ + NODE + { + REPEAT = 1; + LEVEL 0 FOR 1000.0; + } +} + +TRANSITION_LIST("dataIn[4]") +{ + NODE + { + REPEAT = 1; + LEVEL 0 FOR 1000.0; + } +} + +TRANSITION_LIST("dataIn[3]") +{ + NODE + { + REPEAT = 1; + LEVEL 0 FOR 1000.0; + } +} + +TRANSITION_LIST("dataIn[2]") +{ + NODE + { + REPEAT = 1; + LEVEL 0 FOR 1000.0; + } +} + +TRANSITION_LIST("dataIn[1]") +{ + NODE + { + REPEAT = 1; + LEVEL 0 FOR 1000.0; + } +} + +TRANSITION_LIST("dataIn[0]") +{ + NODE + { + REPEAT = 1; + LEVEL 0 FOR 1000.0; + } +} + +TRANSITION_LIST("dirLeft") +{ + NODE + { + REPEAT = 1; + NODE + { + REPEAT = 25; + LEVEL 0 FOR 20.0; + LEVEL 1 FOR 20.0; + } + } +} + +TRANSITION_LIST("loadEn") +{ + NODE + { + REPEAT = 1; + NODE + { + REPEAT = 50; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 10.0; + } + } +} + +TRANSITION_LIST("rotate") +{ + NODE + { + REPEAT = 1; + NODE + { + REPEAT = 12; + LEVEL 0 FOR 40.0; + LEVEL 1 FOR 40.0; + } + LEVEL 0 FOR 40.0; + } +} + +TRANSITION_LIST("shArith") +{ + NODE + { + REPEAT = 1; + NODE + { + REPEAT = 6; + LEVEL 0 FOR 80.0; + LEVEL 1 FOR 80.0; + } + LEVEL 0 FOR 40.0; + } +} + +TRANSITION_LIST("siLeft") +{ + NODE + { + REPEAT = 1; + LEVEL 0 FOR 15.0; + LEVEL 1 FOR 60.0; + LEVEL 0 FOR 45.0; + LEVEL 1 FOR 45.0; + LEVEL 0 FOR 15.0; + LEVEL 1 FOR 30.0; + LEVEL 0 FOR 60.0; + LEVEL 1 FOR 30.0; + LEVEL 0 FOR 30.0; + LEVEL 1 FOR 45.0; + LEVEL 0 FOR 45.0; + LEVEL 1 FOR 15.0; + LEVEL 0 FOR 60.0; + LEVEL 1 FOR 15.0; + LEVEL 0 FOR 15.0; + LEVEL 1 FOR 15.0; + LEVEL 0 FOR 15.0; + LEVEL 1 FOR 30.0; + LEVEL 0 FOR 30.0; + LEVEL 1 FOR 30.0; + LEVEL 0 FOR 15.0; + LEVEL 1 FOR 15.0; + LEVEL 0 FOR 30.0; + LEVEL 1 FOR 15.0; + LEVEL 0 FOR 15.0; + LEVEL 1 FOR 30.0; + LEVEL 0 FOR 30.0; + LEVEL 1 FOR 15.0; + LEVEL 0 FOR 30.0; + LEVEL 1 FOR 15.0; + LEVEL 0 FOR 15.0; + LEVEL 1 FOR 30.0; + LEVEL 0 FOR 60.0; + LEVEL 1 FOR 15.0; + LEVEL 0 FOR 25.0; + } +} + +TRANSITION_LIST("siRight") +{ + NODE + { + REPEAT = 1; + LEVEL 0 FOR 15.0; + LEVEL 1 FOR 15.0; + LEVEL 0 FOR 15.0; + LEVEL 1 FOR 60.0; + LEVEL 0 FOR 15.0; + LEVEL 1 FOR 30.0; + LEVEL 0 FOR 30.0; + LEVEL 1 FOR 60.0; + LEVEL 0 FOR 15.0; + LEVEL 1 FOR 30.0; + LEVEL 0 FOR 30.0; + LEVEL 1 FOR 30.0; + LEVEL 0 FOR 15.0; + LEVEL 1 FOR 15.0; + LEVEL 0 FOR 15.0; + LEVEL 1 FOR 90.0; + LEVEL 0 FOR 45.0; + LEVEL 1 FOR 135.0; + LEVEL 0 FOR 45.0; + LEVEL 1 FOR 15.0; + LEVEL 0 FOR 15.0; + LEVEL 1 FOR 195.0; + LEVEL 0 FOR 15.0; + LEVEL 1 FOR 15.0; + LEVEL 0 FOR 15.0; + LEVEL 1 FOR 25.0; + } +} + +TRANSITION_LIST("dataOut[7]") +{ + NODE + { + REPEAT = 1; + LEVEL X FOR 1000.0; + } +} + +TRANSITION_LIST("dataOut[6]") +{ + NODE + { + REPEAT = 1; + LEVEL X FOR 1000.0; + } +} + +TRANSITION_LIST("dataOut[5]") +{ + NODE + { + REPEAT = 1; + LEVEL X FOR 1000.0; + } +} + +TRANSITION_LIST("dataOut[4]") +{ + NODE + { + REPEAT = 1; + LEVEL X FOR 1000.0; + } +} + +TRANSITION_LIST("dataOut[3]") +{ + NODE + { + REPEAT = 1; + LEVEL X FOR 1000.0; + } +} + +TRANSITION_LIST("dataOut[2]") +{ + NODE + { + REPEAT = 1; + LEVEL X FOR 1000.0; + } +} + +TRANSITION_LIST("dataOut[1]") +{ + NODE + { + REPEAT = 1; + LEVEL X FOR 1000.0; + } +} + +TRANSITION_LIST("dataOut[0]") +{ + NODE + { + REPEAT = 1; + LEVEL X FOR 1000.0; + } +} + +DISPLAY_LINE +{ + CHANNEL = "clk"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 0; + TREE_LEVEL = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "dataIn"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 1; + TREE_LEVEL = 0; + CHILDREN = 2, 3, 4, 5, 6, 7, 8, 9; +} + +DISPLAY_LINE +{ + CHANNEL = "dataIn[7]"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 2; + TREE_LEVEL = 1; + PARENT = 1; +} + +DISPLAY_LINE +{ + CHANNEL = "dataIn[6]"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 3; + TREE_LEVEL = 1; + PARENT = 1; +} + +DISPLAY_LINE +{ + CHANNEL = "dataIn[5]"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 4; + TREE_LEVEL = 1; + PARENT = 1; +} + +DISPLAY_LINE +{ + CHANNEL = "dataIn[4]"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 5; + TREE_LEVEL = 1; + PARENT = 1; +} + +DISPLAY_LINE +{ + CHANNEL = "dataIn[3]"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 6; + TREE_LEVEL = 1; + PARENT = 1; +} + +DISPLAY_LINE +{ + CHANNEL = "dataIn[2]"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 7; + TREE_LEVEL = 1; + PARENT = 1; +} + +DISPLAY_LINE +{ + CHANNEL = "dataIn[1]"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 8; + TREE_LEVEL = 1; + PARENT = 1; +} + +DISPLAY_LINE +{ + CHANNEL = "dataIn[0]"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 9; + TREE_LEVEL = 1; + PARENT = 1; +} + +DISPLAY_LINE +{ + CHANNEL = "loadEn"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 10; + TREE_LEVEL = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "dirLeft"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 11; + TREE_LEVEL = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "rotate"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 12; + TREE_LEVEL = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "shArith"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 13; + TREE_LEVEL = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "siLeft"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 14; + TREE_LEVEL = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "siRight"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 15; + TREE_LEVEL = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "dataOut"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 16; + TREE_LEVEL = 0; + CHILDREN = 17, 18, 19, 20, 21, 22, 23, 24; +} + +DISPLAY_LINE +{ + CHANNEL = "dataOut[7]"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 17; + TREE_LEVEL = 1; + PARENT = 16; +} + +DISPLAY_LINE +{ + CHANNEL = "dataOut[6]"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 18; + TREE_LEVEL = 1; + PARENT = 16; +} + +DISPLAY_LINE +{ + CHANNEL = "dataOut[5]"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 19; + TREE_LEVEL = 1; + PARENT = 16; +} + +DISPLAY_LINE +{ + CHANNEL = "dataOut[4]"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 20; + TREE_LEVEL = 1; + PARENT = 16; +} + +DISPLAY_LINE +{ + CHANNEL = "dataOut[3]"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 21; + TREE_LEVEL = 1; + PARENT = 16; +} + +DISPLAY_LINE +{ + CHANNEL = "dataOut[2]"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 22; + TREE_LEVEL = 1; + PARENT = 16; +} + +DISPLAY_LINE +{ + CHANNEL = "dataOut[1]"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 23; + TREE_LEVEL = 1; + PARENT = 16; +} + +DISPLAY_LINE +{ + CHANNEL = "dataOut[0]"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 24; + TREE_LEVEL = 1; + PARENT = 16; +} + +TIME_BAR +{ + TIME = 0; + MASTER = TRUE; +} +; diff --git a/1ano/2semestre/lsd/pratica06/SeqShiftUnit_Demo/SeqShiftUnit_Demo.bdf b/1ano/2semestre/lsd/pratica06/SeqShiftUnit_Demo/SeqShiftUnit_Demo.bdf new file mode 100644 index 0000000..e202bfb --- /dev/null +++ b/1ano/2semestre/lsd/pratica06/SeqShiftUnit_Demo/SeqShiftUnit_Demo.bdf @@ -0,0 +1,350 @@ +/* +WARNING: Do NOT edit the input and output ports in this file in a text +editor if you plan to continue editing the block that represents it in +the Block Editor! File corruption is VERY likely to occur. +*/ +/* +Copyright (C) 2020 Intel Corporation. All rights reserved. +Your use of Intel Corporation's design tools, logic functions +and other software and tools, and any partner logic +functions, and any output files from any of the foregoing +(including device programming or simulation files), and any +associated documentation or information are expressly subject +to the terms and conditions of the Intel Program License +Subscription Agreement, the Intel Quartus Prime License Agreement, +the Intel FPGA IP License Agreement, or other applicable license +agreement, including, without limitation, that your use is for +the sole purpose of programming logic devices manufactured by +Intel and sold by Intel or its authorized distributors. Please +refer to the applicable agreement for further details, at +https://fpgasoftware.intel.com/eula. +*/ +(header "graphic" (version "1.4")) +(pin + (input) + (rect 96 208 264 224) + (text "INPUT" (rect 125 0 154 10)(font "Arial" (font_size 6))) + (text "CLOCK_50" (rect 5 0 63 11)(font "Arial" )) + (pt 168 8) + (drawing + (line (pt 84 12)(pt 109 12)) + (line (pt 84 4)(pt 109 4)) + (line (pt 113 8)(pt 168 8)) + (line (pt 84 12)(pt 84 4)) + (line (pt 109 4)(pt 113 8)) + (line (pt 109 12)(pt 113 8)) + ) + (text "VCC" (rect 128 7 149 17)(font "Arial" (font_size 6))) + (annotation_block (location)(rect 40 224 96 240)) +) +(pin + (input) + (rect 96 224 264 240) + (text "INPUT" (rect 125 0 154 10)(font "Arial" (font_size 6))) + (text "SW[7..0]" (rect 5 0 48 11)(font "Arial" )) + (pt 168 8) + (drawing + (line (pt 84 12)(pt 109 12)) + (line (pt 84 4)(pt 109 4)) + (line (pt 113 8)(pt 168 8)) + (line (pt 84 12)(pt 84 4)) + (line (pt 109 4)(pt 113 8)) + (line (pt 109 12)(pt 113 8)) + ) + (text "VCC" (rect 128 7 149 17)(font "Arial" (font_size 6))) + (annotation_block (location)(rect 32 240 96 256)) +) +(pin + (input) + (rect 96 304 264 320) + (text "INPUT" (rect 125 0 154 10)(font "Arial" (font_size 6))) + (text "SW[14]" (rect 5 0 43 13)(font "Intel Clear" )) + (pt 168 8) + (drawing + (line (pt 84 12)(pt 109 12)) + (line (pt 84 4)(pt 109 4)) + (line (pt 113 8)(pt 168 8)) + (line (pt 84 12)(pt 84 4)) + (line (pt 109 4)(pt 113 8)) + (line (pt 109 12)(pt 113 8)) + ) + (text "VCC" (rect 128 7 149 17)(font "Arial" (font_size 6))) + (annotation_block (location)(rect 32 320 96 336)) +) +(pin + (input) + (rect 96 256 264 272) + (text "INPUT" (rect 125 0 154 10)(font "Arial" (font_size 6))) + (text "SW[15]" (rect 5 0 43 13)(font "Intel Clear" )) + (pt 168 8) + (drawing + (line (pt 84 12)(pt 109 12)) + (line (pt 84 4)(pt 109 4)) + (line (pt 113 8)(pt 168 8)) + (line (pt 84 12)(pt 84 4)) + (line (pt 109 4)(pt 113 8)) + (line (pt 109 12)(pt 113 8)) + ) + (text "VCC" (rect 128 7 149 17)(font "Arial" (font_size 6))) + (annotation_block (location)(rect 32 272 96 288)) +) +(pin + (input) + (rect 96 240 264 256) + (text "INPUT" (rect 125 0 154 10)(font "Arial" (font_size 6))) + (text "SW[16]" (rect 5 0 42 11)(font "Arial" )) + (pt 168 8) + (drawing + (line (pt 84 12)(pt 109 12)) + (line (pt 84 4)(pt 109 4)) + (line (pt 113 8)(pt 168 8)) + (line (pt 84 12)(pt 84 4)) + (line (pt 109 4)(pt 113 8)) + (line (pt 109 12)(pt 113 8)) + ) + (text "VCC" (rect 128 7 149 17)(font "Arial" (font_size 6))) + (annotation_block (location)(rect 32 256 96 272)) +) +(pin + (input) + (rect 96 272 264 288) + (text "INPUT" (rect 125 0 154 10)(font "Arial" (font_size 6))) + (text "SW[17]" (rect 5 0 44 13)(font "Intel Clear" )) + (pt 168 8) + (drawing + (line (pt 84 12)(pt 109 12)) + (line (pt 84 4)(pt 109 4)) + (line (pt 113 8)(pt 168 8)) + (line (pt 84 12)(pt 84 4)) + (line (pt 109 4)(pt 113 8)) + (line (pt 109 12)(pt 113 8)) + ) + (text "VCC" (rect 128 7 149 17)(font "Arial" (font_size 6))) + (annotation_block (location)(rect 24 288 96 304)) +) +(pin + (input) + (rect 96 288 264 304) + (text "INPUT" (rect 125 0 154 10)(font "Arial" (font_size 6))) + (text "SW[11]" (rect 5 0 44 13)(font "Intel Clear" )) + (pt 168 8) + (drawing + (line (pt 84 12)(pt 109 12)) + (line (pt 84 4)(pt 109 4)) + (line (pt 113 8)(pt 168 8)) + (line (pt 84 12)(pt 84 4)) + (line (pt 109 4)(pt 113 8)) + (line (pt 109 12)(pt 113 8)) + ) + (text "VCC" (rect 128 7 149 17)(font "Arial" (font_size 6))) + (annotation_block (location)(rect 32 304 96 320)) +) +(pin + (input) + (rect 96 320 264 336) + (text "INPUT" (rect 125 0 154 10)(font "Arial" (font_size 6))) + (text "SW[10]" (rect 5 0 44 13)(font "Intel Clear" )) + (pt 168 8) + (drawing + (line (pt 84 12)(pt 109 12)) + (line (pt 84 4)(pt 109 4)) + (line (pt 113 8)(pt 168 8)) + (line (pt 84 12)(pt 84 4)) + (line (pt 109 4)(pt 113 8)) + (line (pt 109 12)(pt 113 8)) + ) + (text "VCC" (rect 128 7 149 17)(font "Arial" (font_size 6))) + (annotation_block (location)(rect 24 336 96 352)) +) +(pin + (input) + (rect 96 336 264 352) + (text "INPUT" (rect 125 0 154 10)(font "Arial" (font_size 6))) + (text "SW[13..12]" (rect 5 0 62 13)(font "Intel Clear" )) + (pt 168 8) + (drawing + (line (pt 84 12)(pt 109 12)) + (line (pt 84 4)(pt 109 4)) + (line (pt 113 8)(pt 168 8)) + (line (pt 84 12)(pt 84 4)) + (line (pt 109 4)(pt 113 8)) + (line (pt 109 12)(pt 113 8)) + ) + (text "VCC" (rect 128 7 149 17)(font "Arial" (font_size 6))) + (annotation_block (location)(rect 32 352 96 368)) +) +(pin + (input) + (rect 96 352 264 368) + (text "INPUT" (rect 125 0 154 10)(font "Arial" (font_size 6))) + (text "SW[9..8]" (rect 5 0 49 13)(font "Intel Clear" )) + (pt 168 8) + (drawing + (line (pt 84 12)(pt 109 12)) + (line (pt 84 4)(pt 109 4)) + (line (pt 113 8)(pt 168 8)) + (line (pt 84 12)(pt 84 4)) + (line (pt 109 4)(pt 113 8)) + (line (pt 109 12)(pt 113 8)) + ) + (text "VCC" (rect 128 7 149 17)(font "Arial" (font_size 6))) + (annotation_block (location)(rect 48 400 112 416)) +) +(pin + (output) + (rect 624 208 800 224) + (text "OUTPUT" (rect 1 0 41 10)(font "Arial" (font_size 6))) + (text "LEDR[7..0]" (rect 90 0 144 11)(font "Arial" )) + (pt 0 8) + (drawing + (line (pt 0 8)(pt 52 8)) + (line (pt 52 4)(pt 78 4)) + (line (pt 52 12)(pt 78 12)) + (line (pt 52 12)(pt 52 4)) + (line (pt 78 4)(pt 82 8)) + (line (pt 82 8)(pt 78 12)) + (line (pt 78 12)(pt 82 8)) + ) + (annotation_block (location)(rect 800 224 864 240)) +) +(symbol + (rect 272 184 416 264) + (text "FreqDivider" (rect 5 0 64 11)(font "Arial" )) + (text "inst" (rect 8 64 26 75)(font "Arial" )) + (port + (pt 0 32) + (input) + (text "clkIn" (rect 0 0 24 11)(font "Arial" )) + (text "clkIn" (rect 21 27 45 38)(font "Arial" )) + (line (pt 0 32)(pt 16 32)) + ) + (port + (pt 144 32) + (output) + (text "clkOut" (rect 0 0 33 11)(font "Arial" )) + (text "clkOut" (rect 96 27 129 38)(font "Arial" )) + (line (pt 144 32)(pt 128 32)) + ) + (parameter + "divFactor" + "12500000" + "" + (type "PARAMETER_SIGNED_DEC") ) + (drawing + (rectangle (rect 16 16 128 64)) + ) + (annotation_block (parameter)(rect 416 152 610 182)) +) +(symbol + (rect 424 184 616 360) + (text "SeqShiftUnit" (rect 5 0 67 11)(font "Arial" )) + (text "inst1" (rect 8 160 32 171)(font "Arial" )) + (port + (pt 0 32) + (input) + (text "clk" (rect 0 0 15 11)(font "Arial" )) + (text "clk" (rect 21 27 36 38)(font "Arial" )) + (line (pt 0 32)(pt 16 32)) + ) + (port + (pt 0 48) + (input) + (text "dataIn[7..0]" (rect 0 0 55 11)(font "Arial" )) + (text "dataIn[7..0]" (rect 21 43 76 54)(font "Arial" )) + (line (pt 0 48)(pt 16 48)(line_width 3)) + ) + (port + (pt 0 64) + (input) + (text "siLeft" (rect 0 0 28 11)(font "Arial" )) + (text "siLeft" (rect 21 59 49 70)(font "Arial" )) + (line (pt 0 64)(pt 16 64)) + ) + (port + (pt 0 80) + (input) + (text "siRight" (rect 0 0 35 11)(font "Arial" )) + (text "siRight" (rect 21 75 56 86)(font "Arial" )) + (line (pt 0 80)(pt 16 80)) + ) + (port + (pt 0 96) + (input) + (text "loadEn" (rect 0 0 36 11)(font "Arial" )) + (text "loadEn" (rect 21 91 57 102)(font "Arial" )) + (line (pt 0 96)(pt 16 96)) + ) + (port + (pt 0 112) + (input) + (text "rotate" (rect 0 0 29 11)(font "Arial" )) + (text "rotate" (rect 21 107 50 118)(font "Arial" )) + (line (pt 0 112)(pt 16 112)) + ) + (port + (pt 0 128) + (input) + (text "dirLeft" (rect 0 0 31 11)(font "Arial" )) + (text "dirLeft" (rect 21 123 52 134)(font "Arial" )) + (line (pt 0 128)(pt 16 128)) + ) + (port + (pt 0 144) + (input) + (text "shArith" (rect 0 0 35 11)(font "Arial" )) + (text "shArith" (rect 21 139 56 150)(font "Arial" )) + (line (pt 0 144)(pt 16 144)) + ) + (port + (pt 192 32) + (output) + (text "dataOut[7..0]" (rect 0 0 63 11)(font "Arial" )) + (text "dataOut[7..0]" (rect 118 27 181 38)(font "Arial" )) + (line (pt 192 32)(pt 176 32)(line_width 3)) + ) + (drawing + (rectangle (rect 16 16 176 160)) + ) +) +(connector + (pt 424 216) + (pt 416 216) +) +(connector + (pt 272 216) + (pt 264 216) +) +(connector + (pt 424 232) + (pt 264 232) + (bus) +) +(connector + (pt 424 248) + (pt 264 248) +) +(connector + (pt 424 264) + (pt 264 264) +) +(connector + (pt 424 280) + (pt 264 280) +) +(connector + (pt 424 296) + (pt 264 296) +) +(connector + (pt 424 312) + (pt 264 312) +) +(connector + (pt 424 328) + (pt 264 328) +) +(connector + (pt 616 216) + (pt 624 216) + (bus) +) diff --git a/1ano/2semestre/lsd/pratica06/SeqShiftUnit_Demo/output_files/SeqShiftUnit_Demo.sof b/1ano/2semestre/lsd/pratica06/SeqShiftUnit_Demo/output_files/SeqShiftUnit_Demo.sof new file mode 100644 index 0000000000000000000000000000000000000000..b2b86488f2dc12bd2b85a00c27e696038007b771 GIT binary patch literal 3541753 zcmeFa!H;ama^}~M6yL}MF`zvJaTiR z`Qu;y)i+=NBWFMs=YfBSdkKm6OT|MKVZ2fz2F{O<4mj{X08 zU)s|@{ZHkeeEsXMtM>b9h7`1L>g z>#u+Pv;XL;zxw5G|Er&Wef3Ab`pcjH?3=&&<-hvs&wu^1umAcNzx)qA6#1j&1kde= zeDYU6|NWo+{Of=D)vtd2vp@XuU;Lxr`sx?I_=7+HgRlPK@BiZW|LhO{{LlZvKlsC6 z{NZo?&N2FTZiN34$t$suaV$Uo>c9T_&%gRdzqQfvx8$2Y`}JS^qu<&W`m105;lo(q_2w+>~*o${_U%O@BjOKv<3h7|M-*Z zEA*Q-CysbeufOW?I@)u&`b`_lm3>)Ut{vKAsT0EdZgJTem+QKc_biu9lj~f+aUJ^` zHlePl?BlB&XRa%2*AZB6cS(!KIl3mHZ_9OE($Ux(j@A66T=#a}f?i#{{*C|Sz3*TD z#()2oYjx@IRr?~x2S-J#lx98}a6^?NDEQq}*;KQta^v!-$4-!;UJMR4?Q^0F=D@Lq zRr8y@*)618OG%#NwdZ;qU2a~M`h2NrE6UEjThvCqV|9cy1=efQMftVt+F5O+o~dlt zf$uVI*e#IjeoOhP)*x@aigofFr4-|S?>Dc0vd)P+N_k!Ijk&rOOm+1xu+D(NlCN&f zgJZ$O*4bdwV9$PR!My6kBV^M)C%V|^wBaK3hE8@>vA8hL`rC6KLB z+S97>b-{LKcln8EVlDXSRIh!V4J@7Z?8}SrXjtoc_i0k+!0n51UGUq=WPK$qGq_6G z>@1C`Kq_!kf%lHzL)|=+&r^X^pre3x>}gH~Qh`(;6-WhAfe{5>k2p>Cc{!Os>8k>- z>a%=uR|P)2YhcEu0@D;DQZuooC*P735!`<*fk+zhn$e zs985xRB#_?AGaoqLvV1pLW5%I!qiZld{qRKn^v(9WGD=~2`!xMqS>1g?pL;^>n6C1 z!^Qm@g_n2fVld{_px-;Y6(-Isd@zf6jCX2pVN68kj0;xbM@Qf4?dTsA~R2N*k z805-*u%?oN)s-?dD3%c@PLF*bOlZOtNU?0%<3tyOTsd!>N(xq2%Fv)#MxZ!tE^O}K zj_8&?sX!`_3Zw$5Kq~N96i^EFS3A3Z`qu5~^KmX&$d)AUDaT$NFRlbrxY)xO;ybg% zAAT{T66&Spd>h|d=>?aaPQqEYV8zmd*e^p;oWi<%19kMEpO{Bf@S&A<4I;y|-qs{a z7Qag@SB*KLm^Y!EXhOeewY1?n)AwaR+-jkI2eMpap&$1uG#fn{e|)d;k|?*NzhjR-v`2N}WE&Pg$VED^OR}$He`XE3rf7{H-D+XOWr4M~jp0p;vf93kblP*R z1s~H@g6PSiS68fYL|M%v7j_G1MTuhY%y^kdHUz}q5@isOzwG!)#sus_~ca2jY~MHnF^;jsH_`5Gqmy69^;Br)NMkB+c?#&|m6R2w0;xbM@N^Xr zT8oz}nrZ0@lbcqt z zb)J1Nv4Z>^A3o1%5C*qo3{9w6=Y0Ow>Jd_hb-4y5qzh9+aq?9WOffq_hQhFZAXYi3 zY#cOUwY+rJ7YPOB7j|9RW)ttJt&h3#WZqynixDv%01LIsvL|I4XdCYGYpxOsz|h2^b& z7JZj@W^di(>5vLMB?a<+dy=a;^PZIVTjvrjn@f)6flkeQA<3+s$}D1dXTBx|>MjF5 zLoR|B&2Wi}J%Ww7;eH3XmKmjFjCZPz8$WSn1a}d$Tc{B3mW-i`Df?h#6^5`b*Pw)q zKymU_5lk`rafxOOqfH9l`&oOs^;{X!4RTZ8c2Eg3@> zPi)>EgpOS~6w3w8Tgo{Z_#MC(1%B=HEWDXT{F;d~3;br}2gKCg?%Y?dZ|^MKjlgo_ z`N^Nod`%1#JGHlg{OOVkqynixDv%1K0;#~eDUj>ichk%FF8=A$oP8?mTS1QY&PR2@ zwTnTn@qMty&T_XH9Q>Wi+y}e566D!jul*Tx+U)k(ak0mf+P`HoZgkjnEwsG4*i+>= zo>}+(xq~#>&4@#-r*N>A%=0GHteYz;xUanx4puJZpmxbY6UHGpxLlz@v2Vs?TIg<-us%0Xr0poL;a!J(Zbb|DrB!ypN+T?}%K&)ZXf{`Rfx z){D@OTQY_&rp#Ni3PV_zYfwT)pg7f;c9ZKMm~NigPfv3wc-?K@5-AMrB(V#ziBupJ zNCi@XR3H^d1yX@jAQeajQh`(;6-Wi{qJR)IxG6EjKgcz{4|bpZTPk*Ue2&{VW)wW! zk}-7g#P-1&awuBdfBzO1VQ@89Eb;Y1?G?h4L6SA5`E`&h=WSC(!RksG8WhV26eoXn z2qrhJV!5DsOF4;DAQeajQh`(;6-WghrvmwRpw4#P4rj}hiBf@7AQeaj9*F`%o$Z~E z>Vj(*gIu`})>Klkx>AM)#WDiL>9OyF2~D^HDV9xpoakbZE9Y%fNx|w$85$JJ2o$Hy zh2>%t&I*H@RxjmvUkr1d>HD%DqVAV#EOg_x-)M6&{rFzv zB~ftlufh@@fBF7{&P&xTSYyH)me-%Q=BD5ovojkEg<(c-3h`#j)$bVBba;T*RLS2H z`Nkglz73z*&P5P0<=Zy4Avl%K~d}8^fCx zWwm`7>9prs3qGc+1ksa2udZ0*h_aqnyWX2>He3xk)*xeQOp}@?dU9y?wkB!R5fR_( z{Bjq)7spA1<)my|GTk1Y@Q8cKEG+lTS@d1rnZ0$BpU8om{{uoFF!$Y4=fpc|cf5G* zHAQvlihVGTK`vRy)`g35?8gcwUZ>$|2L~6tEq-hk#kyk;4F&fue%f5>xdm&N6bD<~ z936KB7pw-~FmxNR)lINArC_y3gB>ZswB810!*vBYrUI!zDv%1K0;xbM5Gf!S;^oR_ zAr*0K&JS{(Y5&$r+qAG*A)8UC-7OhI7xB`$5bLkjx~%3PIE8h&1|?(!ij%L3V2arZ zG8Bdxy(z?gWotS-fH1TxtuDBBG02tkwyC6Gb)^gqie&_flRrBIlbcqtT+qCwoJ1;+ z3Zw$5Kq_!`mH#gCY_s5SwoI8Q6-WhAfm9$BNCi@XX$lC;?VXS6f@>FpT)7X{R8p|I zQicY_G6KcvvG0QkO}GLnmQ8z{=wgs7=WSC-!RksG8WhV26sOIFtv`(sOm14mlKZ!) zkVpkmfm9$BNCi@XR3H^d1)iY-`SY@~UG&4*GG(Gv;8`jlblu+hs4loR!G6jh*Ln8A z#0v6veE2-4K^WYUF*KoOo%8uyt4By3*5w+MkS&sX!|52o+e~{4b|+nOKTW_6RoShWj1lT4t1zG2W>#N! zMKHze%gwsrb;tc%ixk4!Nn#gbfiMh`;M&C?*Z91Bk@FVO*LlM8SYxa`C=TwtJqV_l z{etE#g`N!j4&aLdzjk^S-pnF?&BU1nelzj|Vrp-9?km@~cb4u(V7c-9bV&s+S%FC}Prc-xv$j+qQeau(N9H`A3Y<}aT;HBT>~ac{h-1|0=B-#kn--Q=r<`Eks&UVmwGpi%iU;)3|4@6IeYyBxd&ZkbPzDRN(9ir%++mPwnc`{@y`7J^GJ=~RG~QP+qY&y&-4 zV#JWgb-4vAAzfIg;^eC$m}2&2(ignA*8BFcv{1|_IJA?*F2n+17$m{9i$SjOd7GcV zEr`C(6Q0K!W9>n4aOdqoFvaZmpSSP}Lpw?ALTn-xNCi@XR3H^d1yX@jAQeajQh`(; z6-WhAfx9Rm1PyLV4Dk2fJVwT>>UIS5WJ zS7=ZyBT$@bHJc=YX>(@Xep4(Lv=1iK)2_6-;M&C?SI*m}l7iKhGBhZb5hzZNecp~V znm(yODv%1K0;xbMkP2L;0{M5K&URf6XUmj{Qh`(;6-Wghi2_2M?uLtE*=(0^qKiSU z+y`qaDOg=8LxW-&f#S5euw0D7Sz&O~Dwf>8J^nDkl&Eru9r%jn&m9WdtUmzYc|5bQ z_MkXC_IcZDGVQ5ADv%1K0;xbM@Qf8udiPg5@7ZE~^U0B}OrJNnHvf#STz?B-?(4cu zA@}Nd(fDqGm?pk6>!t<4eO({gQ{~u8%lS6GwbBbN-4<@Sv}v!dr2R*9E!;1dI(pDg z%p)rJ(2`>fBEu?R;b1i=2R(Fp+i>|^US|-##AR$kuOk|xelg5-ru~E;I@eg}#%;gR z=3@Hsy~azT;N)M0B|QG}{RdZqHLS}uC?Q?E{A)_@zK;EwHRzh6HGXufg$2LvBnW)J+F4XH`Q#o8gi^b#?+W5HBa>9(ClqZ z(x@XMzSsHXE_yGHlLpI4*|uc5Jv`wN_mWvy?wPabySy`d>n1;u12_K%gg#*IyQj{H zchv59@!D&O>e3baU><{9vXHF{7vNN!_^KBE_hq~*er^5#~vCA?p^$}xzuwD z)-EXywz@ew?g}ng4ZdOMHejopU~NjlYL5mxQi5r{4a|n?3UW*ZQh`(;6-WhAfm9$; zKrqD1mCZsb;@F%Yv9cB$OsfC zUlqX=vlC<}3^RIDi2cgeba((^XjfWYaP4A{E9Y%fNx|w$85$JJ2oxuOb_gantzx;L zc}qEoR3H^d1yX@j;OZ*>UF6wj!QpI~GEpj!3Zw$5Kq`<5qyp0v5SZILAJqldE(W=B zAFQdQV0EPo4T@z1iqm7?2NRlb1yU@V_BheSAXm=Yrjmlyl`=FamJujUn+sci8Y7t8 zw2CG7Z&4wU3Zw$5Kq`<5qynixDv%01Lk05ZWoNtShqGnMM5(~DR6yvuz4K9BaBYJ9 zltHfZ?1PCFP8FW}Px`ixn!Q z-W7a;f0o$(RXA~4rJ(!I+jeg?iI6R9A7dgFczOyf@1{?$vFzw6D^T9Cx#i7&B-y-fv2RvJKS&2)3!`Zqtm!&-jniv>s+?; zy!ktcqf;|qND?Kz@A-0Hf>!*@*F-DtVk-kaLoR|B&2Wi}J%Ww7;eH3XmKmjFjCZPz z8$WSn1a}d$Tc{B3mW-i`Df?h#6^5`b*Pw)qKymU_5lk`raQJ#KOm;|cIUoweS2r=ZUmMa&rkkz=4)c0*r~k@)TUY%W?{mh~x3EZ*B9<=9$nS&BVJ+)dkls2D!%f!5Woyi^0L&@i}fQ znNjd?OUBT}ego6xQiy6DT~>1toLsKZpjbwrIMr%4Nd(j8%)0%iST158>{v-dpjxR| z#m8p&+60qv?fReKx$9auySms@<=EG7jlIvjl_t9xaj5ka4r*EsT2M32nYX5oka^Xq zaB#CO*PwWHVOlFrzUG1{W+%u{7}k1}gUZH16GkpLw3Eax#GV{{Zv3L~a$+^FW^os; z=`7gi#vc+>dwa;nGIuJF3Zw$5z<>hFH(LXqXL6B=d2|~0%yq)DM4eW9k9cNpz1(R} z1)h`wdA~i$<(zp>dMfu@L1~0y&J}wE8yrJgvNtlwwM=m(W8Fn@V;hwb+yq%-b~6g$ zep)Ooh#9BsgB2r&Jg&0L9|s4p05HH4pxJ5FapKNowou487S98S@U$5(LvI(fIPmEv*J|XD(7uZf=8@? z@=qfD)y|D2%(Y9m&CkuF%XP!W+;>TYEH{=vFRouG?`Uow^! zzO~W|4mVnexHc{=Qf$)xBf93{7fg~K^b_;gRC9yt(2`>fB7=J|6iW}C-qs`v&yC7% z{JY)j48oVVj7{iuL}S!1hPlr4ec2CD_scaFx^dfYw7Hmme6R76C^-37VF{1FeE-3f zU=8bX4N6ECuRm?gO(8yJXEqoL!;Ib(;?0y}niS5i>39xaQzd^-?h8$~wp%0k*?x}O)9kn}Ny!M)+x^%@pn8zTO zEM)7#MLG6k1rx8+aJ7Sj3*Ht#Hj852v4@6&dlx^wwf0gXc1a3nH(hd~iS{oy|(+}Qo9wgwU0#mc_#(n5uBKP{FX;-zyT)L*UjNU;k;SeI*1 zLPnrC`Kkz}n4KU)VVKdILhM(zro#gWL%Y)If@>FpT;9C(>&SUq5dG$bi#6_E9&=Ef z{MjLxV)hG~w-lO41yX@jAQeajuCDUmMV@UI9L|<06Qu&rPl08f{rnowjvuuGId32J z<$KP>3(dE8KB^0@T?}&NK3G#p!RksG8WhV26sO0&4<3Zz&z?Qx=uL9U#)O(g}Z zD`jX&sX!`lUIof| z(`B|)AQeajo}U7&tuG$=^RlyDqQluTWujEzSt=lOJ-8{+6^Q+mL9X-cgNYSX_h@#N znGpxSWDHHHS?7HI)(RC;?+PXza1DAi^#)Vp=;*5=gvaaz84AN4_rZ)@2yZ8eU5Ew3 zFi3)H6Cav&kn242_N5i%U!i4*B=qB!jG>8FW}Px`i`65f4(oCaN=O&&Uxg#Y$L#l? zx9#4P^zrptU$%H9Qh`(;6-Wghp#saB|K(IJ6HC!)+`LiG!tz!>i@wV{v$t;YbVvoB zk^*_ZJ;~Lac~5#0_uEr9adAJ#nE67Ilgv?vq&VkJc53EpVxY*=^k(x`NJcYU;$n|r zV{W+LL9S&+DH(bB2v6MDMrFiw5wlyU5bmeN(!-Q}Fp>&GSeI*1LPnrC`Kkz}n4KU) zVc;IMZy!q!f_RLMhCvcsyBOlq-{{R-`N=BGi})4QEd~ew3c+p=hM!zr*HbuH z)AGEF{e>H7zsqV4f|JV?8WhV26em}egJ5#gDwYeHx0G`-@H>Dn3jEIIS$H#x_%#z} z7WmD`4~VI~-MO#jCU)oelLsz$^^@~LC{tLCw9F!gcjjwipzbo@b4r(U=R0NX$(0JE z0;xbMkP4&%m!LqdZ%=U*$|*=9j;FG|6&j?Oc(*9oj1 z9}+Wrd&s^rcPemp1@eA-63J)Ylb*``R!|zD;@zg|f@>3ORt$12Q(WQe?0m$P5!?i! zF}oRsaJOU(O^8|d8$m9YLR9PEpoL;a!NKJU4T_};<5Zk#HJc=YX>(@Xe^M+284APB zAe`-?n1kTdP7=Ei3xr{i1lKMGxyI-1IX-{uyllIT;xJ|2LM#lfl%YYfj6iX6=j}l- zxoH*4{pT$#!q83ztAQeajQh`(;6-WhAfm9$BNClp*0zzwp zn-W7R404U{gEe-RhYE6ce2&|X7A{b@C1dE~iS2_m zb+(IlI9sMnlnSH*sX!|5NE8t2Z0~$j7hJm-4m!^PRT0vP)Dlnjc4EUr= zDsZ6+D0TU(o!viu>$dRuIF~GByCg@$jiud-tJ`PZ{-eBwBmVN8nc@$>m{E!K+sD$v zw^n+=q1(bOmli2DY5x&j^Y9C%jvn+A^N0#QwB%TW$Z+HG++yqH@AS4NQF#0=uQLc= z;xaa&*Ab0TzZm8^)AwaRMBOjfSm?%WztQGm`tiNSOQPW9Uxg(+{__0?otLUxu*QTp zEU!Op%}v2GW@k1S3d4-v6ynX4tKTuM>F@xrsgl1Z@{K+8eH%Wr#~XWG@h2?!!yeUz zlWkc1AQ$PtF3G-*{h2lBnxZv+bgP98mj%||HikDX%4+*E(rM4N7JN)s38E*5UR|-q z5oJBEcD*;%Y`7Y7tU<=qm?kw(^yJX&ZB5dsBO<=n`QF@x; z(5|$);M&C?SI*m}l7iKhGBhZb5hzan><~Lf32Wnst!tJoEOY6%^}WMzM!qGKMBz znRUv%EheFm+LbaiD3&hTzY0f)chf4C`_J2UZ#9XK&8-7NA{9slQh`+9kt(pf`Cm@; zGO-k$#?2e#EG%#Jv*^3LGkfbMPlr_CDJhWm+ml?)nfIh8albuv6BqY$jF~SaImsM# zNQ!grWT$4nCI*T;O>Z`Dg=93tB`)>|Hs*%=9pqYOl#-E`kMP8eZB#}~7cskq3gLcQ zEImxw2P3I4gmt+FC1eDOldpmJ^Pcon?ze){2o>)(RTo^FU~goQYnkE-U)@DQ(d&X7UEYId#W7!8rBUxJ5hR3H^d1yX@jAQeaj9=`(lcc9L84G(9_l!;P- zR3H^d1s;h4LY;$~5<@Kwa^?PQQ%%9@N*Njy%Lo)Ff4506xoH&(L59Mx?iVTtm5qZQ z1c!E#*o9ai41*-Nb}`76^R}s^V0EPo4T@z1ic_6wnmuc_OZ0^t(9JI=(cdnrA3NO+J8jXJp6*GqX+%OJfeaREjiX8GTgX4x7d35 zJH4$*6du3J>kPt|xQtEcbwp#-FNV3!^nKY6QTNL=7P@iUZ?w6XetfU-k|;R&S78Z{ zzkL5e=cVcvtTEvY%j-{Db5rn)*_jQ7!Z4#Zg?KaNcAu%j1H7h6{+`G;_R#lj_{<(} z>~Y1Pu;34SR2NRRVex}pqyxJo`#Sb#)}U*O*7(t_7B*ZKSbN(T-n1yI?aN4~J=a?B zFio1^2d z;DXiQ8-{KJwz>({rWCC9Xs{zCnAY2RVU;X?mu47h6oy19a48CuODQDlNCi@XRNz@C zAaofoS2jzGAwdSY&a{7PC2v}&YvyH%B=qE#jG>Eo>0F5QS8H8Xa}b=ux?F=2G6KcP zS4A+z>;xGK!;Ib(V!yIA9Ued!+LcxpT)P#On9fHYCt5_~* z-cn8?6-WhAfm9$BxVp-J7kRc>a5!70Oq2>dKLwU`_Va5zJAS+hNd5ls@%E6FKR*R* z-WrZ$d*`FN;NQg{SMGx~l@zS5l%YYfj6iXE?E7Fs6RtptWz!xfx)|ijdD~P{u)0!) z2E{T0#c6Y4>rZ0@lbcqtEbGd`^YsS-%0Xr0pa;RBog{W4HjxUX0;xbMkP4&%sX!`_ z3Zw$(Rv>>~b~eQiXUlYtMCBf_8JY?_tO7#%!A*&7rR=8+a-C-%Ost@~N3*NUj5zou zV`xInI_LAZR;ZAASMUk`d6%i7aQmtVCO55OA;?e|?zj)u>`fu|D_hgy0feDlX?4N1 zi4V;>$aS81`_c-EbugpY!!H>_6R*rVW!@H(P)O}c85$Hz7wun#BgDID70dnSZM(Oc zM9AjWfgzC!qynixD)2}ZSl;|Er+S%KicaI^4RRKixB6N1UEZ0!b(5zT!OT=cyMPcR(NoI9gW)Z_X^EEM0cNy^MxX96d9BGD2T{zCFdYD5oHaIG)P-R%mc= zQzFu0bAFI3_is0<-98XbxKf4&#WDiLsqS2Dk_e{Fnca4mITXBh2I1@o1gCbA*o9ai z41*-NHo;^Z%49DrV#s;tuGTiP7Xddeo=Tiv6@%2xC`@j7VLB54~eP0J!E5pbCktTEOe6o)#~ zZgL$2)6FwAtzx^c_vpiIgyW?}*-?4Ck!Yvs?7gP3c$tnzCU9Le18G+*Dt0I_U_6ynv z8>qCp&{P-gu7&v3#hxn1zJ_(f@*Y2TXtSGD@L2099MrTN^pNxR))njthFut3%@s>$ zHsF#-1yX@jAQeajQh`+9Q7e#t2kLB>?{KzEnJ5)V1yX@j;E^aG)H%2*G1Sr^SMJ|7 z)fB9*l%YYfj6iYncbf#0n^v(9WGD>lexY(u**NGyaA+rqU5Ew3Fi3)H7lT|mZ<|UA zR#(cS$5>_TiJ6-WhAfm9$BNCi@XrUHu9 zU+wIcFij$ipO141{)YFir2WqeUzmfzT@Ybt;ybg(A0jZL67IK;rG;-zpMt|qC*iDH zuwv;!+J8jX!u^7&qX+%OJfeaREjiX8GED1jO`>G+yTo$Um=lV56UvDu^ov$Y8?G~b zU-rYT7B-*Jv0j9J+^c}0jUV4@yd(;-{#9tRn)Uk+I(4}PYnK;?*PpiLrr;8@a|I2B zVMcEX@ot(F&aUZr4qj6we^2Bad+7T%d}fa~_PF9tSn!8EstYIEu=qhP(t%x)eI5HV zYtS`CYy9X|3mYyAti5dvZ(5Yq_GP5go@*`mn645;PY%7hVvQrpdS2~%Z>rgFHRM=> zjHxkAYM$uHq1oG-q)|sie6RD%UG!cYCk>X9vTez9dw9Yl?j^IZ+%spvXd^{g|^o@V1Df z;<#fE4F&fue%f5>xdm&N6bD<~936KB7pw-~FmxNR)lFS2>W*uF#?^dUZ|gF)QHRw$ z)F{0rQh`fRpj=8JSw||63Zw$hLII)6c)7A!NDT=x$hGV|D4d%l`}NfBObsHqibiI3|+)a=R&N%TI;f!gWwd_i36_w}-6!`6*!Y)^Hr#J0H~r|1Ji(av!Xzq+oTW3=N89 z1d7vR-v<+#a0OB0W zY=))+537KXesEKwTPgb~gIwp?2NNr(?$PWjGb0Xu$rzeYv(EYatraSy-W5zb;2QL3 z>J6sG(a~2$2#?tbG8Be8?t>Y*5Z+D_yATV6VUPsZCO$OlAlL1A`x0U|cK@#-72ice zp2mRHze39rNr?5+Vrk)(8K=zKV)Y1_!@69964FKcSK$cpG5h`JZM!!meSE#vmn~k2 zR3H^d1yX@WsKD~(e>s)Q#8Pw`H*eIlFtogymE3q|_BOHXq)r7cPl3GOp5&U$yeB=0 z`|YWlxVWEV%zPoqN#>|SQk-)qJ2mq)F;L_QdXwXpgN?nNkLrSJ7YM_2DR}yue5;E& zC|~9Mq&k)|BAmdXwYu9eQ%x|#+Fc9rtBXBVj(rX5 zg}TSQl_t9xaj5ka4r*EsT2M32*}pY?gv_f>g@c=Qxdz3n3)5P0@--JsF*`wq!m!q( z98@+AnlN&~p`9dlA@=0pbK@6#yo(eoE1@eA-lFK>sp7d1iw}R3L74J4x7hIcQ zZ)A{bnc@mx-9>R@8-n6(fc`uFEZ03F*Q@6(?U6!4$I- zWGD=G+Xo|5?9oXQyATV6pB?Ljcb?DwCy z@C!pbN$f&wA{9slQh`(;6-WhAf%_})?fof|Ar(jkQh`(;6-WghodQC|gPRgV%?)ym z?}OcE|CWl~9iQX2k{JaLw`2@mOxeFBt1yIhxdtU<1d5ZdieQS_FK8cZpwj9>Q(d&X z7UEYId#W7!8rBUxJ5hR3H^d1yX@jAQeaj9=`(lcc9L84G(9_l!;P-R3H^d1s;h4LY;$~5<@Kwa^?PQ zQ%%9@N*Njy%Lo)Ff4506xoH&(L59Mx?iVTtm5qZQ1c!E#*o9ai41*-Nb}`76^R}s^ zV0EPo4T@z1ic_6wnmuc_OZ0^t(9JI=(cdn zrA3NO+J8jXJp6*GqX+%OJfeaREjiX8GTgX4x7d35JH4$*6du3J>kPt|xQtEcbwp#- zFNV3!^nKY6QTNL=7P@iUZ?w6XetfU-k|;R&S78Z{zkL5e=cVcvtTEvY%j-{Db5rn) z*_jQ7!Z4#Zg?KaNcAu%j1H7h6{+`G;_R#lj_{<(}>~Y1Pu;34SR2NRRVex}pqyxJo z`#Sb#)}U*O*7(t_7B*ZKSbN(T-n1yI?aN4~J=a?BFio1^2d;DXiQ8-{KJwz>({rWCC9Xs{zC znAY2RVU;X?mu47h6oy19a48CuODQDlNCi@XRNz@CAaofoS2jzGAwdSY&a{7PC2v}& zYvyH%B=qE#jG>Eo>0F5QS8H8Xa}b=ux?F=2G6KcPS4A+z>;xGK!;Ib(V!yIA9Ued! z+LcxpT)P#On9fHYCt5_~*-cn8?6-WhAfm9$BxVp-J7kRc> za5!70Oq2>dKLwU`_Va5zJAS+hNd5ls@%E6FKR*R*-WrZ$d*`FN;NQg{SMGx~l@zS5 zl%YYfj6iXE?E7Fs6RtptWz!xfx)|ijdD~P{u)0!)2E{T0#c6Y4>rZ0@lbcqtEbGd` z^YsS-%0Xr0pa;RBog{W4HjxUX0;xbMkP4&%sX!`_3Op$VSX*B_^5v9cB$OsfCUlqX=vlC<}469DcL1p8h2f?A8 zBz9S(xr#m1*xwdJo*}$LLB^+W)~?;y7rU8Ug9z>-X17ow+)az2izha34?@ST9Et@g z4Ta&s<}Jxj41NdjMS)*CJqvGU5x-{Q%mTj|`2jJtw>$U6Yw)6w>)YFxW9Dn(b}r|* zIOpQL*as_AGE%AF$F=Q(8Rkv`C3H7E?VN8Y zW~m#5jaL+IO^8`{X$v9CTZ8c2Eg3@-YSuaP*6I;bhjqCIC8P^eLviv|5lk^VL59MB zfcy5bG-2d|Lpw?ALhQ-G=f*DzFDF*>Y8H3ln$CiKZu}uJwYP_CEOVyqbkXGJ=~ROU!OYA>1t)Lla`w{YH?>r4ZFRIB21mQE+g% zLW5%I!Z;PDTFoYjVA`BnuYzJB$WRz|2H|WE#T*2uc9PhISn7y9bn$rW!ig^4p&;W@ z_TH+haJEdDC>2NrQh`+9ktiV4 z>2A0vmd$nvC%PEq%6+h=l7iKhGBhZb5hzZZ3(LhQoD~K)tzx;9{aY(VsHa_Nb-}fZ zL9U#)O(g}ZD`jXCs>9>^?ft zmE-eqE?LNSNsfjaOS>0Wx6i!&P{+a%fBDW#@rPf`sKom1V`<@AE4|>*ZQ+(nixiu* z|A?-6_yto(5BiCDL9F!V(^T`Tm2>OVuq{W5OGj*PpiLrr;T~GaC$r zVMcEX@n*`^osKmf9^f@q^7ll(v4_5I!)Nw*V~;ETgav=tqq=ai4T~S-A|2Qz+1If@ zvj$yLw8oEawXor`z}nl!@TNssZC^$@?YY*1kLfBw^yJX1E7mxotmoCP_okW+S3{09 z$e0?_q~?j99GbnYNg8!T#P>SC+(qxjanfKpDchDzw}&S@;$AWf%RO@zeV2D;Z{6f4 za^U9wfY1lbefQKk@s8RZFJ82!knIYtEB3)WA};S6By(REE^5z%Si!{WG+gcA;DWcs zkIkZ3ca?>Pf_oP~Z7%iPg0)MEgRO3kj=O>jR)cRCx((RsrlGmE*^v@V>uq2*Tvw1| zDv%1K0;xbMkP4&%kphAtUao8wQW3}I{2Vj(*gIqapn@S2+SIW?! zSVo{Y`LjbXxoH*41Vj(%?57NJoo63RtRR2KhtG2wguyKtLlbJ&IiJ6^dW6(rU9Le1>B7`d zoP1RTQ_N0~p)jl;h*b_M8wX7ox!}-F61xx!gdrxe-<M2G;ZD?XJL7(pGDv0 zo!MJAc{-#5Pf3Bi-=5@Z&b%k({noiee2;linE67IS)G}8yv&^4stCsO3B#S`G_kcxQm$GLWOX*WDH$Q*#{%5Fobov1|?(!ij%L3V2at7 zn{~nKZu?+F3PU?d>_RLMhCvcsyBOpepSLe^-Xi)sPk0_{jI{^F!JW4U!4$J!(7dJ4 zlY!p>d{N-nPS3)dS;ViIIJ3ZSMt(p{?d{He<@)x{(%lFwH=dvT>CD%}K(SMM8_1t7 zsX!`_3Zw$5Kq`<5yqf~KzI`{neDC6)KF!%DvA(r=CdffE@orOf!L^G)uJL`aMrGY% zaBz2gj@wFR6g=FLF?5mpw^xctRpYK=<{&t^nk$yd<+|Vjgu(StEE^I|G{IyXk*ldpgnf((U$_tt&;Sej_| zriA*Ht?8QNcQx|4@r%OCiPgNC#a);F&POH5~JhQi6?zE=@PfCHj-=5@h&b%i*mHVxrG(v55i1r&CLt3&~ zG03${akYrv&PQAs!A%ev@2i+m2zN`y(1e)P*C{TTm@bNW2wq`bu0aXu!a@}%UlqX= zvoDjr;I(c{DF>B}gBFSz1&4N$*o9cq#U8qNymjG37w=GzaVdPYYd1DOe_Ie~ohLkZ zby6%NP@G)N4}vLXC&*A3)_RnK%Emztf_Y4@-SJ5dsX!`_3Zw$5Kq`<5qynix zDv%1K0;xbMkP3_|Ah-^0N(`|Ma-C=YmWt~h&8{*t3LZNH+pGT4NAxe z6enL5!4$J!%08Iw!3|VeU1+L{cGp7u>S9loV_(B{gRt=v5rVsj*)3EEU+XCx)U+J* zkn{G|73>LyT^L-=6-#`*hHod(BvOG?AQeajQh`(;6}VUh^6x;M?b001mMIgZ0;xbM zkP18!1%x^WHzkHz8sy6T+oqa=)s-?dD3%c@PX2C_U~|gVcjoO4k{Z5JqQl% zB(V#zKo|x|aP4A{E9Y%fNx|w$85$JJ2o$F}(>6&2)8@=>dYVJQYiAJ7jzDl~Cy8B% zU0*-P=Q*STk41syzW-Rt%{o(oR3H_2)Cwro`>UPZ5~kbe=i^+mknNHj4L6oQFRpH% zdAqn;IN~qgnJNAdffgYi~F^{O=Lrab| zhzvI_&n>oI{!VXe5{1X_@;Za?B`#wVdL7Xi^^0MyGkst7L)86pjfHO9_8V<3rXSyH zyd(-v{#97Q<1gQT(0Qr41#3)r!}9vm*4z|4V|HePp)kzoO(EV)Ii^YB?3#|};5Ak9 z_e8$2hrVyaXZCnwk1PI!1%KG1x^S`$iy!179oQw=*Remd23=FM#*c2bu;H@6+S|tP zrbSt8Uq(9Zxz>V@=_*0=Sch=82vhn!T+_8g)d( z_d37aMeoIN(qK6$+m=kXhbKJZUNQ^IJ#!X)mv?4w-Q*{7;O75;&zH+^vtQNLAcDJy*)3EEcT2|5 zMZ9z_#I7wU2el3kdJr7Kx?F=2G6Kb^R0$~^= z!L^G)uAH|`B?YT1WoS?=BT$?k`@9`#G<{NmR3H^d1)hTf`R^jnc9joj%an;yfm9$B zNChrl0lD}Jv%pkfuYep+9Y=S=MX_(TOE}TRAXn~#HI)>su9Trcv5Y`*+FaPWXD*oB zw2FlwLt)7M+d#urnEbiJW}Yx-;+ciD2gTvB&)Z&;X-@@Gfm9$BNCi@XR3H^d1yX@i zDv&=fJEfXUu0zv$A;xkcbz~W-z`H9Tgv9$Qo9&V=JNtlxT<6)p6)R}dLfxrkm(3sy zZpj#$P_xeY{H@g^qz>zH4N6ECriS9=t0I_Uc7hCrVcn)w4k{Z5O&Gc0&`uJ&5DSE1 zkObEzJ~Znf*LmjcODm{qx~t5LVh_J$3{AW;>y&w0tWY8Ku3*vu*PusJZ)pE293ebr zzyG`~Mm~@vcA>yTDv%1K0;#|wRbYAZznto2VktU}n>XrNSl;Sq(RX=g_SQ|F4ynLX zQXucQC%Kw4?@4*TbuQ7ex#UceQkZYMyO2&An>bUU}S4MCbF}sBd;cm$ox|p&LMpj`6>v9cB$OsfCUlqX=voAO6 zg4Z4QZ!J;?ZzqXemhg8XAzv5oP>^vce7=UOFfVf6BGM`c&tr|T_MkYpnjZvH%zhE` zmJ&}4eh2VHfnPg43vXr-zh>gh0>2sg0Wr0=JNLys;i9mtMyAvxQ&^3(%p!(&=4)c0 z?lRz$E~!8&kP4&%sX!`_3S5o?xxPKcwJ4_`i8!9h`c`PLz4K9BaP4A{EB9}kN(xq2 z%Fv)#MxZ#k`(Rgs$xW+RE@&T2sHa_Nb-}d>CgUL2dFHKHLH-q5-WqW5OUBTInsv_4 z9ZW(YwJT+4P%K@T8j6#ziePfnDi(qag#iKg?PF=8*_#sTSGJ~WlHb+H=f*DzFDF*> zY8H24;>?15Zu}uJwYP_CEOVy_>v9cB$OsfCUlqX=vtPb+*fQI9sMnlnSH*sX!|5NE8t29Nd%`YH5%w_ivkO3RYLj(4bgGpg8%vO@hfy zt5^s!6oz%bP&ue<9P}VKw3Eax!~$U$B*C?dL9U#)O(g}ZD`jXP*`t5lovi zyXk2T1+Se!I6DHtshuQtA$EQJ9G~Zq3Op7Cmizu=DL3m(1yX@j;881}RPV2Lc1xIU zqo0p+$wIbEax~mn{=B%ledg`rYT<~#d}pTkLj-12V*U29wD7H!UU2BPaLc7dicQ*o zMAtn0f~lhi{lq+?f)6b@)*v$6xIDMmdiguOtw|Ifzsu_k!k4&=P3Uz*W7IE(xz6-` z*$+|o%QY6daocaSxtM-@ukn&7IQds$36H;g|3T-a>K3dq;SI~{Pg`?S@Qm4+4Ti!n zqc??kGv#)lslo%irb_;v$T#-T_igyh9&hY%#hPPSq3gIuHoyCnNM_Gi|h zYl_zR(XAFXTozb++Zf)oD68$uNT)s5TJSMlC5WCJdUeGbN0jxv+V$R4v*BvUu?87a zW17@F(UU{7w>3$lj)?eP=a;+ay*N%9EGK2#lIiyFgh$*E=7TIDTQPmsX!`_3Oox1gf8Rd%4Uf%B*-Awnf7n3{qs?!vhFIyVB}{ zYZrrD%bze&_&Swt=Gtbabc<=Tn^o{|OUBT}rW(QBCQ^Y^AQeajQh~P$Q=Ri5=eX9aBD8jj=Oro=IzHw<#+{%upp__7cdYuqGVjLO%? zz7Hle5$mvN57#aRxpLk%l@zS5l%YYfj6iYPT-drpE|}c3ie*_>7M|z+Eh;2Zfm9$B zNCi@XR3H^d1yX_gE5O?N;*mcuJKH5XoGsHm5|w+zW@sw#unGw2+dCiC1=l9nPZ{Jo z&pwz~LH>@<@>YX`UowU!)U0zp$7m7?sa+{UgJS8z)KHv!RRoipRF@x;(5|$);MxQqsvYDy&%AwU1;sj;QS9NDjG>8FW}Px`i%BS?cBKprilvM8 zufh@H-L#73{`0ooTTLQlbL+s6NCi@XR3H_2qzWu={+Cm|Oe{sGaq|W_3(H&mEc!0* z%-*`m(;*djN($ut_9Rzx<~`|2+;30a#Im_`l8bi|l9?|gIZ1^MNpa4d?9|NH#6XcJ z=uM9M8a4(y4vK}DEj$_S7e}T`u}84Ux4M{v;S9loV_(C1pjMMIv(5HrQ>X2hY^Q#e?Y@Vp5%>zw^t(?>|X>Qp$mS(j^2yt*(o6enL5!4$I- zWGD=4J<364QEKAgBwfBf;_SVat_Eg|WDUkQulU&Z3 z_oSzCzZH~5sCc)jy5QObdn1Ef%M@4m>Mn{K+o+7-Cdd-An^6e&(_(2s%s6EqtQaxm zab0e~N=O$LsyO+o2&R~wAVXoe+ddefVvkOe*o9ai4DCv*3$9)4xc;7nC}BIPLPNoQ z6(&D_yO9HFb{f~$57r(Ohuy^}cJd%LG}!MyZ{Zh)xCOVeIva>hqynixDv%1K0;xbM zaDN59y(fj-Gd{ypfm9$BNCi@XRNx^L5RwjVN(|LE$aS9mTPlwCRm>=OxFuugqV7M% zN%k&XR&x-X!n$085;6kC$uC$i#q0zb3d6chsT@=`4tfwA+DT#;Vu3IWlHl6KAXm=Y zrjmlyl`=FamJujUb*62S2&T=M-Sjkvg4fOTK8VaJEdDC>2NrQh`+9ktiV4Ik+h?)Y2eV?t?Ye6s)e4p+T{XKyiBP`(Q#7 zu0V=q(;g?f805-%+f-7px>AM)#WDiLX>(z@7=^RK;HFh91Q`lL?%$$9A{9slQh`(; z6-WgxQ30hWf3>q)!gTBZJmC7xcsaC7B4oL-#(L3Mb>Z5?cV^vxvSnpAqZ017kEMlg zt@MIJw}o3SEmCaK{v*2P;TKFDJ?JOq5fyxB$*~5JVHL1&uo{$u9y+~kxcn}!GYDVe zGB%;t5sgv580I?D_hmnHuCdUK+kT_X#q{HQjh95h$-fFqc>Lx253U4jSeI*1Lb`bU zX=`o@@i9BI!B7}x^rjGRrd<7waZQH@cukf3J&|whq3_%9nLXavy+X;D_&myu3;uC?G}x=Ii|IrQp^HI69j zd9~}ksb<5~kYf!prp7d>d7>wWW^ZefMja9Hz0NOp(R*>6G+0i`wk6Z;;R%npm(0R) z&zwcy<(=7EH~EPixcNUI^Z|3#nlUP;l?!r_H6FTd;OXaj@0R(Q#LB!D{dgL$?82-83}U zHak*+X}t~121`HG=&{foQh^Impj=2DSwF@x; z(5@7hg3A#4Ts58XDV&{3H*;+>QwZ)NX17ow+$|YH7n^DXcb7}C)H*omL2z)nLW5!% zf#OuF*(4E6n=|WtQ7i-*3d7DIoE?GS)J_t+5SvH^Qh`(;6-Wi%Dv+$JSgdiA zbTKMlANxL-&_t}mrafG{805-%+f-7px>AM)#WDiLX>(!g4!K}*(<+u_U0HaZ`?si& zNCi@XR3H^d1yX@jAQeaj?ymrA>x)PJyzFe3=y0}7_efOk5u2f@z{4saq;KzhR2N*E zU_WJ$>pc5lVg>m-KFeDT4t~iPnozUO`5dE3D5Q3!3=N8<3sXaJ@>LN`Zd%1ckfAW# zaUZPNn?meYwx+`a2t&Kl>Vj(%ADVTL>pb)Jr4>^LYEX14HTxL+KZF2x?fCg18}4vN<%$u73RMsOD~yM+qjYdwX7 z+Z%gUZH14}wEGN$j#na}|52vA->d zJVSVgf{ah$tX;dYFLpDz1`*sv%x79)ylvITQ<08VbXM&0CV682k?4 zivquPdKTWyB7V)pnFW3`@&jUOZ+GsC*Wg7V*SEJX$IREn?Oe`ran9wbR3H^d1yX@j zAQeajE?0qE-=5;ylv9vI98YC^D>T^N`KT_qb}`7ce8;5l#XD8(Gv)qmL4|eSiRZD# zSbI<$e9Z+@%zi=pU;~v_7n*8<$=L2%h+kdosdDUVSTEE)_HU)hZblqxJ%xjsmV*}5 zjC1yHO&=lis#D?MW?im9@#?~~R-Amz1yjsUkfAWF^(Y6Gje{nPTySV7iCu_2Ir!Z8 zMd9VdYF^FaE?m=Du+NP@B&PQEkd0;TR3H^d1yX?l1(t8N20YK?A`|oIH13(}gk_03 zt@a-A%-(vr)1C@EDFyO=dy>mJ^Pcon?ze){2o>)(RTo^FU~goQYnkE-U)@DQ(d&X7UEYId#W7!8rBUxJ5hR3H^d1yX@jAQeaj9=`(l zcc9L84G(9_l!;P-R3H^d1s;h4LY;$~5<@Kwa^?PQQ%%9@N*Njy%Lo)Ff4506xoH&( zL59Mx?iVTtm5qZQ1c!E#*o9ai41*-Nb}`76^R}s^V0EPo4T@z1ic_6wnmuc_OZ0^t(9JI=(cdnrA3NO+J8jXJp6*GqX+%OJfeaR zEjiX8GTgX4x7d35JH4$*6du3J>kPt|xQtEcbwp#-FNV3!^nKY6QTNL=7P@iUZ?w6X zetfU-k|;R&S78Z{zkL5e=cVcvtTEvY%j-{Db5rn)*_jQ7!Z4#Zg?KaNcAu%j1H7h6 z{+`G;_R#lj_{<(}>~Y1Pu;34SR2NRRVex}pqyxJo`#Sb#)}U*O*7(t_7B*ZKSbN(T z-n1yI?aN4~J=a?BFio1^2d;DXiQ8-{KJwz>({rWCC9Xs{zCnAY2RVU;X?mu47h6oy19a48Cu zODQDlNCi@XRNz@CAaofoS2jzGAwdSY&a{7PC2v}&YvyH%B=qE#jG>Eo>0F5QS8H8X za}b=ux?F=2G6KcPS4A+z>;xGK!;Ib(V!yIA9Ued!+LcxpT)P#On9fHYCt5_~*-cn8?6-WhAfm9$BxVp-J7kRc>a5!70Oq2>dKLwU`_Va5zJAS+h zNd5ls@%E6FKR*R*-WrZ$d*`FN;NQg{SMGx~l@zS5l%YYfj6iXE?E7Fs6RtptWz!xf zx)|ijdD~P{u)0!)2E{T0#c6Y4>rZ0@lbcqtEbGd`^YsS-%0Xr0pa;RBog{W4HjxUX z0;xbMkP4&%sX!`_3Zw$(Rv>>~b~eQiXUmj{Qh{fwfY9~erbJgD_EQGA&a)3DR#4re z*;Qsn9Q=|oG@)jl^Z8pVR7kxm_yqsF%hXV~eN_aLn^v(9WGD=G+y`s+rV#s;t?BRp z!qBd?y5QQxhh`n*I?ud)X$8ePm{IKEmyDr_S7x0uZ;MGNq;{nY4T`0U_OHSb;@z~0 z<^J=w-CIo}WOM7lkVpkmfm9$Bc%%v}Z~m84y-X}cr*ZQJISb2M{Ve(}@66u1$|Hs*%= z9pqYOl#(&tsXA_0#+4D=Ma*uYLbzKphAyV;gOODj!n$085;6kC$yY@%#q7(?y5O~L zs454Qje{Nphjx+^Ik+kP4&%0}3pQ z)&b8mxyZykI*ohgI$>F&POH5~JhQi6?zE=@PfCHj-=5@h&b%i*mHVxrG(v55i2VH> zT$^BTWRPo_;tF49=OeC+;3f!-+07_~yCq|2Ld@#x6cdZJ3hy4B?}iQ+>$YLF=hXjtill1Ygjid<86F`yNKB>R0v<|DIC& zsX!`_3Zw$5z~fgS{|?mIuHoTqnKDr-kP4&%slX#qK&W$YQ(~y4L9X1tZK^3)T`5C@ zVi|$r2n45glGugVL@JO9qynixDv%1K0!;-JtH0XWEn%8O z7(XB968sIjB*NJn%byolx6ix{!nKL-%o=})z>G?`-#(TWzO~W|4&4@RxwJ^JN&AoJ znulL7b@ZU0m`7Cbp(V!}M1~ue=N4Npf2X%KiNfP|d7VM{5|^Z9$4P_bq-*kH$YFW2 zJ`vkH)95=#TtYxjyyI0kUcC02qPlc1+rc~rxnv>p*Gar8>)Ph%x;e83EgbO|-WESL zv*NO=EHo6{yZC8yspl4~T~Zuub#rvw6F(k+!*O~Tjt>jG$bFp zTsd!>N(xq2%Fv)#MxZ$PvqLbsX%))_&0ESzqynixDv%1K0#{f0?;_7O3l3+?l!;P- z=cmB3&VGK4XUC6M0jb{~KHeU(^5>_3&0E89Z0~$j7yP>zGfxId7Xv3RYLj(4bgGpg3(VZ2f7BU~0Bh@uNB+F*Y?tV8woLa(RPGU*p{c;b zDj=jE+?426%6`fq*Ln8A#0si=G`q^oh=X4;h9=akb3T7-g$k*61)t!bcbOUrx37v| za?>gnf((V>j{9KE-V|cLvNatZKp5JURu^2G_|U9_T<4j$FRh?h2Q!L2{E{&=@ye`I z=4~+vh19N;p+T{9(f(C9LcE(+vD|;&wtK5dglujd7!s*KDv%1K0*_RI<<0+cs+WnS z=rnHLAZKBDtDi;R<(=7EH+edw0#8YSyx*SWYRVs?TIg<;i6IjC$L^dLC2lf*8IG*_{Q z8vEOV$TNg@D9HE}&f2vb`(ihfYY@R*#OxL-gu7`mbn(RI?Lp|+l|!*0rJ*o9*t{kA ziNWsxz9{f(r)S~KEaKNpoLS&EBR?Rf_IBsKcnw|@a(#RIa?E^9+|K137w24_N(EAZ zR3H^d1yX@j;Bpnn_3bIHO*sWg#PL+tw?c#Mosa5*YZrrD%Xdr)U%XRw{J?4MgCWwo z@5J+1W2`+W4!-7sDQ3T*eXxN_s|!sv!3=A6EyS-b_Eb6cHLMrv9`jb3>}JHF)>AmB zX*p;?%{XWO*7OlFuR0YDZr0@*6tDlEy)(#d%9|W_=g3HMwK#ovH z(GhY49wA4VMGlZv)^m(3=O{lPxx2>gCacP}N^aRCpt8DO@+J9psZ~?fSY0!%6(?VF z0gBlPGAj&gJ<364O`F==Yc`g- zQ-M?<6-WgJ6ksKKD3giR=rrz`>x5;AI<58|@yymWhE=_Q8q~Lmt=V7OaGH z%|aC?Uljq0*$FZ$4A0vKBUJ3sNfNsdiwQ%!(&~b1*9N)9=WTxewjlaCPk0_{4B9ir z!JW4!0gBmQK5yX{hIW$Jh1j%IAQeajQh`(;6-WhAfm9$BNCi@XR3H^d1)igT5Hz?c zF~mQ}HNFq_lKopMc6WTv+e!us9&X71U7NChOIBeB>v91lWW*FFUljq0*$=c2Hc)AG zp{cI5yB6YC7kjE4`x@2_OQv|iZ#|AOKf{d8rwckfP}w->nc&b)61xzK3Bw=>u3a1C z%6Z#VQb1iPLqI`BOmV6+ZIeWRHfMIz(;Nz3J3u%)VuDjUN$f&wS}KqVqynixDv%1K z0!;-J)L-rFmM~2sz~9EX1b?$#65;HF<VZj%BR2NRR&Ef~S zNQdo`?CaQ{S%dB=TH}w8TG((|VC`)Kd}&cu?2kyNJ=a?BIb9`)o}7Ah#TrMH^}O2k z-c+;UYRIt$8B=4L)I8CXQ?s`3RZhG z*pU*O)|zO(FIxThrlz2}8Tm z>Vj+62Dx(HHkA}mSIQ7jkP%ay{MjKuZdwI7(7dIbv{WD!NCi@XRN(F||6kVkjQ2Dx${tf{1cx>AOK zf{d8rbnE+ILKCh)3bJXB6I~nRdN^gX$w$=6{^(Wnw8hjhi>fS+=~@&!X@0&g`w5JRMSj zx1>PcZ!dB+XWo=)7TgthZ@i%}EW&GLcS@vZX@nVj+6 z2D!%f!E*n$Ao{vr%JW!b(4Hv{{_dav#q0;#2OFrgy3kb9n2hbNh4|IQo+`(_hV??d zVE;~dc>nR-6v>dcd4V<%oYx)S8SDgw659@LP#j9(kwc_M!Ex5;AI<58|@yymWhE=_Q8q~Lmt=V7OaGH%|aC?Uljq0 z*$FZ$4A0vKBUJ3sNfNsdiwQ%!(&~b1*9N)9=WTxewjlaCPk0_{4B9ir!JW4!0gBmQ zK5yX{hIW$Jh1j%IAQeajQh`(;6-WhMuE1X}r$~lWAQeajQh`(;6}UMCgo+0@C5Dp2ESJgy&t$dHd)Jb`>)R!O7KJ zLE`I$+G(jkDv%1K0;xbMkP6(s0{J~qSG$I%t7XbWsX!`_3Zw!zqJU86;HJb-OM_gw zf7?`3KwT+AKtV=Kaq@SY1jtRRAOx8ehIPMCIjC$L^h|JQCy8B%#e`vy1lO(&a^<{j zDk-3@lp&xXBc?djnYKwHK$|nW>1hrHuN@$q9WlYFog{W4HZ2uM1yX@jAQeajQh}xd z3hJ+Rc1xHh5#Vp*T!O#ZE{SmV!Sd(B-QzP~gK%xy--gB?A}~-1_uB_)*2~yUt71Em&j12gv7NT60tI zjMQ+h*~DT%^Nx zN%nQ@ಶs_^cM=fl)EU@;r0lu^-EA~gE)1GTB_?)g1L{Cn=x?+tZ%6eYydT*-P za5dyugN&&$O=_O#$*I}fnxs)jMEs)j%U$$E92X6ii?VIWbbENgBkm=$Y`JI7qVMv~ z?5&&pLJrHD^@Z4;OruYZI6^>9yz^B!U%d93qPlc1+sQlzxnv>p*Gar8>)Ph*x;e83 zEgbO=-WGo{SaI1^76JwLu6^HJ>bV7LmlOwE-JBhF1s6~=9~im~*y<)&n^Lgaqrr}p z*tFi(3#(-DyEI^^Q5e!vfukr;j#5b0kqV>&sldBXK;#z=h8evn#C~OKIy^98 zXjfWYaP8V4SI*m}k^<^V83GD2Vv3VLI|Rs0s~`uOx0I8X3Zw$5Kq`<5+}-8>i@e$_ zI9)AMCQ1d~p90G|`~5YZ9pA13QvZE;yFFy(?@s}nw`S+Dz4K9B@bB6nSMGx~l@w4{ z$`DYH5mTIQeIHC{!WBqCHtlhuYlB=lZ<|UAs4Ha%D9DH@PMZr`e;Xq}ZdwId)|G|l z>n{S7gUZH1&jg2dlGugVv{WD!NCi@XR3H^d1yX@j;7uvO+WPR8zc0JmB|2R#(+d)n z7sO_0D)71r2F~gWpWZ=w!GEPqVMv~?5&$T9a4d}q(I(pFLE_!-jm+M{r1vL9PalRGhaw@ zkvZy+6zAN0~R-sHTmVPml4pdid_;mL5nI5J&|J%UZX)x{hXuT7F&Y=e#9 zuEp#YDul1~6b>G56ml-KDf?h#6Na!Z7f?b*OmXs65ulizAhW`->ZBZ0HV%3wIJA?* zE{imGv4<8Kh8>qCp&{WfyVePJk_|?UpD#yNt^+LU1-b$0* zKpbj4g@c-wgO;g*bM|jdA0hLqQ{muYT`r(_bOeR*N z)3|4@6P6|FwAy>bGkfdhPCONOQwrq$_9B;a<~`}H+;0V?5h~tosxG)TjlGdUu4RfV ze03Majcrs$aMQ>Vvl}Rc`)NU1CI(K~2P;Mld0dxUuoBWW3sszaRRkzzC&;WYJZ~S2 zP_aiRN$f%_CJgOLs|&7O8{`_FxB2(BP)T5dR?8_&(T6_HU`!-SIhZ zD;X$wxFrL0ZOZ;FS%o32%LSB>5mTIeRRkzzKhQqdK&91%rn=VdT8Lj=?5T3>Ygjid znc@Y%^^9_`XvovH#q1U*#IE%e4%Q?*?^@2=M^~_`m^lbeuI367UoX^7O9fJaR3H^d z1yX@j;Pw^B?}577H9TD{Qzl9UQh`(;6}S-vggOT|C5BoW_RLi41*-Nc5RR==WSC-0d=Je0Rq)!Ze8he;el# z{LOYrgtHHpKOgQMpZOYuYt#NVH2x5Qfl9dFK1j>{veF9<-4-6Xv`E3E{Uy5Q;TKFD zJ=34fBP#gRl4A`b!-LE7h^?2u)7zRv;qkk?&mjDW%h-h8M>IzLeVFS?|1SGC>VCP# zLN{*vjW!qPzi%2ZiGq`V6_)V$$3Ne7UaD@v8WTQ1KL661n}TP|&TKF%3^RIDh&NMi z_n9g@u+LP<_lf*z5B=7LU+wY59(R0&1z+q@T{zh`iy!179kxrduVa5^4Z5djjXyqW zVZ&vCwYLrMrA1k>KO&v>Tx-GSbd?}_a_ZF;YaCJ5^J>?7Q_Y5}A;%hIOpR$$^F&Wh z&ED1|jXEOY7oA`3qA%jOXs}$AZA+%x!wVj9FPUY_J#!X)mv?4w-Q*W?Sl+BJ#P(zw zeR9MR0&?P=ufqA_wbvBYrF+><<}t`63z@%8;#FDKHfPt(nKfwPh=1_5_>;kk%dWBz zD7bg+`{q*5Em*sxIN0ju?6@nqfSUQh&~3n0H^JJJg4G@kcBI6n^|oGEC5zvs0Yi<# zkd_Lh0;xbMkP4&%?@3F%aSz-+7GstzN{aY(}(?VS{FH0n$C%0sPuEk5|Lae`9 z>#~}I;1t&70!qk;DNepB0u-|oWL6kv^rjH|m96RUz=WY)X?4N1YlB=lZ<|UAs4Ha% zD9DH@PX6o=AUCao9BAHBPFgCE3Zw$5Kq_!|m;W#FYO~;UwM>~P6?lINEbHv|*LZe( z(+cFgz3I#Mo{JZnZ|{6m7hJnG$d&tGO(g}?l`;erWW*GwTi*v0ns5bDkWG7>=-MDx z&fBJv0_sW`0tzx>iqq!8*5AemkegOPmiOnv^Ys@2%0Xr0pl5?Gl}?mMIgZ0`F1*q3gj-iLOBGrwnpkXCF+gpt?u1 ztIR+g{E`8hriRY>{H+x#q}~;Lf&boRYAD>kDgxxDRS<&A3d1w^!J54(#C~OKIy^98 zXjfWYaBbQTh7NLFXWo9af?^#E6npq312pZEp;P8L<_&U|EpPR+=)1f#d+R1ohg9G# zDUkQui(Ji__oO#*zrA!5hxo=w>YBdIWib-92NGGdC8uZjT0>;#z= zhIK<#IjC$L^h|JQCy8B%#e`vy1lO(&a*fa15AiFiTMQ0W(_Li-3LZOP-QNdn+8dj< zC!t$c4h1>TyrrCr8Gi%#P{yB~o@HNV5r1al%rgEm@+;cZ-k#l8u5X_$-GjjL;Q7U$ z&U{S_6g#!If&A%`3Zw$5Kq`<5qynkH(-g?{?bGz~y^DYPGH2h)`c{ymz4K9BaP8V4 z*Z4kI?%x(fU-wIS9%~HRGsVH*9TcFL{XqL*1C>@6nra%8vE8*0zq;5{<=EG-UZ@xB z-%69+Kpbj4g@c-wgO;g*bM|jdA0hLqQ{muYT`r(_bD?zb-yPF@n+ ziPaANe0b(Psd>MBe#yg;m+DQ(2wA+_R9$dw8hayyT+0+!`06f-8{4Ri;HHr!W;aj> z_tS#3Obnc|4_1sA^0+RyU?rq$7OFV;st8cbPLNq)c-}r3p<<6tlGueggRu^2m zHpn$TZ}aoF1<}`e!t+>T(4Hv{?z}w-P|W`Fc?-WVw3Eax#NLL2?}G`hh1}~_kaDo>$?&vo?vBry z%i@Gsw`72>P1(OCt1yIhxquQfVv3WiiV9H7exQA@fl8|jO?9o^wGh9$*i+@$)v(Ue zm;BzL&2FgRvDQ;KSYI4G@7f!iw+%TIEe(BnZ`)PvNKWuyXcQ~{wccOytaHd|Dj=-MFH`2KC~gB3)7xIY(b+`T;J zpg3(VY~3LjpqTv-`(TvV+Q3xcO?#Z^TDxl@es!^@%JC*i)v#Wu-I*FhaMxmX3l+lG zdI|?MEeAcz{o6-ZuqPO}Fu0m4NPN9eJ1rHsO$FpO%g(CbxdOK`Z*Qf}H!z*_hI%;H z+Fnysmu_3Xna3cPEM)6?QaScwKQtCZ3rGCpZ-e4RsDVnXmzMKw`^!o%xO7{1O3m^yl1|D-@c3QcXApkGWo$z4BO0UrKFoEc z{e*v`?w4yUbmO+)Xmf%7`=;@dC^-37VF{0a{PSJsrRo-}G2sK`^DnKrDR{>0%m%Z< zFrznxcr)d8|0c%+`%IO5pU9u~&~I({)gE8$amQC!@Wme0g_CWw_(3kxVY?*zI`(JQ zpnHne_~WA%He421d)okCT9g(0BhqQlwHAC%R|%pgr(RvL#t~&buXepR)oi#La;!nd z)R-nUPxR!}>}^fbs3RhN(fQ>r`XY{t2Fpd+wq&|Jyx&}LA`k_Y8o;jof2T`CLq>d~j6-WhAf%l<+&}O_`*({`n6dB~Y(*CWLylG*xA84S^ zlUp)C*W#sfA=Y25by>|pa0=^k0VQO_6enL50gBlPGAj%-dQ*t~%GPvvV8YO@w7THh zwLz|&w@oDl)Ri&>6lBB{Cx3PbkegOP4m58m=h!C4F7GW>r*Em5>?IXQ1)i=z{=dkl zYxc~D(=+2TEEPxv`U))T?7m}qqyk4#K6{X}r?jbhS(`NK{@Bo1v+| z>nb3mcQ;%VWV2nuiKel+HOO_HeK4_tHZ5$nLk+~iFBza|YUrHL-`;f z8j6#ziU7H36@(zO!mxfIRyn9_95k)jn-W^N+𝔚fd>^AR7`+H0=jN2f3~@Z$DZ= zn-(rOZ$BCLlzCg4*VeK&GzM*2`%mErvF$5p4h660&D(Zw3UPeB)?d5eb$pZKm-tpH z)VI<|_K^y_0|k~h|KoHj6HC!)+`LiGGTwz>(`NSentf&NRN(3g}OX_-X~@66Z4K;31)r{f~$Ee9KWJ0H~r*RCPVX16KcD>6@okC%_| z#Eq4hjhL>*>=r77yJ-Qs7WY<&ecoOXk-`wxqD}4X*?n@Dx*SD9r7UdEo5yx9u-wF-3cRs2Mu3a1C8s7(N>;`v>!NJ|}Id3Z& zD0sLf19a_;?cX-!P_#JEKA2FCd*KvhbJ01`G$!L9*LCKtSV5Z>NL%c2fqAROGH2eJ zgkt2rlp&xXT{ATlCtno-YF|NfD0uPSx^Ew(Y0ci0P`|P@U6cH|AfFpQlzp67&8J!1 zg^4rE>~rIm6GMHS;)03kS}_m7E3C@}l#s4j zsN&?SB0w?wGU*Fm>&BFFP}w+WSus#>XeWtXh{c3qkObGR4RVdo+x+}(LG*Q=@I2NS zv}cM#ooNrbP6G7sOiimGFQ2!t2tzwb>_Y5qnA}F0Z=p(k3r%DXsX!`_3Zw$5Kq`<5 zqynixDv%1K0@qMLNHVx7F;veW*Z4kI{{4i4@YmP}Qz29P3YtT~Ypq8)sJm($^h|JQ zCy8B%#e`vy1lO(&a*fa1#&1Nr#o$mi-Bo6w;IRYvb;6KM`Mm>~g(0lV1(c8xQ=EKN z1Sn=d#Qtre#5RZmr;~Hx)1i{=(h04 zr9}!R?Jv={AmyU)`nm0@x>l@e1!#H>``4f**1$G%v7j_G0BufOwsTs~sF% zQw#ga5Cz?_hd{x-Yu`7QdTznmCB?y3H)qFP!3EUJ2Zn9~wz>({rvGC}wat!{*tFid zv*Db6sL``$4yiyYkP4&%sX!|54iyl3j+ZN&h18HdgIrhIzqOJ#Eo@fE1`0j7B?ELV zUOE?I{nc8R)f@z;ur3!+LPkt+@>LO_n4KWA!Z4#Zh1jobO@{|24DCv*3$9%ovp0pdN~;U5P5Z&nL9Xk}+mBXItb>7K55Hu9 zrhPJW%DgQmp^(~@G6WQ)YwbUUBgDID73Agfw%uDzB4l&x0Jsg4+bHubRH<*FiR>X2 zcqa-hZ~n*WRwkCB)3|wqoMp>f{Ve(}@66u1$|Hs*%=9pqYOl#(&tsbZfgzYo12(!&kR zSYteUrZ~8os{qC9%gwsrwbr8?R5lKJCOEW{#4f~Q!Z1jJYu5(3#^>#a_!ZSH28XKY zt}+7!j~%e??*le%%Kj}Gg(0lV1(c8xQ=D8?P68COA86iE=*5h`0emRq&rZ*>FSCe0 zGjV1ae;N4|ZEA1N?km@~&z9~%V0rNT;!kJ3CI*U~+S@?>bV&scuE762slH|1`lOh2 zP6b|D0eS5LGJh)YR0VQ<`&7j||Kgpz)Va5^z7+&%?|f7jT)Q^NHNFql*jertgM+){ zbKZV5Q1Ea|2I$%w+XrjNp=fc4eXv3$ol48*qMrj=1C!C61WMF~>pJsRytKtmUSQs; zvCNsbCZQO)FJ%ZQNZ0HlC{DYJQ8+6M?JH;w1+QoR-l5r>LhM(zro*Fe+IM;A!RN*g zWgjP2^Jx}$VdBg(``q|z+SJ}&v$4#b3Zw$5Kq@exz_Mr^@Vt_XOw6OxxM!{tSUtX` z&Ft+p`^wy@z||GV`|U*}pLtJuEB9MLX@p|V6?+65979^NSux19OmQV+XXhiXjNqmb z8nYWHgu5jJG))YhvJX~_81lF-w_qitYZj_F`Kky|%ubM5VOTe&l!MB~LCcDPf_RLi41*-Nc5TP?|13latIe%0Ay9B%g~`v~Zsb6kRlp6PAS0$Y?Jh>KlP9sE!A_7_ zVOTGZa!}bg=$YUUw@|rUm%F=jI238Azzr!NH&knunhK->sla+IiB?WTpzUa*0Jhg&j0*QV^>l2sVOx?DgB z88OAlS4Dtgc7n_b!>jFsk-R040&m*mMAzC~3-POqJyni3Nj5W!dC~Zd2x!-0b_*54 z*Ln&E>-FGy*K*!Ix`JKB%t3H+HCK@MdYxy({i_DYv{WD!NCi@XR3H^d1#VP<{2r*Q zUAWWLGG(GvAQeajQh^&$K&W$YQ(~y4L9X1tZK^4tu9P95AS0$Y`MXU5n5Kxd2Q=IBd+awX7&6(ZwG>3xM z4iL_cnBde-61xzamI~aS0&;sLXT_<&UC!H_1UIaJQoFy}*)3tZZT=Q;{cpS++9eUP zJXrpGxPOH`IP-OJg=^FPHZ=Yafq_c6-#$po{<6{w4&4?WxwJ^Zr2Qqj=HVAi9X->Z z%_A!K)RJQjB7=J|6r^XJ-qs`vkKg5e2H{6s#wPSWqA}|4!(3PTciF#D_scaFx^dfY zw7Ee4ebabJ6rB94u!P4y{`u}sK*PFRKndyE=U-ZLQ;3h*nGI%zVMcEX@n*{HKV^lB z*=MTc`$Yb;B(xR-`ACXRbuC?HEx=Ii|IrZv_HI69jd9~}ksb<5~kYf!prp7d>d7>w$W^Zef zMja9Hi_R~1(HC)CG*~Xmwk6Z;;RTPlm&~%|o;i!Y%R95TZt@E`EN|8qVtX=;J~`qD z0Xgx`SHUjc|8~|C=5oL6;AhiLhPKxyN_=&(=l(j0S7lw>h#lN*&a6QIP2+9xC-YFe zYTA`61Pbn5`@Xru+_jk9LWOX*WPq;4OXouDu3+KphzU+%T`r)6jF{r&t0F)#J3(fJVMcEXv0vGm z4i8Ki+LcxpT)Q^NmGic#q=33ohJb>MnBwHm4gqr0D#(H6E#;)80;xbMkP4&%cX#>! zBCj?JPFKs6iBf_0r@*q#et(T;$2YA&&fA;5eDAqj{v!86=ZpTE<9g< z5uhAYHV%3wIJA?*F2ts#0;xbMkP4&%sX!`_3Zw$5z_k^~-vp0pdN~;U5P5Z&nL9Xk}+mBXItb>7K55Hu9rhPJW%DgQm zp^(~@G6WQ)YwbUUBgDID73Agfw%uDzB4l&x07y#(Qh`(;6}V9amN)<7R4)@t(P`Yg zLC&(}t$r4Lmv?4w-Q?+z3cMu+@_u`ft2y(Yl=oZb60uovD4Y30l3AUWS;X+pd`%40 zT?TxHTm&zg;Sv{n1RHb1{SI<1GfK%A?^K;PEaS=u?pn-lp+dM@GCVy7&+aSN zx6hXDL120C{Nhh%z9t5Wo!Z+#{&YzNQh`(;6-WhAfmGmW3gr6sX?pqI#Xo(Svu|R3 zYx7KygJ$C0rs{%g*9N)9_rV&Kb&J8l-SIi^?-(d}xFrL0E%$Hl6p^aNUB%2naB?+Q zkjmw{+}#zNoO#xi#*kP4&%slb2&%RjaTJg?*;6Z7aa?wRWZR*$b~Gkbf@zA|?zaCHUp zetQwgXWoq6Rt$12Q(Vc|+4+boBe-dV#_R?P;cm$QO%p@+ z8$m9YLR9PEpk>8C!NKJU0R`!raVk!=noSY`+MHSUpA>{3v%;_ggtI*><{&t=lf*8> zQb+8ei^o?NPIT=F1sRvZ=WDnMlb^pWh_uSV^H^iho+%El<|hG)*$FZ$3~N2gL1p8h zXM#gJN$hf-u}dBBq@@D4p@7^*nOS8jkP4&%sX!`_3Zw$5Kq`<5qyleT0inOaO^G2X z2Dz@Ye@n%6k7ieyfr7^lU|V*`rtII6Ss22)TtEpKF~!MOMSx=VW&f$*^=kWI_ql}{ z+LcxpT)Q^NmGic#q=33ohJb>MnBsKP^LC`m^hpI$fm9$BNCi@XRN$}*xViPj`+vl2E`wKF;I#1+Xrdc zUsig-q1(bEmli3Qw7*2xJp6*Gqi6cFc|--DT5_yGWO#6S9EC7lM%^#hSm?%WztQFb{r64dB~ftlufh@@|M=&-&P&xTSYyHm z$md^Lb5rn)*_jPyg<(c-3h`#j)t!zt9UjWVdv zDC>E(>%FOF!_|;u4Kk+2G^u%_C#Pm_Ym!DC5%G)8FL%)waa=T5F3Pqg)9v8}kGPl2 zvgMvRi@wV{v$t;Y3psG}e?`+*%>De-Iq}ZgoiAQ{O;KICVxP=okV_V_b>X5M`>_JV z>oi>L;NTi>i$58npgZ;uD7bg+`{q*5Em*sxIN0ju?6@nqfSUQh&~3n0H^JJJg4G@k zcBI6n^)@gY?kmVK6}T-0%59aK)usZeKq_$K3JCSb%azSSYN*vgt}E@|TFILhHY;QU zg`V7!0lF41oeQ!4YOTv^4uVrymkTH%Bc?d{st8cbPLNq)n9-X;>{qs?!vhnBcBRz? z*RBn6<-BbwDWI;DA)p{5ra1YtLx9}03UZ)%OF3z&Kq`<5qynkH-Ch2_$g9nQ)73I% zqEsLiNCi@X!z&<%uP_Tt1@;Qa`P6xA?|f93b3ktxPi^`3Nm7f)2+|jkw()e6-WhAfm9$BNCi@XR3H^d1umsP z{=V!|N_KfpU5^7elmpa|C8Pq+Q$PrFH(V5Cvt7c8rm?v-$aS54FtLI*Eo`Q0YCYGYpxOtp={<0NoI9gW)Z_X^EEM0cNy^MxX2klk2JH{A@cWk&H=q) zkZYMy3SDRCBd)Ah%NdW^4HjJ7k^#Er>l7C(UB<=X>=@SN0y@flcJx(=)7Bu%Qta`} zeJ~=0A#TC1Y~6NS!k*9prKbz1E`;+ehmawnb&yeS3petVJ2IrE1t)plM>LuTxwwFA$Wy# zxquSVH49aod{qP}W?v?K!E4=^QVuE`2Q4cG3J&cgu?w-Ji#>Gl`0B!mu05e3<5Ku) z*KTZn{ZBkerZ~Bpp9CmoC&;WYto0}dm5qa*2@dTfu?w;1bmu2Iqynix zDv%1K0;xbMkP4&%sX!`_3Zw$5Kq@eS9loV_(B{gRt=%5rVrG zvsSFk4-xG=byD@c63hHod(q@@C>Kq`<5qynixDsWf@@_V4J zc4AOKf{d8rwckfP}w->nc&b)61xzK3Bw=>u3a1C%6Z#VQb1iPLqI`BOmV6+ZIeWRHfMIz(;Nz3 zJ3u%)VuDjUN$f)G`uaIP&mk4K6$O_2{;iaob*2KTKq_$43Mke4tDW5vrrYRm<6N?k z?UI~<2g{!icaP6}U0f|3@sGa^ia$hPpc3o157M%~tn`9Iw}nS8EmAOPe~GSn_yto( z&-7>WhzdTnFH!GYCK8GB%<25sguQALhE!zsvrOx?irb z(2d)Eqs;~S@0-R;qTu9Tg(W=x@y~aim#SN^#)J=$&%d3EKPrb@m~JE0k1zJP<0~xqVvp*=$+lViAQ$PdU6Oqr`!j3MJw9prs3qGf-1ksaIudZ0*h_aqnyWX2>He3xk)*xeQOp}@? zdU9&^wkB!R5fQ)W{Bjq45ywS?<)Um`GTk0t@Q8cKEL-lGv*^3LGkfbMzmNkr|5r49 z#oW(NofGe@-TC6R*A&&IEB47e2DxM*TNf_Mu^%fyyiUW_4i2vIw)m4F3c6ztfr5M2 zzJIj#QX+Oq3THQ6a-wOrx=|c_t`Hcy4cO{tTg@hk8XBZsTfoD;7z)z0c5iDEg=bS) zz4qM<>5vK>P=Rv5nzE!+AQeaj-mU^dYw>brv&0xuVUTOtc~CevN$NUgUFz&twKa&~ zuEp#YDulZw19UB3Iu~Ns7LKnWQ!#i>@a;S!+DnOzI!Q1IFT!r2iM zoZ3lZ7h*AC7$m{9YlB=lZ<|UAs4Ha%D9DH@PPaa9M;c9^R3H^d1yX_cpg{h=$g5rD z)73I%qEsLiNCi@X!z&<%uP_Tt1@;Qa`P6xIH(V5avt7c8t_^bKK3G#p0d=Je0Rz=s)xoH)IAhW`d`?rCHt1$U{hs``;&crhdv}cOLt!PGHrplLRO|x|a$RTtR;-{+3w5WG zT{bgea7zYgni@Li^S4%ykUFf(1(cAknHq|duZjT0>;#z=hIN}#IjC$LG|k8bhjxL{_=TSjC>$T>_UNQsX!`_3Zw!zs=)H*f1K)NVktU}n>XrNw!GEPqVMv~?5&$T z9a4d}q(I(pFLE_!-jniv>s+E`bICzo>D0^@lFaI<%p!(&=4)c0?lRyrJ!VuQw0!qk;DNepB0u-|^ zH|v7eGxu*TQV4G+iCu`rgkg{b*RBn6jnCT;Id2htohLkxH3sdO;^5BPlK{o+2b#AO zdNJc~03XWuv(vNe%Piv0Oq^N9Uq*gKo7&s6`^xq0v!#0wSROpT_|uuMiGgCL_BN0| zT~dKmAQeajQh`(;6?mEgxxRgxUcPtnPhaNjn^@o4JQL)gnRvIUy5QQiL9X$AutsIw zVsLPGe9qfS1_~Z-$pBr;{o6Z5q^faOF>?@{T+J1va=9*eV8Y;f=-pk}lHl4jCS%0q z6lC5CU#HUe6-98<#L$@CKpgy%0h*?U&Y8C+p^(~@G6WQ)Yo>auf_Oi{_}uuR?Bm30KF#7TOq^L}pBsNoo7&rJHkP?lfm9$B zNCgHISpKm!;CUq%nV3hXanD>QEKAgBwfBf;_SVatcq;Iw6v+GSMK0&ed(vCE-wH}2 zRJ_|%U2tt0+Yf_W%M@4mIy)b6Wdt{k(3ssoA>1t)plM?0ej~``Qiy6D9JH($C^)!W zA)p{#Gfu^+Rot5kfhH{#NCi@XR3H^d1yX^-Dv;j; zb+t=#x>}}8lnSH*sX!`lBMJz04sJ>ewKT|;`?pOs1=N)?1QcY%6eoYTNr2q63PO-s zVOaMIm4nL0LC*w-c9PhISWFlONpS7jAXm=Yrji2cN*MwQGGdBTooSmS0<<}^o1W%S z@Y(^w*%1?*+DT#;V%OKt`FRehz^y2--1l##+^jPdNCi@Xn^r)n-e2wPmN4B$e;enL zg>0AP3_Mu=e7Jjj=Ii2W;fR0yZBYCn0t1y;zkQIF{bi*W9J(z$a%qu*N&8E5&BHI4 zI(nu*n@3desU^o6M1}{K=Mh^kf2X%KiNfP|d7nY}5tp$Ey^m;&`ui}~mHu7!Z`A#A zjfHO9_8V<3(0|`FUJ?Z-|0*ou@sEGL>%3Imf;A?5fPDU?H8%y%n4Q^RRv2dVrVwwY z9Mhz5c1_1~>@!vJeIkF_L%+4*S9^T1#~oi`!54c}7f!a#;s?1%hwYN=>)4-JgYGF> z<}&zwcy<(=7EH~EDexcR@L z=_}@be(IcfXYI}xuf3+IE?u!t<}t`63)we!!ZPuHlw&_ufOwsTs~sF%<89H{?-X>$ z9s&jTu6_S#?WIKQk`&Ia%QNMmYqq*koP4ej7`hGE>SkNbCW#svq+MIU!@U>^(zSMP zYZ8TLQ(3+C-3;lF3LH>@a=@Chq*NdkNCn=m0zzx?a%Ho`7*b)7YuR~FI5$b^I%Zw! z>{qolh~Tcp>=r77yCnm3EnYeoV%HXwgIWg%Jrf+lx?DgB88OAFRN(!heWe6z9h$&9DK5s`FO`lXC6-WhAf%l+5 z{=dkpUFFl&GG(GvAQeajQh~!OAcwCo3rq#}3ds4?d2}~i6nwK?!ila8a^*f)Q%M1J zr3?WD88O9ab7AYAxd6Fo6@(zO!jSv7cYN2epoObg{@$UW%{otb?&_o>=IDkVrKn+k3AlG&FZ^a7Qv`}{{*;X;{TGg0vtl z`()shd0VVdA@iO-fy!jue zdYM>?PUGf{dX_D3^|R=^yfb_2CQpY{;4LYT_uGqH&6)S4yx%&PXxUtHkXJf2^Mxd{ zdMdMs;hp)K7^u4p_;g(4jGsrE;Sv{n1RHb1{SI<1GfK%A?^K;Pe&Wgq?pn-lp+dM@ zGC=~?z=7V&2$&Mf0EBfp|e z?d{opaZfmuEvu0!HOUlKBQ3Lt;hp)K7^u4p_@qlJ@QxMuzjxewww?;40;#}370C7N zB`!?41WCm4Cf2t$&x8hPCf;qTF1U7WkZbuDlfvij$$Z`Ti7V?C(`GkR@Ni28=vwaI z-YFv0E7(=c90Vs9TvP>>A?Cz{3#8|1pqycH{G)57L8U?2{D$pB4L zL+8v}lTb+QN*MwQ(lt{y}e>znK~6n1yX@jU_^ms(K_OK9UqyPMyGMlTqi6`)M>T% zh-dcJ%bj>C@TL^V`|U+8=gfQ3Te;r~N+Z-}hiJdSF{CA%6@y&M6jzJr?R>biIfToF|zD{w$#B{Bghu{^~LO_n0=Y_1+R5uN;#-(9JH($ zC^)o}#4f~=F80vH>pbDPtCNC^nBwGWeiERVoglNq zu-2m-R5lKJCOEW{#4hIY2MO^N%6&N#?*o&8&Ct$Q@P$_x}db^zP5LpEjqmdwHs*5v|9$cQOUzA6F~ zvoHHk1+Q1z2fNQL)X=W9y5QQiL9U#)O(g}?l`;erWW*Gw+nu+C^3zg*R3H^d1yX@j zAQiY_1@e2Ku6FTGSId-%Qh`(;6-WhcL;;~rcf&n5Kxd2 zQ=B#zwtiq(fZVhSa+LjBD@CZMU1@c}wQGZ1Id7Xv3aBe(2q?&iDNZ*%Z@N9Da&8&>^?ft?cld@E?LNSNzTB7rQL_S$7jBN zsAJ)XfBbDw{NWb^l~})hke2;rr57B!Ej)5*k%CG4OLWb{FPJ)drazlURPd=K#~MV2 z2bbp&TQ7g7w>62v<9B(VLHH4uu?fA8XpH*%FxQp-UG{I({c??kZrt`8Z7$G%-!xtl z1tC(`=~&a@fqkY*zE9*& zd+4_|{A!Oc_PFCKEcjxN>cYvkS^OXu>9AdreI5HVYtTJKYy9z13mYyAti5f3FD=T7 z{SoQ3=UNLsr>g|flT)v*SmTJYo>#lxn`$;(4LQ~zV`@y3nkRa4YWB7!Y19!Bzv%pO z7kv@OMT6y{Y+Ewj9$xT>d&w+Y?wPabySy`d>n6XD12_LyG=0U~&rh8b@2uVV;19UB3Iu~O7)moR;90aGZE*DTjMoe+?RS}?=oglNq zFrznx*sp9&hX*DM?MkZ)u3a1C%6Z#VQb1iPLqI`BOmXsOhXA>0734tkmU7Zkfm9$B zNCi@XySw~kYkM5#b3kP4&%sX!`_3QSW#U~cbxR2N*kHprFxU`-_j)Ri&> z6lBB{r(53#6Pj=ZQjkr1oaovhSI*m}k^<^V83GD2Vv5t|!q(r$2#}jrL2~~V71C0H zR3H^d1yX@jAQeajQh|4oh$Y8U-~P6?m5l2wk^#KB^0@O=CZ0kn1}8U}6RN zJ3f4#(@YrLk^!2ghR*r?t<@u>4(oCOC8TSnhT`O_B0w=aL1u+v{Xnd8P}w+Wnvn|* z?If`av6wInlHl639}FGjy3V})Xa!YGca<3^_V7yvXxb-3r_9@8g$k*61z+I5CAR+* zPMlUL=;iaa-CIo}WDDB|OiKk)fm9$BxIqP$H~-^QE)z@9Y23U)&a&mLeinU~cV=(h zzK~>APh}P{yfa@D19g`HpCK2)i)OgQ z#U8=N+;G2xT+577GR8Yq=Z&AZGJ?Anvsv#Ymq{DJ4x(9EG7(tB)E2MkZXM2e#m)?=<7V;d8{#L&lCrD-kt;~WlTB9yW?}-Rx(iVa7za0TJGQ8DI!&kyNa2E;N)tqAeGB?xw|VkJ&EKT&>EPGZZ;)q zSCF0afnvzl4a@iyg$nw@8JaqbkXGJ=~%mYCf@A>1t)plM?0ej~``Qiy6D9JH($ zC^)!WA)p{#Gfu^+RnHh5~XMWoDJBKq`<5qynixDv%1K0;xbMkP5tU1%&PJ(^u* z1_~ZKfNj|!o3ejPW?=~Hasee|#1toA6#iqlQc+vW8$(ro&q0>@B5>V5wh^<)*Pz=#4e;*>tAz%dn2 z%JNq`yN^zEJNRv!OBS+Sk~8pNY4_po@tLn5>R349AAcJZfB3~fCDv~rq-B3u=>>;w z3y)k{q+rti5?%A~3#N{q>Cfg76?|&Ru?CUh!R2|x*2~}NZB3%^_+8#-5PrmEY(noN z8l(O`%yp%Im;D=czg%OX8@K&Nn+x>cH;tD>!O6c0OL+X_pYJ*^RkvV`2_GPze`(E4 z!82xOHkcKL8NDgQn<-a!I@WY}V4tay?-Tjc9{Q~fzuM!AJ?{7l3%=N+x^S{>7C*>E zI&7C@U&sE;8gx(58h?D$!iLKNYi}FiON+8%e?&U%xz>Wu=_*0=Sch=82x1n!T+_8g)d(FFL>6MPI~m(O|hK+m=kXhZj8JUNXy;d*&?q zF7M3Vy2&r(z|H>^ONQq7B zZD2OsSCC^WkP4&%sX!`_3Zw#&0s;^(S2hc&h+}hpkn2kOw^rJwh0O}tK%sWGWPq;4 zOXotYzgp|EnuFjJ*5v|9$cQOUzA6F~vlC=i7-saQ5c`#_>F~gWp zN(!heWe6z9h$&9~><}O~t%4kA-cn9lDv%1K0;xbMaCevgFY;=$;B>W2nJ5)V1yX@j zAQeajQh{j-2+ZxBkLrSJ*9N(AAFQdQfVxtKfP##e;&ki#U_ukFKnk*Hj}u)R3g+)U}W15wja8P^|S74r*EsTK37nDf6~iJwoQNE*DTjy4LU3&tlgUuTDjahTI7kP0;xbMkO~}Jfo0KpoGN8vDLRdtH^^DG zyw%U5@AA&v91lWW*FF zUljq0*_WGj!RvYZU_=T-J4x(9EG7(tB)E2MkZXM2e#m)?=<7V;d8{#L&lCrD-kt;~ zWDR*<8;^HE)J?b;yM_&!); zXSrJp4(^W6d0WXq!NV;Xpli8*d#8w0HSQ{A4uX@bxq?(K*X8c6;PfPtb3kigGP>E6 zs9iyJ&IgJiUpFjYuzxGYvrAj-%%+O$AbcR3H^d1yX@jAQeaj zQh`+9?J6L&Hn=Ggl2sVOx?DgB88OAl zS4Dtg_CxH0QDRFV1>UsBiLSM~7UEYId#W67lI(uVkn^@6(lz#P?}SY2-JXqR&xO;r&>u=2$j`+vl z2E`wKF;I#1+XrdcUsig-q1(bEmli3Qw7*2xJp6*Gqi6cFc|--DT5_yGWO#6S9EC7lM%^#hSm?%WztQFb{r64dB~ftlufh@@ z|M=&-&P&xTSYyHm$md^Lb5rn)*_jPyg<(c-3h`#j?LTFOi`i$Y{)E zVvjq%!h$dMs4kpro5c@ukq+A>+1If@vj*K$w8kGFwXor`z}nje_|l@R*dLKjd#<(M zbGk|pJvsI2iZzZX>v^^7y{Try)sSNiGN#5fsd=I&r)Fe9Vz zC-WHOl7-A)C-JJRYn!v{=FA$jaKt}&Tl~pj#bsAn2o&7A_I-1y=N7D8QXFh`b9USn zTtLlyVCXhrtD9hLO2KN620Kz>(|TJktdhm=(tx2xVMt2_j-o(0N+DTCDv%1K0`Ecr zq04x=vRPsb2{OoarTtqgdDB8&GcQXdp(nRwfUd<$=R&N%TI;f!gWwd_3~r3M}jF_t$uKe7g!r{rBPR_K=moKLu>w znw`h?&PR2@ziWeBxewMCh;>%&|AzU*q3=ybJAFGy5g5SyW?!0Rd?q#xXr=vK;p${^Qu_QAvos(UoM$_&K8 zFBza|YUrHL-&&zU>RrJX`0rh&hQjTuB0z3h1tG|+Fg$Y~tl67F>{qs?!vhnBcBRz? z*QWho=pfg1=Iuu-DAvJ1v4>wWK+`@MI%VD#lTb+QN*MwQ(zW)V!V%)#vV~KzRNqaw{G%uNCn=K0(rl^ z$km*APkIyg+eiYjlqtCf-tj%C&T^X z$aE?82sZgv7jsa&Hc57|4K{+i7PDKZ5Wdz^IC#8K$hpv_?1Pa_7{a<-KnWQ!#mQGi zfMRxn%nHM*lX6hmIOv(+&`uJ&EYjS?9%}4g3nI^uJ)t1uQ#fnaZtREMOs+u$cP(bO zP$Aq+3(&PUHg8Wt$F3X-f|O>3;nn6X$uDgD4d6o=e|CD7eVIl4nTa#Y_{+$zXj6N8 zc3->(4`sQ&eSA4)z9t^$a*m60E>EQbsX!`_3Zw$5Kq_!t1#*3RiEC3XK@xGiiS@0` zGoeA6iFcc-3$9%o}F}>6-98<#L$@CKpgy%0h*?U&Y8C+p^(~@G6WQ) zYo>auf_Oi{_}uuR?Bm30KF#7TOq^L} zpBsNoo7&rJHkP?lfm9$BNCgHIU~PIRlZn;nH13(}gk_03t@a-A%-(vr6Hf)+lmdCb zy~yR9c~5#P_gg_}gxc*tDadA1f)h<+`(co4nc@oHCdsajMr8yy4YHWsKq1^M8K7xm z=zb%};9915M)*uc7SlUXT=-@r*@Lq zg;?r{J#_K->cWYxJ)t1uQuurgS7Gw=w*`?_Id~px4B9ir!PWdEKruT(W`$v`M>(i$ z9P~_ZXeWtXh&`t}Kgl5#NCi@XR3H^d1yX@jAQeajQh`(;6-WhAfpG-{*TGGRA=W{z z>+IiBaowZYRc4^zu>;ta9kMC=w`3NEur3!+LPkt+@>LO_nEfdGV73Q0P-%6csjjuV z7UEYId#W7!8m=3JFZsPgo83^sW38ufP}6eIvz)h&u3%3vaA9yYSCGzZz$GmeNCi@X zR3H^d1yX^VRv^Cz>S~wobhS*GC>2NrQh`+9Midb09Nd%`YH5%w_ivkO3aBe(2q?&i zDNg=wlK{DC6@(zO!m#caDhHK~gPsWv?If`av6wInlHl64L9U#)O(g}?l`;erWW*Gw zI@2~u1ZZ<+H$Bav;I#vUvm+)rwUfjy#ICQO^Ya{1fm=~vx$oafxmjl_kP4&%H?4qD zy}#PoEn&Ki{x;4f3)wEo8F;Y#`Ed96%-6-$!V&-Y+o1SE1O_Uxe)}LT`^!o%ICNWh z8{!VXe5{1X_@;-y`BQ9eTdLPjk z_4i?}EB(9d->CcL8VlXH?Kj$7p#Q#Uyd(-v{#97Q;~)Qg*LkVB1#3+B0Qvk&YizO(EV)Ii^YB?3#|}*k`Kb`$Yb;B(xR-`ACXRbuC?HEx=Ii|IrZv_HI69jd9~}k zsb<5~kYf!prp7d>d7>w$W^ZefMja9Hi_R~1(HC)CG*~Xmwk6Z;;RTPlm&~%|o;i!Y z%R95TZt@E`aPxmf(^t&>{M0$|&f1+XUVBYZUAkhQ%wveF+{r?=E?ksjKURQvorbF& z99&Zi`^gXm-LZ#2!M$tWKU#Y!5xXRXvzsnC(KK7#C=NbX2n^i@Y<07(W|Kq>4brYH z;Ne~j1?gJ5w>62vv#G3J`)-DGNCgh4KsjJdSyC#H3Zw#WR{^25c)7A!VhpJ;$hGV| zD4d%lbse)Vb@r>;8bol{Vs;A^!rhVqx)v{;3$bep%0aDzgPsWvVO=hugp8QtRIAx= z3DD-ut_5=_ciotL$zk9slcj$oS&S>!A*%ZviWn6EB9}kD#n+Euvp_J=>jT0>(14NOMo_K zcH3R%Q1IFT!r2iMoZ3lZ7h*AC7$m{9YlB=lZ<|UAs4Ha%D9DH@PPaa9M;c9^R3H^d z1yX@jAQeajQh`(;6}XfF`TMdaZ>s zP(r%a{!=(Ye9ZpxdE4$yNgrP?)J{tUQh`(;6}VvqmN)<7bRZK;(P`YgQO~mFt$r4L zmv?4w-Q?+z3cMu+@_u`ft2y(Yl=oZb5-tBocaT>)HS>ievwAACh~b_2ni#0N4ES_h zv91lWW*FF zUljq0*_WGj!Rwj(w-zacx0A#!OZbyW$k(+e6l7cqpReI6%!iz}h_uSV^H^iho+%El z<|hG)*$**qDe=O_-vB<8@n@%J*_TkXZOWD;ZU}$MyAvxQ&^3( z%p!(&=4)c0?lRz$E~&sfR^b2MaqroBDv%1K0tZzf*SD9rFy#^?5yx9u-wF-3cRs2M zu3a1C%Kh7>k^<^V83GD2Vv3Wy4|XR&ZdwI7&_0+@PrK6Uf@{;5jDuX)nYUsE`B!Lp zYrw%T8K7xu=$zj>n1n)VSIQ7jkgk~;ij%L30J&)ugdnrRfPnktnrWDBg?L{u<%zM&Xx!(#(BNTJ4*dy5B7}Ao> zib1YriYpmAJ0Edn1UHS)nB71j+$|ZPX=3PpBgo}ah-w`iw5%8?IJjIPpdei{PQ|HK zvq>UAn=|YFlY$UrRv31GaJFZ~90aF!lGue*W;5kP4&%sX!`_3Zw!z zr+`rL;HJb-bAw#t`(TZo<)MPy9iQ{Il7$NtZpi>$o3ejPR$&P1asee|#1toA6#SFk4-xG=by zD@bQH;F6XKqynixDv%1K0;#}FE0Es}}8lnSH*sX!`lBMJz04sJ>ewKT|; z`?pOs1=N)?1QcY%6eoYTNr2q63PO-sVOaMIm4nL0LC*w-c9PhISWFlONpS7jAXm=Y zrji2cN*MwQGGdBTooSmS0<<}^o1W%S@Y(^w*%1?*+DT#;V$)KAR3H^d1yX@jAQfmT zprHP0XSalD5&`};&L#Mp?UD#*A1r@9+&w&P5Pth8GeAL2*%K~d}8{kWevSNQkI_B!o}8M!tw|bnM8q#TzuZM%#BtGJxhUI~Ot*&@JmOw5%a(iQEc!0* z%-*`mFXXViSzn0l$u#=ph$95##5-Sw^TlhgDXL5NvYpIhkV_Uaf1SjuvaW5;uA4J! z(83Y_;BE0IgB6!uWg$>-@7njxrJh@`c1dxt)y>&)S8xF}^MRq;fURzVwJ8OwJsRvt ziB0Qmy|79aze@v#8igS(6*!6lF(k+!*Om5ft>jG$ zbTebtgR1k`TMe~U82*~GQA*C zc|mN3rUI|4fRKK0Q=(fb`zeE5*VzXXE2!?#>?$)52ft*1rm3NGK7VV43aNJmU*NxY znHmbWuZjSJqeXwS43b9|=nhp<47}}Lq7hIe6gQ0_5*O|8;t)N&31H~SG z$pB6JWayN6TTDVBwJT)^C`i}Ze+oy4chf4!%ja#ox0*!A=GFm_mI|Z-sX!`lqY5l< z{>Q0aCYGYpxOsz|Wy@RrEc!0*%-*`m(;*djOA6%u_99nv<~`|6+;1=4#NmFAG4q8a z7n!3DNpa3y?9|NH#6Xc3=uOW18a4(y4hq7|7M=|EizCye*dy5FTV2dS@!BNW#WvUo z?pn-lp+fjtPvPM4Mj_`yo3al^Hem?sasee|#1toA6#%i%iO6zDv%1K0s{&x z|JWMvypoGd%%jt|XRZ^LCF-==d&Dz)>*Y>76?jt$8;#v1*H*+Iallv zY;X)|$==8y*D}SGjQ*a?y3x|8jNqn`C1y8J2zN^cXqp(h-w1NK6rx%O2Q4cG3Jxw; z2q;L`j8k!{)ohXo(B{l~6%>RZv%;_ggtI*><{&t=lf*8>Qb+8ei^o?NPIT=F1sRvZ z=WDnMlb^pWh_uSV^H^iho+%El<|hG)*$FZ$3~N2gL1p8hXM#gJN$hf-u}dBBq@@D4 zp@7^*nOS8jkP4&%sX!`_3Zw$5Kq`<5qyleT0inOaO^G2X2Dz@Ye@n%6k7ieyfr7^l zU|V*`rtII6Ss22)TtEpKF~!MOMSx=VW&f$*^=kWI_ql}{+LcxpT)Q^NmGic#q=33o zhJb>MnBsK1^R`faS}KqVqynixDv%1K0ynHceh<{uF5c;CnKDr-kP4&%slbgWAk^t@ zxG2bGyMz;68|2D;u%?m%>Pi^`3Nm7f)8@i*F$!me!A+|mN7=u%QiOWil~xyAyEe#` z^R}s^fVxtKfP##e;&jvVc6t4bG@CxDz%dk%dfz`rJy}I6Frt8rIHgZ2a7+c1vi#M~ z?xPdk4t^Wwl7(!S;B(xR-`ACXRbuC?HEx=Ii|IrZv_HI69jd9~}ksb<5~ zkYf!prp7d>d7>w$W^ZefMja9Hi_R~1(HC)CG*~Xmwk6Z;;RTPlm&~%|o;i!Y%R95T zZt@E`aPxmf(^t&>{M0$|&f1+XUVBYZUAkhQ%wv#C7P58Wq8$6N0>tYyTgMdYE4YA~`M}U^z*aZG+LVIT9u0P+#HRH&FdObG z$T1bTEd|PLm7CS30;xbMaN`OH^~cMV%|dFZ)j_T+?cZ9-n-(@JWCMks+>!yh7B8I( zvHohU%W4jSQ&^V^C?O-JIQgmwP|Qw{Sz(ybn?meYwx+`a6NYxB)dknC4RYnYZ7M0C zu9P95AS0$Y`LjcS+_VaEpm|F31yX@jAQeajQh`(;6-Wgxr9l3^>{3d0c}`uA z12~ie)Q}~l0?$)G2y-`F6lAkq!ilD_xi!djoqaH|f;KH|wnGiX!7mx$|Fd^Cxse<@ z*VbplcW~js#S6pefd?G62L`-^SV}FyOW+c`gdTVS9(ml$@UWNi@kwPyE0ttKNUEqG zH3?LzG7ou3o`}i{MOUkuriRY>{H;kSq;{nY0R`!rsi8RestAyqRzV0dD;%~D#40D1 zjgzJ|ds9Lyms`h1Ex zYyT-6A+|k&=1}l@-Mnr0rVz*Dwf(aTUTLX7Dv%1K0(Ypu`sRN-mCM9ZbQ(8r)U%9t z;cME=-mckK=1v7Ju0Y;z&m#HEds5zSogw0P%$u^AFC>}OX_-X~@66Z4K;31)r{f|E zz8q<0w?pLb?<@hm!ywl>qZGQ%&PQBXua*Um*$oz4+>!yh=Iay}EM3OcVQ~!WaseIX zUL1W@;G^sAz#;CP>^vceCy*}58;Qj<(!HPSMR7~Yw$iGjMyfKR%l0;xbMkP4&%sX!`lI|}6b z_8enT&Os7!yqEQ@(BR;vM5M*;`XJZ(8TRJKG0q z$e~DaL;GMtJ?_9M$ZpWN&@?9FAlGH)tyn?37D!v{v1~uu58=66GCJd_h zb-92N(lt{Thc zdA~i&aL&9Zy_fr~pfp15c8HD}979^NH!{ezPI0w}U{7Y-XlYbNaMQ@*@A$xOCLG+7 z0h%U;`Z{%_Y_b|y>>>7u>v9WLLb_(5ij%L30LARl2tf_b-92NGGdC8uZjT0>^HOzHc)AGp{cI5yB6X%7kjFl`x@2_%Xk}~ z;I75&7Ak~q^%PEOT26YF^Y+;Y_5=eL4zA`35|0;Zr=AOKf{d8rV0;#}VE1*>GuXYYgm~NxLj&sRM zc1W@SPnJI)9-d$My0}_7;vat*6n}`oKqc01AEae}TImIcZVS&`TBKmo{t{jD@C&An zp6O5K5fyxD$*~2I;mPnkW9#Mb^tL5Yc>FFOGYCK8GIpVl5sjn%Hq3RQ-^>1qx?jgw z=*Dfo(dG*M`(5KDQE>7{VGWOe{QX1crRo-}G2s*B^UtlhDR{>0%m%Zo{K7f*vVa#~+`y zu;a48+S?BJ(xR-`?~zVNuC3rDT_uQ~oO*S|8b_4%y4rZ}s@ZWhznnN*j`Md zFOIl{fSh>CC@h25UQ<+;?y#NAV~|T$GJl=KtFo?b7T4XCEokM4fAF^WKZ6yQLuDaQ zaPQiGnoB*mVC|CPV5^(O@lbF9HS>X?+kmZZg0(3Ht34X*NQq7BZ5vo6i{B+kS}JgF z3Y2>*IO|OXQh`+9t`!ifkC!XECB{&rgIpKdzqOKgEz~vhx)v0Aa!Us2TD){F#QLkX zE~_~RPGMaxpoEN=;^eC$KruT(W`)Cy-V|cLvMn7Rm~d!UT3vAM+8|fX+oqBN>Pi^` z3Nm7flRrBI$W5ytH#Bc4CoL671yX@jAQgCc$p064u~~4sSf)&r3Zw$5Kq_$a3dqe@ zm<6T+M+IcLv>e+zAJt_E=p6>Rav!WIWYv7P;e3XV2Uu1m^S$qb2~7;nHC($k$d&W9 zsic6qQigznjF{qd@AGz~(ez0LQh`(;6-WhAfm9$BNCi@Xb19JjUUn`eyF90^M>?be zslXc)5Mtd87X{gEmvEtJY;Fy5U1lFlte{;ByX{Z|aqvq9Xqp;2=kvEFp^(~@G6WQ) zYo>?Q2%1B|>vi+C-J3!jkJt9kE_kJ-0;xbM zkP6(P0_&Uq?NlxkOVMfEyiw0G-i5DeGkd#cUzs} zX1y*?L!>Qj<(!HPSMR7~Yw$iGjMyfKR%l z0;xbMkP4&%sX!`lI|}6b_8enT&Os7!yqEQ@(BR;vM5M*;`XJZ%K3HRCd6zgkse3es z$}B>NIsn5Tn0sgYU=2AGp>ARytWZh2((-jAv||W256cZI%nQmJwocRE*DTjx@Kx9PQEGv6tfd#Rygq9dTbx0X+|zM zw3Eax#P;olZ~T02{HE;V#A-gx;x3HoEVIvzU(=@ccFo2zcPfwyqynkHfC4lBd1@g2 z(M67z&UQu5#`K(M<~m_rqCQV~vGbX|U95>rlnUH}0(rkZ%P7peC%u>Zt)MhQG3Sas zf(?!#E!ln;KnWQ!#mQGifMWKW*axG;mOu);YmWt71zt7e zY1?9UixXnEdI~2sEhjz8dHZYxdxC)r2Ul|iiN_1I*U7Ow%R?%V3Zw$5Kq`<5qyqP; zKz{tK0Lm{o?Q95xLP^lAAcDXe~7?9CDv~rq-B3v=>>;w3(s6yq+rti5?%A~ z3#N{q=}+bn6?|&Ru?3OA9SjBOS*N!xiNfP|`ItfY5tp$GeT--v^|xWJ3;ka9Pt^T7 z#zHr4`;9hN=-=-eFNuPaKMHGj{NwK*9t1S3%LSB>u6_QwH8+L$n4Q^RRyfS)O(EV* zxx-!(9@uB9A((*6V8Hy{l%&)sSNgGN#5fsd=I& zr)F=vSg z`DsC#_Mhfb&n;M+gd1q9o5eA#%Lj&T1Gc&e)}|EPNV_d)&C4H*B^I=4?cTN|3WwjN z0Yi<#kd_MEiUN8og=8J6Kq`<5d=m-?UB*kX-4bI+kU_2s?cZ9-yB2mUWCMks+>!yh z7B8I(vHohU%W4jSQ&^V^C?O-JIQgmwP|Qw{S>Z6FH-*@*Y)gj+CLG$8Ru^2mHprFp zwyC6mx>AOKf{d8riv4b5B1NlOJ%fm9$BNCh4q^8ZC%Y!;j@mMIgZ0^gnj z>pJ_}Ydkx?Uj?N8et5q;m<3iU4xpLk%l@w4{$`DYH5mTIY16%(!Mu6P33bL*%3(vQI2vANc8z(&z z9NI}@7h=;=fm9$BNCi@XR3H^d1yX@j;L-}@zn5K1@zcdJy&+L~Lu`ho0@qbQNI$qK z(XEvIltHe`?1PCFRQG5Ol^KYGUot?`)X+JfzqLYz)Q5sehq-_*Q14)BERMb^LU_zh zkXhmI%6%{+7sA^~Vi#gD;V?*oYt#N~=pff+=Iuu-$R8nOHxmwS$pB6JWayN6TdW=- zby$}RC?Q>I|0x_HK4yRWylwZUq>smId)eZZmI|Z-sX!`lhYGB3{MjF59T!>f; zc_uVSGx2Uyb-}f3gIw!xObVa7C-ZgVC$6kpOq<1yxNCl^KYGUot?`)KFii_=P4-#tpPW7so@vq{Cc5 z7pQkIH5Nx-6(KxkC&;XD;Jx+OK1kDyTyQufX+}*3`P}$T*~f|1e452w7}Hs1pBulX zP3`TPjb-jsAQeajQh@;l)Wk|-XosbTQ7IwsldBZAn&(l z8P1var1x^a6_iG(&gRXKmh6oTa;;NbEuyhgwQT&vl@Z)DLj4^dN;MM>Zpi>m6GM+1 zK`xgT{&!bnM1+r00>umR?IfVMqHp`9JdZU7?U~}R8`%0n6QG!#AhW_@t4BGhY@GB=a5yCCMJ1jJ zqynixDv%1K0;#~eQ6Rqu>S9BAx>%-6lnSH*sX!`lCkhC49yTTXeaWGg2Dx${tf{7e zx>AOKf{d8rRClg+Nd#zj<*?ml4h63RAY2_W!Re4>B=U)m@cclM^Y)2Eji(Am{Ck4M>)g3Zw$>S^=dyf3kwe1!#H>``5~*fxtFcx4NEq-Y&~eAdE_%K~d}JK#%; zvSPnSIvu&Tf|qoaAbN7@)fHFdGX?+kmZZg0(3Ht34X*NQuqp?U6Z;sX!`_3Zw$5Kq`<5yj1~#AYQKQ7E(jG z2DvV@e`_W0TG*}K4HSBEO9tp#ymT(aZp(1xq}IVn&jg3CE*DTjMoe+4)$F(gXm{n% zf;kkt4uEiV!~~~9l99+KKEm?@i9a4s!s4fcTsd!J2@MfYSIQ7jkP%ay?tR{lG@3rC zKq`<5qypc90{Q9N`J4{|`y&LqnQ(9i3ZQHLK6jl4 zTMG(Nt)t6o4uVrymkTH%Bc?djYIa-#w7YU>!5j)+2SB(wVuI5l$w*}SqynixDv%1K z0;xbMkP4&%slb&K$bT=pnDD2IWy(aUz&ELY(Dh+c!r$NN3d9D`AlGH~Z^a7ocYN5! zF%u4M$pB4LLw%jzx({ac2&u!mTtErwnyH~U`Kky|%ubM5;qc0RFe4Yj4@tQA0uy`> zB>s4GY5zOUb(wkl(F*cM=Z@_aBNA3#pCseH;(N;g(F19>~Ej9ac_;9 z6G{bAfm9$Bcqa<1Z~nK_jZ7>>r*ZQ}J zB>s2|(GGHr&)W|OxaZW5C*73-OzaJyp(q4eN$wJPinL zniv|h8;C=#r*Kl!a?&z2aL&9neT2-LPKA@Fb-94z)iqN?aq?9WpqQN?v%+DkM>(l% zoHWhI1&2eDX4GVm&yC-deVka$r&-*EF`Z@hx$$e-)ZVVySmsUzQh`(;6&O%}mFP{G zOsqzyanD>QtV`5swfBf;_SVatcq;I&6v+GSS%!1wJ?XvNZv~|hD&B3XF1R+0y^%q# zb&4x|br;2rZB#~Z)5sFD8z_YPX+c^h22R-rD@F`?T$fw064EsbRh)cP1Sn=F$gFU9 z-98whVvl1IE{>YjA^5f{tuDBBZIEkx-sb0T3!-oHgy*rwpgmI@+*L za7e<%QCsU6bx*%kAQeajQh`(;6-WhAfm9$BNCi@XR3H^d1yDdZ95yBVeMwxqHpn%; z5B8S*TdH+;e3oq`0|gJaWPq;uI?-DpcH6sBPHG*T^h|IF>v91lWW*GwTFs72fOc07 zEto^W>i`H>M@(=!BpHd^d@Q%{{6ON5#}?N7ImngswyC0kx>AOKf{d8rbl3BCq|5Y4 z1yX@jAQeajQh`+9W);Zqfx6hxoGz9r6Qu&FKq`<5+=&80o$iKM znBsKT^LBmxj5M1*sld%Bkn{Fth9V0|1yX@;Kmnykf3Srh5Ft0 z0owNOca4`sA$Ds)IjK@|(zL&S=+xyFtWC}h{QPrkZVGNOJ1v+M4(IL-&KKBcs^t4b z{;-FBZ--y(@x>kwe1!#H>``5~*fxtFcx4NEq-Y&~eAdE_%K~d}JK#%; zvSPnSIvu&Tf|qoaAbN7@)fHFdGKnWQ!#i>@a z;}W3Vl|u{WQ1Chc!qpKIoDNAwBA@sO&krR2csvPKq`<5d6lBB{r`^ETU2Oq!(<%r-W`#rU-v%0P!o1~kJ_ziO5bS2c!5t`o zuKD}ibsB6fC`7f6E~_~RPGMaxpoEN=;#8~IaS71w%Ao~wD0m$J;p&J9PKP8Tk?E5P zqynixDv%1K0;xbMkP4&%S5hGVz3gJbpDvau6Qu&*qyj?MhfN88f2S)D8$g3xm)XA+ zE6CsRVH?LxIJhMPG))clb$aVQnAIbs4(oCOC8TSnhT`O_B0w=aL1u-+EBC>STnIlT z;o=KS@I8?DN_G=Iuu-$RDA%&)ZMN?d$Z$d0WDM6-WhAfmGm~D6qcy-%d9&u@s%g%^USBTi@zu(RX=g_SQ|F4ynLh~)7iWk?st%Dol#2q zc&BRF_=zhcxN9-Hg$m(r$pBrOvJXa9;Skp40!qk;DNepB0u-~aH|v7eEB9|LQV2gJ z;o=KS@I8?D<1s`#$TdE1Kjgec_-&r>Jk}VrXNrS6Z%+agv)|CXrO>k(e*^fYj6XX) z%f8Ga{>;RgW&HW&E85iFUfox&Z(l9llfd%i`PrY&d`%1#JGHlg{OOVkqynixDv%1K z0;#~u6v*}M%k=WSi+}k%SKrI}R*<8;^HE)J?b;yM_&!);XSrJp4(^W6vaMvG;Ng}G z&^3R*Fy6S6F5~L3IEHn(fR1u6j=m~!+8Sh8iaqMSoN`jxIO&<-aA=_y^$Zuz41yxNCl^KYGUot?`)KFiix6WHDR7ia&m~@y6=mPZ)rpDsvt0IKQ z>;#z=4!pM>+XrcykqZuoB+aPFAfFq*Df>9FnoqO13u8LV>~rJSw5h#av$4#b3Zw$5 zKq@exz`AH1@VtLCp-T%FC=S4~Z4A;gU#ED(S$c#U zzBq<;xqxo5y`PJtuZj>Gv)|A@*g&P#g{HdJ?plc7TJ1C=2&1U3SQDxg6PSq zS68fYL|Lz^jrXpa9alq+Ey$P})1>B!o}8lIqJWEBU++%@s|rnEUmqbK))9ErW*^!YQu?Zkoj&$0ZCGn|3mEkV{q~ zf1R|eV{zSG*@9M%_y=!`|1(%|IaC$`1^2G~C(=m>bqiLIuG#8FaXJ)SxN7DDL$?82 z-5dv2Af;gCNz9Iv*o@vDne&(mqynixDv%1K0;#}T6%YvG<;rfUGn8wP>q7gtR`RZe z-P+wip(nRwfUd<$=R)kZ3|CHS9h~$`a0u&i0VQO_6sKCvj!S@cR}L+hL&56+2v5~ei0;xbM@GU5i z|1a`lqkOtprc9IyqynixDv%1K0;#|>1q5by!$m=M+a+A++8|f%gEf^DP*=(jP>>N* zoOT0SceMq`O{*XTnH3JXe;a7H3G@ALa>_&2X~+Vy5{e5*J-e|pb*tMx~%3P zIE8h&fD$rdic_s-$0b0!D~A@$q2P4@gsUSaI31FVM5a$FkP4&%sX!`_3Zw$5Kq`<5 zTuFia_p*x#f4W$vOq2?IlL`o3A2ucY{hh8rYyb^%U1tAQtRR2Khix1);oz1G&@?sF z*XgbMU{;TiI;_hDl#s5O8j6#ziU7sz1ep~MuiOVSav}VXgo`gQ!S_Jok4KmGzvEn& znYSOUAb*73K5su6x3AM1=WPkcmULJ=UXOU=*#1*ELS)ST_IVrk)~Gq5R3H^d1yX@` zqQLs*e>>gC#8Pw`H*eIlY<;VrMc?I}*;_YxI-~;cNrAlIo@F#=-jniv>kQHQOS*$x z>C(&>lFaI<%p!(&=4)c0?lRyr_|%C|s=@mhm*et!ZLt%x)kKe#rn$Q$y#>Ta!UZ?aL7XN=VmC4aLbzcPX*qU0(rkZ%W%%TC%u>Zt)MhQ#k)<_ z1=psr{V>S2PH}~=?xMJ{jmija8d+j?1BGxuElA76z$yD+#fTw~>v9WLLb_(5ij%L3 z0LAPCnH3JN+Xo|5>~T!O#TS_1+pe^_;M%o8uJL)BpT8}LzReS!#~Oq7OmT4M?MZ-Q z_P5Vl_=UqE2^Z5+fm9$BNCi@XR3H^d1yX@jAQeajQh`(;6_}`iz|vlB|y6? zhZfAC;B^3mt0N{j9g>VhKJgKrA4vT1coG&r9puV+8%t=2fVxtKfP##e;&kuxcBIkt zNd;1YR3H^d1yX@j;5HS=?}575xSTGQDHEjvsX!`_3fzeTLY?l0i-PR7OSsUrL9W~f zYbq(Au9P95AS0$Y?FP1PI17-QRzV0dD;#qFHqdYrCjZ@GH&2)|@yr74nc{Ho^S0My z;;BF?kP4&%sX!|54J)AZ?yq*X6=rq8wQ0W^I>;p}(ILqKJXyhgczAy0>n{Z>NBrY2 zgZvL$^nWpoSs#%e3Kw7*2x0%MJ1)<;BtvY%1Grw7F=W=Bfw$?!a5 zd&J{o+*=&uA;QJDj9m*}{O+3mHq6zZHk-I_`n~L*)+ku*Lj7+00B!sCyT(hR5WBUY zoKz_}Y1-dEbn0>o)+Xl$e*U>NHwCwtofgarhjaG^=L_sJRq}lzf7nC6x5F>?_+pO- zzQTep_NXpgY@5Xoa*+-@Bstb`ys`y7QnZdgK5JpeWr4M~9q^?^S+UWVdvDC>2#@!nOl<7&vU1sPLgn$$eelT*}N6mXI2>s|CBxrUO+kk{*+^mSna zQQS*r*?P~MMc?I}*;_aHnH+epxuWS6bH6@yPP|3CW$@5KIOWw)|Mldsgu$a}CqoCh zWFHp-`qWy(aUKq`<5qynixDv%0HQ$S#LH(V5Cw_U=8t_^bKK3G#p0d=Je0RT(R3n(EYra0AVc3c9qyK-p39130sK)5<$g3}?%NM!n?0;xbMkP4&%sX!`_3Zw$5 zz?Brpe=ob3@TZGq%0#KaH>rTo^MjF5LoR|B&2%&?30^~(KQixk2SNx1j|6MPRO{&)=04swmp z+YdQ!5q_H|JdZU7?U~}>&fAj!#q2jUZz=R_#@_(GDdW#h&$2JGh(9xNW*L8e`HD8R zw^#R->)TgL_av}9d4Be%GhY(}#ZK*QAb+}~0ynO}|J`_fSzaoT3Zw$xpaQwRJ;$Jz zbC5(F?`3@}G}zwxs4lp6ZIEkxAFQ#n+${zNcgJVhRx(iVa7za0n!jHdZ`?_jadlW6 z!@68RN4XbAUzIp*4YDl79(7+%IjL-%^h|I#w9t!sh70Eh5`R3Ng&t2CutBcN%v-U7 zs-}m^48*}N8K7xusISvo=dBegq&^f(I?M%hfqDm1V{!CV5yE43g3JmB-dm6DgEY;^ z1&2eDX4GVm&yC-deVka$r&-*EF`Z@hx$$e-)ZVVySmsUzQh`(;6&O%pU9=8(UdTlz z=Fw@~GuH|05_MYbJ>r?Y^>Qbk3S3ozbMLq2{q`)wIrEx4h=t+T8*6-WhAfm9$BNCnQIfWUFsl-TXqRTo^lHpn%;57yXO?iPbX-J>~F zW}x740BC>6e4XMAXBlEOr^PX>%LR0ldvWwtiPP2~%Tnxd)qOBaFVqn0uxk(3t_^bK zylpBepsti5pdcfrIPC_uzR(27O{*XTnH3J#+`lD%S}JgF3gkZ6y^UDbn+l`?slY@9 z@_V2rio2&i?N4v^Y+YyHY<*c+Dv%1K0`Euxp_lf~M|HuqYlB?557tytKwT+AKtV=K zak}??Frf)oAO+dA$Azv9a^<{jDk-3@lp&xXBc?d*1~&I^M|4Y{R3H^d1yX@jAQiY5 z1(ZVl)z0Ccpu0W&I?g34*&)dSJXzX(czAy0>pzaK9Py9842nPeVxSW1w-3^?KdtnF zL$`%zE-g|pX@7~XdH4lWN6+*p^N0#QwdB}>$na!%p0V}v$G!C;pWs%Ca2l7f6X;2# z|5Se)=DN`DW&d=>SZKs;-|z70)qlThyd(;q+fXYfRZ32p_V*8sVIk5jSeu+1`1$A7 z+!Wkmc3Lni9M0VvoTFyBKT{>&C-R3q^m{w}VvjHOc;G86_+pRh!o{{({2&+UutSn# z9mgwM&?80b_~Ww{c3c)%d)onDT9g(0J<{pOwH3Uis|3-LQ?IUA%vtnZ-gESJ?H+iq zxuWS6bH6_IwFm7S6YuriFOf)~JmZP*gre#$^kE5uN7GJ*4syv#bV#xQyE=|nwxE?G z{=wVg{|r`K4wZ#K!M$t$X)g74Eo=pAmlOwE-7JoWf(xjb50Ip5wz>({rWCBi$LvUn z&FJlsIghD8Dv%1K0;xbMkP5t20f8W1uI!dNL%9aIF0_AZCGT3;t=$b2dU8t!=vusV zF2wFuwY82et2qcxVO=hugp8QtRIAx>3DEA!p#^g&cpU)Y>WB$Wha@ABPke;u2NHig zo`l6u2f1?I#u6GLpsti5pdcfrINkfa9ceUuQh`(;6-Wi%r~qq}o45Rbkrx}H)5S8q zEzw=f+qbot(WyWxkP6&{0{l{$mI~aP0yb}jn%xZ-1=($vaG`61T)7X{R8l})DMLU( zMoe+q4Q$=j79cmRf~@zs!t>m}4K&<@dCTW~5ZE7~b!jhnxB~^yHGiMGPJ^ulg{aoi zWi5yb3GJR5kR3H^d1yX@jAQeaj zQh`+9N($t^mt9Qw)5S7nqEz79Q^58zgsu;p68`>9S0FZk2DvV?e=AmyzvII;j+t<9 zO9p6~8tUux)_pLmM@Svk@s*_g0AAT2M}Emz=b$n1q6ZD`f~MNY~nb z3P*@{(<;c@=WW~@{AsB`Dv%1K0=K2Wdfz`=QR|}hYSy{`~%YQ#SL3B(pj#vxwon z^1L})Z?ZMR5HGC$=FM=wI1X^FGfK_->kg3{+h8NOYusT3uLFAsu)Q^8Q})5gEF2C6 zZ*{qV5;9_nldp;Z#q8_Ny5Qwc6Of(>4u>SYsAsrvejxG3<5{SGI>6T1 zSN#!M-x>sGx8!Fm{;981T(I~w$E%BDSeFavk;T8VIJ&Bwgov2^hUP7Wp3V3hz&B<5 z+38vKWft*gCeAG5&o5umruO#gzSf)AtK-iexZc&z&I_STVKve+ix}RSuZe-W%YaY1 zqynixDv%1K0;xbMa61a*`t}@SQO-dUalDK5t<5u`L7M4o-VFCU$hH2)q@;KEWa0G&OWkY+S$A!Aa9hLczh6G6WQ)Yo>FsYe7CYepB{wVl|&;aTg}eEVIvzU(=@ccFo2zcPfwyqynkHfCB5Hb-?pN zE;2EXPUD`rPFRir_JV?pPvNXxyRrHC+k!~j zJmI;klY)$x;^b<65}=r!AhW_@t4BGhY@GB=aA+rqU6vWU)&WmiDsUeP$bFQVRi*-| zKq_$e3Y5F=ARG7=6_9VS>FhZbNCi@Xt0^F49^8}|DsqtPGW)kwT=!@Wl^G~_900au zhiuCJEt!QwSeFYZAtR5G#=10~Kp?X5@5czNAY9Qh`+gNhMN& zyH#MBz3zsK($j9cgbQ68j+G<+@s~mIhhGd-V*U0(TK1=vUU2BP@XVz} z3MTC@(KQdhVCv|Z{$w6e!KaoSTM!wZ49_#RUj9yRTM~uG@A5H&@FOl`7y1~{IO=c1 zTo?Mi?4PLnb&Q2>-1Zx7uF${VHC_@0Cw~;y@c75yKXhKIZowK8K0!YJ+?tz$XUxuQ zFe@Bp^rjH+rd-|W*wU@pA3jqh-zV~iJ@k7!{9=zU_IThcEcjxN>cYjgS^OXu>99kR zV;#pUThJp#>-gid7Is_~SbN(6Us{wE`#sX>$h8%`q^ktclT)v*SmTJYURN9MT{Szd zh8$avF*T-1%@aL2HGA8VH0pSK)kO5KyuRK=Ul~0iOeSz{l)61R`%msAvuwR*&Z6(~ z&g`w5{7eqq{I6(w#oVt?{jEtaoS?vHd zjJL)A8Ls#o*h8S;-nIWUmwIl&+9k!oRyT{|q2K~)<^w~w0bAV!Yf}nVdo;#z=4l{aFi2cg8ba-IGp zN(!heWe6z9h$&9~><}O~t%BUpyrrD9R3H^d1yX@j;NcN@*c6=dF2;8hB&zaPFTHiJ@ut0*8#*5%mV`KT^SK<_ZfmHS{#A*<%Q4d*j_ zJixLlneTTWOep_hIS$UXC7^d0oWUbVg>D5*lmXz zh=X4;K-1LFIiJ5Z35C?Ilp&xXT{ATlCtno-a?>gZL1u-+_JLUCq_T0+v}SKgXytP2 zSfzOoTwMnYYCx6eIVg3;_k{TKiAo2=VO^ zG>3xM>*j5{H-$JJug8CODLAF20;xbMkP6(R0_&Uq?UXJPOVMfEyiw0G-i5DeGkd#c zUzs}#6aC;z-P!s@S>UB z4w1jVgKO6Yxz-t_@O5@R;>xv91d1aNNH9$Y!gX2sTyK@IZGK&zR4#49F=72i(&i26?awtmN#6DP| zl95UUKgPCeOh$JSD4~Z5WY>J47*aO~-!gB-cn)ccU0m4hhw$7j8K7xu=$v_L^$4lM zx?DgB>6)pbIQgmwP|Qw{S>eEY>#=>1rWv{5&`uJ&5PNpxbK^H5ndQymYoJdN!u#L^Ib3>k{>O%8Q-P?CoMr zWTI5y78J<)?O8@)<~`}X+;0V?5sEoi>=A5m3~9;U$RO7`#g&Zyp3Jt<(x{B!rjaFP zH&6(7O9p6~7<$|Ya=8?uS_daBD+US{RBNY{*0ajMnqk_gc5$~FoLLXcVEZ~%m> zJuBuQIJJ|+F2rKOVUPsZt_^aH&)fX`Z9(*Hp71=@7_?`KgFA0e0u-~qecr+^9NI}@ zmo*%Uv{c}Z6p%ZrHA_teQh`(;6-WhAfm9$BNCi@XRN(z8Ahb5PDKVtNAlLXl*jx5* zso34|S+A2I3aecr*Kl!a?-P$x6ekfCm6VJa5Yzuc)U=1 zogB-vJfs4tKq`<5qynixDsZ0)AOKf{d8r8Q+vcz1T(XiKk}SZJ<`gTZ#&>ii?U+BM>-w3wt|;*l^}X@>eUr%98uQm zYU91DX2;c#V+%5-#x$vUq9>U1Gc&e)}|D! z_GqvpB{r?MZD5rwewPLeH3~yoDsU?blv^nz>qrGsfmGm|P(bK1Uastx7(;>#a$RWu z)=J*BP}j`s5=rREEg7I|@zS{v>#x?jtmYs%g>|`r5;9_nldp;Z#q0!`6%I3cQ;7Y_ zwsd%4!l7Mhb-}f3gIqapn@S3(D`f~M$cQOU{_GGSH?4x)(7dIbv{WD!NCi@XRN&zu z|6kPi^`3Nm7f)4lJ52~D^HDafupE_7{>E9Y%fNda}G3;_ihF~w;&u=QVK1jtRR zAnUra@O=A+0Oh2zanduvp`9dlAvP@)NCi@XR3H^d1yX@jAQgC53b3}mdCPwVj+2{%hzU*JbAIM=L1S!9cNx zUot?`J{dY?-WHQkNbO1)0t(W#_MgHL;@z|g^7eV#?yV*fvbl8tq@@C>Kq`<5+^GWV zoB!=pFB41AY23U)&a(BbeinU~cV=(h)z4pq}bWd;f!2VmRZ2W;9qo3|&STUQPRxuJPWIcGEe2JlT8e|CD7 zeVIl4nTa#Y_{+#ww5h$ly02W{zFN8`f#u2bvp=2rniwc{YHtJi(E(MD|MGdRzL)i_AV+)Wqq^YQwLz}&eX!iWEr`DDm-0N;7_?`KgTFf{ zKr#DG?1L3*d=L&%rDfk9u1#YyMqG|m=B@Dg8rFe&!~U%_*$u?OFBza|YUrH(Ta!>o z?MfK}3eq*xT5<9<7a%vSf)Hd@IBdhCoK!YWn%3-139Vdi9Zm8IQrruS&yC-deVka$ zr&-*E0h?v^x$$e-)ZVVySmsUzQh`(;6&O%p{bg&w^Fl5%F^^8;p1Dp~m#EWf?-9@J zt(QCTRN!4HkoViO4Cl;y(tEkz3Q8kXyxUY=aBUjf4})Cm6j%5cW(uxC1^0yn3CQjx#f@&0YP zUH`A+T(UA9k}SZJrQL^z=U2Y|tNF?i|M<(G_`@#-DzSe1AT9gTN-sEcTX^QuA_bH7 zm*|>@Uods_On)+usNhpejxC4`Plo3iTQ7g7w=Idn<9GR(LHH4uu?u~SXdLyoVXh1P zUiMGa{W``%H*WilHdpB1?;0WGNnbbY;xzKP?k!E#o%J(=!L&v?eYWR|V>%vtnZ-kH61lb^|9 zeX~9j+ly)R#Symh_Jnuk>2MieMFQb(4N3Zw$5z_+1*(B^La5HB%?6dB~Y(EhEJ+*}I^J-HZPV8WqYX?4N1YlB=l zZ<|UAs4Ha%D9DH@PX6o=AUCao+|azGoU~LR6-WhAfmGn(A^%_G#b&|jVwo~gD)8+o zu&%Sey~eZS`&B^d?}zu>LstInDPZ%~Y&o`fKB^1;T^r=eeXypI0_sW`0tzx>iqpOC zg9%Nz0x8I@JuY-@kn8EZ<-3jrVmJ2qX&S|Mc#X4bfwYBi_OZ=`gP#_pXZi0A4~j-r z|iG1Q(jdF4G{_(|xdAlHE?#^8c9*SCk?M>YA8G%x)kKe#rn$ zQ$y$MgPDXvYFEk-P>`;f8j6#ziU7H36@(zO!h!edWBVXYYxbsu`ju_zHge%fyVB}{ zYt#N~=pfhgdHWG!H})<2x3I=K7%27#(t@>I7#8Pw`H*b)$Y<;VrMc?I} z*;_YxI-~;cNrAlIo@F#=-jm+N{r22V+}yuo%zPoqS>~uiQk-jNyEOAPF;L_gdXr^e z!;Zm@gMu)#g(t)P;>dI<_6RolHWzbHymmrtE`} zO*n*gxquQfVv3WmiU7sz1ep~Mn@-9}W#go0fv+T<( z;?GQ+S;k*RzM@U-?bUtp8oVjX_3iWFnE9G`p36Be&b7Rh3Zw#6f&Z(|@<}R?3Zw$5 zz>O%7>)Ue-L^%gZ#PKfHw>Hm&25BbVZK^J~c5RSr{f$ZCi+8HnXKGXi+O?S7LWOX* zWPq-{vwg6J9Euh;|0+O=B_+a$RQLiWRhLVfX4Z5C^|xfTpRTbLOo{ zD5Q3!3;_k{nyH~U`Kkzzn^r*xGAkT-Z#}jT(zIr8N~mAimaa+uT9D6;-;{lvSk0$d z+=YoV%lI2BSG1|UU9qoBoeHD^sX!_)qQJUn9dW&kk4#LX)3|4@6V@f_wAy>bGkfdh zPCONOR|@3)_AJ9W^Pcox?ze){2({ZGI&N?bX~|~AAlEv@)gpR3A8};_H;vGEU&TNn z+$|ZPX=13aQ(Q1HT`T4xc!hPjfD+O*3sszaRRkzzUnhORYulJoPAVHGEh`2J4(%kd z3$dh&J#_W>>cWMty`UiDQuu1uZft)3wjk0rPk8R?q#z@vIJugi1Sn=F$gFVK>QPQA z8z(&z9NI}@7h;!m%ac5$0;xbMkP4&%sX!|5S_R~_ff<(yqynixDv%1K0(Y!{Q2XGf z#88`qT$kCurQ*6rbEwQf!Q%k1EjwgW_HW579KyO>KnWQ!#mQGifMWJr*$1;dxPeNm z3r%&c-L(+Ex!6J>1 z2Wi=#R(ipq+rl%K7AcstzeLwO{DP^YXZn+QL2@S z48o7Nj9utsMB}Kx4Rc-S_p*PY?$Vsk#Mg zO!x%({Bvt=3Z5}Lv%#!zn9-X;yqj`Nlfu<49nZ1PRLS>={9zCM-VVRm4zBUG_&-Aw^uQhh1^2G~=h@mzi8v%FT-|lag{Il+Mse`DLSX1NV5^&b zHM=BgXpnYm0S|XD6r^kI-nJwP&#tmM_T3EWkP6(O0_6s4%92unR3H_2zX}Mg#mkl5 z5@Se(L9TV@LE+pbsq2_+sdHS_)*ynr7PDKZ5bl-?(6xB!T!`ITP)=$cob*g^2>N*oOT0S_sj*zO{*XTnH3JXe;a7H36uZsu$w2$ znRsS__DpfO_j%iEGVxR(6-WhAfm9$BNCi@XR3H^Nrvmx!W#?40$#rU?Hv*O$sUyos z1zxUz5EAdJ?6ym~?Cb*$a$RQsR;-|13w5WGT{bh};Fb)~G&OY2=WneZA$3@n3n(F7 zGc^<^Uljq0*$FZ$9JXyr<)pH4(ljF%9NI}@7h*BtFi3)H)BbDdAlGH)?MEx9YI>;5 zK(U8kGC~Ej9#mEPe#4Z$=mI|Z-sX!`l zrwXiZ{v91lWW*FFUljq0+1HzO!RwX#w-zacx0A#!Yxs*u$k(+O z6l7cqpReI2%!iz}h_uPU^H^iho+%El<|hG)*>7UrQsSA7zX5zx#-E*@WnX3ye`ey$ zGX6616>Vy7ukMR`!cEz_8ktg)Okp+BGK(1AnXid~y32r1x}*ZBKq`<5qynixDsVdr z$ z%l+F2MWi}{UB%2naB?+Qkjmw{;DHGT*F!;eBwT13Gi;FSGV@lfpj`{Q*MNaI_$320 zO%0thZ%slWwJT)^C`i{#4aLbWk| z-XosbTQ7IwsldBZAn&(l8P1var1x^a6_iG(-44-lgJVcbHY*0X)+w$Q(cAfmDHtH)OtE_Ce$1sRvZSG#s&^Yga_k+yllb5|z?88OAl)%+wtF*`wK zg~L{la#Gni>6zfrP7=EiyQEv5~FW}x740N9otvMKwwWEKu#T`r)6jF{r&t0F)#`>pJQ*&f_L zrPYO|y4LPmh~Hf7sdDaXxNQ(N{zZh~uEp#YDui$K6i#YdPI{K}_Sp#b1OpciuI367 zkJs?+1e&x|AQeajQh`(;6-WhcR)PE;sEZBF>0+5OQ7VuMqynkHohTsGIk+h?)Y2eV z?%y`m6i`>n5Kxd2Q=I(WCINEODhNSlg~PUAsGL+bPI@Ldw3Eax#A3o>kObGR4RYnY zZ7M0Cu9P95AS0$Y)tRwW)T z%FQ}cfm9$BxN8NJ>iyNuVF}Z1^w)7NS;-Db7U0S9=flJED_<8^D@Xj}FN5L_5g4e% z`t5_X>`yDb;LvU1nM;clOxjm{GHymBnpq; zB@sGcM=)6?j zf;A?5f_(nDH8%y%n4Q^RRyfS)O(EV*Ii^YB>Xwe@*k`Kb`$Yb*hkkE|U+nS49uItl z1z+q@UAWjbiy!179d<}^tmAlP3woqz9e;e*!j8)VYi~Q?ON+8%zehS9xwe9rbd?}_ za_ZF;YaCJ5>uTe@t7ga5kYfunrp7d>d7>w$W^Y@PMja9Ho35{S(Km6NHCWEdwkOm5 z=^4+sm&~&Do;i!Y%R95TZt^oZaPz;S=@oOoK6OsKMZ0D2qBVu=S8!diPv#MEdA}f; z`?hdVdmh9J5U2vO4zN4C#;x+@J#G25ZWaQh`(;6?nf2 z2(87-mE96!NQFVJb>~6h+$E{&m~E+ZT-DYfg1Z*8Tc{B3mJHCfct{OmJ!^iCu`rgu@^Su3a1C%6Z#VQb1iP zLqI`BOmVvRc{|c*`lJG>Kq`<5d$SMGx~l@w4{$`DYH5mTIY16%jZ1;|aSAOx8e4!M6DXt)WJ z|L(AxC(N06W`Xuhak%$++iNoMR3H^d1yX@jAQeajQh`(;6*#8?`R`@tRI|x-YN9s+ zmK&)f%SZ)Yu7D5{@2l*#OSy6enL50gBlPGAkUmZA#^&vT@QhBNrUnNn#gbG2sxC*k4Zh>Vj+2{%dH= zRh!#f%v<3*0EMfYFmKtv{n%tTQ0(EC4A8VshEAEc#q<$UZ#orDsvHrZcy+D)r*MRL zH?4v&P-cb0R*!O0N05`2wR=-SE0oW6Jte{;ByVrn$IQS(4G))biGjB~oA+;-I2q;L`Obx}!S4Du_ zveEY>#=>1rZsz0LjB6NbWQTtf_!fLrtIUyYCg^4E=-(R#(xC3qD}4XihX73 zR3H^d1yX?#1=dCDi0fs1WMUef#yxYLur5)j)!rkX*;_An;;F#9QXucQXBp0!_oVl7 zzZH~5sND|Haf4$>OExP8xz;JJ7SY@Jh$|zwX@ti6Dh3MSZpi>m6GMHS;)03kS}_m7 zE3C@}l#s4jsN&?SB0w?wI_V2u+s2e~QrS3ZSus#>XeWtXh$UU@p{vJN7cO+|1qB(G z!dJU?WApR31(CLS!gE(A1sO5L$<_QMKruT(W`)C6k8)DkIO&<-&`uJ&5WA#Xp5!4F zNCi@XR3H^d1yX@jAQeajQh`(;6-WhAfpG-{*TGGRA=W{z%k1A$aowXiRA!*yaRAts z9kMC=w`3L$VO=hugp8Qt%R3H^d1yX@jAQeajZdQT( z9;k~A&FNy9GEpj!3Zw$5z?~={)H%2*G1Sr^SMJ|7)f7-y$`DYH5mTJ}-6jEY(<%r- zW`)DHU#Of^HcombIJA?*F2rKOVUPsZt_^bKylpBepsti5pdcfrIMtc9OCmtKD~IW6 z4h63RAY2_W!Ks}jb|H3q{VdP(kP6(30_%PMUdqinQ-M?<6}W2!lc1 zE?LPANfzMA^5?_D^DAE$S1U*S<1d5a4-pus#QN=nwCqnSz2MMo;h9T|6inJ*qH7+0 z!PL<+{mDF{f=?|uwjeS*8J=fsz5Jctwj>IV-{oTl;YVD?F7z>?an#?2xi0j3**{VD z>lh2&xa~LET%muzYrG^1PW~vY;qi~Zf9Ska-GVhHe1d%bxivQh&zPOrU{*NH=uIKs zO*y7X;p&!-=h$beA((*6V8Hy{l%&)sSNgGN#5f zsd=I&r)Fe3baWFCWDvXX5J7vV!~mN1lO(&a^<{jDk-3@lp&xXBc?dr`@9`#G<{NmR3H^d1-=CZ^8ZC% zY?MzI%an;yfm9$BNCj?Q0lE1Kv%pl~sDLb&mZQ7jqTsvj5-xOYkSq7Wno0_&D`f~M z$cQOUyMe8H<^trVRS<&A3Wwak4K&<@$$xj)%@gKKJhMQ1ra0XDyzMoacq)(zqynix zDv%1K0;xbMkP4hrf&BNfbE?_oIyKP*Q-M_AjS2|y@xID#yQG_neZWDk%k1BZ6|`%i z?o_hNW+oimk^!2ghR*r?t<@u>4(oCOC8TSnhT`O_B0w=aL1u-+woR#=R5nhUX5@lH zJ4x(9EG8TVNpNl2e+?bvy3D-&Xa!YG50x1x_V7yvXxb-3r_9@8g$k(;1(ObQ0bQWp zq5Y?Dgz%XC?en%6`9PA`g#y!3fm9$BNCoaxf%VP*cB+?&rRX$n-l%8U`c^-SzRNqa zw{G%uNCn=L0(rkZ%V^HLC*}Rt8KQM_$w97kY32(_X7yBN5yLz4H8D_k8Soi$5xi)I zAujd^Hs*%=9pqYPl#(&tsaiIE;>rl_TFh>tLbzKpK-Z@1gOOD@gmt-q5;9_nldp;Z z#q8_Ny5RN7{acF^!rMt=7h*BtFi3)H*9N)9=k14_w}`&Y6Q0K!gZ4~uaOdqwfMWI= znzs~sHsfyq-<0uZr)SxhS;U{2IJ1nujC@6#+S{x9%JuE5rF#-so;*MM)0wY{fnulj zHjqDEQh`(;6-WhAfm9$Bc$osZzI~ZqzIX92pXchkSl`+_6Xc+oc(WEM>`9h@)`7|B zW>caL1=%$pD29C9u#8_(xHU}-J)|v!tZxm%bGKxGrm3NG=B?Euqz>zH0VSksriS9= zt0F)#J3(fJ0|Fk~2Wgs-3l8lhu?w+%TSvF=_~^ms#&60#PORqBEbhXX&NBPl_%&^6 zZ`W)rbEg8SKq`<53@EVvvNhm&As3mLN2hVmTqmqc)M>T%h-dcJ%bj>C@U9fd`|VkV zbLKtiz1(jFr4cILZK^J~HjV9vL9TU*D}4T*%(l_esEpvIktJp~PzZNR256cXdfW(d zxfG&W2PZ8n1_}-?R|qIb*Njtfs@3e02+;1zHVO(tkXhky0EDYOE9M|LwUfjy#8OA> zp{vJN7cO+|1qB(G!slza36r0{Er_(q!Sh&S(4Hv{uI48JirEP=D;&0Zl#|NFNzVj_ zc9Pg-nXzjf@T8>z_o0B?N10h=Dv%1K0^gJZe|}TlX0xe4Dv%1K0;xbM@Th?BV{lXA zF`{!Eiqrkh+d}zisX!`_3Zw$5Kq`<5+_3`rJx~`L zywk-pWujCd6-WhAfjdz^sMFnWQIOqs2^YFH$d&tGO(g}?l`;erWW*Gw-M}&!g{#8B zO{*ZcvVUu(2=%lptuDBBZICPHZBt1Bb)^ge1sO5L>8|JP`uZ7ZHhofo+fYF2eg8J< z$tqHT5d~z#DSc9b+f+a)%U|srK049u;MZ|3S;-Db7U0R!?!&|LD_=j|3l7~Dp1HJ0!KD2qy5`{*OdUPbpUfjF_|%eP3nIgl;d#c^%irm3 zOQP`jT|Q>q5Vm{S$S+j3J zht5mYEm&j1C&=fYTXR$JjMm z9{36izSyI>aItL`KgdNo?2zPG$MMP*^hnV<{`jng9hU{x-gdy37G=eLk90b6Z3Qps zDnaz*)T=AjIHIiA)y8{Q&5o-f#};HvjcHQzL{Co5-nJx-IwImXU0?5_Z{j#>u$+}` zPp13RGoEoTnPuxea~6GYR9scFW+k*A&&IEB47ehPcXu ztYq85MLG9l1&G&axZ1(NHMOw+8KR&E_7EtzckMsTrJh@`c1dxt)y?8~D7b){`M}U^ zz*aZG+LVIT9u0P+#HRH&FdH5#$YUyy3Zw$5Kq`<5qymuw0uV1(b_=P9V|RU!>q7gt zR@$zG-3r-2p?0@qfUd<$=R&N%TI;f!gWwd_>N*oN6_@Bm%U%vdtF-A;_$7H~_-c5fhx+Nn#gb(^7#{AQeajQh~1uS4I zr^)Pi^`3Nm7f({5nv4!HoiX%!^*Z&4vF6-WhAfm9$BNCi@XR3H`j z1{KJEFT2>FpDvau6Qu&*qyj?M?VXS6f@{;*PZ{L8%s!Y{LH>>pAHy>f4sOW+O;bbX ze2&rT5mJYBxquSVHB&=z@>LO_n4KWA!r_&lV>EIhyqzR=Ar=!3gCw{%jStlha$RQL zezb!85qkT){bbxz=4~+v#mIdrLqI{g*8WpCLVSA!&7t7+x_R5~O(BlQ3$@cyfm9$B zNCoa#f%VP*b~=!WrRX$n-l%8UxFSCe0GjV1ae;N6T zHnq1`_m%70S4;OKusnHw_NOyn69dIg?QI}`x}*X(uE77@czs!3Dv%1K0^gtlxxPKe zpqF!yL>%v8eQWbfXpm;&-KOe-Yu5(3#`nP*m3523!QJs$wv`MNJlv82x|aL54~j@t zv=m^ib{J~w_%o7&qo8_V3OKq`<5qyhs9tc%tG&kMQ8#5_8Ud*(V}U7}8_ zy+=H=w_fhVQ-OD-K;Cc9GMqE-N$=%;D=3XnyB(tA2FH+=Y*q|%ty5erqPO!AS4MEt z2#xnu3>3oMk^!0~hWa|i1ryV?VjhB5SeFYZAziaj#mQGifMWJ_(ign8jVa}%vT@R~ zVxZvAP7=EiOS;%YSC6kQTh3NkK*uXgRm=I3td3SI|5xH@8jQ#(oQLhSbXS)S)16}T4#*8Bdw zl$&*?0;xbMaMubb)%&ZR!xE<3=&$2kvXUK=EWnfH&xeQSSH3Q;R*v|`Uk1e=A}~;i z_1gz&*`HQ=!J*s2GnW=An6$q{*F5}!siSB5lX*l1pIUNkL1cI`JkQvA`8&OBNfaKx z%f}4DkGPCo=wn3VsJ{(!UFi3+f1>W!F&4UU+i$eFLjQi(cu5qT{83oL;~#(j(0Qr4 z1#3+B1o`}PYiEI_!|-SjX|o7W7EbI{x^qg&mg#*4}o&mlkEkevfoIa%}}K=_*0= z*SIv&AA;%VEOpR$$^F&Wh&EB>ojXEOYH(g)vqHp3jYp|S^ZBM5A z(=(oNFPUZQJ#!X)mv?4w-Q;I-;O2it(<|nFed?Tei+0Q4wbvBYr7QNyJO;UBCEFG* z%K86i?~RchIevf7e$qBwUHksY+DnPpB`KWUbjgXP+3H4d@VP=@=r&-hn{73lBx-1oc5ML<_hKkW z*V?_UNfe$WR+$5>% zn02YMU)9zig1Z*8Tc{B3mJHCfcWHfMG% zm_xy92MA|JOmJ!^iCu`rgkg{b*RBn6<-BbwDWI;DA)p{5ra0aEyd7yYeNur`AQeaj zz6J&I|3zNyDxa>FDHEjvsX!`_3LIVmIedj#U@EXzK+dPmqr2gv;G69dPIPUMEBC>g zN(!heWe6z9h$&8+3tRWh1;|aSAOx8ehTOjmG+c$r-#cvP33Dc%S)e^r9PWMI_L@vQ z6-WhAfm9$BNCi@XR3H^d1um&T{=V#zYBsq}P4pmOIY=E@Mk?@p1%!}zUuCmh(q(5K zaFFXd`?q2RZCa>1mF%*a34>cQK-1LFIiJ6^dW6(rT`r)6bj{RIoP1RTC}t?;zJQqm+#CPSttiC$5a(uEp#YDulZw19WZ5J{Vbr zA*{;7o-DSW<$t1$0!-XhW} z2hU@TL3^e+xSF2?C}uyzyrsko8-D}%P{yB~o@JkA5r1Uj%rgEm@(pcjZ!hkPd%~e? zS&dAoNv5zGX_-X~@66Z4K;31)CtXs3R3H^d1yX@jAQd={0=d4u#I-1wAc;8M#QN6e znb083#Jf$^1=p?(axFhGDSYmp%$JRyxUz0BZFWNi54U81uI2vigCbJBf?dVTL2z<4 zSCGo(y5NBcgX^In8xl@5jTtt`b)9)DR?wz}&1=9w9Q=|2nx=-%nYSjPklK|p1Qeue zriS9=t0F*dS_L7~rI{ zw5h$_va!sa3Zw$5Kq@exz_Mr^@Vt_XOw6OxxM!{tmL=-6+Iz$^d+X&+JQa9T3grFv zBA0XKJ?X97Zv~|hYO_PM-{2V1lFf=iu4Rg=MLh5`uJFW-ZB#}~)5sFt6Df|Zc2S*YUVt0F)#`!eYZUhBq`a!}bgXjw5(aA+rqU5F)J z?4gUt7Z*-+?HL6bm%>-Oc4PDNw*`^bdBSs7Cj}WX#mUwDBtS7cL1u+vtw%YiY#j7V zaA+rqU5GuWJ3q-G6-WhAfm9$BNCi@XR3H^d1yX@jAQeajQh{*=1lPe$i6PcOuE+bg zbr;2rea-$Y702ub3Lbu1ke*H1za^Q0{J~qSGzQ)t7XbW zsX!`_3Zw#eqJU7RyWyfBo9z-#bZw9;_raP<3aBe(2q?&iDNdUUTR$)?KyF$EIm-U6 zl_J#BuC%(~+O0%ZEA^j`*Ly42nPeVxSW1w-3^?KdtnF zL$`$|E-g|pX@7~XdH4lWN6++U^N0#Qwd7cX$nfa$JYnnQ@AS4NQF#0=KW7mBiObl8 zevW92`ui}~m3}V!C+dE=#zHr4`;9gi=-+P|FNuPae-)PS_@BSO>%3Imf;A?5gnay^ zH8%y%n4Q^RRv2dVrVwwYT;1td)8T=Aq)NU|twgEo1C@c0yq|=^jE%=E-FSc8nIF->Zo=*g+s+nS_NM@0Op^UGcIRU8)$mW#4&$#i>o!6WV^vuwF% z&Z6(~&g`w5{6Y@g{BLM_!`v@VofGe@-TC6R*A&&IEB47e2DxM*`^J__KiPBT*pC$; zUZ>$|2M5=9Ta4K)L<#fLf;8>>=2FisSet|^XsesEV_26D4BZB7brY;jDY%jL@d|TV zZ)=i99ad#pDsXoSl)I}q3r+=6fmGn06%dM#mn)lv)KH&;Tvyt^wURe2Y*xqy3O%_c z19UB3Iu~O7)moR;90aGZE*DTjMoe+?RS}?=oglNqFrznx*sp9&hX*DM?MkZ)u3a1C z%6Z#VQb1iPLqI`BOmXsOhXA>0734tkmU7Zkfm9$BNCi@Xhll)skyo1qr>kYkM5#b3 zkP4&%hgU!jUtt!Q3hWh-^QrUL-ub95=YZZY$d&tGO(Co1n+<2Si?LYa&v<)O;CtT( z6Pk#1*tCah*9N(A-ZqsKP*=(jP>>N*oHiG>zBmNPO{*Zee~SufsX!`_3Zw$5Kq`<5 zqynkHSExY#zU*oj{dBcVuSis05u2f@z-<)}(zka$stc}7V?Sk(>pJ^jVg>m-K75|j zOc>mf0h*?U&iVYU)gz=1>v91lq-&;z;^eC$KruT(W`$w>K&*05**IvLkqZv(B(V#z zm@o{I;M%kw3?1aU&b)nZ1yxOVl^H1Z@Jj}0+6P0Y%-dpx3aNJmU*Pu+?LUPRr&S7i z{k(1WR+9+X!uA2vQh`(;6-Wi{P=V#m|2UP)#8Pw`H*b)$Y=8}Wl=+w*?lFaI<%p!(&=4)c0?lR!hagj5A9%+V4T8@iDv91lWW*FFUljq0*_WGj z!Rv+lw-zacx0A#!OZc-$$k(-J6l7cqpReI6%)6Yoh_uSV^H^iho+%El<|hG)*$**q zDe=O_-vB<8@n@%J*{4~=ADK9_jK7S0Lz~*$i~Hi9a41_=BU5UUDXd0XW)Z_X^EEM0 zcNy?WmsB7XNCi@XR3H^d1&*UYu5T}KEy^WGB91q)zO{KKG)Ob?Zc}x^wQGZ1%TG)S zpSvgXW#cEVtXoW*-B7{9Eg7I|xqthhh*Ym&S21%CoLtQnq;k0~cwoZddML<-gcD6; zh7EFEXWohxv}s}U8ZZzCzhr=>siAY`tw|`PcBKpf1?ifpp*Z=f2#}jrK?pJ{47|7Q z+Xrb{vo|HwuWU`%B!4N$=f)3Z?V^XN40nd^jQi8`(J9`VfHdbtx%1>TebdB45L<(zp>dMo!^L1~2A>=5lY zIEJ)jvtp2Inc`{@y`7J^GJ=~%XuPjtpb+ks4A3+&)YmC4n3%2=^ANnkx?DgB>6(Qq zPQEGv6tgdrzTmZPOeqJIjf0jI0|ke6lGue<(#0OSczki;MAx2CkZ~z|wQDyvKYv>g zX`LrLcXd*b5mTI8%})XpvlC=i7}k1}gUZH1&jg2dlGugVbGq}B98!T)AQeajQh`(; z6-WhAfm9$BNCi@XR3H@?S3qzb+>{t%9pt*s{w)>PJ(^u*1_~ZKfNj|!o3ejPW?=~H zasee|#1toA6#Q0{J~qSGzQ)t7XbW zsX!`_3Zw#eqJU86;HJb-OM_gwf7?`3KwT+AKtV=Kaq@SY1jtRRAOx8ehIPMCIjC$L z^h|JQCy8B%#e`vy1lO(&a^<{jDk-3@lp&xXBc?djnYKwHK$|nW>1hrHuN@$q9WlYF zog{W4c76SvpXZPY+=~Lseg9s{%{o(oR3H_&YXy|*{ngHH3Da%#w{b36$aYE2z@z2Q zyN9P|zAUa5j`*Ly42nNQV4xD~w-3^?KdtnFL$`$|E-g|pX@7~XdH4lWN6++U^N0#Q zwd7cX$nfa$JYnnQ@AS4NQF#0=KW7mBiObl8evW92`ui}~m3}V!C+dE=#zHr4`;9gi z=-+P|FNuPae-)PS_@BSO>%3Imf;A?5gnay^H8%y%n4Q^RRv2dVrVwwY9Mhz5c1_1~ z>?2k3eIoy{hkk3rulD$4j|aZOf-m-{E}U$e#Se0k4%;Q!*Remd27RVzjXyqVVZ&vC zwYLrMsYO|_KO&v>Tx-GSbd?}_a_ZF;YaCJ5^J>?7Q_Y5}A;%hIOpR$$^F&Wh&ED1| zjXEOYSDjz(qOan(Xs}$AZA+%x!wVj9FPUY_J#!X)mv?4w-Q*W?;O2ir(;Mb~dFq^a zXYI}xuf3+IE?u!t<}t`63)#AGQI7ps0pfKUu6A&6jkm?03{lV>dk7TVyY~H)wU-jH zOHw$y>5>yov(=5_;B$q*&~3n0H`{79Nz~9F?b-q!?!{1$uC;qxlPEl!%IdZ6W=Mxr z;D8F01J;x!r2?ryD)4p{5L%0uE1M<8kP3rb%g%$sxk*yjG3!!izpAZ41a~cFw@@M6 zEg7I|@zS{vySAVl)H*omncxuCu3a1C%6Z#VQb1iPLqI`BOmVvRc{|c*`lJG>Kq`<5d<_cZ|BJlZRX$xUQzl9U zQh`(;6*#;Ca`+0fz*JzbfSgaAM|ZMINbZZ?KPQrDv%1K0;xbMkP4&%sX!`_ z3S3fw{C(La)ogN|n&?5ma*#T*j8x$H3J4+bzRG61q|44e;2_s^_HV@s+O$x2D%oW- z69%_rfTpRTb3T7-^$4lMx?DgB>6)pbIQgmwP|Qw{Sz%bWDV2lD#zE7JTySV7iCu`r zgkg{b*QWho=pfg1=IwhcsA{^a%s{b+Uot?`J{US>-WDrVNWCkVbeIe18R`w~KZPTN z$Lz14x5dZ@lEf|)n3f8p0;xbMaHk3^Z~n)rUM7~J)3|w~o@L8h{Ve(}@66u1$APh}P{yfa@D19g`HpN@;1@$*PCT;gJn zU}J8$-$AZrMkyKNovQQ3Ph1(nU5nW*R0wxV2I$(9eK4{LLs*v!C?O-JIQgmwP|UvE ztP5T*+`qL*A-tU=c3HxoMMA!=J)n5Kxd2Q=IBd+awX7&6(Zw zG>3xM4iL_cnBde-61xzamI|Z-sX!`_3Zw$5KvMw)^;bK)B}|hD@V9X;!QX6`L^%6s z`Sb4K>6tGHf%}v2GW@k2-6^0qTDa4y8xBE;L9@s~! z^b^yJj5E7mxotmoCP_okW+S3{09$e0?_q~?j9oSMC@Ng8!T z#IHKP+(lo-anWG8DBG4yw}%%z;$AY#mV4$b`Y!Lx-nz*zoy=p9OBOPJoy4oMu5He)n=@io3rDt-~wvq14Fj~Tipa}QwmmlG}w_6o7UTUVU;X?mj(M znBwHm4gqr0D#(H6E#;)80;xbMkP4&%4-fhOBCj?JPFKs6iBf^DPl08f{q;4T9pA44 zQhz?Y-yX8^uTKG+w`S+Dz4K9B@bB6nSMGx~l@w4{$`DYH5mTJ*eIHC{!WBqCHtlhu zYlB=lZ<|UAs4Ha%D9DH@PMZr`e;Xq}ZdwId)|G|l>n{S7gUZH1&jg2dlGugVv{WD! zNCi@XR3H^d1yX@j;7uvO+WPR8zc0JmB|2R#Qzl9UzDfmzt_L?Ix&pDEGRSqEeK4_t z>K@ImG6Qk&O9p6~8an6mw^pc-dROoT{(G0Hp>X@E2#}jrK?pJ{3@_XVYxbrP`<1Qf z@W6zjU1@c}wP`;XI>>dMdHdc9ighqh?BSOT(6kSRPMNpGBotD+QigznbgliTaD;d_ zt%AIM-nM(INrY@}9RO*mKq`<5qyl%U!1CsQoa$v_DLRdtH^^DGyw%U5@AA&up?)MloUr2J1IqHxU=iJ3k&3sJ^6nUB6Y~BjVXogE% z>=A6t4fi|9wah3bBQGD}i5uIfjF_&)>=r77`)NUXHf0}-q{0x^+>%lOO4H?*m}y|}Mj-@aJ7M}g(h z^NT;7`I;Cgc4}_}`O_s8IJg4;Ea zb-}f3gIweLV7Y%=5PjV*<$0_zXwMV}e|J!TV)g^=gAG(#U1+LlOvZNCLj3AtPnBa| z!+N1!v41N~b^~#!^%M?jS`J#K2F}^PHGPE4t4@W3$91`Y;?*_NT5<9<7oeD(AhW`- z)}tI$HV&F*}tuDBBZIEkx-sb0T3!<;{gy*rwpgmI@+(#XeWtXh)qicQh`(;6-WhAfm9$BNCi@XR3H^d1yX@j;3WzOL4%tTL;QnW z@6n(A7+ zYaxDhv8T$huVLM=WQrI3)-%e%q9IS)7PDKN5WCh>I9QYLylXjcpIpJNV&)(?xtc3T ze7#UREfq)wQh`(;6-WhAf%{h=zX$4S*YI?;OqnPZNCi@XRNzh&5b7M&4393)6SiLdPH$@xg~#vma|Yp`xQtEc=ZMCr zzYlX=>F2V4qVAV#EOg_x-)M7z{{5!$k|;R&S78Z{|M~m7&P&xTSYyIR$j4t=b5rn) z*_jPyg<(c-3h`#j?LJe52lkOF`96{V*h9ay;a7Wnvd05oVZj%BR2NRR&Ef~SNQdo` z?CaQ{S%W@Pw8kHww6Ni_z}nje_|&4T*dLKjd#<(MbGk|pJvsI2iZzZX>v^^7y{Try z)sSNiGN#5fsd=I&r)Fe9VzC-WHOl7-A)C-JJRYn!v{=FA$j zaK!)cw)m65ip#FD5Gc5J?fd3Z&n;NHq&V2>=IppDxPY4Zz|d{LRyV=gl!DbB4R)l& zruDX7SS5?!r2#{Y!jP5<97Tb0ltQwOR3H^d1-=Regf8Rd%4Uf%B*-AwmG*C~{qs?!vhnB zcBRz?*RBn6<-BbwDWI;DA)p{5ra1YtLx9}03UZ)%OF3z&Kq`<5qynkH!$bbR$g9nQ z)73I%qEz7PQ(#$Ve|?Q-$M>s%)SnOUw}-6!>r=qyt=V~O?|f7j{JS>DmHS{#B?Z)# zG6WQ4#1yA{-v<+#a0OD3O?#Z^+8|fX+oqBN>Pi^`3Nm7f)8@j~-^K`#n^r-Vb!FlC z`ilVNpt5n$Gr^&qBz7S-Efq)wQh`(;6-WhAfm9$BcvA|nwm!V&@5`=siB4C`^om5~ z6|otb3fxu!A^qT{M7L7*QwF)NvkxX#P~D^1Rc0U#e#rn$Q$y!`{?-Z=Qtt}Bz<=*D zH56`N6#;V7DhNSlh2e$!V9nkXV!yIA9Uho4v@5MHxHjzvLkGF8GjHEpL9q@7iaq?2 z0h;!~&?)n_n1n)VSIQ7jkgm1=6pj$@rd5#F&)aryHHnbTtpgw}6-WhAfmGm56*kPLn`o=6v+GSMXu(|d(xY@-(I?j!~Gs(<_k$K zGDjVf;+(tKshO{dfg&%^o1FJGYz%fB6oi>AJQ?m6N2W`$N3hAax|oCFwMnvzZLksC zwV2&Ph48hW!okyxLe7OYWgm=e!VuQw0!qk;DNepB0u-|oWL6kfos@&h#zD^nhjx=^|apTb$Yc4Ob|W^xT8xN9-Hg$m(rT7a&-v3YwEI(Fqy5TrCK z3^$v%B)_onH-Hai{MqSQ_GuRJM<&iJ<1ZuM(5CkG;=Xtd9?Eik`}A_md`&#fXF`KC6Yn-v7hJnG$hG{$r0~T% zRp$?!#+7x8X|o$Dc(^44bnT7pgEiz(v^c~*SfP@UN(DczZP%D#?j%q`chl3(`9LwG zZV)zJQMfft4Be$Ige-3j!gIG|fTpRTbLOqpBcu-NasefzYo>pbGw(@n<$fzDjZnaJfQ2 zLAqv~ic_s-lSF_vXV$BrAOx8eh8-ZB?O8Dg!Ks}jb|IEJVh>$BzPNCrYtJaixD-BL z!&R94{B1#`RSurV8iV#sad0(12~f;VkXd0^>roCW8wWiT9NI}@m-CEW>VPLL6}S%t z z6lBB{r~93^h4Rxu03d^hpJdp@7u;{xRywDpG+F1!Tl2eNusADxj3*uXc7H zo#=M(+c=jjWV zuJm)+KT-F~H5R&Y+i$eFK>vQzcu5qT{Hw5p$N&8OUFW6h7OXMhBjn>Rt+^?9#_Y@n zv%)Z=H-&gJwBAxbJYr*Gql^}X@>eUr%98uQuYS(*H&4#NX z#~NfzjcHQzL{Co5-qs|IIwIm%onP*vuj069uw0aFOQzey3m$PVnPtm8a~6GYR9I?amjky{4!xU9nH*F~}tg*}8C1j{R5x;&mFXc5rZwx5b|f zQP3TG2o&7A_I-1y=N7D8QXFh`b9USnTtLlyVCXhrtD9hLO2KN620Kz>(|Q}24WBE> zF%`Hk1iqpN%+mS}oClyEqQh`(;6-WhAfm9$BNChsXK>oh$Qc8AtPF;@! zIFtj_kR_x7FH=AWb2nTRWV2nuiKel+HOO_HeK4_tHZ5$nLk+~iFBza|YUrHL-`;f8j6#ziU7H36@(zO!mxfIRyn9_95k)jn-W^N+𝔚fd>^AR7`+H0=jN z2f3~@Z{J%%n-(rOZ$B9KlzCg4*VeK&GzM*2`%mErvF$5p4h655&D(Zw3UPeB)?d5e zm6i&m0;xbMaEA&kZ~n)rTqc&H)3|w~o@KlX-_mCGcFVppcPemo1@eA-5y@xXlk$G+ zTq1tPJe19RA<3*x%PeAeXTBx|>MjF59Tz#{=aFVMJ4F8e&N-kr400_qN}=oQe8iRY zYB}REyTO8sTQWe`e4XNgrOUWDoE^iuTtG*;&yKz-aoQSWS&BVgxDQ68FvKnRm95)u zOZc-$$k(-J6l7cqU%S$G@iZW~Ycacp3gK?a09|`y^Y$ck?8>1aNNH9W)`_GXRE;_4 znc&b)61xz4apP|QAIkW%)3fZ;EaHz`(^V@ftjoEvu0!HOUlKBQ3Lt z;hp)K7^u4p_@qlJkP4&%sX!`_3Zw$ZQ6Sg1m$(+?5+o7Fn^@o4JQEtEnRvIUy5QQi zL9XQ|CWWu=qPVe*%DTn0*$ovu{InoFdt>`x4LKAk4zv#@)Z<<_1=&m~PBe|lILLLK zc`H`XriIO`(?A^jk^!2ghR&I{CZUkpl`;erq-&;z;^eC$KyF$EA;_#S@ZP#_AEar` z-jqa^N>#4~&A zib1YrimOHRc0S_D2yPmo@xF?ILbzKpK-0ufU#GZWV!Bq$L+}dgasefzYZj_F`Kky| z%)U(eg4en+r5sc?4q8?W6dc+~Vi#gb7klX9@x_G`U3*4B#-;GpuHD%D{B1#`b)N9t z)k#4{OmT8GKM7FGPLNq)SnE*^DjNqq6CBz}Vi#i1>CR7bNCi@XR3H^d1yX@jAQeaj zQh`(;6-WhAfmC2z0l{@}Q(}m9kn1}8w^UsBXm*tuD0u7uwq=KG%Kj~xg(0lV1(c8x zQ=EKN1Sn=d%08Iw!3|VeU1+Ln?XHFR)y1AF$G(Q^24UkjA_R9WX17owe66Q&P}6eI zvz)h2u3%3vaA9yYSCII64c|_nNlOJ%fm9$BNCi@XRN$}*MnBr7t+9rtrZO-hbr#Te7c7Skp!~~~ylGugV z_4RXpor4ewfmGnG6;P`8S3A2UOt;bB#<^r6+a);zkCs309-f~0 zvbb6};(z`!DE<(Efl933K1j>{w9*R>-4>p>v`E3E{Uy5Q;TKFDJ=34fBP#gRl4A`b z!=uadgsqpq)7zRv;qkltoI&^}E@Kn=IifM@@55YI`nl|%sQcv_3*ETwH`-jFf4^zG zBnnRcRanB~fByci^HOyS)|l`S^6{6}+!Q=xc4mWFVVKdILcE!BOq0UdH672fk5tL` ziTuYN`mGJW+T)Ww9{36izSyI>aI$R{KgdNoY?owT$NtP3^qHbH{`jPY4VMMh-ZsFe z7G=f$h;-U>tp%UcRf6crsaIF5aYR|qt6lF+H5;ym9BYsWGM6 zb$+>vzKY|b!E#ZyEtzf)FL=bgWR@-W%vtnZ-kH61lV8YzoBs_>Z)@bgfS$5>_RLi41*-N zc5RR==WSC-0d=Je0R;WS7lM7~GNp znx=-%`TVWbBcu-NasefzYo>s_CvW1H~SG$pB6JVCa;2TdYta^{!yjVJ@I&s5i9#6pj!cv%h}c z79$@>61z}fS}KqVqynkHohq=r`5&ixnOKTW1t)pleh1!N@8MVO=hugp8QtMjF5 z>5>Yh0;xbMkP4&%slag*$o1_du0^>7NyPCc*0(m#ga&CQ-fgNbxOQ!jYx#*u;dA$7 zzHI!&m3510vl}XSxFrL0E%$F96p`u`>?&psf|IMcf>bWo1rJOZTo2_Z+YJe>O=E^d zTuwpet?+G<>}F}>6-98<#L$@CKpgy%0h*?U&Y8C+p^(~@G6WQ)Yo>auf_Oi}_}uuR?ES=QKFs1SOq^L}pBulWP3`TLjb-js zAQeajQh@;lSeqWoWMVZsjeF)gVOgS1tG!1&v$tOE#8ZJcr9j?qFLF6&-jm+S{Z>#K zp?3RE3bNUh;6&5dei-ChrnthlNwTY>Q5nHagDhq@PzZNR256cXy59(LxfG&W2L~-H z1_}-?R|qIb*Njtfs?}_g2+-!ty8omg1eq0v9Uz?TSuqE}shuQtA(lE~4_!RIxNxFt z&nU>a6h2?WRhazzZ9$|}4xYyvgZ4~ua5X;(P|Qw{Sz%b~Q4T5_2R#!U+DT#;V$bQ$ zPjW~FQh`(;6-WhAfmGn73jF!fz>G@;Qh`(;6-WhAfjd?}sC{r#VyMkQuIudIQgPj* z*;Qts;IRYPmL0Mw`?q8khOjOdP(nsbaq?9WpqTwA`(Re&K&92i-22w zYq)L@zUKE1ZFWNikF}n{K~2j+&vM>Axq>~xz=grpTtPas0hhE?AQeajQh`(;6-Wi{ zT7mo?sHP*`t5unYP z-Sjkvg4YfZ&W@Pi)J_t+5Sx|?qynixDv%1K0;xb#0R{C}JG&)JlL+v)aW28%Y?nkh z`)K*|?&0Z~FG09A?Jq;)4-pusg!}D-wCqnSz2MMo;fYI&6inJ*qH7+0!PL<+{nXMDV$x? z@f`a|m3*Jbf9#>(+VHDAKH1}eudv{YJ*o>Q+h*~DT%^NxN%nQ@஍O%%?Pg>Y; zSzzsL1AJ;xR_u>Rr#;tN@Ht&2h@PB!b;TM-l=ZyY_1;vo;cCdS1{qUhn$$eelT)*| zHA$n6i1=0Km%HezI4&A27iHU$>Gtq~N8C$h*>caEMc?I}*;_aHg&er~-_Z1ixnG_- zC*E1R^TlhgDXL3X?2~y6a>+urE?ksjKURQvorbF&99-jV@h3wRbjKb71^2Ff|77i@ zMC_6j&ThKoMAK|_qd53nAux0su+`1BnoSZlG)TL)fQNfA6r^kI-qs`v&!)0^?F|@e z6o#}^;3x`|qZE>LqynixD)3b(AaofoS2jzGAwdSYmYoNMbCaa5W7eh4epOq82<}?U zZlOZBTQWe`;-zyTc5Oj9sC97AGr=LO%LSB>5mTIMH5)Dg+ML<7U=9VZ9UzMnBsKr^LC`s^hpI$fm9$B_!<<*{}*|+t9-gz zrc9Iye0>VAI8RFj?n(jq{6pnk)ttqq0yb~W&ZE2GqQIN&5>9k&kSq7Wno0_&D`f~M z$cQOUn+sd_%mv6zt02q!bK!aJ-v%14!sPEAHuHoz6VEKro+%FZK5u(XCY}nU0;xbM zkP4&%sX!`_3fzqX{OEId%iot>?Gl}?mgyCV$}3_sG!?k50z&$DUuCmh(yf$zz(KC- z?B9wNv}vL4R4#8dIQS(4G))bi^Z8qoP)O}c83GE@HB&=z@>LNaH?4vYWL6l~ZA#^! zvT@L~W^YPp<#Ov-$%QAbhk|TKIMK8p3?1aU&b)nZ1#Mcm;Jp1{+*9UlX3Vbw`Fs2X$7Gr^&qBz7V8;>OFl`4sJ?BT5Qe_axFhGDY11I#f{DV+k!~zz7x+~ofKrm z6enME0gBlVv=25=X?3BgrZE}YT?_H6i#=72eGTh{dd2>&G}#Trq1ID4sA)N9nHo4} z|JL*oGOs!n4j$L#0*Y7HOl!r-*Ia;Nc7n_b!&;AWP}w+Wnvn|*?If`au@^T!H-0F4 zKe3t*v$zY_be7rY#&2m;d%I<0nL8Co1yX@jU_gQ8hphq6E4j$TJUWeg<~m_nqE4&5 zM?AB)Uhc$Gfj6Z<-fu5*IcMIJ-pc(}P#U4)-KOe-Ytz^p8RS}~xWZR=QQX)@Wdt{k zEHS%*Lb#t6q-A2@lzp&b#E{2zxdkgBU9(Wd$yY^yVs?Ve3d76x!3Y(5bdtm_#A3qG zuC%(~+OynS*7yNa2E;N)tqAo2A=?X*-N6-WhAfm9$BNCoa+f&3n* zt6js>)iPzGR3H^d1yX@KQ9!73a8qKar9rOTzip~1psti5pdcfrIQhFx0_3Ju5Q5AK z!@6In98@+AdL}rulf*8>V!|*;f@{|XxpLk%l@w4{$`DYH5mTJ%Oxq+8pv{@x^fZTp z*A5WQj+o%oP7=Eio0bZs0;xbMkP4&%sX$W!1@%`uyCqDM2=KRYF2Ubymqa-GX!-N* z;pv$#LAW;UFGJ%G5g4e1`|X3Y>`yDb;LvU1iA#$VOxjNfaKx%g-5vf8sJWp`Rlfqy9e3b)}!n{)xI@uCdUK+kT_X1^V}! z#!I5$aA4w_uG4A0Z!qY0XW+GiGNtm=%T@y(z?-DYyGf6&~0}s^t4b z{$mgQ)`nm0@yQ+!e1!#H>``4f**1$G(%K~d}8{kum zvSNQkI_B!o}8M!tw|bnM8vN; zzuZM%#c|PKxhUI~Ot*&@JmOw5%a(iQEc!0*%-*`mFXXViSzn0l*);m>h$95##5-Sw z^TlhgDXL5NvYpIhkV_Uaf1SjuvaW5;uA4J!(83Y_!`tFd1}iSR%0i&v-nH+WOFg$> z?ULePtDCdquHXV{<^w~w0bAV!Yf}nVdo0#biI zyx$(O@~=+;o401?vAy$AUGVSPAXn~#HI)=lSIQ7jkP%ay?tLFjXu=gpK{oAiqHBX( zId7Xv3aBe(2q?&iDNdUUTYnoPKyF$ES=N<>=j$&5l!MB~LC*w-c9PhI*tAq26-WhA zfm9$BNCi@XR3H_&wgUP4va2b6x>}}JBr30n&Cpcfwh9R82R9|Um9n2Q$aS54FtLK_ z9?h;Y199+6256cZI_LAZR;ZAASMUY?dzY!9aQmtVkegOP2r?@SFWd)f_NEZ~m96RU zz=WY)X?4N1X+Ib`$aS50``!wQbuduu;g<~1v=4?(nYYCx6jHlVhJb=}t^KEPgm^ct zg1mm-wtK5dglujd0BNZ}Dv%1K0(Yvw^5%b>>SbanI*pq*$XT|$)z6~u^3Lq7n>-y- zfw!bU-fu5*HD}(F@_y@FA~q`yWiww$GON=vix}RSuZe-W%YaYEMb7wnq!})8u}82m zH{9=r77yCnm3ZOT3vS%o32%LSB>5mTIeRRkzzUvAa~ zuXRIJIjC$L^h|JQCy8AaX&zz^HTEwBk!Q%BQIPQ|oV9B=_Fc|fL|W$w&t07qWW*FF zSM!qq#q0!`6^6AQ<)E^0&@;iIog{W4_Tt9h06vuQXQyY`r&+`wxu&y>zl?lCo7&rp z`{FftC|gz|Q)-eatVUX95yLz4H8D_k8SqJ$R3H^d1yX@jAQeajj-x=XZ!d8z$|Xo5 zjyJKswRt8qNHg(nQ+2_$YlB?NPfQA5-9>R@80!A>l;Rn2dv5*O|9s1#Mc`ygCiU!7mx0X=>=4d2131sa+{U zKtZ}@YA8;=DgxxDRS<&A3Ip%0`}RSa*6d9Q^($M`HOXHJ^11Ot+53sre3->um^ib{ zJ~w_#o7&qg8_V3OKq`<5qyhs9EQ{6w&nvme#5_8Ud*(V}S)xv>y+=H=w_fhVQ-L?7 zK;CaJaye(-litexR!|zDHakT74UQo#*{m4kTBf*KL~rLKu8iQO5gPBS7$}6hB?B}~ z4E1%23nr#(#XJPBur3!+Lb_(5ij%L30LARfq%U}_8&k?bW#gb_#X!NKog{W4mUOX) zE*@W8IMKCd6l7cqU+vnB&ClN!L|W$w&t07qWW*FFSM!qq#q0!`6^6AQ<)E^0&@;iI zog{W4_MGngB!^TW6-WhAfm9$BNCi@XR3H^d1yX@jAQeaj#uX4;2R9{#SO>YTvwus) zb&qCOnSp}G4q#h$$foSyl35tSx?DgB88OAlS4Dtg_M_~B*&f_LrPYO|y4LPmh+kdo zsdDUVxNZ1vrWQ7VuMqynkHohTsGIk+h?)Y2eV?%y`m6i`>n5Kxd2 zQ=I(WCINEODhNSlg<;(`yDb;LvU1 ziA#$VOxjNfaKx%g-5vf8sJWp`Rlf zqy9e3b)}!n{)xI@uCdUK+kT_X1^V}!#!I5$aA4w_uG4A0Z!qY0XW+ zGiGNtm=%T@y(z?-DaSM^oL$rL9Q#O>e4ogF?4jS<@T)yO+2et)u;7b5stYIEX7Phu zq{DVe_I2#ftU;eCTH}vTTG((|VC`)Kd}>iv?2kyNJ=a?BIb9`)o}7Ah#TrMH^}O2k z-c+;UYRIt$8B=4L)I8CXQ?s`F{HvE*Ru1V zaBh;+bV!|*;f@{|XxpLk%l@w4{$`DYH5mTJ*ecp~Vnm(yO zDv%1K0$+my`TruXc9l<8%an;yfm9$BNCghBfE>QUEHD+=DzAb($WNi~~XrzV-tmo&8&}f;KJGol17u%!I)$8K7xu=$y~rT0KJQur3!+Lb_&ZC{DgA0u-|oWL6l~ zZA#^!vT@KfBNrUnNn#gbF<}@a!L?~W7&^#xoq7A-3aXm!Dl<^*;g<~1v=4?(nYYCX z6;kgCCLQJidWL#K`%mEr;W7K`=WQ|afh4gD1*W9}sX!`_3f!pz%bWjks+WnS=rnHL zsAt*oRzHir%R95TZt`?U1>TYZdB45L)tq@x%KNQziI&YJ2f5LynJ*-n)l->84DZa> z#6aC;z-P!s@S+(maj{3RF*n@rAlEXZl#KCC)p_G5u8iQW#q1U;gu5jJbZyE$7+HlO ztjh(IkP%ayd{qP}W?ydB1+N$G-&&*)-cAy`5Q_=JAPKHr8{`_Fx9@V^BKkT{cphsE z+B3z$owp|eirEh|Zz=R*#@_%wl<{Y$XW6G=YBvy8utd_$Ys+l%|k_3ewLdlXn6 zJ-_(VnXid~VyE^tkUw2gfm9$BNCi@XR3H_2o&vePeV$&vckxeO=IonT-`YGAO5S(1i6{K>xE)Nd{ zr)QCz16l)<(aokr?FzDUK2Qw#vSAs&qHt@P7`jVa2wC15gy(L_08LXv=geEHM@Svk zIFxC_^Gmf7dV zZ)sC|yJcgUI~7O;Qh`)pK!N3ltpU$xxX3enpSr##_9@rXV|{w!V#hPr3Cj}IuXSEa zHQ&tMYLa}C3VaO;Ou65_MmTv*a3@wf_{-s$_oU|i_T?oHM_#HoAtPk*Zc}x^wQ1~) z400_~T;cQgWY&$AMr8yyjVv*{fkL=jGC|u`M1VGD)~lc(1eq0v9Uz?TSuqE}shuQtA(lE~4_!RIxNxFt&nU>a6h2?WRhazz zZ9$|}4xYyvgZ4~ua5X;(P|Qw{Sz%b~Q4T5_2R#!U+DT%U^Nd~Ufaka-$1UxxRi^jQ zklaI&Sz{`Y3Zw$5Kq`<5qynixDv%1K0&iXcp~JyVi6JotxyJWzCEi&cDyZ(!>?*Tx zfno=+EjwgW_HW573}Ia^poEN=;^eBL0u-|^`%eY0o9%;r&Mnl?uC%(~+OUFvLSODikb-PBkvP${L9W~fYbq(Au9P95AS0$YZ7yux zAr~Mwt%4k4AB;jC9)zJ?X?4N1YlB=lZ<|UAs4Ha%D9DH@PIoO3g{g*d#=@A?d(1}(QWHD^BClkg>0AP3_M!ey?c0i=F5jV z7LNFzzYK~$L|~v2>z54DvOlf#fUhR5ss@ZTg=e4VH_tZOL?dc)=s?C9`a~XU?MU^3Lq7oBTo!-2887dc)i=Pn{F*tljzIwbvBY zr7QNyJO;UBAzK$N%CQ$K4+6yNG+gcA;F?<4PlhPyjy(hl?p^!7xzuwD)-EXywz@ex z?g}oTWs9NQq7Btvee&=g%<}NCi@XR3H^d1yX@n0Rf7aE1QK> z%<>2s;#z= zh8evn#C~OKIy^98XjfWYaP8V4SI*m}k^<^V83GD2Vv3VLI|Rs0s~`uOx0G{ilVg|n zma5aY)J*o03Zw$hS0MjiVj+62Dx${tf{1cx>AOKf{d8rbnp9MLKCh)3bJXB6I~nR%6Z#VQb1iPLqI`BOmW&= z*!tTT0dmtSNbcXFLRu=23Zw$5z@Ze7Lsj#pi_tgTT{f2rqyk+9^7mz3i7w@Ex)f3J zqynjcr+{#>z4K9BaBUjL%7AsUpy({EFPh;J7kdO7bHn`(axF7T$r$fcvGJ4N zhh7kA-7n>NtTAZM6bDyx6`+`Xxmg#y)_RnK%Em#@1c!E#*o9b37zRmj?b;yM_`H1= zzoNRu;7~Q)Rc4^zu>;n9QoyE7*}o;DFobovfD$rdij%9#Nq}PZ1I=3sy_oSgfDdK- z+38vKX%_KECeAG5FC*X3ruO#YzH)v0V(A_QmPgMo{&ePRVxZWmy$$3~msB7XNCi@X zR3H^d1)irsu5X{Gm+xKt)0a8>Cf2t$&jdMWCf;qTF1U7WkZXJ&tWjCF7#!RkpY!&k zfr5uyGCOt?Sl#RxED?z9?JHj=4d2131sa+{UKtZ}@YA8;=DgxxDRS<&A3Ip%0`}RSa*6d9Q^($M` zHOVW8_cM&ojUUS1Ppsy{EbhX@nPv95@mt!|-fr1g=1v7tfm9$B7*JsOVQawiN-i=n zk51#BxlUM?sDAD9TB`YG_EwYRlT_eqP$2KO7rDwa?@7)3?M13@lU%AdAq`~lZc}x^ zwP|cW400_~T;c2Ne8iOz+%!UCb_0cQw`72(iJ|+AAeT!as&#PCvSOg%;Btk4f^^L| z6{lLwCW!!T&aC@S3PO-sVb}q}*`5`15S-dcVi#hmBlgh6D)gB-cIw^f_I zt#-1TR3H^d1yX@)De&jD5@nuLAQeajQh`(;6*!OrLM?-v5<_JVa*glb=HE{!2*2(- z@jTWTv}cM#-MMv91lWW*FFUljq0*^e@BsWL4UNCi@XR3H^d1yX^#RUp3y>S~wn zbhS*cP4qurOE5oP=BLOEpB2y)A{9slW-1_%w|73O3$9%o!Wv ztFCTAf0;-8Ap!#x3cqBKmi=j^7aY1RJaK7}f=T;Jbj`yrm^ylgvbB<{axp!>K3dq;UnbZFRi&Lc*g9^2D8F2qc??kGv(?}jB7ePu#Z&9_lf++ z9{Q~fzuM!IJs$W93%=N+x^S{>7C*>EI&7C@U&sE;8uXc>HU9Xdg$?h8$~da{<*9SxowYk(y!M)+ zx^%@pna3cPEM(t22+PF(rW|{*Vh(1!PQ%p>4z8(%8T*5R?$|@1;NG?Gn@c^nVC|CP zV5^(65BrO%VHwDVQ6`b{^0;xbM zaMub5)yK<~%@Sj%(Lt^&?cZ9-n-(@JWCMks+>!yh7B8I(vHohU%W4jSQ&^V^C?O-J zIQgmwP|Qw{Sz(ybn?meYwx+`a6NYxB)dknC4RYnYZ7M0Cu9P95AS0$Y`LjcS+_VaE zpm|F<$2K{3d2gvYeM`+`FR4H(@O%aG|3yAuvlm93UKp2QsX!`_3Zw#WPyxA?Z`Hw<#+K3G%8s`+Na`2rsgu&hevd*25Wni!mGxOQ!j zE9Y%fNda}G3;_ihF~#ZL=j}+N>5~ei0;xbMa2W+kpJu?NPx3Mkd0ALSJzoKRen>{7 z0;#}TQXqd{cD1W{x>}}JBr30n&Cpcfwh9R8-3=E7*=(0^qG@by4RT#)A55&EO$(dt zPy=!BO9p6~8an6mwxt1BFWNhzzz=tya?DQ=AG>iBn6K9t3myvI1Q+s=HU)&H6 zWx2k6dO2pkCZ6VUj*D|HPo)B>Kvm!lzIwXWnom-JR3H`jN)*WT?IkXBxdchX@fOy% z|7G(`Xpm;&-KOe-Yu5(3#`nP*m3523!QJsW@2MFmc(^44bnT7pgEiz(v^dZ{m{5;< z;S^+Z(K*pHCgUL2b>^*DL7NsfuTBGT@Jj}0ni@K1-kO9$YFEk-P>`;f8j6#ziU7H3 z6@(zO!oYj$zI~9UHG5M+{mRyKP4bt5d~WHi^mriPIT=V1sRvZ zSG#s&^Yga_k=A*_b5|z?88OAl)%+wtF*`wKg<-8nIjC$L^h|JQCy8B%J*PWA$srX; z1yX@jAQeajQh`(;6-WhAfm9$BNCi@XaRmg|!A*%F)ot5kfhH{#NCi@XR3H^d1yX^-Dv;j; zb+t=#x>}}8lnSH*sX!`lCkhC44sJ>ewKT|;`?pOs1=N)?1QcY%6eoYTNr2q63PO-s zVOaMIm4nL0LC*w-c9PhISWFlONpS7jAXm=Yrji2cN*MwQGGdBTooSmS0<<}^o1W%S z@Y(^w*%1?*+DT#;V%OKt`FRehz`ZE2-1qOL+^jPdNCi@XyH-G{-e2wPmN4B$e;enL zg>0AP3_M!?ynA?h=F8%0;fVkF%b@r}1O_Uxe)}LT`_oDV} zYbcYvkS^OXu>9AdreI5HVYtUzk z*7)O-7B*ZKSbN(5pIVd^`y9)a-3d(x@XMe%1NqF8V5tiw4U@*|uc5J-px%_mWw*+%spHF@!D&O>e3baWFCWDvXHF{7vo(T?NT`r)6jF{q7tJ!b~(B{mp1#>8P?EvBI zhzU;ZB(V#zm@o{I;M%o8uAH|`B?Z)#G6WQ4#1yA{pSL58rcWx63Zw$5z}KKa{=dkp zUFFl&GG(GvAQeajQh~!OAcwCo3rq#}3ds4?d2}~i6nwK?!ila8a^*f)Q%M1Jr3?WD z88O9ab7AYAxd6Fo6@(zO!jSv7frhIv`Fn@WJYmknGYhn5io?Cn+g_82rvj-!Dv%1K z0;xbMkP4&%slX)_$lsS;Qq3mUsfi|-3Zw$BR6vN2_f4Fx~t4Uv4>wWK+`@LI%VD#D^y6mE0}bc3+Nf@ z4edXLBZSB7ub;QY$On?dE);0COrOsOIi>=sKq`<5+=&8*yL-z-;dB}|Z`8AFd8?mA z-{qazTQ_++qyleAfxO>d%<8GkB8GS7Yhs}8GT<}h zB6!gZm$=v?*q9sccaUqDQA);mr|P`%6IVuX*J5@H6~f(;0lGG2AB?QR5Z2`aO2~*Q zPQEGv6tgck>w?z{_irsy2yZ8eU5LemVUPsZt_^aH&)au7ZxMZ+Cp?ce2JM;R;Lh8V z0LAPFnzs~sG2?FlAIkW%)3fZ;EaHz$oLRV<@)x;(me_+kDg!r>CD%} zK(SMM8_1t7sX!`_3Zw$5Kq`<5JWqjK-#$+--@EvyFLU-ytZ!|e33AX(yxUY=aP8V4 z*Z4kIqq1%>IJi4L=WQhe1rN7mfUf2K?Smpx)wrveIS5X!<_c1|To*hrVQ@VZWJAJ< zrZE`@xvn#B#R}TAuz7VFh=X4;K-1LFIrG*e6jHlVhJb=}&D2nwd{qRo2R(p?lW^cXRiKhZ@N`buJUgUDlyeGYt`>mifLTz@4 z_8S~STC!O&$hAyywTRx%M_d`fO(QhkS20iscS{Cnni%Tq6cpoDbI zLKP=p6#a6u#QE8=IfM zEr_(v6P~*|DaeQ^POj!B0gBlPGAj&gJ<364>N*oc!G;0dmtS2tj6r zVcjoO4k{Z5Jrf+-Nn#gbF<}@a!L@6HTsd!>N(!heWe6z9h$&8Wrfrf4(B{l;dYVJQ zYX=BtM@(>PCy8B%U0*-v=Q*ST_oBdZ-@lh~v(8i?6-Wi{S^=ecf3>q)!gL$`ZJbLM zvR#rh@M!t-?&0Z~FN>>%BmUHf%}v2GW@k2-6^0qTDa4y8 z$22LNUDNR#`$(01pU8jgq2Jo@t35v1<}&zwcy<(=7EH~EDexcT4E z^oF@#o;oMqS-bPai`EonyMpVAeKL=T%liSz+}DMR+Vdb*fOwsTs~sF%<8ARLLlksZ zSqK!|yY~H)wU-jHOHw$y>5>yov(=5_;B$q*&~3n0H`{79Nz~9F?b-q!?!{1$uC;qx zlPEl!%IdZ6W=Mxr;D8F01J;x!r2?ryD)4p{5L%0uE1M<8kP3rb%g%$sxk*yjG3!!i zzpAZ41a~cFw@@M6Eg7I|@zS{vySAVl)H*omncxuCu3a1C%6Z#VQb1iPLqI`BOmVvRc{|c*`lJG>Kq`<5d<_cZ z|BJlZRX$xUQzl9UQh`(;6*#;Ca`+0fz*JzbfSgaAM|ZMINbZZ?KPQrDv%1K z0;xbMkP4&%sX!`_3S3fw{C(La)ogN|n&?5ma*#T*j8x$H3J4+bzRG61q|44e;2_s^ z_HV@s+O$x2D%oW-69%_rfTpRTb3T7-^$4lMx?DgB>6)pbIQgmwP|Qw{Sz%bWDV2lD z#zE7JTySV7iCu`rgkg{b*QWho=pfg1=IwhcsA{^a%s{b+Uot?`J{US>-WDrVNWCkV zbeIe18R`w~KZPTN$Lz14x5dZ@lEf|)n3f8p0;xbMaHk3^Z~n)rUM7~J)3|w~o@L8h z{Ve(}@66u1$APh}P{yfa@D19g`H zpN@;1@$*PCT;gJnU}J8$-$AZrMkyKNovQQ3Ph1(nU5nW*R0wxV2I$(9eK4{LLs*v! zC?O-JIQgmwP|UvEtP5T*+`qL*A-tU=c3HxoMMA!=J)JDHYA*A8Z&H=>pJsRte{N`o7aGWIQS(4G))biGjB~oA+;-I2q;L`Obx}! zS4Du_vBWn)0(|0p?+m+x+eKcK|VKrD0@G#nh&$M3lnFS+2_V@X;XW< zWn-B;6-WhAfmC2Xfo0J;;CUq%nV3hXanD>QEKAgBwfBfG)LZ^>f}YTczkLPretVH? ze4%@iTgw}{-#!T4A=GAvXurWRq$QgbgIvoLSBvQFe8iOz+%!VteH8=Ykg2xVETXx8%?B9}E7{a<-KnWQ!#mQGifMWJ#|Eb`0!+o&-vfM%q?MkZ)u3a1C z%6Z#VQb1iPLqI`BOmVvBc{|c%`lJG>Kq`<5qynixDsWr{@}rhlyEdn*WqNI*oD{EB zFym8!R3H@?R6y`^H(V5Cvt7c8t_^bKK3G#p0d=Je0Rj#Df$W5yt2id=+ z6k%vrT3vAM+8|fX+oqBN>Pi^`3Nm7f(_PQo<@GbtZ2F`EhfyHs?O`rO7Lp320$+gw zN{{|(XZO*Gt{lIObIC%sOL7JtE$!YtJU#Q}Lmdl8{Lfzo#UFk#P>J>12Wi=#R(ipq z+rkr<7AcstzeLwO{DP^YXZo{wLIzLeVFS?KbQRzb-!F=p&PgTMw<)t?>CK?M8V0w3QKtW&)?s5UaD@v8WTQ3KK|00 zn}TP|&TKF%3^RIDh&NNN?sTl_@W4J&CEq9VAA9JxHvDRjPxg4=D=he8kLtq7wpsij z7wNEFl6@WfGi%Uiiq`n!lNL5y7Fc`R0H0cv75gL7Y0tG5d`?#hq9>ijzM(1jtRRAP1Val#`YUqynixDv%01Jmi0YyV@)`T`f~4N(EAZ zR3H^NyaICg3bVjeV6T9jPo2m1&PR1Q2lR$PuG|M}3RyMZY&c)w;{ldc$$anoU_ujv za}C$74RYnYZ7M0Cu9P95AS0$Y-TS;9X*7LOfm9$BNCi@XR3H^d1yX@j;8F_Y@5?Tw zWS8gE^*De-IY13rLMrew1%xnn!$m6)pbIQgmwkegOP2r?@S>jz?$gUZH1)0(|0p_R+6V0! zA>l;RelT>9>pJuHy%n@+;ezw_gKXrN#=Gz>ZDwz`>??Dp0#{cc@3$9` zeC9nV@3+n+;%Cf5*~}M`%<8nvB8GS7Yhs}8GT_s3ku!cCX=bxSw-=&KT^twENh*yDx!U_=T- z+=5@(y6v`vKZ}HXU3*4B#-;GJD}5JF1A@C2vsU|*W&CC28`{*~UfdV2!9&@y8ktg) zOkp+BGK(1AnXid~y32r1x}*YcSb_h0UADa89<#MKsK9@}@q5P`lr&qKt-v?`Z@Jv6 z^m0rWYCG4rPldekJ*qsd=OxGgW%KM*>?cf`iFca>?%{q1xt1BFaMxWFH?~n3!Ci~l zEmR2i(}MKujqQUq|&icU43xQUF1~Zn$9x&-1sf+V!hdSb^gy=Hj=qh zftM)o^ZJhYlHiO<1&*YEzOa~O(fY#Z31KpUbEVYv$;JPjxlUM?s28t!lyGKm^{1B6 zV$v@axV8fSOD~r(@3$Axa^^kht=w<_umAP!H=~;p-HXEB2%wPlGVi=6fd+C zo~%IOVBKhGu;JD;3W?bb6vF+qAT1LE_ZvYjm%>x);Gkv2K*7P~3IPS_nsF*lwVF*5 z0ot5duY!UQWL6k(i$9Q5q}XYcKKB*~UEz3A$X>Dz3OwRd_NB{V>r zOM+Vsuram75LjvlOa6cXK?1}GL<|L9wZUItI|5fD8Y7Xo6iR3U1f`Z*PH}%iizQH` zup%)_g0v^n{Zx9mosZ1)6JKeD3Qu=`&0aHm{e;KKlTi_ZLp@2^Ww$eoba#Jmo3K#e zS`?6}&_7&D!BJ-@@K6En_+g>I4Jsfv*i*E0`wHmow-_B>l>%pepT$*a6~$hT0zxUB zixOQ`cXCaCqEI;VDvBHXob}r}yP<-IpBAKLL)LFeDr|~%xquSVV~Ufnxd4^f2{KD; z_^oK&K1j<1hkBB-3$eU!eC4tC3nEXKJ)$7vQ#gGM=Q?8CCPd0|*nU}KJX@wX_?in) znf)ThZKB459EY9QgaV;JC=dz^Rp4B|m+`U}779F7f!Bwb&QqgL5f|SBbqbXaIrb;Z z^z1}m?Eb}PZ=cN)1fjrb75L=;IQ+g|NE)x^1!3a!nHBi!zx^kbm5)yr%Ozc<)>lyk zj@iX_mE%Q{)q8hi9V{VItb-kvi;)6*_x|Yf6J!=hffqxH6HS{3Wx}(}6@PcADk-3@ zltn;6dQ5RzT-bcC5Fj_Lf)Heu*!Y(gq-BCbJxSSx*h3xvc-V_gC~zeT$YI=met61@CKe z%t2(B1xy^wfO62XMsEu)zsvg!@~IV3E@Khes%fV`)LL3_o#^+nUmMq0=*exr+3fB& z`;02{Qao3^CC>U+0i=E9tc6nhQRp%LJvJeXFjr#wE&pUhQHos#$PV?z0FA~by$^VuXn${--&G~ za9s-U;#!5HY0jdi2cguba-I@-T!N4)+-hK zaOtv6t{AsfH3ig_vIr7ZecD8AUfRL186$&kFTy?!@M4#KTz{uP>j85P2d z#f=$KDL2z<4SCI1cLhWqt|8ST0V~qV;_qd3eKth*8*&Y%vd?1-3k9xDfxrIW{)zMX z34d;VD7`EeB{)$v7G)o?z+v7B-y+H49kPd&kJXtRL~zx_&@#J$IQS(4R80*Xvkqnw z3aMQwi-3YO&D2nwd{qR`fiaq?20jl=i&>`bCnS?@WSIQ!wAWf@(6pj$@rd1H6G)rur zHg4;^Da7ULwOGH!H7pdkG6h&o;8SYCLV*{n!1UsOIsMDPQgj$MFVv%KdZ`~p-{jq@ zx4)R?Qq6<|9k%LaV2MF}c?#%eB@MaXK8I8`Yi&)W>r)$@8TmZEmHX}P-|Tj8H`4p? zJLXngoA5km%(n`Vmcvu=^whwfxRK9^j{KYT_U_;Ot1t7)$KR0IU*PEO7e|ImvPXq$ z8c~W@edXiJG8@57E3;du5bl-?(6k}zU}P0G#kyQT3F$G#$yY^y%Iwq4I^pFH6Ofh( z4)r8u7hVP zBZ6#XdX>cmIi*b4>Dq`>NCn~n=v zc^o^$aVT)z3S8U}kNNHKfVzeG?eA=y360TAd9^9K;M%lKu4zOmeD0de$AzDAWzAyh z?1l;+Zpi>mi}l-`B2vDBO~uSXaB?+Qkj&+}>~;yKN0A%?Y8ytFn@x#Y6=dgpAQ`gB zxE14BrA>D7z-~W;eYa$Qs;Qx4#;w&Oq%PLw0!m2JObx}!S4Duz>;#!5HoUg3+Xtzd zkqZv>BxM(3H#goleo^*zU^VYYaVM_nC_D3iCLG$^D9wU56bJ=Efly#01*TuNHe%a= zMmFFmQD_Nx_W6>8g+FtWF&))aA}z=;]i#?zfw0Ir5%#EB9MLX@p|T zC3_SWIJ>S>&%6oeqN#AXEuXIqxcL2#-kDZ3C$9c2%lJU+T`qG^vP z$hZ_fU&C3LH|1v(!A&c(Tc{B3mJHCeA>)>;!lqc43n(EyrZ~B(>;#@4|qawvLS#5$PO@oM*4sK>o<3bMH9oM>7n zSB%@Lk^<^VSp*cM#}ucp+G1Q3WNgJra*iT z)XA>c{$!aVQ7G^d75M#2Y&v?reg%XU>MI}F1=pr^a>Y7WRY?JLr7Qvp(qoF#^{#{6 zwR+O39)7{p(K7wUJW2)cYjVs%WNdwAp9tou?W47sBHCzZmtvkUiNFL`{^1B-MH;n+MJ-@>}^T5s~j$L%D?9LR{{U3 z{qp%va46R00!m2J?!K)REX0@D>C!B*8PS_UT$d6L?2an=K9L{op?p*CclP*Tj~!oO z!54dE7f#mA;ybxWhpm#V>sX(egYGG6+pmvWSa4Zj?ri~ls8MF@T}h`k*Ie)+T_z~) z>|1q}H7-%+^J>?7QO$y@BF7wLOqF4h^OSb>)!ybLl{!kq&pJQdMW4m7X|Qa{wj|T# z;f6=tOGepr&m2YH^tGx+Y>%eVM@L*jKn%RYRXAL{`kW%WG%wrUJUY2# zBJ<}-JS+1w@!+~RGY3r^5BrFsN1ww&PAQT7%LV>aZ0#u%^ET$M`mIp{D z*NN6|t*}K4dCok|1%=Apk^!1lo;oLD{n=WR)f@z;VqGqvg!Gu=aQVNtI3?u0RU1Xpa+3>*R`YTUAm(T`7xzg7lc;w79VOuQ3ATrd5zwzeR#l z@8>iVHg3rPRZ~O9y#Lnf5mFcHasefzX{LtaP#_ct1ww%l2JXSQN-|$d`@)KojQEFTm&zg;Swi% z6c*;X`|aeKMwF7Vyi#>o_$gOLaMQ}{7Al0hB?B~V$T}EVg-x+87f?caOmXs65uh^r zbhA!)J#qckB8BjJlClf2n6T+2!L@0fT>azrO^jPapT`ONWsN~wrZ~9cb}v9>_6r)f z6uO!58Ne51eC+fn`!I_5j)61E_+;cW+R)yf+*izRpDf*j!1Q2$^WBlpiH>52_STU< zTtb0RAQT7%LV-{q6nLBhF~5DBUcPtoPj7SfCg!&`&ICDVro7seU2tt$Cs+SESf#RN zF*vv@K8Ix`0|gJaWPql{`t42;DQnzR%p3$KS91l)T&@cqn6Pm@6l6idiK;OeJGo9X zZp8{(w6J(~8i<2mGCqraf~d#3Ora1t)plV{MuT!~TVw#rBL+~orLO_ zGW#^>6JGPelyZ>SIH*}NP;jUxDZ3C$y0V8(9v@ve(X>YtWLyeg?%Iuw_unQ&n#T$I zu1*TlV~Ufj`Cfp^>;#!5Hgi47L1yEiWr9OJN!f+iL%PFGY(jxhAQT7%LV-{q6bJ=E zflwe62n9lcP#_fOS3q#>T$Jcy?c_Sm`YjdbHJVjr1_~Z4fMwY(8?t^&W?@sT%LSB> z9#forRRpNaekto0!>&b5DJ6>p+G1Q3WNd|t3Z4Y)X6T*{$!aVQ78}! zgaVnBA_5Wra1YlO#6Pa1?e%xDUY;85&>GASq)EfNO-LP;cSlyPW2>Z z7h>n<&tX3{p}@5$Fx~gBrQE196bJ=EfvZ+PsotOMtfnx{M!)apl8J1U>Q@Bwo7ZEb7{o@I7MgIQuTqBn(jG2|E~iL-M$_OUyv zkq%oWS=X^XGY8#M)V5zAwXoo_z}(vc z_)w$F*t?QWYp%KAL%K{*+S#}2Dr;P#%;(jv_oA8wS4ECF$e1d_B7F@?zR5eXw`TH<9Ju+P(e#YDpPo7f-a)&= z#jDRLvP)C!y?JzU$wW3!T$E!!R)Bb(7H2y+xW>!kYeN)t#a;vw?oIpRXzry%tdb90o~|sW7+orKa!o4_3g;q8p2y5no%O6X2NB$~GP{Ke;cm$Q zO)F2G6R~p(%0aG!gO&*n#kyQT3F$G#DOa=L5}?JIRSV{j@LB=F*&Y*|>PgBj#A3px zlLXhMb#leHttu&?u9QVUL3&Jay7qC~(`fjF0--=C5DL5m1>*ljp6n{`PnIbXg#w{K zC=d!$e3BXJO*+4vTTZm?@7e(3U9<*FJ7rO$HtcgaV;JC=d#S0--=C5DJ6> zTPhHLFWXYhD%ZY>UI>^jq>d;f6nMM>LP&XCWwBh+WM>_)lj}6=w_*h?TF5Jvtg@L2 z8@FVDs;Qx4-hXTL2&s#8xquSVG*d%y@>LO_GCM(LiOsxBsT^cB4ytD4fk_{ro|-(In$|;Pb3-DQyN7K@5tvwN8PEzr{N+8{II1NE^)F) zVPUSj-%hS+L@61|D^-VupK@gcH?7QWp+dM@GCtN*c9t>0VSlz6enL50V=ak zH|vDg6W4DoQV6dnDZ5PJk0K#o(;iWfaVdPhhO;nlV%#FqEC>5#jX_(cIJlbc1*pt^ z5#yE;H#R;4_@a!DogQT$MiJjJa7G!QjC@8L+S`-+;+}9(HqAzc)FeY#jWmrShIiz1 zqNDEA;S(;QKqwFjgaV;JC=d!D^}2=g~fBgKpgy%0jj2kjv2Qmp^(~@vIrVdJdukG%%j7&N6r(bDeAD=Tf`%KYvm3+6u2n`;(oiy$nSkcKf4RN)I*2+h@GJ>l{Xn9@5Kq1^M8K7!nsIODGU}Bn<%tP=h*5v|9 zNYgA-aq?9WpfdY3=o4P^!jy85**K_KGEi`+Cn>uSOS-a$P97g!IMK966l7cqU+&tC zjrZRsM4HD5`>sw3(qoE~tNC7l%IpN0B{p+C%0Xu1pk;zXJxSSx*h9L*PHaMfP#_ct z1ww&PAQT7%LV-{q6bJ=Eflwe6=vP2+?Oc@TV(sKQ&H61B=QWyDWd;f!D}ZI$E*r9b zOJ-qHtjh(IkRDT}xnL5LW&oLU7Z{ z>=r77&-Ek@a#{{r7UTBl3bq6T7dEcu3R1pa-M15H!a{*iAQT7%LV-{q6u4Lgw*9X} z7u)6~6t=&FBBF*+AQT7%LV-(CKq#hjQKGBvPOezLt*R-Yu9QVUL3&Ja@>iP#$W5yt z1eql^^Ln9jkl8qBncz@QQg$I06E>YBxHhemE5>bANda}GECLGBV~SHAX^SKRv^cXG zp5~D7S^>h@9uu7ENy;w7&d;C2er!U4Yf)gj?_W!~QD-O+3WNezt$bHRsnnV__@Z`D=SxI~%Ht6lF!H4Cna9CMH{ zRfb8L?LE>-=;VeHO>2!Lljal1!I}8y;~l8D-Nwa}<4(cVutP_&0$xr#v7ZNO$X%W4)$)X+lOxdlAji=iM*tM@i1 zQFs=WK@CgM%flwe6cnJ!` z|BF1?Ro+ncYH#aJOWDrVUvKBdf3} z*5v|9NRKH_zA6G#W}j}>39l!v-&&*)UQbeXnZh4MLcXRwq9Ef^_M0@I|m!}CNgGBA%0;~qIrn5L-1YHtyb?5&kM@KE5) z3T*qK8gakfVC8TKV?^6C%yygnd^h1?e%x$<=%>KxKA<%o3Zq9_1jj zanLfsp`N7dLhK>kVJ9}BKqwFjgaV;JC=d#S0--=C5DJ6>p+G1Q3iK-=xOOf|bg_1F zoo4-(it`%Hsxkuwj}^eOY?lpLza_JPQEGvRA#@BbufG1`0I{JvkOf% zt=_c|KfBnja_nn3FA!G#B0_M}%Ip>@gwORP4su!!S{CE>=nA$30~a=~<_c22UJq_3 z(`^s`uuvcr2n9lcP#_ct1+GnjZQrtXZQY-=-u+3lMY2#J6bJ=Efh$lzsIhZVqN|-w zu2{dVswtqZltn;6dQ5ThSDOULO{*XTnI$&!dZBWV**IvK;80Ieb|DrMHk~B6Hm#E@ z#%)ze0d=J;0t(V&ic=nGizEWHII|j_=8*7O0m9iH6P)Tv$}Yst&!5A7Y(jx+QDD07 zUrV`BXDAQ~gaTKsfKt6b+gVLvnvH(n&m|MtD#-zOF#UP6J3jMqay4<3fBbAv`Aq}{ zDzSe1AT|5RN>4a6TR3v5k%CG6OLWb{FPJ)7rr(%Hso;H0jyZ@74=&FUTPuH~w>gQz z<9B(VLHJQFV-b2EQQ7Jb-CQU7z3kUg_tP~Nx^dgDv^hb)+1rwAS2%&K@7^vEwT& z_+pRj!pXW>d?y#_uvL=E9tc6nhQRp%LJvJ zeXFjr#wE&pUhR4>s#$PVh+O$rt7`Ig=1=N+Y2q;L8DNg?A5Fj_Lf?UwJrJS%(AQT7%LV-|V zw~PN5d9qQkKUteLqKcO$rbBhRUxzH ziv{Nm-X36CmCV<^4kk3wIo5D(S|?YG+p3ZR>PlGz6r{%#r)wX#J&lG>C=d#S0--=C z5DJ6>p+G1Q3T&l7{Jm@|C7V3^rpE<1qzkAaN(cp>rhpLUF1RSjV!4D9Rbz3hlj}6= zU}6O=T39TH8i<2mGCfPWC7)aCGtJLRHpRMJ zKndwF#mQGifXeLC%{t-rv~@5dg-tz4*<}icM0-id*R)3zWLyfLui-4rn;5r;%2@drnWfx*6+ip3R&j7wC<71~s*@scYcU;p^ z#wR16(T4W+>!|obt-mB8dPk&a9TZ z%pu{m0)(?YCOFlTlwF9$giR+2u2o|&c5tL0Y<*tI<6`#ZUj)e;pZpi>m8?t^& zR$)`D%LSB>9#forRRpNaenIPC9hGJmnrd3TYaxDiv0dfZ*DxbT7mc;sFPj3{mC*#qEH|d z2n9lcD^WnGvvX0RtEEn^Sih~RDWI;DMLmMfIB1#R zP)|~JAr=!hog}z6t&=OpZBp+G25RX{=g+0JSTQzZiYzMo6*H(MnU&OVs_yxAR}`51(2)qXa# z{3Zeem2kg(kedBur6(MkEgZSjNWrB3CA#L}7fc;3({Ie9RPeqg#~eh42bbrFt(Cvg z+nhw<@w>dwAp9tou?W47sBHCzZmtvkUiNFL`{^1B-MH;n+MJ-@UR9nF2`B$5OyT7p zzkJ?!s=5VhneYK}_ib%#3Z7+lMuS;mGom+zcroNw@2SEAyQ50JPvl2?=%=>%&K@7^ zvEwT&_+pRj!pXW>d?y#_uvL=E9tc6nhQRp z%LJvJeXFjr#wE&pUhR4>s#$PVS!?a?&)=!i=Qh=F&w3WtkVpHpO)=4IQP zM<$g_&qJ=zXp5}r=Pj1NoO)F2G6S4kmt;uQ*f>W_B7f?caOmXs65uh?V zL1u}~h~5-pzp^p+G3G+r|HjJlQDNpDa@(3I#%eP#_ezcm?F*D~tj|fwcm1ICa?8S3a`KA)vMC zf}1jI+$2Nixw8kp$6jMmkdxfHFV7TZ%slWwJT*2P>`mX8j6#ziU7H36@(zO#Abd& zta6aqIH+2+HzhQ4xpmCs!j9{qAPW*sRP8H6JGo9XZr@r#ixxH%0YiR2^iNjGu7-MR^W$Gj*T`9zXYou*O5@Q!>=bkvpQqMt&?jSQ3@YVA7RIhtyD%#)5`1?DunxKL0abP)R3}83NCDl zb-92N(qoE~uZjSb*$FaBY@W6bMx?N*Cn>uSiwT=f5?q_s$<;q@-;{?zvltxwD>S_{ z2p(?908P8Gal02fcI8lz3mUhSvzhT3z!znF?DQ!6FpBt&fiueZWaKm2(B7WhSIlpp zEZu{^^k9GU-I33Uj$()Q){#G4LV-{q6bJ=Eflwe6c$@+;zkQruzIXCZZ*%rm=C^_z z^_7q8f@{+{x%$_^V*NHD`n+DsepzGCmMISY>YxCX*)M1vtfSKGLQ_>^FxI;k;%67z zRgQfP^M!iG`mI#i4a6bWlQ_s}IjET$IA;CU^bs=8IwcMs*5v|%-%PCP8-_WIU9@Ip+G1Q z3WNe33QWIjb$Fi0MF!^4Vca9<3DXpHSnVz1k-fEY2ObLClmc#K zp~|aG*#+0Cu{P4lH4SlvFR!Awv6adQt{Pd&>;?+qep-;4iGf4b!IBX}Uare6SP5yG zg(^hS|?ZkxQ+MUCPbgd3HxP@L0hIc zxZ`#&KxOvlk6ZYKO+883h1jrAAQT7%CI!9-B|?EvAQT7%LV-{q6bJ=Eflwe6c#Hyq zbLXN&7jGw5|2o)n)^DlUUGX_AD;X$wxFrKL&0W70dP~I4Ygfuau7iV?2@b`&TtErw zF~uoYv)~e-#hFzL=8*7O0m9iH6P)Tv$}YrW!lsi1*QRxH-SD`rJkg{n-{f#`bfv_> z1r(&m6sKz+w>^!9Pbd%ygaV;JC=d#S0+*>kd=J#guFL*pnIcgr5DJ6>p}>_WAk}JBoy-)y6bN}v8DOj3}lf%KWSeFZEFZaRGSEZab2U(V6k8_V(N(c)DLV-{q6bJ=E zfl$CzKsoErcIFvocEPo3-y7P=B@@vq$pLsUgL|_(KJ#&MHF1=G{A^Hp5^A6l>z52t zv!AT=ghR81BbOQ}nAE>S*F5}!siS53jd_#`-q+-qgUImU@*J_X@;7>$lPElXm-iWj zALTL@q4yD$t^Ux>b)xlzUrXIj*I4MrZNJjy1pW4^@{~w8`Bz~IFaP-E^Tt!vEm+Hh z50JZWYhzRJEVDBj%o3Xsy(z?tA(vM==5%;qcT~yuiTr2}{nR$!+2eyfc6@~eU+j@x zI9WG~@8lvKwo0bHRsnnV__@Z`D=S zxI~%Ht6lF!H4Cna9CMH{Rfb8L?LE>-=;VeHO>2!Lljal1!I}8y;~l z8D-Nwa}<4(cVutP!lg-Uvm3=}RdC|0nGcYpX*Rp56s*LT z*^v@+FNPkV)~4RuLMOitOVHJ5tB}A$flwe62n9lcP~cV-5E?5_R~A!@E)6=lPPBe& zB`;c7%#aNfdU8t!Xj*ydoQU;jYfV;j5S)s2xquSVV~UfniU5__2{KD;M)al-`<2b< z@W6ykz0&N0YtuTpV%%1h6i`>nBA_5Wra1YdLx9}03UWc?mU6;Eflwe62n9lc-7fxL z>!|oUVNxOlZOtNI@3uaiVFRTrqB|N(!heWf4%29#fna7dHPjMu6P33NpPuC-&z* z1SkiYjf0j64)r8u7h=Ogflwe62n9lcP#_ct1ww(FQh>Sj#asNn>|~c{f3i%^NR*xt z8=;}Vc@+@ScP>gaD`h>Ulj}6=U}6R3HJVjr2IAnC3{W*SbjrP&47s(oc>C)a7l?OQ9Ttb>7K55Hu9 zs=YUK$hb`=p^(~@vIrX0Po+-9dnJ|{Yg+@Lo(tZUe|u;QQ~jBH`2yI&j`F3BE+MZVd^92Bobl2vSljo_x0 z*)3EEpX*5+94{1du4qHn!N?|Tigmew64GOeldp;ZmDve0OKfJHl!MI1LCXY(dXlos zB+ahup~n8PAo6tCBMLG;g)?{U#=cpN1aNNJYX zoNe5ad}HG?fG^7U*y&OBVHEKl180=+$;fB4p}jr1FP?)JWih`!z8oW;6UVunp+G1Q3S6!NF~8m7+N3Q=N*uQ`zZDv+uY6<|T$|R(HT}k<@Re7p4sSS( zbudJl*PYleYYf^l#lhEHfXeI_v<}u$X?CHhsxiXqT?_HEi|s1MzJ~ciJ!9NTmEAxb zay^NIoR)){sexnGZ%rQ|^Q=?i;9*@Zpm;USv{sya%>}5;PLNq*GuNXWWHt_}X5@lH zJxSSx*v*aijbD_#9azo#QQV1ZI?C*QK`aDk9FKZ0iGR46iw|fC9vp;{_!Y^#p+G3`6a|E!&P9nX{!Xs`b+G5G-%_!=;&WJ5 zGEnevO9p7#ko8-#3Y%hGE}(?;nBwHCB0y#K3t9*3s5HCKRMYBR3-Pmy?JCE|9UcU{1n*(_-8nUBRYe<{&t^nkz{8dZG3-6b^f_2?aud zP#_ct1ww&P;5rqE?}0kmb=#jTQzQxnLV-{q6u1%vggQGHCAwPb;%2@drnWfx*GVbe*1YtuTpV%%1h6i`>nBA_5W zra0x1wn!pCi!-a?X$}dm6(F4LF~O;xr0ha$SSWCP3dr@992JKGyBN1I2(DNGrFMU| zvzo#*+x)(tOD3{ak^}Hy`t#=g8TR1J$H~>iQU39>LFG3Q7^uYh?Ss_pCo4VS&}`wz zrA7)S^)Jyi55HjQXqkRv9;Jf!H96)WGPoB*L0Z=6ZBC-__+8#-5Pp=)ScKk3RJQs< zH`j@NFZ;FB{dA3mZrt`OZBEc{uPRT8gp+?2rttEQUq0UnXt6FAP(qq^_ib%#3h`xj zMuS;mGom+zcroNw@2SEAyQ50JPvl2?=%=>%&K@7^vEwT&_+pRj!pXW>d?y#_uvL=E9tc6nhQRp%LJvJeXFjr#wE&pUhR4>s#$PV zS!?a?&)=!i=Qh=F&w3WtkVpHpO)=4IQPM<p+G3`5)}}dE>Bk$Q;aTsI=N1?erqK!TF7(eX^JHD zB=uIK^E1T2dfeD*>rP&47 zrgd_~xUDKFpstifKtXy;aq>rp0J&)uUV zQxQ;*rkNUwldp;Z)mt!!gx6e;a*)|Ls9Lo*B{XxnbWiy09AW$=z37PTnbUHgM*qS;}9HNt|FiyO{;$tju7vrRS;S* zOKhGtZtJ}%#91e|4m`p_flwe62n9~2!1QvxQNC^2HYiFq;3!dO33&E-ULZ%=^in^H zzR5eXw`THi2nB9QfwBdlVKpy8G?qnnsk8v9a<|u8iQOmDw#+2zN^cXxflfbw@WxZisTSb+b|g2 zY)aIsAUo#+$&il=md{wf72{c@O?GmFajV8MX55;DV&uM*ML zp+JWM(=S^co+omVfq8To_sDqyv&VDV$llJ`SL6-_POd=QZ#R*Ap+G1Q3WNfo zKqwFjgaWs#fY4g!qC}Spom~CvV3n2Su7cbZpTn|}g$opJ$pB3ovVKceVN<^5DJ6>SE7JWXMN=(yWrZi zPOezLttu&?u9QVUL3&Ja^4A^($W5yt7qkv0)KjlCyWrZiPOccYRV4+~m9hvZNRKH_ z*FJ808V#RNAQT7%LV-{q6nLQuD1H009q(_`b(OyF=aPwOmE-_CnA*MB9iRF5SM!OZ z{NrbX%5Q!#P>J>12dUXlR(isr*}{=ajTB7kU!rRse!2@`48o6c8H>>Sh{{%f=;k`n?`6N1x}UDG(2d)ErOgTY?N#L|k#O>_ z!W3Tq@yq9pr>a}9mI)sqci+~=rr=p-XEc~4HY0jdh!;a{{Vpq9%UB~*&9CS}n+kSo2!h*{Jb8idaLyavsGUNXw2d*&$mChy4Jn#nhEm|mkZ^C>7uBhrTd+1saj@CV z!Ese^0yXo2uG@gkZVGc#3RZhm*pU(&*4unxl`MXjyWQ`)8igS&6bJ=Eflwe62nAlE z0z%W}>B?e?(WOr(*NN6|t>i@udCojdk%XSyk^!1lo;oLD{n=WR)f@z;VqGqvg!Gu= zaQVNW6i}pCtv`(%Y z9=9Lg5Hv5AE2?EFn-ZFX;N(hK1Qevl6sKIxB8dPk&a7H6hlJM(5YG0P;8agib|E$_ z6bJ=Eflwe62n9lcP#_ct1x~F%{JrdCitkUBDH4SOFH!-a>&``qra&xib#k3%9Zaks zf5nGo95Z3#mJCoeHFRE_y(=e~T|U-PWd*c)Wcs;YQ!4@r(ljenaqJT zdEz=)wKs*>uWU|-2PSOlm1Y-QtM-+lom{6Gw{NYWvJM7{J^YdZs`lQ{^`Pu%zshQ0 zG7iDX21#5%KTQ4gJ#OBP1yz4rgd`luY*-8YZik; ztb-lNq-9kEsD1^_A>nmP>$eQXde=hBvy1I2$K{cASfVRGqX@2=7+PjG5Qkh(;$XfW z>{m?<9rJew(?>`>>y$WnSeFYZUQIJK6enL50V=Z-WR}>>^(Y6Kjf1Kgx!_PwQg$JB zbK`yE7iDh;R`Y%ocjB6kvQ7W4|M((@_V)O4A|ezB1ww&P;G_ynzigc}XEm8r(dU8s zyzol&$a%svMXh8X3yth;Y~{fo3S5{1alhT_UDgV_=Qb9 zN!f+iuuvcr2n8kurn^$;5ei(D0=%M!g#w{KC=d#S0--=C5DJ`00U>YaqC{7Tom~Cv zV9!~$hYTHpRMJKndwF#mQGifXeI_v<}u$X?CHhrq#O^ z;%67zRgQfP^MWNqJmEK=Q4S^z*{xfd-QtAUxt_$qoP_bUxD}@sFPj8{mC*#qEH|d2n9lcD^WnGvvX0RtEEn^Sih~R zDWI;DMLmMfIB1#RP)|~JAr=!hog}z6t&=OpZB
p+G25RX{=g z+0JSTQzZiYzMo6*H(MnU&OVs_yxAR}`51(2)qXa#{3Zeem2kg(kedBur6(MYT1b_G z75|n={Y!K$+%K3qTBhHa$5Fw%x!`?GjyZ@74=&FUTPuH~w>gQz<9B(VLHJQFV-b2E zQQ7Jb-CQU7z3kUg_tP~Nx^dgDv^hb)y{bGV5>EbAn8M3He))VJNX~z=Cd@5Z%Y+Y* zyKie_Q}8UaGaAein-RS!#ET)fdQTM|*d0~!eIh^FLqE06clP*Tj~!oO!54dE7f#mA z;ybxWhpm#V>sX(egYGG6+pmvWSa4Zj?ri~ls8MF@T}h`k*Ie)+T_z~)>|1q}H7-%+ z^J>?7QO$y@BF7wLOqF4h^OSb>)!ybLl{!kq&pJQdMW4m7X|Qa{wj|T#;f6=tOGepr z&m2YH^tGx+Y>%eVM@L*jKn%RYRXAL{`kW%WG%wrUJUY2#BJ<}-JS+3u z=HR+GGY3r^B?e?(IrSH z*NN6|t>i@udCojdk%XSyk^!1lo;oLD{n=WR)f@z;VqGqvg!Gu=aQVN?Xl**VVjZk1WY&DK;Jm@x11zhO`P$dPgeE%28m>+27*DT{!D z^qAsw?c=tm(eMccLV-{q6bJ<_Q33vz9u^9O0-?b5DRBC~mtCJm8&$mDh$m=5fl$Cz zKsf6zxG2bCxr7r{V{xmK>on_NVg)T)SS*Jch=X4;K-JXHG4H=M35C?Iltn;6nr3P! zPQEGvQTn4@HuT{Z|CeQa)$yZS0L`Un@B$Lo)q_6 z=MwQd=0(}aCz6cnG>syLcjR-Tqwdt<)8!&~(ad6n$Y0;VwP~GP(}+^|8Y>^=%9_R0 z*$ovu+>!yB=Ic~0SelHJ!@;pwmkVew_rcNEyqq=%S(apvC$57LDQwCu_?6AeZp323 zrjrEMrgd`lkJ~rpVbClFhq4X^3Lb9B08P8Gal02fcI8kIq%=!x=7FRfWQ{p!ncz@Q zQg$JBbK^6BFUt7X=~4D!6!9I`bd>SQ$Y->ny*;@vo`V-<(_MW?O)`YlNYf}{ct<`b zI_gdxKH(AygaV;JC=d#S0-?a=C=m18Ev`k{f~3T8EAv~S!OlgAk`{~eom~CvV3n2S zMdH#yUZYu6W)VWv3g~{r+>Nb+Rpd~Fx`=hKL?u0y3VvMMrZE`ZL7;@L29TZefn-Qt zAbifa72{c@O?L9YZa;*5w`72-si9-Wt<@u>F4pA&N=Va84aLbM0we!C z)scSfBI{F|ozcyhj)_Lj6Q(KZamte&kL>MaO+=zl;1U#w`|T!IVdOpOR_?cg(g?+v zOZF%%aCB+O+DIqYG{lvR{+i6Z&{C<4;Hr_O%x<6%?v@NtH8FI(5ae_UDgV_=Qb9N!euzhaxN#xFQAQifWBgLxE5r6bJ=E zflwe62n9lcP#_ezT?K^JIu|9nROsaDUk7{6`Yjc^D?W#1B?AQyw`72(4Ozb>tFS57 zD@c(X@KkLj3GvyUOt*2?IKDHZNGN&M5GtA-i=e zvs;`HJJ*vq$Z0ufS&ZAGE7%eYT-dmpD@gfzq4qR54tuc)1ww&PAQT7%LV-}=Iu(fT zfjZfB+n+2`BnkyWflwe6xDo|~Iy)C7x?1YwiuK#7ngZ%dSp*cM#}p@jwMl^7vl3g+*XwoP*=(#pddY_IOUPHNFqRsGppfg z4hgRnAe`+n!Kt34>_Ti z-1aMNPS9_!Do=@olYbSa@bZseKHmvwu`U--LYj8>ZEb7{@nv>KgIQuTqBn(jG2~Y7 zslo%hqe{L{?$+}s5Cl~3kRg!fb>oarEJw9ppW3qGXF1f`vQtFE%fCCYqW?Rqb&S#VY4n1hU|GE8!w($2ox z+nl6QM~V1Z=cl{qvp6;lmQC4~WV$@u@Q8cKD4Xt?qv)HwBYSHm-^gKlvEGR7(KPz# zh)W2Ffp@qHhl^LAQ)HLsW!sxaCzniQ{yd3iWuDs{To-5NpoydWgO|nE1}iSB%8Ee3 zy=h-mr+RL|+9bunW;X}NRly0=%m=z|12(%U%uOj+?NMPzN^Dqf^MzHi_+9RHzw2rg zhOkf|6bJ=Eflwe6c!>%KO_!%Diz!BzKAl`ATEDfD7cJyD^E5>gdU8t!Xj*ydoQU;j zYfV;j5S)s2xquSVV~UfniU5__2{KD;M)al-`<2b<@W6ykz0&N0YtuTpV%%1h6i`>n zBA_5Wra1YdLx9}03UWc?mU6;Eflwe62n9lc-7fxL>!|oUVNxOlZOtNI@3uaiVFR zTrqB|N(!heWf4%29#fna7dHPjMu6P33NpPuC-&z*1SkiYjf0j64)r8u7h=Ogflwe6 z2n9lcP#_ct1ww(FQh>Sj#asNn>|~c{f3i%^NR*xt8=;}Vc@+@ScP>gaD`h>Ulj}6= zU}6R3HJVjr2IAnC3{W*SbjrP&47s(oc>C)a7l?OQ9Ttb>7K55Hu9s=YUK$hb`=p^(~@vIrMyRwHG`^SRF z(`An+$oLe_+_f9~W;K#?5W!6=vsdxaZB=zjn4qS zDC1+NN7;u_#CHswQN|}DpV5Z)_T;{J4qlYS{Py^AjC@WU=W>pdb1qJW0--=C5DJ6> zp+G2bxeCPmc8hD1wje2S+{*k`Xt2KWkzH_YS|``^8;2&;E3#Lq6as~r0p<_q|3yt13EZb=wi zp}=qjUhiHpYvUJ|uu$O26i8QAZu?GIge0Ad5?%Fla`mr+ zRhE^y3gQ=h!E;qv5jecvJ3(fN&4}I`>B1be~jDeC=t*{43mWa z@wnw&*ft9M(P+Muzubl_SVDnQDv)+(erxtAn~hvIpujZylpAOzT6n$!SMxnkuMfXc z=x5W^{rR1roMC_RjFIsC3cNb}LiTzm=PxBH2nG5T_y?8}{xC0A^~Z(h;}sA>Iu|9n z*gLsyaUJaMY+3Qs|2Eda8skL3A2Cc4{>STJ!pTk&T$|R(b%W#fpZ(FqjrH5+2oXFU zF-#Kv$K#fBVVfxM*&pp{XyS^5g#uTlz`LueHi``e?*F%yZT}EjoI(L5N&USH*#+0C zeQ#(dmrO+S#6>ywV&Cip$ej=YRPAR&`2}C`TCo>_gnP?=veFYy%@&SaTEtTS5?u>l zb&}wuj+W^+=20qmU(hMRItQ`uUJM0kS);c(iNfP|d7nY}Q7&TJQyqC;GkY z*HZV>H5R&Y+pn}aLBG9no)Y|#m);Ui{#5{}+Ap8)1czc>E}(=o?e5#!*c9T+?2HDp z#AZZq3h`pdt)#>QyQ50JPvl2?=%=>%&K@7^v9teGz2l2LvI{5cX7Qa|q{CK8)^)7U z%t7}Qwe8nOEiAY!F!#0qKGY~P_U=e0{lrxMIyI%t1f`vQtFE%fCCYqW?RwAht(#w; zS&ar`stl8yr?j)L_ExSe*HI#V*7@l!`Yeu3gJn~;C7CV{4@dL8%7Gqn3YJke-7`ng zH+e_))=a*U1Ftnrd)@SSs)If?uoQO~6XWW=Pa6a8po6ll&ndDCu2tI`+Q}sokv~tG z?^_8kVY4_h2LV(~EsTMrc&#cc0txq~eX*MASzZ63g$bcY&1~4HbC3`xnM0hXK1GZBSjO0`qhHDyo0G+x;as z!>~}`Y80^dgYEwBKaXL1iVG$rDNk1x6RECxI=QBm2ZeKy1R!yCp6XPVLvd?bncYH# zaJOWDrY)wF0 ztX=duhgT?YNeW21q-vs;vnepmD9)C@J8_=uc1K*AGN;PvjcTvfqQY$)&#yZ5#d@X1yEq2yYxnozjA;G!Ulx>}^(18%V#Bso;E(nc`5U+ z2q;L?Obx}!S4Du_vE;B&PQB9Xf@{^jGPINHG~@QI z6;#&2K(U8kGC`$PTC&cuI=&>W8 z7-x6fbolX{4KLKAY$;7+ zC&m5NxilB|8_CEgk{k>0>K_j43(tPzcseHD@_6KPqNC2udJ~e-43{|Bqp&d7-ESw? zG@_J@+yjIiH?~q4F-|Qsn_^uqpoH|8;^eC$ zKxOvnW}Wcj9<^v+INM`_Q$0!9g;-43bduoOv`()6ar>q`44TE@;9sHXr9tp;O9p7# z;==L%+rM|Y6rx;5lhqsqCzq=TC`gYfPPv+gN%jKt@XUI6nnS|t%;T04HZwj?_@a!D zogQT$R>XhYea1C^uH9`xQYwN^Mn0nr?d{2ZO*gS8$8R1u-PJeeg;0)JAKt=`vBw;z z$2dRMcjR-Tqn4q)b>t71wF0c)sy)OWVWB`M5DJ6>!xZ?v{jPFx6QyC@-W2i0UAB|X zZ}ss0+xr#8=UmqGoZwdMt;}zQMro$J+LT>zZCWSSG@=wfcTFZQv{Wi<7E@<8RPb<1 z258!19ZVRv#w86Yo2=#_I2G%10VSlz6enME0V=Z-WR}=)k6O0R*&Y*|>PgBj#A3px zlLXhQaltydPBU)B3i7Ye^wNNXUot?|)X>F+d;cfP)zN;H70@_j`ni7676AomnyH~U z`Kky|y#;efcs+64R(n&3{mSNacx-OGZ~UU{?Z9f@ueksC+1={g6HX)s&M4#iEYD~| zdpl!aSDu>tZ&)Z03WNeDP+*$0o*+|a3hDHFikq&3HtK)mJb~HcIc;Qb=j`jMbDw)q zT(x*-EPkW=&2}Bgc2p}SJw9XWx9RxYla5E;lg|Cr+Nw7}S;!c3$sUCTj;?$xR&;Vr zLtM$&SotVdMsU>#EwdXagu5jJR80(Btb@tl?bPQ|h;khq)GQe&I27x00VSkq7OFVq zY8G4qv^c{hU36*3$sUDa0#dVNpx{tXQg$I06E>YBxHhemtAE^H)%$OIq-;uP4uX>_ zWf4%29#fp$al02FH?4vYWR}>h2DEUt#{{Q(lClf2VcRP3$5;m2R?uKOg#z4x!a{*- zQ{evd6Rxf5sQ0BSVBZ1t(j|!guTKHH-F`Oz=5l@2N5wB!f$9CXFIRvQb}r=VT$Jc4 ztCOpL9jvml+*Odf;&WKWv2cOHEg7I`ip+GgtI*+IMtJsU5LemO(zMiP3z>k#c}(mue+pdN@xy(lPhHrP>>!| zoUVP`_B0wkeG1s;--d+(*QS8Ia>jzfwOzERcV`O<8Zv|elLA-sJy7=fxBRkl#kRlV z+N1QRDiGhx`&8v3?yL&L`t9lD7gD(kE()?(F5yJeI=OCf9c=pigvx@mH07Hd4vwyr zIJkg<^qAtbxUgJ|#93ieW+%ujv0+NISiTm{_L$&QPf~Uv785p|B)B%Mlj|18?Vs#w zcC_D=&>RFOm#YXUNRKH_*FJ808V#R51^)QWVW{;vhgT>N3WNfoz*7~7KRP^Bxu?V_ zLH=xKHT7=tf8Wm~6WJ=s0eCRAd()WgO+5aOpY8Pew}+YCKqby^AEahKS?LLfW(!9y zHBvCCe~GSn_yto(%anJwmkQq3%&K@7^vEwT&_+pRj!pXW>d?y#_uvLMCnoqRi(ha+Yu1{QAsl zG#FE5nB+XAoqe^pa%H)W67jRnPj}I0acmkao3bs*ba}Yp5l?_oHr+Ev(KmTV_SQ_k zkpnmXGn#Il`wv?adVDeP4yq{I`kW%W;99l4p`BbZ5zP}9<=BrEAfBhi*$xh_@v`{Z z5CvVa7lDL()9eii38x1we6mh*>_SAtY<8o#tO`z?HS+=LXqwG#9u|DWrNo!nkrHz+ zh902Srrz5FDNA*<5(NH81?G$T$gpq^1$LzHmNH-?9SnIik0Gb#J$~SNFe7-EM=?*-a|& zD%NjLcU^^4or@A(RdsUR;5yh}+qnI_qx~Kk1t*uQ2q;L8DNfhE4kk3=3Zx*5_BheB zPOcjqx9|QJQ>&x>riA7oIJsO!KtXy;aavs1{MQ%(a?>gZL1u|ftly%-P1t|G``0(o zM>G)%gaV<>>zu|jqAUk zUUK`V?OjM$U-`%`xK@qzluoYxb+FfYm1ec{QY{8h?BTEYz-1!yRriL!we=C3W zXP?0JdAVSzGR~lJ92|>vxq!~$)t{!u!O>Smh%K`dWR}>hE{1TnX+|zM)svK6h{c3W zCkd`q`^wNxuKsbm{@;*F5tD}OmhG)U{uO%uxP5Qj%W+E`9qr>*vt%5CgUeL}6r^eO zkHQh+-Lwkw{Bc|FEhiDOxpe@9g#w|#C(~JMe6p!PU$GJbs zTOZ~97-wD}M_JeOYE*LL9ogH!vTvI@{>Q_0Uf-8~zx{HXyU8{z{t$}$t)t8IOS*%c z>D0(4k{tJ>`q?L242yJ3yyfx8=R`-HoAo9nqZux7vPWTIuDjn(u4zOm8M)ZPjvHI4 zjF_gC*)3EE_tS#3Y_SgZe)pg6K5@Aeo?J(Z6kOQ2Ttz@ZdQ5T3)hv<-(BjN$nbsT< zUMoO2+hc-LJxSSxSWMV-lHl63POkoO`(^nV)hq@F{|X_ynXqw7258!H+{&N*4z5qi z1xu50ayU2^>v93@YMXID95a}ny^W}bWFVE@yO>yN1a1^>&PE2o&x{T zVN&8DaV``H1ww&PAQT7%UiSQUtLvY(BJy}{WqvC(M>CDZo9=!)xu)M>l=SYJOkQZI zR7P;q%Ip>@gu5jJG;OgCCb+v?3X<#Kpk;!C%T)vvq{kGeT+Jeh04>gND_V4E$H^Y~ z@+b$Hjf0j64)r8u7h*AC(@BDB)fkMOT&EegVg+SQSCtuvgI_X0)zr|%6&2i9N!Bj7 zRn0gA2bZe|C`i*x4aLbOr~*1z6a_$1^!s| z{^M@!g#w{KC=d#S0w^GC>MI}F1=pr^a>Y7WRY?JLr7Qvp(qoF#wXcH-O}GLn$f7+? zG_8{>#%)ze0d=J;0t(V&iqqo4#`4Su zbIC-uN^$@mOzqz6j?aAjPk9qZ`Nz)&mEZhgpc3o14^p$Atn`FKvxOs<8Y!66zeLwO z{DP^YWy;rDmI~h2IEfYRK?!K*!O~JFw&S)@8Y)15^ z5HE&Y{*7@?hX-~?m3*JbkM__{ZS$QyKGOs_1+db`E}f9 zZ>0%56u2-2^up?iazcSnAQZT11%&R()0M>(qf3ZRt`n`_TFHwR7Bgf6g`V7!0h(5x zIwxZN*;O*NE8Z$0--=C z5DJ6>p};T&1m^n6M|Q!rX`NiL4pvoCKwT+|fP(ax;&konU_ukFKnk*Gj}uMn7*DT{!D^qAtbxUl)JF#_bKRghS}MTM|XAQT7%LV-{q6bJ=Efl%NDDiD7!JK06w zpDa@(3I$%I0z%jIm5=O#Yt>j!>Et@iI+$2N{)!Lp=QI;GZpi>uQ$xqR|JLdeQWxuT z0VSkqriS9=t0F*Uc7n_joB0i~%0Xu1plU`gIMkDrU5LemO(zMiRr|`&POj68+qYIw z)^t^wfnpE8WPqx@H+0CjO;)IodR6cS|1GipQ8?waN($Q9Y$m#PE)MPIT0rI()iZ1TUK55+{2U7UsJ9?c|z9l#;Q$QgvAPDOW~t z)5`1?DulZw12k>OIv81nO|dQ)P(pf4aq?9WpfdY(vrc$DasAdJh46ZkvJ0`8u<0bh zwP~GP{p0pcj9WyX#|isojX_(cIJo0>FF;b8kJFngpqn!W zVJHv^gaV;JC=d#)6gcy{S621JiBKRE2nBi+i1*d>sI-aC`%PGaBoqh*LV-{q6bJ=E zfl%PK6iBg}a9bBNx(Nj~Q{eUd>5!it{sXe{evZ66oi$^F@`EnElx1=lMr zaIFC0;~a?nlK+2w&o;d;j5CMI2zrzqT3B#d_@ez~+FtTpP39tU!R5Qu5@gNuWx@L( z#}t-fDRZ9Xp2Q`-(-vaSF^l$> zX=j(#&*ccyPhRi7l-*|wuBA(h5Ik!>UzS7AG;9w0GmxJWhqJw#toefLaBzRA6Z=R1 zKYP~P@9qAB7Y-CXn+HSjK@0f?ISsk{Cy#TdqK1Rbn#{$09ICm@o^mqR{YSaTeavFa z9LjviH4n@TEU)uCR1YEk1%1d?+Ry6bC!~2U+FzzE@f@FD^tSs%es}lk&-bquTuYZ@ z0`E9$K3|rDQ+}4&(F`m{pvUE&n>9axGuPqZ{wgT@-0U9O^jz_KTMhGvk?Z~G#=79* zdQ30(^H8wn4f#XgO?Xs_g-|5p$3WCMP0&j;n?xAypN?D4`* z{6GKSpLMqXg^9yAtnBTf+(kB2Om;v02a7s5@vnC2fBJ5#EMM;a&wu@w$64~lezp70 z{s%lhdEC|S4A~)F$-dMNfAbd)!QbuP+Tp)rk2@QmpZo&$A2asnQ#(7AICb#)46pxx zbPxhN0+)@roQlQuScC*QRy<&WdkEDmkT0=<10YcY5Wxc?n1Psz;e~1zE^p%td$dTy z;%$hK11M^NMIe%ma3N5!%Ls&^_=c(i3!$b76jdN~Xl6l#K&n8dIe^RphL;1#SR9~% zfrBp;S$+agVFOTridi0>_lBLJD1{^_>yN-{1(;TdtX43R6pl0rY*b)Y-@wZM0M;@R zp53Tkl7jOoYI%!A2w%xScG-=$2*zh4w2TCo44~jOz!}Cc31FQf(6GXg*g_1YenJg< veC{GOB*3miH6E$hggb%(=z6#+^m