From 87d82c4e6d09860f7463a7bea4f38c390bc61806 Mon Sep 17 00:00:00 2001 From: TiagoRG <35657250+TiagoRG@users.noreply.github.com> Date: Tue, 2 May 2023 10:49:09 +0100 Subject: [PATCH] [LSD] 2022-2023 mini project added --- .../projects/MiniProj_Demo_22-23/Bin2Bcd.vhd | 37 +++ .../MiniProj_Demo_22-23/Bin7SegDecoder.vhd | 24 ++ .../projects/MiniProj_Demo_22-23/Counter.vhd | 31 ++ .../MiniProj_Demo_22-23/CounterDemo.vhd | 79 +++++ .../MiniProj_Demo_22-23/CounterSimulation.vwf | 311 ++++++++++++++++++ .../MiniProj_Demo_22-23/Debouncer.vhd | 61 ++++ .../MiniProj_Demo_22-23/ToggleSwitch.vhd | 31 ++ .../output_files/CounterDemo.sof | Bin 0 -> 3541735 bytes .../MiniProj_Demo_22-23/pulse_gen.vhd | 28 ++ .../MiniProj_Demo_22-23/serv_req_info.txt | 109 ++++++ 10 files changed, 711 insertions(+) create mode 100644 1ano/2semestre/lsd/projects/MiniProj_Demo_22-23/Bin2Bcd.vhd create mode 100644 1ano/2semestre/lsd/projects/MiniProj_Demo_22-23/Bin7SegDecoder.vhd create mode 100644 1ano/2semestre/lsd/projects/MiniProj_Demo_22-23/Counter.vhd create mode 100644 1ano/2semestre/lsd/projects/MiniProj_Demo_22-23/CounterDemo.vhd create mode 100644 1ano/2semestre/lsd/projects/MiniProj_Demo_22-23/CounterSimulation.vwf create mode 100644 1ano/2semestre/lsd/projects/MiniProj_Demo_22-23/Debouncer.vhd create mode 100644 1ano/2semestre/lsd/projects/MiniProj_Demo_22-23/ToggleSwitch.vhd create mode 100644 1ano/2semestre/lsd/projects/MiniProj_Demo_22-23/output_files/CounterDemo.sof create mode 100644 1ano/2semestre/lsd/projects/MiniProj_Demo_22-23/pulse_gen.vhd create mode 100644 1ano/2semestre/lsd/projects/MiniProj_Demo_22-23/serv_req_info.txt diff --git a/1ano/2semestre/lsd/projects/MiniProj_Demo_22-23/Bin2Bcd.vhd b/1ano/2semestre/lsd/projects/MiniProj_Demo_22-23/Bin2Bcd.vhd new file mode 100644 index 0000000..bedb343 --- /dev/null +++ b/1ano/2semestre/lsd/projects/MiniProj_Demo_22-23/Bin2Bcd.vhd @@ -0,0 +1,37 @@ +library IEEE; +use IEEE.STD_LOGIC_1164.all; + +entity Bin2Bcd is + port + ( + hexIn : in std_logic_vector(3 downto 0); + decOut0 : out std_logic_vector(3 downto 0); + decOut1 : out std_logic_vector(3 downto 0) + ); +end Bin2Bcd; + +architecture Behavioral of Bin2Bcd is +begin + process (hexIn) is + begin + if hexIn < "1010" then + decOut0 <= hexIn; + decOut1 <= "0000"; + else + if hexIn = "1010" then + decOut0 <= "0000"; + elsif hexIn = "1011" then + decOut0 <= "0001"; + elsif hexIn = "1100" then + decOut0 <= "0010"; + elsif hexIn = "1101" then + decOut0 <= "0011"; + elsif hexIn = "1110" then + decOut0 <= "0100"; + else + decOut0 <= "0101"; + end if; + decOut1 <= "0001"; + end if; + end process; +end Behavioral; diff --git a/1ano/2semestre/lsd/projects/MiniProj_Demo_22-23/Bin7SegDecoder.vhd b/1ano/2semestre/lsd/projects/MiniProj_Demo_22-23/Bin7SegDecoder.vhd new file mode 100644 index 0000000..8f3ce08 --- /dev/null +++ b/1ano/2semestre/lsd/projects/MiniProj_Demo_22-23/Bin7SegDecoder.vhd @@ -0,0 +1,24 @@ +library IEEE; +use IEEE.STD_LOGIC_1164.all; + +entity Bin7SegDecoder is + port + ( + binInput : in std_logic_vector(3 downto 0); + decOut_n : out std_logic_vector(6 downto 0) + ); +end Bin7SegDecoder; + +architecture Behavioral of Bin7SegDecoder is +begin + decOut_n <= "1111001" when (binInput = "0001") else --1 + "0100100" when (binInput = "0010") else --2 + "0110000" when (binInput = "0011") else --3 + "0011001" when (binInput = "0100") else --4 + "0010010" when (binInput = "0101") else --5 + "0000010" when (binInput = "0110") else --6 + "1111000" when (binInput = "0111") else --7 + "0000000" when (binInput = "1000") else --8 + "0010000" when (binInput = "1001") else --9 + "1000000"; --0 +end Behavioral; \ No newline at end of file diff --git a/1ano/2semestre/lsd/projects/MiniProj_Demo_22-23/Counter.vhd b/1ano/2semestre/lsd/projects/MiniProj_Demo_22-23/Counter.vhd new file mode 100644 index 0000000..2ef53b6 --- /dev/null +++ b/1ano/2semestre/lsd/projects/MiniProj_Demo_22-23/Counter.vhd @@ -0,0 +1,31 @@ +library IEEE; +use IEEE.STD_LOGIC_1164.all; +use IEEE.NUMERIC_STD.all; + +entity Counter is + port + ( + clk : in std_logic; + reset : in std_logic; + start : in std_logic; + count : out std_logic_vector(3 downto 0) + ); +end Counter; + +architecture Behavioral of Counter is + signal s_count : unsigned(3 downto 0) := to_unsigned(0, 4); +begin + process(clk, reset) + begin + if (reset = '1') then + s_count <= to_unsigned(0, 4); + elsif (start = '1' and rising_edge(clk)) then + if (std_logic_vector(s_count) = "1111") then + s_count <= to_unsigned(0, 4); + else + s_count <= s_count + 1; + end if; + end if; + end process; + count <= std_logic_vector(s_count); +end Behavioral; \ No newline at end of file diff --git a/1ano/2semestre/lsd/projects/MiniProj_Demo_22-23/CounterDemo.vhd b/1ano/2semestre/lsd/projects/MiniProj_Demo_22-23/CounterDemo.vhd new file mode 100644 index 0000000..84bd6f7 --- /dev/null +++ b/1ano/2semestre/lsd/projects/MiniProj_Demo_22-23/CounterDemo.vhd @@ -0,0 +1,79 @@ +library IEEE; +use IEEE.STD_LOGIC_1164.all; +use IEEE.NUMERIC_STD.all; + +entity CounterDemo is + port + ( + CLOCK_50 : in std_logic; + KEY : in std_logic_vector(1 downto 0); + HEX0 : out std_logic_vector(6 downto 0); + HEX1 : out std_logic_vector(6 downto 0) + ); +end CounterDemo; + +architecture Shell of CounterDemo is + signal s_pulse, s_reset, s_toggle : std_logic; + signal s_count : std_logic_vector(3 downto 0); + + signal s_startStop : std_logic := '1'; + + signal s_display0, s_display1 : std_logic_vector(3 downto 0); +begin + s_reset <= not KEY(1); + + pulse_gen : entity work.pulse_gen(Behavioral) + generic map (MAX => 20_000_000) + port map + ( + clk => CLOCK_50, + pulse => s_pulse + ); + + key_debounce : entity work.Debouncer(Behavioral) + port map + ( + refClk => CLOCK_50, + dirtyIn => KEY(0), + pulsedOut => s_toggle + ); + + start_stop : entity work.ToggleSwitch(Behavioral) + port map + ( + toggle => s_toggle, + reset => s_reset, + startStop => s_startStop + ); + + counter : entity work.Counter(Behavioral) + port map + ( + clk => s_pulse, + reset => s_reset, + start => s_startStop, + count => s_count + ); + + bin2bcd : entity work.Bin2Bcd(Behavioral) + port map + ( + hexIn => s_count, + decOut0 => s_display0, + decOut1 => s_display1 + ); + + display0 : entity work.Bin7SegDecoder(Behavioral) + port map + ( + binInput => s_display0, + decOut_n => HEX0 + ); + + display1 : entity work.Bin7SegDecoder(Behavioral) + port map + ( + binInput => s_display1, + decOut_n => HEX1 + ); +end Shell; \ No newline at end of file diff --git a/1ano/2semestre/lsd/projects/MiniProj_Demo_22-23/CounterSimulation.vwf b/1ano/2semestre/lsd/projects/MiniProj_Demo_22-23/CounterSimulation.vwf new file mode 100644 index 0000000..8cbcfa2 --- /dev/null +++ b/1ano/2semestre/lsd/projects/MiniProj_Demo_22-23/CounterSimulation.vwf @@ -0,0 +1,311 @@ +/* +quartus_eda --gen_testbench --tool=modelsim_oem --format=vhdl --write_settings_files=off CounterDemo -c CounterDemo --vector_source="/home/tiagorg/repos/uaveiro-leci/1ano/2semestre/lsd/projects/MiniProj_Demo_22-23/CounterSimulation.vwf" --testbench_file="/home/tiagorg/repos/uaveiro-leci/1ano/2semestre/lsd/projects/MiniProj_Demo_22-23/simulation/qsim/CounterSimulation.vwf.vht" +quartus_eda --gen_testbench --tool=modelsim_oem --format=vhdl --write_settings_files=off CounterDemo -c CounterDemo --vector_source="/home/tiagorg/repos/uaveiro-leci/1ano/2semestre/lsd/projects/MiniProj_Demo_22-23/CounterSimulation.vwf" --testbench_file="/home/tiagorg/repos/uaveiro-leci/1ano/2semestre/lsd/projects/MiniProj_Demo_22-23/simulation/qsim/CounterSimulation.vwf.vht" +quartus_eda --write_settings_files=off --simulation --functional=on --flatten_buses=off --tool=modelsim_oem --format=vhdl --output_directory="/home/tiagorg/repos/uaveiro-leci/1ano/2semestre/lsd/projects/MiniProj_Demo_22-23/simulation/qsim/" CounterDemo -c CounterDemo +quartus_eda --write_settings_files=off --simulation --functional=off --flatten_buses=off --timescale=1ps --tool=modelsim_oem --format=vhdl --output_directory="/home/tiagorg/repos/uaveiro-leci/1ano/2semestre/lsd/projects/MiniProj_Demo_22-23/simulation/qsim/" CounterDemo -c CounterDemo +onerror {exit -code 1} +vlib work +vcom -work work CounterDemo.vho +vcom -work work CounterSimulation.vwf.vht +vsim -c -t 1ps -L cycloneive -L altera -L altera_mf -L 220model -L sgate -L altera_lnsim work.CounterDemo_vhd_vec_tst +vcd file -direction CounterDemo.msim.vcd +vcd add -internal CounterDemo_vhd_vec_tst/* +vcd add -internal CounterDemo_vhd_vec_tst/i1/* +proc simTimestamp {} { + echo "Simulation time: $::now ps" + if { [string equal running [runStatus]] } { + after 2500 simTimestamp + } +} +after 2500 simTimestamp +run -all +quit -f + +onerror {exit -code 1} +vlib work +vcom -work work CounterDemo.vho +vcom -work work CounterSimulation.vwf.vht +vsim -novopt -c -t 1ps -sdfmax CounterDemo_vhd_vec_tst/i1=CounterDemo_vhd.sdo -L cycloneive -L altera -L altera_mf -L 220model -L sgate -L altera_lnsim work.CounterDemo_vhd_vec_tst +vcd file -direction CounterDemo.msim.vcd +vcd add -internal CounterDemo_vhd_vec_tst/* +vcd add -internal CounterDemo_vhd_vec_tst/i1/* +proc simTimestamp {} { + echo "Simulation time: $::now ps" + if { [string equal running [runStatus]] } { + after 2500 simTimestamp + } +} +after 2500 simTimestamp +run -all +quit -f + +vhdl +*/ +/* +WARNING: Do NOT edit the input and output ports in this file in a text +editor if you plan to continue editing the block that represents it in +the Block Editor! File corruption is VERY likely to occur. +*/ + +/* +Copyright (C) 2020 Intel Corporation. All rights reserved. +Your use of Intel Corporation's design tools, logic functions +and other software and tools, and any partner logic +functions, and any output files from any of the foregoing +(including device programming or simulation files), and any +associated documentation or information are expressly subject +to the terms and conditions of the Intel Program License +Subscription Agreement, the Intel Quartus Prime License Agreement, +the Intel FPGA IP License Agreement, or other applicable license +agreement, including, without limitation, that your use is for +the sole purpose of programming logic devices manufactured by +Intel and sold by Intel or its authorized distributors. Please +refer to the applicable agreement for further details, at +https://fpgasoftware.intel.com/eula. +*/ + +HEADER +{ + VERSION = 1; + TIME_UNIT = ns; + DATA_OFFSET = 0.0; + DATA_DURATION = 1000.0; + SIMULATION_TIME = 0.0; + GRID_PHASE = 0.0; + GRID_PERIOD = 10.0; + GRID_DUTY_CYCLE = 50; +} + +SIGNAL("clk") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = INPUT; + PARENT = ""; +} + +SIGNAL("count") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = BUS; + WIDTH = 4; + LSB_INDEX = 0; + DIRECTION = OUTPUT; + PARENT = ""; +} + +SIGNAL("count[3]") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = OUTPUT; + PARENT = "count"; +} + +SIGNAL("count[2]") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = OUTPUT; + PARENT = "count"; +} + +SIGNAL("count[1]") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = OUTPUT; + PARENT = "count"; +} + +SIGNAL("count[0]") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = OUTPUT; + PARENT = "count"; +} + +SIGNAL("reset") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = INPUT; + PARENT = ""; +} + +SIGNAL("start") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = INPUT; + PARENT = ""; +} + +TRANSITION_LIST("clk") +{ + NODE + { + REPEAT = 1; + NODE + { + REPEAT = 50; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 10.0; + } + } +} + +TRANSITION_LIST("count[3]") +{ + NODE + { + REPEAT = 1; + LEVEL X FOR 1000.0; + } +} + +TRANSITION_LIST("count[2]") +{ + NODE + { + REPEAT = 1; + LEVEL X FOR 1000.0; + } +} + +TRANSITION_LIST("count[1]") +{ + NODE + { + REPEAT = 1; + LEVEL X FOR 1000.0; + } +} + +TRANSITION_LIST("count[0]") +{ + NODE + { + REPEAT = 1; + LEVEL X FOR 1000.0; + } +} + +TRANSITION_LIST("reset") +{ + NODE + { + REPEAT = 1; + LEVEL 0 FOR 40.0; + LEVEL 1 FOR 50.0; + LEVEL 0 FOR 910.0; + } +} + +TRANSITION_LIST("start") +{ + NODE + { + REPEAT = 1; + LEVEL 1 FOR 1000.0; + } +} + +DISPLAY_LINE +{ + CHANNEL = "clk"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 0; + TREE_LEVEL = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "reset"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 1; + TREE_LEVEL = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "start"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 2; + TREE_LEVEL = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "count"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 3; + TREE_LEVEL = 0; + CHILDREN = 4, 5, 6, 7; +} + +DISPLAY_LINE +{ + CHANNEL = "count[3]"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 4; + TREE_LEVEL = 1; + PARENT = 3; +} + +DISPLAY_LINE +{ + CHANNEL = "count[2]"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 5; + TREE_LEVEL = 1; + PARENT = 3; +} + +DISPLAY_LINE +{ + CHANNEL = "count[1]"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 6; + TREE_LEVEL = 1; + PARENT = 3; +} + +DISPLAY_LINE +{ + CHANNEL = "count[0]"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 7; + TREE_LEVEL = 1; + PARENT = 3; +} + +TIME_BAR +{ + TIME = 0; + MASTER = TRUE; +} +; diff --git a/1ano/2semestre/lsd/projects/MiniProj_Demo_22-23/Debouncer.vhd b/1ano/2semestre/lsd/projects/MiniProj_Demo_22-23/Debouncer.vhd new file mode 100644 index 0000000..5218ac4 --- /dev/null +++ b/1ano/2semestre/lsd/projects/MiniProj_Demo_22-23/Debouncer.vhd @@ -0,0 +1,61 @@ +library IEEE; +use IEEE.STD_LOGIC_1164.all; +use IEEE.NUMERIC_STD.all; + +entity Debouncer is + generic( kHzClkFreq : positive := 50_000; + mSecMinInWidth : positive := 100; + inPolarity : std_logic := '0'; + outPolarity : std_logic := '1'); + port( refClk : in std_logic; + dirtyIn : in std_logic; + pulsedOut : out std_logic); +end Debouncer; + +architecture Behavioral of Debouncer is + constant MIN_IN_WIDTH_CYCLES : positive := mSecMinInWidth * kHzClkFreq; + subtype TCounter is natural range 0 to MIN_IN_WIDTH_CYCLES; + signal s_debounceCnt : TCounter := 0; + signal s_dirtyIn, s_previousIn, s_pulsedOut : std_logic; +begin + + in_sync_proc : process(refClk) + begin + if (rising_edge(refClk)) then + if (inPolarity = '1') then + s_dirtyIn <= dirtyIn; + else + s_dirtyIn <= not dirtyIn; + end if; + s_previousIn <= s_dirtyIn; + end if; + end process; + + count_proc : process(refClk) + begin + if (rising_edge(refClk)) then + if ((s_dirtyIn = '0') or + (s_debounceCnt > MIN_IN_WIDTH_CYCLES)) then + s_debounceCnt <= 0; + s_pulsedOut <= '0'; + elsif (s_dirtyIn = '1') then + if (s_previousIn = '0') then + s_debounceCnt <= MIN_IN_WIDTH_CYCLES; + s_pulsedOut <= '0'; + else + if (s_debounceCnt >= 1) then + s_debounceCnt <= s_debounceCnt - 1; + end if; + if (s_debounceCnt = 1) then + s_pulsedOut <= '1'; + else + s_pulsedOut <= '0'; + end if; + end if; + end if; + end if; + end process; + + pulsedOut <= s_pulsedOut when (outPolarity = '1') else not s_pulsedOut; + +end Behavioral; \ No newline at end of file diff --git a/1ano/2semestre/lsd/projects/MiniProj_Demo_22-23/ToggleSwitch.vhd b/1ano/2semestre/lsd/projects/MiniProj_Demo_22-23/ToggleSwitch.vhd new file mode 100644 index 0000000..47ebe71 --- /dev/null +++ b/1ano/2semestre/lsd/projects/MiniProj_Demo_22-23/ToggleSwitch.vhd @@ -0,0 +1,31 @@ +library IEEE; +use IEEE.STD_LOGIC_1164.all; + +entity ToggleSwitch is + port + ( + toggle : in std_logic; + reset : in std_logic; + startStop : out std_logic := '1' + ); +end ToggleSwitch; + +architecture Behavioral of ToggleSwitch is + signal current_state : std_logic := '1'; +begin + process(toggle, reset) + begin + if (reset = '1') then + current_state <= '1'; + else + if (toggle = '1') then + if (current_state = '1') then + current_state <= '0'; + else + current_state <= '1'; + end if; + end if; + end if; + end process; + startStop <= current_state; +end Behavioral; \ No newline at end of file diff --git a/1ano/2semestre/lsd/projects/MiniProj_Demo_22-23/output_files/CounterDemo.sof b/1ano/2semestre/lsd/projects/MiniProj_Demo_22-23/output_files/CounterDemo.sof new file mode 100644 index 0000000000000000000000000000000000000000..e0a41603506a90691cda91ad330ece285fafb1f8 GIT binary patch literal 3541735 zcmeFa&yQ@!lHb>leB{UkA<#BK+yxB;1~PC7m@}M##*%@Nk?>fuAsdhk!S=!ere=m5 z=?OFQi1)+r#z6cDTzYvI+?()%3vYb?3<5UbyEEXOcUl;h<5PW3-adUIGV^v({2mkNy{Of;n#Q$~uh4FX(^?zozt1JE2jGXy*uRh_aLF_*& z{wM$be|z7l^eam_4?=k`FlUS{`vp(mTPtC60QzMo!-0p;Haol z*Mce_Ivqj5@21M88VWw*?WMLedv<~h_407AX`d5a)H!hbVq8bQt;}u_*OlFz4GVp} zL`zL?XH~7Px^ycthmCq;0_!#JqWoI+G4@#Y?o1VQq@bX~;*jlzp>kcnxUO&a*sI2MnyoY7Ms)k>wwm?Y->9!#y}e(p z?8hMEtrL$NoAx=;MSYRoz8J^I8aE7Wb=PUPY zo=gQ&fm9$BNCi@XR3H^d1yX@$uYf#z1v9cB$OsgtTFr(_Fm2B4W`j8tymkiR><9#>c9PhISRf38B)B%g1smi#&%6~Y$iG6! zZV(2yWDHHHS?A1Kt4By3*5w+MkSwT$<%6|U(ld@zf6jCX2pV z>K4T%B(V#ziBupJNCi@XR3H^d1yX@jAQeajQh`(; z6-Wi{qJR)IxG6EjKgcz{4|bpZTPk*Ue2&|XW)wW!k}-7g#P-1&awu9{&_0+@k9*-1 z%jTkUqKiSUoVQIS1*W{N-jVn!v_Zy!qw-&yGehi(hE zTw0{q#C{o)@+7RwH&90p`l)$D1s_^z*B~<7xIDMmdiguOtw|Ifzsu_k!k4&=P3Uz* zW7IE)xz6-`*^g28%QY6daocaSxtM->ukn&7IQds$36H=0@ImLL>K3dq;SI~{&suX+ z@Qm4+4Ti!nqc??kGv(@cjB7ePz-y}f+xGa@9{RowpWEY&J+6Mo9)I5+)rFI7So|Ot z>A)_@zK;EwHRzh6HGX`ng$2LvBnW)J+F4X zH`Q#o8gi^b#?+W5HBa>9(ClqZ(x@XMzSsHXE_yGHlLpI4*|uc5Jv`wN_mWvy?wPab zySy`d>n1;u12_K%gg#*IyQj{Hchv59@!D&O>e3baU><{9vXHF{7vNN!_^KB zE_hq~#4L(+#~vCA?p=J?TLN`F*`wq!Z4#Zh1jobO@{{%hIXaZ1=lVH zxpLk%l@zS5l%YYfj6iYnXNO>N(<+t=nzxjbNCi@XR3H^d1+K30-$kBn797r&DHEjv zsX!`_3Zw$5Kq@dz0fD)_^HE)J?P8EC_raP<3RYLj(4bgGpg2ADeK4U3S0Kf*X^#_K z407eXZ7L~PT`5C@Vi|$rw7IbLr!j)bO{-XP{}vSzsX!`_3Zw$5Kq`<5qynkHGgKgd zUUs&NemGmEOq2>dO9h0k+dCiC1=l9nPZ{Jo&pwz~LH>>ppXW3PgIh9&Ce*BRK7VWV z2&u!mT!RwQg{h%9`Kkz}n4KU)VOT#9s~l7|4w^7>!J(Zbb|DrB!ypN+O?+h5L9X-6 z+m}{Q)pS>x8O0uc$rze=W!5S4wpgJ;>RrJn_-BdjUxgE=RSLTQylwYZlL* zfm9$BNCh6D0?V8KV~KzRNqaw{G%uNClpf0(rkZ$<>^BPs;nP zbBUJCCCBnWr)Iv8WL8gQ7BRdtUlRj$mjRz47r~2WxWvUC!N%Nhzk^)Mj8ZbjJ5|Sx zpSUuDyNKB>R0wxV#?Zx-eK4{LLs*w_RLM zhCvcsyBOpepSLe^-Xi)sPk0_{jI{^F!JW4U!4$J!(7dJ4lY!p>d{N-nPS3)dS;ViI zIJ3ZSMt(p{?d{He<@)x{(%lFwH=dvT>CD%}K(SMM8_1t7sX!`_3Zw$5Kq`<5yqf~K zzI`{neDC6)KF!&uvc47MXzzSf7hJm-mifLTz@4{QVtVn_zEbkZYOZ3SVdEBd(0#CJ2q$ z%_xMsC1Yqp%&sX!`_ z3Zw$5Kq`<5qynixDv%1K0;#}V6cB<2HzkJn2f4=g!5TZuLj}1zKF4h(3l}Kdk}-5K zW&f6}!VuQw8kCR`C{DgAf+=Rdpnb4`N~;S^b^rVyLA-uH3(Eswr4qDMN!|8G+*D?=}f0H?3kJ$WR#8{X*rS zvT@LZ;LuJIyATV6VUPsZE(W=B-ZqsKtge)yL9vWLajG+IlSD9W&g`bAITXBh2I1@o z1gCbA*oD|cDv%1K0;xbMkP4&%O$8LIzuMU?VVXo3zZmBd{0+M#!r2?kpBGoR&%6!7 zwTbV|8h?nuj7qrQK9&}~v(gI=-4<@Sv`Dc@`;X|FhhH#t^q`-bM^x~kCC3^>h8vgX z7F#cWr?)kU!sB;&ok92#m$3=Gj%bYfVCP#LN{*vjW!q4PwzEe5(Ov! zDlFmgmmfapyj0zSH72}adHq>yZVH|;JF~%17-saQ5O1d3?lV<*fY(&X-xK-P9{Row zpWEY&J+Al@7W`q4>cYu3EPjxSbYPccU&sE;8gxz38b7|(!iLKNYi}FFn-*oYeHH1n z=UNLsrmF#lxn`$;(4LQ~zV`@y3nkRa4X!f=yY19!B-|PHx7rht9 zNrUC2Y+Ewj9-i=sd&w*;_sm)JUEZ0!b(5dSVR^GY5!*Y{=sQPTLO@Qu<5f6by!M)+ zx^yqw!8``JWFhm{NxUlS+UDrGIkN^W9Pt<47C$kw;|Ubi6xN=qynixDv%1K0;xc(fMAN3 zE1M-o%yPpr$aSXuTPtkSLR~X2YeAuMw`2@m#7pNwtiM|8vYLb76xQV$l#mf9PQEIF zDP||gP#9+PrV#s;t?BRp!qBd?y5QQyAXm=Yrjmlyl`=FamJujU{_GG;Zd%21LGzY! z5~)BckP4&%sle4${=3Mt&4R<(GG(GvAQeajQh`(;6-Wi9DIhSncRs2Mu3ZdrW!Htlhui$SiOw@oDlt1D$_P%I-*oHiG>{xn7~xoH(k z?%$$9A{9slQh`(;6-WhAfm9$Bc!mn(&&$qs(GO?Kl!;P-XQ_bDb$jQdy5QOb`zeE5 z=h+7nE6CsR;q#mZVQ@>v(1e>dNdHd1|s+#U9Go#qUFBwAWZ=Sl;Sq z(RX=g_SQ|F4ynLXQXucQC%Kw4?@4*TbuQ7ex#UGT4NAxe z6enL5!4$JEH|v7e9rtf7QV4G+iCu^V!Z1jJYZrrDVj(*gIweL zV2z#SZZSBxJ3hy4B{K>hZpj$B$o<lx{E{&=p=O;kZ%slWwJT+4P%K@T8j6#ziePfnDi(qag<;)K zRt_o~2Te44Q$j13TSt@pu0}pLeo=Tiv6@%2xC<9-7VLB54~eP0J!E5CQ^Y^AQeajQh`(;6-WhAfm9$BNCi@XR3H_&ivmK>;HJb7 z{~*`+K3HRCd8i#N!MKHze7qkyHP-%6c zsV>@G3-POqJyni<4eN$wyp2zA7cskq3gK%#g@c-wgC26;-nxQ4!LSR1tGQx{uNP`3 zQh`(;6-WhAfm9$Bc>D_F-+?;YH9VXxQzl9UQh`(;6?h~H2z3r_N({9$$d&uIO*I9p zD`jX3xM&LEr}f#B3m61xzaNCi@XR3H^d1yX@jps9dj^;bK) zB}|hD;}_#xg1=#xL^yk6`SarH_L;XqxHj>q28m{AG$+sD$vcUF4Aq1(bOmli2D zY5x&j^Y9C%jvn+=^N0#QwB%TW$Z+HG++yqH@AS4NQF#0=uQLc=;xaa&*Ab0TzZ~W| z)AwaRM%^#hSm?%WztQGm`suyKOQPW9Uxg(+{_?{IotLUxu*QTpEU!On%}v2GW@k1S z3d4-v6ynX4+kK`A5Ad2Q`FkSY+C$&B;d6VuvBwpE!h%2SQC&FMhQ$wZkq+#V?CaQ{ zS%a=ATI0vJTG((|VC`*Vc+;Y+wyz?c_FQYh$8?n-dUEL16>A((*7IuDdsEGZt0Bi4 zWK4}|Qu9Pl4$a=yB#k;E;(MK6?xOeNIBBq)lx<6<+rtwcaW9#L<(@fxdI*C_hUE3U8H)qzMg(LpL z+u|o?R$O+Kg@%HA7aum4dTznmCB?y3H%G@^!3C?qHw@hdY;_Z?O(|II(O^ePFs--s z!YWz(F0mw1fm9$BNCi@XR3H_I6%b7Ea%Ho`h*@q}2D#3(e`|$pTBvL0Wi2RF?v{+9 zi+Jf=i1k-%T~>1toWiAM)#WDiL$)6p9$xW+RE@<9TP9haZ1yX@jAQiZ}%6}JmwpnmETc%8u3Zw$5 zKq`<5qynkHGzA3a_RdFj!L^G)uG|M}Dk)f9DMN!|8G+*T*!RJNCR~9O%cea}bTP=4 z^R}s^V0EPo4T@z1iqq!8)}O`*CO55O$^BbYNTdR(Kq`<5qynixDv%1K0?$x^{CU~g zF8bkYnKDr-@GKP&x^C}$R2N*EU_WJ$>pc5lVg>m-K75|jAPjEF7@APC&iVYU)gz=1 z>v9cBNEfDt;^eC$m|}K<425C+K&*05**Ivz$OVUXlGuefxHj>TSqHh!GjCs7 zK~>XTWo8t6_$6a#;+0va%-dpx3aNJmpWvS*wtp2)oK`95{`0ooTTLQl3){z-NCi@X zR3H_2gbFNg{+Cm^Oe{sGaq|W_3(H&mEc!0*%-*`m(;*djN($ut_9Rzx<~=Fzx6UP6 zHkTaB1D%@rLXufMm085_&U{S_)LjOAhFkQ})5gDhy#=u0aVIf#T$=BA8MGE2VB(V#zKo|x| zaP4A{Ykc0m$a#zC>pbCktTEOe6bEO5S(1i6-(uEU9PSZz7q+oO)weVY)aU!AUo$9ido)nSl(m*R*Ywtw%ExV z^Y%NxWSp8%v(A~fCZUkpl`=FamM%;U#mQGiFu7?J3qgj$u6l&8u15g$p(d_POzg#MIs%va!sa3Zw$5Kq@ex!1B%3fajT9WMUqj z#yxYLuq;uh)!rkX*;_An+EamtRp5Fr>|{0M{q`hM&b%i*mHVxrG(yF@P1Ob0CfFMp z^~K}9&8_s)R!O7KJvBcNw`gT%qN~8j*Kq`<5qynixD)5LE$iD-1 zwu^T-Tc%8u3Zw$5Kq~M^6cFkh+>{t0$~^=!L^G)uAH|`B?YT1WoS?=BT$^`Oxq+8Oq(;i>1hrH zubn|SI|9L}og{W4HjxTEJ_Y3Qm7Eo)0#`Y2a}qpa1(e$T)y{4S({1yMfa~Y+a_BvK z0YyLNSC&#Qu3us9f~Z^2_vR6?AA^J;kia%k&ANHs&oNUA52f0WGc1iYi?9Z%0*A%Vs<6A9k zxGb>twlTbEQC8bmkxqNAwcumAN)SCc^y-Q=jwtJSwd=j9X2aExV+}H<#x$vUq9=!D zZ)=i99TD-p&M$Y-dvTmJSWe2eCDZNU36Hp!%))ZdoJHT|o!MJA`H393`9C1^0dwCy zbxypacE^hsttoDO%hVP7U>*^d_YIP{uL~Eo=RvGs;&r-NoqodE9(Y^CQE}W=78(lf zU3}PF>bV7LmlOwE-5ecv1sALa-!OC=u+>dNb8WM)nosL(U^XoEQ)nU;NCi@XR3H^d z1yTV=0pVP{T-hw7obdgrL9R3H-&%c}7B(wnGYSp3C1dC!UOE?I{nc8R)f@z;urAl2 zgp5FO@>LN`F*`wq!Z4#Zh1jobO@{{%hPYDxj74?9wTqZ*SCDZjd^_>&4EgYbcyuH(K_eN>I$huen{?LOAROVQ@>v&_(Wp-MWHZ z#mqr)ay3^h@%2LO6~dE2k~OCJb&%^qf9@bZt1p*kmy0py?L*Dmk*kwFsX!`_3Zw#0 zLjjf+K3MA{Qi01-VENwYvWm)TE?&(eWoMg6hqGnMM5(~DR6yuD+Pl*CHk)Z( zf!N%NxUODrxX!Z=CRWfcZLyOl*uPa{nX?aO5{i-gQicY_(uJv^IQgmwrtk_LgUq4e zweA-x2bGP3CYrq|p_R+6V5vLMB?a<+dy=a;^Pco1?ziJj zjLk^C$Gj+vm3_C8CNV?kc?)y#Kj)|28Rsy+vlq3ESZv# zmyhtojcrs$Ocyb`g$m(*S}Z+G*#{%3Fobov1|?(!ij%L3V2arZG8Bfp?Sm014DBSb z3$Z{L21#)3VvuXO6DoYZhO00y;#X9+m^Qmv1rN7m3|&02d3z8#cI8kk7c_4v=Vah_ z0ACdNwbQfkW)|^lCeAGIn~@(7Q+vC9$=#tlZjmcyE-lv_-LEr?kZ$%nI+Q!c4Ta5o zO$-z;wYP!%eV3baxbK}FsX!`_3Zw$5Kq~Om6}Y&yL9TCaPr0YEz7-m4?|f7jT)P$V`zV~w%)pg8!N3#OR;BKE-wHC_oryVB}{YZFYyL9X-6Td{)t zE3~{d;NX{xp$RqXoc&vqP)O}c85$Hz7p8{d+_Z{?AVXnTUq{M8W#gcUW^YPp z<#Ow2lHb+H=f*DzFDF*>Y8H3lg3SWIi2DICwYLZCD^sTesX!`_3XCYQELulg&*LK# z)95trnd^jQi8`(J9`VfHdb!h{3Op$V@_u`g%Q^F&^i=M*g3<`ZoGbPSHaLc~WN&1U zYnkFo#?H=1Tp7Vl5E`?aQ3!WS#?XYAb;>?iF=EK$y4-@5kS;7#aq?9WOffq_hQe^S zeK11B9-Sny3$Z{L+LcxpT)PpbCktTEOe6bE&sX!`_3Zw$5z#~>5 z{|?mIF5cm6nKDr-kP4&%slX#qK&Z35^HE)J?P8EC_ivj@3RYLj(4bgGpg8$^kAlff zt5_~#AB;k-u7sgoX?4N1i$SiOw@oDlt1D$_P%I-*oF4nU9ceUuQi01+KrW}0tRxi} zRzQY5(=ipeI0cl7{MC;4v*~vIUyO6f!n8|rG~8I)y|}u4=Iu|-7moPL_hyPe{9;BW z)^8t63*TAk1&3}6w_IAJ*rfeObj`yrm^ymUPt7AL_|TGL4I;yh%X5pZm%r27nndC8 zyS&aIe2L50gkDE9M*VV_>rCI5{TOw>Tw|ddxBW(&i|MEL8ZU{0lYbSK@c7FQA9P-- zZowK8-mtv>tTi_U&zPOrU?>bTdQ*ruQ*Qq$D_jh(sgl1Z@~u7eeH%Wv#~XWG@h2?! z!yeUzlWkc1AQ$PtF3G-*{h2lBnxZv+e5-{Gmj%||HikDX%4+*6(rM4N7JN)s38E*5 zUR|-q5oJBEcD*;%Y`7Y7tU<=qm?kw(^yJX&ZB5dsBO<=n`QIcc#&Ij<|$?oOs8paJ+c!HAQvlUbcgI406dr z=C6}@Ro1o5(RFiX4O%$jFT5>&VrIo{7_3w6!BERlqs+>$YL5igw!vHohU%W4jSQ&^X4P(ntaIQgmwrkI@| zLt&WFn?meYwx+`a2t&Kl>Vj(*gIqapn@S2+SIW?!SVo{Y`LjbXxoH*41N(xq2%Fv)#MxZ!t zE^Pg2j9_xpDwbtkS$MwwAV4{&Y#j6;IJA?*F2p8Mfm9$BNCi@XR3H^d1yX@0r2uQ| zi%0&v>};3laJEdDC>3~?3J6^fZc20oVn1b&>pc5lVg=Pbnq6gP#KA8aLlbJ&IiJ6^ zLWR`3f=}?zyG#v*+gC*}xoH&(L59L`$9=G7Zwj$r*_sXyAPntFs|&78d}P)^uJg>> zmsU`$gBishe#sb`cxBcp^R}3TLTXpa(4bhlX#Xl4A>K`^SnfY>+r8B!LN>P!42e`A z6-WhAfk&#q^5%az)yu?EbQ(8rkh8G7)z6~u^3Lq7n>-y-fv2QE-fvHGHD}(Fp2Yq3 z)JB!VuQw8kCR`C{DgAf+=Pv$WR#84OQi!vT@LZ;LuJI zyATV6VUPsZE(W>A=k1I571b>UhpOqWGBXMuJHxuakFkj-Hg69?x2_zD<$~rd<(v%s z4&aLdzjk^S-pnF?&BU1nelzj|Vrp-9?km@~cb4u(V7c-9bV&tL zfm9$BNCi@XRN&nd$o1{J>E(MD|MY3jK9%*YAV+)Wqq^YQ#UR)CK3MMG7DQk7OL-n^ zjI{^F!QUMeOfmZf?Sl%xl@5uAQeaj1{7Gn*&6UXlZ#Buqtm!&t`n9e z>a^N>#4~&A;}jvJX~_81lF-w_qit3ky}8d{qQf%ubM@Fx+h)j8L&hCrRu= zED(lvrPT%3E(W>A=WTxewjlaCPk0_{jI{^F!JW4U!4$LKf8N3`4DBSb3$ck*AQeaj zQh`(;6-WhAfm9$BNCi@XR3H^d1@5AN5Hz?cF~mQ}HNFpapZ!}Zc6WS^+e&5>Jlv8o zbTMWBmaM`M*5w+MkP#?OzAAz#X1}0)uz^ae3r%&=?planUF@lH>}yyzEScg3zx9lA zuxQBBHez;*6JpnT3I}Tvo_CS+_SO~bDrOFXldHL6iLV!GCsKh_AQeajQh`(;6?ps# zh_tpLAW;Yy;^4wzUB2H!QC|Yt2o;GiGNt7z)FT-W1}^l-qr#3J>s_ zD*1aN-`Ydpx8ZYpys^g>f5L)4>``4f*@ndra*+<~lI-i)pIL*hDO%&lw_4b6SzzsL zV|de|thTQro%UR7!N+u!AbN7>)fH#Ewm4$|adlw%z zmwIl&+9k!oRyRk-UBLyb!8Z)u25fZ`tW7Cc?a^RIN-(Xr^};Gy{4TL1Qh~>&KzVEh zXT7OFDv%01Y6XPq{7_3w6!BtObRh+>$YL5igw!vHohU%W4jS zQ&^X4P(ntaIQgmwrkI@|Lt&WFn?meYwx+`a2t&Kl>Vj(*gIqapn@S2+SIW?!SVo{Y z`LjbXxoH*41m`3(wad1SkiUje{NphjxwWh9+K_b;`UgCZUkp zl`=FamM+@A3P*@{(<+wx&)aryHHnbTtpmdf91^KODv%1K0*^?6<<0+cDwc_*=rrz` z?|;i%{j}P9#4~&A=A6t4fi|9wah3bW4u#!+^~!*Be;v0-9m+Mw`2@mOxXt`t1yIh zxdtU<1d5ZdieQS_mz#CLYu!*)4k{Z5JqQl%B(cjP%~kB7#{RY-@(ke}3Nk*0vv%#q zzQ}otNb5Y|xvP_68G+*DYJLz*F*`wq!m!q(98@+AdJr7iNn#gbPY!+u@I`@NJ3R|; zW)Z*Un$7~h8TkP*wYNL>#cS}Qu&hR=)Fe|_jkL@nhIi&`VxaCa;FB(?Kq`<5qynix zDv%0Xjsm&9J;k*sryz+qp33@GXmD^-BGO`Wevm8oZ#SylJ`hg0QicY_G6Kb^?p$q> z2&T=M-FBBb6ufo@;p_+mr*@Lqg;*dAgCw{%!DJldI?ucnE6Be>%Uc5ue#sb`P_xeY zxr0e4q;{nY4T_};Q$um`RS`^XTE#+;p)lNW-Zpzvi2cgebaJ#xi#*kP4&%slb2&%c6C_^Gq%>F^^8;p1Dp~mZ;Ne?-9@Jt(QCP zslby`An&&)xtufaNl)c|D=3Xn%(-HZV1r{wOExP8xt1xeWbEvG#FY`;1femz8HI4S zWDHG+S*PrS6(fc`uFEZ03F*Q@6(?U6!4$I-WGD>l#*}hU**IvSm{D+OCy8B%1;Q{$ zf@>FpT;uaLKYv>geVr#fk2S{HgW^zU+D)#5V7hsxrd2HWpSQ3GLpw?ALTn-xNCi@X zR3H^d1yX@jAQeajQh`(;6-WhAfx9Rm1PyLV4Dkgnf((UW-7i!Q zDjNqq2oCKeu?w+47zRmj?P8EC=WSC-!RksG8WhV26sJ1VHc152=FDz-nnS^BXAsVg zKyYd&iCu_IqynixDv%1K0;xbM&{RON`m3GY5~fLn@r!XT!QZe;BAmUk{CRP8`^?)Q zT$}jbtnr5k%&3I>?PF=-J1f25&~4$CON$hnwEu{%dH4lWM-Td`c|-*tT5_yGWVms8 zZn5?9cY0fsC_H|b*BOK_aT%M?>xjmvUk-Df>HD%Dqwbe$EOg_x-)M6&{q$brB~ftl zufh@@fBE5q&P&xTSYyH)me-%P=BD5ovojkEg<(c-3h`#j?LJe52Y5}D{5_Fx?V<16 z@VPzS*yD;nVZk5vs4kpr!{P_INC$RF_I2#ftU=clt?}bqEo`_fu=chwylGKZ+gFiJ zd#<(MW4cNZJvsF1iZzZX>v^^7y{Try)sSNiGN#5fsd=I&hh}eUl13d7@x9J3chP%s zoHSTY%C;rb?coWJxR=bra?hMa-{qazTQ~WM9F{lh6S2KBjlOflB?RQeJ6?t3#cQuA zs!R8>9n52pOBOPJoy4oMu5FI4n=@i zo1^2d;DXiQ8-{KJwz>({rWCC9Xs{zCnAY2RVU;X?mu47h6oy19a48CuODQDlNCi@X zRNz@CAaofoS2jzGAwdSY&a{7PC2v}&YvyH%B=qE#jG>Eo>0F5QS8H8Xa}b=ux?F=2 zG6KcPS4A+z>;xGK!;Ib(V!yIA9Ued!+LcxpT)P#On9fHYC zt5_~*-cn8?6-WhAfm9$BxVp-J7kRc>a5!70Oq2>dKLwU`_Va5zJAS+hNd5ls@%E6F zKR*R*-WrZ$d*`FN;NQg{SMGx~l@zS5l%YYfj6iXE?E7Fs6RtptWz!xfx)|ijdD~P{ zu)0!)2E{T0#c6Y4>rZ0@lbcqtEbGd`^YsS-%0Xr0pa;RBog{W4HjxUX0;xbMkP4&% zsX!`_3Op$VSX*B_^5Sxh+ zd1v<4O`Z;^z*ABn@3$wpnltZ7PvU-i>LyOR>Nvq1nE67I6V&HY6!+|jPR@Ky43v0+ z-sHHiVPml4pjepM!js{Cab&s_djy+&tBW}(UYjJl*ajQHUBv7bDul1~6b^206ml-a zlzlL=2}4+yYfwT)pg8%e2&R~wAVXnTby5y08wWiI4(%kd%OcHH?4icK7No~Koao{m z3NkK*uXgRmzSzy=8bojxF}sBd;ci+CT|BXQdk{Kygh0>2sg0Wr0=JNLzF@S>3G+uN68=4;}1F6X#7=kio4kP4tcxwso> zUYArL6-WhA0Tj5n+jFjOZ%@ppvc44>Ywvti7hJm-o*aB` z{G#x3Vl}U3aTl)XEZFD99}-i0d&tHzcPfwyqynkHfC8*UFA6fT8lA>HbDgj(QK!}3 zBc9n?FL&BgfhVOv-fvHGIcMIJp341JP#U4)-KOe-YZL5^400_~T;Z#`C~j<{GJ=~R zOU!OYA>2=kr3EqLlzp&b#E{2zxdkgBU0A5%Vs?TIh2d`dV1$Z2I!R&|Vu3KU zE3GcLb}`5`K5z5$w*}GHdBXEpW2`+W4(_}?2&S0*{__@oVQ43bU5HJj0;xbMkP4&% zsX!`_3Zw$5Kq`<5qynixDsUGCgrLDqi6Q<$uJL`a`|RIRvAg4Q+*UH9;Ng~xp^GW| zw`3KDurAl2gp5FO@>LN`G5ZDWgAG(#U1+L{cGp7u>S9loV_(C%VaXIP_^oG@gGEE0 zwh^;ioDjR#Q#e?Y@Vtwhx3{ifS21%CoLtQnOMJahJCO>c0;xbMkP4&%slek`ApZ{3 z*{<9#>c9PhI*hDIj3Zw$5Kq`<5qykL^6sy15*)3t3L>Rvq=Mwx4yClNd8_S;; zSGUi+4Z^jF@68&2h`@|WxZggO7QVC63l7~DZn?Bbu}S-n=$eOLFm?2xpPENh@S!Eg z8bpR0m**B+FMp@EHHpIGcX^#b_!5_~3B8VJjQZs;*O|UA`!VW%xyC{_Zu^Zk7t>Gg zHC_@0C;uue;qjLrKIptu-GVhHykU9$S!-?zo-sSK!B7}x^rjGRrrho`Rd|5cRLS2H z`PLr#z73z-&P5P0<=ZzSY8p%K~d}8^fCx zWwm`3>9prs3qGc+1ksa2udZ0*h_aqnyWX2>He3xk)*xeQOp}@?dU9y?wkB!R5fR_( z{Bjq)7spA1<)my|GTk1Y@Q8cKEG+lTS@d1rnZ0$BpU7c(vpx~qJJaYpM_fWcPQ2q) zI9|N=nxeXNFWbR92DxM*^VdndD(l+j=(;(x1}z-%7v2^>F|*>bt1L7W+`IU&xzuwD z)-EXywz@ew?g}ng4ZdOMHejopU~NjlYL5mxQi5r{tru3w;&*9=p+;dyqym?sK)IAc zvW`?B6-Wi1g#tpC@p5Ie#26A}kn2qQw^s6|g}P>5mPkTRZpj$Bh?mZVSbw$FWiPi_J6w3${r^mhzCN$v+q*ylXaiWVs zuAH|`B?YT1WoS?=BT$?+7qGf#Up=ScD74&I9sNBBr5la&CpcfVHFV44{l0yD`h`rkn24A zU}6Q;J(^u*X2iiS8AB6l);XWQwL*o|yMj;f&$~4tfwA+DT%UMVhPFLyi4yLF5_2I}~Jm3TN%w zjeW73$u)@JE@E~I6~f)L7`k|3^Y$Qg?8>27kkU{X9&Fx{{KVjQ0ACdNwbQfkW)|^l zCeAGIn~@(7Q+vB}U%Un{3c0?$eK}^nCT{0)j*D|HPo)B>Kq`<5qynixDsZ_9sy;=LW49D?>1EzT)PrRhGLewLD+aj;nswhb(gjfvb;42&)t$S zG@)jlGjFXPA$3@nYfwVEFf|k>UlqX=vlC<}3<$VyA4?NPE;zK4#4g019DHv4qVRHJ zHLqrI7q00n*yqL{5>tD7$i^~vDv%1K0;#}&0?RjB1Do2R(p?l zW^cXRX-@^7lmdCbJ;~*qc~5#O_gg_}gksJWdjuOCLt3&oGRU<|aV4X_C$ny}G%6#w z39`iOW)#BRk})(PX5DWDxm*fSt%HLWiWvn5mn$?VmM)A_ajMm9k_e{Fne{3t7J>|g zVP_D|_E5}0aB3%sU5KTQ*h3eOw=SIM;vEVyE``t6a1|y$e_Ie~m4oN8##nn$99+#0 zf+=Pv$WR#8dX$68#z7B)Lpw?Aa-6YC9q=SlfybeMJdQH6%2Xf~NCi@XR3H^d1yX@j zAQeajp11-+e}kJ6LsATKooD}+it8TDt}-(U9yE-FSc8nIF->Zo=*gkk+nS_NM?`$D^UGcI zUK}S4mXoq=$#i>o!Xxe_v#{JVXVG_gXZF@jej*2M{tpO!z}$CFofGe<-SOhJ*A&&I zEB3)W2DxM*TNf_Mu^%g#c%6o;9UNTnw)lxz6zh&XG!)#s_^`Rua|_lkDGs)}IXdnN zE?5n|VdyqstD9hLO2KN620K!MX}t~1hU*G)Oa)SbR3H^d1yX@jAW}du#LJb|pa0=^k4NAxe6enL5!4$I-WGDPi_J6w3${Cx3PbCO55OxuAJVIf+yt6-WhA zfmGn?D*s*N*=E7vY?(4qDv%1K0;xbMkP4&%(-aVx+dCiC1=lVHxpE(@sia_ar3?*< zWdw@TW8Vi8ns5bDESvT?(ZwKF&fBJvg4LBWG$@u4C{CLTTYnlOnB26ACHHSpA(0BC z0;xbMkP4&%sX!`_3Oqvv^5G zaayII`_J2UZ#9XKEo>iSA{9slQh`+95h}2}`Cm@uGO-k$#?2e#EG%#Jv*^3LGkfbM zPlr_CDJhWm+ml?)nfIi;-#V9Q*<5lg4|Hng3rS}6RAv#wJM%R$P=A6t4fi|9wah3bW4u#!-1vzrBe;v0-9m+Mw`2@mOxXt`t1yIhxdtU<1d5ZdieQS_ zmz#CL>yG=k7Ab_clf*8>0$~^=!L^G)uJL*MBIhlluk(cGvBp??P#oNOdk{=9`vuKg z3OyP49l#d_e(m%uyqQJ(nu#+D{AT0_#MIvI+*ht|?=0Poz;fgH$)C=AO$-z}wYP!% z>5>Yh0;xbMkP4&%sldA_kn7ub)64fR{^`@4eG=xqo}5h*UN1DrOFXldHL6sa&oL9zYme55=+};Y1Tm#zC(0 z%v-U7HZ5#koo2+rFBwA*Y>+D)6Kf$ouU{F6Yd9(o?zL3Q8l?W`}6M!7-#I zn-zmx%M@3O=Hi^p3RPIU1O1sRvZSG#s&^Yga_k=A*_ zb5|$DG6KcP)%+lsVs?TIg<-8nIjC$L^dLC2lf*8>9@8D4mb*8_HU`U?$PWjGo#?KGq5c?gem*CWEO_7F4v%h zj6iYnRS`@v`=#uI*&f_LrPYO|x@dPT#IG*)R5|uFTsH_CKM^6gicanOU{ z&`uJ&5DSE1kObE*2Dx(HHkA~tu9Trcv5Y`*sxxhqL@;g6?53wV6ufo@;p_+mr*@Lq zh1m7=b9|mdD)3koSnm6erQEDD6-WhAfk&-?QoX<0*)3tZjearCB@5Xu$*eqCwkA<{{4TFE2w&ndHlf!MjZwcG<~q~&Wj{vUFV|S;#%;gR=3@Hk zy~azT;N)M0B|QG}!v~$0s#~zegf}d&KWoiR!82xOHW&)SjNTOD&6H!B6wa>ccn)4u zC4W!kTYKpHHhgZ6H}<&VPgwAWJ*o>Q+pzdSF4BQrl6@WfGi%T_MQi-{Rtp<03#`3u z3~ySL)%I1S)1GTB_?WH|L{ARAx?+tZ%6eYydT*-Pa5dyugN&&$O=_O#$)VZXnxs)j zM0~IF%U$$d948Hyld^5ebbENhBkm=$u-r3e(RX=g_SQ{)A_s2%4+wq0+;>l%6Yr?q z@#3}D6xF3G_Q5;`xnv<*7cR=NA1j!6orbF&99;0W_=#B*>yAA%6x_S`@YdQ(iP$A6 zoZWQEi6(4yqd53np<(DYV5^&LHJc=A)*$WL0v_(gP%K@vds~wzJe$huweMy~hg9GK z6(|>2Q(aL|L`5Z2`yl#mf9PPLj1mtfkQ*|lH}1+Se!I6DHtshuQtAr=V3 zAPKHr407eXZ7L~PT`5C@Vi|$r^w{U^NTcbK3Zw$5Kq~MY6v%%UdA6&3I9sMnlnSH* zsX!`l@e0VrSC|E+0(%AIcv(1ek_{mdz!{@<69%zK~>APh}P{yfa@D19g`HpN@+h?Z=U3xWvUC z!N%Nhzk^)Mj8ZbjJ5|SxpSUuDyNKB>R0wxV#?Zx-eK4{LLs*weFFADtH=~;L)i}*DYXBPO)$Pb9Az1_Jl?gLTCYi!&q-7Q{yfa@D z19g`HpL9tDQh`(;6-WhAfmGmf6v*}MDXv911xdv5RMxjbgYBJ<>Vj(*gIu|P+f-7p zx>AM)#WDiL$=wIL5=?Gd#d1OWU_w3ZN~;U5O)wb;xz00h#R~GT(DK%RgI_X+Ce*BR ze(qor3aMQwLxW=J!qiZld{qRKn^v(9WGD;>xNjdz6V2X~P`|P@U6cH-Mm{%wQFuAA znpd;93lnD+>~rG}iK)FkWMi2-6-WhAfmC2Xfo0J;;CUt&nV3hXanD>QEKAgBwfBf; z_SVat_Eg|WDUkQulU&Z3_oSzCzZH~5DCS(TN3g*$q$QgbgIvoLS2A{XKH|y}$h7lw9{ z*oD|cDv%1K0;xbMkP4&%sX!`_3Zw$5Kq`<5qyl$QKnNP#lo;Y4zT6-WhAfm9$BNCi@X zN3B5q9jLQizQfrvWujCd6-WhAfk&c%Q0L&L#869vT)BVSR8z3JQicY_G6KcP-)$01 zZd%1ckfAWF`-RFuW#ga+!J(Zbb|DrB!ypN+T?}&NylpBeSY0VYgJKzh;#6naCW&C$ zoY_rJb0~Q248qwF2u|%Ju?w;5>*x49hg9IPD6ri3A4|DeXDW~iqympx0i}9>wX<8o zbQ}F*oJ$t6U6P~W#`5RI)$KEH7gq~M{N;Ny#UCOtqY~@4kEMn0tn`9Iw}o3SEmCaK z{v*2P;TKFDJ?N+A5fyxB$*~5J;l|~;#n#K;>1|D-@c3O`XAr)`Wo$yPBO0TAIm~sY z@5_FSx?irb(2d)Eqs_(i(|e7VM8V0w3QKtW<%bVCFIBf-jR|j9UVqk_n}TP|&TKFg zh8evn#G5I%`%D!c;5Ak9_e8$6hrVya=k|DGk1PI!1%KG1x^S`$iy!179oQw=*Remd z23=FM#*c5cu;H@6+S|tPrbSt8Uqw3Yxz>V@=_*0=Sch=82vhn!T+_8g)d(_d37aMeoIN(qK6$+m=kXhbKJZUNQ^IJ#!X)mv?4w-Q*{7 zSl+Bp#P-fK`pyxT5Ren^comKpuf3+IF5Sy^FpohlS;+i#60gd-wmG_P&a6QTNBo7i z#ZSzvxa=wm4F&fuK5Q=a+=8`Bii53gj*h#63s!?~7`hGE>Lys5Qn1>i!H$$*T5s!x zRkHY9nqjC>7!s+#r6^D?rI4&66-WhAfoGwB&}F<_*(@=J1R3Ny)BdfMylJ7XnU^J! z(34v-hA!fzb0OAWt#w(=L2wG|at%tz2oxt@6~Pp<6J#h1GkQ~q{mRyKcmQE&S6W?g z?P8EC=WSC-!RksG8WhV26eoXn2qrhJV!5DsOF4;DAQeajQh`+9>MH+T$aE?}G_VxB@AbO?#Z^VvsB6ZBt3X>Pi_J6w3${r_F_}KaCMgZd%2%tSbx8*B=BZ z2bGP39t4MWlGugVL@JO9qynixDv%1K0;xbM@T3%AZGG{`pO>BO5*^N#=^ly7Jz_I7 z6?j+$g!F@(65UGKPZ{Jo&pwz~L3NL2SD6`c@Jq(fgqn5E=Wnf0A@#1{6a4coQ$ykQ zRS`^XTE#+;p)lNWAFSD%LhM(zro#gWL%Y)If@>2WnRSrsJoEOY6%^}WMzM!qGKMBz znRUv%EheFm+LbaiD3&hTzY0f)chf4C`_J2UZ#9XK&8-7NA{9slQh`+9kt(pf`Cm@; zGO-k$#?2e#EG%#Jv*^3LGkfbMPlr_CDJhWm+ml?)nfIh8albuv6BqY$jF~SaImsM# zNQ!grWT$4nCI*T;L2q*0*RV0zaZoJGY~jgpzc?~oiaml&zSYGX6t7K^U2KDm;4Wfz z3l+lGdI|@(HwrlyV#+=k*@Pji%QYw=BT$@tRRmMaPLQE6tU4(Nm5qZQ1c!E#*kzIC zD)vxgUklP>9!_-e4h0#P!dJU?V_)oMat$K5iW}5hAy7iygdjVyK*QNq%;(U z2b;GfAI18EnvOre1NfrAubrNSH?xReGjV2t-;Df#nA+Q&`{FftQONb}?aMLqHE}za zb6lKrc`6l11yG<|+zm9ZODd2Gqynh`3S8XnIoG$hC+1UG-wKVjcRs2Mu3ZdrEi+2t zi+8Gy`xv0H8P@JvAXr`OsdDUV zSTEE)=B+f@&4@#-r*Kfya?paBanAm&=_6!bbt)X(tjjehUR{{hij%LoV2arZG8Bfj z9_662anOX33l8lhu?w*$2cH|iD7>6l&8u15g=;zs+=w0!Q+s>BzA|+x@B|cC-k6?1 zC)vUUE5J(hq97Bi(P`W>*9i}OCz#pWvb)N7%));FKii10E4}vLX zzyG|2Ul`g+Vi#f)sX!`_3Zw$5Kq`<5qynixDv%1K0;xbMkP6&I0U>B`Q(}mJkZXJ& z>^}RqRP65f9JiIsD0sLfW9VYa{w-OBA*{#S&jH z)J~)VsX!`_3Zw$5Kq~O~70AB>b+&7GI9sMnlnSH*sX!|5NE8t29Nd%`YH5%w_ivkO z3RYLj(4bgGpg8%vO@hfyt5^s!6oz%bP&ue<9P}VKw3Eax!~$U$B*C?dL9U#)O(g}Z zD`jXP*`t5loviyXk2T1+Se!I6DHtshuQtAvTc;qynixDv%1K0;xb#0mbUC zcHXm<%;qQXO(Kk6G`PHfMQHB+I_bvp=f#zno4+8!(8Tv<-LxRMuk7|zIriJf(!zIE zdcmRF!Y!8;DK=^U5nc1}3#N`9^i%VQ3O=;tScAy03RpN;4az|eo!&NFewWu7gfDR! zo6zfs#;9KobDin?vL8FwSm?%WztQGm`suyKOQPW9Uxg(+{_?{ISAsRH%QYw=UA+FR zH8+L$n4Q^RC=4@tQ;0WHZuglgJiu$JiyLtbt;3W*p zneE~VLlbIYpO}Z@wW};N6x_S`u({N83)U_v4z{{EI_?TCSPi~m=r&-hn_z89!D^2N zJ5qvay)|dU^|iP3LyaB_%^?-I5CzJG)RASR0;xbM@H`X{+KiVgn}yVnB71toWiAM)#WDiL$)6p9$xW+RE@<9TP9haZ1yX@jAQiZ}%6}Jm zwpnmETc%8u3OqjrmUZ^?YdkxCyb4JD{_yekkd;3_1#I3Lj$?c0qq^YV#UNMigEf^D ztge)yL9vWLaeD0gU_ukFK#FD49w)jO%fpm1yX@jAQgC| z3M_B_ms7n=EJder^9DH!%Uk^{`Y!Lx-nz-tAr*K^3grFvBv*6hJ?Tl@Z%^IC#r+&( z<_k$qGDjVf;+#9#shO{dfg(@Pn;iEwYz%fB6bmz3crx5Cj!c(gk6@E;bukCUYm;Ob z+h8NOiGT4NAxe6enL5!4$I-WGD=)PRc=LD923Zw$5Kq`<5qym?#K(233ac#;eNFt7>vc44>Z0~$j7hJm-Xk7fdnx1?__kR9anVstIOTyK5nSb+M<)v9DphQ1_U( z(quOy4z-@bK~2j+3u?wW`?sc#ka^XqaB#CO*PwWHVOlFrzUG1{W+%u{7}k1}gUZH1 z6GkpLw3Eax#GV{{Zv3L~a$+^FW^os;=`7gi#vc+>dwa;nGIuJF3Zw$5z<>g*L@x?5 zu^OGmJ#(F~EK#S`-XosbTQ7IoQ-LR?K;Capaye(-lb*``R!|zD;@zg|f@>4(jSO-v zQ(WPzyC`mKqcVbY7eqm@Q ziCu_IqynixDv%1K0;xbMkP4&%sX!`_3Zw$5Kq_z-1%#l%O^G4?L9X$Au>0)aQn9<^ zbKF)kqu}9|jG>Du`?q8jhOjQzpoEM-aq?9WOfmZf?Slp0*LQTbvNP)>AlGlkmKYoVT~GU{^775S(1i6-#`*P&<(dqynix zDv%1K0;#~`S0Mim)Y-1#;cS^QQ7VuMqynkHBT+!8b8u5)sHH)!+`nzADOg=8LxW-& zf#T%vHVGy-tzsd_P#D(zLgk>canOU{&`uJ&5DSE1kObE*2Dx(HHkA~tu9Trcv5Y`* zsxxhqL@;g6?53wV6ufo@;p_+mr*@Lqh1f(YkP4&%sX!`_3Zw!}1r)2l+Sx5(nnW1C z80QlF4Z9@5*&EBB7gx8>ybZ#&iSNxCe~7@0O1R%XmKMIV(hCmV7H+w;NU=%#kLa3* zUods_pr4vYRPdoC#~MV28<*!6TQ7g7w>62v<9B(TLHH7vu?fA7XpH*hFxQ#BFZ(g- ze!0d%H*WilHW$-R?=@Z$1tHStGgWwi*Hp>h6ZzI2`o0aH+vANruJ{uc{9%vk!pSx)evpfFV3%ZH$NtP3bWPD3 zKfcw%hRXtLZyUp#7G<@473s9+S_?j=s|3-LL$9t_Qz)DaQi>-=&Ty%)zxgXN@bTQc1qp74l!$t*1Q%vtnZ-kH61lb^_8d9ywd z+dI?fJ4akXKu)~lRXAR}_L`!)bT8Y%JO;UBA@kQsyejM3=IFXPvj#03@fY3}KQXi7 zva2jK6x_S`u({N83)U_v4z{{EI_?TCSPi~m=r&-hn_z89!D^2NJ5qvay{#8k$>Miu zhM`7bNTdRnqCmNnLb8rjAQeajo`nKJm+^9Cv&0w@WRUAj`?pr|riHp@UY1BgPj1N= zx`>y~g;;;J)@3yZ!6~fEH7Fq?P@H^K1XIjTkfAWl=uIK^D_hgy0feDlX?4N1i$SiO zw@oDlt1D$_P%I-*oc!4#nB26A<$~rd3Zz&z?Qx=uL9U#)O(g}ZD`jX|FBwAv65#8Pw`H*b)$u)NjJ zqVMv~?5&$T9a4d(q(I(pPjWS9-jniv>s%r>D=rE%Uq~{m(=v+~-kGn7fx63p&yb7Y zMKfIDVvk^BZn)n;u4P6k8RMO*=r77yCq}jV#+=kS%o32%QYw=BT$@t zRRmMazTB(}Uh9Uca!}bg=s|F3Cy8B%1;Q{$f@>FpT;uchMb2A9U*`$WV~w%)pg7c- zc9ZKMm~Nh_X%))_&0ESj8TcK*7X^Op^enuYMf{qHGYkA?svvN_RdFj z!L^G)uJL`a#?ErL7#!RkpX2_H83hlwWDH&8{_T|_Qq{Ptm^lbeuI7rRa=9*e0AX-F z6w8K$6HPD~2f5BOZ^a7Qw6NI=HX{yx$rzeYv(A~fCZUkpl`=FamM%;U#mQGiFu7?J z3qgj$u6l&8u15g$p(d_POzg#MIs%va!sa z3Zw$5Kq@exz_Mr^@H~@?Ow6OxxM!{tmL=-6+Iz$^d+X&+dn)jx6v+GSNiOHid(u<6 z-wH}2)Mkgs-`~Nt3HC+?xt1xe@O5@R;>rkag3y@Vj6%3uGKMC^tiDch!Nhb?%tP=B z>v9cBNEa5WIQgmwrkI@|Lt(hvJ{X~5k4}=b)N7% z));FKii10E4}vLXzyG|2Ul`g+Vi#f)sX!`_3Zw$5Kq`<5qynixDv%1K0;xbMkP6&I z0U>B`Q(}mJkZXJ&tg*8^RFJ#lbKF+4aDl=t8ABIS_HW553}IcaK?xaw;^eC$m}2$| z+6Nn`w7Sq#7wxWv_|?UpD#yNtb;B~=#wWOonB78!@U@=8K~2j+4>@mdUBRAU*oDE> zT(QL03$+ueKq`<5qynixDv%01eg*RHK%MOx9?q626Qu&FKq`<5JQ4+jItMo;hFTir z%Kh7>nu67pGBhZb5hzanZj)eg(<&B%425CcFH{aH8wWiI4(%kd3$Z{L21#)3VvsB6 zZBt3X>Pi_J6w3${r#jO%Nd(j8%x-#`L&0lj5YCQ3aB3%sU5HJj0;xbMkP4&%sX!{w zR6w!%tDW5vrb&eHi*YW&->^#}oV~I9d2x07%-bMboA}* zZQ+(nixiu*|A?-6_yto(5BjNjL9F!V(^T`Qd}kOVuq{W5OGj*PpfK zrr;T~GaC$rVMcEX@n*{HK2wDUcukf3J&|wiq3_%9xjo+4y+X;D_&SCLM8uC?G}x=Ii|IrQp^HI69jd9~}k zsb<5~kYf!prp7d>d7>wWW^ZefMja9Hz0NOp(R*>6G+0i`wk6Z;;R%npm(0R)&zwcy z<(=7EH~EPimN)AYvAr{mzH`JS1mwg!UWMbuYp*G)OZT!J%wv#C7BYXG#H+HdZH}&+ zGi%Vo5r5%r@e?yEF1yM?L&3d^51UIpw_xp(;$W+rqvNjNg4N&~hHeA4x(U{%6s-1W zup=dy*4uhvl`MXjW*BM|hD0iGDGHQJDJ1Ji1yX@j;8`djbQv#KHcN~lK?b?bw0~#N!MKHze1Q`m$jNTMtzp^zQ z9zYn{l~xyAyBOrkdD~P{u)0!)2E{T0#mS!?g2_#*ST1PZQcfZjNCi@XR3H_&y2^hS zdA3<_I9sMnlnOjQ1(tR8^J_die!L1u{r>Rr_K=l7KLu>w8jfRo=cBsd-^CzT?t?Xz z6s)e4p+T{XKyiBP`(Q#7u0V=q(;g?f805-%+f-7px>AM)#WDiLX>(!gPh$j=n^v(b z>&n9O^#=jUL1p8h2f?A8Bz7S-kqV>&sX!`_3Zw$5Kq`<5JShcOTVFi#=VfQRM2E9w zx<{gNkJt=N1s+xbA^qT{M7L7*QwF)tvkxX#P~D^1Rc1yU{E{&=p=O=)`CBVgNWClg z1pmCt)KIv6RRoipRF@x;(5|$);M&ATW*y`@&%AwU1;sj; zQS9NDjG>8FW}Px`i%BS?cBKprilvM8ufh@H-L#73{`0ooTTLQlbL+s6NCi@XR3H_2 zqzWu={+Cm|Oe{sGaq|W_3(H&mEc!0*%-*`m(;*djN($ut_9Rzx<~`|2+;30a#Krv_ zW9AD3hHEaxa925&PTX-_uFOE!?Vvk^xZ*?&T#cPvf z7u#SXxQm$GLWS_Pp2ETHjY7_an6eK>Hem?sat%tz2oxt@6~Pp<6J#h1t4_*6W#ga+ z!J(Zbc3GskiapfW-xfrkA-qFD#;0)BuHD!dyO~^r2<{?gw@@M6O^cz6CpK>nLdUKg ziUlbRh2g>GEy+&|eh2VHfnPg43vXr-zh>gh0>2sg0Wr0=JNLzF@S>3G+uN68=4;}1 zF6X#7=kio4kP4&%sX!`_3Zw#;t3a-APjPL^DM%uYr?S2k8f@=;R2N*k801>MV^a9y zovPypPIDg&k=A`Dp2r$v?Ll$yH5W`V`vvWT4OCiPXsQWjSi5T>es!^@%CWCuy-@d< zx6))cBM!Bm!a+^TK?`ceIs3P!kC1uQsc>+!F4v%Vbzxd7PQK=XDP||gP#D&Fl!MB~ zK@&zUIJA?*F2tT3d~W=r@N!}`uV!%J#xi#*kP4&%slb2&tVAyg zGO-$+#yxYLuq;uh)!rkX*;_An+Ealir9j?qPjWeD-jkln{Z>#Kq2k@9>Vj(%?2Qa^ zEmK_KtGg&}Y@;%Qn;=WfZbl*8Pm84mG2@hduwulJ|Nrd0&yOtGlIIoo){j?RT`;#_ z7o{sv64s*@+>*MaV$c(_KqH$B|WkIak{;gMo*g6=YVvk0WvJ0_5*wib{F1R+)$<;q@xJ5ZP#_ct1ww&PAQT7%u3v%p9;mZj!~NMZMWRq36bJ=Efh$o! zsIzlXqN}A&u2{dVswr4qDT@Zh(gVfGUu_ahZd%1ckRh>|*9(<{%*H_rf3%O${?KWf#6h6Qg$IW5DJ6> zp+G1Q3WNfoKve<7>d$soQvCxg%ex=RH^vlc2QzGHyUxg{W{NtyO8c$WX zU@a5gvD|!78=Hb>nVr#KNNh&*rVuZN-0D45cz_$KO!v%D^iAH8y)~1c$YFZ1J`vl!Y4qL^TL_4Oceo0Ni&vjhWS8b;+nYxxmrP{- zJc(yzp4%K;7iZ?6iKG03m&I3RR$Nw<6%7gZCO)oC_1uEBNs5EbZVryCf)iGQZ|J%W z*zBe-H>F^;M}-|J!LZ)u3#(-DyEH>rqc8+QfvqTzwo*vc5ekF?p}@0HKd{qQfnVld* zVl$#Qh1jobPKO5&HuXxg3$9Ica>cl zp+G1Q3hZ|A?;_7O3ifBq6p2EC=cm9l&whT5N5|KzfaKo~ueXP&{P`(hl5V z3;s=Xa>Y7WRY}3>N?9~0mL4ch*S-!WG~o)QSQhPZqKQtf7`Ig=1*PgBj#0ElvP#_ct1ww&PAQT7%LV+ix0CVfj zBmQ1?wo9}>Tc$`93Oq{%gswXmC7J@Up3=#6o^>#>g7O;8sxmX;;FpY{3N`DP_upEf zLh4n)C-~=GriQ}pt0I`(w2FlwLt^v5b+Br03b9|=oDL5lZ0eO}7hJ3O)U2Ic=NY$e zte~FM(7$cuZa*{FXkR<2a$xe-YPIMG`n%->O3dv}OOPuUcSeWbXx07ocQA$RhKEjS0 zTd9ngCd%v2)ip@}CpZudgRt{jR5DGiCu zg~u%=v@!pors2tF0B;I>?DQzS8%2D>z!?QT8To=3+S`Ns;yJh}#QgU7a*TXV9OrV5 zlXEUkg#w`f3Z%{5K;ycE0--=C5DK8c=5Eh1zdat9Pi1~9G*(~v$S$}x(a9C-w^bzt zt1D&EpjdjKIQgrCg2_#*ST?i{Ce%}}G`rwh1%t7Z>pbIDtRVjiO)m{N_$6bgLd`m6 z{njKDQoB+X4T_}+Q$um`RS`^XTE#+;A+bTgb^BPVsP?9W`jyS;s^kwf^1ks+;myEm z-j3o<44hH0_l;i?LwmbqW05-)2n9lcP@qGBY0}!^c_tSbm`8_kkDMn=Q`BL#w}?mf z*2*3BP~b@^5ck`YT+WgAq^EMf6_iFO#$2*TVS%GdOBO3SxuzkmWNfT_lq(~+3PQ{5 zW)#BRk}*^vW?e4?xm*fSu7iUbk{JaDm#b({EKL}v;*_gdBoR!DGxPeBVj;+o*sKh~ z*%p#H2u}4RWfx+Bu<0bhwTVuy{&5@czfFifj}!LG8e?riad5}&UNDu}A3tv47dG`I zWfx)tp+G1Q3WNfoKqwFjgaV;JC=d#S0--=C5DGj*0U@Y!QKE~#ldFFntg^D)Rgk;l zb68fgaDl=t8AB68)^EuwY>IWc1|_5iij%L3U@Eh3XdSGh((FQ0P1L&<;%67zRgQfP z^Md6g{_ap`H>=<=*ONHNX*p;i#_iD+Yzc;4*tnW2md0qnB@haP0--=C5DJ6>p}uS3xrK439e0aa>clPgBj#0ElvP#_ct1ww&PAQY%7pjiFc&T0x%CBpbsKbPQdSS1n8 z-kJWq-W{KLAB1ZaKbp1tCIT}m;ePvAYWTrQPdGGNIC80xVw3up=$eOLFm<$`pPNUi z;C)SwIfx8*F3%BLD}ST6If=sKcX^vZ_)#un5qcX@+3MHbTxa^d?B`PV(=`^laoex7 zIhlTWS$Rq%ocyaWg_nQ)^ikuf>K3eJ!aJ6mFKS~`@GP@48Vrfeh~5<9#gJRQrwR{n zLzR4=$oKZp4{YJ=4$5&YJ#U9y(lXX~pCl~3!D#^Nz^_e;7mZG-(?5KqWmj&kD z7KV2<%53|lq|=&fF8Gix6O?xLt-8t@mnidjwd=j8X2DgFV-7N=$}q`!N;~^%Z*!7L z9VOyNouBTakK#CKu$+`_Nv6xg6CQCd8HMSdIf}l?JF>TC@)J2sFV-hwyEl#AJ7Nm~ zG4Kvo;c)TlbBgTJyli{(=;V@#%%3Omtju$pgX`kV95iv1fAF&S%FK$(sp+G3`q!eIoy?Mmn%g%O*_GioVh(zfTu@M>yTvh=gednS?vr^Vm zI=RlX4klJmUZYu6W=0(Rk}*`FW*zhXTPsvZy(;(w|Gdl8P`G_n1e2Rqu@GcPY#z7{ zR_#q8_A8sy;Q@qAz0&N0YZafGwUg^SyUAqOhO^GD`nB3 zSemGR6pj$@rd2GDAGh`1auOk%TL*?fC=d#S0-?Z_DlonHZ>M@0Sc(qg<^^&TrkDCr z^iAH8y)~1ELn!c+6o~unNv`I|d(xA*-=4aO&HWu?BCd%vPLdUKgiUlbRiOt2vEy+&|J_C4D;A5vp z;oT_W8wSoO@X5#*#L(Uz+!xQmO(EvD$CqQ|bK*FcbDW%WaViuD1ww&PAQT7%LV@in z5cAtpT$^+Xk`l*LncoTx)>l5V3$9Ica!tQ6DSYLXs>2&jV;u~U=5;6b%Nk>CL2>Xk z7ffaL4XuN9RGM9AstQI}y=x(UcClUM*w-*$s7H)jsj{09hg?tMAgAS^1~ucD^;^?N z$UN(mIJjGvYf!wJFs&6QUvt4!W+%vy*v$1P2bqn7DvVrks3$4A5PNd)zVS`r&A@8j zj^a*S(^0VZjb9Q&d%I*~kvkL!1ww&PphE#>qML#YtVV}%kDMn=Q`BL#w}?mf*2*3B zP~b@^5ck`YT+WgAq^EMf6_iG(@@i9d!Lv9WLLYlBp#mQGiFqPQ}G9)$+TL&Xl?9oV4b|DrBn|h_$1=l7z zx%$U#y#F>K`aDk9FKdjo1;xP~w|l`p+G3`5Cw#w&P9nX{!Xs`b+E^*-%_!=;&WJ5GNa((mW-i^A?vqf6*k4X zT!RwQ1I5W#MKG1wH?$7cQE7IesV3@O3-Pmy?JCESFov=IS5X!=8C0!y-+(43WNfoKqwFjgaV}a_xUDKFSY0WL2F20?#VL=pMH0cZII|j_=8*7O8HBSv z5S;2s$}YqPLV-{q6bJ=Eflwe6s4AdX{n^fH3R5M*_*Flb;BQzZ5zgM3{=D8DpLrjI zYZX75wfrUmGb-VJ`&erD!Aeg!G+Q`wsgYun`j_aMhhH#tw4k4xN2%a_O^!K;40kTi z5nC&NqqjMU!sB;&n?d+dE@KgT8&TQn*WFxa`n~MuQuos}7P@iUue3RtetB7WN+g{8 zt1yL^fBf`OA)(DcQwjv`=+GR znrkljkS-IHcJ{5h${Lp_^Le%Fy{KlvRgq&3GN#Hf$$3gU`)Y47F@?zR5eXw`TGaIZQ9sCt|xdjov$A3js0k4p-rD@#=Gm z?9#k!d-Lezl8MZpC-JPzbDM+f;>;X0ag=}XviQo(ip#39q9Nhl#K+aCo?EasNpY~* z&B1Y1aKdWv4PCbZo81)VrWCC9sIVg?7}nc-VU;X?muBc{6ox=35DJ6>p+G1Q3Oq*z zgr>{WmBkdJOP@}zGp*lR$%_{9oOzle2|c+bV`!p0bxy?kv$ZCxIS5Y0x?F=2(gVfG zS4A+D*$FZvHY0jdi2cguba((^Q?E3;;MzndSB%@Ll7iKhvS?5&Jy4wd(IJ@Jw2Eaz zXizLYP@JxP9ZYD#6-cox+T%nMom??)t4a!1SIVM6vGhQ3 zT3p!t*BHU%rd2G{>vLj%{zHIrkl8qBL2#%iDZ3CG2n9lcP#_ct1ww&PAQT7%o|FR2 ztv8SOd)e78(f(|iB2g&tEEN#C?p%~;3dDL!C)at_!Ndy6Yc#9M%!q?uGKMPDtYhAP zYlRA_R|TKopLdxW3b(I{U~|k%>&oLs=X=1er0nyJbRO&c5%b5Q z1ww&PAQZS#1*RAO?Nl!VOVMH6yg-h^^in^HzR5eXw`THi2nC*!0&%}R$<-WrPkIvf z+fz5OxxZtKd?Lw7#;8M*oO35THS#&pQRHcQvvDgVqZux7vPWTIuDjn(u4zOm8F~5$ zJ8o>HGGdx2vs4D;xGSn|VQ1Imm1rv>-Ut zlayVE1;VD21lJ}yx%$WL>+&gVoJ|{Yg9okz*{%{Ee zLV-{q6bJ=Efl%On3dH>OetP-d$v=IXvrlDyE67n_`N%G~Hqpt|zYZ4bw+Yeb^-}iB z8e?riaqw3M1yh-QL+fB2m1Y;3s)E5-?^=kTU2Io5_BG5G>JjU=Qe`(I4!NGhK~Bp- z4Qj?Q>$j$lka^ZAad5XT*PwVcVOlFrzUG3d%ubLYv6<^p4l)}DRT#P8P)|~JA@=0p zedC+Ln}OB59mSovrlVl*8^0um_IAm}B6lbd3WNfoK!*a;FIyd+_i&MW_#V4HCia-? z_*jomoa}hyJYkxm`n8U0Dd!v6TTT)?p}=!cV95RUF~Z4Xf*Y~gus_^9@}5+^-#)zL z?#NU1CS-)HyxNpqaIJ#1kxs5@h%0<~6~&FMR7P+WWGSCL2+=$?OrgI*&jb{;TJabBxM(3uLE)&Wj=)}^(izFJ%j?GKqwFjgaV;JC=d#S z0--=C5DJ__0U=4}qC{6com~CvV2@e9rDAu*=di3~M!~}^8AB68)^EuwY>IWc1|_5i zij%8~3Z^pqhStG4D$Oo5)kM8(A%1qTUFF!-@cn`%Lp-r^e76%e^-8k~u1$1u#kj31DOg=8iw4Eg1I6ju z$8Are;S&mMM*-PRDN#u%(5--Udxm2uusH>kiu~EmYU7&L|)h$@dgm)}AU)08?;8|v8G#C<_5xptIiy@a+I_7kEfE%jh z`$WFChkjt2FYWQp9y`9mf-m;SE}X2x;ybxW2Ubbeb*#_KLAMmO?Po_VEVwK%_qH&+ zt5Ig#Hzl3cTyw#PbeW*Evv1W^*0@BO&#PVUMKueqiX3y0F;#|1&QsdiS9_b2RO%=Z zKkEE+7kw1RNrUC2Y)dj-9-i=sd&wwF_smiBP2Q2cHItvnft&vYp%={k@YFHz4%!_q zUVToHU7BL=&7+e`CbD_rq8xj%vJ*@^Pm8l199*b{y)uhpU9lGp3HK&Gu1@vbg0)GC zgUxOZj;n$bR)cTox((RurZ6{sVM(>lj+9_nZ_UwgNZ-}y!810Yz(y2E8>u782n9lc zP~dqeAhcPYt}G@}U5a#aooW5nN?x?Em?4`{=*cY^Llfnxb0XHCtu{m9Y!vhGLdZpO~*Csl-V%%1h6s)e4MT27Lf#T$k4#DK6 zRV*7Cx0JJO$hOOSO4aF8Y9@LK1ww)QD-i!K^8T7VFk=6}xCjdcLV>md(>%NF7#^X( zRuqu@`{7n9^6RiV1Lsjd&y(hHS>zCY*w$A*vdbZ$wdv%Fb+D?CS@Xq$^9kM_U|E&S z*S-!WG|@TMaBZTKE5>bANx|w$Su`k?9w<)NK5ly&4WCdT6bJ=Ef#;xrJclN?(W7po zjHn_Mc#;b6CY#M8{$6&rOSC^*rbi@7kBE)XP~fr(2*0_g^+f==_mbIcW)+*{B zg(Jk)ub??3ydE}g>%A$&jp&N+Z!nDDVsvm|pz1)2R$BMTc?o zLOlw+3SSZ~)J&EKa?@3SMetYUB_#Jao82Ln!QJtny#PE)MPIT0r zI(!-~a#(V(ZENKtyWrXc!Yo#s%4W}5h9=6rC1M}8 zRz#$*Dc0p0l#m`MPOd5{n9A$~84{Zjy(z?QWjWm>%}#KwSDIaLZK9KFx)UmV^-5pI zxJ9ISoUmWk7;6iPgRA*oFqPRiF>WdG#Nac4Hw8X+dKBJ`BEDhZi~^sGd_fHD?ZJI< zPuLWuyZVrtWC*K~rcuQ3j(kpZ)SWtf!X*?41ww&PAQT7%LV@il5cAtpT#IxHk`l*L zncoTx)>l5V3$9Ica`mr+RaS$W#o*ws_#Bp%%qV!cC1YsfiLKvOe7D$`yae{HH#xiEynuKEHzLZ6SVrjzEP@H^K1XKMAnnS{i z*Vc9WSgNS@riA*H&FQM-4>j_>@lD~)z-r!(;!X^lQLy)oUlK!myJTaLI}`{7LV-}A zLxE}1+TnQ*7rBS;vFl@EkGYPI_4vfejz`WDm^~JuMa#(yBYQhpkwFv+T!R8b?zfL= zTpknLh}DMu;pUO|r0V_l;U#xRo~kz?BV>yeqV)ntS3VXiI=QAHt`^Z+`6yRLa214> z*Hz3Ygu5kUs6x!@>r^h7m?n~W2wugyT!RwQgoP?jzAA#L%svhJgx9<*p@5KNT9mj+9Lx(Y z%E4l}gcD8NV}ZlC6uw20)#7J-enLW|`LeKI));FGii4}U3Z^nUL59R;u17h@Y#g*8 zIMkDrU5Ew3rjrEMCOWzL*KaF-5os2KL)LUvnHdF-m4QDebYaNf9mp(figmdLC8P(6 zldp3Y|}Zd(+7xB@AbMSGlRqLVAeZBPlHOD3%^5PKyhh|JEaz+_Z{i6YIAW5(ovZO#z``{#q9Z1+GW|xsqD1rOqpnvsR|o zj2p8ZYV~J3tG7-xOaIC|I=N&bTO~Od?#!`X?~c#Be^bZAQU39xnaXb>FryOdmyD%` zAFT9*L$ie=ml`QHseg&CdH4lWM+^G7d6Wv?*W{Rk$Z+TK9I>_XH+q|sC_H|bw;6;V z6e$4r$oZZzY0@$`NvNmHJ++&!CEG~ zW4ZaFHZ}#%GCQNekl2jqO(9+kx%?aBoDL6gLzR4=$oKZp4{YJ=4$5&YJ#U9y( zlXX~pCl~3!D#^Nz^_e;7mZG-(?5KqWmj&kD7KV2<%53|lq|=&fF8Gix6O?xLt-8t@ zmnidjwd=j8X2DgFV-7N=$}q`!N;~^%Z*!7L9VOyNouBTakK#CKu$+`_Nv6xg6CQCd z8HMSdIf}l?JF>TC@)J35^S>bUg1H}_ItJcByTiq+&ndD?Q|!HYbaKf=HcwoXV=q>A zf{Ev8akhhl3$?IUW>Ksw_M#!--o(e%sh(S~Hc4@?+0DUmRdB*;@C{wJ0h`?v=B6(! zsn*$%5)A9DIT~*B$2JrQ1ww&PAQT7%LV>aZf~h=RSxltLEO#uOTxVLpwZaxHEM~}N z6e@R1#?VB0>YRx6XKPJXa}b=0b-4y5qz8(VuZmzQvlC=UY)15^5c`$Q>F@x;re0}w z!L^A_t{AsfB?YT1WznEmdZ0M@qeC#cX%)+c#x3P+8?x>4o>F!Cl$wcNLV-}={tCpu zi@d*P4~*D9FfPJEfl#2Wz%l!MI1K?{OIJxSSx*gz-{3WNfoKq&BD0eQb0dt(*QvGl*rQ4rUa4_$6bg;;mVSjN4=q3aMQwiw4EgME#?1gm^ctVtM?yt@oCb2-(~^ zFkA=ZI?8+sRq9h{B6tTCP~C=RaXDwxXb)6F{JHP@pYWHt_35FF}B$}YqLVbdhh zgA1-rG`ZfVGcJWMckRZ$EIWc1|_5iij%9#UNDu} z2{I%$7aq5i(8m0SnuaHz0lX>jvD2gQZWQqi17{TYWaJBCXm1bhi|62`5cAvP%Q5mf zah%IJPR_YF6$*p`D4?6WfyQ+S1ww&PAQV7>&E1}3etSGHpUV7JXso{SkzH_YqLZtC z{kF2Q+$;tMcg1IZiU}TW$rze=V(VZ#p<`DL#j=TYutX(2l?r||(*%RD-nGCnyV$OB zTpn46^*2}(e%#I%BZZSyh*`_*X2c=alQ@`@uwR9mby1DrKKGV5n7NdLTn7hL7>D5C zaup4Vr3tG-amv*!k_e{7nN zVDB5hB!>2O$;KjgC=d#S0-->M0@E*B9iC@$k%4)18289|0`uBSVq|ZZ>??AI0%uns z?zbnAeB?dpsoZY`r4g#U+LT>zt%9|YPOfQ)D}4T%%)HQ2sf^$%$WmrEqY&yUM@WWv9WLLYlBp#mQGiFqPQ}G9)(hCL2<|p+G1Q3Oro}gw{G2CAw7T@E1C?P#ioP1RTQ<;4e>tK{v6G$;H+T%nM^{$2Z*~NC1<3$n%bmDAY zuw0!{%#()f)=_4+I3aefCvlL|a?nDI+oLPk5)8YraWz*gO81ww&P zAQT7%LV^1!F#YCqzn}r$@jXxjMD;26{^{#Fdpirhu9~CTP#_ct1-7ezP+I4rL{}G` zT(N#zRa3CKQWg!0r3Z?WzuF|2+_Z{?AVXp^uNNu@nT>-M1c!Q(vJ0_5*i0nfB=-G+ zaOuK53Nk*0Gk5LA#@`(hBF&eDeOD*N(gVfG*IY1_*$FZvHgi47L1yEi1;L@7r0hbh z2k}nExlmv;3dm+Ei9$kweg&l8H9SLsttp@sl;;Rh={;m~a1$fZV#P3m8wYaV{V)X{=|ZXTtA_cb}@ zATqcYL$S2b=xt7-@c3QcW)Oaq%UFcoMpU-?bvM_U))Rg%bw6EWp&PgTN}H4EmzR~N zM8e6x3R8Ib$4?*a1Z%M_*Pw(naq~rOYzpyZc1D9Cu^G{uLcADqtM^pl0dA<0?-Tjn z9{Pc8zO=_Xd+hiM3%=MRyKu4&i|^zj9atq<*Reh`2i;QCwx1ofu;8-5+}pzNu11+{ z-;{J(bIk=G(q)3u&c0PwS>qCAKCgDY7u77dDss$0##9+5IZtV4U+ry9QmLav{HXI= z`P*=ALh|0}cl7j>6C?MMQJC(Tqv)HwBYSHmKas=qVtpdEd(-H>BeoC_1MhGZ4j1oe zPN9VUEgVW@(a#aHH`c&#cc8WQeJd|d6#Em)hR zIN0pw;J7L{VKw-MuG@gkZVGc#3RZhm*pU(p>utWUN*2G%-R_UN8igSc3WNfoKq&B7 z1up!#>5nZx;-9GkLf_@-%3_MqB~d5WnbvQu4D;xGSn-RS!#C~OSIy``|saKj^aBZTKE5>bANx|w$Su`k? z9w<)!=nzb9TE()VaZ5Qxq@pDZp+G1Q3WNerPJ#G$k!QQ4`?F<=M4`a*Q(&5BKflJK z6QgH@Fjtge(rgJS7{;&konU_ukFK#FD29w(aU zp+G1Q3Y=Sk_Et@kI+$2Nd5vaO znHh2LOU6)znsv>-v^{U_#{PQkTL*e#S5ln7c#X^uFv3cM+ShY8W*sp9(hX)Wg z^-8k~u2p<$)=sYTjN3O>P+12viaq?2F;wx^tV70aG6{v$u9QWCVrioOQ8+@pn^v(r ze%#i3%SnW6ZXFl`p+G1Q3WNd|Qo#P*{-ZFx_&K13u0(*5AG}Gw-1)? zPGGvTfAZat&xwv=hxXQyKU_kAP#_ct1ww&PAQZTt0x`e6pI*Lq@=u@U?30+^+Bg&B zpqcV&Q+C0%iB7Kmb+Afh&0=tHS9}iZJ7yF-+>$Xg5$m@*MWn28Q!#T8oLtQnOXhN2 zcDsbry+{rLwGD&O&89@H3bJ#)A(mifLNVr&JqimPU0SkO(aALpaV2A8<)d5~!Br4iW;de{?v{+93NhuS zOC4noojl&VaH5HO6l7cqpReI8OuYX#A<`@d`(=%>wxBq;n(qZunVld*Vl&sH9Aq{Q zS`ZxSNy;vV5j)iZPaqVy4h7^o%8V*Qflwe62n9lcP#_ct1ww&PAQX7w3JCpmE=qJs z(aCk5^;;^=Yc#9M%qV!Q3@pobVaWO|nT1WUF4v%h^gwa)RS`^O_G$eo;dQZfu-n{1 z4ab%0ukEB_S+vKACXTr(YxBmX@GX+8{>o7KiwMC@l-Vs*2zN`y&_s;eqbt}{%p3$K zS98TuzFyt86KDdVKqwFjgaV;JC=d!9D=_`ybbR{!$MHQ-XVZLtwoH*I6bJ=Efl%N| z6cFm{T$Jc)sgo<#Z>wqwR#(cRL9z5eaq?H21e2Rqu@GcPY%aNeYw3j=>Xl{}T$||R zig8<2Qn0#G77dD}2a3~GkK5__)6;DDgaVsUAja)xE=3d)3WNgBKmnykf3~xldN<|x zs-H_HvQ?6U;m*|V_3rr0`(Fwsj`EKm%~XC9ffUM20(;=ZLM9ztP*AMB(wfyv-o|D3`Gay^W}B_3Lh~GyPun zbE*638VlXH?N{2IOuxLWJS7rN{#BU5%RhelsPR;F3)V8>9m~xZwXrF9mf0B%hQww> zZwm2Z$T3V3XXkY6gBz;k`$WFChkjt2FYWQp9y`9mf-m;SE}X2x;ybxW2Ubbeb*#_K zLAMmOt$lOrqJ;&Q1?JushIci}Z2P99)0%58_>e9Wly>&5y2=`tDD!!>>%FLE!BvrC z4l<_7Fv)pJJNs&HbCOCOCE`b&pYEcM;y7uroRn=zrpv<<9&s-jh3TF-ioVG^vbSdP z6FG46zaaF2xgVZ72Hrut!^Nx5DY8pb?7ew(a>+zCPh6B^KUOgDJT1<4aB#uP;w!T# z))jlvkZ^C}IJ@YQ6IIykMse`DiiWP+fX!}})hv>zSqo|B7VvN{hGJ== z-rJl+;aOCcuYEH^ID`TlR3L4zrYI>C2n9lcr>lU_T6wy%m|}FP(8)EeJSd!tBzYb) zPj%L_+8ji16J>S_6~f(;F*H%0IwxZ17L_;1W!WGpiQN zA>p+$2xogBIMtJsU5Ew3rjrEMCOWxd+*Xwotge(rgJS7{;&kofwx`kX2?audP#_d| z4hqD-i#*#^-k&W~BnkyWflwe6*t`O=`3j@JP++Zq98Mj!?t+VAUo4k!qKQtfSO=>r zDOg=8iw4Eg1I1}^Ve^`~U~|kO|0J*G@ONrzdJ0(31g-_vaq(GI9&UJphXLLrIJ-PgRpT+#!!Wtb| zmnoHl%*H_#MlLwilayVE1;VD21lKA)HESo=dB*J84B5JYejWp)b{ z!rhWFG%;iyjI6?@SeI*1LVBP$`Kkz}GCM(r#O8tPw-zac*OQc8rto`_kgth*6l7cq zpReI8%QD(PLA>1t)LlaMI-0p>rT{#rXCdMs=oEUrt@TS0LHjl!)QN%Y4 zoKfJDkuQj$z1`jgAJ84Q$W0;Ux5t-b-h%2WEAXFddhl)c zDeDrwZCim)4!o5d`w!DU*zx^3_rT_+7csv*zIsn$erw}QXpCkS zt4-hQ54#`IuVlGIg=-TluJT%uaVdN(uLwJC?6R^28!=6k*)3EE_tRo&VNs3X?Q$uW zTn7g&2o5e+(V$p*pg84f7D)ut;taQ~XpETaxc~!e(8m{>wZ46ML`bqCH%z zVC=8C?4%O5;F|7)z9Hd0EB2UiE5@@*o9yH~3ljF-k}*`FW?fuS!QJIjEV&L2sxS`0 z!R0C%6iX9Uh2oT}StJomi!<|8P%H!)5}TDlINOAg3r_VUWfx-0c=6rt+uh&&lRqna zxE9_wzA3yJSk2o}+=**C3U)8LB!>1zV}E2%F776QP~h4W_;z|-xVE~Z-sh{pgI=qq zN$Yl0lYyn^FzyFw-&xJ2Z$%?}LxS`lPZQ`)J;E&%xV!@CKd>6~CBHM?2XVi>k6K0F zk@uvha=#VSLny{vvd3Y8HdDAwf~l#nJYRB_7HEVu;I;>>Ef%N!D3 zD}!*hg=7wbQ$0!9g;p`N7dLhK>kVP~~TyYDEC;sT+-^(gSiR_eE#o3CDv zeo^U#6!3>1s<-z-`@SboyK3x9xh-+UPOUu3VxB?ku(%|paS3h(RVw0|7{=? z*rozPS?fg!-R*v#e)a180tYT#SaVsJ5}FrFW|yKN;XW_4JZAkCo>kh!*=eOj*q`f3 z9OSedv@m4-mZZX_SeI*1LVBP$`Kkz}GCM(r#Aen>Imm1rv>-UtlayVECAaVK&Hwmf zr!T&|QxJK&aF2qFPvOj6yRk8D6C%yygnd^h#nJ=C$=6&kmDve0BsOzB%0Xu1pasF9 zo}}zTYz1E@GyYC09$^Xvwy1!;1pfZ7c~S*Jf$LI$*;^nKxC#a2w#whykKZ5WEtjr3 zz6a`TS73j(OoI~5|Ni_>2k{4OC@?|+{nl9T{r1}tl>}!f;3*)STrEocWaCzM|K^A9 z7dUX~!iuZB#%X*CXI@2dV`CjGA=13=#J;POV(Ee6^W7dG`pVdaE8ZX28U}EUzv5yMM!ZvN3$+k5Zq@j z+f|PJlCjkAgO#3eXtr?VQX|DC^)Jyi55HjQXhA|wL_~m8gDUpbt zTTl*immE~_(?^ZE+=8{rIU8@jsA(>^mDy>*kl2jqO(D)Yxpm+HZm{xwBH!CX`Fn%C z3vBmpC%YYAVZj%BWEb0Sz~UV)3tDlJ4!DLpb(qUhWp{!gsN=Jv78YCo@3R=xAZ#GKH6a>|1q}H7=7*7PafWsAj=ckz)=rp5^744Tsof{(ZH#1=pgo+fmu1 zHwOl^UG{rna}#2@SNZpI*892N!yD;GVY+9IqHpq!>}^gyx<_7Xni%(7&}Qx}I*h(3 zt)9;@@LDyPU0F6j3$e%HCd)uk4pvhbPFAru>xwH8vf>iX8hiudP)D-Iva-XOIS6YN zYDBNhL-ATwRx~8soA`LDZ>~m(SS3lEUAS}FZbB8d{eIFql! z+yWkp%QG7kOB40p7F>QE^$ag1?etJz-7l=6zii=a5Qs967 z);^7K_xCqdQxp>lyjMWjT}@Yh{jV~^`a(WgOflX^RrXd~51n4lQ=Rwo-1&@>p31tg zXkjs1vv7gJEg3@-<=zsptAd5IJrJCVb-4y5qz8(VuZmzQvrn2Qyhik<5c`$Q>F@x; zW>x7Azj>wlH@2F#Ai=eX6<7J%8kfSiNU|7N#KyQysBrhfl{Ln*1;xSFTric{2{I%$ zb3MvIX5*j*!J(d{>_Ti2-`)IX_uZ$vPd~r!`fZUh457f86tEj#{7Q5tts~9p6nM3J z#m)TUILs9;Ud6L0V1Hd*Ca>I=X{U!tjAx8xt7h-|qhK zGW~<^e(n3j*-+qO3dkYzVS9H`;?-e+gZ10=tKElrfunn>dzY)QSTeg<0ZI{zB(V;L zB*JFaDRD5bJF)K?6iW{jCtq{HRAwj0kl4)iC zHl$wtmv;&>m%d++X09}I5vCmE=4O|oA>lp?6YIB2IZT>az=c80&;`Y7bukiWg-w~A zAVXp^UmoQkvvJUZ;80Ieb|JQiKeF{(`&#MwQ)?!_SQRS@7KUvoV9$o{>|ddL$df7% z3S5fbLusN>u zlYjafTf@b&AmKz6pPKcU%eio~i+L-2izJJY#khFP`t6%4yBWnEe#scBcx%=n<2IQ- zLh4zk#KGMQ=Nc5RCh8xBBgB{4xlo40X0As$$XAeq8tT0%p_$9Aqei}a`P<+8S-gp{ zDp44>ssJA(#mz4e3OsQIHaE;=px`=;n-|DYm|p5f(KmTV_Lh^Uycav6z*Q(9RQWlR z?|UHbxAzdM>^kzE^rY{%FYWUaYz+SWbkFzS-gB6juiU9DBcDiesv?hh((#E?9*=xZ zbkupW-h^Z{!zE7kI4p4d<8OC-FNwtaZ&9R-A?skI6E>^Ma#$8D8I+J7C{F%rlVEbw zDwgSHo!EcaIvA0{rk7{HJuRgf% zG$H1<9U3=XQq$uR4o_u%D`=1xC0^LbWAJ^)=O?`S*Y7NFboZONAcm{FR%AxC$NNp+$2xogBIMtJsU5Ew3CX@X3Z`~I3PycH7Qvcb%ebgSVRd9dKTzLA;%`WDk zc=;O6v5y(IQe`(I4t~iPs!+3z8Mmg7kb2fBaggOG85FN3Ol!r-*IY2UX%!1WhQwyB zM>)uB98^*5O$p6hZXG`P|1`~Se`}wAyZf7e@#UZTZl$xlZ+ugDGq9Ssqqq|nY!vK$ z`Y=-;#a6g$(#LrOR8WecF)4$H6_!af~d#6x=f(0&K{WS4$tQ}aKwvHSX-!?sm(*h7Ia z3jA-}XeI4fzuo=r_aEBw!hI0;+xw_h^c`|fnzB8K`>lLp69WT6G3L_Wv5#Q<^bfn; zA8g#}fA}vPElS{81=Hcog(oMyRc1G%5bmeNQiGVW z+*=}aRj_cjg=7wbQ?V}BpoBDGp^B5QieM_U6J$tiM)al-`<2b<@W4g*`M%N*KBFN0 z$9(?ni+?LENpNlAJ(s!8*_5zVL3YkJB(vn$c>nD}4x}k-jI{;DVRbQ*o!pCUD(nOq z5*z>0VrfBeD7WBNmeU=`r#cS%x0?@ld)s-*=ih$*;cIFOgaX@F;PssQ&|<{#hLmft%9p}_VPc=@6IOI|DUmEC#eFniyA z%~9cVSK$Aa-N(ECb*I0xbugPP+)f&vyC~8B1{Dx$ninN*{;z-jaS~m^5XL5v~Qr!Pi_cmDve0BsTNqQ4TU22Q3H=^(18%Vvq4ApZM)>Ui^Ez z597D8EJ*BZT!F+B;ebzeuU_(`3WNezqyX<>++R_>QR;J6!2a?uBkgn(>$mYeQ1cZT z{&PP(!>9y$nA3h|^oRMvG!Y+_EqFtLlPK`Z*M}MHr}q9^8@qom)^AUuYLJ{>0f90v zO8j^B{#!=w;r+LK%2Hn7$iJ@PUqj}?$zLp)UCcr8S|o{eFeDK+vrdVFSxWX@gJS7{ z;^b>Cn9A$~84{bh9_1jjanOR`P)|~JAr=UmO!8k$pP%rDzuJAM|NGziB%GF6N+kEt15zMG|2%>y$W{rDWeVD3%^5PQK=XsmxB0A+ee3Q4TU22Q3H=^(18% zVh`yKJM-pSePtgDw);kZV`cpxe=1XeDUM7&U|fEd{vu zXV^dPm3$C8p}@8jP|C^k6FwfFr7*R};q2molU00W*38v0g)w)3o^&Vn^-jon=gj*c z3|0JS)jyclo3*eO4A zH484mv^cY1C&-Z4tPH~0CaS$D;eKUvx;eoORq}lz-`hj^)Uz*bpuDr6yI)yte6dG% z;ba}QjF!1<-?(-&*KWy0I>7g2ERr1RSj4W+%s~f+>c;;5S7x`!+}k=yl^5eTwcd2m z!d&nnU6Xj!SjG;ZS>M(BZ zC8IFiGe^-kc}MkT{}MgDvh2TmVfWp^O>*Yfc%$YLC7lyq_G!e(q?H8*s8mc{(&tTokW6b=-*+OfDOSD%8Rj zEeP&2m+dOYep)P5%-?{~(Za%|N{Z2DH_FdqUA}=NP1x+Fa4}Fti7&GwC71|G3zHhuL7aK#ufO`mUj3%FQ3Qw z_T}!^pX6b@@xr6L=ca(r@H}1l_V4~Hx3^$>KUh-xUg`Kg^!j)xPn{Ei{%oyId21DZ z%$Fr`Fmti*8Wc+p6eoY~D45FZ1Q`;WxgO;pvvJUZ;80Ieb|DrBn@sXg{)gLwe)=o> z3cmmESFhg}gi9AP7v6qzvx_+>Ub*YpkT^TXR(`j`t%)+bg$m(*S}ZNZxIMapEy1u0 z8&`A1QodgEZ-sa*@yqm$IQknKHvbPJClCr;odU1;qfa0d*ti1w-JjpB@b`BQF18>4 zF7j;B@6VR$)QR%H=Y3^M39nu-3%N96P2FJi1B{PgWkfBWL!I9ilA1hh7p z3&(jaOF39AaM(HDkj#=}4}bhvoKeh&=NCIItkNbTrnM4b-z^zK6R{3km%9bW$7-`)N02m8Cj2MZEho5);vo?_g_`fa)^CHrNKv9_Q% zEG{g+LL|-#n=(5=hQ!9dv{+gY9O_BRF2t_!U;kH!bD!+~wU_%(52dYr;!G&8IR&O? z6m`AaeH*{ZZ|(v`L5>3Z+n0cYMbqJptBzNk3I#5%!0|0r?o{-eNk||RxCRBLu`2d& z9d`RI6nyu$$Su_KglpKu^=|2#9EX2+yS$Wk$$nk@n-BiB;}WMrfek1i)G#kf-2B7; z=CHu=>XrV<3;k!m+I_xQE@_s_q+{kvc-!cI@IUXGV;{2)CYFEELSFHK-5_k-k}*`F zW*xJBYxM}Ji*>mMC8P;cLviv|5lm%vf((hxe0h|E%*H_#MlLwilayVE1;Qqid}sff z{@=HY@tyv9ck_S#>h>S>W*1zm;9ZcJ3s1kf*~J_buiW))NSvKxA2V*>RN2ia_V7!_ zP{mub4jH$}WDrvOa+C~8NE7vs!V%)#w2I~N%g$ai{HN5{YPT&8Q;D5 z-53Awzw|1<&1UU-wll}SIi4+XB)Sp>Y=ry;cRBE9uk2HV__VN#BgXB;FTutOkg2}I z*!^Gl00TY*?H<_N5R-cpe_vOOCmo8p^qpu-Z~x9;yxbq!OZJAwx4%Aomcl)> zpC`xn2pQ`-@`)s)N>8JR;Tx+_lIo<&DFSPom7;S#66wvlK5r&l+hy!ic#&vx;> zBnOd-n<499WD_>|vT(pXVSX`m4T@I}6esSx!p?$geP&Lp_)a(L#Qw@4ob7?&v`Fi7 zxh_-HN45WFFLy8g&YzkFmszn|Mnhdez+-KQYqQ#gGM=VthqZo7ovd`3B#G-S7q zGP}hIu?2xJHSom7?Oy2Dl|!*inkV*G7ehGP1Hq}Dr0hcM8vp5=wEM=U2)iUNfBoT3 zW)Gcr>Oc7m;7x(gY#xPoqlj;~rlY_oBVQ0hdi&(F>EA`(yxje5dr{)Qdx7WRrV#Vn zGN1u|zC$H{yV#D>ti%)jn-gDSKx_}@E1ww&PAQT7%LV>5NK+JDX zac$EnNJ<<}Vt#AmOlXj1%BxM;1=l7zxu)Nk6u$CG)nS5NuB=&1o!zX0hg&j+Ca6#G zs<*Io!M_E;p;(t|P(pg3IQaz&rZPK0hQx+@)Vh5vEeHyPv#=dNi6IC$6 z?op6=D}26&voMus6v0)9S{ZoX(xEKQgiij%L3 zV5+xZ4hgUM@+b$Hje{zxy(yuY%dMkI{!rue>A4RLKYiSr(^F=~w|VcxJBbT63VgHT z1u?X@3-%SMLxE5r6bJ=+6qtV5>Tx}fj|@zs!?;Jz6PP_-5+i%NWM7dx6gayAalbu@ z#w}TeO|dT5poH{5adI`^3#KwVL59R;)=4?Y zY#g*8IMkDrT@KPrb-)t{1+GH@xsEcU%1|H_2n9lcP#_ct1ww&PAQT7%p11-+f1QgG zT~c&%ooD@)it`%HsxmVQ9xDUOTU~f!>tGc*6g@Vv4rX=O8k|s%d*Kwz;-Yh+iB7H< zw^bztt1D&EpjdjKI4v%0ULhAuZd%2%iE*2#BoGRO0--=C5DJ6>p}-X@@cN2tzp~Qz zS5|M78w!L1p+G1wTmd0NedQy&;MzndSFD3ol@zS5ltqJL>4D;Oz3X7NEebzeffUQ4 zJx(;y$ragSS)Y?b6-xHGkTeftc%bLRa&ZkjmCKYlb*`OPn8RAT-1vDEN` zm7Z{Dws7Q9BgH25FVQs*zhLTULHR1hQo;M09CHvE+>4=DT4?k(CsBC(E^jjkKgwk+ zLT@7~Tm8D5>rB6w{aosPy2e5`Zu^xsC(|$XO|Z7B94>UqzvlQ?0sks~`e-LO6zg&g zN=OqoU(^Z~;>+xGX-I5F^rjHkrNjf=P$l0d^1VHjuO#`>9`Ee2<0~xqVvp>?$vP~) zlZ$j9F3rofH;+y(naKQk63@y! zO+2_R&dfm*NBIXYi?7VAxU4EG8WQeJ*w?@&oaSnjgH@8m*@X)ys<7FO;^1=?4J2v8 zW;e@f7D<%&#hH8+<`(d9FNR`iqTbt_MB(x4Xl4k9P#_ct1ww&PAQX7!3JCp|rz?vo zMwe8bT+_;f!nsJYo=>gLb3$3RYLjqCv6rKymU%hhTEkDwYk6 zTgnN90--=C5DJ6>yIuUd$g_=t{n;`_qEH|d2n9lcP#_ct1%@dgFxOW;vJ0+FbaKTy zSXD{E>PlHOD3%^5PS?H;CN$v+q*xa1aiWP%t{AsfB?YT1WznEmdZ0KhE^PkGj$m@r zDwbHkMTI~p5DJ6>p+G1Q3WNfoKq&AG6^Or=o$aFU&z30?g#yn~0io;q%13s=wF=f# zI=RlX4klKRzv9FDISsO;?qfQS9NDjG>CRW*stalNBnY zUKLC_;2QK`YHjKtg(HNQ*&jb{laY5MDZ5Z$AQT7%LV-}=N)?!1{I^rR3@k;5aq~hw z3e!vdDEcPv$ljXC!yy!SN(#jN_9RzxP{U#T`qzb&2Wj6JqinR-TiiQO(ROlSYD|*Ec}!!Be;n&yM+qjZpj#$7_tsVR$)`D z%QYw=Jy4u{RRmL+eY#mEydJoIYmq{DJxSSxSRiaVNpNkVldFH+zK(H==<_&XzpOFV z78D0}-0lTanSDd!mO@VkJ_C4D;4_;?;oT_W8wSoO@X5#*#L(Uz+*izRA1vLSz;tK- z7_x~cT2`lg_?EDxV3tO)Wy17 zgA&q&si8RestBesJ3)rT1_9UYW2wT(1&4Z)vJ0^%2k#r-6y6N1=Ito%#5ElSd*Apa zF|@Z!HWs-2yWm;{Ya^Xp(-2qq{56?*p`}t8!Bvo@%x*>@+$|YH6=K%) zLXgX)5al{Js3DnAaB#Vb2F22ZaVk!^nne=9v^XBNy;w7 zQb*ZCCy)0ooM_@61sRvZ=W93%6YsxGh&0Q=epzFzEhrAI=6k_ZW+%vy*v$1P2bqn7 z76gZSlCsNT#7=d<69@&aLjk#tGNZ~+AQT7%LV-{q6bJ=Eflwe62nC+F0z!YCixOQ@ zbaI_%{g#UJ8qKOQGYTFn1Iw~q7_xp#W?@sT%QYw=Jy4u{RRmL+eOiA?cwKBA>^8Sh zL%q`Mf@>3p}-X@5Z?oJwu`qv zTc$`93WNfoKqzn}3J7(&3oeRfv0TE5COWxd9jvOPV0EP|8Wc+p6sN_7vCxg%ex=RH^vlc2QzGHyUxg{W{NtyO z8c$WXU@a5gvD|!78=Hb>nVr#KNNh&*rVuZNTwdvz)8PSbsFLp!`Q9G-fo;CD$2)uM z_zDZY*dx1evJQ*yDi#raOIGp*lR zX^R#XGh{OgwYw!_XrerIPQ?1NwI-`M2u{ViT!RwQ1I5W#MKG1w2{I%$BYIPa{mSNa zcmQEjuQa>h+C(Q;jN7V`g4LC>XizLYP@MeHA(-5>ie*FNmU052KqwFjgaV_=%=MLz?1F0(om{aFR#j54x>6PmilqmN)3vXI z2~D^HDV9ZhoM@txE5>bANx|w$Su`k?9w<(W3!DEMBbeN@iY3->Q6UftgaV;JC=d#S z0--=C5DGj)1>)~zXS?Y8vt^1zp}@0LK=67b+InjpoBDGYA8;=DuSuZPLLt7nconr9Aq{QsxWfFp`N7dLM#wA zog}zc@u^umxz00g-&jFe(^X|=6npq3W2oY-S%-|1Osj^#q9Mm~{bR8MIXF}x$66CHJ@4xcU;!HZ_N#K|6og}Ls2 zJGrJ2rDQCxR2>$6%9Rn^M48<}g>biI3{4DK2P3PnDc0p0l#m`MPQEIFsmwmztP@@j zT)(wQA-tZX>_RLMHk~B6Hqpt|KW<;gxJC4NoUmWk7;6iPgF9~bf~m~Dp>a#0Cj*}W zyeaUp)1&Zi6!8rMXB7BkaVu$wDkw08Qflwe6 z2n9lcP#_ezp8_$zy`Nsbck)l4=Im3M-wJZnS3a@}u1$1u^{<0fR+gK^;NY(K9F~>L zD0sLfV`w7QZ+D7FS>vW+<{&t^nk$yf<+|*438#CJ90F<^2BVuziCPt8=X^sl%lie( zN37q9@vPD&J9&a}tHv^B+?s@9z*zGJ>lhw9IZsA>1t)Llt7y^+J%#r4Z#hIH)0+QE+g%iU!5fgmEfPxtc{1!L&Ft zuRkdkf((hx${?I=A(?~VR8LZNAr=UmP7++3=;Z1jxAFelgy{1)VZW>~))o{8ciip; zQRk)*vy1I2$BQJZzcR$QO^9@k_1m40X}&y(gE_5ou%U5FIe}0h6bJ=E zflwe62nDWFf%qP%vt76S*)m0aBZTKE7otTN(xq2%A!HB z^gwa)*B%9vn^v)GXdO(br(S7x!L^A_t{AsfB?YT1WznEmdZ0L6`?&3CG<-sVP#_ct z1ww&P;F&6*^zF}fyuVG?Rr;!*OD3jOl7r#S)b91}_{{sinok_%A3vI@{N@)kDzSe1 zSZeseN>4a6TR3v5kz$kjm*|>@UodsFpr4yZso;H0jyZ@7cP`HnTPuH~w>gQz<9B(R zLHJQFV-b2AQQ7L(-CSq-z3k^w_tP~Nx^dgDv^kl6d0BZ%B%J)KFolD0#zsm|2!wpsPeIno6LqD+1m-cvPj~!oO!54dE z7f#k;@ts_x1FIzKI@V|Apj(RC_OqiF7F-sXds`UZ)hM&=o03jzuDRetx=c{o*|+K{ zYh0qt=hd$FqM8L)MUFYhm@300=PB*%tG&%hDs_~IA9a4Zi$03uq``7hwk4S^4^Mc+ zy<`-od*&$mChy4Jn#oV(Fuhoxi0$4qdhduW1jN8QT!q8MtIsL2OY^er&7+e`CNh7X z#IrKbZ4R!BGjq_yQU1Zp;wv*NF00ClhJi!j6<+Sa0)%RkHY9nxU&v7y_ZdRuo8EDJ1F$1ww&P;8`djbXlIRET$M; zf^>47Y5mqpUbK+s%+nM}=*cY^Llfnxb0XHCtu{m9Y!vhGLdZpO~*Csl-V%%1h6s)e4MT27Lf#T$k4#DK6RV*7Cx0DkI1ww&P zAQT7%cDwj@k!KqP`?F<=M4`a*Q(&5BKflJK5_+p3a+)s?bnP%J%AoE8^0 z|20N1xoH*4G_OqT&wmI|4l)}DEeHp+G1Q3WNfoz>`vdx%K7| ze=j@RCEA}Y(<2h4N5n>GC~#Q?g!G+@63t3kPwC`3&pMb`L3xd4Rhb!a@Jq%}g_?ED z`){pKA@!=@6a4coQ$ykQRS`^XTE#+;A+dSjI#{(gh1jobPKO5&HuXxg3$9gsYSvD! z^Nia!R!~_7Gm1U@k}*{A)~rLuZ88ak)UK38gJNl-{!utWyqi|BJbv8Pd&^0LY;GMG z0--=C5DJ6>SE|7D;=i5hWnd{fjGGt8QJ7xpN6|NVNA}iC9uA?vQ&J%AwT5kuC&$R=!xb-4y5qz8(VuZmzQvlC=U zY-XL5gUrT33xY#EN!ewRW>@x5V}D-|dAe|qf{ah$%w4;&uU8{E2NB#vncYH#a5pW6 zCZ5>1-3uMNawrz0G$b|`8@D7sG58GNO@WV{9))+Kh;JA;qrfL4Ul2okdvISo2RDV7 z-yUC%kCMKOAO_8pSDUg6u1$1uO}{ZIeC3s@!y8V^l{Jg0vzt}$a7)I}#1mTwtH_~fv7vP^ zp&s|bDVD{M;zSjUuuiV?j9amS7A-8E17^g*FBwA>YSuC1)+7{CyHXYnilqrtLviv| z5ln7c#X^uFvEj9K-9DBos=X8adr1*H*cu|l+7;ONql#fna@X^5*uv{pXKl@VM8q2+ZIGYa8u$r!2-v-&!f3nr$C zWFCT7u`bu3gfwBHij%L3U@EgugFfLkFH9*1nT>-Qk{JbudXlmWv7{?|=;ZO%IsTN2eUP}j!Lr&O*K*PT8N)rY*#t4DxIfeX5*j*!J(d{>_RLMHk~B6Hqpry>DznnS{CWf0ExKya!jDZ3CmKYtGUu?YpPMS6Y~$uS3!;m+kbVr%7Z^fo6^c>FGJGYCJ*Wh_E(BPv_{x|{1v zznA@7>VCS$LN{*vl{P2SFE1-kiG-7X6{hg=kDoqjJXPI-wM=-&a`Q!PYzm%bc1D9C zu^G{uLcADq43os!IUW1phAR0!k?-xHAK2zgd%Uy9j<2xbi#@UnC+o2IPA<}cRg!fb z>oarEEk$kn*-;A%E(^@PEe!8!l-c%8NvAc}T<{@XCMfOfTXmH+E>Y(5YS(*F&4Q~U z#~fr#m0^&j-sU8gI!eTkIzQb-AH{LfU^yw?p+G1Q3WNfoKqzoZ1>)~zr&P1bwXa$?VoV#UBgzN`?yrClQeIbCESEId zSqJRoI?wv8SV4;x@=7JEYzATDmW-haHS3u7-&#FF>SA54K?!NX)KHv!RRmL+oghPE zGcQvr2bqn7DvVrks3$4A5DSD&Ckd`qd}`KCuJerBH&#&AbXA!d#U6gi7^-+{)*<6I zS)oGeRl%eKu0ao`)~5bZI6`=t{qf^A8F@#NvI_+ULV-{q6bJ>bRDtQme>>I7z*2M= zH!swqFul}|qHpq!?5&wR972Joq(IznPjWR!-jm{f>s+E~amle<=+ww3l8ovpjUtA3 z1t)LlZ;R z!N@9XigmdLC8P(6ldpx9<>*KaLS2(Kq8yG-HtA|YQB_bAA?6h2?WS(w)` zZV_pggZ;9`SX)pWT+R1_sm#8KaZ8CO2A=`EDe$q=qwsDN@eKoK6!>K13u0(*5AKV5 z!lp3IMuyZRLs*S8jUtA3p+G3msX)wcJB6yc zrKXizLYP@LR#u$^FX(<+t?t%C{m)GN&{ zxK_bn?BqJnxD_kNze3YX0}g)47^+aSj`_QTNhqXtr7Rj0OB1Gs;^eC$nB26Ag&;#> zgMjPyu~bp*O$qfYo6}XvA8O=%I8HBSfBy$j)>PgBj!~$W{NrGz=om~CnHr{`m z5Pcpe?3Xph+JfTXj@!LpDziU++`=zx>PgBj#0ElvP#_ct1ww&PAQT7%LV-{q6bJ=E zflwe6c!&Z*Q0JmV7k?*L|2kM@Wx1;$cg5$htYqN=gv9cBNDmYz zUlqYrX5Y{{SVyJVg{GRQcP+%vF1D*2`x@p2%SZg(q0Vkr!DFr`agft;&_aycqbt}F z47;##HCHT+(SS=J6bJ=Eflwe62n9lct5zVs2kLB>Z-2H-kth@h1ww&P;7Sw_>g-&U z=xV8xE7otTY6@0Y%A!HB^gwa)SDOTrn^v(9WJql0^+M$!vvJUZ;80Ieb|DrBn@$p3 zo9N_$Y6sAgq@vD9=!QZe-BAmT5{dv7RKJz{Z*D8KAYxzwCW>muc_OaCP zgO#3eXtr?VQX|DC^)Jyi55HjQXhAhCZTs0#3kxm_%)KoP?`o9U_DxBrHP>A5AzdaY?d)51l{GF= z=JRUTdr{4Tt0Ko7WK5M|lJk^y_SN3zB$YZ!#E&{Z-9;b8anfKpDch1vmxm`j;$AWe z(>-$(eUo=&Z_VT@%~^{lxL~Je9{^C-JIW z*EW;u=E@Q@bHxAfw)m~Vip#FD5Gc4e?eEp4o?EasNpY~%&E&W%xPY4ZK-X=+RyV=g zl!DbB6?UY=hV`}#tdhm=(txf;VMt2_u0?@zErnzqsX!`_3Vaa?2wld@mCX{POOQ^k zGwt76$(t6|HS@eg5_)n=254HmbS}jDtFZ3nJ5+b@)VfY*=YkIQS(4R80*X^Z8pV zR7kxm_<;Z3WojtgzA6Ibrd1Gv%nFAW?t@i(Q;7Y_mUMVv!l7R2>Vj+4zBaUz>pb)J zgB29(V4&E;FBza}9}OKcZ;MGNq;{nY0R?GV{ZHWt@oriLdHuYt_qHYxvbl8tq@@C> zKq`<5+^7QcoB#DxF9S=_VcfhyjV-a zo`jBFITQpb%?gK$&0CTmZ2S%2t1|xV^eDR@Mf{10Gs^hO$QQJsy}h_EUV~Rl54pO_TBc&BRmz-e4rvzR)&p@N57GCQr*as_A(o?D6$JjQF8Rkv` zC3H7E?V1l1L)Hz#$}0-Ds)?byw1trQtwDJ1mJCoeHFV6pwR(iqVO=hugfz|6P@H^K z1Sn=F$gFTczdn)gsUwp<{&uLlf*8>Qb+8ev&Ux_ zE;Q{K1sRvZ=WDnKlb^pWh_uMT^H^ihmMIRd<|hG)*$FZ$9F}^Nla-B=mI)5^B(cjh zW9K^HNlOK8Ljk#sGPBB5AQeajQh`(;6-WhAfm9$BNCn=w0z!YCn-X18baI_%|CWl^ zJ(^u*1_~ZKfNj|>8?t{(X5kRl(W@s8_nW;M%lK zuAH}3B?Z)#G6WQ)#}udAowtSZ(^7#{AQeajQh`(;6}Vvq@_V4pHh8DAWy(aUKq`<5 zqyjghfKaEq;i4d$?Gi3Dt&=PF!Kz9Ms4Ha%C`gYfPMd*cFbY?NgPT@Cu4Vt$N)hU* zSGv03+O$rtoVQga1=N)?1Qevl6sMb>xAW_#r`hyL1+GH@S?~MTQBPKp3iK!-Jx=M9 z3S6fGN?HDDXZO*GW(Pm^bIDA$OELkEmUi#&o?iL*Y~hJZjTB7kU!rRse!3Qqng%;E7rzkk(usk#MgO!x@- z^nGn^3Z5}Lv%#!z7}1+TyqR+APREiC59||F@_iz|*hBwnhac>5Z;v~^!h$dMSY5bS zH;eD&A|19%vae%*WeIwqs2#s~(!z$z0@vO)zkTF%J$(kp6a;o;WB&pO95x?sCd>4Hc$DzS;DBG4yx2Ff5aW5HV z^F4DEeUo=&Z_VTfIdJp8py>s3zdUtLyh*!h@ak)d)uk!+$vir_WF}h{F3PzdD?q$X z!_^KBuJN|`tsx4!V-JCXd(-}2UFx|7Ym*cQTir~KyMha-nGbZ`25fZ`tW7Cc?NMPz zN^Dqf9kbz~f;^@Ix1~V2t#Y&4R3H^d1#Vmcq5gQevRO!Vwc5#bru|zhdDFsXg>0bE zlUp)C)8eIbA=Y25HCfF;a0=^k0VSlz6enL50gBlPGAkTL^rjH|l`ZM;z=T7+($xjm zrgd`VysauJpsti5pddY_IQg?ffZVhSaz*o&a?(Ag;`)Kuvb8)OVhEw^Rc>20j)zPSMGyVguJg>>4_45og#+j9N8=tcZ%cJ-Eo((%(5lt{6pj#EA3<{{c)e`i z)_YTkiuX{ET^3Hu8leqdG04h~XXin&_yzboew}WWuK-&1`mv{QaFNpmpfv znrDBUyM+qjZpi>mdt>wVBy{Y`p&&?U zRyZsZNjX_H=A>nULp@3CLhRwj-vGWUcj@p+msH@3QJ`G-1^LAYosFgfsX!|58U=EF`x^C@*s?qs z@$ZG-P7mu%5Qk>s-KNzA*QRxH%|9_IeCsZX8(XQYSxlYXP{G4b3(~STwhva3Ly_W& z_Q8aD+<{Y&&6MIo)tHQ(T<4j$Vg+ql*t|Lo#KA8aplWL9n0adw3aMQwLqI{AW@;!- zzA6Ibrd1Gv%nAqITlejQRIS>Z66#mBq^pv@6y$T`S7jdtR`YQbcVXg;GW*>4C2eSL zmuxI^rvj-!Dv%0vC@?QtJ3P#Kp*A~2`wfmRE!nK-3D0AVL0hIctTXK~*GYgLUs=;C$m{1VEW)9lBz7S-Efq)wQh`(;6-WhA zfm9$BNCi@XR3H^d1yX^RC?EuNZc23VcXIXbgH?8xy9#o5e5U;!3l}Kdk^!1FWdD|| z!Xd271(c8;Q=EKN1Sn>|qJ6NAN>>+}YFfQ(A%1bOr^>mn;kscNZ{ri(w3yvOh47`G z!pWMJla}SYeKLYA!N7%stGR;2BhfWe)o7Tyd^R}v_fVxtKfP(ax;t}kNhg9HJ6qxV(w^DA_nF^!=slZJupj7X#c6LjcW}`p$bIDA$OELkEmOtq=(hCmF7M{4&NWrB3CA#L}7fc;3)9=h9D)>~B zV+kU|qv3hN*2>@LZAqf=_+36^5dMkF*n~brRF3*nH`kedF8d?ueja0?8@K&Rn=|z1 zyUI(V;N*|O93KDk`&W&Zs#~zegpZI<-`D1*;2E(66uGRo$A<|z6m@5tVo$q#av->eT}dp34cO`?SesI?+M~jbl-RJ|mVs5W_+5ger2@C6K)JPov))u7 z6-WhcS^=T@c)7A!Vstgy$#tgvTPu0f!n$Uj*MdS%Zpi>miAOKg7lc;iv70p}9NlOJ%fm9$BNCoch^8ZDiZ5Eu)mMIgZ0;xbMkP2MA0&?{gW`U`|UICdd zO~?Aq$LcZ#v<{tIxerzqa@BmZ;e5cy11zhO`PTQrgeE%Y8m>+27*DMLU( zdQ5S;^?BRVX!@iAsX!`_3Zw$5Kq`<5qynkHQ3~Ym%Z^gA$#ZIYq(dr@3cNxAA=ce+ zQIO4c2^Xry=2j=ydG^7?3fi==*$y=j2ft*1s;Qx4K7VTx3aMQwLqI{AW@;!-zA6Ib zrd1Gv%nFC)1F_1<%En36s=X5jwW2X-)#`r=M~JPDpg9!0UN&#*y(z@;crCwn!7D8lNCi@XRNw{`nBV-b zr*ausiVoxEje3;vE__KF+1n-i%G{~I*%iq9?IDtnyeH-T))^vx#=I&U`9hLWot9C= z@Q!>V?#a>DJWjg?S(ajt7w&@*DIDS!{K}SXH)1j2&`E-8(>l5O=k5D=8Z?W+ zA=be_!NV;XplNSx-kyYxT{#p4Da{IpWg;mjtHzwPOmL_tiCu_2-1r;7S7rR!=}~q+ ziue;_I?DLV$QQJsy}h_EUV~R<^Id&NO)`YlNXsZB4(oCOC8TMlhT`O_B0w=aL1u*m@2&gxL8@ltf_Y6}#^=Vb z%03LN=Hn>t!kCUS``q{?ZD?;?+qZpi>u6GQhKK`xgzw^Z!z_)ObM1_~Z-$pB3ovVTif;Skp40!m1aDNepB0u-}f#XcA% z)&x@EO?zBuTD@x_esQs<%K0V<6S{D9-LTwUQQ%oap4Kg9w>Tkosi$zVrsbq%Id7kg zU`sG?;oxenAn|yi_B=VJXL(2kQh`(;6-WhAfmGl&70B;_I@`FN&Xy??r2?ryDv%1? zhyp^LotqL}Ep>9`{%uuF0d=Je0R`zX#mV1o5+FCNf)Hd@I4t{x%E`*cNy`L>dXm_M zSWGx{lHl63POhA{RV4+~l`;erq{kGeb*62S2+-!rZhD$S!D|NyS9?rwswatEh)qic zZchQZy^^!yRNyY>ZBBw4RzRuUU+wIcFwHi9?B|l1Y?ovL9xZ>~KfJ;oUHQDYnmOWs zelsZk5P^Y8tlvIJ&3?7g3l7Z|p19OV!KD5ry5`{*OdT!L@601A_*9c)2_l0#7z)y| zMsG_Jg~#vmA%pNwT*fB!A)<2BpSrou^mExCQTOv03*ETwSK6GRKi^ee5(OuJ6z1^w zpWnZ_6VR|O7f?c)_UZfD+!W$tc4mWF;V_~%g?KaNcAu%j1N%gke4ofK_RzoD;Rk!% z+vAR}u;7b5Ru?YT&Eh+`NQdo`?CaQHS%MxYYR7M$w6Ni_z_qsxa9^Wbv7aNI_FPNB zQ@WKPdU9&j6>A((uGiJZdsEGZt0Kn|WK5N5vgV1NoT|MoNh)a(Pu|oLqJZvX%wcxtFI|mm*%jY z%%hV_W-@=B#H(^$+f1&TD@)MK5&y&6;3RZhm*pU(&*4r|$N*2FM1G*Z8AuScS76tTL3duTBfm9$B_#zY# zx{Q}AnAQeajQh`+9?k@jd1>%YQ7Z7|DKM|Izr4n?wN(!heWe6xpk10;Kz7Hle;R>W6oA$WSv`(&^w^bzt)Ri&> z6r{%#r_I2wzl{+fH?4xq>&n9Ob0{Q#0vnhT$Tc%eeDzAvm&{W{E3JB>tHzk^tvY*n)b)J1Nv4Yk;nq6fE;^3DI zP&GAl%;#^dP$BiM-~;}9m#Lv}`>F_#n^r*xGAkTjxDQtCO(FIxThify35R;6s|&7G z``XY>uJg>>4^~jDgMnfXzhr=_eKd5)ye%f7klK|p1Qeuc^*@Cp#Jgz~v-jTgElcz%}@Rk(F`|Tm4 zIr5&A_giO(*sQoJ8~H+#QJt1i#PE)MO?1>f2yWdW(c}6K2 zeH>%Z93Qva)f~ zGQpvqBzBplxr;s2*gqFUo-TVvLB^+Wu3fva?{nTF(lSqY?&_o9&Y>%;HxtJ?DQzRA4U9$F&$<6W#kLm(B59$7q7vqvUxQ! zq$U}{YNTZpF}x#R6CHJz4xeYc{NuADG9VR51yX@qRp8yU!oAh%v+gfefpXKG=lb@D z;Tt*!#;kA4zkhdUpEPURF}+z6LN1z#cbirhT$|R(HUGq<@U6QjZfvEpW-)bkLj?~% zElA69|MpJN=%4@8-`={_U^-&{y=(-kx1{27K`f$QQ3b3UGr8e45vNH(0ure09ak zKpk}$ci&s?%hI#1J>1^)ZzPTE?eM;m@J%bAboX!1*rFVqEAO|52s-kfG~|AJr~m#x zcXobEZ~t&NOrDkxfmGlY6)6A1xxbE9kZHFmaZUSRui3w)IeyX=p6t2|foa>Ake2y6 zHMYWAq~O9~SMXAo3n(Eyra1Yk2vE#EZyFT5mVG(p~9)XEJm9Cj^mF)bB1s{;4m z-1YrGowHVz$x?w-AQgCf3grIneoXUwp!S*!x^j9c?>n6$m!BJ7N|SM^z?l{JORjIv ztazq-y#nQ&pBkUa6^^@2iQEU9#AzOUoxZ&N+kdqE1Zk=@J4}wdDc2iaCGp3ji+Wd( z&z1YPRW$|Fl`;erq{kGeWA|_W+kZIgyq$ml(bH`Dqyn)5_uohi9*_KO`Yp`yyvdjf zqynkH)hlp;{aZy8f3>sxN_=&}wQ4^a+Q}s|(JsjZJX+elzk7OR`^);7$N%RyyZq7x zw$J$a>aSLM;k4Pp6PM2v{xhk6iLM338vBf&uj+U9JSzBfr+CKfNQpffo+oS%czld| zi(@=QxEPnQX~B!%T+^Srxz6-+*&nr0u-b+C-Sz?M_UF6GOQI0#&yPB*p}&9CsLL%_ zo4h!D`o1zV=*cPSEebfx_4zJ(mRwy)q|57NPWrO2jwtRWqinusj-qe! zj_j?O{2&M3Yc6Pd!Q3xTofB`;ZW=ta5KehD#J}#rqiQEZJGo>g^4Ce5Iwsf6l_hBA zi2vbj@mqrxmtAEcP;hVB->XYKw_t6O;$W+r$#GY30X6f1uG@gEZi2Nb1*<(O>_~|@ zy~#uD1710Xy;WiwkT&pyQvqLrcjb~R#Nro~S5tvhAQgCn3gqX(_N(jt6=mdE;&oYd zEhtbptF`S|&Jzb-92N(qoF#TFr(_fHqflEto^WYXkg~eU4GM z+GB##E=f=1BOl@Uj>P{_=22Muw3F*hzjv@Y{SiWTGvVNt4A3-Rr}zh3X)?|ZlVezy z3urI*jx-k$mMVB}9r1zxSd{WsHnXxVOfHD3~>0;#}d6v+PTsx?UQdajC#X6nIzumTQEID4$7RsX*RxUnyXQpI?F8zuoWF?yo2V!$wY*`8z(j z59VzG3W~Drx3+C-V|LgoJAiiaS z!!AiHD)Cg{a0Tq|G5%%DH?1FDY7(XbsX!`l(+cEf!c7m~ms@rbO z(=g^FP6e(|fg9hygz;r#U9(SUF!g;S+U^|9Nb|E z0R?GV{ZHWt@oriLp#`(TVKZ<4`Cs*+WRRK_BNrTYNorAvr2<0~$nVn`B5RWNDNy>{ z-kjI`=6^kX$-q){7&q^sqilYwKbW3HL!XW8t*?UgN(EL0lxP2OJ-x9fdA~hmz((Ga z#@ufoeoX7(9@*QA#qWQ+cyWs71&(|n$@5C-_b&ae&-WZNZ*2e8zQRn~<&?HdpNHT^ zGmXug?tVMD<{71==XEMPabqi$5!1Ao-9m+MKP^bhhU|lpR5*lnxquSVV~UfniU7sz z1ep~Mn|-kV<(s>2<=emX-M57BT@o%n!vxKX&)>>hk~4cr1$q?7^=*$zEuYeIcnv=0?Uc{ocKyG|V|ufBCWt^Y zjm?|xemlA5pO}>N?w-uLL0GAb;HJgw7Al0hB?C0=jqQU~^o>|O`*UVd~vKxrQT2JAG_lzkmCpA+8$IM%kP{`~`83GE@G}Bsf@--JA zH?4vYWL7vVIw>drSNGpf2z!I(8P;`o@8#oj<5y)L23GTN6nA0bjIu+=doA+*8(p7Q zpA7A-nmNH#AQeaj-i!h)9B$O+AGWTidKp-X4&xrVPMDXd2iN|tK={9NXxPZ!8mSXb z1$GJ)yVCXJ>@M;OF6908kUbMlKYv>gewimck2MBu znc}b+*yUbv5}=qpoVU~8zy0|yzY^Xw!DE-C8TQCwuH(^_e3}ZpK!N*j-hFrX^9uqq zBo(-@0`?mXZlYcqtY#o+LvDQjsN{yhHtmQ z;qR&S?}NQ&|CWNd69`Xsm4yH$cUjz9A%5ArQcl)7IBA*Suq$|}%LSB>9#fpwYBpQ~ zw7Ift!5j)+8=(L1-&&*)zDvTzUbEbS?~cSDk1pCyuAH}3H3ig_G6WQ)#}uby=j}iL z>tF2m4xRtUUC6)djf-iiz*!aG@88~O;l+@a3fzLoK-MhPgJ1_oj ztoT9Y=J!Ai5_V5}+Fxp2DU*Z!F~-~d6l1H!#NVI-(=GPX!_Qf7u)S>QO)FsgxBu@~ z|8?3VI8&cOp53NI?t}Ho@9V@ps6epU<6L*1Kw2g^xWf_x3esbW(^}0Yi2!Y`>{>8~ zg4YK4N83-3|JwPJnGn89!o_Ep;JYL7$D@n3lPmXct7;0UD`f~MNRKH_$IjdTW`Fe8h> zRnEP%T(8@&R(ipu*}@Z-I<1X_{eYu5g?0G=b+k;sGmog?Q!VWhM21Dc!pQ`#CH z>Q1;C*5v|9NYg%jUz?jke9TS@W`)Diy}|hm`$U!8;D51){?!gY*yG+F)5m-GVvp5@ zi*>X3PA<}6e1>R~WM9Yr$`Uj&R1da46SG_7+S@)!l^5{mNT)s5Qt*_nNqlP66>Hq& zRvYh4H5;yq97~X8s7#Y){;;=Zv&auqb8m;S$Z11l8L9(iWb5Xii&^TgGbd)hIVqvOysYV zHg!y{n=4Du%n|>i7WS>dip#FD5Gc4e?eEp4o?EasNpY~%&E&W%xPY4ZK-X=+RyV=g zl!DbB6?UY=M)dZ;oX1q)tP1>_Wlob&QB+};dwC?xP$`J|L6Vr z9m|I^nUE)5u51=kT_tsLooWBpO5U`vS-Tr3^yHQd(6o5zT!>wk;mXNc2PZ8P9KyO> zKndwF#c8c(!zDnQE4voVq2RRv{vDqO`}zOodfNn-U6OX(#zQ#Uk@(}W35-rUxpLk{ z0#4V3qbp?yC`gYfPRGvM|8AeFIsNS~_JeAN2|l~tIs(%r6*yLbd;1*YSJP|ZSl(ny z1;#7zx4&^0PkT@x|6kHd|azhAi7B;LD_vSorpSeFYZAw8xz z`Kky|%sy*g@Y?L(-uU;S(@(u?dF*1(m2+RiMVOqo1(8-c?0Kv)o-I=xe9Z+YW)J5r z->36`-u;Ehwh1n~B<;BLNCgg7!2TZNU+%vB+x*Lca5`J2Oq2>-UV%^YKc2e?A>nRQV!!Fw zwZ*~Dlbu}W`5YtN`8z)IQVa*bWPqxvp}tP9-M=*nh19N;A)p{lGc^<^Uljpz(<%r- zW`zR+uI>L>4_45oh0T;QQ0(Ei z4^Xv_hWa{flI&fotOgbv4#CMCmJm>orq%xxju7vrRgiGr{_Wq*CF51I682V$N-Px^ zqrm_37yJBeS}Jg33X~hGcU$iK=D)po$uk+4T!(So@V~T)hxXP^A9!$!yegaT>O*RhA*@DPMiIk1=rvLJy+g;Js~OtcNZpeA zEh_ME!?MYnmI|Z-sX!`_3d9QJ`Zm_4A1VE=&-c9WTlrzV39ZviWAmoF-%hUiCnhDm zyC<`5v{Wi1xM?xFg$m(r$pB4zWBXtgITS6B(yVac9<^^Dq-BD`PV-jO6I?jok?ae? zrD;sYPOkIJTd{&h&095YU#G?`z~bs=5(*x!lp&xXO*1tVCtno-a?>gZL1u-+3+HXv z8=RLiJ~w_<_F-T(A4hQ)CeA49`xL>b?9*rBhmj|7Dv%1K0;#~2D=;rwuct~GSc(qg z9=T4Km#D*PZxN5|t(80RRNzf1koVg|hI8aS>8;#v1*H+Hv3b*_C3_>CT=NuHiwO2) zmW`H5Wdv7^EdGuU>}JBjEg7I{V(5^4uwulJ$91^{Ds4G(ROn6&)fX`Z9({Dp71=@7_?=I!#dL*bDad}@s%~L zg1mm-!Xg}YNw}Dn3Zw$5Kq`<5qynixDv%1K0;xbMkP4&%slY%51n%9Y#5L`My=MQG z=G+~hX@AE+!NYAIplQBNjjiw|t2qcxVO=hug!Gu=Rk)* zi;F!~&V3En4a;~M5Zttw-9m-%rJlmcnwFE6<-C0|f-S+og~LvBE~cddsX!`_3Zw$5 zKq~M>D3IR+b+%zXoh?%)N(EAZR3H_&5e0-gcbgLa{!Uj*om~B&W6b^Ag7A;`=VFa} zhDdSpcLxP1W+%w3a9HY5PF6NfS|&K`lC+|p;KKQiBKq`<5qynixD$t{V;^eP( zc1xHh-;e!VGL!9+Ou(b%&-=TlS3WPUW{&uu-wcWup$00ke)}Lb`_)P>I5b;$;!-08 zllqtFnulL7b+k;sGmog?Q%#N~hzyU0=LuUYf81Lua*GS6aTyzdwvo=6{?yHNru~FJ z8e=SU;kNIz_vF%_?AvCsq6XtHw*!Em*6Z3;5~#+T0Y}Vs=_ED;$pQ z4bErSC#vN8M1HY{{?!gY*yG+FcYK8fU+l5EaItO{-^oQfY?owT$NtI^^gvNN+V{(E zTG((|;M&^;xUW&J*w2wpd#PjMAUN3XfmxXmiaW5HV^F4DEeUo=&Z_VTfIq+U{LDLK7etGJg zc$0S1;Gu-Z<>AY zQNd}cMmgCfDO}ySaG`3px=|c_t`Hze(`DK3MPowFR3Zw$5Kq~MhD3Jdz@@%7gI$NeplnSH*sX!`_3Zw$5 zz%T^_W_QCyK{neZTxeP+SMGyVl@w4{$`DYH9#fn)1H0~O3y_;uK?pJ{9CH6Q&~Opv zHJ|fAV1I;QHxmx-Kmjz(-{)SZ!P0_4wARsNH3z{dtjh(IkRDT<)@n9f0<^iZYrz}} zUOPaz+GB##E=f;h`lJG>Kq`<5qynixDv%1K0;#}-6v*F~olW@D*)nCKRN#wLK=7ds#R3H^d1>T4P^PB(mbRz>x(P7-YQIE3ut$q}J zlXqlq&E)Bj3cMu+@_u{BXpX!m<^9$fqWOn(2f5ItkuM|})l(To4DZO-L`U7F!>7wd z@S>T<=1q6Mom}&bQqspeRnx{#Tp7Vli`gwy2zN^cXxfl{FtQ4Vur3!+LV8Sb@>LO_ zn0>xk7rb7$e`}FK_$~<-pJ9UUj>I32F4|76{(1X8=Pkl7^MvQI#-J@z9Nc+(5}=s< zismha9%lRv;HxtJX7eb!A4U9$i8IRh^UD{sp}oDhuUy~0Sh`1n<1t3_0Hs-}&fxH5vPMyS8zL#bxM!7Uk} zYGUYqBgo}ah}JqdsaY{laB#UoKtY;joQl(0%_fNeZLaLLyUd~BwF88!Ei2|AIPH>f z@fjxg?nwOc=%Vf9>Yumy`P+i<%RJ$EtTAZI6bEgJWA-cB2kWSGb)l)I)w>qr7Z-b~ zockIs8-zJ;3nHy@*z-le4WI^end0SZEIr9^b8QnCopf^L zyp05$t_w$3$`DYH9#foh|F%b}^hpI$fm9$BNCi@Xn^Zul+F$J~KMJfaxK`~)Lp!-- zCfX&LfJaNa_jgaPY=20ZdHjEVv&->^Ukp^@{E|Ux_N$d%aA>yh#HB_GCiO4TH4ndF z>S&pMXC6_(rbSWZ*V@tK2as# zC-RFu^sjdK!5;VaxZ^7<_+pRMg^P8w_)ad;VY?*zI`&tVpa+WD@tY?tY`83N?QH|x z*Cw$sJAHKEZ66| z=vi`gC6O+#mpSRn!aAb3myELco;ixX$vd*QX7Ym^c(1vj=>>DYJataINxNzA&_X!n z)xb@&*kiwh;bPTJhIVqvOysYVHg!y{n=4Du%n|>?+v2wdD=xdrLZIN@w7*9>388Mm z3eq%N-6&4Gf(uv8e4y(#V5^(`zzU=ktUQU?krErx+XHhRQ-M?<6-WhAfm9$Bc&!2g zLA+eqEOokab#k3)|JF+0w6Iyb8z}VTmJHCec$yx^|EfXBVx?DgB=`qD= zt!Bd|K$|PO7R;gGwF88!JtjEqlJrDA@)4fzNc{156c#`2AOKg7lc; zbnElBr_uCD1yX@jAQku$6v+PwN(!heWe6xpk10-@fn9gC1;|aSAOx8e4!M6DXt)UTn$P(lus=eun+XSZ zpa7cY?{lxyU}-@iTI*=CnuFjJ*5v|9NRKH_Yc(4#0oq*IwO|efuN@#b=m!u~$ zeNur`AQeajQh`(;6-WhAfmGl^3gqw0&L;fnY?(4qD)2=rAauRkl<@a=ngX!_)X8<8 z{adku{2d>*am<8+TQWe^)KFii*Y1N^JwocRE*DTjnr3P!PQEGv6tfd#Rye$HAI!*w z@LduvKEnjx9f?04P1>*fxz00hKUhKj2)%yZel%`hr&rF~5{@nDuz0*4@XE3Nr*MSG znEmzhHtwxbb3&;=Dv%1K0&hft`OW`&x{-mU=rC^Hs7KlSRzHfq$vd*QX7Y4M1>TYZ zdA~hmG)LZ(@_y?K(fmWYgIws+$QP1~>Zyz(hIiy^qNDE8;nU?Jc+pH_^QODsPOf=I zDe2>#s%hgVu8iQO#q1U;gu5jJG;PQ}7+HlwSeFYZAw8xz`Kky|%s$_&3tlhWzqLpq ze3yia&oIGvN8*o17i}k3|Ga&l^A_QkdBXEpW6+i<4(_}?2~f;_Me~+I4>SG-@KqUq zc6yZEk0SoW#2ID$`Q;1R(B59$SFUegEZw8P^62^DPe;BcI*J|ITSxwMNd;1YR3H^d z1yX@j;CTw<`u2Hx`QF99e9YCivc47MsPBBNF1R+WldFFptg^G*ECvU6$7kABGEnev zO9p6~zh4+{+)0yhc9;bbrc#b#k3&-ij5pYPze;Kpgy%0jj2k`Z~RK-ddqT>RrL4!(2cosC6(kCP!Zt zAv|U$$gFVSy>;I{NY#v7aM&fOMhyn}-1t@5hk@069K~H2(@|!h8^5Ft?d_6{W$siU z6-WhAfer=cMQexWnOtOG9v#L#a-A?QQHRyuA|BaWD|h0lz(o}}dcQUAw}%Yp$a~V8 zxZm2m6_iG(#^z0zmb?x+x#lUZ7E#%$nl^so$_TC+q5h7~{E`*I-I4*SCWh`ef?O_z zXsv^jniT^D2bU`Z6r^d!sW`3GY?27j<_bD(y0r6Rj~DKP5i0iBC*fkRS@D8zz0%bM z*QRxH_0QY<{B1$>WdiX$))=&9ii10EPXZLP6J%C6EcGZSD;p;*6C8F)T2YVjxsJz| z@_8zd3Zw$5zyJlf*Qccdx28a@6K-w9vffl66-WhAfmGnE3JBSDn-ZHHyVV8Prgd`l z?}Jr#mYc=kueO^}< zye_#9X6c0*>Xoi8xHhemE9Y%hNda}G3;_k{F~#ZD=WS1;>5~dvl>)gBc2&cX#iRnM zKq_!q1@e2K&ZhtAY?)q{X#V-|btD;`3Zw$5Kq}CqfZ*hAxG2bGyMzl(>*UIPu&R;* z>Pi^`3esbW(`I1T4QByz(<;dP{#cYjkS$roK>9AdreI5HNOV9&F?fA`;7B*ZKxc0UI?rW4Q_H(4uo@*(1O1Bb3Pfo46 zVvQrp^}5=4Z>rgFRpeNLjHxnB);!UZQ`B1&aF*-yUGyxux{^qj*UOyrWnmpr+)GB; ze9s(3-{d`_w@dfHd(8z+FPQt~sV_ZfM@+nzcRxiUf%1$e!V`*Ge|xf9!r)Q0lcAkl zG865ROu(j&{gowX=7|5{ZSh-!6_;IQAy9B{+TW{7y-f>C!P+Fn!B#huDNa=E|-Gb0~Q20O4wn z2~N8tJ&})mgy%aFe>@(A#ZNoAa^A)g8X};slp&xXJ*GI_`n>IFG<{NmR3H^d1zxED zYm}?E{C|;W8=}+MGQBR*P0ZWZwV2VVKq`<5T!jMsP??qr+?oP5Z-tuO4HpI3Y?pAM zX`NiT4^~xDKwT+AKtXy;aoP;*x~nZfZdwJI?{kIcxqlmIxCrx_&-oy*KSJ};Uhr@S z3ZQBJKKD8emKGGEwT>pMIS5W+T`r)6^qAtbRoh$Y{H+;mMIgZ0$-j2wwEDvz1x)V_jj5Cu>sV{ zb)NlOv4Z>^AGUGKgo9f$K-JVxU#HjZgIPU7>aZ>sP(qq!YA8;=DgqR<6J%C6yl@}P z$c6A-5-#@I(ew6eOS~&69$WKPO7%5dgn7;W?T0G6fnpE8WPqxDG&Jt55WBRXoUC1P zQnO+b3J$K6A)p{ltN$q+A>K`^Ag`adac}Uar2?ryDv%0Xmjd&B|FELwMeAXFuO^aL zGsFZML$5@5qaI~P5BYQJ9NF7*Yf1l9;H(N9{o>2}?IFWA@}6|`kUzKKk-a^)merr1 zpRdYBzK~>8r)3l|yceD~hxH~)GYs*>>Tlk3_lsi(*F2-tyg%;{xv>>Cf}6%2M)2CP zhXC7KT{dJNjLgDeSMXAo3n(Eyra1Yk2vE#E->eH>{xkt;nc%QX(u#V53+Fo$e>|Rq z`lp>-{qy#H{3`G(cyiSrq4}*raCS?6!s4I$I>iNxPqV)|Ifiw)fbLoRE0d$E%1MZb z*{^8cQs`mE-vGWUzBoeQWbfXpm+an>XG4 zc5=->F)8WYJ(+cbuu>VpO^ewrR0wxV258zF+Xt)2p=dF3AFNZ#Wk`f4?!YO?X3)7% zH6~*x*LmixSV5Z>Hm^)@nnCZXWqN*MwQ(lk>;aq?9WAUCao z5M)+3@ZP#_AEav4-jqrlF8lmyNih)A7TQWe^#86+SxL{(MR?I{2 z3hQzKC8TK%RJ$^tCNECnBwGWeiERVoglNqVW~$sS=l&gncz@Q61z+@cCG`S zv{c|W6p-5}GpkGmQh`+9<`pP6-$6F;B`P3aV$<1kDv%1K0vA(2$lSRp(N$z8*Ln7D zsd(L^*;Qts;IRYPmhG}3`?q8k4q;s`poH|8;^eC$Kr#Eg|5Wh0*gn`pZlQ*HrK=0B zP3z>!d0SOdKwT+AKtXy;ak}Yw+tX$GqynixDv%1iQi1Zy_zX`4Qh`{3{2r)Sn}dAOKg7lc;v>Dj- z1H%I3rd5z@*}t_?gnH_gt}eJXt&=O~ZB89uH{QBu>Hhofot5G25 z?bQrL7Lp320$+dvN{{|(XZO*GrW`-^bIDA$OELkEmUi#&o?iL*Y~hJZjTB7kU!rRse!QCKVXZpG9kEr{3jD>#O_N$Ff;Ggd*FNuPSKMGTD?eP0o zjhCuhu+}J7?9=zPxheR?>`WxH!eK;j3b8+1mvnRXyH8Zf_lf*s5B;khez3>AJ?{7l z3%=N6b>U*&EWVSAbl5J*zK;EsCFp^ocKqf^3mYyATzlI9_ch8D`#I8S&$SdhrCSN2 zC#P0jvBnYQdR=Y2H`Q#oDsn7A##EUmYo6%IsoL9;q*6yj{Hp8oUG!BPhX%``Y+Ewj zo*sC{y=0Wl_smiBP2N}cCe0MX9k}^l(DZ`2U!MBrC*I3je;lct)YlZN3$9f=8QRGu zGtsheQO^BX0pfMK{$6JZ0;n2qi{F}u;t;~uEooh%@V^2 z-(TwFI@A8G)wgM3vqCmdXuvHQplR{axe)8G)|#y5AUK6}xquSVV~UfniU7sz1ep~M zBYIPa{mPbfcwoYzUg_$BYtuTpa^6;z6i`>n5KxdFQ=I(SAwX_g1-YVmOF3z&Kq`<5 zqynkH-Ch2_$g|CY)7dh;B2jroY=))+mr|gt>+DNaka<&q7b!6ReE6c+3`zwqqJT_U zr(=ERV|AGVT8B=q+y|=)xoW=Ia6aJU0hU$Ce7pN#Liu;ov2(6X0j)zPSI*n2knyk( z7HeE3ok8W_+nu*_7x_;rkP4&%sX!`_3Zw$5Kq`<5oKb=Nec9Q>KAkO7CQ1dqNCkwh z<9(IQc1cqpHn%#t&a)3DR?wz}&334PIQS(4R80*X^Z8qoP)O}c83GE@G*d%y@>LNa zH?4vYWL7vVABa^>RyIzmR_#p*UAf#kW@+vOSJy*9HY8lA+Si75a-C=1ez1ZzEgU#+ zKN|Otd0R|EF>+tZ5Kxe&)&CTZ5MLibb0~PdY~I#;Q;6g7nttu_4+W>RR3H^d1yX@q zRA7Gdzn;=%U@1C`n>XrF#=Gz(ZDenk>??Dp0%uns@3)6YKJuP)`}bQpMB@z!KVx2% zjeH@=s7}i$Vt7ZsCOYaa9X@pz{$!|`aG;sZ4w1jVgKN_|x#k(A@U?b6%%$MB+j`+* z(_(fD6~f(;0h;FP)R3~tY7T-^SeFYZAw8xz`Kky|%s$_&3tqdy5U%!^;8af%yAX>B zhfWe)o7TzIKX2d1)1X-l4*m$uZw-QnTQWe?-q^f72_3s~D99DfTgo}i_#41iW&GLc zQFcFy_!ARnl<}95FK9!1dvRa$P3*<-hX>Ag^}~4~lp(A}T1FAWJMuNrQFrO^NtaY0 z6-WhAfm9$BNCmD(fn47nF&5LX|l1uyPV`)xpwmI)5^B(cl#V{7c8#=aeNE>w-l_>6+gTj7gm7Q(z{-b$6- zKpgy%0jj2k?gy@;{VFSt!o(S6_POy(+R)xE*;wXI1yX@jAQk9PVE$pN!}CloGBA%0;~u$A zVD)%O8`;|>`^wy@z}XeZ`|Tl;kGv#joA$p!rhVqswRdG*#|2|40&9aTd)$+Gz(Rnd{qP}W+%w3a9B2`l#`W>lbRI+ z1&4Z)*o9b3ICPTW+O$rt{&}09zb%Nq%oCo+8iTe>aad>CW3H0`J-)K0Rgl-uTUdlc zJxS~`heMH;3fzzaaznLdsi{CJkP4&%sX!`_3Zw$5Kq`<5yj=x^);c#Ox>V@o>fZ;e z>@0T`|~4$x2dz zZUv;!xBW_+GxX=X%1ff)B2kC0E_*XE|+8M8AR%nFAQy(z?-DYyTW6)t9dgq|=^jDR@e^5=2i< zt-4~3Bg*x<+IVlO*>F|lSb~hHGELSz(UViPwh-(PQi8qbHGD<@5} z)s5n`E4Xmg%m=z|1Gc)^RJm6pD%(W%fpqykr>K)I4SvW!$9 z6-WiX3okcAec&!NV;XplR{axe&Xwpq#8- za?&!vA*{;Pi^`3esbW)2+|jo<`Fr6-WhAfmGm2P$2(bHC>8kf6ku_lmI~aI0`l-f z_ra=43aBe(2q;L8DNdV#UH8ld$W5yt^ZRq* zdG6l^8ZN@*?;SStggFz>EYOxI4!1sUTTLdO3Zw$5Kq`<5qynixDv%1?i~{`VbM=Gs7)!^Wl3{W*Sbj;^( zO+q2HD`f~MNYhLW#mQGifZVhSLXcVEuxwK*Co3B#Rjc-Mk8VZ5Nxjf)~wfc8L7_9bB8%$u-X?g^!ny z@WhR+R7OnGVs;A^!u_-$E%SA1NZBF<7Y<=vE}(?;nBwHCB0w=aL1u-+%l5&D6b|(y zu?w-7a9BwGBPV`AxOCaVRq&3v`seNYcp7vS;83mcQ z!WYjhgn7-pl`6Y|IQS(4R80-t4_rt4RaQXbkon(z^C6%hO*5?(Ctq^`s<&Vc1+N#* z+iGtLv0vGe4v)i)&y8P|eHd8H$5Gsci8IRVbK{q^p}k$QvCN$cqynixD$t?8{KHm< z=b2n&U>+UDJ#wAE>hY2`vbRh2mAO-avn!DI+e0KDc~5#P_gg_}gksJWdjuOCU0SkP z(aAMWaV2A8=OeC+;HnWCvl}RcyCnluO$;5f4_1sA^0+RyU?rq!7OFV;st8cbPLNsQ zuxv~zCo3B#H7f=R4)r9l3$d7R=p@0lX`NjC^EN+!TM&JjCp?ce25p(*u+Fr{Tqgl~ zd}U3mAg`adun32GlGtSqhaxQ%xFH4PhHA}HQ-M?<6-WhAfm9$BNCi@XR3H_2y9x-c zb#6*@snE&QzYkW~S?(&x-SL_BcPw0>a7zYg+K~NQvI>W=E*DTjdQ5ThRS}?={VMjs zD6uAx0&m*mLeuJ93-ODKJyp&(Np`n z5KxdFQ=I(0M*(uvD#%srgHg!cop7jEy1L-nv`(&^w^bzt)Ri&>6r{%#r(2)5J&mSM zDsVjt$n}(xm81gQ3P`tSI;H|wr+`wCzuNJBH(js)$9^uEnRZDg;L+0V{oT_mpMPsU zbHxArW>Ea$7Xy`8zkQIJ{c5Eb9GWdWajB7lN&QQ7&BHI4I$EaRnMYLcsV2t~M21Jh z^MtLHztP*0MB(wfe8?dD6PK|GeTb+W^`~yGGyPolN7Vg1#zHr4`;|6l=+AeRmqfwI zAB8zQ{^$3v8ZTA1V2ueMA)mgl%}v2GW@k2-6%HeMQ;0WHZvQDOT+BXECEq9Vi#_zO zcKE>__x8BsD=he8kJW{Xb+hOt{SC*g$irVp;CoOEaEO6~@1KigrSM29V zr#;tF@RV*Ph@PBUb;TM-lfhq7(SbbET>8TXP=Hs3Qx(KmTV_SQ^(ki-0DeGuETY4q6<*AS2sZyJSZ@ak)d z)ulOXC-dm!l9|k3C-JIW*EW;u=E@Q@bHxAfw)m~Vip#FD5Gc4e?eEp4o?EasNpY~% z&E&W%xPY4ZK-X=+RyV=gl!DbB6?UY=hV`}#tdhm=(txf;VMt2_u0?@zErnzqsX!`_ z3Vaa?2wld@mCX{POOQ^kGwt76$(t6|HS@eg5_)n=254HmbS}jDtFZ3nJ5+b@)VfY*=YkIQS(4R80*X^Z8pVR7kxm_<;Z3WojtgzA6Ibrd1Gv%nFAW?t@i(Q;7Y_mUMVv z!l7R2>Vj+4zBaUz>pb)JgB29(V4&E;FBza}9}OKcZ;MGNq;{nY0R?GV{ZHWt@oriL zdHuYt_qHYxvbl8tq@@C>Kq`<5+^7QcoB#DxF9S=_VcfhyjV-ao`jBFITQpb%?gK$&0CTmZ2S%2t1|xV^eDR@Mf{10Gs^hO z$QQJsy}h_EUV~Rl54pO_TBc&BRmz-e4rvzR)&p@N57GCPA z+6NQraR*L8HdBfVRbz&Aa-C=1iWRhJVe=X=5C^|xfU2pXW9F?%D5Q3!3;_jcnyH~U z`Kkzzn^r*xGAkT-Z{4>KQnhMtN~mAilCDbrQjpJ$UzL3rSk1>#+=YoV%ItIFm$ae1 zU9z#voeHD^sX!{wp}@Ro?eIL4iww-8!?;JT6XqrAu-aS1BYSJ*PCONOQwrq$_K@Km zc~5#P_gg_}gxc&7?Ke2Ov}CiQlWU&hY7woSkGL{|t43(NuVSDO?v@NtH8IrJDK40p zrWNxLyu!L%KnZD@g(^Fr(*Ln7Dsd(L^*;Qts z;IRYPmhG}3`?q8k4q;s`poH|8;^eC$Kr#EZ?1R}JTt}s=3r#hx-n9_FxY$$W+}Ci~ zAgugGgy5#d>=r77FZC2o*0h|oEa&Z$5o`$tE*xCV6(k<7?%N48X{kUekP4&%sX!`_ z3S6xM`8`l)8=BMEGG(GvAQeajQh^&$K&Z2GQ=+S-POjX)t*R-Yu9P95AU&oy`MXU5 zV0;#}FE1*>GuXc7z zm}a9t_H)Thwo5VrkCs30@19=yyttY<;(vZKDE<(Efl933K1j`ewbBa?%@&@x)JVak z{w2ER;TKFDEz|GJBP#e*lVb@Y!=vGO!q&>)=xs@&@c3OmWDx#|%h-fIL{yIYQ#aR{ zelGhX>V6($p&PgTN}DtE=ex>FqTu9@!W$S?NLzuMskd)(XOj<2xbi#=8sF4oQBJGn@Q?UL;4 z*k4(K9w=(ZZ=STU;j+NBw+(P#qg=6{Bc1kKOTkmRl^}X@YSk5M98s><)y8{M&4#NY z#}Z^rm1(l(iJqLQy)8*9bwtFkx<21UU&V1~upG*^CDZNcfoI%HM%jGN97W&c9obtm z`9Tic{4Z#F!Q3xTofB`;ZW_G$nqqZnihVMVPA-|rmW7LQ?#BucuhVd~gM(|lEq-f= zg6`Nupy1xLzdu=fDG|FQg{zw`xllD*-6#$|R|s_725fb+t!9%%4Gq#RE#Tn}hJrM$ z-rJHy;n`HSj(syjI-~+us6e^GnzE!+AQeaj-mU^dYw>brv&869p_6Ogc~CevN!E4D zveenHYS$own-;TMs1WX!4A8WA>0F3iT2M~bIyh;W;1Jg30!m1aDNbuO8!iFbT-mi? z4h63rAYAP+!Kt1kb|DrM4xJ>pHm#E@=WSI<0d=Je0R`zX#p%}PZBL`=lM18)sX!|5 zB`A>pFY;`od^%gEOq2?w0;xbMaP-xa(JKMVmDG`Cqyo=ZKnRKVRW{otO?LJH zJGsuYe=AndriFE zO66o_8Z;O$4B#B)pFfA2G1yX@j;6@dg-~6wqdKp-X4&&yH zdX&v?^`q#Uyd!&SCQpY{;4LYT_uE58bL2fK@3+nn&6`UOa-mBjUq~{lr!tBd-jT0~ zj=D>SPs2qfd^*w$LtN|;Y|M4{+sQT0C?#XOQ#Eb;#FY`;w3yvOg>biIfTj)E2P3O+ z2y3ZJjxBFy`ow}`aJ z!Sh&S(3U9BWn)vCQIp?+mcx+?igK|VKrRrXrlF8lmyNih)A7TQWe^#86+S zxL{(MR?I{23hQzKC8TK-;!B4gmt-q64GOeldp;Z#q8Iz4`zFC9hI&wG}W|v*FyZ_Vo#NG zU&CdCu<{!bf}0kzTc{Ae)KfTF({j?XoVQO#uq7C{aBwwOka)bhZzs^Cr2?ryDv%1K z0;xbMaJ35L_duO(XijI#l!;P-R3H^d1#UzEq0Y`tiLRD9xpM!us-}RtQigzn^qAu0 z?=}gLn^r*xGAkUG{X*qrW#gn}f_RLi96CvGZCWQ+&fBVz0_sW`0t(V&iqksN zHc13%b7eO@&7t761B9zRCOFlT#4f}xub=689#Vl@QDDCB-%7bzXDW~iqyjgsfKt7` z+Sx5(nvMS0&m}Y2F3AKuTK>GhdwS*b;%erI|M|_J_(KE+DzSe1AT|5dN-sDxTX^D9 zBL$QCm*|>@UodsFOusXasNhpgjwOf;kA~+7TPuH~wAj6q;Pdf$8+oxRq}lzzt}_nYKI@}ac_@1zQTep_E=rGST~FBag*blP(*1yAW#g6PSqRadNWM7ds98}Cgu z8?K5ROOP>DrpcNodUC4vwj`<45fQ)Y`g|9C7002$awyxDOt+^8o^dZ3W%E6A6n&F- zWN*#n2RU%_zo6*_bH6-wPP|FGY4GZ6iq)kl_Q^atxnw3=7B0%UA1gq-PQ%p>4zBUG z_^lxdx?>N4f_u~c{$%Z?MC_6ju5P;ILe*?_qd53nA<%Uju+`1BnoSZlG)TL&fQLI6 z3evQCZ%Yz|XH(fa_RS3GkP2L(0_6&8%92unR3H_2y9x-c#mkk=5~E9nPOf?9LE+pa zS=TYkQfI%aU4sa2TFh>tLbzKpK-1!-b0KzVK{;9L;G|`OLs*v!C?P$jIIY!exCCf( zW!Hi^6ufqTaJ9z-r+Sjug;-2DbduoOv`(&^w^bzt)Ri&>6r{%#r(2)5J&mSMDv%1K z0;#~4pg{h=$g_>|>1>%YQ7VuMqynkH)hi%ZUtt!Q3hWh->C$v`H(V5avt7c4rgd`V zK3G*r0d=Je0R`zX#c4CJ>z=s)xoH)IAhW_D_iqCY7h&@E4x4$xoQY=^Xv-9bTc5YB zCKFEuQh`(;6-WhAfm9$BNCi@XBNfQsmmR5QmFrYRuLLYtQb(4N3Oru{Atc^c*=(0I z+1Ur|u7S^3gcG=8?gIh8{)zr{2pTD(wgw$bOE}(=o&D2nwd{qP}W+%w3 za9Fk}m6Mf?ld2iH;80HzyAX>BhfWe)tM;{_om}Ucw;!yaRnuK%28uoWk^!pr(a<6D zwpgJ;>RrL4!(2cosCB6SDI6g@W`F&>Ek@pvBzB>|v{WD!NCi@X8&zO_^S_?zWnd{f zjGH&=Q8vHTkD_n#j_j?OJRMSjx1>PcZx0#Gk@uv$-#SAyZ!S5=g)WVJA<3wo$|z!Z zN4_RH>Mk8V4Hud4=}0pSaj{3RG1uL1C)Yfql#KCC)wJ;wS4MEtVs;A^!rhVqnl@w~ zjI6>Ttjh(IkRDTAz#y;QIK&de7=T@Fz<8T zBGMuU&tr{2Tc$X;nx6zHX1|JgONj>?e*^fcj6XX)%I-%Ie`4Z{GX6611#M_=FYb$b z!d2P48W~cP3}H3WGKv`9k*|r4x=V*ox}*ZBKq`<5qynixDsVjtzBo zeQWbfXpm;&-KNzA*QRxH%|9_IeD0pi=Z&AZvSu-Lc0&aZw`72(<^JuRBGNj7O~uSX zaB?+Qkd@1I!2=Txu7`qbNVrfnW>_cJdFHKHL7NsfuK@#b@Jj}$ni@K0-kO9$YFEk- zP>`mX8j6#ziU7H36@(zO!h!eJefuC)tM;aZ`jsu|s^l*P`P}$b*@uDEd>qAHm^h=% zJ~w_z8`|3?8_V3OKq`<5qyilZ%!}3z&ojBmz&tvPd*nJ{UZM`Gy+u5-w^r`NQ-L?7 zK;CZ;8P1XSq_=Xv6_iG(%?{CigQH7JHY+;0<|(cg(c1ZlD1%E`*cNy`L> zdXm_M*eTugBoC=TDv%1K0;xbMkP4&%sX!`_3Zw$5Kq`<5^eZ5^c5X^^v37EuXaAOp z*FBnDWd;f!JAiH3E*r9cOJ?B^*5v|9NRKH_zA6F~vtP?TnC-!JRJyv*RMYBR3-ODK zJyp(q4VMkV%5OvnZd%N4p+fjlPvK-u%Sp>}-aZ+@mSEt*!PQ(r;_>Rfoj{Y83Zw$5 zKq`<5qynkH)hdwR19i5cIh`$2CQ1cTfm9$BxDf?}Iy*Ndx?1Yw%Kh7_ngZ%d83GE@ zV~Uf%+ay44S_L7vfQs4Ha%C`gYf zPU}qDBoUy^mEH6-hl1A*5U%!^;8af%yAZp)ex~PnNCj?1f%(3FE9GXLsX!`_3f!~; zO7;F~XSalDHu_^fm&|0lBopvx`Sbqn>6OomtC=JI=Qo4m4-pus#QN=n)a+L)z2MMn z;fYI)6in)0qH7+0!PL<*{mwk1f=@L$mLM`b8lER?t^AGNmLv*~-{nIF;h(sSP3S{J z<)}Y(bDinuvOl8k=P?$#aoex7IYWQGtGpx%PW~v&;qgDef7N)Yx&>=Y_z3y*eQj>>CTpJP$*J1glB7~cMEt7j^Ih~+9ES$Wp=?_+-JTwJ#=T^e&G*bv z^iAH8y)}~`8LFIP58j4l;Ax#pb* zg>#c+UB@g-o&BnI4I;Q{F}sBd;cm$QO^cV#h1jJ9h%VO=hug!Gu=v{tj> z5}?hMT?^(=@Y(^w)gBX^>Pcc3Vlm;+NrG$BI=OP*R+SV`SIQ7jkRDTAg;`)Kuvb8)OViQaa8dBhb_o}n z*2$IoU{xgr)Ri&>6r{%#r_I2wd*%Y)}2ar+02B4TQWe^)X*`XzqNXV)L~sNpoBEd)KHv!RRkzzC&;XD zShgvZla-B=su{W9P)`!O5Q_{1- zSfN7dUBRToTtFwNb*TR-93ebrfBn2IM&6MmcA>zuR3H^d1yX?XrFHow)6qHpq!?5&wR9a4d}q(I(p4;jso_oTevIzu#XE;-1BE{%L4$*7*nC}Ma= zz9u^AE*(ByE`k@$FvP_k!Ny#7znxt3j8ZbjJ5|%hPh1(nO^ewrR0wxV258!leK4{L zhp;XeP(pf4aq?9WpqPEWSr@!sxPNPrLU=t%>_RLi96CvGZCWQ+|Ga&l^A^#UdBXEp zW6+i<4(_}?2~f;_Me~+I4>SG-@KqUqc6yZEk0SoW#2ID$W#kLm(B59$SFUegEZw8P z^62^DPe;BcI*J|ITSxwMNd;1YR3H^d1yX@j;CTw<`u2Hx`QF99e9YB1vA(q?1UYCX z-fdc4aBW&ASN}d(rLtx*IJi4L)3%a(uUhC?0Y$3xBZYBWH1OJY+)El|Z4XI|h1@J@5s+v18% z6GL}t3n9x}gYeuf8K7xu=$v_L^$4lMx?DgB>6)pbIQgmwP|Qw{Sz$oHefuCyGjhS9 zog{W4_Tt9p#t&s5Csy-m7I)#A&NBPl_*>f4-rlmY%$*9P0;xbMFrdKlkF5dEE4j$T zJUWeg<~m_nqE4&5M?AB)Uhc$Gfp?`q-fu5*IcMIJ-o^d)({@v${6A#(H#mm0BoxPZ z=SmE%F7{M8MoZy|8{1$brfC!svl}Rc`)NU1CI;>|f?O_zr`Ewi%Zh=5gUb~H3eq*> zRGexxn|`r+DT#;VktiM(8c463n#kvjDn0y;qx_Ig~`v~ z7DQU*;CZYuXwMV}SM!qq#q0!`6^6AQ<)E^0&@;iIog{WS&)B67c+ygV+fYDmqs*)_ z6-WhAf%l<+ypJ}ri?2+9@|E?Q?WO{$Kq~NN3J948HzkIO9OPPlMWJxkT@*L=HT$=1 zc0&aZKP^blrtII6R2ag#TtEpKF~!N(T!3QsW&f$*^=A8E4;2bEv@5MHxOQ!jE9Y%f zNda}G3;_ihF~#Y2=WU_6lBB{r_F`sVie8_gPT@CjuScAy$=<+;a>*eqCwkA<{{4O6d2tVR7HlYs@ zjZuFe=DO0q%l?hJU#_vxjoW^s%?0}Jo5o9`;N)M0B|QG|&v%`ds#~zegpZKVzqID2 z;2E-WZ&6n4k4UFI*IMv7T_uQ~oO*S|8b_4%yxR5N zRI}k~$gu_)Q)8OcJkgU=v$r)#qmGF9Rp*zx=&Lv`8Y~xO+mh+_@PbF&OJ><}&zwcy z<(=7EH~EDexcR@K=^N&LdFq^aXYI}xuf3+IE?u!t<}t`63)#AGQI7ps0pfKUu6A&6 zjkm?03{lV>dk7TVyY_u^spl4~T~Zuub#r#y6D{V5^&8ZA!svj|MwZV$*sX zm<ijzM(1jtRRAP1Val#`YUqynixDv%1?-R1v_yxJ@{T`f~4N(EAZR3H^d z1yX@jV44B~b9?8by5QQiL9W~fYbq(Au9P95AS0$Y-TFS5(1a_Hf^6F3MArtna^5zT z6i`>n5Kxd2Q=B#zw*EFofZVhSlKZ!)kd_Lh0;xbMkP4&%sX!`_3VekM#P*-UiPI_ty?)-dd#g!=Y+?I=X{kUekP4&% zH>kk!=6{^ZWnw8hjhi>fS+=~@&!X@0&g`w5JRMSj_oP7HZ!dB+XWo#(1adyzvuPMsU|+ zb_*54-I4*iHf0}-till1@lUeVr#fk2MDEnd0Ei+misr><5~+6nZh^ZvY?4__Ncq?0y#UXC}@p<1Zt> zp-t`W#eL=a_QldY3M`MFU;OFJ*Tg`vQ+pf8pDw9DDv%1K0;xbMkP19cfn47{PcPrQ z_@^&(_Fb%RZJr5o&`iABR9$fG+922XK3Jo&ZZSBxJ3i-aB?AQyw`72><^JuRB2v}3 ztC%?mPOjz(Qn_3gJTPH!Jrra^!ilCa83(zpGjGKT+O)8FbsC6+Uot?`)X+Ke)+7{C zyHbXLf^^N)P@H^K1jtRRAOx8e2Hsou?SnL}*_#sTSGJ~WlD`z>bK{4yj}xo;G>f}1 zab}r)Zu~86YHx4ZSmsUzQh`(;6&O%pS+ov#Udcr!=Fw@~GuH{r5_MYbJ>r?Y^>Qbk z3cM=?@_u`f%Q^F&^j_|_g3<`J*&*6*a13e5X2l@aGR4&*dOII+Wdt{k(0E_PKq1^M z8K7xmsIOC8Ffm;#<{@~6b-92N(lrZJoP1RTC}v+KeZgzpm{JZZ8wV{b1_}=CB(V#z zq>DXt@%ZAxiLO1PAmdW_YS(UTe*U%~(mGFg?&_oMIiv!qKq`<5qynixDv%1K0;xbMkP4&%sX!_)u7Kb=xG6EjI>>dM z{aY%odo;Vs3=}+e0Nb)dHf8^o%)$`XUPZ5~kbeZ{u9DknNJ3fk(@q4|h+`d|6yA9Py984T?WRV4xD~w-3^?zpV6v zL$`$|E-g|pX@7~XdH4lWN6++U^N0#Qwd7cX$nfa$JYnnQ@AS4NQF#0=A2J9(;xaa& z4-t(~e;?+$(!b08jk;g1vCxg%exuC=`tO^@OQPW9Uxg(+{_)RuotLUxu*QUskk7xg z=BD5ovojma3d4-v6ynX4W11AsuIYG=eWpsjPvlQ~=(jffYL9z+-0>9_e6dG$;bhw^ zevpfF*e=Pwj{TW6=z*d&{`jPY4VMMh-ZsE}i?U*WL^|!c)`HLJDnaz*)T=AjIHIiQ z)vouZnhjS&jy1@b8q=iaiJqLAy{$56?ak3lY3$kv66a_q+n5Uiqoyn+mS}o zClyEqQh`+9YfvEnU*y%U^66@sGEpj!3Zw$5z~L2;!&jIErUH8fMnBug#uyxN|fZVhSLXcTu$o<_RLi41*-NHth#P2f3~@Z$DZ=RnuK%28uoWk^!3b z$RrL4!(2emP;Y4eDI6g@W`F&>Ek-_&BzB>|v{WD!NCi@X8&zO=^FL1Y zGO-k$#?2e`EL+~{XVG_gXZF@jo(`$Ndr~0pw->pZGw(@xzjZFrvbp3SZ**$r3rS}6 zRAv#wJM%R$PA1)lKaVuSB`)>|Hs*%=9pqYOl#(&tsXA}`#FY`;wV2&Pg>biI zfUZs12P3O6gmt-q5;9_nldp;Z#q7(?y5RM~{acF^!rMt=mnHmJB;@PbGYT>;h0oV; z73M?ETSQvr;CZYuXwMV}SM!qq#q5Wex0HBc<8J^T%J{R>v+RBr@no%xy=sJjgKq)RH03Zw$5Kq`<5qyooLAlJ8-xEAFS zBoW8ESl`+_6B?wMc(xE_h(V;Cd*?hJ+JMV}=cKU1#2k6|`w#^BOP^2ft*1rm3NG=B-I6 zq;{nY0R`!rsi8RestAyqRzV0dD-681?%M}xTC+DL)URw!*Cc-_$mhlnWgjP2^Jx}$ zVdBg(``q|j+SK0Ova!sa3Zw$5Kq@exz_Mr^@Vt_XOw6OxxM!{tmL=-6+Iz$^d+X&+ zJQa9X3grFvBA0XKJ?XvNZv~|hYO_PM-{2V1lFf=iu4Rg=Mf7$);>rka8lmyNih)A7 zTQWe?#86+SxL{(sR?I{23hQzKC8TQ>syO+o2vE$vO!|V?x-q33R5lJ;Rtyvz+DT#; zVo4W!=;HCkg%e$SMnT4<@YSx}*!=u$L8Nt_@Z8l&K}Jk*ay35*P|Qw{Sz%b~Q4T5_ z2R#!U+DT#;V$bQ$PjW~FQh`(;6-WhAfm9$BNCi@XR3H^d1yX@jU|a#gb#PN+h;@+b zI{UX&T=!^pl^G~_>;Sf9hiuCJEt!QOtjh(IkP%ayd{qP}W~F8zO}m+ z2v!$+svP?ot{a4n--r<0wV2&Ph48hW!a+^TLCot5kfhH{# zNCi@XR3H^d1yX^-Dv;j;b+t=#x>}}8lnSH*sX!`lBMJz04sJ>ewKT|;`?pOs1=N)? z1QcY%6eoYTNr2q63PO-sVOaMIm4nL0LC*w-c9PhISWFlONpS7jAXm=Yrji2cN*MwQ zGGdBTooSmS0<<}^o1W%S@Y(^w*%1?*+DT#;V%OKt`FRehz^y2--1l##+^jPdNCi@X zn^r)n-e2wPmN4B$e;enLg>0AP3_M!?e7Jjh=F8%0;fR0yZBYCn0t1y;zkQIF{bi*W z9J(z$acPl)N&8E5&BHI4I(nu*n@3desU^o6M21I~=LuUcf2X%KiNfP|`H(^Q5tp$E zeTZm``ui}~mHu7!Z`A#AjfHO9_8V<3(0|`FUJ?Z-|0*ou@sEGL>%3Imf;A?5gna&` zH8%y%n4Q^RRv2dVrVwwY9Mhz5c1_1~>@!vJeIkF_L%+4*S9{#s}^fbs3RhN)%oQv`YMi#2Fpd+wq&|Jyx%(~RsuWD-$!Ci~lEmR11O9tp#ymT(at}Q4BwGIw?COCw3xquQfVv19( zX2T^wn=`u>%%R}51BA09COEZ|#4f~Q!Z1jJYu5(3a^5zT6i`>n5Kxd2Q=D#n-i|by zKB+(|kP4&%UxNbq|01t;l}}g8l!;P-R3H^d1rD!(9KOOVFcsJx((cN%S@XdA! zC%QJsmHS{#B?Z)#G6WQ4#1yB^g{^z$0_3Ju5Q5AKL+;-O8m_|R?;SStggFz>EYO}Q z4!1sUdrc;u3Zw$5Kq`<5qynixDv%1K0+&=Ee_wV-L>9VsAILLLK{adkuHZ9bhN_N@IguyKtplNF8oX_7{JwocRE*DTjx@Kx9PQEGv z6tfd#Rv6Z8O68!kanLj)7aZD2Vi#gDVHhOAwP`;XI>>dMdHc}{s+#U9Gf?c|mkiLf zPlisJx5Ww-Qtt{T9p(ahhI&K$PvHpRG5hQ1Z87qJB(VzxrlkU@Kq`<5+^7P}oBwgD zmx-n5G;ZFgXW8;rKa0N0JF~ZL@^nZA-jf1(zrD!SoOw^m`>k_{mdzyxd81P^Uq~{m zr!tEe-kGn7fx63pPsc^h_<5umE^)C(urW8>?;zJQqm+#CPSttiC$5a(uEp#YDulZw z19WZ5J{VbrA*{;7o-DSW<$ zt1usO-XhW}2hU@TL3^e+xSF2?C}uyzyrsko8-D}%P{yB~o@Mv5h(9xNW*L7O`3-Gq zZ!hkPd%~e?S&dAoNv5zGX_-X~@66Z4K;31)CtXs3R3H^d1yX@jAQd={0=d4u#I-1w zAc;8M#roFfnb083#Jf$^1=p?(axMR2Quy3GnJ*hZab?|N+U$l39&X71UCaI3J4K{= z1-puwgW%+9t{|1mb-@D@2G>JDHYA*A8Z&H=>pJsRte{N`o7aGWIQS(4G))biGjB~o zA+;-I2q;L`Obx}!S4Du_vBWn)0(|0p?+m+x+eKcK|VKrDEm0EnoqO1 z3lnFS+2_XJ(x&$ImW^fZR3H^d1yX?l1(rqYfajH5WMUqj#yxYLuq;uh)!rkX*;_An z;;F#9QXucQ7rC4>?@90Fek&-AP&ViOw{WoTI4B33O$kmkjnIYbuHYGSEmK_a+9Uxe zoLyrZJIlB=4YHWsKq1^M8K7xm=zb%}s3$?g3JoT4iL`vteAt~)J_t+5KA4ghb|spTsYCSXB1>y3ZJjxDolRCpn}7sX!`_3Zw$5Kq`<5qynix zDv%1K0;xbMFs^{$I=Cq@#5%}zo&8%Xu6s1Q$_x}db^zP5LpEjqmdwHs*5v|9$cQOU zzA6F~vma$2%=X|0Dy=Rw)wOolLj3AtPnBa|!*zr3HNSUgvl}XSto0NQYFZ9@mh<+> z73>KHE)1^b3euSkxTK{5sX!`_3Zw$5Kq_$43gq`dUG4Inu9hhir2?ryDv%1?hyp^L zgPRgVEe&$z{%uoD0d=Je0R`LeiLIN~3F z8x((tz(6I|Zy%&(e_81Thi(f`Tw0`H(*6=%^Y9C%j-Khy<`ETqYRRz%k>SzhdBWDq z-|1~lqVV`#K4cJn#AR$kA0ir~{yxlgrGJ ztp%UcRf6crsaIF5aYR|qt6lF+H5;ym9BYsWGM6b$+>vzKY|b z!E#ZyEtzf)FL=bgWR@-W%vtnZ-kH61lV8YzoBtb{zG3c{r_PCY*6w`q+G~pH(iQt; z9)n!6kgW?B<=BrEAYP~8Y6l0`cw79*5Cz?_hd{x-Yu`UvdnpmSB!#n^E;-ROTiqxQ zK350~-3DxRv#n;6L=6qnt}Wo^vx(nrnBr8c*>DNa=FF}Ib0~Q20O9P22~O=Ku?w-7FbtC5+O!NTUXzKZ0;xbMkP4&%sX!`_3Zw$5z$F#P-(j2dN{=NClp+fDjVzt8BJQy6o%&4su;*|5mJ^O$&9Wl3g}4VQ@u9+H&ldp;Z#q0!`6^3=2QaPw>95l_y1&4N$*o9b37zRmjZQ2ip4su;* z-hQ-#s;0Zj3>16#B?C0=lc7`QZLvay)VqR7hq-{Bq2AE`Q#e9+%>Md$Ta0`lN$f&_ zX{kUekP4&%H>$w$=6{^(Wnw8hjhi>>S+=~@&!X@0&g`w5JRMSj_oP7HZ!dB+XWoi7O+xYcacp3gK?a09~814@OpD2y3ZJjxD$IwRw}`aL!Sh&S(4Hv{uI48JirEh_Zz=J@#@_%wl<{Y$ zXW9KM;?GQ+S;k*RenXqu+l%|+o^U8zRwGktk}0f4T4oW$JM%R$PZ0~$j7hJnG$d&uIO(g}?l`;erWW*FFcOUFd zfZVhSa-e-Mp`Lc7)dkn4F&PKBt}}1N3i7Ye^45TZUot?`)X+JbK{4yj}xo;G>f}1ab}r)Zu~86 zYHx4ZSmsUzQh`(;6&O%pS+ov#Udcr!=Fw@~GuH{r5_MYbJ>r?Y^>Qbk3cM=?@_u`f z%Q^F&^j_|_g3<`ZoGbPSHaLc~WV2$BYnkFo#?H=1Tp7VlBQ$0=PzZNR256cXy59(L zxfG&W2L~-H1_}-?R|qIb*Njtfs?}_g2+-!ty8omg1eq0v9Uz?TSuqE}shuQtAr=#c zK@wcMHpn$TZ}aoF1<}`e!t+>T(4Hv{?z}w-P|W`Nc?-WVw3Eax#HOVJsX!`_3Zw$5 zKq`<5qynixDv%1K0;xbM@Dc@tputUvA^t(G@qMty&hk(}?vBrSTgk!&3b$l{u1(p$ zC95!mb-92NGGdC8uZjT0><8Kh8>qCp&{WsjT?_H6i#=72eGTh|ngZ%d83GD2Vv3W$+ay44S_L7gCw|iZICPHZBt1Bb)^ge1sO5Lsm`=b5&_zr*-cM#D0uAv;p~VBPVFSI z3$babKq`<5qynixDv$~^6;M!rwX<8oG>HIz8|M=I&2~wIvyYZPAMT!>`4WU{)BZLz z{t$tIO1R%XNX!1R(hCmV7M{4YNWrB2CA#L}7fc;J)1S>FD)`irV+|t1qs#Mzt(U*k z+nPk-@wnCnXaF8ep?e!0d%H*WilHW%o>ZyGO&f|Gw0mhkw; zKi_p;s&2s=6Fx#d|I(V9f@jRmY%nVfGkQ~qH&br+nJPT6&s53xiTr5~{nmzG?Qw69 zJHEn#FZQS|oNSxL4|0(X+a=l8u|Km0Jy5j9AD^_a;j+Nm+XlFAQC94aNT)s5TJSkt zC5WD!dUeGbN0jxv+V$R4v*BvUu?87aW17@F(UViNw>3$lj)?eG=a;+at2iziEEi?l zlIiyFf=Ap-X4!JjoJHT|o!MJA`Gp*oH|q!Vf4O%$jAG|I8WU%70t1JWx?p^!7xzuwD)-EXywz@ex?g}oT zW#Y^WxtiM|8vYLb76xQVeO2~*QPQEGv z6tfd#Rv2dVrV#s;t?BTsz zS}KqVqynixDsXp~|1a`tv*2{KOqnPZ`1%xB*4bZQPi^`3Nm7f)2;7=2~D^HDafWhPIPUME9Y%fNda}G3;_ih zF~w`;*{}hf8@1|9d*U#H_Z#9XK&8-6< zEfq)wQh`+9Mip4z{Et(;Oe{sGaq|W_%a*tLS@d1rnZ0$Br$Z|6o)pOY?M1HU%zM(i zxZhs7iNpOKW9ADXMDNYAG1gOOAi!n#~Q2^lfP$yY^yVs?Ve3d6dgsvJ}{4tgdy zw3Eax#A3oQNP=tE2D!%P?T7dk)hz~xs_CvW0|k#AuuJ z#f-lJd?@43PS3LYS;U{2IJ1nujQoZ+wYL}dmFwFVOZO>Qp#*T$c+dUR^V-6(?VF0gBlPGAj&gJ<364OPku;TQ-)tQ-M?<6-WgJ6j=VTHQ;$A7nztxr*Y3* zCoD_UX|?x=XZF_1op>tnt`x}o?L{u<%zM&%x!(#(BUHTGR9$dw8hayyT+0+!`06f- z8{4Ri;HHr!W;aj>_tS#3Obnc|4_1sA^0+RyU?rq$7OFV;st8cbPLNq)c-cM}p<<6t zlGueggRu^2mHpn$TZ}aoF1<}`e!t+>T(4Hv{?z}w-P|W`Nc?-WVw3Eax#HOVJ zsX!`_3Zw$5Kq`<5qynixDv%1K0;xbM@Dc@tputUvA^t(G@qMt@?B7zcyW?}-Rx(iV za7za0+LZlUvI;|3mkTH%Bc?d{st8cbexQA@fl8|jO?9o^wGh9$*i+@$*RXC_GQ|si z>lx)>(U7NYi`gwsh+XR`9IQ!r-nE>!Pp)8BF>?@{T+J0EzFw%EmI|Z-sX!`_3Zw$5 z!0juL-vf2EYk0a^rc9IyqynixDsUqT2z3r_N({9$$d&uIO*IA7l`;erWW*FFf4511 z+_VZpkXd0^_Y0MS%Em#@1c!E#*o9b37zRmj?b;w$&fBJv0_sW`0tzx>ic_6wnhDVp@30p6Jr?)kU!sB=OkU{tnm$3KyT z8*MJof8R7-5(Ov!DlFmgkAJ@Fyj0zSH70z7eEy|1HwDj_o!MYk7-saQ5O1d3?lV<* zV4tay?-Tjc9{Q~fzuM#89(R0&1z+q@T{zh`iy!179kxrduVa5^4SJwxjXyqVVZ&vC zwYLp$-=eJ8ACXRbuC?HEx=Ii|IrZv_HI69jd9~}ksb<5~kYf!prp7d>d7>w$W^Zef zMja9HtIjWX(N}R?G*~Xmwk6Z;;RTPlm&~%|o;i!Y%R95TZt@E`EN|8qVtY1?K0D$F z0Xgx`SK)l|+G~pH(!Fda^BClkh0I?k@v5wAo3rcY%o?>N*oc!4#KyF$EIncbNoU~LR6-WhAfmGn`F8^QT)n>uzYMC-oD)99wu&lGczQ(iT z+f_j7zYlM>hphbTQ^4k}*?Da5d{h_wyEe#``(RBa1=N)?1QcY%6sKF?2NRlb1yYbr zdz|RnAXm=Yrji2cN*MwQGGdC;=EBzB#t4v`Rza3^W#ReyivZ=IvT@Ke!J(Zbb|E$` z6-WhAfm9$BNCi@XR3H_2R|>E=KD_1c%dU2bPFKtHibUlVu^E~QysZL4`oT?!Zl&y} z402s(A55&Ex<|9C%s?Fck^!2ghR*r?traSy-W7a-|K4S4DBQj(0_3Ju5Q5AK!wdJp zn!PE+er0PqJTPHsS6W?gZQ2ip4su;*-hQ-#VjT<=d-x>-H0_h2Q|4_k35C?Ilp&xX zU2Fd-93kFKt01qRx9#3)5+R#g2S8dXkP4&%slbgYu)O&nr+S%KicaI^4RV$(Z}qe2 zySy`d>n2Z!RNy@+koVh*T+NyHq<3+@y>t_7RvgM^zK~>Ar)3r~yfa@D19g`HpN@;1 z_cd$`b{rIhnJqjS?iWXgUZH1&jg2dlGtUD<}UV7WB*bRd4}v61sR{h zS-W;)KkR054I;Q}F}sBd;ci-huD!E)dlEW!V@ftjo<@)yN<(T=Jc$&*OF3!0;l?tQ+sX!`_3Zw$5z;PAG z_3b6DO}PX~#PKfHw>Hm&25BbVZK^J~c5RSr`4^MI7w=S^KX4jX)-9&ZZm8hlmJHCf zceW4KkVDbp5c^<-N=7Ob{J6GVV}`ktKndMVPdn!W#gMu|*myp^L{C7fy8T83h@a!slza3X`9|Er_(r!Sh&S(4Hv{ zuI48JirEP=D-3Hr%0Xr0pl5zx1oUCMwwYTmhlK!A*%FDF(T&vwus)b&qCOnSp}G4q#h$$foSyl35tSx?DgB88OAl zS4Dtg_GSO6;PqzvU=O*48rqds7hJnG$d&W9sic6qQigznjF{qdyYseCep)J!3Zw$5 zKq`<5qyjgrKziqq!8axn^Lg~3g$AV=B1wNiw7+LcxpT)Q^NmGic#q=33ohJb>MnBsKP^LBat zj5M1*slYK5kb2)gMmm=aPkNm*fmQTH1ZM zdwS-}hdLIH_{ZM{#UFk#P>J>12Wi<~R(ipq+rkr<7AcstzeLwO{DP^YXZo{wL9F!V(_;_~*OMOVuq{W5P$s=U-ZLQ}B%0nGI%zVMcEX@n*`^osKmf9@uB9 z{(Zx5ph{VZj%BR2NRR&Ef~SNQdo`?CaQ{S%V%ZTH}vTTG((|VC`)K z+_xwz_D7`Co@*`moURf?PfoqMVvQrpdS2~%Z>rgFHRM=>jHxkAYM$uHsoC3_q)|si z{HpWIUG!BP7Y&w+vTez9dw9Vk?j^Hqxo6Iz@AA&#~}I;1t&70!qk;DNepB z0u-|oWL6kv^rjH|m96RUz=WY)X?4N1YlB=lZ<|UAs4Ha%D9DH@PX6o=AUCao9BAHB zPFgCE3Zw$5Kq_!|m;W#FYO~;UwM>~P6-WhAfmGn|3drFr%mPz^y#jJRbspP0AJyd? z&>IH1av!WIWYv7L;e3IQ2Uu1m^R4fL2~7;nHC($k$d&W9sic6qQigznjF{qd>+^P` z(ez0LQh`(;6-WhAfm9$BNCi@XODT}QFT0eIU7l0d;{Xoj05xO@sldw=5W?II7X{gD zmvEwKY;Fy5U1uLmte{N`o9$2oaqvq9Xqp;2=kvEFp^(~@G6WQ)Yo>&)AaR?wz}3(nh5#yw@; zmgcp!tPPDpo7VnQI6`du3YtT~>t*w{-J3!jU$6DoE_kJ-0;xbMkP6(O0?V8KaVnRI zrRX$n-l%68@4|0sGkbf>zA|?zaCHUpetQwgXWomai&1`mv{QaGCKyMi2T4t0&*V*}qE9=#A#$$Ga1sAtufUfyE#RW^3 zad9|1hIP4sj&h$JeO2PLHOR6Qd%SQTj7VXKTktDex80WTXOWPvYtJaixD>v2r61yH zKycS$b_*54-I4*i_Ri+*N$A*>LqU+ztT3z-Njaz*bI>!vp`9dlA@<_N-vB<8@n@%J z+5Ify&s@`4#$QH$Lz~*$i~Hg=cqm&|BU5UUDXd0XW)Z_X^EEM0cNy?WmsB7XNCi@X zR3H^d1&*UYu5T}KEy^WGB93>lzO{KKG)Ob?Zc}x^wQGZ1%fFZuzPgLz#x^SJ7Sm=o zRPgZAg7oa2?SnPsP^37}KA2FCd*KvhGo?7uG$!L9*LCKtSV5Z>Hm^%M)ErZsz0LjB6tbWQS?f_!fLQ1)?R zHJ@g27beauv(JscrA_VaEgQ?+sX!`_3Zw!93M`A(0naPB$izH4jeF)gVOgS1tG!1& zv$tOE#8ZKHr9j?qFLF6&-jm+T{Z>#Kp*A~2`wfmEE!nIXBd(0#rV$$N zs~9MRyCnlOO$_yQiVG&DYsEYSudpr`P(r$9p^B5QiU7sz%cL)Ots7IyL1p8hWyL_j zp`9dlA(nKphb|spTsYCSXB1>y3SaHojm^*B7DQU-3C~@f6lBB{Cs*^60LAPCnH7e$ z9_662anLitp`9dlA@-c^{3M4|AQeajQh`(;6-WhAfm9$BNCi@XR3H^d1;!N+Tn9HL zhFAx=uCsqj#dVKnSDAr=#|~gycF3me-;!Av!n#~Q2^lfP$yY^yV)mo#gV`S3K&91% zrn=VdT8Lj=?5T3>Yq)L@Hhv>QaMxmX3l+lGdI|?MEeAczdHduF_5=eL23K%R3H^d1yX@jAQeaj4y!O#%)H%2*G1Sr^SMJ|7 z)f7-y$`DYH5mTJ}-6jEY(<%r-W`$whFH{aH8wWiT9NI}@7h*AC7$m{9YlB=lZ<|UA zs4Ha%D9DH@PIabjk_gb|%x-#`L&0kY2xmu3aB3%sU5H&@Kj-H;qyo31z;fTem2$Jr zR3H^d1#VgarFwt0vs=P+8~tsZOBS+Sk~8pV`SaoK>6tH!tA!)}@wY+ohX@Q*V*U0( zTK1QfUU2BP@WiD>3MTC@(KQdhVCv|Z{%jsm!KaoSYY-V8U7jawz5Jct)+7p#-{nIF z;YVD?CiEeqG3xKbTvz&c*}qZu%QY6daocaSxj_GY(|Ab~ocyb>gvUSr`L6R)bqm&* z@DcL)m)6`AJY#ldgIQsi(VIfNnQ}~%!r3()&#})`$@hu;X%GF@hF|S*Z;v~^!h$dM zs4kpro5c@ukq+A>+1If@vj#m-w8kHww6Ni_z}njexNlKb?2kyNJ=a?BIb9`)o}7Ah z#TrMH^}O2k-c+;UYRIt$8B=4L)I8CXQ?s`O|#XF;^1?Iz|d{LRyW&f zHc8abAnn=$9`40Zkgm0RTazd}o6737?`BAcRN#OLlmph3C8YwXKq~Nl6%bmBmn)kk z#*hkwT+7ab!nsLO*D>o-XTPegK?HX#X17ow+$|ZPYw^;#5WBXZ9Mn2E=$YUU*5v|9 z$cQOUwVDl=0Bz3fS}=!#*A5WQj+o%oP7=EiiwVOZ39elmg0&@5Yv%pkfuYjCSokw@W zMZq`QC7kHmAXn~#HI)=lSIQ7jkP%ayHW#+;nG2AcRzV0dD-5}R8)&!+lfQS^%oFBJ zJhMQ1ra0XCyzMoacq)(zqynixDv%1K0;xbMkP2KsZeYxM}J!@68R3F(@t zp*Z=f2vE#UkXd0^w<(o_%Em#{j9hSNCy8B%#e`vy1lOkhVCW#%b>{6yE2wI^tIR;L zhhH*4(>@tGW!@GmR7kxmm~@y6=o#t_?LUPhgvac!pSQ)x2a?1t6quF@qynixDsZC; zEN}kDsa__QqSLr}qn>5UTm3BhF7M3Vy2;Za6?jhyK=kaKD3G%ZySo#yeH#ji0zOg1Z*8 zTc{B3mJHCfDf?h#6^5`b7f?b*OmXs65uliTxmg#yUbug2kwSPoN$j$OKZ}HXU3*4B z#-;H28m_{8$a#xMs~kL!H3sdO;^1n25}=s<5c8H2FKqk`;6oXIc6yfG&m#WJ#F=IM zW#l)sslC0pFYXD4vSl?gr6!reYNTZrF}yQh69aXZ0iSe91yX@jAQeajQh`+9I11$Y z_7c~kT!JLxco*wiYeHy{X5!tZ>Vj+62Dz4hF)4iRp3IkxpSZGaF>Q831rN7mfUf2K z?VTc0y@FlE%t3H+HCK?z<+|W;clYU8Bo8r-ks0P@Q-XE{**PC5hFoOcit+5y7CU)n zw;#fDw`72(siAY`t<@u>4(oCOC8TSnhT`O_B0w=aL1u-4_tt&;AWbuJ!J(Zbb|LoS z#^=TlWgjP2^Jx}$;hN4e``q|j+SK0Ova!sa3OrkZnJ@Hb7n1?0z?BqO{;_qXYy)Xz zz~`kd`qIE|+|1j@vPA9HFw0?PZ?kGn-c;Zs3Y;#&@`WVtw_mh)#j~0Br1x^a74$_Y z=3KEyu)#5;C3_=-T+0+!GWvTm>qbkXGJ=~%mYCf@A>1t)plM?0ej~``Qiy6D9JH($ zC^)!WA)p{#Gfu^+RM zIiv!qKq`<5qynixDv%1K0;xbMkP4&%sX!_)u7Kb=xG6EjI>>dM{aY%odo;Vs3=}+e z0Nb)dHf8^o%)$`Xic_6wn zhDVp@30p6Jr?)kU!sB=OkU{tnm$3KyT8*MJof8R7- z5(Ov!DlFmgkAJ@Fyj0zSH70z7eEy|1HwDj_o!MYk7-saQ5O1a&)1+{AO~-TWGgb0^ zB7fRLzqR34d)(XOj<2xbi#@6fC);N6gIuJ;c1iYi?9Z%04-~EO$0sdpxGb>twgK*2 zlok6U(rM4N7JN=u38E*bUR|-q5oJBEcD*;%Y`7Y7tU<=qm?kw(^yJj+ZB5dsBO-p) z`QgdhPhv!Iw#&)yYt0s zuPLfaSL~B{406drwk}+hV?S1ac%6o;9UNTaZSf~V6m-WP0tNT3eg9Qwwg^6H8e=Owt$CwF%+b0?cUZT3eTppdhHDuY7~aFRNyEI zl%o`qb)*8RKq~N6C?IqhFIP58j3Ge=xt5&=g>#dnu4C4v&VE%}g9z?g%x+^P`(ez0LQh`(;75Ewy$p064wX1x(TBb~t3VeME zusBak1#U_KdH6@=P1T&mrUEu^&Ca8{;iABs?GjFOZICPX!J0}6s4Ha%D9DH@PMZr` z_sj*zO{*Zw`*Y!W?%xI)uEON+9X9iXITOz;(4Hv{w?1!sO(vcSqynixDv%1K0;xbM zkP6(40{qkG@Rq+XyV@l>T`kiq5|vlPW@sw#wh9R8<9(IQc1gEV_5laEuCsqDR?wz} zx>LEl)!^Wl4A3++bk65*O+q2HD`f~MNY_ja#mQGifZVhSLXcTuShp#agUZH1)0(|0 zp_R+6V0!A>l;RelT>9>pJuHqZPDi;ezw_lW|X(x21V)Eo(z#(5AKj6pj$v zzJlgZ@Os(2ZTF@S$JYzB(^7#{AQeajZdifk&Hp$Z$iz}~8aHp$vy6A)x3rnPy=7mS zI~BOP0(rl^h~zWxN$=u*d+8?lH|C*i<_k$?by{W-!#ndeF;I6I@aee7dCS4Z-p)sL z!L@4$v)OHm_lnF@;p62aJaJEQbsX!`_3Zw$5Kq_!t1#*3RiEC3X zK@xGim-VgC;NYf2q{Zg^AlLFQCMCA+qPVfSe_Ie~-FM=-tCNC^nBwGXE#`I-w*%ubM5VOZ-?4k{Z5O*3-Ap`9dlA@<_N=f)3ZA17AxX%=_kn$9x& z-1uAC)ZX5*vCN$cqynixDlnkH@{g?n&nvme#5_8Ud*(V}S)xv>y+=H=w_fhVQ-OD- zK;CaJaye(-lithyR!|zD;@zg|f@{;*8yVzUrntgacTwEfMr8yyjVv*{fkL>S7Nlij z;FNu^V#JWgb-4vAAziaj#mQGifMRxn%nHNH_Q41hdvub-F2rKO(5|$);M%o8uJL)B zpT8}LzRnY##~Oq7OmT4M?MZ-Q_Ser__=TaJBz7S-Efq)wQh`(;6-WhAfm9$BNCi@X zR3H^d1yX^RC?Et4Zb}UC4|0v~gS}?|mWtgSpYyhofr5uyGC0b8u5)sHH)!+`nzADWI;DA)p{5ra1Y#O#P*`t5unYP-Sjkvg4YfZ&W@Pi)J_t+ z5Sx|?qynixDv%1K0;xb#0R{C}JG&)JlL+v)aW28%Y?nkh`)K*|;qK{~FG09A?QcWl z4-pusg!}D-wCpb{z2MMo;fYI&6inJ*qH7+0!PL<+{nK3dq;UnbpFRi&Lc*g9^2D8F2qc??kGv#)lslo&MOqG0}$e;GmZ*BP19{2XR z<0~xqVvp*=$+lViAQ$PdU6Oqr`!j3M14V26@kt9CE(@%^ZGih0WySu8blP*R1)tMZ zg6PSqS68fYL|M?ULePtDCdquHXV{ z<^w~w0bAV!Yf}nVdon5Kxd2 zQ=B#zw*EFofZVhSvaBl$&(~iBC@tGW!@H(P)O}c83GE@wf3LF5#rsn3iA4S+wQF<5wf{; z0Hmb?sX!`_3f!my%bWjks+WnS=rnHLAZOX~RzHir%R95TZt`?U1>TbadB45L)tq@x z%KNQziP)?-l+Ao0$*fMxEMj<)E^0&@;iI zog{Wyq`8Yd)Y!iiM4lmgMnT4>aMrHf*bg~x5ow(#Ja=_ckP%ayT+L4c6tfd#Rv6ZL zl!MB~LC*w-c9PhI*ozx~1NczJpPim%_p^vUb4_O%e;N4=ZE9~X?u*ypp=?==OsPqx zuo`KZMGWuE*Tg{GWxyw0Qh`(;6-WhAfm9$BIF16jzP-e?D3>6KINrtj*5;YeAkD

Mn{K+o-HtOq<QEKAgBwfBf;_SVatcq;I&6v+GSMK0&ed(wNk z-wH}2)Mkfhzriu2C7Tt4T+0+!i|Flq#FY`;G(zKj6$6EEw`72(iJ`tualyoNt(b@4 z71refN=Vl%RB`fE5uliTne+v(bz@37sB9dxtQaUbw3Eax#F8%d(8c463n#kvjDn0y z;j3M{vHAJif=KH;;km1mf{d8rpJ_lR9N?D zc9j_@cA*{;UfYV_ivkO3aBe(2q?&i zDNg=wlK{DC6@(zO!m#caDhHK~gPsWv?If`av6wInlHl64L9U#)O(g}?l`;erWW*Gw zI@2~u1ZZ<+H$Bav;I#vUvm+)rwUfjy#ICQO^Ya{1fm=~vx$oafxmjl_kP4&%H?4qD zy}#PoEn&Ki{x;4f3)wEo8F;k(`Ed92%$LR0!V&-Y+o1SE1O_Uxe)}LT`^!o%ICNWh z;?g1ollGVBnulL7b@WVsHjk*_Q%jCDhzyS|&l9#@{!VXe5{1X_@*#upBQ9eT`Vi3= z_4i?}EB(9d->CcL8VlXH?Kj$7p#Q#Uyd(-v{#97Q;~)Qg*LkVB1#3+B2>JX=YizO(EV)Ii^YB?3#|}*k`Kb`$Yb)4-JgB~baUhR5s zs@ZTg=e4VH_tZOL?dc)=s?C9`a~XU?MU z^3Lq7oBTo!-2C6r^bK>rJataIvv%i;*IrXpm#)|+^BClkg=}58D93)R0P#8vS35Yk z#@pgghA8NcJp>BwUHksY+DnPpB`KWUbjgXP+3H4d@VP=@=r&-hn{73lBx-1oc5ML< z_hKkW*V?_UNfe$WR z+$5>%n02YMU)9zig1Z*8Tc{B3mJHCfcW zHfMG%m_xy92MA|JOmJ!^iCu`rgkg{b*RBn6<-BbwDWI;DA)p{5ra0aDyd7yYeNur` zAQeajz6J&I|3zNyDxa>FDHEjvsX!`_3LIVmIedj#U@EXzK+dPmqr2gv;G69dPIPUM zEBC>gN(!heWe6z9h$&8+3tRWh1;|aSAOx8ehTOjmG+c$r-#cvP33Dc%S)e^r9BzHy z_L@vQ6-WhAfm9$BNCi@XR3H^d1um&T{=V#zYBsq}O*Fw&AQgC}0z!Peud>-L>84^I zaFFXd`?q2RZCa>1mF%*a34>cQK-1LFIiJ6^dW6(rT`r)6bj{RIoP1RTC}tAPh}P{yfa@D z19g`HpCK2)i)OgQ#U8=N+;G2xT+577GR8Yq=Z&AZGJ?Anvs zOPku;TQ-)tQ-M?<6-WgJ6j=VTHQ@OS7kP&7Q`gtTKIM9PtWQr|?0DunVOgU3wa#m) z=9}4DO_EPifv-Vpt!p47bGzP#k&$V>GmWP~ip^L{C7fy8T83h@a!slza3X`9| zEr_(r!Sh&S(4Hv{uI48JirEP=D-3Hr%0Xr0pl5DF1=T&8U1b(7 zQ0xGA*{;kd%g;| z0n~sYQ@ni51t?}e%Di3bpxCrj;5HPHhZoq{A10*eB2BTC##oi`$9t4wWy2gA;_ z`m3FFNmX6CrT=CggIuzZ?UI~1|D-@c3OmWDtJDWo$wp zA{wLqKFoEc&(-`Jb-!F=p&PgTMw<)t-#3kyM8V0w3QKtWh8evn#G5I%`z#)4-JgB~baUhR5s zs@ZTg=e4VH_tZOL?dc)=s?C9`a~XU?MU z^3Lq7oBTo!%bWFu*q%+J&yF}kKu)~#RXAU~_L`!)bT8YkAG|I8WU%70t1JWx?p^!7xzuwD)-EXywz@ex?g}oTW0F5QS8H8Xa}b=ux?DgB88OAlS4Dtgc7n_b!;Ib(V!yIA9Uho4v@5MH zxOQ!jE9Y%fNda}G3;_ihF~!NB9RlR0RgeSCTgo}M$+63OPu1yrY9@P01yX_ME0F&$ z^7)#*Fyi#WxC~1LQh`(;6-Wi%OaXbb%wJt%y`7GQQrkNp)dknC4RYl^SW`&>b)^ge z1sO5L>DKqbgeF{p6lBvLC%QJsmGic#q=33ohJb>MnBug#u=Tew0_3JuklepTg|t*4 z6-WhAfkP=EhpOgX7o+dGyKF8MNCmnI=4&)-@-Lh7(C7f?dFW@;!-zA6F~vlC=i7}gKO zDhHK~gQgj|;LuJIyAX>B!ypN+P5Z&nL9Xk}+mBXI)pS>xfnpE8WPql9GIYwkEmo+I zdROoT{##=EPvOLAm4aSBZ`-}qBto{ZeZb?J9KXc(QlY+=MzW7o;44sIdGkL`r!uh= zoyN@@>Sxh+d1v<4O`Z;^zL3tlhWzqLpqyqzR=Ar=#cK@wcMHpn$TZ$IR`Mf7!^ z@I2NSv}cNgJ8w?{6tf>_-csnrjK2YVDC5sg&$9bj#Gjcsvy8ut{DwBQw-@)7>)RJg z_b9MDdVcYzGhY(}#ZK*QAb+}~0;xbMkP4&%sX!|5JOy%n`#imT@8X}n%-MIbzO{KK z$U!slZc}x^wQGZ1aCruO!hjb-jsAQeaj zQh@;lmPPA;=QCX78NN?lUlaS3>*=vRJ#n$)nd^jQiR#xnucexAW^Xk~K1l_>1_h?v zZ(k#vye7C4s~!C1@XUKs^M3pCl7}NN)titJvds?BeuHBuADb0}T+0+!i|Flq#FY`; zG(zKj6$6EEw`72(iJ`tualyoNt(b@471refN=Vl%RB`fE5uliTne+v(bz@37sB9dx ztQaUbw3Eax#F8%d(8c463n#kvjDn0y;j3M{vHAJif=KH;;km1mf{d8rb)^ge1sO5L>DKqbgeF{p6lBvLC%QJsmGic#q=33ohJb>MnBug# zuw0D7Sz&O~D#%gxZPa83l6Q-pr-SLT^n0xwV3Cw_d%W($BT}tDW_u9Mz@U z)^Fx9$R!KeF3A~qw8r|-SamHN@sGa^ia$hPpc3ns4AQc{tn`9Iw}mGzEmAOPe~GSn z_yto(&-7>WhzdTnFFOG6+B7GB%+P5sguQALhE!zsvrO zx?irb(2d)Eqs;~S@0-R;qTu9Tg(W=x@y~aim#SN^#)OZM&%d^b^yJj5E7mxotmoCP_okW+S3{09$e0?_ zq~?j9oSMC@Ng8!T#IHKP+(lo-anWG8DBG4yw}%%z;$AY#mV4$b`Y!Lx-nz*z;#z=h8evn#C~OKIy^98XjfWYaP8V4SI*m}k^<^V83GD2 zVv3VLI|Rs0s~`uOx0G{ilVg|no~qOL)J*o03Zw$hS0Mji>N*oNj&Ijx?G+sX!`_3Zw#Gg97q3GH{OM|$UXiH0A~r))fwxsaNbhdAD9C2JgcD6;b8C?6I{RQ^1#Mc` zY=;_%gI_X0)6~#8pT9K;h19N;A)p{#Gc^<^Uljpz(<%r-W`$w>K&*05**Iugvo|HQ za=CS^RY0FPpdR-W1~adab{9!Rz=Y$1m}{RH*Nzk?bQC_zDzQ-u#c#sZ1roCW z8wWiT9NI}@m%F<&_Am~TkgsclT;uchL;Q;B7K208bXS>yg2xUR-YE26-*Lg`bfoN= z;1Jg30!qk;DNe2`CjpAt4>4~k@xsR606vuQXQyY`{Vd|oOq^N9Uq*gIo7&rp`^xq0 zi=}%MSROsU_|uuMiGgCL_BN0|T~dKmAQeajQh`(;6?mQkxxRg#UcPtnPhaNjyI9}a zJQL)gna<|TaKD3GXXw$;x)oCCOe#rn$Q$y#>Ta!>o?MfK}3eq)GLviv|5g<3Mf)Hd@ z7aq?9WpqPD`^aZbVV@f%wY#g+#7$`Wjlf*8>k}mep#p8<$C%X2Gf{aVyt6jUX z`T5&|Nb5Y|xvP_cjF{r&YJL)+n4KWA!m!q(98@+AdL}rulf*8>p3|M5mb*4_HU`U?$PWjGf?o@0c^_-*_8cT zG7CdkmkTH%Bc?d{st8cbew2MM+k+dZw7Sq#*Vt zLik!w;h?7Fpl3O6pIpJ7VBo^wYOWyh^%}mNK$DgVqynixDv%1K0;#}Z70B;_y4s~V zT`f~4N(EAZR3H_&5e0-g2R9{#S{mfa{oAIR0_sW`0tzx>ij%+FBtULj1tG|+Fs%E9 z%0Xr0pl5O@2_@tOPFq>zm0RrLbgkC z1|BVcKHNP$^JQ_haKu0UHYolOfq_b_-#$po{<6{w4&4@>xU@*Yr2Qqj=HVAi9X->Z z%_A!K)RJQjBEzH0^MtLJzth{AMB(wfe8?dDh|Ac7K14J|{e770O8+kVH|l=5#zHr4 z`;9gi=)Z3oFNuPae-)PS_{TrrbzZ7&!5R}jLO%b}nwx@W%+72uD-1JwQ;0WHj%iXj zyQbqg_L(aAK9N7|q2Jo@t3B@RamQC!@Wme0g_CWw_(3kxVY?*zI`(JQpa+W9_~Vln zHe421d)ol_Ey{}h5$Uw&S_?j>s|3-LQ?IUAQz)DaQC>ilvSeHF(=gXN-ZTQc1qUhs%}$t+v$nX~A-yfb_2CclsaH~%*@eZ$-@ zPn{F*tljzIwbvBYr7QNyJO;UBAzK$N%CR3SK)g=F)ea7>@wWJrAqu)<4}pSv*S>$U z_EI8tNeX8-U2>vnwz^Rqe6A1}x((RsW?RiBi5eQDU0cAzy%-A8wRUf75`|||S-tk% z4C#;x98iIBz?!n8R3H^d1>Ua$LTmAIWwXQ>Qelv5*?CYnH%aO`W?ky+SG6^W;I75& z7Al0hB?ELVUOE?I*A|q6S_cO`6CA?2TtEpKF~zA?v*8k;&6!;b=1}n30m9i46P(&f zVi#gDVHhOAwQGZ1Id7Xv3aBe(2q?&iDNeUOZ$}zUpHv_fNCi@XuR($Qf00+a%BQPk z%0#I^Dv%1K0*6;X4qsswmr*MSu znEmzhwix+9lGud;(^7#{AQeajZd8Hg&Hp&n%fwQ28aHp$vut^*pGDv0o!MJAc{-#5 z?@58Y-(KWu&b%k({noie%jS}UywRzdFC>}OQ<+5!@66Z4K;31)XUIkHq8To6u}82m zH{9=r77yCnm3ZOT3vS%o32%LSB>5mTIeRRkzzUvAa~ zuNUs$TBH!(P7=EiiwVOZ39elm$%l+FsMWm{6S21%CoLtQnq;k0~cwoZddML<-gcD6;G7fTGXWohx zv}s}U>NF4szhr=>siAY`tw|`PcBKpf1?ifpp*Z=f2#}jrK?pJ{47|7Q+Xrb{vo|Hw zuWU`%B!4N$=f)3ZA17AxX%=^3;>*Y>76?j()Al=<1*H*cvqQAs;26@9&5A*; zWs0js^mabt$_Q>6q4Bv91lq-z$cIQgmwP|Ute z`hwTGF{K<-HV#@=3=|yNNn#gbNf&$Q;_=0W6J2{oLB^%<)vn#x{QPY}q;;O~+|@}z zMoe*XH9rYZ%ubM5VOZ-?4k{Z5Jrf+-Nn#gb&*{!ja!3VIfm9$BNCi@XR3H^d1yX@j zAQeajQh`)pTmiv#a8qK4b&%^i`?pkF_h@#N87O${0Jdd^Y|8#EnS~*&%LSB>5mTIe zRRkzzKgvFs?ZFLHT3u+WYwfOu_|?UpD#yNt>jq)tHzEXgEoQe+A$+Z;a8T27(6gMk zPp)83FmPdTHCK@MdJW%Bph-&wQh`(;6-WhAfmGnI3gq`dUG37Gu9hhir2?ryDv%1? zhyp^LgPRgVEe&$z{%uoD0d=Je0R`LeiL zIN~3F8x((tz(6I|Zy%&(e_81Thi(f`Tw0`H(*6=%^Y9C%j-Khy<`ETqYRRz%k>Szh zdBWDq-|1~lqVV`#K4cJn#AR$kA0ir~{yxlgrGJtp%UcRf6crsaIF5aYR|qt6lF+H5;ym9BYsWGM6b$+>v zzKY|b!E#ZyEtzf)FL=bgWR@-W%vtnZ-kH61lV8YzoBtb{zG3c{r_PCY*6w`q+G~pH z(iQt;9)n!6kgW?B<=BrEAYP~8Y6l0`cw79*5Cz?_hd{x-Yu`UvdnpmSB!#n^E;-RO zTiqxQK350~-3DxRv#n;6L=6qnt}Wo^vx(nrnBr8c*>DNa=FF}Ib146xy)#B`u3a1C%6Z#VQb1iPLqI`BOmVvPc{|c*`lJG>Kq`<5d<_cZ z|BJlXRX$xTQzl9UQh`(;6}Wl@bC!aH4C2T)7X{R8l}) zDMLU(Moe+qT-ds2Emf0h*?U&iVYU)gz=1>v91lq-&;z;^eC$KruT(W`$whrc@3p z8wX7@a>1dUBz7Sd6NW(&T$}d2p@UqPnYW*O-fy!l^G^)j&(oyN@@^(Sxh+d1v<4O`Z;^z(-Ob@3)6s&6)S4yx%&PXxUtHkas#Y^Mxd{dMdMs;hp)K7^u4p z_;g(4jGsrE;Sv{n1RHb1{SI<1GfK%A?^K;Pe&Wgq?pn-lp+dM@GCAm#_V((&xF=kdEvu0! zHOUlKBQ3Lt;hp)K7^u4p_@qlJkP4&%sX!`_3Zw$pqd=~2kGK})2$G27L#%IYo(T=o zOuXAvU2yH%AlLFQCWX)4llgDsC$6kpOq<tnp%lpb?ID+Q z<~`}7+;0V?5o)tTwBO(u(vr=JL9S(rt3~v7KH|yn3X@Cxg40VSks7OFV;st8cbzD)Xp*SayK98@+AT2>4c9NI}@7h*{ld+6fvp9?3t z_JV?pOW~_syRrHC+k!~zJmI;klY)$x;^b<65}=r!AhW`-)}tI$HV%3wIJA?*F2tVG zouA~83Zw$5Kq`<5qynixDv%1K0;xbMkP4&%sld1bg6rU>#1QKs*Jbu^skrXZ>?$)* z@Yn%t%MRI;{aZ2%Ls*v!C?O-JIQgmwP|SWU`(U;QH&AJHp{cI5yB6YC7kjE4`x>qr zgpJ>b5Ztwx-9m-%wVuL3P0K;ga^60>f<3{&g~8QaLE`H*d^>?AEfq)wQh`(;6-WhA zfvZ&@zX$4Km*#Y_OqnPZNCi@XRNzJw5b7MQDC|6-%7bzXDW~iqyjgsfKt7`+Sx5( zx{dxi&Ls=kF3A~qvi$jU_x#L%i>rkr{_&SV@rMWuRAT-1L0a~wm0ob@w(!iQMG7YE zFVQs*zhLU^j+SWy>*ix zt^z`9@p5Ie#28XxkZaj_P&hY9>N;j! z>g-pwHHhG@#q1U;gu5jJbS+*w7h=~Il!ICa2R#!U!n#~Q2^lfPsaCV$5}?hQT?^(= z@Y(^w*%1?*+DT#;VliPDB*C?7gIqapn@S3(D`f~M$cQOUw?1!28cm;6AQeajQh~2Q zf&71w7rV-*i)G40sX!`_3Zw#8uYg>Ag;`)Kuvb9Nr_Q6h;iBN1?GjFOZICPX!J0}6 zs4Ha%D9DH@PMZr`_sj*zO{*XTnH7fIzYR28g~{JLY~~4bCZ1WKJyRTRectw(Ogt4x z1yX@jAQeajQh`(;6-Wh+R3Lv}cBGn3u2U1e60lrJ9a%;y@Nxx&ka%BZvt80oWVdVg+qls5_PHvY82kTQWe?)X+JfzqNXV)L~sNpoDbI)KHv!RRkzzC&;WYtlN~z zL1p8hX+|zMw3Eax#A3oQNP=tAzBhD`>oW89vlUb|-Bo6w*uyUwplM$WoicBW6)L3O z6-+wJ1@sK{hW4Mr5yE5kx6j*R#1HQmZHt7BRdtUlRj$mjR!2Nd;1YR3H^d1yX@j;Cd9u_3aVYq8vdIaeRpNt<5u` zL7Itoo2m=0T^r7y5xqCAIZT!TQb&F}U8!C9XB?ELV_iyhMk?IxfDrOFXldHLc zR4&(L{b#G->Ut>1hJ+JMV}=cKU1r{j6|`w#^BOP^2ft*1rm3NG=B-I6q;{nY0R`!r zsi8RestAyqRzV0dD-681?%M}xTC+DL)URw!*Cc-}$mhnd%05r5=F2SZ!o-QEKAgBwfBf;_SVatcq;Ir6v+GS zA(wOJJ?W#|Zv~|hYO_PM-{2V1lFf=iu4Rg=Mf7$);>rka8lmyNih)A7TQWe?#86+S zxL{(sR?I{23hQzKC8TQ>syO+o2vE$vO!|V?x-q33R5lJ;Rtyvz+DT#;Vo4W!=;HC8 z3n#kvf`W`o;j3M{vHAJif=KH;;km1mf{d8rga2pE9ZIqc+rUI!zDv%1K0;xbMkP4&%sX!|5!4(kt8{Cu_l46kSGW)kw zT=!^pl^G~_>;Sf9hiuCJEt!QOtjh(IkP%ayd{qP}W?%N73SRHF5B8W_sG(hHb-}f3 zgIqapn@S3(D`f~M$cQOUw>xhO<)@_rsX!`_3Zw$5Kq_#<3gq`dUF_nWE|w`1r2?ry zDv%1?hyp^L?uLtkY_>}{(X~OY+y`qaDWI;DA)p{5rZ{abZ2iEn0J&)u{*;vat*6o2@|Kqc01AEae} zTImIcZVS&`TBKmo{t{jD@C&Anp6O5K5fyxD$*~5J;mPHB#@5T<>1|D-@c3OmW)ObF zWo$wpBO0UrHq3RQf0z9eb-!F=p&PgTMw<)t?>CK?M8V0w3QKtW+1If@vj#mn1HF@!D&O>e3baWFCWDvXHF{7vU1Gc&e)}|D!_Gqvp zB{r?Mf!Xj_L5`_FDv%1K0;xbMkP1W!2td4C*({_Yj?MW&t_$toT4|dWHY;QUh1%Vc z0lF41oeQ!4YOTv^4uVrymkTH%Bc?d{st8cbPLNq)n9-X;>{qs?!vhnBcBRz?*RBn6 z<-BbwDWI;DA)p{5ra1YtLx9}03UWpBmU7Zkfm9$BNCi@XySw~6lBB{r(53#6Pj=ZQjkr1oaovh zSI*m}k^<^V83GD2Vv5t|!q(r$2#}jrL2~~V71C0HR3H^d1yX@jAQeajQh~2ff&6{h z#V-2kVwo~gD)3b*Aavc{`KT_qHjVw1L9WZ}gNYU7@A&X}PBUR}O9p6~8an6mw^omk zI;_hDl#s5O8j6#ziU7sz1eq0v^#ifWL1p8hX+|zMw3Eax#A3oQNP=tAzBhD`>oW89 zvlUb|-Bo6w*uyUwplM$WoicBW6)L3O6@0*dOKkrsoH(sg(A(#2ySJJ|$QHH_n3f8p z0;xbMaDxggZ~oU)xlAlYr*ZQJIm?!}`dRc{-kH61lcz%}@R1bA`|TlDbLKrM@3+n+ zS~iy)vkx#4~Xxt1BFWQ=#J&Ko~* zWdwIEX17ow+$|ZPYg6{Y$SMqBT`r)6jF{r&t0F)#`*O1`c)fD})*^-Qc9PhISWFlO zNpS7jAlLZ3{gm?-(bsvx^H^iho+%FQygdm}%zj1lmO>9R{s!<>8Gm+qmOacOzGvdh zGX661JKEIVUfox&Z(l9llfd%i`QcAzz9t5Wo!Z+#{&YzNQh`(;6-WhAfmGmS3gr6s zWqSGE#Xo(_*$=V4wRtAUK{N4gQ+2_$YlB?l`(TaAy2aq&?)aRyl?)U-+>!yhmixDN zibz%Cu43jOIJufDNab=}?(PasFCsYyv<4=ln@x$@6=dgppcwMshGqPU!mVjy=q_y` zWO-{4p1UOjG))biGjFXPA$3@n3n(F7Gc^<^Uljq0*$FZ$3<$VyAEaqUE;zK4#4f}h zZhUV1s_gT`YQD_kE?m=DW}h2>Pn+7?dp4H2Q-M?<6-WgJ6j=VTHQ;$67nztxr*Y3* zCoD_UX|?x=XZF_1op>tnp%lpb?ID+Q<~`}7+;0V?5h~tosxG)TjlGdUu4RfVeEy!y zy3x|8jNqn`C1y8J2zN^cXqp(h-w1NK6rx%O2Q4cG3Jxw;2q;L`j8k!{)ohXo(B{l~ z6%>RZv%;_ggtI*><{&t=lf*8>Qb+8ei^qR1oaovM3NkK*&)0AjCO>~$5NVZz=ds41 zJyRT9%})XpvlC=i7}k1}gUZH1&jg2dlGx=uW0yMMNlOK8Ljk#sGPBB5AQeajQh`(; z6-WhAfm9$BNCiH)0z!X-n-W7(402s&|CWmD9?h;Y0|k#Az_#p=P1(OCvoM5pxquQf zVv3WmiU7sz%l=cr>)rOj9&-ydv@5MHxOQ!jE9Y%fNda}G3;_ihF~#Y2=WU_6lBB{r_F`sVie8_gPT@Cu4Vt$N)hU5S6W?g?b;w$&fBJv0_sW`0tzx>iqlQc z+vW8$(ro&q0@tB{)cgK*)RR@D0wW5@h*SEc0@ta4QkK8k*?n}P+rh8nT(XeulAM7j zOS?~Z&(Hk#p^k+k{_&SV@rPdwRAT-1L0a~wm0ob@w(!iQMG7YEFVQs*zhLUcYvkS^OXu>9AdreI5HVYtSP_Yy9C^3mYyA zti5f3hZbeUevfq8bFBrR(^Z1#$*EUYtZ_tH&#PVUO*I>?h8$~D{V5^&8ZA!svj|MwZV$*sXm<^8=ijzM(1jtRRAXhYR zDJLxzNCi@XR3H_&yUYI%-6lnSH*sX!`_3Zw$5z%&H}=Jw7KkJT`5CAK}Jk*y7hf9p$S(Y1=+O6iLMQD<-BbwDWI;DA)p{5rZ{abZ2fJF0J&)u zB=>JoAuSb11yX@jAQeajQh`(;75EAj$lsS;?4qA8mMIgZ0$-&9Lf7q`kLrSJ)7VcL zvnjt`&bG!q85WPqlrp>sZeYxM}J!@68R3F(@tp*Z=f2vE#UkXd0^KM<=N zR5lKpX5@lHJ4x(9EG7(tB)B&1dqW4gE;DaGTR~ORU1bJ}J^YdZn)b!eDf6~ip+f3i z!3X@e#P*-UiPI_ty?x%cd#g!=Y+?I=X{kUekP4&%H>kk!=6^kv%fwQ28aHo{vut^* zpGDv0o!MJAc{-#5A4!3{-yU)`XWo#(1adyzvuPMsU|+b_*54-I4*iHf0}-till1{m2zDfBSoZvbDF@n@%J*~2X2dnV2-<1Zt>qfPDY)qUmq_SMoo2`o>ZAO3Xa zYhs|-sl5&4PnT366-WhAfm9$BNCjS|K(23JrkC$s{L{yr{SfP0n`eR?G!ySORTo^l zHpn%;57wxxTMQ2Fj?Z~p$w0xwEg7I|xqo}7h*UN1DrOFXldHLcR4&&A4@?+b4+Ytf zaH45U#zC&j%v-U7HZ5#kod)9ImkiJ}HFVCrH3@~(u9P95AYC&x6enL50dmtS2tj6r zf%n#Z`yfqg_NIjTm96QTG~QP+PzZNR256cX>gyC2Oib5`c?e!%T`r)6 zbj?B)Ctno-irJS*U+`Ktrj&!q#zD)9fr3LjN$f%_>0%FEJpOaxMAu$WkZ~z|wQDyv zKYv>gX`LrLcXd*b5mTI8%})XpvlC=i7}k1}gUZH1&jg2dlGugVbGq}B98!T)AQeaj zQh`(;6-WhAfm9$BNCi@XR3H@?S3qzb+>{t%9pt*q{w)>PJ(^u*1_~ZKfNj|!o3ejP zW?=~Hasee|#1toA6#xwJ^Zr2Qqj=HVAi9X->Z z%p)rJ)RJQjBEyr*^Ng*Rzth{AMB(wfe9R#Hh|Ac7K1MV~{cV`*LjNxNC+dE=#zHr4 z`;9gi=-+P|FNuPae-)PS_{ZPhc3!G(!5R}jLGFKU%}v2GW@k2-6^0qTDa4y8$22LN zUDNR#yQfONPvj4K=>Kf^#U2m#xZ^7<_+pRh!pXK-{2&+Uuw9aU9s4tD&?7}_{NY&( z8!ij1y={Pp7G=eLk968|tp%UcRf6crsaIF5aYR|qt6lF+H5;ym9BYsWGNnbbh&uzKP?|U^$d+OQzey1CO|u%(CU4Ig7r_JF~ZL@`D_>`M;y-JLZ0U z>YR9I?amjky{4!xU9nH*F~}tg*}8C1j{R5x;&mFXc5rZwx5Xa~QP3TG2o&7A_T96! zmlCl{QaHQmk`qm{)s5odbA`arZNOGH+iEsR)X*U9+5#T##ZZv0wR>BWC_J0W>b37? zNQYG53Kb|)@bgfS$5 z>_RLi41*-Nc5RR==WSC-0d=Je0RN znJ5)V1yX@j;OZ5StFJH%Oa=A|$obTHbT?cSe6wA`iLMQD!NTUXzKZ0;xbMkP4&%sX!`_ z3Zw$5z>x~%@5_!u9+H&ldp;Z#q0!`6^3=2QaPw>95l_y1&4N$ z*o9b37zRmjZQA#S4su;)-hQ@%s;0Zj3>16#B?C0=i=k8IZLvay)VqR7hq-{Bq2AE` zQ#e9+%>MRyTa0`lN$f&_X{kUekP4&%H>$w$=6^la%fwQ28aHp$vut^*pGDv0o!MJA zc{-#5A4!3{-yU)`XWoi7O+xYcacp3gK?a09~814@OpD2{`S;k*Ren*?y+pGKHo^VyRtVX8PBvV+8w9F!g zcjjwipzbo@lP;-1Dv%1K0;xbMkP2Ln0=d3D;#!m=NFt68vA(r=CNxMh@orOf!L@6H zT+6?h6h3!P=D&@fxUz0BZFWNi54U81uI2viogz}bf?dVTL2z<4SCGo(y5NBcgX^In z8xl@5jTtt`b(wi9R?wz}&1=9w9Q=|2nx=-%nYSjPklK|p1QeueriS9=t0F*dS_L7< ztT6E2x^Ew(Y0ci0P`|P@U6cH^AfFq*D*HUKnlH1s3lnFS+2_XJ)28m6GMHS;)03kS}_m7E3C@} zl#s4jsN&?SB0w?wGU*Fm>&BFFP}w+WSus#>XeWtXh$UU@p^L|VE}ZDv3kotWg|Bw) z#^&d53nH!agy*hK3Nm7fldJhjfMRxn%nHL=k8)7iIOv(+&`uJ&5PME{ev(5fkP4&% zsX!`_3Zw$5Kq`<5qynixDv%1K0^Yq)L@Hhv>QaMxmX z3l+lGdI|?MEeAczdHd`N_5=eL23K%R3H^d1yX@jAQeaju2zBk9;l05 zn$yKHWujCd6-WhAfg4dksB>^rVyLA-uH3(EswtqZlp&xXBc?d{yG;V*rd1Gv%nHN0 zU#J{ZHV%3wIJA?*F2rKOFi3)H*9N(A-ZqsKP*=(jP>>N*oa#*5BoUy^nceg>hl1A* z5YCR6;M7hMyAZp+e$LNxNCj?1f#tq`E9GXLsX!`_3f!~;O7;F~XSamuHu~#0mn>wv zBxm5s^5@gt^E3Y~t`?5?$6p4;A0jYNiS^qDY1yAvdcmRF!ZVi^DVVgsMAtn0f~lit z`jdG?1)o}StU+XWa(SMy_40RmTazd}ewU9KgdcGko6yII#;Csyb6x1)W&cFoFV|S; z#%;gR<^uiuP2(j|aPqIh5+48f``gY-)h$?K!Y9c6&#k#Bc*g9^2D8F2qc??kGv$~j zg|llqo@4h^$@hu;VGsSE4Zqmq!5(*fg#};iQC&FMHj5wRA|19%vae%*W(|6zXpKKS zYhlA>fwi{{@X(^H*zb`}d#<(MbGk|pJvsI2iZzZX>v^^7y{Try)sSNiGN#5fsd=I& zr)FSkNbCW#svq+MIU!@U>^(zSMPYZ8TLQ(3+C z-3;lF3S6NAzH+^vtQNLAcDIV zvsv91lWW*GwTFr(_fHr4#Eto^WYX=BtM@(>P zCy8B%#e`vy1lO(&a^<{jDk-3@lp&xXBc?dr`n(-!G<{NmR3H^d1-=Fa^8ZC%>?)rw zmMIgZ0;xbMkP2MA0&?{gW`U`|UI96uI*;y#i-K>qOE}TBL9W~fYbq(Au9P95AS0$Y zZ7yuxGZ!E?t%49_Rv2>sHqdYtCV%g+nJ3JdcxHk2OmVpNdE09;@l+rcNCi@XR3H^d z1yX@jAQd=Lf&6{hk!m)%PEGVmz;Y#ZWErWz%M}np;(e9Pc1f3=eZWDk%k1BZ6|`xg z?o_hNW+n`7$pB4LL+5<{*6I;bhjqDt64EtOLviv|5ulizAhW`-Zc{1;m5qa@8M)xl zP7=EiiwVOZ39e21-q1m=%go!)R#4S+SDArg55Hu9rhPGV%DgRBsE~SBFzGNC&@n2Z!RNx~ikoVg|uI9{pQr>T!OSEh*ImkPmn)yPKSv{3m#PH61O$^js27Ed$a>mai z&2Wi}J%Ww7;eH3XmKmjFjCZQe8$WSn1a~cFw@@M6Eg7I|Q})5gDhy#=E}(>rnBwHC zB0w?wakE7B8BjFlGtSle-R1!y7q#Cj7#D3HC%=Hl=BvmRylYcYYf^m#lhA5 zBtS9yRm@vTJlOafz*lAb+38vKFpKz}i8IUi%gFC&Q+s=LU)&R}%9hp0l$vA;tC5yj z#PH61O$^js27J;b6-WhAfm9$BNCi@X>ro)rw?|xyas)}l@gdf?HqV3xX(rxnsxG*8 zZIEmE7n8#0?#cYO@e^0pEvC(GsNmt24A8aQzr9mLs#mb9m^lbeuI36-xm*`KFkx^# z6l6ofiKa2b2DvUXZ^a7Qw6J*%7>I*kGCa^N>#4~&A1t)plM>LuTxwwFA$Wy# zxquSVH49aod{qP}W?v?K!E4=^QVuE`2Q4cG3J&cgu?w-Ji#>Gl_|JtCU3)=6#-;Gp zuHD%D{B1#`b)N9t)k#4{OmT8GKM7FGPLNq)SnE*^DjNqq6CBz}Vi#i1>CR7bNCi@X zR3H^d1yX@jAQeajQh`(;6-WhAfmC2z0l{@}Q(}m9kn1x0w^UsBXm*tuD0u7uwq=KG z%Kj~xg(0lV1(c8xQ=EKN1Sn>|mVGeWgBz%{y3kbD+Fc9rtBXBVj(rW+4Z_B6Lmk0;xbMkP4&%sle4LklzD! zu}gEhSf)&r3Zw$5Kq_z}3J7%$Zb}TbG{}|vw@oz#)Ri&>6lBB{Cx5p|fZVhSLXcTu zSoaH+gUZH1&jg2dlGueS z+5y7Z5fhx+Nn#gb*VoVac@C+-tthbE_iv@#tTPoz1yX^VRzRuVU+wIcFx^If9p{pT zY?tH=JX!vHx_f@+zs1$U5&!thp!h=s1}d?B`yeg*(@HNmbX$1l(jo&3{Ni4Gqzs-PH$@xg~#vmF@x|UE@Kn=7||H@w_&ae{k!a+sQcv_ z3*ETwH`-jFf4^zGBnnRcRanB~AAf(_d8xVuYfShAx&OH}HwDj_o!MYk7-saQ5O1a& z)1+{AO~-TWo+|l1kw5IA|Fhv2dpy|Vj<2xbi#@6fC);N6gIuJ;c1iYi?9Z%0j})!( zhi5HpxGb>twgDbmlok6u(rM4N7JN=u38E*bUR|-q5oJBEcD*;%Y`7Y7tU<=qm?kw( z^yJj+ZB5dsBO-p&`Q%v7j_G1Nz*J-%g!NE1&7JoEEL3ivSP;l?s zchA;dO2jTn;q0bMPBhI{H;RML6#_%I0bAW{tJx$`LxZ$y3wXE}LqWRM?rlw?@N6op z*S?z}9a4cSRG?g8O<7VZkP4&%A6EgPwRpL*Sz-*SFvzv+JSd!-By}CLE_L>++8RV~ z*J5@H6~f(;0lF41oeQyR3(7&QgM*$44q;s`poEN=;#8~Ia0$@n%&rA6lBB{r(2)5BaNm{Dv%1K0;#~)pg{h=$ctU& z)5S7nqEsLiNCi@Xt5-m-zQQap71%2v=Tqm=-EdLx&2|YVx;Ds_`(RBa1=N)?1QcY% z6sOIFt$XGId~j6?nM=4&)-@-Lh7(C7f?dFW@;!-zA6F~vlC=i7}jk{<)E^0&@>|# z9NI}@7h*AC7$m{9Y2O<<$aR@{``HSrn(ityQ0(EC4A8VMhEAEc#R?Tt?+PXz<^p<# zdPDn9;RxX|``hPjG4g>Vu?q#Jr2?ryDv%1?r~=EI|MgTa6HC!)+`LiGvgNIQ7JZj@ zW^di(>5vM1Bn9$*d&t$Cc~8pwt#gT%%_RqUr&BXuNHVLZGK(1AnXid~y32r1$3@Qg zd88RGaj{3RF*n@rAlEXZl#KCC)p_G5u8iQW#q1U;gu5jJbZyE$7+HlOtjh(IkP%ay zd{qP}W?ydB1+Q1`-&&*)-cAy`Ea5LAAz#;CP>^vce7=UOFrRYXBGM`c&tr{2d!{(J znx6zHX1|JgONj>?e*^fcj6XX)%N}MC-!pM$8Gjl19c^lFukMR`!d2O_8ktg)Okp+B zGK(1AnXid~y32r1x}*ZBKq`<5qynixDsVjtiv743rw^|ULkF1R+0$vDV$nRzQ#kbi}iw+0;i zk^!2ghR*rDgGnf)cBKpf1?ifpp*Z=f2#}jrK?pJ{3<$VyAEar`-jq6p)tFGLbzKpK-0v~{YH?>r4ZFRIA~chP;hX$LO?;fW}J#st!9%%fHr5={U-$> z$gD8z0O4%Uia7{Q?If`av6wInlHl64L9X$6o1eceh`!Dfp2r%4_Dpeb=j};=V)nPs zTlj^cog{W4HZ2uM1yX@jAQeajQh`(;6-WhAfm9$BNCi@X*C-$a4Q@&d@egv1?}IgV zmWK**cYMy5mTIeRRkzzzoLDxfl8|jO?9o^wGh9$ z*i+@$*RXC_zTx){ZFWNikF}n{K~2j+&vM>AyMjHzz=grpTtPas0hhE?AQeajQh`(; z6-WhcT7mo?sEb{`)5S7nqEsLiNCi@X8&N>0b8u5)sHH)!+`nzADWI;DA)p{5ra1Y# zO#P*`t z5unYP-Sjkvg4YfZ&W@Pi)J_t+5Sx|?qynixDv%1K0;xb#0R{C}JG&)JlL+wFaW28% zY?nkh`(*j^>F)WN|AKIB+FypoA0jYN3HRFvY1yAvdcmRF!ZVi^DVVgsMAtn0f~lit z`jdG?1)o}StU+XWa(SMy_40RmTazd}ewU9KgdcGko6yII#;Csyb6x1)W&cFoFV|S; z#%;gR<^uiuP2(j|aPqIh5+48f``gY-)h$?K!Y9c6&#k#Bc*g9^2D8F2qc??kGv#)l zslo%hr%Jw0x0-{OrtN3 zxQ2k7c;~BdzIg35MRn<3wv%}ba>+vGuakIH*0s&qb#rD7S~%h#ye2gE-4PSx;Z=U3ND~#J}`6}u+>elHl<*-M}r+Hv1z@n7govQcL|b~3f!6k z<<<($dQ*W^AQiZ21%&G3<;rG>G1TZF*M;_Pt>jG$be~}lP1*eN;%0#I^Dv%1K0#~nqTz!RE zU@EXzK+dPmV|(YLx|{=g!ys4ggEfV$nr}9o5BPY1WmPiY`aYP@#Nb@RwQGZ1Id7Xv z3aBe(2q?&iDNeUOZ$}zUpHv_fNCi@XR3H^d1yX@j;3gE{LpE1$`TMeqU82*)GG(Gv z;Hy+X=-SRY0uba2+-W1~adab{9!7D8lNCi@X zRNw{`Sl;}vr*fHCicaI^je3^xF8rQ0v$yx`D|4p;7gr$fw}(hR^Pcn}?zcxb!M`!D z%4WWhWLBqT7BRdtUlRj$mjR!?i_KfXi)J=EME?E`u3a1CT4t2O$IC}};>I>ABc^LH zyM+qjep-;8`8su^?2&>CLs*v!C?O-JIQgmwP|Qw{Sz&nHJ{Xb0&`uJ&5Q_=JAPKHr z8{`_Fx1Zu^&@BcB{|YT{4T6VTGCE(MD|MW3uKg#-6kfXixQC)ED+922XK3MMG7DQk7OL-n^4B9ir!QUMepqTxN z_Q3`!tu8dxG$v!aYaxDhv8T$huVKAVZ`i+;CcA++)OrdBH7y4%Qv>Jh-2<3 z(lRk{%05^zV#wpV+=7*mu34z!ynS{ByNa2E;N)tqAo2A=?X*-N6-WhAfm9$BNCj?Rf&3n*i(SLh#WH20 zR3H^d1yX?V!|*;f@{|XxpLk%l@w4{$`DYH5mTJ%Oxq+8pv{@x^fZTp*A5WQj+o%o zP7=Eio0bZs0;xbMkP4&%sX$W!1@%`uyCqDM2=Lc&F2Ubymqa-GWcl;y?)jPjf^cox zUxvmXA}~-1_uB_)*`HQ=!J*s2GnW=An6$q{*F5}!siSB5lX*l1pIUOPL1cJxd7iQL z@^^Y$lPElXmya2QA8{F*(8q|zsJ{(!UFhFs|3uv{*I4MrZNJgx0{#0<<0VmW@~^@Y z9{>3J+s;eXEm&j1C&>NJt+^?9#_Y@nv%)Z=H-&gJ<#wN`!UMafO1@9z4}0kUZ1}|< z5B9j@D=he8kLtq7wpsij7wNEFl6@WfGi%TzMQi-wSqmF33#`3ufQJ@k#eR=;+H=*g*9SFCYFSD*lQimxh~IR6xr@Guwgva|_lkDGs)}IXmtO zE}&*UFmxNR)lINArC_y3gB>ZcX}zr%R>|UbX~0mUFr=jdsX!`_3Zw$5z}KjN&~&_9 z*(@=J^cm#3(EhEJylJ7XnU^J!(34v-K-c1>b0OAWt#w(=L2wG|asee|#1toA6#8kn6j;{TUti0+7Qkf^*NHbYZ^_ftA;}?g)FCO(xx-G)d`%1#c|dP+-q)}(*l|!0X14HTxL+KZF2x?fCg18} z4vN<%$u73RMsU|+b_*54*Ln&E&o>G=7uuA4FtQ0lSeFYZAtRhP@Wne-=MS9bJ{Tgc`%XNMH3sdO;^1p8Kr#Cj?Sla$!;JHwVuL3P0K;c)WA9Wx2BJfdDW?K@U$)$P`tWkS}RVz<^mM66J%Bx z)_RnK%Em#{j9hSNCy8B%J>2-*_*L2GiPe0W#a+0jv&=p>{+>3qxA$x;bEg8SKq`<5 z3@E@#^r}oIR-@CnXRZ^LCF-==d&Dz)>*Y>775GpJ1Ez zT${$;$RO7;#TCA~i{i#MDkHdQWQo}g6vF+qAT1LEr|g3jBZfS#%Pm+5>6(QqPQEGv z6tfd#Rv2El4@Riiqmv|dAr=#ccBRz?*RBn6jnCWs{B1$>b)N7%))=&Bii10EPXZLP zzkS}qFAVJ@u?w+jsX!`_3Zw$5Kq`<5qynixDv%1K0;xbMkP5s;0U>B`Q(}mJkZXJ& z>@EAZRP65foVS$>6g=FL0lGG2|CX%65Z2`aO2~*QPQEGv6tiE^KG;B|)rF?I*6vz} zUtR2}a_nnZH!PXr1;6!-ab%JSOKMWf3>q)!gSmGb(~8UvR#rh@MQV(>G2iz-4rJQF#0=A2SF) z;xaa&j}eVge;eky(7((6iMn5|vCxg%exuC=`uCg0OQPW9Uxg(+{_*#>cLEyLrgFHRM=>jHxkAYM$uHsoC3_q)|si{HF8EUGz;HhX%``Y+Ewj9v*nay=0ax z_sm)JUEZ0!b(0_Du)J9x#P(tueR0G!1mwg!Uxo9}qTd;OXaj@0R*>P8J0X6f1q1%A1Zi2Nb z1*<(8>_~}C>utTTN*2FM1BM!fAuSb11yX@jAQeajzD5OvrsL(xW{EMR&mh-@_HV7^ zO$&9+yeyG~p4^fFx)v{;3$gxct;=c-f>T(R3n(EYra1Yk2vE#UkXd1v(VIf-SGK0Z z0~3aJrPT%3t_^bKylpBepsti5pdcfrIQg?ffZVhSaz*o&a?(N$HzUJbE=G!|T)dknC4RYl^SW`&>b)^ge z1sO5L>DKqbgeF{p6lBvLC%QJsmGic#q=33ohJb>MnBug#u=Tew0_3Jukmdck@O=G6 zfO1gTIOv(+&`uJ&5Sx|?qynixDv%1K0;xbMkP4&%msTKuUv@FYPZ!ISiBf^DQURgs z!A*&-KgZ zL1u;FmHS}L-V|cLvNatZm@u>}tuDAW?R!H9xh^wrKU+bu4hD)n{E`8h_QlXC^R}3T zLTXpa5Kxe=wf_{35bvf{khjm?PUGec za+WP`^|R=^yfb_2CQpY{;3FxJ_uE6R=FEFi-fx{t#Ad}+*~}M`%<8nvB8GS7Yhs}8 zGT<}hB6!gZm$=v?*q9sccaUqDQA);mr|P_68COPd*J5@H6~f(;0lGG2AB?QR5Z2`a zO2~*QPQEGv6tgck>w?$1p{g8IHV%3wIJA?*F2rKOFi3)H*9N)9=k2GQw}`&Z6Q0K! zgZ4~us59*;*GYh$o~daS5>Yh0;xbMkP4&%sldw=$o1{Z^zyxnfBKlSA7yUs4lp6ZIEkxAFQ#n+${zNcgN?vzhj`_;g$^0wcNkGQ$(s7cNH@S!O7KJK`NK) za(7p7dJ)MvpfxZV-E2zKt{^+-1I3WKbNH5dE5@@+TkPZk^Hz;z&b&1V#mIdrLqI{g zW@;!-zA6ILzJlgZ@Z!C7-#$pwn!PEZer0RACi!bYJ~w_<_IYA8UuJO^CeAFg&yByQ zP3`SH8_V3OKq`<5qyhs9EdSUV@Vt4oqG(s`wiaml2jv+1CtQh24rnr)^v-1&GMsU*zjoA$p!rhVqnkI(sH-cO) zg{aoSLCcDPf`iKy0t(VK<5Zk#HJc;?v^lfxKPd=7W`$t~2xog%%t3H!Cy8B%#e`vy z1lO(&a*fa1{QPY}^mU%_Jk}VrXNrS6Z%+agv%h`b!Y>T%B(cj94n^c_vpiIgyW?}-RUsBiLSM~7UEYId#W67lI(uVkn^@6 z(k1q9?}SY2vpij%+hC_rvn1-YVqFrl7yrPT%3t_^bK zylpBepsti5pdcfrINkcZ9ceUuQh`(;6-WhAfmGltRY2+6U+s9mn{KQ0>o}JzOuHm! z;K|bN)7|qk|NYi{;fR0yWl;R#7Xy`8zkQIF{b{8a9J(z$b7_%+N&8E5&BHI4I(nu* znMYLcsU^o6M207q=NVfsf2X%KiNfP|`ItfY5tp$EeT-;~`r9zqh5lXkPt^T#jfHO9 z_8V<3(7)d_UJ?Z-|0*ou@sGd1?Yvaof;A?5g53Yynwx@W%+72uD-1JwQ;0WHZvQDO zT+HsNlJ67w!yfuS8-B6JgFWu}3Jbp2qq=aiZ5BVsMLKMkWM9Yr%o_Aa(Hehv*20F% z0&8y@;GsoXvEL(|_FQYh=X8}IdUER36>A((*7IuDdsEGZt0Bi4WK4}|Qu9PlPR-ud zB#k;E;y0aN?xJtvI5b!eW!sYJ_VBTK`?8BEe!5tuOq2?Il?n)54{l0y1!6yCkn1x0U}6Q;J(^u*2IAnC z4A3++bk65*txzHLuHXaydzY!9aQmtVkegOP2r?@SuiOV~_NEZ~m96RUz=WY)X?4N1 zY2O<<$aR@{``HSLbuduu;g<~1v@eEEnYYCx6jHlVhJb=}t^KEPgm^ctg1mj+wtK5d zglujd0BNZ}Dv%1K0ynC_^5%a%)yu?EbQ(8rkh5%gtDi;R<(=7EH+edw0v}0%yx$&j zHD}(F@_y@FA~q|o%4WWhWLBqT7BRdtUlRj$mjRz47r~2WxWvUC!N%Nhzk^)Mj8Zbj zJ5}cm%eXRvyB4!ss1WX!4A8YH`(R`hhOjOdP(nsbaq?9WpqPERSr@$44OQi!vT@Ke z!J(Zbb|DrMhCvcsyEe!*K5sweyhZeNp71=@7_?`KL!D_)xlRJ~^h`~wAXhYRDd#Zb zZvbDF@n@%J*~2X2dnV2-<1Zt>qfPDY)qUmq_SMoo2`o>ZAO3XaYhs|-sl5&4PnT36 z6-WhAfm9$BNCjS|K(23JrkC$s{L{yr{V3~OL5}v$M|HuqYlB?l`(TZo*9prKbz1E`;+ehmawnb&d?*F-etXE}oOw_BDEC`IX@uJB5c&H%xHgTwkwLCy ziYt7bosYOOf}2KY%x<6%?v@PDG%?iIDK40pt`+kTyu!L%KndxZg(^EBz7Sd6NYxB)dknC4RVdo+x+}(LG*Q=@I2NSv}cNgJ8w?{6tlm5-oh^o z?If`av1zG5Dv%1K0;xbMkP4&%sX!`_3Zw$5Kq`<5yhZ^bXmC?vh<}i4d>^c_vpiIg zyW?}-RAOKf{d8rwckfP}w->nc&b)61xzK3Bw=>u3a1C%6Z#VQb1iPLqI`BOmV6+ZIeWR zHfMIz(;Nz3J3u%)VuDjUN$f&wS}KqVqynixDv%1K0!;-J)L-rFmM~2sz+cC?1b?$# z65;HV<SbZdB)bu-|1~lqVV`#K4uVp#AR$kA0ry0{x-~Yp?{bC6Lr5_W1$Q`96_9?4kd&;TL;6*yE0`u;7b5stYIEX7Phuq{DVe_I2#ftU-?yt?`FvEo`_f zu=chA9$J(Y`#sWW&$SkOPFD${C#POrvBnW)J+F4XH`Q#o8gi^b#?+W5HBa>9)a-3d z(x@XMe$)BoF8U^pLxbf|wk?@%4-Y)zUNXy;d*&?qF7M3Vy2%f6Sl+A;VtX--zBu9< z0&?P=ufqA_wbvBYrF+><<}t`63z@%8;#FDKHfPt(nKfwPh=1_5_@lv!%dWBzD7bg+ zyXI2QEm*sxIN0ju?6@nqfSUQh&~3n0H^JJJg4G@kcBI6n^|oGEC5zvs0Yi<#kd_Ku zivs0Z3duTBfm9$B_$m|-x{Q}AnrnBwHCB0w=aL1u+vMsEtSU)h=t4@?-^l~xyAyEe#`^R}s^fVxtK zfP##e;^fZ`0dmtS$Q8|7%1KKFQh`(;6-Wi{?(+XdUThYeE|w`1r2=1{0?Ru4>uWqa zzFh^R{`>HDd&tVaJ_T&vnw`h?&PR2@ziWeBxewM{qs? z!vhnBcBRz?*QR}M=pff+=Iv)IDAvJ1v4>wWK-0b$I%VD#lTb+QN*MwQ(zW)V!V%)# zv@zH(9<(D zt%6+9yrrDOjK2YVRmPv4o@EcSi0_#=vy8ut{EjxYw^#R->)TgL_av}9d4BlQnXid~ zVyE^tkUw2gfm9$BNCi@XR3H_2nF6`KeVJaqckxdjbM~XGZv{EpJ0H~r*RBn6jqigs zc9y%v;Nb4~ocDJO6g=FL0lJp^w|9z2RpYK=<{&t^nkz`>9JYe3cvCNsbCZQO)FJ%ZQNY_ja#mQGifZA8k9132% zx9-~qXI7bQ<@}bpor$_q3V4y=PyUI~BON0(rkZMDm&Uq>pmH6_iFO=3KEy zu)#5;C7Tt4T+0+!GIn-8;>rka8lf?}fkL=jGC|u`M1VGD*8L|1A;_#S>;U0x&x$z+PVFSI3$d6m43gm5wLz}&d7GcVEr`C( z6Q0K!gZ4~uaOdqwfMWKy&s+F~p`9dlS;C=6O9gI70lA@Cv(!`|6-WhAfm9$BNCi@X zR3H^d1wO6O5719WZ5{w-OBA*{;S9lo<4uy?Zy9pl7DT$l{_UNRX}vs!gEg&k za24~mP)S-UkP4&%sX!`_3Zw!ztU!Jb)Wt5|>0+5OQ7VuMqynkHjVK`0+1~l6F1U7W zkSq6Zn@S3(D`f~M$cQOU{@$YixoH*TD)zxBM znBsKn^LC`s^hpJ-M*+E>QnHd%U|0be_DsiA;OZ1mD)Lu5-tVT{_5V7~B@5Fo$r*UE zwEJ}T{LFv9HD5U5AAcDXfB3~fCDv~rq-B3v=>>;w3(s6yq+rti5?%A~3#N{q=}+bn z6?|&Ru?CUh$>n***2~}NZB3%^_+36`5PrmEY(gI+8l(O;%yprEm;DoUzg%OX8@K&N zn+x>sH;tD>!O6c0OL+X_?{7OVRkvV`37;VMKey(l;2E3$l zj)?e8=a;+an>Y>)mP6ULWV$^(@Q8cKEL-lGv*^3LGkfbMKgeNuvp$IJ#Wecjh-(PQ ziFdvV=Zn`~Q&gAkWjmS2AeSs;{yK?QWnJ5xT{maepoJs;!Q0}G1}iSR%0i&v-nH+V zOFg$>?ULePtDCdquHXV{<^w~w0bAV!Yf}nVdoY903A_+aYB?ELVUOE?I{nc8R)f@z; zur3!+LPkt+@>LO_n4KWA!Z4#Zh1jobO@{|24DCv*3$9%o(!gZ({_=O{*Zw`*Y#>`ilVNpt5n$Gr^&qBz7S-Efq)wQh`(;6-WhA zfm9$B_)rS4w!V7H-8)7pw6?k6-g!F@(65UGKPZ{L8%s!Y{L3NL2 zSDAr0_$320O%0v%`CBVgNWClgfdAfQYAD>kDgxxDRS<&A3d1Y+!J54(#C~OKIy^98 zXjfWYaBbT6h7NLFX5N0bf?^#E6npq312pZ6p;P8_oNSTzdgE%tNT61%omazGDjVf;+#9|)Xdk!K#>RZCg*((8-pDO1z~0j zPlo%&k?B(G5p43UF6N+kZIbL_8*Bu3EoQe+A$+Z;aPWMikaM9;*#{$=FobovfD$rd zij%L30LAPCnH7drC*`2BanLitp`9dlS){p(J=EC$D~LQp_JV?pPvNXxyRn~kGr0y4 z+_jk9LWOWQEkM^k*t|Un9lLTU2vV9AhIgB{BtO{r8^Bj({MqSQ_Arb1o{2Nd_{+%e zXj6N8bzi&&ugY?L`}}gud`&#hQ#xi#*kP4&%slb2&tVFNMWMVZsjeF)gVOgS1tG!1&v$tOE#8ZI}r9j?q54oH( z?@1r!ek&-AQ1Nb4b-}f1?2Qa^EmK_KtGg&}Y@;%Qn?{zH-9RDSPYcpAF>uO0STSPA zVi#gDVQ5!cU2yH%AlLZ3&ClN!L|^9# z&tr{2d!{(J^Y$b_G5g!+E&RgJP7=Eio0bZs0;xbMkP4&%sX!`_3Zw$5Kq`<5qynkH zYZMTI1~(;!_y@Vh_rcz>e@n&gj?Z~p$w0xwEg7I|Q}%DkDhy#=E}(>rnBwHCB0w?w z743r!R9anVs%!18h4|IQo+`(_hIPY|DPHhf&nO3rhCFRs%x-Z)>{?IZU`@jFuI0Ra zb_KhNnS^rVyLA-uH3(EswtqZlp&xXBc?d{yG;V*rd1Gv%nHN0U#J{ZHV%3wIJA?*F2rKO zFi3)H*9N(A-ZqsKP*=(jP>>N*oa#*5BoUy^nceg>hl1A*5YCR6;M7hMyAYd}3Zw$5 zKq`<5qynixQvn6_S3A2UOp^%k*Ksbv-)xseIQwMz^XcySng4=tZQ5Uk#vdXuPzm?j z2Wi=#R(ipq+rl%K7AcstzeLwO{DP^YXZn+QL9F!V(_;`1{+=OVuq{ zW5OrM{m-qrDR{>0%m%ZKf^#U2m#xZ^7<_+pRh z!pXK-{2&+Uuw9aU9s4tD&?7}_{NY&(8!ij1y={Pp7G=eLk968|tp%UcRf6crsaIF5 zaYR|qt6lF+H5;ym9BYsWGNnbbh&uzKP?|U^$d+OQzey1CO|u z%(CU4Ig7r_JF~ZL@`D_fH|vAgUQDAej<|+^oOtJ}aK3o$HAQvlUbd5Y406dr=C6}@ zRo1o5*>!Vf4O%$jAG|I8Xt3h4t1JWx?p^z?xzuwD)-EXywz@ex?g}oTWmI|Z-sX!`_3Zw$5K&*fO#mkk=5+i1Lf(&w9X#ds< z+q6*E%*$F(sN5|Xplk8cxe)8G*1D|bAUK6}xquQfVv3WmiU7sz1eq0v8NDgQer0Pq zJTPHsS6W?g?b;w$&fBJv0_sW`0tzx>ijzM(1jtRRAXhYRDJLxzNCi@XR3H_&yUYI< zd9hh=x>%-6lnSH*sX!`_3Zw$5z%&H}=Jw7KkJT`5CAK}Jk*y7hf9 zp$S(Y1=+O6iLMQD<-BbwDWI;DA)p{5rZ{abZ2fJF0J&)uB=>JoAuSb11yX@jAQeaj zQh`(;75EAj$lsS;?4qA8mMIgZ0$-&9Lf7q`kLrSJ)7VcLvnjt`&bG!q85 zWPqlrp>sZeYxM}J!@68R3F(@tp*Z=f2vE#UkXd0^KM<=NR5lKpX5@lHJ4x(9EG7(t zB)B&1dqW4gE;DaGTR~ORU1bJ}J^YdZn)b!eDf6~ip+f3i!3X@e#P*-UiPI_ty?x%c zd#g!=Y+?I=X{kUekP4&%H>kk!=6^kv%fwQ28aHo{vut^*pGDv0o!MJAc{-#5A4!3{ z-yU)`XWo#(1adyzvuPMsU|+b_*54-I4*iHf0}-till1{m2zDfBSoZvbDF z@n@%J*~2X2dnV2-<1Zt>qfPDY)qUmq_SMoo2`o>ZAO3XaYhs|-sl5&4PnT366-WhA zfm9$BNCjS|K(23JrkC$s{L{yr{V3~OL5}v$M|HuqYlB?l`(TZo z*9prKbz1E`;+ehmawnb&d?*F-etXE}oOw_BDEC`IX@uJB5c&H%xHgTwkwLCyiYt7b zosYOOf}2KY%x<6%?v@PDG%?iIDK40pt`+kTyu!L%KndxZg(^EBz7Sd6NYxB)dknC4RVdo+x+}(LG*Q=@I2NSv}cNgJ8w?{6tlm5-oh^o?If`a zv1zG5Dv%1K0;xbMkP4&%sX!`_3Zw$5Kq`<5yhZ^bXmC?vh<}i4d>^c_vpiIgyW?}- zRAOKf{d8rwckfP}w->nc&b)61xzK3Bw=>u3a1C%6Z#VQb1iPLqI`BOmV6+ZIeWRHfMIz z(;Nz3J3u%)VuDjUN$f&wS}KqVqynixDv%1K0!;-J)L-rFmM~2sz+cC?1b?$#65;HV z<SbZdB)bu-|1~lqVV`#K4uVp#AR$kA0ry0{x-~Yp?{bC6Lr5_W1$Q z`96_9?4kd&;TL;6*yE0`u;7b5stYIEX7Phuq{DVe_I2#ftU-?yt?`FvEo`_fu=chA z9$J(Y`#sWW&$SkOPFD${C#POrvBnW)J+F4XH`Q#o8gi^b#?+W5HBa>9)a-3d(x@XM ze$)BoF8U^pLxbf|wk?@%4-Y)zUNXy;d*&?qF7M3Vy2%f6Sl+A;VtX--zBu9<0&?P= zufqA_wbvBYrF+><<}t`63z@%8;#FDKHfPt(nKfwPh=1_5_@lv!%dWBzD7bg+yXI2Q zEm*sxIN0ju?6@nqfSUQh&~3n0H^JJJg4G@kcBI6n^|oGEC5zuBNLnh83Zw$5Kq`<5 zqyn)50u(P-HcO0{F~gWpN(!heWe6z9h$&9~><}O~ zt%6+9yrrD9R3H^d1yX@j;O;K}U*yGR!Rca|GEpj!3Zw$5Kq`<5qyp0v5SZILAJqld zt_^bKK3G#p0d=Je0R%-6lnQ*63J6`dcRs2M zu1#Y!yBriRY>{H@g^qz>zH0VSksriS9=t0F)#J3(fJ zVf{d?a!}bgXqu4=4(%kd3$d6m43gm5wC@caL3tq3>zqLpqyqzR=Ar=#cK@wcMHpn$TZ$IU{Mf7!^@I2NS zv}cNgJ8w?{6tiE^yrs~?jK2YVRmPv4o@EcSi0_#=vy8ut{EjxYw^#R->)TgL_av}9 zd4BlQnXid~VyE^tkUw2gfm9$BNCi@XR3H_2nF6`KeVJaqckxdjbM~XGZv{EpJ0H~r z*RBn6jqigsc9y%v;Nb4~oVS$>6g=FL0lJp^w|9z2RpYK=<{&t^nkz`m`A5^&s--gOVnw#_lRfq*2|rED)6Bc$ouUfmviPl>7(3l z1*H*cvqR+X@8H@r_C^M|mMO0Ab#^}D$_Q>6p)tFGLbzKpK-0ufU#GZWV!Bq$L+}dg zasefzYZj_F`Kky|%ubM5VR+p>7@=a1PLkM#SWFn&l~xyAyEe!*K5z5$w*}GHdBXEp zW6+){4(_}?2~f=b_IV4xFtn4zF2ts#0;xbMkP4&%sX!`_3Zw$5Kq`<5qynixD)1Tw zgrLDqi6Q<$uJL`a#?JCkLGF&vd0WZC1q!!hfUZs1za^_Mgmt-q5;9_nldp;Z#q3wK z4>nL~b)l)QwYwJLR~LJ#9Qzv94a;~NpWv>=>=r77uk{oTYFZ9@mh<-673>KHE)1^b z3KCy0)J{tUQh`(;6-WhAfmGo370B;_y4W>5T`W^3N(EAZR3H_&5e0-g2R9{#S{mfa z{oAIR0_sW`0tzx>ij%+FBtULj1tG|+Fs%E9%0Xr0pl5_z{<}34M%c zjQZO!*MxdI*C_hUE7>pH)qzM zg(LpK+v1M~D=xdrLZIN@weOlsz5lm!#X52nL9}muf;Av>$TA`zsPF;;hd3JvBvK23 zM7R+m_80Ug05QRZgnYt=&iI83{1F65re4o<@AOXfOmp5|@7}#S&3Lw}>UGuY>YnlJ z&X@uFMNk;%)lD#*1l&QZVk@ea0lm5@T$>_buiFedsDw1jEe@% z&nQ-gN(RUP88~|e1R0bsSF%fts$?s%j&%Q4L(Wo2*UZDUpdcqRWGEJ;eCgc5*S=a? zL{$TUQ86x4;o(vv9!54R0#z9uPAVOCEpqb!wj+z(!2%-K%}1I{V7e%kSghMwCIwoP z%A&$UQzITmc6A6;X15-i6RKN;Lm>lXfDDiUGTw6wJ0b&QfDDiUGC&5% zKr;pe$n$qTk_k)~r4ozx!Dg8hXiX}M3J*<Dw5A%Iwxd!~3^jfkFnz02v?yWPl8i0Wv@a9v}n!zU*j&zByV? z?1&6JNCpI1&)@k-CNP~9+)t^*I?jDC(SYnbKKMPSieP7k48^Q?)wcQlt;Qqhx)_(K z@NiiaWy8bBW<{VXqr*w1!!G_H*5gA$!-rW>aDl;mCuI}(LIk@?5SY%&N2;yFI!@hQ zYe0$9No1-N-RzK|n3WsVHmTcA0~K^V3HShyCC+$+a9hrainzN9n<^856_UXace)jB%vI-Gi8WM|M~~$@RpG`@ zIWjmeN*P^U1@30ZP%KK5`(Wr*uq(!8Dm+|j#KXvDMW8C94~umNt2^GmRZoHYd?#fS z_(BA`N)VVXN+ni(-G0Hk1?jO)a9rjX+9mNYFza?BP?gb7sBRJH!36IBJ}JR#r(4Nw zE8r~(rPC*;==k7=E$2ifDDiU zGC&5-oq?`z`E7)gPD__8xCSCn)!Si#Kp?=hi>m9`I^*G8))j3i?KhzLTNk`sd}x)zD+7h_ecVE{y_ALZrP% z#l8>2_4O68%(8)Us>o||my{Yux(9OMUnv>c(I-nk?>3q&{hL>qSKij*E;Fp$WC;-Z z#CaT+;53v?IF5=mB|>ZME^(e=g%ABucR1dAK09)5=hnY?AwbmZMx{7PAuW(Y$@P=R zSg8<0kjP04Zda-)%$jf#R{ts&>3y=A31N=0VqqplKF+aJgNr?&W3Vwan7b zyDj1Dw`aMzr}B8adb(LkQfb}_X9)~3urCFw*q9U(A3(IVaoCjsZ3LpAW@Hoa| zHtq=1H)K4G9AcUbirlaE21yylx3}>@vZaZ%Ew&G>dc@wCwWl z+Yig#dhIFeHa3LQuc$IMWEc~dar$fb=JmyFLuGP_WHWsPhF(IXV~a`XnMDE>b@jTX zNXHFuS-BUlY9swXfl9LWw=bWKm5<%E7P9~Hh1+VOUHk>zSE&7uo$H)@_rz&ahbD)n zOIS5-x^l07d!&7uJr+VWqAxXlw*K=LvwV^^no6>T;yHGoVE0HjFp=}sFI-i8A;)hn z-K#f&)g4x9GKl`RFdRK!anN$CFpj$>q9d$T#Mq+tf$W!W?wjV5EUc=x6-=kK!UhxKDv89?oGlizWpmhYAS<@eDl yld-zLh)tKeCg-ORVOrsfLyO6mtahRHqb&fj&zt+JvRb+AKW{(n|7-B`-~Ry?;^EK$ literal 0 HcmV?d00001 diff --git a/1ano/2semestre/lsd/projects/MiniProj_Demo_22-23/pulse_gen.vhd b/1ano/2semestre/lsd/projects/MiniProj_Demo_22-23/pulse_gen.vhd new file mode 100644 index 0000000..09e0c9e --- /dev/null +++ b/1ano/2semestre/lsd/projects/MiniProj_Demo_22-23/pulse_gen.vhd @@ -0,0 +1,28 @@ +library IEEE; +use IEEE.STD_LOGIC_1164.ALL; +use IEEE.NUMERIC_STD.ALL; + +entity pulse_gen is + generic (MAX : positive := 50_000_000); + port + ( + clk : in STD_LOGIC; + pulse : out STD_LOGIC + ); +end pulse_gen; + +architecture Behavioral of pulse_gen is + signal s_cnt : natural range 0 to MAX-1; +begin + process(clk) + begin + if (rising_edge(clk)) then + pulse <= '0'; + s_cnt <= s_cnt + 1; + if (s_cnt = MAX-1) then + s_cnt <= 0; + pulse <= '1'; + end if; + end if; + end process; +end Behavioral; \ No newline at end of file diff --git a/1ano/2semestre/lsd/projects/MiniProj_Demo_22-23/serv_req_info.txt b/1ano/2semestre/lsd/projects/MiniProj_Demo_22-23/serv_req_info.txt new file mode 100644 index 0000000..57456a0 --- /dev/null +++ b/1ano/2semestre/lsd/projects/MiniProj_Demo_22-23/serv_req_info.txt @@ -0,0 +1,109 @@ + +ERR + + 0x7f1abe1b7be6: ccl_err + 0x7be6 (_ZN15ERR_STACKWALKER15get_stack_traceEPPKviiPv + 0xd8) + 0x7f1abe1bae95: ccl_err + 0xae95 (_Z14err_terminatorv + 0x5a) + 0x7f1ace73eae6: jtag_client + 0x82ae6 (_ZN10__cxxabiv111__terminateEPFvvE + 0x6) + 0x7f1ace75df29: jtag_client + 0xa1f29 + 0x7f1ace73e715: jtag_client + 0x82715 (__gxx_personality_v0 + 0x2b5) + 0x7f1ace7c0b23: jtag_client + 0x104b23 (_Unwind_RaiseException_Phase2 + 0x43) + 0x7f1ace7c11da: jtag_client + 0x1051da (_Unwind_RaiseException + 0xfa) + 0x7f1ace73ec2b: jtag_client + 0x82c2b (__cxa_throw + 0x5b) + 0x7f1abe1bad4f: ccl_err + 0xad4f (err_sigaction_handler + 0x7a) + 0x7f1aaba42520: c.so.6 + 0x42520 + 0x7f1ab18a8f86: QtGui.so.4 + 0x6a8f86 + 0x7f1ab18a9c86: QtGui.so.4 + 0x6a9c86 + 0x7f1ac9dc9864: QtCore.so.4 + 0x1c9864 (_ZN7QObject5eventEP6QEvent + 0x94) + 0x7f1ab1465b3f: QtGui.so.4 + 0x265b3f (_ZN7QWidget5eventEP6QEvent + 0x7f) + 0x7f1ab187c47b: QtGui.so.4 + 0x67c47b (_ZN6QFrame5eventEP6QEvent + 0x2b) + 0x7f1ab190e089: QtGui.so.4 + 0x70e089 (_ZN19QAbstractScrollArea5eventEP6QEvent + 0xa9) + 0x7f1ab18aa65b: QtGui.so.4 + 0x6aa65b (_ZN8QMdiArea5eventEP6QEvent + 0x10b) + 0x7f1ab140484f: QtGui.so.4 + 0x20484f (_ZN19QApplicationPrivate13notify_helperEP7QObjectP6QEvent + 0xaf) + 0x7f1ab140aea3: QtGui.so.4 + 0x20aea3 (_ZN12QApplication6notifyEP7QObjectP6QEvent + 0x183) + 0x7f1ac9db5ac4: QtCore.so.4 + 0x1b5ac4 (_ZN16QCoreApplication14notifyInternalEP7QObjectP6QEvent + 0x84) + 0x7f1ac9deba5d: QtCore.so.4 + 0x1eba5d + 0x7f1ac9de881d: QtCore.so.4 + 0x1e881d + 0x7f1ac9de8841: QtCore.so.4 + 0x1e8841 + 0x7f1ab631bd3b: glib-2.0.so.0 + 0x55d3b (g_main_context_dispatch + 0x26b) + 0x7f1ab63706c8: glib-2.0.so.0 + 0xaa6c8 + 0x7f1ab63193e3: glib-2.0.so.0 + 0x533e3 (g_main_context_iteration + 0x33) + 0x7f1ac9de8af5: QtCore.so.4 + 0x1e8af5 (_ZN20QEventDispatcherGlib13processEventsE6QFlagsIN10QEventLoop17ProcessEventsFlagEE + 0x65) + 0x7f1ab14b889f: QtGui.so.4 + 0x2b889f + 0x7f1ac9db4ad5: QtCore.so.4 + 0x1b4ad5 (_ZN10QEventLoop13processEventsE6QFlagsINS_17ProcessEventsFlagEE + 0x35) + 0x7f1ac9db4ea8: QtCore.so.4 + 0x1b4ea8 (_ZN10QEventLoop4execE6QFlagsINS_17ProcessEventsFlagEE + 0x128) + 0x7f1ab194f9f7: QtGui.so.4 + 0x74f9f7 (_ZN7QDialog4execEv + 0xe7) + 0x7f1acdda49f3: gcl_afcq + 0x1a49f3 (_ZN16AFCQ_MSG_DISPLAY22internal_error_displayESs + 0x279) + 0x7f1abf15a23d: ccl_msg + 0x4923d (_ZN10MSG_REPORT14internal_errorERKSs + 0x155) + 0x7f1abf1761b5: ccl_msg + 0x651b5 (_ZN14MSG_ERROR_INFO8finalizeEv + 0x5f) + 0x7f1abf17642d: ccl_msg + 0x6542d (_ZN18MSG_INTERNAL_ERROR12report_fatalEPKcPv + 0x6b) + 0x7f1abe1bab0e: ccl_err + 0xab0e (_Z26err_report_fatal_exceptionPKcPv + 0x75) + 0x7f1abe1bae0d: ccl_err + 0xae0d (err_sigaction_handler + 0x138) + 0x7f1aaba42520: c.so.6 + 0x42520 + 0x7f1ab18a8f86: QtGui.so.4 + 0x6a8f86 + 0x7f1ab18a9c86: QtGui.so.4 + 0x6a9c86 + 0x7f1ab18aac8d: QtGui.so.4 + 0x6aac8d (_ZN8QMdiArea11resizeEventEP12QResizeEvent + 0x1ad) + 0x7f1ab1466572: QtGui.so.4 + 0x266572 (_ZN7QWidget5eventEP6QEvent + 0xab2) + 0x7f1ab187c47b: QtGui.so.4 + 0x67c47b (_ZN6QFrame5eventEP6QEvent + 0x2b) + 0x7f1ab190c6e7: QtGui.so.4 + 0x70c6e7 (_ZN19QAbstractScrollArea13viewportEventEP6QEvent + 0x17) + 0x7f1ab18aa285: QtGui.so.4 + 0x6aa285 (_ZN8QMdiArea13viewportEventEP6QEvent + 0x45) + 0x7f1ab190f108: QtGui.so.4 + 0x70f108 + 0x7f1ac9db5dbf: QtCore.so.4 + 0x1b5dbf (_ZN23QCoreApplicationPrivate29sendThroughObjectEventFiltersEP7QObjectP6QEvent + 0x7f) + 0x7f1ab1404820: QtGui.so.4 + 0x204820 (_ZN19QApplicationPrivate13notify_helperEP7QObjectP6QEvent + 0x80) + 0x7f1ab140aea3: QtGui.so.4 + 0x20aea3 (_ZN12QApplication6notifyEP7QObjectP6QEvent + 0x183) + 0x7f1ac9db5ac4: QtCore.so.4 + 0x1b5ac4 (_ZN16QCoreApplication14notifyInternalEP7QObjectP6QEvent + 0x84) + 0x7f1ab14acb7d: QtGui.so.4 + 0x2acb7d (_ZN14QWidgetPrivate15setGeometry_sysEiiiib + 0x37d) + 0x7f1ab145ed08: QtGui.so.4 + 0x25ed08 (_ZN7QWidget11setGeometryERK5QRect + 0x78) + 0x7f1ab190d576: QtGui.so.4 + 0x70d576 (_ZN26QAbstractScrollAreaPrivate14layoutChildrenEv + 0x3d6) + 0x7f1ab18a66c1: QtGui.so.4 + 0x6a66c1 + 0x7f1ab18a97b3: QtGui.so.4 + 0x6a97b3 + 0x7f1ab18aa451: QtGui.so.4 + 0x6aa451 (_ZN8QMdiArea13viewportEventEP6QEvent + 0x211) + 0x7f1ab190f108: QtGui.so.4 + 0x70f108 + 0x7f1ac9db5dbf: QtCore.so.4 + 0x1b5dbf (_ZN23QCoreApplicationPrivate29sendThroughObjectEventFiltersEP7QObjectP6QEvent + 0x7f) + 0x7f1ab1404820: QtGui.so.4 + 0x204820 (_ZN19QApplicationPrivate13notify_helperEP7QObjectP6QEvent + 0x80) + 0x7f1ab140aea3: QtGui.so.4 + 0x20aea3 (_ZN12QApplication6notifyEP7QObjectP6QEvent + 0x183) + 0x7f1ac9db5ac4: QtCore.so.4 + 0x1b5ac4 (_ZN16QCoreApplication14notifyInternalEP7QObjectP6QEvent + 0x84) + 0x7f1ab18b96fc: QtGui.so.4 + 0x6b96fc (_ZN13QMdiSubWindow10closeEventEP11QCloseEvent + 0xcc) + 0x7f1acf2a88cf: sys_qui + 0xb28cf (_ZN15QUI_MDI_SUB_WND10closeEventEP11QCloseEvent + 0x567) + 0x7f1ab14668aa: QtGui.so.4 + 0x2668aa (_ZN7QWidget5eventEP6QEvent + 0xdea) + 0x7f1ab18b996b: QtGui.so.4 + 0x6b996b (_ZN13QMdiSubWindow5eventEP6QEvent + 0x18b) + 0x7f1ab140484f: QtGui.so.4 + 0x20484f (_ZN19QApplicationPrivate13notify_helperEP7QObjectP6QEvent + 0xaf) + 0x7f1ab140aea3: QtGui.so.4 + 0x20aea3 (_ZN12QApplication6notifyEP7QObjectP6QEvent + 0x183) + 0x7f1ac9db5ac4: QtCore.so.4 + 0x1b5ac4 (_ZN16QCoreApplication14notifyInternalEP7QObjectP6QEvent + 0x84) + 0x7f1ab145d3f8: QtGui.so.4 + 0x25d3f8 (_ZN14QWidgetPrivate12close_helperENS_9CloseModeE + 0x1f8) + 0x7f1ab145d4e2: QtGui.so.4 + 0x25d4e2 (_ZN7QWidget5closeEv + 0x12) + 0x7f1acf28c00a: sys_qui + 0x9600a (_ZN13QUI_FRAME_WND17close_all_in_listE5QListIP13QMdiSubWindowES2_5_GUID + 0x374) + 0x7f1acf28c137: sys_qui + 0x96137 (_ZN13QUI_FRAME_WND17close_all_windowsE5_GUID + 0xed) + 0x7f1acf29b269: sys_qui + 0xa5269 (_ZN13QUI_FRAME_WND10closeEventEP11QCloseEvent + 0x25f) + 0x7f1acf3f5aa9: sys_qgq + 0x19aa9 (_ZN15QGQ_MAIN_WINDOW10closeEventEP11QCloseEvent + 0x35) + 0x7f1ab14668aa: QtGui.so.4 + 0x2668aa (_ZN7QWidget5eventEP6QEvent + 0xdea) + 0x7f1ab189995c: QtGui.so.4 + 0x69995c (_ZN11QMainWindow5eventEP6QEvent + 0x13c) + 0x7f1ab140484f: QtGui.so.4 + 0x20484f (_ZN19QApplicationPrivate13notify_helperEP7QObjectP6QEvent + 0xaf) + 0x7f1ab140aea3: QtGui.so.4 + 0x20aea3 (_ZN12QApplication6notifyEP7QObjectP6QEvent + 0x183) + 0x7f1ac9db5ac4: QtCore.so.4 + 0x1b5ac4 (_ZN16QCoreApplication14notifyInternalEP7QObjectP6QEvent + 0x84) + 0x7f1ab145d3f8: QtGui.so.4 + 0x25d3f8 (_ZN14QWidgetPrivate12close_helperENS_9CloseModeE + 0x1f8) + 0x7f1ab147e882: QtGui.so.4 + 0x27e882 + 0x7f1ab1480fa5: QtGui.so.4 + 0x280fa5 (_ZN12QApplication16x11ClientMessageEP7QWidgetP7_XEventb + 0x1e5) + 0x7f1ab148d7a1: QtGui.so.4 + 0x28d7a1 (_ZN12QApplication15x11ProcessEventEP7_XEvent + 0xfa1) + 0x7f1ab14b8d62: QtGui.so.4 + 0x2b8d62 + 0x7f1ab631bd3b: glib-2.0.so.0 + 0x55d3b (g_main_context_dispatch + 0x26b) + 0x7f1ab63706c8: glib-2.0.so.0 + 0xaa6c8 + 0x7f1ab63193e3: glib-2.0.so.0 + 0x533e3 (g_main_context_iteration + 0x33) + 0x7f1ac9de8af5: QtCore.so.4 + 0x1e8af5 (_ZN20QEventDispatcherGlib13processEventsE6QFlagsIN10QEventLoop17ProcessEventsFlagEE + 0x65) + 0x7f1ab14b889f: QtGui.so.4 + 0x2b889f + 0x7f1ac9db4ad5: QtCore.so.4 + 0x1b4ad5 (_ZN10QEventLoop13processEventsE6QFlagsINS_17ProcessEventsFlagEE + 0x35) + 0x7f1ac9db4ea8: QtCore.so.4 + 0x1b4ea8 (_ZN10QEventLoop4execE6QFlagsINS_17ProcessEventsFlagEE + 0x128) + 0x7f1ac9db9cc4: QtCore.so.4 + 0x1b9cc4 (_ZN16QCoreApplication4execEv + 0xb4) + 0x401eab: quartus + 0x1eab (_Z8qgq_mainiPPKc + 0x7b) + 0x7f1abf14fe30: ccl_msg + 0x3ee30 (_Z15msg_main_threadPv + 0x10) + 0x7f1abe181acc: ccl_thr + 0x5acc (thr_final_wrapper + 0xc) + 0x7f1abf14feef: ccl_msg + 0x3eeef (_Z18msg_thread_wrapperPFPvS_ES_ + 0x62) + 0x7f1abe1e9f9c: ccl_mem + 0x9f9c (_Z18mem_thread_wrapperPFPvS_ES_ + 0x5c) + 0x7f1abe1b8b39: ccl_err + 0x8b39 (_Z18err_thread_wrapperPFPvS_ES_ + 0x27) + 0x7f1abe181b0f: ccl_thr + 0x5b0f (thr_thread_wrapper + 0x15) + 0x7f1abf151ea1: ccl_msg + 0x40ea1 (_Z12msg_exe_mainiPPKcPFiiS1_E + 0xb2) + +*** Fatal Error: Unhandled Exception +Tue May 2 10:47:59 2023 + +Version 20.1.1 Build 720 11/11/2020 SJ Lite Edition + \ No newline at end of file