From 7f9314657aa8840b950ce15a95780eeca8abfd21 Mon Sep 17 00:00:00 2001 From: TiagoRG <35657250+TiagoRG@users.noreply.github.com> Date: Tue, 7 Mar 2023 20:59:22 +0000 Subject: [PATCH] [LSD] pratica01 part4 finished (untested) --- 1ano/2semestre/lsd/pratica01/part4/EqCmp4.vwf | 435 +++ 1ano/2semestre/lsd/pratica01/part4/EqCmp8.bsf | 51 + 1ano/2semestre/lsd/pratica01/part4/EqCmp8.vhd | 16 + .../lsd/pratica01/part4/EqCmp8.vhd.bak | 0 1ano/2semestre/lsd/pratica01/part4/EqCmp8.vwf | 2223 +++++++++++ .../lsd/pratica01/part4/EqCmpDemo.bdf | 8 +- .../lsd/pratica01/part4/EqCmpDemo.qsf | 6 +- .../lsd/pratica01/part4/EqCmpDemo.qsf.bak | 1125 +++++- .../lsd/pratica01/part4/EqCmpDemo.qws | Bin 1877 -> 0 bytes .../pratica01/part4/db/EqCmpDemo.(0).cnf.cdb | Bin 968 -> 968 bytes .../pratica01/part4/db/EqCmpDemo.(0).cnf.hdb | Bin 606 -> 607 bytes .../pratica01/part4/db/EqCmpDemo.(2).cnf.cdb | Bin 0 -> 1095 bytes .../pratica01/part4/db/EqCmpDemo.(2).cnf.hdb | Bin 0 -> 787 bytes .../lsd/pratica01/part4/db/EqCmpDemo.asm.qmsg | 14 +- .../lsd/pratica01/part4/db/EqCmpDemo.asm.rdb | Bin 825 -> 826 bytes .../lsd/pratica01/part4/db/EqCmpDemo.cmp.bpm | Bin 651 -> 646 bytes .../lsd/pratica01/part4/db/EqCmpDemo.cmp.cdb | Bin 6683 -> 6683 bytes .../lsd/pratica01/part4/db/EqCmpDemo.cmp.hdb | Bin 32310 -> 32762 bytes .../lsd/pratica01/part4/db/EqCmpDemo.cmp.rdb | Bin 37773 -> 37938 bytes .../lsd/pratica01/part4/db/EqCmpDemo.db_info | 2 +- .../lsd/pratica01/part4/db/EqCmpDemo.eda.qmsg | 12 +- .../lsd/pratica01/part4/db/EqCmpDemo.fit.qmsg | 258 +- .../pratica01/part4/db/EqCmpDemo.hier_info | 20 +- .../lsd/pratica01/part4/db/EqCmpDemo.hif | Bin 380 -> 500 bytes .../lsd/pratica01/part4/db/EqCmpDemo.lpc.html | 2 +- .../lsd/pratica01/part4/db/EqCmpDemo.lpc.rdb | Bin 442 -> 442 bytes .../lsd/pratica01/part4/db/EqCmpDemo.lpc.txt | 2 +- .../lsd/pratica01/part4/db/EqCmpDemo.map.bpm | Bin 606 -> 607 bytes .../lsd/pratica01/part4/db/EqCmpDemo.map.cdb | Bin 2766 -> 2765 bytes .../lsd/pratica01/part4/db/EqCmpDemo.map.hdb | Bin 30178 -> 30580 bytes .../lsd/pratica01/part4/db/EqCmpDemo.map.qmsg | 27 +- .../lsd/pratica01/part4/db/EqCmpDemo.map.rdb | Bin 1330 -> 1330 bytes .../pratica01/part4/db/EqCmpDemo.map_bb.cdb | Bin 2031 -> 2031 bytes .../pratica01/part4/db/EqCmpDemo.map_bb.hdb | Bin 29355 -> 29793 bytes .../pratica01/part4/db/EqCmpDemo.pre_map.hdb | Bin 32621 -> 32997 bytes .../part4/db/EqCmpDemo.quiproj.4510.rdr.flock | 0 .../lsd/pratica01/part4/db/EqCmpDemo.rtlv.hdb | Bin 32563 -> 32953 bytes .../pratica01/part4/db/EqCmpDemo.rtlv_sg.cdb | Bin 1349 -> 1349 bytes .../part4/db/EqCmpDemo.rtlv_sg_swap.cdb | Bin 609 -> 610 bytes .../part4/db/EqCmpDemo.smart_action.txt | 2 +- .../lsd/pratica01/part4/db/EqCmpDemo.sta.qmsg | 98 +- .../db/EqCmpDemo.tiscmp.fast_1200mv_0c.ddb | Bin 119353 -> 119297 bytes .../db/EqCmpDemo.tiscmp.slow_1200mv_0c.ddb | Bin 119725 -> 119800 bytes .../db/EqCmpDemo.tiscmp.slow_1200mv_85c.ddb | Bin 119121 -> 119379 bytes .../lsd/pratica01/part4/db/EqCmpDemo.tmw_info | 11 +- .../part4/db/prev_cmp_EqCmpDemo.qmsg | 4 + .../EqCmpDemo.root_partition.cmp.cdb | Bin 3317 -> 3317 bytes .../EqCmpDemo.root_partition.cmp.hdb | Bin 31091 -> 31498 bytes .../EqCmpDemo.root_partition.cmp.rcfdb | Bin 3989 -> 4004 bytes .../EqCmpDemo.root_partition.map.cdb | Bin 2435 -> 2435 bytes .../EqCmpDemo.root_partition.map.dpi | Bin 774 -> 774 bytes .../EqCmpDemo.root_partition.map.hbdb.cdb | Bin 1597 -> 1597 bytes .../EqCmpDemo.root_partition.map.hbdb.hdb | Bin 29038 -> 29470 bytes .../EqCmpDemo.root_partition.map.hdb | Bin 28859 -> 29287 bytes .../compiled_partitions/EqCmpDemo.rrp.hdb | Bin 32243 -> 32613 bytes .../part4/output_files/EqCmpDemo.asm.rpt | 14 +- .../part4/output_files/EqCmpDemo.done | 2 +- .../part4/output_files/EqCmpDemo.eda.rpt | 44 +- .../part4/output_files/EqCmpDemo.fit.rpt | 78 +- .../part4/output_files/EqCmpDemo.fit.summary | 2 +- .../part4/output_files/EqCmpDemo.flow.rpt | 26 +- .../part4/output_files/EqCmpDemo.map.rpt | 95 +- .../part4/output_files/EqCmpDemo.map.summary | 2 +- .../part4/output_files/EqCmpDemo.sof | Bin 3541729 -> 3541729 bytes .../part4/output_files/EqCmpDemo.sta.rpt | 8 +- .../part4/simulation/modelsim/EqCmpDemo.vho | 32 +- .../modelsim/EqCmpDemo_modelsim.xrf | 12 +- .../part4/simulation/qsim/EqCmp4.vwf.vht | 143 + .../part4/simulation/qsim/EqCmp8.vwf.vht | 3346 +++++++++++++++++ .../part4/simulation/qsim/EqCmpDemo.do | 17 + .../part4/simulation/qsim/EqCmpDemo.msim.vcd | 2883 ++++++++++++++ .../part4/simulation/qsim/EqCmpDemo.sft | 1 + .../part4/simulation/qsim/EqCmpDemo.vho | 477 +++ .../qsim/EqCmpDemo_20230307205001.sim.vwf | 1863 +++++++++ .../qsim/EqCmpDemo_20230307205136.sim.vwf | 1863 +++++++++ .../qsim/EqCmpDemo_20230307205353.sim.vwf | 2252 +++++++++++ .../qsim/EqCmpDemo_20230307205759.sim.vwf | 1053 ++++++ .../simulation/qsim/EqCmpDemo_modelsim.xrf | 24 + .../part4/simulation/qsim/transcript | 47 + .../part4/simulation/qsim/vwf_sim_transcript | 60 + .../part4/simulation/qsim/work/_info | 150 + .../part4/simulation/qsim/work/_lib.qdb | Bin 0 -> 49152 bytes .../part4/simulation/qsim/work/_lib1_1.qdb | Bin 0 -> 32768 bytes .../part4/simulation/qsim/work/_lib1_1.qpg | Bin 0 -> 794624 bytes .../part4/simulation/qsim/work/_lib1_1.qtl | Bin 0 -> 41549 bytes .../part4/simulation/qsim/work/_vmake | 4 + 86 files changed, 18442 insertions(+), 372 deletions(-) create mode 100644 1ano/2semestre/lsd/pratica01/part4/EqCmp4.vwf create mode 100644 1ano/2semestre/lsd/pratica01/part4/EqCmp8.bsf create mode 100644 1ano/2semestre/lsd/pratica01/part4/EqCmp8.vhd create mode 100644 1ano/2semestre/lsd/pratica01/part4/EqCmp8.vhd.bak create mode 100644 1ano/2semestre/lsd/pratica01/part4/EqCmp8.vwf delete mode 100644 1ano/2semestre/lsd/pratica01/part4/EqCmpDemo.qws create mode 100644 1ano/2semestre/lsd/pratica01/part4/db/EqCmpDemo.(2).cnf.cdb create mode 100644 1ano/2semestre/lsd/pratica01/part4/db/EqCmpDemo.(2).cnf.hdb create mode 100644 1ano/2semestre/lsd/pratica01/part4/db/EqCmpDemo.quiproj.4510.rdr.flock create mode 100644 1ano/2semestre/lsd/pratica01/part4/db/prev_cmp_EqCmpDemo.qmsg create mode 100644 1ano/2semestre/lsd/pratica01/part4/simulation/qsim/EqCmp4.vwf.vht create mode 100644 1ano/2semestre/lsd/pratica01/part4/simulation/qsim/EqCmp8.vwf.vht create mode 100644 1ano/2semestre/lsd/pratica01/part4/simulation/qsim/EqCmpDemo.do create mode 100644 1ano/2semestre/lsd/pratica01/part4/simulation/qsim/EqCmpDemo.msim.vcd create mode 100644 1ano/2semestre/lsd/pratica01/part4/simulation/qsim/EqCmpDemo.sft create mode 100644 1ano/2semestre/lsd/pratica01/part4/simulation/qsim/EqCmpDemo.vho create mode 100644 1ano/2semestre/lsd/pratica01/part4/simulation/qsim/EqCmpDemo_20230307205001.sim.vwf create mode 100644 1ano/2semestre/lsd/pratica01/part4/simulation/qsim/EqCmpDemo_20230307205136.sim.vwf create mode 100644 1ano/2semestre/lsd/pratica01/part4/simulation/qsim/EqCmpDemo_20230307205353.sim.vwf create mode 100644 1ano/2semestre/lsd/pratica01/part4/simulation/qsim/EqCmpDemo_20230307205759.sim.vwf create mode 100644 1ano/2semestre/lsd/pratica01/part4/simulation/qsim/EqCmpDemo_modelsim.xrf create mode 100644 1ano/2semestre/lsd/pratica01/part4/simulation/qsim/transcript create mode 100644 1ano/2semestre/lsd/pratica01/part4/simulation/qsim/vwf_sim_transcript create mode 100644 1ano/2semestre/lsd/pratica01/part4/simulation/qsim/work/_info create mode 100644 1ano/2semestre/lsd/pratica01/part4/simulation/qsim/work/_lib.qdb create mode 100644 1ano/2semestre/lsd/pratica01/part4/simulation/qsim/work/_lib1_1.qdb create mode 100644 1ano/2semestre/lsd/pratica01/part4/simulation/qsim/work/_lib1_1.qpg create mode 100644 1ano/2semestre/lsd/pratica01/part4/simulation/qsim/work/_lib1_1.qtl create mode 100644 1ano/2semestre/lsd/pratica01/part4/simulation/qsim/work/_vmake diff --git a/1ano/2semestre/lsd/pratica01/part4/EqCmp4.vwf b/1ano/2semestre/lsd/pratica01/part4/EqCmp4.vwf new file mode 100644 index 0000000..1e7ddd8 --- /dev/null +++ b/1ano/2semestre/lsd/pratica01/part4/EqCmp4.vwf @@ -0,0 +1,435 @@ +/* +quartus_eda --gen_testbench --tool=modelsim_oem --format=vhdl --write_settings_files=off EqCmpDemo -c EqCmpDemo --vector_source="/home/tiagorg/repos/uaveiro-leci/1ano/2semestre/lsd/pratica01/part4/EqCmp4.vwf" --testbench_file="/home/tiagorg/repos/uaveiro-leci/1ano/2semestre/lsd/pratica01/part4/simulation/qsim/EqCmp4.vwf.vht" +quartus_eda --gen_testbench --tool=modelsim_oem --format=vhdl --write_settings_files=off EqCmpDemo -c EqCmpDemo --vector_source="/home/tiagorg/repos/uaveiro-leci/1ano/2semestre/lsd/pratica01/part4/EqCmp4.vwf" --testbench_file="/home/tiagorg/repos/uaveiro-leci/1ano/2semestre/lsd/pratica01/part4/simulation/qsim/EqCmp4.vwf.vht" +quartus_eda --write_settings_files=off --simulation --functional=on --flatten_buses=off --tool=modelsim_oem --format=vhdl --output_directory="/home/tiagorg/repos/uaveiro-leci/1ano/2semestre/lsd/pratica01/part4/simulation/qsim/" EqCmpDemo -c EqCmpDemo +quartus_eda --write_settings_files=off --simulation --functional=off --flatten_buses=off --timescale=1ps --tool=modelsim_oem --format=vhdl --output_directory="/home/tiagorg/repos/uaveiro-leci/1ano/2semestre/lsd/pratica01/part4/simulation/qsim/" EqCmpDemo -c EqCmpDemo +onerror {exit -code 1} +vlib work +vcom -work work EqCmpDemo.vho +vcom -work work EqCmp4.vwf.vht +vsim -c -t 1ps -L cycloneive -L altera -L altera_mf -L 220model -L sgate -L altera_lnsim work.EqCmpDemo_vhd_vec_tst +vcd file -direction EqCmpDemo.msim.vcd +vcd add -internal EqCmpDemo_vhd_vec_tst/* +vcd add -internal EqCmpDemo_vhd_vec_tst/i1/* +proc simTimestamp {} { + echo "Simulation time: $::now ps" + if { [string equal running [runStatus]] } { + after 2500 simTimestamp + } +} +after 2500 simTimestamp +run -all +quit -f + +onerror {exit -code 1} +vlib work +vcom -work work EqCmpDemo.vho +vcom -work work EqCmp4.vwf.vht +vsim -novopt -c -t 1ps -sdfmax EqCmpDemo_vhd_vec_tst/i1=EqCmpDemo_vhd.sdo -L cycloneive -L altera -L altera_mf -L 220model -L sgate -L altera_lnsim work.EqCmpDemo_vhd_vec_tst +vcd file -direction EqCmpDemo.msim.vcd +vcd add -internal EqCmpDemo_vhd_vec_tst/* +vcd add -internal EqCmpDemo_vhd_vec_tst/i1/* +proc simTimestamp {} { + echo "Simulation time: $::now ps" + if { [string equal running [runStatus]] } { + after 2500 simTimestamp + } +} +after 2500 simTimestamp +run -all +quit -f + +vhdl +*/ +/* +WARNING: Do NOT edit the input and output ports in this file in a text +editor if you plan to continue editing the block that represents it in +the Block Editor! File corruption is VERY likely to occur. +*/ + +/* +Copyright (C) 2020 Intel Corporation. All rights reserved. +Your use of Intel Corporation's design tools, logic functions +and other software and tools, and any partner logic +functions, and any output files from any of the foregoing +(including device programming or simulation files), and any +associated documentation or information are expressly subject +to the terms and conditions of the Intel Program License +Subscription Agreement, the Intel Quartus Prime License Agreement, +the Intel FPGA IP License Agreement, or other applicable license +agreement, including, without limitation, that your use is for +the sole purpose of programming logic devices manufactured by +Intel and sold by Intel or its authorized distributors. Please +refer to the applicable agreement for further details, at +https://fpgasoftware.intel.com/eula. +*/ + +HEADER +{ + VERSION = 1; + TIME_UNIT = ns; + DATA_OFFSET = 0.0; + DATA_DURATION = 1000.0; + SIMULATION_TIME = 0.0; + GRID_PHASE = 0.0; + GRID_PERIOD = 10.0; + GRID_DUTY_CYCLE = 50; +} + +SIGNAL("LEDG") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = OUTPUT; + PARENT = ""; +} + +SIGNAL("LEDG[0]") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = OUTPUT; + PARENT = ""; +} + +SIGNAL("SW") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = BUS; + WIDTH = 8; + LSB_INDEX = 0; + DIRECTION = INPUT; + PARENT = ""; +} + +SIGNAL("SW[7]") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = INPUT; + PARENT = "SW"; +} + +SIGNAL("SW[6]") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = INPUT; + PARENT = "SW"; +} + +SIGNAL("SW[5]") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = INPUT; + PARENT = "SW"; +} + +SIGNAL("SW[4]") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = INPUT; + PARENT = "SW"; +} + +SIGNAL("SW[3]") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = INPUT; + PARENT = "SW"; +} + +SIGNAL("SW[2]") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = INPUT; + PARENT = "SW"; +} + +SIGNAL("SW[1]") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = INPUT; + PARENT = "SW"; +} + +SIGNAL("SW[0]") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = INPUT; + PARENT = "SW"; +} + +TRANSITION_LIST("LEDG") +{ + NODE + { + REPEAT = 1; + LEVEL X FOR 1000.0; + } +} + +TRANSITION_LIST("LEDG[0]") +{ + NODE + { + REPEAT = 1; + LEVEL X FOR 1000.0; + } +} + +TRANSITION_LIST("SW[7]") +{ + NODE + { + REPEAT = 1; + NODE + { + REPEAT = 1; + LEVEL 0 FOR 400.0; + LEVEL 1 FOR 400.0; + } + LEVEL 0 FOR 200.0; + } +} + +TRANSITION_LIST("SW[6]") +{ + NODE + { + REPEAT = 1; + NODE + { + REPEAT = 2; + LEVEL 0 FOR 200.0; + LEVEL 1 FOR 200.0; + } + LEVEL 0 FOR 200.0; + } +} + +TRANSITION_LIST("SW[5]") +{ + NODE + { + REPEAT = 1; + NODE + { + REPEAT = 5; + LEVEL 0 FOR 100.0; + LEVEL 1 FOR 100.0; + } + } +} + +TRANSITION_LIST("SW[4]") +{ + NODE + { + REPEAT = 1; + NODE + { + REPEAT = 10; + LEVEL 0 FOR 50.0; + LEVEL 1 FOR 50.0; + } + } +} + +TRANSITION_LIST("SW[3]") +{ + NODE + { + REPEAT = 1; + NODE + { + REPEAT = 20; + LEVEL 0 FOR 25.0; + LEVEL 1 FOR 25.0; + } + } +} + +TRANSITION_LIST("SW[2]") +{ + NODE + { + REPEAT = 1; + NODE + { + REPEAT = 40; + LEVEL 0 FOR 12.5; + LEVEL 1 FOR 12.5; + } + } +} + +TRANSITION_LIST("SW[1]") +{ + NODE + { + REPEAT = 1; + NODE + { + REPEAT = 80; + LEVEL 0 FOR 6.25; + LEVEL 1 FOR 6.25; + } + } +} + +TRANSITION_LIST("SW[0]") +{ + NODE + { + REPEAT = 1; + NODE + { + REPEAT = 148; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + } + LEVEL 0 FOR 1.0; + } +} + +DISPLAY_LINE +{ + CHANNEL = "SW"; + EXPAND_STATUS = EXPANDED; + RADIX = Binary; + TREE_INDEX = 0; + TREE_LEVEL = 0; + CHILDREN = 1, 2, 3, 4, 5, 6, 7, 8; +} + +DISPLAY_LINE +{ + CHANNEL = "SW[7]"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 1; + TREE_LEVEL = 1; + PARENT = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "SW[6]"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 2; + TREE_LEVEL = 1; + PARENT = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "SW[5]"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 3; + TREE_LEVEL = 1; + PARENT = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "SW[4]"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 4; + TREE_LEVEL = 1; + PARENT = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "SW[3]"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 5; + TREE_LEVEL = 1; + PARENT = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "SW[2]"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 6; + TREE_LEVEL = 1; + PARENT = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "SW[1]"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 7; + TREE_LEVEL = 1; + PARENT = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "SW[0]"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 8; + TREE_LEVEL = 1; + PARENT = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "LEDG"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 9; + TREE_LEVEL = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "LEDG[0]"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 10; + TREE_LEVEL = 0; +} + +TIME_BAR +{ + TIME = 0; + MASTER = TRUE; +} +; diff --git a/1ano/2semestre/lsd/pratica01/part4/EqCmp8.bsf b/1ano/2semestre/lsd/pratica01/part4/EqCmp8.bsf new file mode 100644 index 0000000..bef6bb0 --- /dev/null +++ b/1ano/2semestre/lsd/pratica01/part4/EqCmp8.bsf @@ -0,0 +1,51 @@ +/* +WARNING: Do NOT edit the input and output ports in this file in a text +editor if you plan to continue editing the block that represents it in +the Block Editor! File corruption is VERY likely to occur. +*/ +/* +Copyright (C) 2020 Intel Corporation. All rights reserved. +Your use of Intel Corporation's design tools, logic functions +and other software and tools, and any partner logic +functions, and any output files from any of the foregoing +(including device programming or simulation files), and any +associated documentation or information are expressly subject +to the terms and conditions of the Intel Program License +Subscription Agreement, the Intel Quartus Prime License Agreement, +the Intel FPGA IP License Agreement, or other applicable license +agreement, including, without limitation, that your use is for +the sole purpose of programming logic devices manufactured by +Intel and sold by Intel or its authorized distributors. Please +refer to the applicable agreement for further details, at +https://fpgasoftware.intel.com/eula. +*/ +(header "symbol" (version "1.1")) +(symbol + (rect 16 16 192 96) + (text "EqCmp8" (rect 5 0 41 12)(font "Arial" )) + (text "inst" (rect 8 64 20 76)(font "Arial" )) + (port + (pt 0 32) + (input) + (text "input0[7..0]" (rect 0 0 42 12)(font "Arial" )) + (text "input0[7..0]" (rect 21 27 63 39)(font "Arial" )) + (line (pt 0 32)(pt 16 32)(line_width 3)) + ) + (port + (pt 0 48) + (input) + (text "input1[7..0]" (rect 0 0 41 12)(font "Arial" )) + (text "input1[7..0]" (rect 21 43 62 55)(font "Arial" )) + (line (pt 0 48)(pt 16 48)(line_width 3)) + ) + (port + (pt 176 32) + (output) + (text "cmpOut" (rect 0 0 31 12)(font "Arial" )) + (text "cmpOut" (rect 124 27 155 39)(font "Arial" )) + (line (pt 176 32)(pt 160 32)(line_width 1)) + ) + (drawing + (rectangle (rect 16 16 160 64)(line_width 1)) + ) +) diff --git a/1ano/2semestre/lsd/pratica01/part4/EqCmp8.vhd b/1ano/2semestre/lsd/pratica01/part4/EqCmp8.vhd new file mode 100644 index 0000000..61d4c6f --- /dev/null +++ b/1ano/2semestre/lsd/pratica01/part4/EqCmp8.vhd @@ -0,0 +1,16 @@ +library IEEE; +use IEEE.STD_LOGIC_1164.all; + +entity EqCmp8 is + port + ( + input0 : in std_logic_vector(7 downto 0); + input1 : in std_logic_vector(7 downto 0); + cmpOut : out std_logic + ); +end EqCmp8; + +architecture Behavioral of EqCmp8 is +begin + cmpOut <= '1' when (input0 = input1) else '0'; +end Behavioral; \ No newline at end of file diff --git a/1ano/2semestre/lsd/pratica01/part4/EqCmp8.vhd.bak b/1ano/2semestre/lsd/pratica01/part4/EqCmp8.vhd.bak new file mode 100644 index 0000000..e69de29 diff --git a/1ano/2semestre/lsd/pratica01/part4/EqCmp8.vwf b/1ano/2semestre/lsd/pratica01/part4/EqCmp8.vwf new file mode 100644 index 0000000..6364927 --- /dev/null +++ b/1ano/2semestre/lsd/pratica01/part4/EqCmp8.vwf @@ -0,0 +1,2223 @@ +/* +quartus_eda --gen_testbench --tool=modelsim_oem --format=vhdl --write_settings_files=off EqCmpDemo -c EqCmpDemo --vector_source="/home/tiagorg/repos/uaveiro-leci/1ano/2semestre/lsd/pratica01/part4/EqCmp8.vwf" --testbench_file="/home/tiagorg/repos/uaveiro-leci/1ano/2semestre/lsd/pratica01/part4/simulation/qsim/EqCmp8.vwf.vht" +quartus_eda --gen_testbench --tool=modelsim_oem --format=vhdl --write_settings_files=off EqCmpDemo -c EqCmpDemo --vector_source="/home/tiagorg/repos/uaveiro-leci/1ano/2semestre/lsd/pratica01/part4/EqCmp8.vwf" --testbench_file="/home/tiagorg/repos/uaveiro-leci/1ano/2semestre/lsd/pratica01/part4/simulation/qsim/EqCmp8.vwf.vht" +quartus_eda --write_settings_files=off --simulation --functional=on --flatten_buses=off --tool=modelsim_oem --format=vhdl --output_directory="/home/tiagorg/repos/uaveiro-leci/1ano/2semestre/lsd/pratica01/part4/simulation/qsim/" EqCmpDemo -c EqCmpDemo +quartus_eda --write_settings_files=off --simulation --functional=off --flatten_buses=off --timescale=1ps --tool=modelsim_oem --format=vhdl --output_directory="/home/tiagorg/repos/uaveiro-leci/1ano/2semestre/lsd/pratica01/part4/simulation/qsim/" EqCmpDemo -c EqCmpDemo +onerror {exit -code 1} +vlib work +vcom -work work EqCmpDemo.vho +vcom -work work EqCmp8.vwf.vht +vsim -c -t 1ps -L cycloneive -L altera -L altera_mf -L 220model -L sgate -L altera_lnsim work.EqCmpDemo_vhd_vec_tst +vcd file -direction EqCmpDemo.msim.vcd +vcd add -internal EqCmpDemo_vhd_vec_tst/* +vcd add -internal EqCmpDemo_vhd_vec_tst/i1/* +proc simTimestamp {} { + echo "Simulation time: $::now ps" + if { [string equal running [runStatus]] } { + after 2500 simTimestamp + } +} +after 2500 simTimestamp +run -all +quit -f + +onerror {exit -code 1} +vlib work +vcom -work work EqCmpDemo.vho +vcom -work work EqCmp8.vwf.vht +vsim -novopt -c -t 1ps -sdfmax EqCmpDemo_vhd_vec_tst/i1=EqCmpDemo_vhd.sdo -L cycloneive -L altera -L altera_mf -L 220model -L sgate -L altera_lnsim work.EqCmpDemo_vhd_vec_tst +vcd file -direction EqCmpDemo.msim.vcd +vcd add -internal EqCmpDemo_vhd_vec_tst/* +vcd add -internal EqCmpDemo_vhd_vec_tst/i1/* +proc simTimestamp {} { + echo "Simulation time: $::now ps" + if { [string equal running [runStatus]] } { + after 2500 simTimestamp + } +} +after 2500 simTimestamp +run -all +quit -f + +vhdl +*/ +/* +WARNING: Do NOT edit the input and output ports in this file in a text +editor if you plan to continue editing the block that represents it in +the Block Editor! File corruption is VERY likely to occur. +*/ + +/* +Copyright (C) 2020 Intel Corporation. All rights reserved. +Your use of Intel Corporation's design tools, logic functions +and other software and tools, and any partner logic +functions, and any output files from any of the foregoing +(including device programming or simulation files), and any +associated documentation or information are expressly subject +to the terms and conditions of the Intel Program License +Subscription Agreement, the Intel Quartus Prime License Agreement, +the Intel FPGA IP License Agreement, or other applicable license +agreement, including, without limitation, that your use is for +the sole purpose of programming logic devices manufactured by +Intel and sold by Intel or its authorized distributors. Please +refer to the applicable agreement for further details, at +https://fpgasoftware.intel.com/eula. +*/ + +HEADER +{ + VERSION = 1; + TIME_UNIT = ns; + DATA_OFFSET = 0.0; + DATA_DURATION = 1000.0; + SIMULATION_TIME = 0.0; + GRID_PHASE = 0.0; + GRID_PERIOD = 10.0; + GRID_DUTY_CYCLE = 50; +} + +SIGNAL("LEDG") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = OUTPUT; + PARENT = ""; +} + +SIGNAL("LEDG[0]") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = OUTPUT; + PARENT = ""; +} + +SIGNAL("SW") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = BUS; + WIDTH = 16; + LSB_INDEX = 0; + DIRECTION = INPUT; + PARENT = ""; +} + +SIGNAL("SW[15]") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = INPUT; + PARENT = "SW"; +} + +SIGNAL("SW[14]") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = INPUT; + PARENT = "SW"; +} + +SIGNAL("SW[13]") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = INPUT; + PARENT = "SW"; +} + +SIGNAL("SW[12]") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = INPUT; + PARENT = "SW"; +} + +SIGNAL("SW[11]") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = INPUT; + PARENT = "SW"; +} + +SIGNAL("SW[10]") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = INPUT; + PARENT = "SW"; +} + +SIGNAL("SW[9]") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = INPUT; + PARENT = "SW"; +} + +SIGNAL("SW[8]") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = INPUT; + PARENT = "SW"; +} + +SIGNAL("SW[7]") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = INPUT; + PARENT = "SW"; +} + +SIGNAL("SW[6]") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = INPUT; + PARENT = "SW"; +} + +SIGNAL("SW[5]") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = INPUT; + PARENT = "SW"; +} + +SIGNAL("SW[4]") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = INPUT; + PARENT = "SW"; +} + +SIGNAL("SW[3]") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = INPUT; + PARENT = "SW"; +} + +SIGNAL("SW[2]") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = INPUT; + PARENT = "SW"; +} + +SIGNAL("SW[1]") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = INPUT; + PARENT = "SW"; +} + +SIGNAL("SW[0]") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = INPUT; + PARENT = "SW"; +} + +TRANSITION_LIST("LEDG") +{ + NODE + { + REPEAT = 1; + LEVEL X FOR 1000.0; + } +} + +TRANSITION_LIST("LEDG[0]") +{ + NODE + { + REPEAT = 1; + LEVEL X FOR 1000.0; + } +} + +TRANSITION_LIST("SW[15]") +{ + NODE + { + REPEAT = 1; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 15.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 30.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 25.0; + LEVEL 1 FOR 50.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 35.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 15.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 15.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 50.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 25.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 15.0; + LEVEL 1 FOR 15.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 15.0; + LEVEL 0 FOR 20.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 20.0; + LEVEL 1 FOR 20.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 25.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 20.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 15.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 30.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 15.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 35.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 15.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 20.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 20.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 40.0; + } +} + +TRANSITION_LIST("SW[14]") +{ + NODE + { + REPEAT = 1; + LEVEL 1 FOR 25.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 15.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 15.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 25.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 15.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 20.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 20.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 15.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 20.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 25.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 30.0; + LEVEL 1 FOR 25.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 15.0; + LEVEL 1 FOR 15.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 30.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 20.0; + LEVEL 0 FOR 15.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 15.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 20.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 20.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 25.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 15.0; + LEVEL 1 FOR 5.0; + } +} + +TRANSITION_LIST("SW[13]") +{ + NODE + { + REPEAT = 1; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 25.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 30.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 20.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 20.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 15.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 20.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 15.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 15.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 15.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 20.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 20.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 15.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 15.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 15.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 15.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 30.0; + LEVEL 0 FOR 20.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 20.0; + LEVEL 0 FOR 15.0; + LEVEL 1 FOR 40.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 30.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 25.0; + } +} + +TRANSITION_LIST("SW[12]") +{ + NODE + { + REPEAT = 1; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 25.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 15.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 15.0; + LEVEL 1 FOR 30.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 20.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 15.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 15.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 15.0; + LEVEL 1 FOR 15.0; + LEVEL 0 FOR 15.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 15.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 20.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 15.0; + LEVEL 0 FOR 25.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 20.0; + LEVEL 1 FOR 40.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 15.0; + LEVEL 0 FOR 25.0; + LEVEL 1 FOR 15.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 25.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 15.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 15.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 5.0; + } +} + +TRANSITION_LIST("SW[11]") +{ + NODE + { + REPEAT = 1; + LEVEL 1 FOR 20.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 20.0; + LEVEL 0 FOR 15.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 15.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 25.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 15.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 15.0; + LEVEL 0 FOR 15.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 15.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 35.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 30.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 30.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 20.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 15.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 15.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 30.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 40.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 20.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 15.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 15.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 20.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + } +} + +TRANSITION_LIST("SW[10]") +{ + NODE + { + REPEAT = 1; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 15.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 15.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 15.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 15.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 20.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 50.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 20.0; + LEVEL 0 FOR 15.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 15.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 15.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 15.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 15.0; + LEVEL 1 FOR 25.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 15.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 20.0; + LEVEL 0 FOR 15.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 20.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 20.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 15.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 25.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 15.0; + LEVEL 0 FOR 20.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 20.0; + LEVEL 0 FOR 20.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 15.0; + LEVEL 1 FOR 5.0; + } +} + +TRANSITION_LIST("SW[9]") +{ + NODE + { + REPEAT = 1; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 30.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 15.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 15.0; + LEVEL 1 FOR 45.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 20.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 20.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 25.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 15.0; + LEVEL 1 FOR 25.0; + LEVEL 0 FOR 25.0; + LEVEL 1 FOR 25.0; + LEVEL 0 FOR 25.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 25.0; + LEVEL 1 FOR 15.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 15.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 45.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 20.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 15.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 20.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 15.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 25.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 15.0; + LEVEL 1 FOR 15.0; + LEVEL 0 FOR 5.0; + } +} + +TRANSITION_LIST("SW[8]") +{ + NODE + { + REPEAT = 1; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 15.0; + LEVEL 0 FOR 15.0; + LEVEL 1 FOR 15.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 20.0; + LEVEL 0 FOR 20.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 15.0; + LEVEL 1 FOR 20.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 15.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 20.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 15.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 20.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 15.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 20.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 15.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 15.0; + LEVEL 1 FOR 15.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 20.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 25.0; + LEVEL 1 FOR 20.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 25.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 20.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 15.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 25.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 5.0; + } +} + +TRANSITION_LIST("SW[7]") +{ + NODE + { + REPEAT = 1; + LEVEL 0 FOR 20.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 15.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 15.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 35.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 20.0; + LEVEL 1 FOR 20.0; + LEVEL 0 FOR 15.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 15.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 15.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 25.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 15.0; + LEVEL 0 FOR 15.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 20.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 20.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 15.0; + LEVEL 1 FOR 20.0; + LEVEL 0 FOR 15.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 15.0; + LEVEL 0 FOR 25.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 20.0; + LEVEL 1 FOR 15.0; + LEVEL 0 FOR 25.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 15.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 15.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 20.0; + LEVEL 0 FOR 30.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 15.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 15.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 15.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 5.0; + } +} + +TRANSITION_LIST("SW[6]") +{ + NODE + { + REPEAT = 1; + LEVEL 0 FOR 20.0; + LEVEL 1 FOR 20.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 15.0; + LEVEL 0 FOR 20.0; + LEVEL 1 FOR 20.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 15.0; + LEVEL 1 FOR 35.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 20.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 20.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 35.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 25.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 15.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 20.0; + LEVEL 0 FOR 15.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 25.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 20.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 25.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 15.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 15.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 30.0; + LEVEL 1 FOR 15.0; + LEVEL 0 FOR 15.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 15.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 25.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 15.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 10.0; + } +} + +TRANSITION_LIST("SW[5]") +{ + NODE + { + REPEAT = 1; + LEVEL 1 FOR 20.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 15.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 40.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 15.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 15.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 25.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 15.0; + LEVEL 1 FOR 20.0; + LEVEL 0 FOR 30.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 20.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 15.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 15.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 15.0; + LEVEL 0 FOR 15.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 15.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 15.0; + LEVEL 1 FOR 15.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 20.0; + LEVEL 1 FOR 15.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 20.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 20.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 15.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 15.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 15.0; + LEVEL 0 FOR 15.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 25.0; + } +} + +TRANSITION_LIST("SW[4]") +{ + NODE + { + REPEAT = 1; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 25.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 25.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 15.0; + LEVEL 1 FOR 20.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 30.0; + LEVEL 0 FOR 40.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 15.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 15.0; + LEVEL 0 FOR 25.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 15.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 25.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 20.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 30.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 15.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 30.0; + LEVEL 0 FOR 20.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 15.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 15.0; + LEVEL 1 FOR 15.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 15.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 30.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 15.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 15.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + } +} + +TRANSITION_LIST("SW[3]") +{ + NODE + { + REPEAT = 1; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 15.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 15.0; + LEVEL 0 FOR 20.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 15.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 25.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 15.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 15.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 20.0; + LEVEL 1 FOR 15.0; + LEVEL 0 FOR 20.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 15.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 30.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 15.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 20.0; + LEVEL 0 FOR 25.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 20.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 15.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 40.0; + LEVEL 0 FOR 15.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 20.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 15.0; + LEVEL 1 FOR 15.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 15.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 35.0; + LEVEL 1 FOR 15.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 5.0; + } +} + +TRANSITION_LIST("SW[2]") +{ + NODE + { + REPEAT = 1; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 20.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 25.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 15.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 25.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 25.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 15.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 20.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 20.0; + LEVEL 1 FOR 20.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 20.0; + LEVEL 0 FOR 15.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 35.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 20.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 15.0; + LEVEL 0 FOR 15.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 20.0; + LEVEL 1 FOR 30.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 20.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 15.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 20.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 15.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 15.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 15.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 15.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 30.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 15.0; + } +} + +TRANSITION_LIST("SW[1]") +{ + NODE + { + REPEAT = 1; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 15.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 15.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 15.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 15.0; + LEVEL 0 FOR 15.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 45.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 15.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 15.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 15.0; + LEVEL 1 FOR 15.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 15.0; + LEVEL 1 FOR 25.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 15.0; + LEVEL 0 FOR 15.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 25.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 25.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 35.0; + LEVEL 1 FOR 25.0; + LEVEL 0 FOR 15.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 15.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 20.0; + LEVEL 1 FOR 20.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 30.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 15.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 30.0; + LEVEL 0 FOR 10.0; + } +} + +TRANSITION_LIST("SW[0]") +{ + NODE + { + REPEAT = 1; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 25.0; + LEVEL 1 FOR 20.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 15.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 25.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 25.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 15.0; + LEVEL 1 FOR 30.0; + LEVEL 0 FOR 15.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 35.0; + LEVEL 1 FOR 35.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 25.0; + LEVEL 1 FOR 35.0; + LEVEL 0 FOR 15.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 15.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 15.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 15.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 15.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 25.0; + LEVEL 1 FOR 15.0; + LEVEL 0 FOR 20.0; + LEVEL 1 FOR 15.0; + LEVEL 0 FOR 15.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 15.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + } +} + +DISPLAY_LINE +{ + CHANNEL = "SW"; + EXPAND_STATUS = EXPANDED; + RADIX = Binary; + TREE_INDEX = 0; + TREE_LEVEL = 0; + CHILDREN = 1, 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16; +} + +DISPLAY_LINE +{ + CHANNEL = "SW[15]"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 1; + TREE_LEVEL = 1; + PARENT = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "SW[14]"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 2; + TREE_LEVEL = 1; + PARENT = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "SW[13]"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 3; + TREE_LEVEL = 1; + PARENT = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "SW[12]"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 4; + TREE_LEVEL = 1; + PARENT = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "SW[11]"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 5; + TREE_LEVEL = 1; + PARENT = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "SW[10]"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 6; + TREE_LEVEL = 1; + PARENT = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "SW[9]"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 7; + TREE_LEVEL = 1; + PARENT = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "SW[8]"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 8; + TREE_LEVEL = 1; + PARENT = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "SW[7]"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 9; + TREE_LEVEL = 1; + PARENT = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "SW[6]"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 10; + TREE_LEVEL = 1; + PARENT = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "SW[5]"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 11; + TREE_LEVEL = 1; + PARENT = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "SW[4]"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 12; + TREE_LEVEL = 1; + PARENT = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "SW[3]"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 13; + TREE_LEVEL = 1; + PARENT = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "SW[2]"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 14; + TREE_LEVEL = 1; + PARENT = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "SW[1]"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 15; + TREE_LEVEL = 1; + PARENT = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "SW[0]"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 16; + TREE_LEVEL = 1; + PARENT = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "LEDG"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 17; + TREE_LEVEL = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "LEDG[0]"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 18; + TREE_LEVEL = 0; +} + +TIME_BAR +{ + TIME = 0; + MASTER = TRUE; +} +; diff --git a/1ano/2semestre/lsd/pratica01/part4/EqCmpDemo.bdf b/1ano/2semestre/lsd/pratica01/part4/EqCmpDemo.bdf index 75079ea..501b0b4 100644 --- a/1ano/2semestre/lsd/pratica01/part4/EqCmpDemo.bdf +++ b/1ano/2semestre/lsd/pratica01/part4/EqCmpDemo.bdf @@ -56,7 +56,7 @@ https://fpgasoftware.intel.com/eula. ) (pin (output) - (rect 648 200 824 216) + (rect 656 200 832 216) (text "OUTPUT" (rect 1 0 41 10)(font "Arial" (font_size 6))) (text "LEDG[0]" (rect 90 0 132 11)(font "Arial" )) (pt 0 8) @@ -69,12 +69,12 @@ https://fpgasoftware.intel.com/eula. (line (pt 82 8)(pt 78 12)) (line (pt 78 12)(pt 82 8)) ) - (annotation_block (location)(rect 824 216 880 232)) + (annotation_block (location)(rect 832 216 888 232)) ) (symbol (rect 472 176 640 272) (text "EqCmp4" (rect 5 0 55 15)(font "Intel Clear" (font_size 8))) - (text "inst" (rect 8 79 28 92)(font "Intel Clear" )) + (text "inst1" (rect 8 79 32 92)(font "Intel Clear" )) (port (pt 0 32) (input) @@ -111,6 +111,6 @@ https://fpgasoftware.intel.com/eula. (bus) ) (connector + (pt 656 208) (pt 640 208) - (pt 648 208) ) diff --git a/1ano/2semestre/lsd/pratica01/part4/EqCmpDemo.qsf b/1ano/2semestre/lsd/pratica01/part4/EqCmpDemo.qsf index 0f4bd65..ca5a90b 100644 --- a/1ano/2semestre/lsd/pratica01/part4/EqCmpDemo.qsf +++ b/1ano/2semestre/lsd/pratica01/part4/EqCmpDemo.qsf @@ -1176,7 +1176,9 @@ set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to EX_IO[5] set_location_assignment PIN_D9 -to EX_IO[6] set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to EX_IO[6] set_global_assignment -name BDF_FILE EqCmpDemo.bdf -set_instance_assignment -name PARTITION_HIERARCHY root_partition -to | -section_id Top set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -section_id Top set_global_assignment -name PARTITION_FITTER_PRESERVATION_LEVEL PLACEMENT_AND_ROUTING -section_id Top -set_global_assignment -name PARTITION_COLOR 16764057 -section_id Top \ No newline at end of file +set_global_assignment -name PARTITION_COLOR 16764057 -section_id Top +set_global_assignment -name VHDL_FILE EqCmp8.vhd +set_global_assignment -name VECTOR_WAVEFORM_FILE EqCmp8.vwf +set_instance_assignment -name PARTITION_HIERARCHY root_partition -to | -section_id Top \ No newline at end of file diff --git a/1ano/2semestre/lsd/pratica01/part4/EqCmpDemo.qsf.bak b/1ano/2semestre/lsd/pratica01/part4/EqCmpDemo.qsf.bak index 75ab668..34c1f6f 100644 --- a/1ano/2semestre/lsd/pratica01/part4/EqCmpDemo.qsf.bak +++ b/1ano/2semestre/lsd/pratica01/part4/EqCmpDemo.qsf.bak @@ -57,4 +57,1127 @@ set_global_assignment -name EDA_GENERATE_FUNCTIONAL_NETLIST OFF -section_id eda_ set_global_assignment -name EDA_GENERATE_FUNCTIONAL_NETLIST OFF -section_id eda_board_design_boundary_scan set_global_assignment -name BDF_FILE EqCmp4.bdf set_global_assignment -name POWER_PRESET_COOLING_SOLUTION "23 MM HEAT SINK WITH 200 LFPM AIRFLOW" -set_global_assignment -name POWER_BOARD_THERMAL_MODEL "NONE (CONSERVATIVE)" \ No newline at end of file +set_global_assignment -name POWER_BOARD_THERMAL_MODEL "NONE (CONSERVATIVE)" +set_location_assignment PIN_Y2 -to CLOCK_50 +set_instance_assignment -name IO_STANDARD "2.5 V" -to CLOCK_50 +set_instance_assignment -name RESERVE_PIN "AS INPUT TRI-STATED" -to CLOCK_50 +set_location_assignment PIN_AG14 -to CLOCK2_50 +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to CLOCK2_50 +set_instance_assignment -name RESERVE_PIN "AS INPUT TRI-STATED" -to CLOCK2_50 +set_location_assignment PIN_AG15 -to CLOCK3_50 +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to CLOCK3_50 +set_instance_assignment -name RESERVE_PIN "AS INPUT TRI-STATED" -to CLOCK3_50 +set_location_assignment PIN_AH14 -to SMA_CLKIN +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SMA_CLKIN +set_instance_assignment -name RESERVE_PIN "AS INPUT TRI-STATED" -to SMA_CLKIN +set_location_assignment PIN_AE23 -to SMA_CLKOUT +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SMA_CLKOUT +set_location_assignment PIN_M23 -to KEY[0] +set_instance_assignment -name IO_STANDARD "2.5 V" -to KEY[0] +set_instance_assignment -name RESERVE_PIN "AS INPUT TRI-STATED" -to KEY[0] +set_location_assignment PIN_M21 -to KEY[1] +set_instance_assignment -name IO_STANDARD "2.5 V" -to KEY[1] +set_instance_assignment -name RESERVE_PIN "AS INPUT TRI-STATED" -to KEY[1] +set_location_assignment PIN_N21 -to KEY[2] +set_instance_assignment -name IO_STANDARD "2.5 V" -to KEY[2] +set_instance_assignment -name RESERVE_PIN "AS INPUT TRI-STATED" -to KEY[2] +set_location_assignment PIN_R24 -to KEY[3] +set_instance_assignment -name IO_STANDARD "2.5 V" -to KEY[3] +set_instance_assignment -name RESERVE_PIN "AS INPUT TRI-STATED" -to KEY[3] +set_instance_assignment -name IO_MAXIMUM_TOGGLE_RATE "0 MHz" -to KEY +set_location_assignment PIN_AB28 -to SW[0] +set_instance_assignment -name IO_STANDARD "2.5 V" -to SW[0] +set_instance_assignment -name RESERVE_PIN "AS INPUT TRI-STATED" -to SW[0] +set_location_assignment PIN_AC28 -to SW[1] +set_instance_assignment -name IO_STANDARD "2.5 V" -to SW[1] +set_instance_assignment -name RESERVE_PIN "AS INPUT TRI-STATED" -to SW[1] +set_location_assignment PIN_AC27 -to SW[2] +set_instance_assignment -name IO_STANDARD "2.5 V" -to SW[2] +set_instance_assignment -name RESERVE_PIN "AS INPUT TRI-STATED" -to SW[2] +set_location_assignment PIN_AD27 -to SW[3] +set_instance_assignment -name IO_STANDARD "2.5 V" -to SW[3] +set_instance_assignment -name RESERVE_PIN "AS INPUT TRI-STATED" -to SW[3] +set_location_assignment PIN_AB27 -to SW[4] +set_instance_assignment -name IO_STANDARD "2.5 V" -to SW[4] +set_instance_assignment -name RESERVE_PIN "AS INPUT TRI-STATED" -to SW[4] +set_location_assignment PIN_AC26 -to SW[5] +set_instance_assignment -name IO_STANDARD "2.5 V" -to SW[5] +set_instance_assignment -name RESERVE_PIN "AS INPUT TRI-STATED" -to SW[5] +set_location_assignment PIN_AD26 -to SW[6] +set_instance_assignment -name IO_STANDARD "2.5 V" -to SW[6] +set_instance_assignment -name RESERVE_PIN "AS INPUT TRI-STATED" -to SW[6] +set_location_assignment PIN_AB26 -to SW[7] +set_instance_assignment -name IO_STANDARD "2.5 V" -to SW[7] +set_instance_assignment -name RESERVE_PIN "AS INPUT TRI-STATED" -to SW[7] +set_location_assignment PIN_AC25 -to SW[8] +set_instance_assignment -name IO_STANDARD "2.5 V" -to SW[8] +set_instance_assignment -name RESERVE_PIN "AS INPUT TRI-STATED" -to SW[8] +set_location_assignment PIN_AB25 -to SW[9] +set_instance_assignment -name IO_STANDARD "2.5 V" -to SW[9] +set_instance_assignment -name RESERVE_PIN "AS INPUT TRI-STATED" -to SW[9] +set_location_assignment PIN_AC24 -to SW[10] +set_instance_assignment -name IO_STANDARD "2.5 V" -to SW[10] +set_instance_assignment -name RESERVE_PIN "AS INPUT TRI-STATED" -to SW[10] +set_location_assignment PIN_AB24 -to SW[11] +set_instance_assignment -name IO_STANDARD "2.5 V" -to SW[11] +set_instance_assignment -name RESERVE_PIN "AS INPUT TRI-STATED" -to SW[11] +set_location_assignment PIN_AB23 -to SW[12] +set_instance_assignment -name IO_STANDARD "2.5 V" -to SW[12] +set_instance_assignment -name RESERVE_PIN "AS INPUT TRI-STATED" -to SW[12] +set_location_assignment PIN_AA24 -to SW[13] +set_instance_assignment -name IO_STANDARD "2.5 V" -to SW[13] +set_instance_assignment -name RESERVE_PIN "AS INPUT TRI-STATED" -to SW[13] +set_location_assignment PIN_AA23 -to SW[14] +set_instance_assignment -name IO_STANDARD "2.5 V" -to SW[14] +set_instance_assignment -name RESERVE_PIN "AS INPUT TRI-STATED" -to SW[14] +set_location_assignment PIN_AA22 -to SW[15] +set_instance_assignment -name IO_STANDARD "2.5 V" -to SW[15] +set_instance_assignment -name RESERVE_PIN "AS INPUT TRI-STATED" -to SW[15] +set_location_assignment PIN_Y24 -to SW[16] +set_instance_assignment -name IO_STANDARD "2.5 V" -to SW[16] +set_instance_assignment -name RESERVE_PIN "AS INPUT TRI-STATED" -to SW[16] +set_location_assignment PIN_Y23 -to SW[17] +set_instance_assignment -name IO_STANDARD "2.5 V" -to SW[17] +set_instance_assignment -name RESERVE_PIN "AS INPUT TRI-STATED" -to SW[17] +set_instance_assignment -name IO_MAXIMUM_TOGGLE_RATE "0 MHz" -to SW +set_location_assignment PIN_G19 -to LEDR[0] +set_instance_assignment -name IO_STANDARD "2.5 V" -to LEDR[0] +set_location_assignment PIN_F19 -to LEDR[1] +set_instance_assignment -name IO_STANDARD "2.5 V" -to LEDR[1] +set_location_assignment PIN_E19 -to LEDR[2] +set_instance_assignment -name IO_STANDARD "2.5 V" -to LEDR[2] +set_location_assignment PIN_F21 -to LEDR[3] +set_instance_assignment -name IO_STANDARD "2.5 V" -to LEDR[3] +set_location_assignment PIN_F18 -to LEDR[4] +set_instance_assignment -name IO_STANDARD "2.5 V" -to LEDR[4] +set_location_assignment PIN_E18 -to LEDR[5] +set_instance_assignment -name IO_STANDARD "2.5 V" -to LEDR[5] +set_location_assignment PIN_J19 -to LEDR[6] +set_instance_assignment -name IO_STANDARD "2.5 V" -to LEDR[6] +set_location_assignment PIN_H19 -to LEDR[7] +set_instance_assignment -name IO_STANDARD "2.5 V" -to LEDR[7] +set_location_assignment PIN_J17 -to LEDR[8] +set_instance_assignment -name IO_STANDARD "2.5 V" -to LEDR[8] +set_location_assignment PIN_G17 -to LEDR[9] +set_instance_assignment -name IO_STANDARD "2.5 V" -to LEDR[9] +set_location_assignment PIN_J15 -to LEDR[10] +set_instance_assignment -name IO_STANDARD "2.5 V" -to LEDR[10] +set_location_assignment PIN_H16 -to LEDR[11] +set_instance_assignment -name IO_STANDARD "2.5 V" -to LEDR[11] +set_location_assignment PIN_J16 -to LEDR[12] +set_instance_assignment -name IO_STANDARD "2.5 V" -to LEDR[12] +set_location_assignment PIN_H17 -to LEDR[13] +set_instance_assignment -name IO_STANDARD "2.5 V" -to LEDR[13] +set_location_assignment PIN_F15 -to LEDR[14] +set_instance_assignment -name IO_STANDARD "2.5 V" -to LEDR[14] +set_location_assignment PIN_G15 -to LEDR[15] +set_instance_assignment -name IO_STANDARD "2.5 V" -to LEDR[15] +set_location_assignment PIN_G16 -to LEDR[16] +set_instance_assignment -name IO_STANDARD "2.5 V" -to LEDR[16] +set_location_assignment PIN_H15 -to LEDR[17] +set_instance_assignment -name IO_STANDARD "2.5 V" -to LEDR[17] +set_location_assignment PIN_E21 -to LEDG[0] +set_instance_assignment -name IO_STANDARD "2.5 V" -to LEDG[0] +set_location_assignment PIN_E22 -to LEDG[1] +set_instance_assignment -name IO_STANDARD "2.5 V" -to LEDG[1] +set_location_assignment PIN_E25 -to LEDG[2] +set_instance_assignment -name IO_STANDARD "2.5 V" -to LEDG[2] +set_location_assignment PIN_E24 -to LEDG[3] +set_instance_assignment -name IO_STANDARD "2.5 V" -to LEDG[3] +set_location_assignment PIN_H21 -to LEDG[4] +set_instance_assignment -name IO_STANDARD "2.5 V" -to LEDG[4] +set_location_assignment PIN_G20 -to LEDG[5] +set_instance_assignment -name IO_STANDARD "2.5 V" -to LEDG[5] +set_location_assignment PIN_G22 -to LEDG[6] +set_instance_assignment -name IO_STANDARD "2.5 V" -to LEDG[6] +set_location_assignment PIN_G21 -to LEDG[7] +set_instance_assignment -name IO_STANDARD "2.5 V" -to LEDG[7] +set_location_assignment PIN_F17 -to LEDG[8] +set_instance_assignment -name IO_STANDARD "2.5 V" -to LEDG[8] +set_location_assignment PIN_G18 -to HEX0[0] +set_instance_assignment -name IO_STANDARD "2.5 V" -to HEX0[0] +set_location_assignment PIN_F22 -to HEX0[1] +set_instance_assignment -name IO_STANDARD "2.5 V" -to HEX0[1] +set_location_assignment PIN_E17 -to HEX0[2] +set_instance_assignment -name IO_STANDARD "2.5 V" -to HEX0[2] +set_location_assignment PIN_L26 -to HEX0[3] +set_instance_assignment -name IO_STANDARD "2.5 V" -to HEX0[3] +set_location_assignment PIN_L25 -to HEX0[4] +set_instance_assignment -name IO_STANDARD "2.5 V" -to HEX0[4] +set_location_assignment PIN_J22 -to HEX0[5] +set_instance_assignment -name IO_STANDARD "2.5 V" -to HEX0[5] +set_location_assignment PIN_H22 -to HEX0[6] +set_instance_assignment -name IO_STANDARD "2.5 V" -to HEX0[6] +set_instance_assignment -name IO_MAXIMUM_TOGGLE_RATE "0 MHz" -to HEX0 +set_location_assignment PIN_M24 -to HEX1[0] +set_instance_assignment -name IO_STANDARD "2.5 V" -to HEX1[0] +set_location_assignment PIN_Y22 -to HEX1[1] +set_instance_assignment -name IO_STANDARD "2.5 V" -to HEX1[1] +set_location_assignment PIN_W21 -to HEX1[2] +set_instance_assignment -name IO_STANDARD "2.5 V" -to HEX1[2] +set_location_assignment PIN_W22 -to HEX1[3] +set_instance_assignment -name IO_STANDARD "2.5 V" -to HEX1[3] +set_location_assignment PIN_W25 -to HEX1[4] +set_instance_assignment -name IO_STANDARD "2.5 V" -to HEX1[4] +set_location_assignment PIN_U23 -to HEX1[5] +set_instance_assignment -name IO_STANDARD "2.5 V" -to HEX1[5] +set_location_assignment PIN_U24 -to HEX1[6] +set_instance_assignment -name IO_STANDARD "2.5 V" -to HEX1[6] +set_instance_assignment -name IO_MAXIMUM_TOGGLE_RATE "0 MHz" -to HEX1 +set_location_assignment PIN_AA25 -to HEX2[0] +set_instance_assignment -name IO_STANDARD "2.5 V" -to HEX2[0] +set_location_assignment PIN_AA26 -to HEX2[1] +set_instance_assignment -name IO_STANDARD "2.5 V" -to HEX2[1] +set_location_assignment PIN_Y25 -to HEX2[2] +set_instance_assignment -name IO_STANDARD "2.5 V" -to HEX2[2] +set_location_assignment PIN_W26 -to HEX2[3] +set_instance_assignment -name IO_STANDARD "2.5 V" -to HEX2[3] +set_location_assignment PIN_Y26 -to HEX2[4] +set_instance_assignment -name IO_STANDARD "2.5 V" -to HEX2[4] +set_location_assignment PIN_W27 -to HEX2[5] +set_instance_assignment -name IO_STANDARD "2.5 V" -to HEX2[5] +set_location_assignment PIN_W28 -to HEX2[6] +set_instance_assignment -name IO_STANDARD "2.5 V" -to HEX2[6] +set_instance_assignment -name IO_MAXIMUM_TOGGLE_RATE "0 MHz" -to HEX2 +set_location_assignment PIN_V21 -to HEX3[0] +set_instance_assignment -name IO_STANDARD "2.5 V" -to HEX3[0] +set_location_assignment PIN_U21 -to HEX3[1] +set_instance_assignment -name IO_STANDARD "2.5 V" -to HEX3[1] +set_location_assignment PIN_AB20 -to HEX3[2] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX3[2] +set_location_assignment PIN_AA21 -to HEX3[3] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX3[3] +set_location_assignment PIN_AD24 -to HEX3[4] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX3[4] +set_location_assignment PIN_AF23 -to HEX3[5] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX3[5] +set_location_assignment PIN_Y19 -to HEX3[6] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX3[6] +set_instance_assignment -name IO_MAXIMUM_TOGGLE_RATE "0 MHz" -to HEX3[0] +set_instance_assignment -name IO_MAXIMUM_TOGGLE_RATE "0 MHz" -to HEX3[1] +set_location_assignment PIN_AB19 -to HEX4[0] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX4[0] +set_location_assignment PIN_AA19 -to HEX4[1] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX4[1] +set_location_assignment PIN_AG21 -to HEX4[2] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX4[2] +set_location_assignment PIN_AH21 -to HEX4[3] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX4[3] +set_location_assignment PIN_AE19 -to HEX4[4] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX4[4] +set_location_assignment PIN_AF19 -to HEX4[5] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX4[5] +set_location_assignment PIN_AE18 -to HEX4[6] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX4[6] +set_location_assignment PIN_AD18 -to HEX5[0] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX5[0] +set_location_assignment PIN_AC18 -to HEX5[1] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX5[1] +set_location_assignment PIN_AB18 -to HEX5[2] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX5[2] +set_location_assignment PIN_AH19 -to HEX5[3] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX5[3] +set_location_assignment PIN_AG19 -to HEX5[4] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX5[4] +set_location_assignment PIN_AF18 -to HEX5[5] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX5[5] +set_location_assignment PIN_AH18 -to HEX5[6] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX5[6] +set_location_assignment PIN_AA17 -to HEX6[0] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX6[0] +set_location_assignment PIN_AB16 -to HEX6[1] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX6[1] +set_location_assignment PIN_AA16 -to HEX6[2] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX6[2] +set_location_assignment PIN_AB17 -to HEX6[3] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX6[3] +set_location_assignment PIN_AB15 -to HEX6[4] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX6[4] +set_location_assignment PIN_AA15 -to HEX6[5] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX6[5] +set_location_assignment PIN_AC17 -to HEX6[6] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX6[6] +set_location_assignment PIN_AD17 -to HEX7[0] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX7[0] +set_location_assignment PIN_AE17 -to HEX7[1] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX7[1] +set_location_assignment PIN_AG17 -to HEX7[2] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX7[2] +set_location_assignment PIN_AH17 -to HEX7[3] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX7[3] +set_location_assignment PIN_AF17 -to HEX7[4] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX7[4] +set_location_assignment PIN_AG18 -to HEX7[5] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX7[5] +set_location_assignment PIN_AA14 -to HEX7[6] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX7[6] +set_location_assignment PIN_L3 -to LCD_DATA[0] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to LCD_DATA[0] +set_location_assignment PIN_L1 -to LCD_DATA[1] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to LCD_DATA[1] +set_location_assignment PIN_L2 -to LCD_DATA[2] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to LCD_DATA[2] +set_location_assignment PIN_K7 -to LCD_DATA[3] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to LCD_DATA[3] +set_location_assignment PIN_K1 -to LCD_DATA[4] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to LCD_DATA[4] +set_location_assignment PIN_K2 -to LCD_DATA[5] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to LCD_DATA[5] +set_location_assignment PIN_M3 -to LCD_DATA[6] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to LCD_DATA[6] +set_location_assignment PIN_M5 -to LCD_DATA[7] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to LCD_DATA[7] +set_location_assignment PIN_L6 -to LCD_BLON +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to LCD_BLON +set_location_assignment PIN_M1 -to LCD_RW +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to LCD_RW +set_location_assignment PIN_L4 -to LCD_EN +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to LCD_EN +set_location_assignment PIN_M2 -to LCD_RS +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to LCD_RS +set_location_assignment PIN_L5 -to LCD_ON +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to LCD_ON +set_location_assignment PIN_G9 -to UART_TXD +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to UART_TXD +set_location_assignment PIN_G12 -to UART_RXD +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to UART_RXD +set_instance_assignment -name RESERVE_PIN "AS INPUT TRI-STATED" -to UART_RXD +set_location_assignment PIN_G14 -to UART_CTS +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to UART_CTS +set_location_assignment PIN_J13 -to UART_RTS +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to UART_RTS +set_instance_assignment -name RESERVE_PIN "AS INPUT TRI-STATED" -to UART_RTS +set_location_assignment PIN_G6 -to PS2_CLK +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to PS2_CLK +set_location_assignment PIN_H5 -to PS2_DAT +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to PS2_DAT +set_location_assignment PIN_G5 -to PS2_CLK2 +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to PS2_CLK2 +set_location_assignment PIN_F5 -to PS2_DAT2 +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to PS2_DAT2 +set_location_assignment PIN_AE13 -to SD_CLK +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SD_CLK +set_location_assignment PIN_AD14 -to SD_CMD +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SD_CMD +set_location_assignment PIN_AF14 -to SD_WP_N +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SD_WP_N +set_instance_assignment -name RESERVE_PIN "AS INPUT TRI-STATED" -to SD_WP_N +set_location_assignment PIN_AE14 -to SD_DAT[0] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SD_DAT[0] +set_location_assignment PIN_AF13 -to SD_DAT[1] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SD_DAT[1] +set_location_assignment PIN_AB14 -to SD_DAT[2] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SD_DAT[2] +set_location_assignment PIN_AC14 -to SD_DAT[3] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SD_DAT[3] +set_location_assignment PIN_G13 -to VGA_HS +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to VGA_HS +set_location_assignment PIN_C13 -to VGA_VS +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to VGA_VS +set_location_assignment PIN_C10 -to VGA_SYNC_N +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to VGA_SYNC_N +set_location_assignment PIN_A12 -to VGA_CLK +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to VGA_CLK +set_location_assignment PIN_F11 -to VGA_BLANK_N +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to VGA_BLANK_N +set_location_assignment PIN_E12 -to VGA_R[0] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to VGA_R[0] +set_location_assignment PIN_E11 -to VGA_R[1] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to VGA_R[1] +set_location_assignment PIN_D10 -to VGA_R[2] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to VGA_R[2] +set_location_assignment PIN_F12 -to VGA_R[3] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to VGA_R[3] +set_location_assignment PIN_G10 -to VGA_R[4] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to VGA_R[4] +set_location_assignment PIN_J12 -to VGA_R[5] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to VGA_R[5] +set_location_assignment PIN_H8 -to VGA_R[6] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to VGA_R[6] +set_location_assignment PIN_H10 -to VGA_R[7] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to VGA_R[7] +set_location_assignment PIN_G8 -to VGA_G[0] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to VGA_G[0] +set_location_assignment PIN_G11 -to VGA_G[1] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to VGA_G[1] +set_location_assignment PIN_F8 -to VGA_G[2] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to VGA_G[2] +set_location_assignment PIN_H12 -to VGA_G[3] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to VGA_G[3] +set_location_assignment PIN_C8 -to VGA_G[4] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to VGA_G[4] +set_location_assignment PIN_B8 -to VGA_G[5] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to VGA_G[5] +set_location_assignment PIN_F10 -to VGA_G[6] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to VGA_G[6] +set_location_assignment PIN_C9 -to VGA_G[7] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to VGA_G[7] +set_location_assignment PIN_B10 -to VGA_B[0] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to VGA_B[0] +set_location_assignment PIN_A10 -to VGA_B[1] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to VGA_B[1] +set_location_assignment PIN_C11 -to VGA_B[2] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to VGA_B[2] +set_location_assignment PIN_B11 -to VGA_B[3] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to VGA_B[3] +set_location_assignment PIN_A11 -to VGA_B[4] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to VGA_B[4] +set_location_assignment PIN_C12 -to VGA_B[5] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to VGA_B[5] +set_location_assignment PIN_D11 -to VGA_B[6] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to VGA_B[6] +set_location_assignment PIN_D12 -to VGA_B[7] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to VGA_B[7] +set_location_assignment PIN_C2 -to AUD_ADCLRCK +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to AUD_ADCLRCK +set_location_assignment PIN_D2 -to AUD_ADCDAT +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to AUD_ADCDAT +set_instance_assignment -name RESERVE_PIN "AS INPUT TRI-STATED" -to AUD_ADCDAT +set_location_assignment PIN_E3 -to AUD_DACLRCK +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to AUD_DACLRCK +set_location_assignment PIN_D1 -to AUD_DACDAT +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to AUD_DACDAT +set_location_assignment PIN_E1 -to AUD_XCK +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to AUD_XCK +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to AUD_BCLK +set_location_assignment PIN_F2 -to AUD_BCLK +set_location_assignment PIN_D14 -to EEP_I2C_SCLK +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to EEP_I2C_SCLK +set_location_assignment PIN_E14 -to EEP_I2C_SDAT +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to EEP_I2C_SDAT +set_location_assignment PIN_B7 -to I2C_SCLK +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to I2C_SCLK +set_location_assignment PIN_A8 -to I2C_SDAT +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to I2C_SDAT +set_location_assignment PIN_A14 -to ENETCLK_25 +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to ENETCLK_25 +set_instance_assignment -name RESERVE_PIN "AS INPUT TRI-STATED" -to ENETCLK_25 +set_location_assignment PIN_C14 -to ENET0_LINK100 +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to ENET0_LINK100 +set_instance_assignment -name RESERVE_PIN "AS INPUT TRI-STATED" -to ENET0_LINK100 +set_location_assignment PIN_A17 -to ENET0_GTX_CLK +set_instance_assignment -name IO_STANDARD "2.5 V" -to ENET0_GTX_CLK +set_location_assignment PIN_C19 -to ENET0_RST_N +set_instance_assignment -name IO_STANDARD "2.5 V" -to ENET0_RST_N +set_location_assignment PIN_C20 -to ENET0_MDC +set_instance_assignment -name IO_STANDARD "2.5 V" -to ENET0_MDC +set_location_assignment PIN_B21 -to ENET0_MDIO +set_instance_assignment -name IO_STANDARD "2.5 V" -to ENET0_MDIO +set_instance_assignment -name RESERVE_PIN "AS INPUT TRI-STATED" -to ENET0_MDIO +set_location_assignment PIN_A21 -to ENET0_INT_N +set_instance_assignment -name IO_STANDARD "2.5 V" -to ENET0_INT_N +set_instance_assignment -name RESERVE_PIN "AS INPUT TRI-STATED" -to ENET0_INT_N +set_location_assignment PIN_C18 -to ENET0_TX_DATA[0] +set_instance_assignment -name IO_STANDARD "2.5 V" -to ENET0_TX_DATA[0] +set_location_assignment PIN_D19 -to ENET0_TX_DATA[1] +set_instance_assignment -name IO_STANDARD "2.5 V" -to ENET0_TX_DATA[1] +set_location_assignment PIN_A19 -to ENET0_TX_DATA[2] +set_instance_assignment -name IO_STANDARD "2.5 V" -to ENET0_TX_DATA[2] +set_location_assignment PIN_B19 -to ENET0_TX_DATA[3] +set_instance_assignment -name IO_STANDARD "2.5 V" -to ENET0_TX_DATA[3] +set_location_assignment PIN_B17 -to ENET0_TX_CLK +set_instance_assignment -name IO_STANDARD "2.5 V" -to ENET0_TX_CLK +set_instance_assignment -name RESERVE_PIN "AS INPUT TRI-STATED" -to ENET0_TX_CLK +set_location_assignment PIN_A18 -to ENET0_TX_EN +set_instance_assignment -name IO_STANDARD "2.5 V" -to ENET0_TX_EN +set_location_assignment PIN_B18 -to ENET0_TX_ER +set_instance_assignment -name IO_STANDARD "2.5 V" -to ENET0_TX_ER +set_location_assignment PIN_C16 -to ENET0_RX_DATA[0] +set_instance_assignment -name IO_STANDARD "2.5 V" -to ENET0_RX_DATA[0] +set_instance_assignment -name RESERVE_PIN "AS INPUT TRI-STATED" -to ENET0_RX_DATA[0] +set_location_assignment PIN_D16 -to ENET0_RX_DATA[1] +set_instance_assignment -name IO_STANDARD "2.5 V" -to ENET0_RX_DATA[1] +set_instance_assignment -name RESERVE_PIN "AS INPUT TRI-STATED" -to ENET0_RX_DATA[1] +set_location_assignment PIN_D17 -to ENET0_RX_DATA[2] +set_instance_assignment -name IO_STANDARD "2.5 V" -to ENET0_RX_DATA[2] +set_instance_assignment -name RESERVE_PIN "AS INPUT TRI-STATED" -to ENET0_RX_DATA[2] +set_location_assignment PIN_C15 -to ENET0_RX_DATA[3] +set_instance_assignment -name IO_STANDARD "2.5 V" -to ENET0_RX_DATA[3] +set_instance_assignment -name RESERVE_PIN "AS INPUT TRI-STATED" -to ENET0_RX_DATA[3] +set_location_assignment PIN_A15 -to ENET0_RX_CLK +set_instance_assignment -name IO_STANDARD "2.5 V" -to ENET0_RX_CLK +set_instance_assignment -name RESERVE_PIN "AS INPUT TRI-STATED" -to ENET0_RX_CLK +set_location_assignment PIN_C17 -to ENET0_RX_DV +set_instance_assignment -name IO_STANDARD "2.5 V" -to ENET0_RX_DV +set_instance_assignment -name RESERVE_PIN "AS INPUT TRI-STATED" -to ENET0_RX_DV +set_location_assignment PIN_D18 -to ENET0_RX_ER +set_instance_assignment -name IO_STANDARD "2.5 V" -to ENET0_RX_ER +set_instance_assignment -name RESERVE_PIN "AS INPUT TRI-STATED" -to ENET0_RX_ER +set_location_assignment PIN_D15 -to ENET0_RX_CRS +set_instance_assignment -name IO_STANDARD "2.5 V" -to ENET0_RX_CRS +set_instance_assignment -name RESERVE_PIN "AS INPUT TRI-STATED" -to ENET0_RX_CRS +set_location_assignment PIN_E15 -to ENET0_RX_COL +set_instance_assignment -name IO_STANDARD "2.5 V" -to ENET0_RX_COL +set_instance_assignment -name RESERVE_PIN "AS INPUT TRI-STATED" -to ENET0_RX_COL +set_location_assignment PIN_D13 -to ENET1_LINK100 +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to ENET1_LINK100 +set_instance_assignment -name RESERVE_PIN "AS INPUT TRI-STATED" -to ENET1_LINK100 +set_location_assignment PIN_C23 -to ENET1_GTX_CLK +set_instance_assignment -name IO_STANDARD "2.5 V" -to ENET1_GTX_CLK +set_location_assignment PIN_D22 -to ENET1_RST_N +set_instance_assignment -name IO_STANDARD "2.5 V" -to ENET1_RST_N +set_location_assignment PIN_D23 -to ENET1_MDC +set_instance_assignment -name IO_STANDARD "2.5 V" -to ENET1_MDC +set_location_assignment PIN_D25 -to ENET1_MDIO +set_instance_assignment -name IO_STANDARD "2.5 V" -to ENET1_MDIO +set_instance_assignment -name RESERVE_PIN "AS INPUT TRI-STATED" -to ENET1_MDIO +set_location_assignment PIN_D24 -to ENET1_INT_N +set_instance_assignment -name IO_STANDARD "2.5 V" -to ENET1_INT_N +set_instance_assignment -name RESERVE_PIN "AS INPUT TRI-STATED" -to ENET1_INT_N +set_location_assignment PIN_C25 -to ENET1_TX_DATA[0] +set_instance_assignment -name IO_STANDARD "2.5 V" -to ENET1_TX_DATA[0] +set_location_assignment PIN_A26 -to ENET1_TX_DATA[1] +set_instance_assignment -name IO_STANDARD "2.5 V" -to ENET1_TX_DATA[1] +set_location_assignment PIN_B26 -to ENET1_TX_DATA[2] +set_instance_assignment -name IO_STANDARD "2.5 V" -to ENET1_TX_DATA[2] +set_location_assignment PIN_C26 -to ENET1_TX_DATA[3] +set_instance_assignment -name IO_STANDARD "2.5 V" -to ENET1_TX_DATA[3] +set_location_assignment PIN_C22 -to ENET1_TX_CLK +set_instance_assignment -name IO_STANDARD "2.5 V" -to ENET1_TX_CLK +set_instance_assignment -name RESERVE_PIN "AS INPUT TRI-STATED" -to ENET1_TX_CLK +set_location_assignment PIN_B25 -to ENET1_TX_EN +set_instance_assignment -name IO_STANDARD "2.5 V" -to ENET1_TX_EN +set_location_assignment PIN_A25 -to ENET1_TX_ER +set_instance_assignment -name IO_STANDARD "2.5 V" -to ENET1_TX_ER +set_location_assignment PIN_B23 -to ENET1_RX_DATA[0] +set_instance_assignment -name IO_STANDARD "2.5 V" -to ENET1_RX_DATA[0] +set_instance_assignment -name RESERVE_PIN "AS INPUT TRI-STATED" -to ENET1_RX_DATA[0] +set_location_assignment PIN_C21 -to ENET1_RX_DATA[1] +set_instance_assignment -name IO_STANDARD "2.5 V" -to ENET1_RX_DATA[1] +set_instance_assignment -name RESERVE_PIN "AS INPUT TRI-STATED" -to ENET1_RX_DATA[1] +set_location_assignment PIN_A23 -to ENET1_RX_DATA[2] +set_instance_assignment -name IO_STANDARD "2.5 V" -to ENET1_RX_DATA[2] +set_instance_assignment -name RESERVE_PIN "AS INPUT TRI-STATED" -to ENET1_RX_DATA[2] +set_location_assignment PIN_D21 -to ENET1_RX_DATA[3] +set_instance_assignment -name IO_STANDARD "2.5 V" -to ENET1_RX_DATA[3] +set_instance_assignment -name RESERVE_PIN "AS INPUT TRI-STATED" -to ENET1_RX_DATA[3] +set_location_assignment PIN_B15 -to ENET1_RX_CLK +set_instance_assignment -name IO_STANDARD "2.5 V" -to ENET1_RX_CLK +set_instance_assignment -name RESERVE_PIN "AS INPUT TRI-STATED" -to ENET1_RX_CLK +set_location_assignment PIN_A22 -to ENET1_RX_DV +set_instance_assignment -name IO_STANDARD "2.5 V" -to ENET1_RX_DV +set_instance_assignment -name RESERVE_PIN "AS INPUT TRI-STATED" -to ENET1_RX_DV +set_location_assignment PIN_C24 -to ENET1_RX_ER +set_instance_assignment -name IO_STANDARD "2.5 V" -to ENET1_RX_ER +set_instance_assignment -name RESERVE_PIN "AS INPUT TRI-STATED" -to ENET1_RX_ER +set_location_assignment PIN_D20 -to ENET1_RX_CRS +set_instance_assignment -name IO_STANDARD "2.5 V" -to ENET1_RX_CRS +set_instance_assignment -name RESERVE_PIN "AS INPUT TRI-STATED" -to ENET1_RX_CRS +set_location_assignment PIN_B22 -to ENET1_RX_COL +set_instance_assignment -name IO_STANDARD "2.5 V" -to ENET1_RX_COL +set_instance_assignment -name RESERVE_PIN "AS INPUT TRI-STATED" -to ENET1_RX_COL +set_location_assignment PIN_E5 -to TD_HS +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to TD_HS +set_instance_assignment -name RESERVE_PIN "AS INPUT TRI-STATED" -to TD_HS +set_location_assignment PIN_E4 -to TD_VS +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to TD_VS +set_instance_assignment -name RESERVE_PIN "AS INPUT TRI-STATED" -to TD_VS +set_location_assignment PIN_B14 -to TD_CLK27 +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to TD_CLK27 +set_instance_assignment -name RESERVE_PIN "AS INPUT TRI-STATED" -to TD_CLK27 +set_location_assignment PIN_G7 -to TD_RESET_N +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to TD_RESET_N +set_location_assignment PIN_E8 -to TD_DATA[0] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to TD_DATA[0] +set_instance_assignment -name RESERVE_PIN "AS INPUT TRI-STATED" -to TD_DATA[0] +set_location_assignment PIN_A7 -to TD_DATA[1] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to TD_DATA[1] +set_instance_assignment -name RESERVE_PIN "AS INPUT TRI-STATED" -to TD_DATA[1] +set_location_assignment PIN_D8 -to TD_DATA[2] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to TD_DATA[2] +set_instance_assignment -name RESERVE_PIN "AS INPUT TRI-STATED" -to TD_DATA[2] +set_location_assignment PIN_C7 -to TD_DATA[3] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to TD_DATA[3] +set_instance_assignment -name RESERVE_PIN "AS INPUT TRI-STATED" -to TD_DATA[3] +set_location_assignment PIN_D7 -to TD_DATA[4] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to TD_DATA[4] +set_instance_assignment -name RESERVE_PIN "AS INPUT TRI-STATED" -to TD_DATA[4] +set_location_assignment PIN_D6 -to TD_DATA[5] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to TD_DATA[5] +set_instance_assignment -name RESERVE_PIN "AS INPUT TRI-STATED" -to TD_DATA[5] +set_location_assignment PIN_E7 -to TD_DATA[6] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to TD_DATA[6] +set_instance_assignment -name RESERVE_PIN "AS INPUT TRI-STATED" -to TD_DATA[6] +set_location_assignment PIN_F7 -to TD_DATA[7] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to TD_DATA[7] +set_instance_assignment -name RESERVE_PIN "AS INPUT TRI-STATED" -to TD_DATA[7] +set_location_assignment PIN_J6 -to OTG_DATA[0] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to OTG_DATA[0] +set_location_assignment PIN_K4 -to OTG_DATA[1] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to OTG_DATA[1] +set_location_assignment PIN_J5 -to OTG_DATA[2] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to OTG_DATA[2] +set_location_assignment PIN_K3 -to OTG_DATA[3] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to OTG_DATA[3] +set_location_assignment PIN_J4 -to OTG_DATA[4] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to OTG_DATA[4] +set_location_assignment PIN_J3 -to OTG_DATA[5] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to OTG_DATA[5] +set_location_assignment PIN_J7 -to OTG_DATA[6] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to OTG_DATA[6] +set_location_assignment PIN_H6 -to OTG_DATA[7] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to OTG_DATA[7] +set_location_assignment PIN_H3 -to OTG_DATA[8] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to OTG_DATA[8] +set_location_assignment PIN_H4 -to OTG_DATA[9] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to OTG_DATA[9] +set_location_assignment PIN_G1 -to OTG_DATA[10] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to OTG_DATA[10] +set_location_assignment PIN_G2 -to OTG_DATA[11] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to OTG_DATA[11] +set_location_assignment PIN_G3 -to OTG_DATA[12] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to OTG_DATA[12] +set_location_assignment PIN_F1 -to OTG_DATA[13] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to OTG_DATA[13] +set_location_assignment PIN_F3 -to OTG_DATA[14] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to OTG_DATA[14] +set_location_assignment PIN_G4 -to OTG_DATA[15] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to OTG_DATA[15] +set_location_assignment PIN_H7 -to OTG_ADDR[0] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to OTG_ADDR[0] +set_location_assignment PIN_C3 -to OTG_ADDR[1] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to OTG_ADDR[1] +set_location_assignment PIN_J1 -to OTG_DREQ[0] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to OTG_DREQ[0] +set_location_assignment PIN_A3 -to OTG_CS_N +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to OTG_CS_N +set_location_assignment PIN_A4 -to OTG_WR_N +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to OTG_WR_N +set_location_assignment PIN_B3 -to OTG_RD_N +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to OTG_RD_N +set_location_assignment PIN_D5 -to OTG_INT +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to OTG_INT +set_instance_assignment -name RESERVE_PIN "AS INPUT TRI-STATED" -to OTG_INT +set_location_assignment PIN_C5 -to OTG_RST_N +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to OTG_RST_N +set_location_assignment PIN_Y15 -to IRDA_RXD +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to IRDA_RXD +set_instance_assignment -name RESERVE_PIN "AS INPUT TRI-STATED" -to IRDA_RXD +set_location_assignment PIN_U7 -to DRAM_BA[0] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_BA[0] +set_location_assignment PIN_R4 -to DRAM_BA[1] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_BA[1] +set_location_assignment PIN_U2 -to DRAM_DQM[0] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQM[0] +set_location_assignment PIN_W4 -to DRAM_DQM[1] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQM[1] +set_location_assignment PIN_K8 -to DRAM_DQM[2] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQM[2] +set_location_assignment PIN_N8 -to DRAM_DQM[3] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQM[3] +set_location_assignment PIN_U6 -to DRAM_RAS_N +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_RAS_N +set_location_assignment PIN_V7 -to DRAM_CAS_N +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_CAS_N +set_location_assignment PIN_AA6 -to DRAM_CKE +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_CKE +set_location_assignment PIN_AE5 -to DRAM_CLK +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_CLK +set_location_assignment PIN_V6 -to DRAM_WE_N +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_WE_N +set_location_assignment PIN_T4 -to DRAM_CS_N +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_CS_N +set_location_assignment PIN_W3 -to DRAM_DQ[0] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[0] +set_location_assignment PIN_W2 -to DRAM_DQ[1] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[1] +set_location_assignment PIN_V4 -to DRAM_DQ[2] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[2] +set_location_assignment PIN_W1 -to DRAM_DQ[3] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[3] +set_location_assignment PIN_V3 -to DRAM_DQ[4] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[4] +set_location_assignment PIN_V2 -to DRAM_DQ[5] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[5] +set_location_assignment PIN_V1 -to DRAM_DQ[6] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[6] +set_location_assignment PIN_U3 -to DRAM_DQ[7] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[7] +set_location_assignment PIN_Y3 -to DRAM_DQ[8] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[8] +set_location_assignment PIN_Y4 -to DRAM_DQ[9] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[9] +set_location_assignment PIN_AB1 -to DRAM_DQ[10] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[10] +set_location_assignment PIN_AA3 -to DRAM_DQ[11] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[11] +set_location_assignment PIN_AB2 -to DRAM_DQ[12] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[12] +set_location_assignment PIN_AC1 -to DRAM_DQ[13] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[13] +set_location_assignment PIN_AB3 -to DRAM_DQ[14] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[14] +set_location_assignment PIN_AC2 -to DRAM_DQ[15] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[15] +set_location_assignment PIN_M8 -to DRAM_DQ[16] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[16] +set_location_assignment PIN_L8 -to DRAM_DQ[17] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[17] +set_location_assignment PIN_P2 -to DRAM_DQ[18] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[18] +set_location_assignment PIN_N3 -to DRAM_DQ[19] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[19] +set_location_assignment PIN_N4 -to DRAM_DQ[20] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[20] +set_location_assignment PIN_M4 -to DRAM_DQ[21] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[21] +set_location_assignment PIN_M7 -to DRAM_DQ[22] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[22] +set_location_assignment PIN_L7 -to DRAM_DQ[23] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[23] +set_location_assignment PIN_U5 -to DRAM_DQ[24] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[24] +set_location_assignment PIN_R7 -to DRAM_DQ[25] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[25] +set_location_assignment PIN_R1 -to DRAM_DQ[26] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[26] +set_location_assignment PIN_R2 -to DRAM_DQ[27] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[27] +set_location_assignment PIN_R3 -to DRAM_DQ[28] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[28] +set_location_assignment PIN_T3 -to DRAM_DQ[29] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[29] +set_location_assignment PIN_U4 -to DRAM_DQ[30] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[30] +set_location_assignment PIN_U1 -to DRAM_DQ[31] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[31] +set_location_assignment PIN_R6 -to DRAM_ADDR[0] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_ADDR[0] +set_location_assignment PIN_V8 -to DRAM_ADDR[1] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_ADDR[1] +set_location_assignment PIN_U8 -to DRAM_ADDR[2] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_ADDR[2] +set_location_assignment PIN_P1 -to DRAM_ADDR[3] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_ADDR[3] +set_location_assignment PIN_V5 -to DRAM_ADDR[4] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_ADDR[4] +set_location_assignment PIN_W8 -to DRAM_ADDR[5] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_ADDR[5] +set_location_assignment PIN_W7 -to DRAM_ADDR[6] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_ADDR[6] +set_location_assignment PIN_AA7 -to DRAM_ADDR[7] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_ADDR[7] +set_location_assignment PIN_Y5 -to DRAM_ADDR[8] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_ADDR[8] +set_location_assignment PIN_Y6 -to DRAM_ADDR[9] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_ADDR[9] +set_location_assignment PIN_R5 -to DRAM_ADDR[10] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_ADDR[10] +set_location_assignment PIN_AA5 -to DRAM_ADDR[11] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_ADDR[11] +set_location_assignment PIN_Y7 -to DRAM_ADDR[12] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_ADDR[12] +set_location_assignment PIN_AB7 -to SRAM_ADDR[0] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SRAM_ADDR[0] +set_location_assignment PIN_AD7 -to SRAM_ADDR[1] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SRAM_ADDR[1] +set_location_assignment PIN_AE7 -to SRAM_ADDR[2] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SRAM_ADDR[2] +set_location_assignment PIN_AC7 -to SRAM_ADDR[3] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SRAM_ADDR[3] +set_location_assignment PIN_AB6 -to SRAM_ADDR[4] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SRAM_ADDR[4] +set_location_assignment PIN_AE6 -to SRAM_ADDR[5] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SRAM_ADDR[5] +set_location_assignment PIN_AB5 -to SRAM_ADDR[6] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SRAM_ADDR[6] +set_location_assignment PIN_AC5 -to SRAM_ADDR[7] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SRAM_ADDR[7] +set_location_assignment PIN_AF5 -to SRAM_ADDR[8] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SRAM_ADDR[8] +set_location_assignment PIN_T7 -to SRAM_ADDR[9] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SRAM_ADDR[9] +set_location_assignment PIN_AF2 -to SRAM_ADDR[10] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SRAM_ADDR[10] +set_location_assignment PIN_AD3 -to SRAM_ADDR[11] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SRAM_ADDR[11] +set_location_assignment PIN_AB4 -to SRAM_ADDR[12] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SRAM_ADDR[12] +set_location_assignment PIN_AC3 -to SRAM_ADDR[13] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SRAM_ADDR[13] +set_location_assignment PIN_AA4 -to SRAM_ADDR[14] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SRAM_ADDR[14] +set_location_assignment PIN_AB11 -to SRAM_ADDR[15] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SRAM_ADDR[15] +set_location_assignment PIN_AC11 -to SRAM_ADDR[16] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SRAM_ADDR[16] +set_location_assignment PIN_AB9 -to SRAM_ADDR[17] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SRAM_ADDR[17] +set_location_assignment PIN_AB8 -to SRAM_ADDR[18] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SRAM_ADDR[18] +set_location_assignment PIN_T8 -to SRAM_ADDR[19] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SRAM_ADDR[19] +set_location_assignment PIN_AH3 -to SRAM_DQ[0] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SRAM_DQ[0] +set_location_assignment PIN_AF4 -to SRAM_DQ[1] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SRAM_DQ[1] +set_location_assignment PIN_AG4 -to SRAM_DQ[2] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SRAM_DQ[2] +set_location_assignment PIN_AH4 -to SRAM_DQ[3] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SRAM_DQ[3] +set_location_assignment PIN_AF6 -to SRAM_DQ[4] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SRAM_DQ[4] +set_location_assignment PIN_AG6 -to SRAM_DQ[5] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SRAM_DQ[5] +set_location_assignment PIN_AH6 -to SRAM_DQ[6] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SRAM_DQ[6] +set_location_assignment PIN_AF7 -to SRAM_DQ[7] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SRAM_DQ[7] +set_location_assignment PIN_AD1 -to SRAM_DQ[8] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SRAM_DQ[8] +set_location_assignment PIN_AD2 -to SRAM_DQ[9] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SRAM_DQ[9] +set_location_assignment PIN_AE2 -to SRAM_DQ[10] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SRAM_DQ[10] +set_location_assignment PIN_AE1 -to SRAM_DQ[11] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SRAM_DQ[11] +set_location_assignment PIN_AE3 -to SRAM_DQ[12] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SRAM_DQ[12] +set_location_assignment PIN_AE4 -to SRAM_DQ[13] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SRAM_DQ[13] +set_location_assignment PIN_AF3 -to SRAM_DQ[14] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SRAM_DQ[14] +set_location_assignment PIN_AG3 -to SRAM_DQ[15] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SRAM_DQ[15] +set_location_assignment PIN_AC4 -to SRAM_UB_N +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SRAM_UB_N +set_location_assignment PIN_AD4 -to SRAM_LB_N +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SRAM_LB_N +set_location_assignment PIN_AF8 -to SRAM_CE_N +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SRAM_CE_N +set_location_assignment PIN_AD5 -to SRAM_OE_N +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SRAM_OE_N +set_location_assignment PIN_AE8 -to SRAM_WE_N +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SRAM_WE_N +set_location_assignment PIN_AG12 -to FL_ADDR[0] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to FL_ADDR[0] +set_location_assignment PIN_AH7 -to FL_ADDR[1] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to FL_ADDR[1] +set_location_assignment PIN_Y13 -to FL_ADDR[2] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to FL_ADDR[2] +set_location_assignment PIN_Y14 -to FL_ADDR[3] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to FL_ADDR[3] +set_location_assignment PIN_Y12 -to FL_ADDR[4] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to FL_ADDR[4] +set_location_assignment PIN_AA13 -to FL_ADDR[5] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to FL_ADDR[5] +set_location_assignment PIN_AA12 -to FL_ADDR[6] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to FL_ADDR[6] +set_location_assignment PIN_AB13 -to FL_ADDR[7] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to FL_ADDR[7] +set_location_assignment PIN_AB12 -to FL_ADDR[8] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to FL_ADDR[8] +set_location_assignment PIN_AB10 -to FL_ADDR[9] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to FL_ADDR[9] +set_location_assignment PIN_AE9 -to FL_ADDR[10] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to FL_ADDR[10] +set_location_assignment PIN_AF9 -to FL_ADDR[11] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to FL_ADDR[11] +set_location_assignment PIN_AA10 -to FL_ADDR[12] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to FL_ADDR[12] +set_location_assignment PIN_AD8 -to FL_ADDR[13] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to FL_ADDR[13] +set_location_assignment PIN_AC8 -to FL_ADDR[14] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to FL_ADDR[14] +set_location_assignment PIN_Y10 -to FL_ADDR[15] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to FL_ADDR[15] +set_location_assignment PIN_AA8 -to FL_ADDR[16] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to FL_ADDR[16] +set_location_assignment PIN_AH12 -to FL_ADDR[17] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to FL_ADDR[17] +set_location_assignment PIN_AC12 -to FL_ADDR[18] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to FL_ADDR[18] +set_location_assignment PIN_AD12 -to FL_ADDR[19] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to FL_ADDR[19] +set_location_assignment PIN_AE10 -to FL_ADDR[20] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to FL_ADDR[20] +set_location_assignment PIN_AD10 -to FL_ADDR[21] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to FL_ADDR[21] +set_location_assignment PIN_AD11 -to FL_ADDR[22] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to FL_ADDR[22] +set_location_assignment PIN_AH8 -to FL_DQ[0] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to FL_DQ[0] +set_location_assignment PIN_AF10 -to FL_DQ[1] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to FL_DQ[1] +set_location_assignment PIN_AG10 -to FL_DQ[2] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to FL_DQ[2] +set_location_assignment PIN_AH10 -to FL_DQ[3] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to FL_DQ[3] +set_location_assignment PIN_AF11 -to FL_DQ[4] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to FL_DQ[4] +set_location_assignment PIN_AG11 -to FL_DQ[5] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to FL_DQ[5] +set_location_assignment PIN_AH11 -to FL_DQ[6] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to FL_DQ[6] +set_location_assignment PIN_AF12 -to FL_DQ[7] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to FL_DQ[7] +set_location_assignment PIN_AG7 -to FL_CE_N +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to FL_CE_N +set_location_assignment PIN_AG8 -to FL_OE_N +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to FL_OE_N +set_location_assignment PIN_AE11 -to FL_RST_N +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to FL_RST_N +set_location_assignment PIN_Y1 -to FL_RY +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to FL_RY +set_instance_assignment -name RESERVE_PIN "AS INPUT TRI-STATED" -to FL_RY +set_location_assignment PIN_AC10 -to FL_WE_N +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to FL_WE_N +set_location_assignment PIN_AE12 -to FL_WP_N +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to FL_WP_N +set_location_assignment PIN_AB22 -to GPIO[0] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO[0] +set_location_assignment PIN_AC15 -to GPIO[1] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO[1] +set_location_assignment PIN_AB21 -to GPIO[2] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO[2] +set_location_assignment PIN_Y17 -to GPIO[3] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO[3] +set_location_assignment PIN_AC21 -to GPIO[4] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO[4] +set_location_assignment PIN_Y16 -to GPIO[5] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO[5] +set_location_assignment PIN_AD21 -to GPIO[6] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO[6] +set_location_assignment PIN_AE16 -to GPIO[7] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO[7] +set_location_assignment PIN_AD15 -to GPIO[8] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO[8] +set_location_assignment PIN_AE15 -to GPIO[9] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO[9] +set_location_assignment PIN_AC19 -to GPIO[10] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO[10] +set_location_assignment PIN_AF16 -to GPIO[11] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO[11] +set_location_assignment PIN_AD19 -to GPIO[12] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO[12] +set_location_assignment PIN_AF15 -to GPIO[13] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO[13] +set_location_assignment PIN_AF24 -to GPIO[14] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO[14] +set_location_assignment PIN_AE21 -to GPIO[15] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO[15] +set_location_assignment PIN_AF25 -to GPIO[16] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO[16] +set_location_assignment PIN_AC22 -to GPIO[17] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO[17] +set_location_assignment PIN_AE22 -to GPIO[18] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO[18] +set_location_assignment PIN_AF21 -to GPIO[19] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO[19] +set_location_assignment PIN_AF22 -to GPIO[20] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO[20] +set_location_assignment PIN_AD22 -to GPIO[21] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO[21] +set_location_assignment PIN_AG25 -to GPIO[22] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO[22] +set_location_assignment PIN_AD25 -to GPIO[23] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO[23] +set_location_assignment PIN_AH25 -to GPIO[24] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO[24] +set_location_assignment PIN_AE25 -to GPIO[25] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO[25] +set_location_assignment PIN_AG22 -to GPIO[26] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO[26] +set_location_assignment PIN_AE24 -to GPIO[27] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO[27] +set_location_assignment PIN_AH22 -to GPIO[28] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO[28] +set_location_assignment PIN_AF26 -to GPIO[29] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO[29] +set_location_assignment PIN_AE20 -to GPIO[30] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO[30] +set_location_assignment PIN_AG23 -to GPIO[31] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO[31] +set_location_assignment PIN_AF20 -to GPIO[32] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO[32] +set_location_assignment PIN_AH26 -to GPIO[33] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO[33] +set_location_assignment PIN_AH23 -to GPIO[34] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO[34] +set_location_assignment PIN_AG26 -to GPIO[35] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO[35] +set_location_assignment PIN_AH15 -to HSMC_CLKIN0 +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HSMC_CLKIN0 +set_instance_assignment -name RESERVE_PIN "AS INPUT TRI-STATED" -to HSMC_CLKIN0 +set_location_assignment PIN_AD28 -to HSMC_CLKOUT0 +set_instance_assignment -name IO_STANDARD "2.5 V" -to HSMC_CLKOUT0 +set_location_assignment PIN_AE26 -to HSMC_D[0] +set_instance_assignment -name IO_STANDARD "2.5 V" -to HSMC_D[0] +set_location_assignment PIN_AE28 -to HSMC_D[1] +set_instance_assignment -name IO_STANDARD "2.5 V" -to HSMC_D[1] +set_location_assignment PIN_AE27 -to HSMC_D[2] +set_instance_assignment -name IO_STANDARD "2.5 V" -to HSMC_D[2] +set_location_assignment PIN_AF27 -to HSMC_D[3] +set_instance_assignment -name IO_STANDARD "2.5 V" -to HSMC_D[3] +set_location_assignment PIN_J27 -to HSMC_CLKIN_P1 +set_instance_assignment -name IO_STANDARD LVDS -to HSMC_CLKIN_P1 +set_location_assignment PIN_J28 -to HSMC_CLKIN_N1 +set_instance_assignment -name IO_STANDARD LVDS -to HSMC_CLKIN_N1 +set_location_assignment PIN_G23 -to HSMC_CLKOUT_P1 +set_instance_assignment -name IO_STANDARD LVDS -to HSMC_CLKOUT_P1 +set_location_assignment PIN_G24 -to HSMC_CLKOUT_N1 +set_instance_assignment -name IO_STANDARD LVDS -to HSMC_CLKOUT_N1 +set_location_assignment PIN_Y27 -to HSMC_CLKIN_P2 +set_instance_assignment -name IO_STANDARD LVDS -to HSMC_CLKIN_P2 +set_location_assignment PIN_Y28 -to HSMC_CLKIN_N2 +set_instance_assignment -name IO_STANDARD LVDS -to HSMC_CLKIN_N2 +set_location_assignment PIN_V23 -to HSMC_CLKOUT_P2 +set_instance_assignment -name IO_STANDARD LVDS -to HSMC_CLKOUT_P2 +set_location_assignment PIN_V24 -to HSMC_CLKOUT_N2 +set_instance_assignment -name IO_STANDARD LVDS -to HSMC_CLKOUT_N2 +set_location_assignment PIN_D27 -to HSMC_TX_D_P[0] +set_instance_assignment -name IO_STANDARD LVDS -to HSMC_TX_D_P[0] +set_location_assignment PIN_D28 -to HSMC_TX_D_N[0] +set_instance_assignment -name IO_STANDARD LVDS -to HSMC_TX_D_N[0] +set_location_assignment PIN_E27 -to HSMC_TX_D_P[1] +set_instance_assignment -name IO_STANDARD LVDS -to HSMC_TX_D_P[1] +set_location_assignment PIN_E28 -to HSMC_TX_D_N[1] +set_instance_assignment -name IO_STANDARD LVDS -to HSMC_TX_D_N[1] +set_location_assignment PIN_F27 -to HSMC_TX_D_P[2] +set_instance_assignment -name IO_STANDARD LVDS -to HSMC_TX_D_P[2] +set_location_assignment PIN_F28 -to HSMC_TX_D_N[2] +set_instance_assignment -name IO_STANDARD LVDS -to HSMC_TX_D_N[2] +set_location_assignment PIN_G27 -to HSMC_TX_D_P[3] +set_instance_assignment -name IO_STANDARD LVDS -to HSMC_TX_D_P[3] +set_location_assignment PIN_G28 -to HSMC_TX_D_N[3] +set_instance_assignment -name IO_STANDARD LVDS -to HSMC_TX_D_N[3] +set_location_assignment PIN_K27 -to HSMC_TX_D_P[4] +set_instance_assignment -name IO_STANDARD LVDS -to HSMC_TX_D_P[4] +set_location_assignment PIN_K28 -to HSMC_TX_D_N[4] +set_instance_assignment -name IO_STANDARD LVDS -to HSMC_TX_D_N[4] +set_location_assignment PIN_M27 -to HSMC_TX_D_P[5] +set_instance_assignment -name IO_STANDARD LVDS -to HSMC_TX_D_P[5] +set_location_assignment PIN_M28 -to HSMC_TX_D_N[5] +set_instance_assignment -name IO_STANDARD LVDS -to HSMC_TX_D_N[5] +set_location_assignment PIN_K21 -to HSMC_TX_D_P[6] +set_instance_assignment -name IO_STANDARD LVDS -to HSMC_TX_D_P[6] +set_location_assignment PIN_K22 -to HSMC_TX_D_N[6] +set_instance_assignment -name IO_STANDARD LVDS -to HSMC_TX_D_N[6] +set_location_assignment PIN_H23 -to HSMC_TX_D_P[7] +set_instance_assignment -name IO_STANDARD LVDS -to HSMC_TX_D_P[7] +set_location_assignment PIN_H24 -to HSMC_TX_D_N[7] +set_instance_assignment -name IO_STANDARD LVDS -to HSMC_TX_D_N[7] +set_location_assignment PIN_J23 -to HSMC_TX_D_P[8] +set_instance_assignment -name IO_STANDARD LVDS -to HSMC_TX_D_P[8] +set_location_assignment PIN_J24 -to HSMC_TX_D_N[8] +set_instance_assignment -name IO_STANDARD LVDS -to HSMC_TX_D_N[8] +set_location_assignment PIN_P27 -to HSMC_TX_D_P[9] +set_instance_assignment -name IO_STANDARD LVDS -to HSMC_TX_D_P[9] +set_location_assignment PIN_P28 -to HSMC_TX_D_N[9] +set_instance_assignment -name IO_STANDARD LVDS -to HSMC_TX_D_N[9] +set_location_assignment PIN_J25 -to HSMC_TX_D_P[10] +set_instance_assignment -name IO_STANDARD LVDS -to HSMC_TX_D_P[10] +set_location_assignment PIN_J26 -to HSMC_TX_D_N[10] +set_instance_assignment -name IO_STANDARD LVDS -to HSMC_TX_D_N[10] +set_location_assignment PIN_L27 -to HSMC_TX_D_P[11] +set_instance_assignment -name IO_STANDARD LVDS -to HSMC_TX_D_P[11] +set_location_assignment PIN_L28 -to HSMC_TX_D_N[11] +set_instance_assignment -name IO_STANDARD LVDS -to HSMC_TX_D_N[11] +set_location_assignment PIN_V25 -to HSMC_TX_D_P[12] +set_instance_assignment -name IO_STANDARD LVDS -to HSMC_TX_D_P[12] +set_location_assignment PIN_V26 -to HSMC_TX_D_N[12] +set_instance_assignment -name IO_STANDARD LVDS -to HSMC_TX_D_N[12] +set_location_assignment PIN_R27 -to HSMC_TX_D_P[13] +set_instance_assignment -name IO_STANDARD LVDS -to HSMC_TX_D_P[13] +set_location_assignment PIN_R28 -to HSMC_TX_D_N[13] +set_instance_assignment -name IO_STANDARD LVDS -to HSMC_TX_D_N[13] +set_location_assignment PIN_U27 -to HSMC_TX_D_P[14] +set_instance_assignment -name IO_STANDARD LVDS -to HSMC_TX_D_P[14] +set_location_assignment PIN_U28 -to HSMC_TX_D_N[14] +set_instance_assignment -name IO_STANDARD LVDS -to HSMC_TX_D_N[14] +set_location_assignment PIN_V27 -to HSMC_TX_D_P[15] +set_instance_assignment -name IO_STANDARD LVDS -to HSMC_TX_D_P[15] +set_location_assignment PIN_V28 -to HSMC_TX_D_N[15] +set_instance_assignment -name IO_STANDARD LVDS -to HSMC_TX_D_N[15] +set_location_assignment PIN_U22 -to HSMC_TX_D_P[16] +set_instance_assignment -name IO_STANDARD LVDS -to HSMC_TX_D_P[16] +set_location_assignment PIN_V22 -to HSMC_TX_D_N[16] +set_instance_assignment -name IO_STANDARD LVDS -to HSMC_TX_D_N[16] +set_location_assignment PIN_F24 -to HSMC_RX_D_P[0] +set_instance_assignment -name IO_STANDARD LVDS -to HSMC_RX_D_P[0] +set_location_assignment PIN_F25 -to HSMC_RX_D_N[0] +set_instance_assignment -name IO_STANDARD LVDS -to HSMC_RX_D_N[0] +set_location_assignment PIN_D26 -to HSMC_RX_D_P[1] +set_instance_assignment -name IO_STANDARD LVDS -to HSMC_RX_D_P[1] +set_location_assignment PIN_C27 -to HSMC_RX_D_N[1] +set_instance_assignment -name IO_STANDARD LVDS -to HSMC_RX_D_N[1] +set_location_assignment PIN_F26 -to HSMC_RX_D_P[2] +set_instance_assignment -name IO_STANDARD LVDS -to HSMC_RX_D_P[2] +set_location_assignment PIN_E26 -to HSMC_RX_D_N[2] +set_instance_assignment -name IO_STANDARD LVDS -to HSMC_RX_D_N[2] +set_location_assignment PIN_G25 -to HSMC_RX_D_P[3] +set_instance_assignment -name IO_STANDARD LVDS -to HSMC_RX_D_P[3] +set_location_assignment PIN_G26 -to HSMC_RX_D_N[3] +set_instance_assignment -name IO_STANDARD LVDS -to HSMC_RX_D_N[3] +set_location_assignment PIN_H25 -to HSMC_RX_D_P[4] +set_instance_assignment -name IO_STANDARD LVDS -to HSMC_RX_D_P[4] +set_location_assignment PIN_H26 -to HSMC_RX_D_N[4] +set_instance_assignment -name IO_STANDARD LVDS -to HSMC_RX_D_N[4] +set_location_assignment PIN_K25 -to HSMC_RX_D_P[5] +set_instance_assignment -name IO_STANDARD LVDS -to HSMC_RX_D_P[5] +set_location_assignment PIN_K26 -to HSMC_RX_D_N[5] +set_instance_assignment -name IO_STANDARD LVDS -to HSMC_RX_D_N[5] +set_location_assignment PIN_L23 -to HSMC_RX_D_P[6] +set_instance_assignment -name IO_STANDARD LVDS -to HSMC_RX_D_P[6] +set_location_assignment PIN_L24 -to HSMC_RX_D_N[6] +set_instance_assignment -name IO_STANDARD LVDS -to HSMC_RX_D_N[6] +set_location_assignment PIN_M25 -to HSMC_RX_D_P[7] +set_instance_assignment -name IO_STANDARD LVDS -to HSMC_RX_D_P[7] +set_location_assignment PIN_M26 -to HSMC_RX_D_N[7] +set_instance_assignment -name IO_STANDARD LVDS -to HSMC_RX_D_N[7] +set_location_assignment PIN_R25 -to HSMC_RX_D_P[8] +set_instance_assignment -name IO_STANDARD LVDS -to HSMC_RX_D_P[8] +set_location_assignment PIN_R26 -to HSMC_RX_D_N[8] +set_instance_assignment -name IO_STANDARD LVDS -to HSMC_RX_D_N[8] +set_location_assignment PIN_T25 -to HSMC_RX_D_P[9] +set_instance_assignment -name IO_STANDARD LVDS -to HSMC_RX_D_P[9] +set_location_assignment PIN_T26 -to HSMC_RX_D_N[9] +set_instance_assignment -name IO_STANDARD LVDS -to HSMC_RX_D_N[9] +set_location_assignment PIN_U25 -to HSMC_RX_D_P[10] +set_instance_assignment -name IO_STANDARD LVDS -to HSMC_RX_D_P[10] +set_location_assignment PIN_U26 -to HSMC_RX_D_N[10] +set_instance_assignment -name IO_STANDARD LVDS -to HSMC_RX_D_N[10] +set_location_assignment PIN_L21 -to HSMC_RX_D_P[11] +set_instance_assignment -name IO_STANDARD LVDS -to HSMC_RX_D_P[11] +set_location_assignment PIN_L22 -to HSMC_RX_D_N[11] +set_instance_assignment -name IO_STANDARD LVDS -to HSMC_RX_D_N[11] +set_location_assignment PIN_N25 -to HSMC_RX_D_P[12] +set_instance_assignment -name IO_STANDARD LVDS -to HSMC_RX_D_P[12] +set_location_assignment PIN_N26 -to HSMC_RX_D_N[12] +set_instance_assignment -name IO_STANDARD LVDS -to HSMC_RX_D_N[12] +set_location_assignment PIN_P25 -to HSMC_RX_D_P[13] +set_instance_assignment -name IO_STANDARD LVDS -to HSMC_RX_D_P[13] +set_location_assignment PIN_P26 -to HSMC_RX_D_N[13] +set_instance_assignment -name IO_STANDARD LVDS -to HSMC_RX_D_N[13] +set_location_assignment PIN_P21 -to HSMC_RX_D_P[14] +set_instance_assignment -name IO_STANDARD LVDS -to HSMC_RX_D_P[14] +set_location_assignment PIN_R21 -to HSMC_RX_D_N[14] +set_instance_assignment -name IO_STANDARD LVDS -to HSMC_RX_D_N[14] +set_location_assignment PIN_R22 -to HSMC_RX_D_P[15] +set_instance_assignment -name IO_STANDARD LVDS -to HSMC_RX_D_P[15] +set_location_assignment PIN_R23 -to HSMC_RX_D_N[15] +set_instance_assignment -name IO_STANDARD LVDS -to HSMC_RX_D_N[15] +set_location_assignment PIN_T21 -to HSMC_RX_D_P[16] +set_instance_assignment -name IO_STANDARD LVDS -to HSMC_RX_D_P[16] +set_location_assignment PIN_T22 -to HSMC_RX_D_N[16] +set_instance_assignment -name IO_STANDARD LVDS -to HSMC_RX_D_N[16] +set_location_assignment PIN_J10 -to EX_IO[0] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to EX_IO[0] +set_location_assignment PIN_J14 -to EX_IO[1] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to EX_IO[1] +set_location_assignment PIN_H13 -to EX_IO[2] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to EX_IO[2] +set_location_assignment PIN_H14 -to EX_IO[3] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to EX_IO[3] +set_location_assignment PIN_F14 -to EX_IO[4] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to EX_IO[4] +set_location_assignment PIN_E10 -to EX_IO[5] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to EX_IO[5] +set_location_assignment PIN_D9 -to EX_IO[6] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to EX_IO[6] +set_global_assignment -name BDF_FILE EqCmpDemo.bdf +set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -section_id Top +set_global_assignment -name PARTITION_FITTER_PRESERVATION_LEVEL PLACEMENT_AND_ROUTING -section_id Top +set_global_assignment -name PARTITION_COLOR 16764057 -section_id Top +set_global_assignment -name VHDL_FILE EqCmp8.vhd +set_instance_assignment -name PARTITION_HIERARCHY root_partition -to | -section_id Top \ No newline at end of file diff --git a/1ano/2semestre/lsd/pratica01/part4/EqCmpDemo.qws b/1ano/2semestre/lsd/pratica01/part4/EqCmpDemo.qws deleted file mode 100644 index afc4658a4ece3aaf089fc52d2f1efa8883e8ee86..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 1877 zcmeH{yGlbr5QhJAR7h!IA!1>nB7&D36cZ~^VzA96Wf(3lt_3Suc}>obVpD_Gmv z*oyBV7T&&D&%qFbV9-X~!|d+N{5v~4^CvSyibt=ul+&(i%4%O_Rkev$!B$WRs12FG zz6YY>=B!q=!Ko13m@?YYoJ#oSv6MiLaZ5$s9qViMx#>;91VGbK?>Sz1|l<=3~8BO ztZBWKn=G&e>32z0NbZYN!F@{AI%NTdIanqGdt>SQVMe{X+Zzi+As&!NihBn07VS#L z0Qw2~jMHo~dQ4HDB=iWCqR>Ww081V{rbjZON6LAP8Ud06y~;cZwg}qj(Uhq6GVhY9 zzWp83G&qCtZ?xY!*mC>3Y5Nu}hodw-Lp3)X1*%rLMp`Fg08an9f%892B)k88bfmei z7;9YnVq&`cS^YGTzW8TrN+PW}}=x{w^5jv-HjZiIRRmSi=f diff --git a/1ano/2semestre/lsd/pratica01/part4/db/EqCmpDemo.(0).cnf.cdb b/1ano/2semestre/lsd/pratica01/part4/db/EqCmpDemo.(0).cnf.cdb index 3a07798ae10569eacb80d624de78a73788d48caa..2e17b3400e49fdfc4023710c898aeaaf911f1ae9 100644 GIT binary patch delta 453 zcmV;$0XqK32gnDIM*%dENH2fzM8bo3LWGwtrNR^1hy-oe2HD6)JT=mG(Z;{@Bm4wU zyr^^Lqr0=wO<4~luG}?q&z!k)KQhxnA;bi93_9J1Vo6sr7-jG`sx6$)N}CXK+BTs7 zbInnyc;Mx(q=jqgGb%~^VJtj5H|&nciVoz9Y)APf>l^H~RP6Os?0kPJ_C_l9W-4|e zWJjyT{IjNUS==#QP~7v6cQ5>M)vZ)rzfwMRefP3bVv_=jo8$Y0b9!M{u4ohYXWu4? z;n*kq=ln$8t_xYDeg>*5e0X9NcuFBLt18$ty2jirs(#!{$a}!970nXkK>Tq`Hr@i_ z7?;tQj~$;KV~BC|TQPs$0+d%Mqv9*^g7JGq=V6P^nG6%pD#nyaXz6ah9^|)cjfa+_ zx`pq{avzE%T^YjYv3Y;XlZ-%b>Or~PGB9(Q;giNf)EYs%mGjia&J%J~9954-FH}89 zy-@X3evUfYFSA3j{W@NfU&l-G>*z<;@~fPLUnb%SA%|^7%)T@rqgc*Hw=iW5nPsY( vzhO(NnX`i3UkPS+;8K8voxojq;v|&>eCWYMM;krxuuIS+zTtecC<34XsG delta 453 zcmV;$0XqK32gnDIM*%aDNH2eQBjG_jA;QZprNR^1hy-oe2HD6)JT=lPw(&3h2tUCS zFY286=<3yf6h*h=40Q_zGH-O^jm*1z5|o`)y+*6BRc}6o zs#w@wmikaE>B-*7&&C<34XE867e diff --git a/1ano/2semestre/lsd/pratica01/part4/db/EqCmpDemo.(0).cnf.hdb b/1ano/2semestre/lsd/pratica01/part4/db/EqCmpDemo.(0).cnf.hdb index 99e57f050660adca55b1415b852e1a63108c5865..5052fed99dea2eb559eaf64e63be26bc540be027 100644 GIT binary patch delta 219 zcmcb|a-U^_yX*-@1~71j((|A+NHBh4if;WLhh^Cdi}M;gL(}34I~AoT$jiv(%5kU8 zI4H5qppCO9ktbQ=phTNNo1>2Q6)rc0UOtC=Tzu`Fn+{7jNG@kK*8GV5aTnBlWHY!Rv>WC_^ zN$zFr$Y+q`JupF#;gXJn-3e)jF2*O!6Qnp5*jC|9J(mBkZllJPkJjDvNDxg*Vv92k^*D&|A6lXO*XBCc|bs HMny&d$!4R5H!?6F zF)=SOF)uPOGB6-hN+3*WbY&n#WNCD1Z*Ec#00000006H7000000052x0000000000 z002h>00000004La>{mNW13?hpXwWDYih>pv777*?Szi$ZA(9jkG(j$)9C9R9f{zGE z>z}f>un>QTe?aU6L7i_l>+YRNh`W+1BeRd0op0`TzniRSA;c8v4C&lIC_(%P@KW@b z5hH9%QM{;7}*tqnGAT3OFCS#+%Lf_y@EdDq8#*}z8zwmf8xlDL8~!*18|pX&8`k_e*e)Or!5-&qmko!^9_MTq3x~`e z=WLe;Js73w47sj+I+#RlVKZqdH< z41H>+Ogq>;yMM+N6W3ch?-G~$LO#exrK2t<&4nAMsjsMb@*~(No^0H^LsL8eHW{ktZv+X|b9|Ig7L`K?^0TlJC=j4LkXgT$OV2>m z;0ZJ?Y9}mSRU@?H5Sc+E__!oZF~TOmcGG+J(6SSviVhGv)UGC*!EDx8rZMemnn2_t zeY4vG?gc`C=VD6XWNK&?!P}$v7aoaAm5Xc^1;~%Y{c(H`RIF76o^o@US~|h$es0Xq z9WdC!t6^s`lC+&VN+GVzTt521>=yo`Q(4>jddYf4R5H!?6F zF)=SOF)uPOGB6-hN+3*WbY&n#WNCD1Z*F=800000005N%00000003G70000000000 z000vM00000004LaOL&>{h&7s&bJ zE!3HNZtg|XM{wZn>oDRT}Xy!_gP7OqhO>)1EU9{Tce&)E8{1) zvHoHRoGFLF3a?xzvW{fz2v}S49>VR1#_ORIS6*CtapA?e7iV6m7oigBb2PdfjV?!{ z%hBj^G`bv(E=OY+@@nDA-4%1r>|~3Xa@L&{qvzm``cKYnz2N01*olOyKgsc2^{fq> z%KDp>eRoMI)eY(p^$CCsd_Ug3L7R`l$0{>zmWxX4W9-g4)4YB9Hh%g700960cmZ6I zJ4*vW5XU!9qe+bMZLmlqik3E#MlR>(ZYB3%cLTX<8%5IuVy@6iJ4-)--@r<+NbP43 zJF)Qrg1wD6v$ol>AB5V~31YxznBenh584l>VAcMqEow?OL= z=~FJCBKRpGIdiR{b%yK#FzEa)j2f-Lf87wYt!zfQ!A(0*zQ?6vZo|v!POdl3DNp8*m$dJ!~q@#=@vl+(I*`}C`f)1pN_ zCa}(oez@l9_dQ&bET|mkP0xi14d%+ccG)*~FYw*aWhJHz&W%8g*#fa4clOQ1biL8bw)v;Fd zeL3B`e;n>^Eq`qx+tVDQ);!1k({wKD3b~fYS5oJ0gFNjli{Zv<|K<&eiOn*~GPeSb zU}_P@yawn&9QM22esoHQ_?a;7bqYtO<|V% zJ?@alh|5B>Yy61xWSI$7B78W9)W>yJ{&KwTDoVecB!6iXbw~00q_;Nnm*@4nBqn|_ zJ>dL?IOkRvIOoE)oxCR4?Nw7M?2z}oAi*w`L}m(}t2$>jR!TF=7g*GaI?f6f@lvsv zK62EiMke%(RkXE5#>0qJyf!CHSyNeaF?U-2yPrPnQKTYX97I{YbC zsJOuyjEWMqi}AN4t-nvwq=c>b{?J;zae@9^3k-2lWC)*YQ^-p9A-zmQJwzdow4CqD z>AwBraCd8I3xC<3<`}i+IqsjPb6Ho&wK%#II(Hl7WoKCoH&**EuL&kL%P7m-mT(AD zi!kO2pa;>ret6OkyAX%*TW|i5;2Vub(^TLS7wGA`1grd>dQLEv^UmYP|NEj+-)&iP0#I@&*M7X+rlF<_&6P$g4L)pIYaP{ k_XxtDVHemviF{LBZ!!QsH zP!L}mJO2P&w;)s`2A~Wqz))t(P2AKXiG$-ZA@NiAlZXK!g}WqILRD3;K#_XV#qzs% z=XV#&4bSto!PkH2%T*rcK7+4Q=7}m;EtTJa6i?V>F zRyys=CTFR%?tg&k#J3PnoQy=!XTFJ39jQQIR6A{wj9uKH$7xr^X5Sj)J|oaij;gSE zGTcvM7~2ION*joNWc<5hIdVpXDp8;Y4%12I9)a=e4WW)uvzqJ8LK#4Dm_B z|AE~jj=X6c@3kTz3I8-&eN-h<`%=Z3q;FLsJu@reeIop8O(e871@8rP;U%9ItMiJX X5{cF^0f95{Lxp!!Qgj zFc4oi?)(GDvVqW$H~{0o1vrdzl(ktG(KaQ`I3e*<_>%|;gcx>PmuXBB96&=_B*%*V zY`?rlt$Cie3AXw}TP*9a_7Q9u3>W!@;^@tq-vVC9Y1Vkn`F|7-X0F3r2UOs{yaW7i zu7mNGxH@j1Ux@lr8ENc~NB99n-iE^=Ry8<9@PC>|DooXQIb*z8(k+-C;3=4A2m zw2&p*Xbf}PV0~!=(T$9Mb0`PSh)^X8*uXHIWbPgie|{n45sGH7*W$$dsHDDvv|*9% z#i`iwd*JQygt$o<@3{mZsD>M?aaoFJxl_lfpl@{|J||N=fqp+>pai?9{ap& z_`IfPo2sys#+|BxJq|veKE5ePNay2Sg=Eo=WaYob#kFM2A#$p+XZ#_jln)$^FFcj|Mt)38ayM-|Mhu% z-y6Jt`S8(EwLaj@J%6mXyxur52EZ*|qR!8YI}#oZn^(hK-#q=%)Z9%Wf4%5bS2#hQ z&FHlChGdW@N0RC_2qy^vhq6!ig3UOJ51K;slpZQZTl$nW{&{_JKgX2_yliQ?FjGn` zMfK2&`>GRJliM|q6mjuA@Mxg}e~Ptd8+GZ6WV+3Q!NA^J0O{Ib3Zb9=o|fK~Y9e*c zYEw>fk!M`YsTd`KuHE9VBb^R^RUjX_Zo>G$M`_);s=sU%<0H~> zRBDQd>2+eZPm&cPBW97QJo;9zMCewEkI=K(A+6=A`$dz@zv7!;JxFA&hE=uwjCPmv zM?A_cLf#XdCE;Tm0~$M&^AeBlnRE&HHhdrS*eqp27hmzsJ@{0PVny0RMUc|SeUaR- zXreu=kA2`erC^a5OxB#>FbEyRL7g zFw5wD9bzMT_>Z863*KH3_5ff`Re~(cQAwsz660GD0F9J*0<-Vz^x^2@h4!ibU}s+n zX%mPkC$@|SbFK&7Uyxk?>~SuPa|F5#N9AH!U%s~FVO;MlZRdn~MzJe;S>vO@O!M_X z%eIyfA@)+n7US!ZE<2pfx;!QSd=qcX2wbYn{ZznoDx+7&1NOlHr-JE0VOqT<4nbdf zuiD!l%*)pb;Q(9jqt}7zbkcJ^PBDc|Vm&M+)!1@eAKl|5>cFeFMGOIXp{aZ)S>X2F z7J#xn0mC;U`aiPpl#1xh&C_iyp0Vf|w%eihO(+HJbDZrG;m~XSsL9cfpe-rdbF($) z=iHA-n%j#?n+bq~n8XZw~4j?=IL;EH0Q3@O0+Y76J~^vIQ}qHk6=D&NlVIkP&(DlR?iNdl=DvBB2X4%Ljm> z35&2gzJ|dQ;Jl8*DxY$dRdYZS*{Z8&lXSNQV!I$?vU8pv#q&C9FBGO2FGxeOmPS=; z>Q6uy8t30G#0PDi=)%N*A`2{bht@8eh)O3e9p;g@2=y=+x<#;(t^8a1wz|GbX7gc7eYu_rTBbkF^fa z)zM=~PN6c!NdiYqtYfbm4xEIxVjo=`Ty=n&%-viOJbXi4zpaQ;LK~0MHG<}K@aE(d zHz1Fwr#iW&CH!|ZhlF0?vG$Bd5$xSA<|@HD|1RF0Q$qWKgqfcqcklwgu1taQS#K+o z&%%kQFos}g9Ci7C+LtwB#RxmxR(#J*wBYX>50Mf0o`2&*zp_9R=zk{mJ8=*+aaHxd zjEVU;nj}~3jiX(@|Eu3`$PdT}?0*Ih5so;#t=G4|&H}IIqh4Y{aVZ$fU+NzLhYz^v z9r_nxXh|q^Bnk5G0KzEX2EUU#p{t+?LvGGy94(hCZpG1jxuLyrwEwgH0?pwX6z^$( zB|(7yytBX!rQAYja04uPCzT)&S_$3z-{$h<=iIe+;7s%enoj*w;va0h_CVA59f9${ z;qAEMFC5;CD|~R6`kg5-ejovc9hWs3$&lcRJ|x48D~94|hq%Hpjuyo&>&G(@!mTv2 zr-5>3hdU4wN|4&&t`H2(;x-Bt47J{A1W+dx=JM2bDmCd0m^kmS;*AE}G`J@Q1G(I_ zxJl0SOLD!1Ln&PE5x$04F5G$?&7X^X8_BrB6T0em&+0dmP+;Cv@RhJ;6bOSO6KJ%4M@%A z5RY`<&u<qVe}Ycj z;ZF{hR=vTnc{c|CQE4cv4Lb8wM0r9TFuW$->gR8<-_Gle0}QY!GjnB?H!B z9y{k%w{J>K5PU1(;JKNQGnc0KKT`!Q*zE0?$ftwd>||?SR7)or9Z5YbEBmKBU~?^S znfZcuhSsVlWWgIOr@ob?$!i#mEBwo*B3(B0HZM7VNmC$NnnS4fJwz<91HNJWg7*yS z)ptJ@pjHL&hP~pQ*{5_+#sND>CkHqPsyi~2%?vW4uRTf_(;sk9jP}jsoyiNhqV_`g zodR*!Mi?mh-2n~8nGVir8=hOf0F-#*{!zR52CHW5Ttsp>rKA99WK|(59P&KeYgJTz ze~d{G<(;ujL|*&m4}O6@osSUUxtN0irNlJ8J&O8iF5*C!SMe8~@)ccW)|;yCs@nyxx6XM zKHjLT%_2$L;;OdSMayG^si8`In(GWO zCOJc{--6ZY`TTKX`o!ybbagSC_-zeeCQm6z;bXC{>c23TgHZdrG>6DSOR(p5XqYU~ zxCcAA^Kub5>V|x{TFy`@X+*{AI&8?F;Hsb{Rm6V%s@!bDQrrN9iXvU1IMZa?A0VMNud0D+wi_Kuv1Kd4Cu}Vo~l`47&%hA90RYiom$5 zeAc|cPa3VE+_|e(>rd*83_~SX#=3MTNO|#x15*s$ncQ-O%HZwC&Q{g=jhLmOd8>5W+!MaG=S4o-hW$Bg* zNTh&3cnWXQO0}@p#kMC?aM@e(8yS1jVLVUAZ$1@h-KRn>-uu}Bg?*}-L|)m4s8+^< z+Gb}ZayGngrYj$_KVpJAva)zZv%a}Q&0ybth`^C8uR$JPNrZm7MTJ&X5{Zvr;0UF! zXphnOSDVq z=&Uf7EtLQyjuWJ-<%}nUjhB^;cdxF5Zb8vcX5Z2+6|{5IA3bFYgT%?8j3qL3EQP&fM zk`jkVWm)FRcg!JBaLP?_iw6?wdrePx4!AC{dQ#DzHk(g%SIZId2J%vcC*uus(~l{s zR(+{xA3Q9rCz^NkLOt0l$I|ry4l`-S_A2MJSQ~&?~ delta 4041 zcmX|LF;4&18HDKaR95qr7Ce-y2EuK#kuYx z2NxeyJq)g<_@wj=sN1%RS5Asm?)(Y_wd_vmIgCgIaf>8(f{@7bT4LqSb!OQI9Y1qk z7JraUJ7U6j%ecKIbaSXRa^~|5-=w4@(xsn+FyEmw^qA>2bW^0yOnP9WE4J?q+P`{C zrrs(G2#}pr9(YscAG^&mo5T@DMiihLk_yLQ}z$>(L)&yE&6$VeH7WW@f zd)Hbk8fsUNTHtZ(G)++&#EiW7%Pz(?f~-+;ceQlu9-R?t$F6|G|H!VbtksimFH{?l z*@Ug(p%IyU$7y7Z%ok>$vY@J)$JDVO1O9}JLc1?P@h7fd|kypOU9R1U=2X{~OR?r{U zt|zpv`*Sh1dB`lVWlW+bq*1TL~_0 zOP%8lkmO=_6@{D2Nr22%wwLn-C-$L!)Wl5tZYbMCaZ080ob}C!W{45hKc^&umCweF zUuI%8=rl=5jES8l_?ja<$iB0EWc18r#sG7n1(z@})P70j$sCO`-450$Gj(U{Niqy; zzmPmz06dZUHE50$r1#b?w|yXlJ;sxh?4fOJ z(6xI&Ue0bL-eY5REhGMy{Llv`HY#gPa|r)6hS5YVe_W^qs~$tDiwgB2JJ6ft)hMb* zd95uGAZ3yXE^JZV4VyugAQ)ReVx8@fGj#)3m=ia#<207WUXlMs%VWRuO9z6jn9p=K zOkM1O8&*vA*avowUpjj}cLzVZ&c^BD@HW-&P^P?+K!YEJ=4KCsSTXSg!nmo|=R&~X z8?9A$j+B!~pvACY4L%InmldXOer`9l|J;I&6AB&dfrgl*mut+0Ib9sv--<~ZC)DmT z|5w9WhwQRfa=(o;Sq}Ovq49P0)7+gL!DJwRB{JNKY45kw?qZ&3`kR`?OH$ zoi1o}(D5Wg@Z)3>dd)p+cUZ+_`ozgLeeXHH*h#^lXo=LEms#0M`8J^m?e)lZhpmCj zTPKkje!7rpp+3VHwVKIUQL#?kPQ40H*5IL@CR)0SEdJuZ3|$Sp;BzB!d7Lw5F0_5Q zP<7TunDtVLakq&1gL(pA3cOq1s>fiJtxURn%v|L0ENB!39^AsX@0aU*x*YX*i?Ts>F_)? zd?swVv9pB&BJ^M$TB$}hI4j>f*pxDiCg(S6k=-R9*UHrG#k}s5 z2K)uxoallwlXfZcbN(-vuGG!nt4x&%>x$x%`nBpcvgSZvGLy{bw}Hl6;q5)-(4xI3 zYkE8X8%16z;(rZSIn`M@R{^OT%0NS_M(z(fM7kHDUULH>{86vz7qU3`8aIKJGW2e0c zu(L(5Cwtw~d(9$W?L(;Fbs zpu@^rBj+TGqq7nM_QS=@i~}=r@yMA=oI`F856DEM91uPp z|J*$R^u?G^x0%lH6HH7w@Tr{RBK;B|=+3z;g}#cF>Uii(T{TKA@8lvqmXvQq(S-l- zT7gY^&>->JJ>m~Ls#!v60e8R)>5cR$L2a$z`zLN7O5WFtgAgo7iLB|t^}ykt1oPCm z&E&-=H>b~a77YuQR>mBy~-4nKi7BRl;Zf@MzU-k*t%7-1!jmW^|h&&&DS$M6@>&@k#NuD>%OE+ZF1i z`#$l}Rnq2Qb@LV+Pa4=pO z=z`+%?|;?e?Kc)#VG9$y{x=11>Dno#XBE|C(-e2|pA+x>{by!6YT>Mcd&SZu%rRJnun`n&bBCFkG24XP~L%nJIVuuZJJYO8r^*jL}V;%7YNfEb8k4@_dNXdCOc zNoPmf#qR_nvU7(Y7I|hC71SLekkq*wOFD~`BW~^(YmVTJ>pltChG~@lk(!Meg4*7c zHnIs1*f9=xb=ApDfbxL5jPyOwY-i-)e5zEHL#TQ9mPKljwO`#;+4=J&EG6^USDlxw z=Fi)*vx*M=`s%??`6X286Qb(d{FnFcFOPui`6f)~<#3I1wzB{QFM)9NJ5}zh!M*XI z=hu7mvbC2+tREsV_4r@VroC*}l*)a^J{fX`r*ekhL|jKv$>&Q~88hVUZjD^+OEy;bGNo<_=tuJE{zN}+kOV3rLaxz%~r^?St2~bjc)kbIem-I`n z&gmQ>*kFVS+aeA@2+}941VIgDB_gTrd#W6(&i(6I_)*=WlY+#y2F97n7TWxpP7&Xv zdqj9CL)>N8o#HJ@AV*hFnIcDd9<`hNSj=!h)rrUgMap77Tol4hMHZ#b#IX8I6-X+S z(L&?e!*{YZk+j9h&~tx=gnn{4*>(*?*?oL9w!G4MJLZ4iDYOph)q@v|^h5s|80W#Q zUUxrYy?y%gGs{@GxwCy?q>;A zxCg$ktvk+F9Pk|jF=vO(LrylLA~&$#3o zE^rR{y89g^Xly|KaJPISKff@ zEh&fu$EYU5nP$SQVE0$(mxcyCXA#$%Gg=-YUj;s1`3{S?mUVb2^Mrh!yq(3LXP+a3 z6VLI^3M7kk9Yy6y2%%CWz!0pqBH!x-$Bjk##saU6KRwNR1>Q?^Y*--NQXy^!WLfy_Km}(1(7}z3U?G0O51cSZXWot|5XtVWd)8lIOqjzI^s*CF?`|2^v=d$%^%VRt9 z5B#BXE0z4{0V%4^)R_~_6Mt5}=9g|S{jM~fvDnu;zb_VR*Q$Zgtx2XJ{;tF+@}-Bw zn>mSA`Mo6KFOtnSS^M!6x;20GCZxY zmX{)+GX7@Q>zA9A!81}QhYV789Otq-T1$A>lJVyd*8_rCN07M2NEt~D9P*8bUzA$%(J6L4jHd~L|^ z-qlUa2S??ci2GREW%t!vtz-?I)e@>}crY@p=gc28Cj*G^NUI|1KIXWyWD_7{i}+iE z^{p{q^QY&4Oej^p^e477!#e84ARaFN1EuG#&&He|f5cX=%W-t6X^yjW%sxcz$d6>n zto=d1m&6v$Al;6!xpAy(6;*Nm9aQ1y;)(tMkjjCdHItbIi>DC)0f{DDAv^I^>dt_) z9yUpkt2V;i@N1q4P&xK!RsgGF&iUQZHu)=GC zpKhXE)9hz;PeAfBZ^zAE z*wuk-Q??h{c0mk3(?L?xS3-&{JT6j?FNe?z(GR}J`gJ2PuYCreXDj+nN@FSn0|JgO z$@sX_!0UM@c%(hhd-vCNC6XKBLxOXlR^j=z$0WDEgX@npKGLRihg(qH=*R6!E#76A zi0^-x;Iyccnx^b69p~g>j8UmGAQv{y`yY=aK5e~ zT@&uCW~F3~9X*NKT-USE5W^1%d3iYC8NnZ#uzv)Q^R8t7aqT(axbpr_lN&;f|GYS6eZKW=Xum-(!+ zhMDG;(d!{|D3Oaz9=7P}l$5Kceb0w@$Mb#9UF4+?IniPA3$?^Z8uTC2vj%gt-3lfJ z>#Y2%k0NcCdyj9~h4#D%C7<+hZRzaexDmO1@3!gcQqv!5q2CYa0QmG{!}r82yBJS7 zhq5}|X+57(2BHU+p98!Khr}y)Byc|#BaX1#ww8x!YqFOpYDwK%rDIFma;^@}++@jy z{9|C(!|Tl>b4W{@t2ZN}{A(K|^XZ?`4(UD51V|~J)~o!IOqHvx;7R*~@fA?y$JY2) zgfGT_0ot-UFHZeSU&S9TMzai-fTvwJn(57xN57c!=5;d&;Z3IkXc0b|8?Q`Xt^`}V z;O%5gjOx~#FNO34d%B`v*C{eWv|kvjatzUi{p|(Q!T}>=4}(v#DPgxNxbB}OFT6{z zvKi=Dz%7tLJ~3$753g1Gwe_-nigjJVmf%!xk`3sXXCK`$=SVN;?)Q3O8?>z9rT&}vSiXqI{ryho27-$E#iXHNY-0Uk~)XmYnL0{Z#~^(X+!JP_2mU)pJ7qR4Hq#69l8S-4duR9G4Ex zU4FM2ocjlXqL5x9*zEaHKvpi}z_Z7nZ%1uA^Apd(G{~Tm67E2cKW<67_l7;2I)0N} zA9MS&1lnf~?GX{4^tu5bT!PcC4ugt%)qXK8)6cLdtgnYZ9e|I`N#Z$;RRTRcWsibK zX>ERwV{Qn1X!O@vDOkXd%S3r1GJp_Mz?;4rni*xxr&S$wJ>F-ON?Nw#K<6I3Y{jU1 zFL$2}#FY1)p72f?-ejKJDoU-P6`L*HI=)$EbkXz5!|GLg65k~59ZW2$@0E#cVnr+- zz(_>SbrX5LqV42%%X2eR-9eAhD;9DCAIIKj$3tuR-4<~2v%3e8?Lpk7=2fy&Akig8 z(dWmQs95XTrTFbl^)}s0*o0ey-_4G&m$8{cMlj**JFpH^R?`+oXSF7d= z&yeTi!K{%y4-Y1vYjo&@>m>}oZfD<+6pb=3C|ErO6Q5TV)k$(NNoGW6*O%U|Z$Mmm zotAGSKuhFQteq5h(gW_JXgU71%%W|#zc!znlhciW=;7^asoU!4?E|u9FTC!vx6R3` zxd4xx;rrc&2cCJS52)d1v$)&GrhsU)SJG4NE9)Gdz-?qE?V2tvj0o6fA1BFVaK-^) zPS({G#I}BCM`L7LBQ3agg+tZPM8~cDj&{{NK zey)PAGMbly{WbqV&!f+Q^ok7Hguxv*W|ZlN#JSX7OY;RcWXzHfxr9yNEBCEU#CUEC zb`$axv|{kZKsDVipC=X$1)l+Fp3WN!vuUiX^blWA@q=crarbaoH1p)X_^4Ai?1VTh z3&vkz5>Iiw<`+@!#OiJpzpnweV;dRW!!AfqmzCl};}#>wCC${6F$ z$7W_L#*T~4ZbA#d+%5D|FYv17|G)W2y6piNq1p=3H`)6P49rn2si#hM3%H+CfZ#NA z7V8-P_EV^6JNCX{KZo05h8!8gqQA+-zvpm=Y)>_MUxdfTsu4csP{0)KT!XsWRW5}u zMg(Eg>?Ms#-Dy{1%&9t;`gycDKSeafzZBF3{i{<^1<|E8K`}6DP<1}^I^CiY9@I)oK-vIQcWHVr4aVth8qop=GDDA8oxLnpH5~zX6bYQ!9d9>XA4t zaBRnDwMJ-H($#D7B$(0t^DcWE-}jWf3bhzhqC@UtTyt;Acp>;c3yd}w=MpElJDx*M z*JL(VMdpT_SwL>pB81lu@03Crk%yG& z(}V~4-ji7;W|>)A2|Bm^=|*j2_{Nfa;r)4HmaGB+%ev@YV&$|*7gKuQ?_x_j;x^Cp z2gUqZ{Hq|W`&EHjri=cImVbI`8>!PbR=7yFmN;NwBe^sn+Q}l_;)_vFn84&Q1Fl2* z_$AQ61Rt2#|Jr$?o8v?tejAyDKSi!&%ngu=+T|Ce023)-LJLe-f{8wgaO9Y@;9>rd zQ#zO-*>BAHNI};s2r+4*h8@^Yz<(I{Okq8qG+>jeVFx?Uo_H19u2jjK;i64b(V>zo z9jOa{hhe$ei)0(pzXZq}n_K)D3s^|1&6KS%!+xpZomFx{+FEFZukGIO0;eS$?jHAy zR<@vag`AlcRmRa$EUmogj?_g-NTNr*oVP4Lr7X@8%$gY)8cFA!N=RX=8?!S@DzoO5F2=Wt-l(eTCwi zA5uLb{eJ|=`qdXiG2CkJ36;1SEi4x0QF}6q1e50$sERln4UuiE5z(yw4qj;c}?v_^L zVH3aH`fK6#(;LeIelrv{TRRFnEbatumGwe)xC6iPSeYHxFjU) z<9}huzAs|GZ!DE(Sn1376&&(^K-dG0Y|RQN8bXH3IufP>U>}YhI-_lRLN_=+L@Kzd zI+8e6&h|*Zdq@APbrRt=zOyn#y|L5|L?M^dNYdHt|kgk*3%setQA30zOc-~vNP1rxu(gk#h$6mZZ( zTcfG{s;;7sXYYWkk)U|u7{*`(gOLwL9~gUJ zK#7Kke($r`%V&R{_{C2BRTwj#VSz(*Fzou@a<7pfV>J;hJ4A(n9D{@o zLks)m^eJpcM~C}EHb|BoMc~N)LmX-W8NlI|DY*1qz}?{4|EDO;beT=}&>tFFPuTEu zfhKgY7Y0tET6VNT#&U7xULV4-Z*71>N8NWY5D+=oIRhKP*~kk2PqEdZ1=LHaz4lHb za?l6IK(_4g0>@wi$AD$u>IfXlJev3PtS)iMEe=Jm0Z$|20=x{hf++~M%E3e@cFC1j zv1(X)2BrZuQy=HNyKo6*7TYt1?(iaSs=0s&>)h2zjyzJqvLN&2-_6kZUunmwBmbK6 zA|&4_K-%qCrTPP=rxjJs^1>l#u>^^p6>62b~esYOEp<1)B21llJ-0=I6R*i&V| zm8LcUn*tGr#U#D7Gds}M%{=P^6f=LtmJc62gWS8agZq0qjJm=_P>wFGw-fP3r%zL)r`xRAd8f;r9yV z67RWDC&R1=EJOp8hA@=?2+EyG!U9kO|2>o)+Hp^xA>f#~kvF(MgEMp~K88H^8-b*- z7;9W0oEdS{eI(T)G{q8mF8I_i)kEy2NL4tr0O@7Dyuq|Qz=0!f_&{zObLqqaf+J?? zp~q1X63*eM3<9`_^R2kYz8apmnNv)%A`JkDIgfPKNR*^EVTrq!p!joRCkBgiIN=*6 z>u`b=!1MQGPY+Mr!WpJAhqr(zc+@aeIkY5|W@AFo;k^Q6L!h30#l&FBQlmk&>WzgG zw+R_p^C3@Ss{qYJM$+d+>$QyS2ZbcJ^^C%ganz2BCswI=~l|#aLvuZ22Qf= znH6RuzOsbpE=HvDN3&$$UkgUeey_XV_)`TY1CFGAm!aFo< z^%a4rI8@TRHfbrsO;Osd5~g7tT_4Yt@M^i}m$@WA<)6Y*cKL1z$_PTdCq*CIW{Bdp zR?N7Txzn@-d~%`OGvv5?!jjxGfw9m6@CX;g z!w?A-vnKkOT#F{gnDE{c^n1d9QdmK{@@$|B)2y)fKwQur0x9$frU2X=xi5Gr`-U$C zfMAU$#WejYAUYz_zZQ%@u)S%Os4k9NrQ_V9g=Ni@zoAISk7gP%3hZ_b1Nn;{qxu zai{pq(Bs~+q`<_O`eY-$kD=vHBTM1lBR3G^(OF9Mx>-tT zy%2P+XfoaHy354aGp5>KLAYaI^l%x&!nf+Y?Si@0zYZJ~Mb7g7@M~@9IA|c!lWc7m#c@UJ?k<+Nv`{s?b_y8_%RqNbn?UCX}ub59v{4HG6mXlNf6z>|&OHS(H2h^Wbka!#{Us zNXY}{#+lqr7Zl!PlF4wXIzh5u7R~&b&kJYMoaB7{lF0BS=NYeQ$hi^^)w8DLedVZ8 zj)+oJavbPovK(N*yT$w>hgDxk%DxDYGhg$^l3^UF?p>!>ZMjnG4;l`d_Pz%ln@fZy zML5w}*qg8CwOEE!?DgGi4~7lb{|1VqqLgV5Ugr;q5i{}e4_w!gi)8t)LATR$JNwtM zyS2yb8>US`FJn4S2I*lf_2p^e9f}VXDom7;%85E(Z+i5J*LLY88~e4>44R*mN#Elr zWAD>ps)%S|8h~M2s@0Yi?u5Nb;S>QYRrcMmpMdfj37QW-Vm21NC1ST&iF}%|nEaqD zW+8fcUFY{^NQ$*nA-B?H^d$zTeL}Gl-7TTOgQcfQka$~Z7HV|&5moKQ=3vlNLL(?^ za&1mHNA&`jnDWlSZl#X#o3=6~u>m$xQ7Wo}Km!5jrqU?d!>=dG z9)9lSCxm)V*vxdT`nR;!n>%IS6_(DOF#%)!+K{=W^>~}z+f0}YdAh_bN7BE(s)a$RHxI`yMKzAH(h5N!v0S0!G%GrO!Jj-Z zb+5Jd%{cp+pK4UK${r0=8lk|~=qG}L+#2K&zo)K&Bqf+XZpfK=bvG+BqcaE#Ov z&6OBe&72plwW%jod96<|)TEICWwOH;b;Dwl+x_F|G&U_n&VJqD!mbks!KxDE6A!ms zDTxpsVLLP2=QIEJGx^cH#bmQ~1Lj`H%$Vy%&DPRSGLMfWlmcl#@xtC-kFBs88rMKY zp(|~jKdYdcZAYN1(02~^r`jsYx8T~}u+;`)XFeW4Qk13(<`>AKk_><5w#&pux-@`+ zV4cz6P2<=2_2d~ucfxE4v)T;^Ovlp!`%B0fimS_v#pg4Zg%{$+t?B=tO|_kEE_5{` zScLT}PtVbmbpCT-bSkou9~9Y9Z(;+KCr*Vrec-Jt?CAbPzZXBHX2ibNz=+>6ZY?$W zz0@;5aT1f4oc)Q@M%wVf(thGF4H{tdu_m+Mg2~a$qW?6SNxR+`cq4M8Ctdd`ne_K>hFmyJq-dZ_NxiV3Mf07W9#8hHZ@NKxpXorDF_K zW^w;ZXy@g!apf4DSQb$@o2hy3A;Mv-vp_ zM!87(wH`m|&bh;e%4GR98J)x_DiX7!$(eZ@M(u|G!#P8;9#yN3Oq^)JUOlMy#% z^ojIO<8@RiPq@c+d1#r;CV?urfO%Gw>)1`GaWrsgswP+zcJ zfX6Gc+j;H6V#DkMsa>)e%StSO(Gc+jj|+)t$6HVDNk)j$j@)d|fbV#i>A5$UIO(D} zvsdt~5NoJz63_D-`qb-lhoOenlQf3n^iQ)j;J0FY9~y!P5Hi+g{5G8Ddq&<)Wl=^@GK5w|VL^fG$58oB`P1NEYd>E-6Kt`s`*abcah_DVi{ zyBg**4tka>7odpMdW~@|MEsD{^vyd5-P(@hAne1!A72=u$eZ7mdN`PB97g!4SWE!#UUe(Hqb)=|8nI(pI$#>C>g+rU+0b4;tJWGe=Xn&?U9WHydtyTJRgS8j%O}$)$ zoiT@t*Teoc_&K)V_Ar){UB#;vfUb~fEkU)g)8E0#F*zBfc=AGbC1iKmg8)gX{*I5qyqJ$E=7LQ@^<48XA)H&IYX0u!p2^^ z#_+PN_8@GwZv&Pg78N-ogQjx>uqaXDv|!#~_1tmzT;L+2+P~6K`z(KpR8WA*P_8j$ zXGv;95X*x~AB!Yd{Kapc!ms1`PpeSB3*A$0r|$}B4 zO;py%zu(fR_YKFyrNA-q?db^x7lmg~C?LQ08Xmru!qR4c_x;9;P6Ce|2T536OR%ZV ztfJKlXCc>1eEj&P_L-p;PUvW(yA#$dA}(&~LMU`z{j*`J1WYHFSE{tM>Thncd1aSME<5 zoUq3Z@@r2hi$zncUXAbksT**7IX9<7?ytl{2I_k-yrGLNl79egSMM^Lu(@eSq;bQ)Ia_?fJLEh_8>>EB<6nPZX`mRI#5$*dH1@tC`NE3fo6AL|U%E?mv@{ zJXBk;a4A7VIR~9Y>Tk=cK&rW#&&>A^^3MZ*GN>HiuQTvZ1cG-bKG4??otk5WGcvP{XF4A8WkM*zVzudeiTCyS39l$ z-W=Rgfwd4>O4JBp3ncIOu&A4<*FNS6Y~sFh<))XFACzVq%0WY^ewv4fb^3L}(B!6B zDCnY7(5)`376e&swI0#den0y}sv-UW*I}7zF&&P@eVNQ?P;0)qR_nX$DALsVYjy_6IS>O@7PhHNk_S_Yug+i+R*P(x9*4*gER0VHQ2biv)qee91;)+|M|6N zHvi7{_n11{m*Jh^Wr9ng^Kf!L>!D@)=*Kwj3(4@tz7IXz@NRQsd^{9B29y97*4zj# z$PoEYW#N7U#wJUvLkKko=uhZ)?&6b+@(1kp+kahuKdLVG3^koy^7%~79&~7LxobGY zk{F>v?3;RW;!(SpA^%RJH4g%5AR>e$*~dLarGF`eL9cwN#c`(<4!I8ecN3j;nve&3 z8b`UZ@hC?HO&GgXuTCc9m63Omcq;ULXVcG9+k&A)0P%yEI|?Y`WLYzf6duCyq`}Zi ztnmHuC;b`lx|0yj*&ADd^|V{Ghsc5pV{XMh;~|Cr3BQNsrS6QN)Zaw#CWoH{P5bH5u z*_!VjYKdO@`EkcIH7+T%TYN`$&^qk2@Kr^~o9xl*8Irii9t;0b2!>mQ62Rk$ivt)B zw+Z9Q-$0^zm#oqI4qcTJQ^?{E6GKqfW1sO;=O0h&2A~r)ak2jSfoe5I`V_nQdPfMB zTP31+A?Ft53AN|Ci#-lefSHL#Ok*7-01fg1`IROeG8qwGXvrHZyokw zptbM2m2LUzJ?4Q9#g4I4sHPF?eNN0o#Ce&8*FRsCIG+@lx8TSQd(&=qEG#0{b-AD% zW0X8HR4o&e87GQ}^N_a61Ok*HbPHV4Ac&W>Ylsfl+u=q_R~VO=%%OPN5xE_ctw*Xi zh0%uH=Rs*uq^zlB}Ngy{&Y7m-EHBAhF>!6-s$n{07ethXF>va zp{R7Gkpr9ax1w&|Ah=olWg&FS!Y?=jfoj#iXxu$eg+-~3jN7g9K&*dJnU4PZH3he$ zA>F^>131vTLg2d-;R8_7KYo$=QlWpeor478%?q;T%X}8jAmfJTBy;pVrQ}h`3g-jZ z+nr&T+gz66d!jCc#!UHWyCjyfs6VwS@2D$zL_Ybk2;ItmV|Bj^&!nrOQsRIM_P2q91d{G&xe+jugsYs1Mw zt@fT1HyW{akkk(iY*=ZKCQ_&qlh8lj{w?t!@@?bE5_;#=aPx5eu=2~m(q@ZkK1C8D znTZ1_sDS6Mz1D%jsvkSwA^HsB0XZkp6oU59wuXAL_LdoG-6RlH;h!)&mqFyEWZ5)D z(=J#+;N&1+za7Oga{CYA#dl7zdF4jBb-3jXrCg+r$;+2?sHU#%weKM zOOgD2pwh~X-${gje0n@M6rx&Y$Wh#zR8{!%8tQk%~5xtOwRI*#^e5<<~c-(HM(lp`28#u zFFBRQG%1Z6leDHg7WEmbYB*h+lbPS<$=E|+-7d2k{)Lm-VvwuZa!B26U-CB=L6G3A z@s7j@;h+oA-|Q;TFQpb*xo?7tTIDsfc_3@07EZZuZFL$(y?KsY)En4E81cAa@@Qdv zkWCbF-#!qq+SHqO9ldYu;Puw~Tt$|m&&t&1-RxlX`1)Lp%1`2$)AOPo;LNxpX!_*hp#xnC0N^VGdQI_z2DMC7AEE^=0 ztow0bm3v;rY(}+A?Lu*YC9;YLQvQ|IIg@DA7ebbsP|K~%rl}%`mMo8|`e3O^Df zo;TbWx$LXnay^^KbRM%nOH2fw6tuu#)JKwj!AWi@iH7R;=>)Sv6!H)H87TjVZ6i0h zdwFK99B49+*oFouiDZ;tf06R>?Q;Lkx-GhqfB-TaD)6>!B|IS|PWRb1!joY})>A*M zb^VTLmDos&%sG{Tr+n?glZVV4E|D4L!w2hVO7$Fa^3cj`%Xx4CE*?JV84~$LgL&r2 zC94EQa6&~C(B6N=CMlI%oVBiOp*ZqA+V-BA@20Q{aRWya^VZozDrWYOj(|H*HzQXe z&g@&mn7?#q`B58)QRe6J8(V_CC@otlXX=>b#(lx+m|gBcI5Pus1Fq%| zO_6cLy8Z?zg=7?#CXAorXVV^cX+T33-?D}8>OGtxsl`?d$i%O9rW8t(G ztWfG);iYAPv~m(N)x0EJB)B1|nf(n7HVpk}49Ftmc1FK=g^?)>@{HXa3we)~*pabG zP*fKPR88AwhW^QDK}K~+UY8tP?icU!w{>=xdlc#hW|!=|>(VnfQM2p62L9uD@k0L1 zNaKo!u&WZ%LbRdBpnVC@E=|zKmf5T-!Ryz)qgQFxzG{C4r8=1trci){2w4N0bsM&O z9*nAX=vintK?c0g>CaOo)CE3)gw-{(1QzYSC^mgqlgmipKe7Q5Ac(qGW+PpuN`6kF z_%sY5F_}7=>@2E^cpeeU;&z~PnS~)v42Ts{uHpEqXPHR+!~5v}rCX)T@X%9_a8Pzn znDI*k)=C=~3H331TwdnA8*wy?p0VzIAUB$_o2VTR43pV^$Tz-<5QA&C8<_5^%KDwO zSCR$$iR!$LuQ*zbvpqq9pO1P!&O8-X5KJ2Lnq~78zeifK>%N-3?|hQ%zZe8+O>Wt2 z8b!E|iuTcJJXAt$wtLv^?^Js>Z3$oJ+yw1u1l2WwweFF=N-^Dp96d4nOl8w=X|b(x zJigkf%@_GY(K-|IT)d0ABbrBy@F}p31oA_*?1*XMYoc)axgc+Kwu{{8lj-bJ@JAl8U|5)JvYjuWA-WMIVX;gzSViSpqJ2Ipcu7E`QX(K2b-ADa9=H>u7YW^|3c>JWzCd zfc%+68X&FWjt$7D!*T!F8zwlYujF_5vDbjZSX=WClvu^X`3)CIa~}I9UJXURXIL%2 zj^v}@>F1{R7=_&B;J_fHX6Kq~XHA(_2a1g@GvwHTJ|drIsi2XTThB`??brot*QMpW$^-8VB)a>>#|pwVD7n5kfS2N5$^3D!sqsB3=!=3E1qq zS({Mv)SKe|=7@qz=Gm&O*O<*7^bp*9!Vh9b-dJ61c+i9-rlI^!SSPjDkJjKV6QmSJ zM3`o_X{L`_Y>m@$$jb;TUTGMi9%O@btTI!Cqidy(!CR~{s?pqQBOEkEI|GL^Ud-XQ zv0c<^pwn;n%$|boQJPCh!xu#KiZ-MG94SN*IS9@u$yL@WaiYyu$ol;;3{)U^bo?f| zTQ-Xoo?BP7eg+Y@hvjC1E@Jln{2>WLpn*}cab_e5hwSSdAq3NS^7Bb5+KMr)`Gm|v zfCoZEutZ3Dk~wrJpxMM@1trBBEx-(#@-@L;yer+qVbJbW#%5M32TjE8S1(Z|Qj3_9G@WOX zI;01J^}HR(Tq(DH><5a7_+)H(nUno6Mj=!;PJI3hy}<$=;nOt(y;YLQxY$sbaW}gUC&32B~g;_c>&Wk2wo7hgTNcauWi`-G-fE<)#UJ+al1W;~# z#*-QpNsa=bxb9n`c}MN#D;Z_0xk_4T%>Kmn0tgoJ2*kbGO9XD5LipGVFzgr4gp3^P z*<>=CUg1JAvz68wx`nJ9>u;qqFL`rVTe44J_U-)iB@AoD{$XV~8?*H&85nOP)3}!< zKwjPLbijME@e`yhn5hLe*jV^d4oxV0C&_rOXE~g)ud=;dn8=A{iFj}x+}JAlvK`A} z?oM?zGm!&esc-izHs2yLG4}wuZz6VMn4n#3wPlt#b|FTJbDbbxQkK2buHhLh;IgG| z@9RyO(K6ojM4J(I>`Qv7F|ldTr|40T)P5p!EAN7Q3V*lcXCBLjuDnblYeYl-h@Q}z zh5t4Zyeo(y97gg^P}Nob)p9(3Y%fv2?vr)Im^L~xgeeT$rM*k_J_VnRFC~G9!XTEV zURAqYe`%A< zu+dAV{o%IRcw$OhrVm?pRqnFPpD6wM=_gr(@AoWo)FTSTcK0Dj>zg0CSC)rY1L>>T z?PBno9^jr+^7d4IOhXfw8`*1zI>r-8Gp$EZMrvK?pX%O>HGCcs_Pu2P_0Lz`ME{Tf zW~p5l#}Geaw$A3$lXA@JVr$ahX0_~0e#u)CLyhcpoT=sz$I}tLSuV%`QF%1~HI+Xb zc~>)=xZr`lbho=zG?`#@D4`+AHI0&w=e_sK$q5QPrIrUDchN62XZXLVt;bYW+PS-3 z0>4OL^W+&6<+wh>Vw9(wyasQ)yi-u1xrK3Rxpf;T>!*=t8Hrrtk`)fT{V29*?k*ZQ zCzd2-dKHqHP;lC>ZQfQg)(r2*HkAEYcfot-!sdanH8r-1dQKE#dUK;QMwAg+*om{r z<1gtYrW(J0vDEqen9S8MZ>7%x%l*@<)z7|`RPIyQOYAkPAq{2DLq%URO_i$#@&|H} z=jeJtF-|~efBM4LDZ*8!Cb`#L=7WQwRTaNZ5Y^atZ2iBrEg@BX`z(gVq?K+Zu!4HB zI)M2f%Qq7AfPWo%PDMpR`!q4#R(qn3xtPWrWAE8tT*&SI*tZrWr;%BWZ3TdP6*A2K zxyeaRBeIf3wr>42_}`k}9pl*9?}ozFs0qt{UNPeN4*4lz7qhW%lhNH8)U0OntySEz zd+LxIMa5UD)J~SUG<*3?Puw!ZgjT=P`3Zf5z?${%7CnnbAPo!GQtHY5%B$+ujFu`~ zl107Z%)sQ7p)8WIxZGdb00;_q@yw(aG5A4f8Wz4aUXJS!YOn-PR|?=^x11mN6pIoo zkmt}BSKK0#i(1{45G=pOkmpY$v!}4TR+E`PVeePgLZy8FHw8^o#-XzDnqwuH@qgbUH*R%-Sc?g z#i+j+8FEQ>V-!8^ml5RmX=+M7wR7C?KCxGihr#@+iBL9*SSwx z34daMvRr+)XL1jy%quE0b{^3>Kdw!`23TfgZC3PWe7F+%l4qLg%s~K4!<;TLIV2)vxB(a_MrG-o;0oF*4p}?!~V_xE7QJtlROXc zxN<9>hWk!j?~juFFBUmre}&4ZU;L2;Jk-A7y;&%Gzxl^Ww`gcrw5#JBFP3~irrP}_ zUipf?^3-Z&q_r+&2|PLsE9-J41t*HtAAd;JbnU{{Uvp?+4Lm9eHxjVUwgY+`z%7@k z%swzd`*W0yJedM$Y5AwYSG=HDOedh~KjSFN{$5Xix3O|EdpF%Q<057eg_eCwe^)i) z6ib`!%`0e}cN9k(&GGI6c2fRl@ao_CpFB&{PiG0eKN`o31@fyQUY<%=X_jfn-cmUI z!RIn`$3i5;#iVwRG(ihiSWv7!ZtdPSCYURpnQE?GRQuxhy;SsX(r!&vN`HTp7hm35c zVo9Ba`uQ&l2jE+ge9eWiZ<%28kLr>XvA-575tN?Nn+4zZpTS0F%?0bFN2nxT_FCCf z`};w4oj^h?j3KvUJUKcIGY~Q&T{HSa$xwT6jRu2IIe3@;kWR%^GmxQ(&&meyy9rNu z>E8+ZE%Ez&t%)+4{bB=qRAP163R1%pyST@{joprQR#X+)U-nc6FCb&^?+(p=yy~o` z1XEr|o|Wir8H5kb0qP`XVjBNSSL{tVCBo=>p3vhpE7+5Sy&7pN4Lmo4pHHekbp_vq zAHt5xl1gtVc(G02#awIOB*M2ElzR0CWNF_pE~yr2LNZNV=XR?Ki z7|VB%OH5oi@3Sn@nG9?QN_MdxbFdh@7thB&uHmz^FI$ma#bCO$>lHUWxv>~)cCVj$ z!WHQS%@~pV;vkwtQl|G zI}~-i3F~~N!f&Ukrkh%DOZ?fNI;DtvCONe&>cw{S2%~=kde@<;KKtU&0$M#3a851i zW_Yv{j$StK3n5wUqTn0FNo*^mywv=&kk_f8a%OPs$EO6$4b@0n5kl+K(mUu1NzXd5 zZa8&xjmS{!z$&@sv1jMWN9#JF-JH!k6xLjJbkW<)`*p3yv5_aOu(ny{dvi&6IrFo) z1-$Yt-9?jkPiz;P?5r-`b*JdaMubk;*UxX+M&76sQy7rm(V{5DD=!~@{Ijr;s+-^O z?w^#g9v__|R>e`;deNe#{SKM5wir{j->k&|^qnb0BWW{bb1(aG|1F8v^-cv`U(4XU zq0{pF2XJ&L%ogu^qUX3%O`lYB@tl-7=7uACWy^I#_|!)Hi9HJdJt)`` z!2!4bUtMnj)pirb3liKlXwgD(in}(nxCD18P+VKIxH}XnE(uVyxVw9S6nCe%6)3?s z-+Q~~?b)~QoSaE=GIQ@-`_24k?o71qn`Q5|NcvWvv6g$L{wS=g4f>++l7Hr@URowS zWr=Q;d1YJm%$oGP{!bmiZ`w|f+P_xeJxb6Nkah7dwf_;~J?heU1~83Nsv)P|G9FUK zHvh0zWpbG*;&Jd^L8JL_WB$%D2O*pG>@JJ3G`L41Q*=_dj_ox%0uzi`#Y6X@^q#hdUoa)%`oK=y1XX*+G` zb90)c`%p<=t!iNYW4isJtc`z6SJC0^+;rsRW2(#YY{6ik`zGb>`L{>ptVL_rP$QO|ZlGVxQR^#F+0&aV zXzyw06PZ7WWT_3gtd;hjwf9h~0=|j%SFef4AI4Dq=*F0BF(!_mmYJX{kOfl@DEeG z$J%!j&ZBCdZq#w@17yoj{IlOpxX6Ea(h5cR<>V;T^x;19-2^RgS;zUQ74d<1j6G@9 z861g^$zCn`}UB~AV#LwW2^XsS?&TjyRFATts)5&*WN~Kt#l6Z>Hi9&Hy3O$`*y;h`$1)jM1#m3Vo-{#FFgiqg^}U+{eQYpQ``$HQRMi3w2!VE-ZigfOxY!sf+a8 z8lCz*9{VMR&hysvu!-8*iW8U*lq!E3NgS1SLZTGPDbFkk(%b`K!$&9(l47wUb_o%i33rx?U5>=uR532yr$0Q2ebIk zMsXqyUJ9YgWBq}Nml2-$qbtSUi9_D4{-KZSx6&2^P=8q}W6l0yY0Hk)s^3tI!9V~n zv+W60ZbI!mY42`i%K2*q1LQ5{v;~h=OWti*6+>iE9c{8}Lc$Eb`}-SX=M8%4E6F!< z)XTy}gS+ILiLpeHp7@F#`nP``2BTzsBXPIY=8hBo2Xi}~yTSVhgg~94k2P>CnYa`y z+?e1`oo~)o%ZtR^%kS$u52(J&+R!jB{T^|EnA&c#Cw8amY?@IBW zacTXC2)bxhWOp8xSIT45rpfqEj>YtFXuYywftR@0{B|5nA@%|E zHqC-#P-G2Df9O0_NWcSV9~~s%3xK?}ORgcXF)C~S7rl#3Ze(xI6^-PyN{Kygba;P| zi!CIX8-KUrdjj;#LU7}I=WT<%gDJ8Vi$mSBy&1-8;Q8Uv>Bz0uCv}W0o?A`x0f(&M zUJI92eXbO(S`)%p0;D1>XPiBHDpPdo->QM7%3g0a=Cn?};b^_Lw`d+Bdwuy?WHhSb z6lplAt-Hj@mR=fWLXBUy{apryhFL_pD<(Qnkfb{_x;r^K5Rc@=kU4;5(V$s@n6I!(+ZkZ3;uGVG#$V3D1mml$)NLg5O$=JC*ZY-o_)=7OD)tNJ--PbAZU>ow26vTC0Qp!7VaXS%Ja@&GZro%8>MtQ}=~fLkT|hp5UKzM#|l!r#C5xUrDILu?I) zIfV5p{WC{y;mpr6Zd0$XP!gM>6*8#!Y5n##bF}inW~s?;=5szuonnhOQ&l?=#TIu> zN+2yHF0L~j<0Yi~v?%u$jg=+gK?y0RVOQ_TiRIvl^LoL%I579efu-#azn}rzHH&ZczlmVnp|RGyzdmf4owK@?RSarQ7K(=`qCN@#gx~bW~15hr}}BsflFFF?EXA9N(!% zZLxt7u9%TPau%NrgLaOf9hxj97VUx>>XT=v__!5A5q|0{0ZpTZ(-fu{xB*^TQ85cB zi!*_!Pv|laa7{P1r>o(_-mNBk$wGBjT)&qF$^T`UxbV#T>$SLLfKlazO#K{^-d=6J zy@BTaSQhiVRXh{{>Z;DFz7`M?8YKYOvcz^~1u+w!qrdHduJdS&WG*^W4f?`?3m0tb z<0am7`P)x^F%EY?x=k%VLRMFwS1x}0<0dFSy0(-A4}78t03`T&@3wqsFdjeR!x@nx zx;NDVBuQR)nV)x59$7NruQK53$Wpcq%s$6jDLt2mikpihW53Het|&JzXk134?hJw~ ziEk+obEnmPU4EqYJ%eizck=A#Em(C^ca}g-`gSb$ zk+%h>xm<2yASFyax!-K}Glkyqko#fi_dV=+862EnQoG_1Jo~4eN^i2gF!Vf$c~s!% zdfeWs4^rhCO@&IQW6%)}Mx5!|lsN$gFb zh)9)GpE(d!4|)FXD+;^wYsOnFVUqAd-1wC2o7>+0+WmARkw(_rblcpQh`Q(1pKH%7LMvQ6DWM9lnZCA4r2;GPI)!|le%>? zS;J>>^)*`GW%xrw2R5tg8wM&WO%ZU#E+$2L)6-XrlY(-$lRlk>OOIorgr892v=MZ{@+H%n4smgdg>sdqhHhQd&D|K0u=#ClC9gW=d@MT<+o+UwFJx836FpKX_w>&Bz~V! z5v4R#X#AG$$Yo@lQ(V!HPk0ua!^~V1P*j>@J&f-_rowzB?sLebSVG0s=}}HJ^Qufv z)uo6^v@^;6TEzucxH-ZqoYc}uArZ^(=Qn9ykDE+_$h$)<)Q-v$e5L7L!d!_UznVN4$qdJ_m`_x>iN{rDvKF3H%kj6| zV-hQ8?MVND;dwFb7{aj8`E^IXt4>qZxrkz|`1i-=9*nkE`&^EOHLlLeQX5)7)5Nau zt|mvwsgkSJYiVEuBN7%n;jX`Iz9Mbc8Ka8h+p4M>z7a`p$MwAQ`z%-SE+wLs`dimn z;~Dk8&**+QJ#O)~Cv`W+L&6C^so%`ju4S=*tLF~$kHACZEiJqD#w2;9I~oU$%7JKB zDr?^bki1gpBsOg!GZ95v zdfeJIDzVR=_&E}2>ja#KN0BZB$EDZ07mTKzG>jKr75+u_tCG_*dI@I-g!+!c@h>X1 zL-Qiy2f7cA0QEcTV1d)@Eq1&q-}h2wOIJvzn6D(bu6}QYHkSRpbH@Hf&LV9c!n}#? zlHbwiFqb9`_|@02F3^7XYs>HUViVGa7rAWPdwUeRU9?aFcGr+N8D<@61;z}%$Mr&C zO}k)A)k4(?A{F@q3jx}ry3E;V`UPJqD#wN7C_sByi{8W|+n9wfeYxrXYP#b(_%UYM9OUOiF|2TuLb${8-9*wT`SRIklZ z=N&82?=8_EEup9fd*dTla02Z=gC>6zZCpiDoS|RO;=q9wcR=q}1!b8J7j;Q#NHKyy zf(HPQ{EBVB+&fwwtDyyd?4L-< zT&F{1@hAvjAw*?8yYI*7qhd`O211tgxk`U`=f3_ZUEHmc|NcqPTwgJklzXD(UMV)+ zx`SU`8COM3e_|-y(y*h4Vxz%3*bVI)88^>cotbU4q3XMWL7X^%Vk|iv3dDLa-AobL zj5O$BmuwXqs+jKC%@OP~RYi3rKmI9@@h^gjz)Oeel} zL-Osm(ZQow6-)4VQAD@sJ|xwgqYb_4L44jSR{QB4Trv#FvzAUn382P!^v3s&K>Nv; zSz+11fTuEP!01R$)MMhv{v$J|K4e1y)pY^qkr^L8LCsTT0wczm()C9_&iOW)2l-LZ zsXq~qt_<;3ra}`=r5M%{pQ2;ogZfy)T{lDE89Q0^Idri_VvLW-IC%O*8k(vqZp>4V5U zkQyY11BEZyx@@6f2WaKJ)mRa4^?#z+enO<^yZlc{(xd(#N&XS>DsG9IJk*8v)z=4x zt6%C!4F*UJF2I3i2=<}sZv6S%*?uuD2CNb#4jWBiZ?y${eO3vSM{&QH^S^9e2*!v; z{!)VkaG>5mI6mh;H3H6^!eKhelagQT8~4Z;CC*^)`G${=QTkoV)}USX6lP`kXTayEki4TOvo+S^H=sgk)oT@Zasm2f?oOnbX!3$_5d5_@@|8k zOy9W;(al2Y3u%$fz|TD|+d=1bLHxpVy!awVp<(ou%FL?-86gy!$gBxFTC0ibZW8(1 z&weo^a3H~^mc%#pOM0onXhg>l0Fj}7Nhmd_Ej1{62V}Wqqa7;A7%K4=$=@dOi;@4! zruIimB1ZkvR%*}^4xG6IChloTB&c6{OAX>m4I=0v3`D|(fRovB7FsrrOZ8dJ-?V(? zOF!L|x4cCbB#mjmeaj@f!R81zk3CX7NugNOLcAnv1j!9ce1ayhr89$nn4u*Ed?^2! z5P&Uv&Pm`HU!OMy$nOQ@j{>ItA!R#B42P(;RerK~#ku&?X?3KBjAD@)y(u#|cf6-b zzh;FbO>sgf%cj@bsqhZ>1R33v0p&pe{of((2|vZ+2SmxWC^XX8G^fiiWXQGn)4$uc z*E+sJ2)${bCyjCu8)ap($5X$?;31#{ExR$kjbd>S_kIP<#O>?aTjB=WZ~sZ~BesEfxAd z3v^E|l=g&Rlc^r5^kAB1^OXC5KBq1`vX~-5MaZHp^ul;FJDNkbh<-=Fn?^oitLo-1 zl!X$6se3;v$^i%d4_ML?-JIbx-}AA&;p@Fh*O#bf#q=MeI%1X@{NWcf4hN=Ru?bzV z7+7y-Fmt8b4-8hNnPT1QrrT{K|Cc355i&ib%RZq%dL zS&#lmj1T`oeIbMo|3rN;F1o4b+OvWv4SN*p53)Q1zN6)cDws|H&NqXqcZ1CO0o`dR z>!X0~N#ymcntRik$?E1H{^qM!BAX`E7q3J&h245w{seh_>2cW#+OP+3M`#QQrKo1i zbxweifb3X6y-T+B$rA6#@Yig-%-XxTWZ3Fv#uFvpLm#Dm?qUrhA{TbDib;!!H-2|@ATkt%=t7y(TeY|ekQ{8H2}xugc0?|>Mb zzz3K41jNAG(B%NVQ0d-44CRJyIQiQTelc_xYyl%BEpwnPpQJm+|CI2E2x}6l zK_>)2{bdUnDuIjUZ+l7&awCw7JhQt2>>V**4F_V}0lS;PDo>U}uZ-$PR>{1Q9PVXL zTidO<9?B3y>})5xA3BB~GloPqN?t!e*tI8~+O-#XJ;3Hvz1r|RK**p+o>&*hVYxLE zC2t(i?AmiBvUx{+fsErV;*dVTq=55p|Ch;MJH%j$X^O+07`-~f1ysBznP1C8%ti)E zyqWV0zN>5OYBgN4Dfz|l@(vidt@W6xen~Dh zNF+6AhWL)a;aqjMRP{>&sX=LkDYms%2TMeTN_a{4*rc0vlylJSudP3TxLg5UEPVT?3Z}NHnOUqU1l@ zy&Wfq=EkSYgae*)E^BX&g?_bK-4)l)?Ho@U^EX-C-+*K90ny%49xeTx2m$0G z-QidD2L0hLClxNcdZJ*OGftav*@4}C?<^HZLt1%n**l-o;oChM3K zY52I{+5S_}?83_Wr?)+2*hA%O<@oze?RZfLs<%qQ4MU#Oy4A!Ne*&(UzfA{|Fy&Zm z9cQg1()4q2nFR~aAdc;vlZyP| z-38aVr(_kvi8)OM=?A@fe2T&a8lesf;S5gIX*iMsjoy6V*nEE{>d3EOs|t2Z0uGRO zkIB`VfF09-(}*x=&TeP?5^283L}@5EsIfQ!PRtFlFxLF`RVCQ?Td+ksM4yALfv9z- zPUD0ONUntaIou-<611D-kYhr@{HxZa;V*k{tU^t3`>QP25kuOqsz`D770?F>q<6EUx5RpR96x&V@R+OO;FZZ4 zbF-F{#mHmDqzYopx`sjy8o?4`uLfz~)h>c~C0`B3hZL-VE*Bkv!dNi~8F3-5Ueo>| zACs(X=bY|QIrwaMK71oYN``MybIP3gvGqkV`i`u14kZ^6unj~NjX{;`;+fLF50 znFv_V1yo1IhR5E5XNa1yLkyB3I(j>)oT$xMAu~+DL;GrIA9Czyej1PAzy#qjiylQMMaey=H!ODdjt2ePK*JTfmXonLcvQSl)*lnT zOf?$|H5&>wn+$dN)0>ZR!ReMh>pF!YG4$c{q*81ac}x5-1hhxd#G>E#FWecsVY7wO z6Hc0Wq7Leg3SS=sb!X^Zrek{F5>^cuDQ9h%VqajOly@)d(X!+H!Qhyq<-np=*;yLm z-}xi~ANRRH>?^DQ5=g3=EWB!)Q#%Us{&ef9ArDtD2k*?K^we4si&W*HCM+NgKS52< z?>Rok|6Nw(v0!n1AKhxm7UBMLf%mf7W_ttE8+Y|On1QXfD>HnZ3pVkG@n9^;&g6&- zc`da?GbbW|1LsL7ZBGyDx?>j0J$Y(l64Z?XyGY0RyeuAmBzHA~ok?|K4_$uz_JR4L zJ?yAP#9tWaiI(i1itN5K^LLZ-hevi?uXD9F*nmqfrhH&>_%jevui~BJ_#!~k9r@|W z29UeO$1w_@s!iP((R%g~rmjfyjDN~i`Nv|y7`WhsB=?EN zLK3I(P`I&EL|5W*sE~-1*0GYGLVi;hT;^j4BkpwDMQ9kMT}7C!oFQv&MiQgb+GgN; z9Zj-vliusiIBRo(t-pgN(&QEMl^1fUk(m6{w2~TN>hD0SrI#%kKwv`VKW%fcZ5d5d zA|ZMa959-)nHZL0P=I}T5XxAGxVLV&MYX3mgP9fIh_%>;2c^P|&vo%4F+9av%7-k9 zjGl_)qoub*&!w^Yk5$3c79hyLvC8{(jg^~C7PqzGF(?Jtxc%+gnuCIS%uW~Ghpc&o z$HaRFe^kceFD?rT=B_nTD2TC=)uvBzb~9>}$vgB_!U^UunHDYKvfIp2aSt{G$MHf< zBzixkpAD~*LQSmP5a*y{tp!TYvL`rD^RC zE&%q4CgV+g01a!@9z}Q_vTKpLOE=SA2|8Yyew*8QJi7$yX5CoJRi)2dG(-f zLgTTut({+QaXXF1v;-)@$+noc0-W^Hh;U(F4Q=x*>Ho)Mgy{D%EF zs2Y44^RMEbS^ID4Rew_1KPye904)y@Zg;oS>19z=U1LA)?9&=s` z>mOtTCQ9ML24me5AUbnscRwhQ97gMma;R@N+GHEajFplT zwEkg!DLVhJ%9kxg*W{1S5>Sb!ES}NpyPzSgX&GNTg~so-Db|n3d6zg(oBBA0Qg|4! zwwku{k5FkukH}zgaxc^b0fjT32PEZFT8YikliQa*fqz*&(LkqGkYj`9&yEv4N3m0! zRZAj5T@ry}#RONe+usfCaGC^EtxkK;NwFT!g7FczgM^=1K*{T2%K8V+WNj=YR4bG# zI$h>^-{riK*gJ;F^$(cI+L&PB1Bpt%zslEE+2ct@{Af=PH)Vs3(*0Jd_fvsnYc8Bv z8D-y?qS&6iMDOtBWQUMsPVaHd_vn4wZI8Gjy?!OtQyQ!DK7XjQEy{hZJKu~4R26yN z;m_2>*iqc;AhtAo(6h3`CBT$;*r6ME)?_Ag~-^bzp?v}|E`GQ2_2dV0n0-v z0kUA^@MZW$x37MO75z4O`D=n@kC;Vy$&x;tLesIGTOsbpt6EE=0P#zPQS#mg1^RhG z7?lr_!Fd4I?8qGQ;YROPT9mzNMIv6WpkrYQN`FMfUY;E*u0Yj~#Lu7KZ^>jc*pol9 z^b@lcm@*PrIj(3Y3^Lp8YT3(A03M5z-2)|-*|voI`Idz~YulUT9W(&B*)2?~FNdvW z_juLBSD&LeRh=C5bv+w$jK;eYQSs>y-Hx1FHCW{30*mB64s5Xyg?rjtMp6k;7I}$B zw%`92*m7=0VPQYyC96bkU72?(%VyL;E-^VwQyUVAOzCvzqU@hOO$@}r65v~-yH&|~ z_VRg>(lJ`*V6j9>NW0Mtm8OE18a7L>@(X!A_r!Kz>nGO927Lr>)7Gyw#xt>&J;=-^ zt$myIbaN<6a-EX$66s7J-#$E@K2LSsuN}FbJ!(IVBKx5!x~dm{X0VZx(-^NiuX*aa z@GmcEMC5A`dR4o;s@(Ag|Fi4Dpwlq6jbDrC1)1~L{B|3^`}ZX@XKjmbto!|0jGgQ@VZotjO2g1J71!;r zU{*!T>-PbK6I2c>R2U!V?o}(FB`v=OrDe6Dx~}TczbJaNR>NnVbti z=ivQkeJkb$f`5Tl8Mg1cR<4Gv8e)AF%3FIXDCbX}6)FP+Buj|Tg1M(ZKUBN7TWO1< zoGp!dM$>zVh!&GXJ@F-HNl{L0R^iyk;TbwB3`Hzk-WR58OHYH|oHUv^f=WXX-R1pd z(ppMls?Hf-94cs7Zs+-afg7l)mAxZN9U{!(orn0Q^2`Ts6ESxb!h0&wecc(Q) zQ8Q*<8b2j9#f$2XXWg2nVJlT)E5nO5@q?nJ^yHD&FU%ym-83;)OQ)@Ru^2{zhqOFZ z!;Rd~*Nsk0QU#5%{!CJ7kTlcRMDP9)Mq?%$re{IvV?fx^BBf*!nT3W@(HQ?o#A$;g zzzHKYg|34vxsPmNCt<^UyTSQ8!N?K?M790TbQipXR0-{SJys0?@gD_?1TpOCZ-Y?; z?Kte|aGiWApT`4b+KnH)*1&5pa6RO6y*aY$u^Dq-l0kS4Wn=>^`vr}qDugoF(IqZw z2K7iN#t<%!VP7n>b{3w+0LJJ9&!8RQbI*<a4zI#TWIg$Y0lK?ef@ zP)3lgeHQE^gFrmC2@ZkxV0mo*CS*>M3C!XPJtHhVG%XE977Q(bIc!dpLL6L3KqVSb zaom>;_AqM=hMAXUOz4sw2qO&4pn71qW0|moMU=tZF7z3kHXXuXKH4MNUX6rQsw8bnn3YN- z%Uoe^5yakv%@<>x0zo^)gq3Ta3=K=bSD$XE9bKDX6^^_Kq>{EfCaR_HC)BA`GTu2SSK`Bmlh%g9+M!_A#LjGhq?o zFb_MB4uiWSDV3lxj3dk$0xHHK!+}cLflfgOLjq7C26wG2*lUIcZdF?-;c`Cn?CUUR zH4=7AD2g4ZJ{-n{D8pct+6SKfJ0)1qmC|pAmzki0e*#cS(1Cdt%$>nqJ_|MqIsj+E z1Q^^!RBg)%#gdub3kb!snB7AN+c;DYL>Syx%|@?>uHhy%oJ6WF|ItA7^*y`$tZh5O zQtYIBnfN$RJ3CNh7;MxIZ&Yp52;076JMl5TfEfR(la#Koj$M!9 zGPuKl1yY%B!_E{)#0)TLlt{9e!|+S6R7pYd(_v~rko-)T8X?Fl6I3T_5xap_QSjU9 z(#1;7&NPIU6vU#*@a1qniZDwl{Fi{IKBlTNi5OFuni@%)ET*bDi5P2`brIIu+c4{5 ztTpB^HD$!*0+@?$8h&O^$4NM--J^&3fn8VCeqCv6KVHZZ?8VJK-c1z)e zFVBQstPFx}-LhbKpwe_~CtiO(d-$$cFjX<@49owr+z%`ht}wZou>YcLI?NLXiZc`D zi3M%cw*7vXG7+Kfi8;$0!{=XTg6X72vdkRTRD|V33Nk_%5fkcU2g(kIA>assk&$fg z_g^`@!_Ovd#?ROcN^T*H z#tLDD1Tnl#h4i7Kp;mxK+g!Im;s2F=gtEq^U>VN0+EI|ca2(RN+AZLZWaJSP1)y*o z^4Bdq@570WF}Df1HK2V~lM}*U_h}CO7wYy*_CBDD`--1?o#pd;ovl*FpO|;A?CO5O zI7o#$LBwQzAp8+cK~!XiOioB9`oI%9AqfokQ83v-3=|if0u=zqLOmc35t=f>WQV|? zfHgxzm11+!g9IolI1(xXPKQ#!BxN~dBqIuB2oP1?2i=pQJw&qUBUAA-U|tWvkYOQy zmz;}3)e0eAjC&!qBQPY}Xs8r;;B{^Y2Bh?Z7@8!6G~z7S0@ovW8`mR<7)yK zP^|Hse?Cuk#&)G~@eJ*H=g7!jR(KNTgOX}-KxLZ?B?D(cnZG?uZ$}PIl7iE|yJMwV z9w6I>Lcc&%BdBDI$PP91F_xPY68p3uG!gbP?YOx}rv^nU+Gt|1kpN9ofY|H^{&zlb zFq9GUTJ{ZuSoQ;iF#=oWQv`l6J1z~94&^e2*nFw=*~)E@nn2y?Dq1;j1B&|?ObDC{ zm4H#o8p+^A2nA0H)}3$f1-%ul3w?{@{v&BZ3%zs{OjSAv6N3rLvO!)&B+EEO%m+DB z8ltsXvI1s*kKnh7fb|%&fBnBShs%W`$p-`+@i(9fzz`MCAZR|LQXNf;?{0y{OlxiL z8M8|OFk)GM2&QbJ%s8$j(g(^TKqZem0noA(2WV4@lKNjWafnX5BcDs~JcT{V8_ML3 zuGhnF2VsIRFxH6H3iWk>j>1b zi6E8R18dDV>@iY`yX+R_XKNFZKYi5i_O0?MNR#MJJI`9O@YGOICEuy^!_X)p`QXG@ zE~TMOt6?KH_Qw^c^kb6gN0++=sg3bi%3eC|i+y3#~v0qu{O+<{0JT7U_ z7*%eT!5(30L6Xx90Q?>553!8+7oJc*_Y5x?;kFW$}TpA$W^do@AT(@lhP zFbyG=Y?*yrL`d_bqO@7D;I=z`)8QBO4Bn<%vhw_2(LDry#X1VZ1IIueARZBhGIkMJ zGL#WjL3LD1zURu29JypS|JOMvPWD!MSa=Yw_>)?3lres7jAiQsplu|S3iei(MaCDG z2IZ6=5zywP$nK>{CT~)Mu@P)&0i=}dfbSIS$TYm#NbLOXnA-mZ9SWpeuF2~`W*GMr zkjvA6qdikjVT9{lfa z8-x2jNC}rTIDvv4>APTZ{-@OBOt!@Ul2wYp*Uhs_GGqTTJBS&|(hMA0CcJ)K@lxaV z24Ou*(b1g~^Gyw_;6{31JSDj52QbLXKeMcEC8SA#o%?)$C@3*B#N{h@GR_^*NE5vq zhp%#!n-bk(2TH9H)R%DGq`QfSd%_)j;uSs${`EDunOT3f|MT#ABK=hGF2kC#iZg4* z!0xbv#BQZ;IPs@Kx{jSA(S51npD!ybD|Ei$FM89H zW1M@^pPwm(y(4@0rD9BZIqou=E$^>}B}%SxEF!-7^f=u|_xy|_crg~?;L2^b6q;gv zY5YtjuQo{&Kp+sGBoWV7{CmZKZD%q22El)LHen$Sc9FlREFmx9IjMZ< z;`5#x(25nOZfO1s5SM9oZJ-LCN$!Ez!SE7&Cxg+_uqdEQyMv z57f=|>40c=`JI=7&v`g%cjoRBP5uhaGe~}buHU--s7PkXz2BJXIw6TKua)uVVYg0( zp)sX)3;MKY%Xa|jl;dG``qreVNvyc)O+r#yg8Rnlg-u*clHt(#Mf#=EC5`yOP;)5r zNH~1uvX75RrOE6oOQ=m#|Hia1`9?Z^rw`Y&AH4d@3_Nu)F!!o?Ht?L`(9cP&L?fb` z`z*(I?{~hO@{{Pz?Vcs^GwI5C*hm;qO(`&G0sA^ZdSx#{9Q=CKEJQkx=<#;_)A|o^ zY}uZB5zOx7g232hT;Vou4g9oLc>5xZUxwgF=F;{>7uDTPKbiKHo8o`49S_0A5 z2JbX^;D~Z~VBFmxhwrh=z0La1#MGo_24{ueY4>{5XQEYaciNlMq(>8KDN}fsp5Lg_ za9EW%R&jsf?YPa@xBR7Yi`Xu3WjY_ZZLk$D;L9od7Pwn*LMnZY{Zfzf@@XPa@xH8? z#zj22f9Eg8A@0QeN>e}03s{jpW9V$7A0{zfkeMv}Z}%nH8HgY3#Lq z?rMqq=d1ZZ$Keg%M+_vSmltnzjTZ4uU@rzc?cz_TE+QaeDuO(o(B&t8fWa-4+y3tSW{J5i}b~O z{*YRuRioMdG;_RTKE%7mh*yOpjy2-#iA+oLbkf@^%EQP+OoZg+Yu8G9NMu67$vio?v)L^y;?*U*>&#p%zScn-69b(T{Z zro#M#FV~6x6C3jEk>U(eUC3$3s*e}zBSDbppS!~o`>%Ywkqu2|G(V+|+^)>!t`L`- zK_wg`U(r)+WGSC?Uv_(1@@;-IqD_jI_w!{-5&5BtvHLF-GU%l)=2 zyOz?rh~py|b6P*&0R2}9yqEonK@3mMQ@?y<#X)*KfJ_fcpYc;h+}RM{sb7Z?ht>Nu z5*`0_gsg5|iW)W&Ssj%({P--G?xV$lql$w7cJK#I{0-;sz$doM?toIx*plcnW@V&8 z>9T?nFL|EDnfB&-hkXm=gbtr_^=10bR61pqwKpdYgtO8jV1~oNi`a-X8LsIp>* zQd9-W$Nq)1etMjLj>oI7#_E1Z9e?p=d!TMP%cjFq(D{6>WUTy_u}s#)>n-wo%}+SN zKWB0pvSF{unx~zU9p*p({jzcFu>c0PY3Tl7=P6lBg^F_MOLO{N?HyWvt7Xz?>W>>R zRQfis^+mduuW}qU+8zrtO#xe$G@<~@_f7xUS@&1zC}#Bth8skloiHt1RNMxbuj)N3 zSP#Zw_>Nkvqec&YNQcY*bviuGL(?-UuQukFkDJcUN5T){Nj7oGJl}=ljDsdH^l0v$ zIWQz6usAvVD$>BD&Sh1Tc(f$*g=px`sBJC5ca(zmdKD}f>z#jZHF0%CaSt7w$C-Dn z)oO?J#W@3;Z)VvuZ256M%00mzfXX7To4x5u3hr9Fx1hV9?EcKcNg67jn&}EY6LY-M z#FvZzDkzw>JoX)o*bYPHUPIs>SWa zs8r_n*iCWgYKl|#Ww94<|MlR(Xy1r<<3caADUSwe)5?FJ+iAV5vnPeN{+D*}A)dCp z8Sb;NL~s8d1F}#5;p>#vj{^6)g#eMEre)^>2lb_B9Gbsq27cv>1#AVGG3SvRE;$$m zOkn$e{YjT+=zpCj;(g7{i;Z5FuJA4h^P->|;Bhvjp8XucEY!Uw3E^#7+U?~+Q(VV% zy=0oAkg&IpEIk?axJ|Q=sdclQk?W*#Cyg2H5u^=LKQE5DAc3eUc4 zy)6#(Z6z-ZX6K!Aqf4;$`kPA)opEt>p+_S8y(p^DJ{X??)+g>New$mI#&jDqE^5PW z!lJF|clcM;FZ}%|`bjQ+i;)H_=u^t*xmGZ%XmLl!d2lL=x*=XHP)W#s2=T9+s4Xp5 za&3#HU9mH7o?-P1+GU#7k0awndW@YEdRP|fOO7Qssweb*Vpq$`x4#XqgF0A$=Mn^< z7F`J0G?VI^K1#*-yfLF1tyq1qE$Aup7A|1@6p(}J9y3un|3$LqTS}y&b1?r_BGecsIna9lRnBth3nHgio7-Ec>nVFfHnVFfH?VbF0ci%hj?cFo; zsdP%Es#3Mo(scD;f`NgRBLF}p7?>sK!wRA*#tx3=wl>7fj0{W+OvJ*@=2k|;9L$Ww zOiVz~mzfb%Rs2Ex)7;6JSk%be2_$Rt9r8aa#9jYGz#x$Ri~cLwz5lE33OLh7Nz)#@ z^Z7&bLP|sBuQm-mU9i0VX70b#XuD~{zUINPsLHb2koxw#wmNy6 z+9$H$zUgvaO0K=vzvX)@OzIC3+USR?KQ<__;GsN+9}n1pQL+~kyg+L)0ta0iYxjMw zwUoo@&Ku7mE^6AwOsg#qOy;K9Kr!^Ljo0>5w&L@;MIbq@ zKm~G72-9mKW?m{d2&n6PZS0Ea7k3sJODS70d;nGygeYn;jQnxGCrKt6^SXnKSvIPL z+Hc6wS{$L-yZM@?dN(hzFXmM{`8BpHvn^5!zEo8stH&@7SflM)wo7)K1T{!f2 zYpdJ8xLfx_LyLxBl)9!p9iGomdH6Q+*j%`M9cv26 z)Ur{_dq-}~m$ALKYl(B@=T6r7RvG1Wv{7Yo(fsk3)|zobHoU=_7C^$-OLA=EyfU{j zUWUnFtLRP7<|_tuUejI^0&x)G0Y*JfNFlEoL=VHc;5}>uCwKJ*OABkZ#GoyB2KJ7zt*`9bT(hqe#OZMbpWjHSX zjZ;T&xIFdg$lKTOb!aL*5yg7I|3;EP*i-t%7stWPd13#9#ZkC@{p6g&F_7)DS2E@E z;{F;OEAJlZdYn&mfh`z6g3Ce$ap@o<$=Y9XmDFMzQ zwd4JLuTod5(YDjbMyu$;jH>Wi7x(WGQs}T7`yn>+cnzwkh!!3DLPWMYM-v;o zfqsuabcOfLKKr;2hBMvve{SjvDtNi_$DU^JtN5MQF(ls?jYe4foPYP^(5=*bVSF&8 zTVhXR6M2IaYxg?mAG-_7=VLbR?qoIXUfsH83|n44x67Qdv1Pc` zyc(3P<7;TR@-BpD%HpHjzLB&NfgWW6^1bQ%P}^ikK%2FEV(abUW-@{UMX*Gu_JyFKpaBS2>!jId7t{;gJhelE5zBVbgV&dgoFco?{JUijj+0nJ+eW|?5%lk8pnixvS!f* z1?5_;>Eq4TlaOR|@t`s*Dgx{&QLoU0a&%8iz^ zD>lv^D^n{3y0wR{%c_dkWy0BH@8ZT_wnPKo8P4&$O|wz;71BzZI(6(mJsXeVvLKF& zfO1ci){?Dio`arBm>uLelcfu(2eFSoy>L-uu?e@p0Y^q8-e#0*&@oA>6D-Pu?O4Jx%YZztb+-deo7SOx zq+`cWpCO;ti`QZE!{+jv)@zgN8x%sKkJlUj=hMXNlh@&;m3QU`&ns^QO6$rY{^awa z>jdy7t+VxA^KR);Z_-WoH4Wj(>naB7Gz`jK&8`*Y*Z1be^iRBS#aE+!Jv;Kb$Gj(_j4;H~X?09*-eNapScJMrm0nGx@F#sZ`+pDjuqPFf zJv(JHewXicy@^I)M1McH?2Dv}Y+a31>^6H>)T)DSiel+hX`+*s(r?xCk_lcMs-zVX zRi@4J=73N9SrChJRsb(UDK82C8@&iZHuB5|k-f+e$_noUSctL*R7%#oU38p)6xbQ% zWw1Z6f8Mz3$Dex@;zOCc75u*zUF}>bZ-@j1K7pb2WJXE_S=;#>tGARPm?iMOlgABR zHMg4hrwfi}4s4>TaW^Hb4z#Tuy)t1hy@648{5s1%UX3VXh7=aBT`BRafc*jHl1|G_ z37gWF$4u%8#pVhJO&A*S8>S{$UPOwhfR$W`8XZ-5lC`qe+=@SBV#Zzw#_0oFi_=zM z8d@?F?gYM@{NoDZQHt}epLRE73)(coj#rdQ)iO9T9tm3Q1HCg?t{T{H4>cWuI1}y( zyuO;$As>GS1GmQ7{8?_`WhQBt(p0OcEAr4mhMG(xV!lwzA^Jh=I;e8MGtc~rj2Zue?60#9 z9wON(B63H)-&J8@mFtzm)~BYdmCd)3V7jN%w{%t{Z_glp#t;8>y+%48N@9y=KN?ra z2H%c6D_`y!P}5VStK!1u%KLG zHTgQ2M1yXr8OGcXWQ_lqB>93Bkeun#uy;Ap&{*LTW)})o97c#z( zX9}j8x-5JEyu=-iWPHw6fA{$lB714g{)#q>H!uo)65F$Xv z*|V)B^#O*kfl%SZnAnWoa%x>iLLdeVh=HHSq5Xx~CeIFFAJYQ``2!vYm6}>hun5Gc z{>P94G3Y^zraZgo>lsYO$*h+YlHGi2W}W|*aDm$Ru1RnL?8ubNt8iI06&;HL_kFnt zb*kb=+eOl)^RqktTHazZ8bJXl*Y|%k`>e=`e?p0Tqp607B<7)g2RP5+wsO-V;1&jI z7e<+#+0YIbW2j|^wI$4pi{Z-UaC!4Vgq& zKtMBJW>5UQFsgI)J+2&wv!3a^G*VYe0so2F$!wZ5{nAc~hJWt=25#5>TjF_3F;O&gyMc@^Te~xjkzZM# zCu%}JW7yJ6u0hywIWGRRCL3o?qlMjfTLM5ZQwy4D^UR}h($ zDVDh6r5$^{UYMl?T%^VS2ADY6LEbQU3N8@VE(4;RcaBwrJ@MDk0AAKULXcAqUcjj@ zZ3Ohh$>J$}Ldz0*4KyMi1Do{1PTQEG9N8JP3#&AcKGk0y^da96W9rv&sjF;*??4u|gXR$xXddN(CRR3RV)gx-X>=e)9f+|V(<3?{byQPk z^*Cj$9(da~YHP{jKy4I{6l^dhYSX!`XEzqxEA%~nchI76c__Ln$VeXv{zwyd&mMDJ z0T*+sl$9z=%3My+XC}*cB2OqI<2R8(Vg%*DRmR^Cw9p;~PSGS~oc#<^_*wsiaPk5U zJvi*dM{f6FrMsV;j8F`s1_zYCu*Dj1Y-kfcg0KdE{C469ggdf^$Cz5iq{ynI{wb)c znB<#Lzz86a*5`c>r@#+{`%;ccp_kXwk=!RVR3{byP9hWlE)0SJ2>u}CfY1ZN4hZ1F z0R|)_MmssIfnyr1R1_q_Hl&u)OlW8h5bBnpe?tCh4r(a={Qi55RV79E;)|u+&q%Y# zKOqN6_|y0pXyY09*NV)BJ;yz5H&7>H)-?|Gi%i;JZpsBFi0cwxxSc4IdQ13ugC4O+MVT$RyBj)pF~Y~rjs zjv&?lU$Swhv^ze&?8;M%XY2lbS31h9?HDbBgD>a0K%8~sE@U8;aN70cK#Tc6JFfPc z-IQY^9K=JLc4Z;KwH5omJ04=z_7&8DH0Ro+za;@I=@=}h1NIY_#9}X7bI6{>8JfL50d0=-k!9{qLAjWMg;E=B5 zkytxb+6ewa%BP0=?@1Pr3QAsLPC*me9zQ<4y}?RLOi~v4(n|ZoH!YA+P0klQ_t_~_ z((neC+AfE8XEJf?KZU%|Uh?0ccCT6j!IVcMk5KIY>8*l8va5x=e@bCUOq%jV@WjBi zOScu~OMGPrfKXYKE(g$-P_XLd6LhX+es`RL`LL)0Tq^}2!4~0K34Wi~gAgu(#zR$P zQK8IH;QY8t??dpBU3w(<8_i@V4}q`;Yim6-ph znV>7k;t^wZ#@5gTXZw{XKQq5sZHam&0&cp!J1~5L6BQjm1o-(#(j{h!{~thqSBe-1 zd{YiU3Ms}dqbw@>TG;6lSqm3Z%PhIE%gTD##5@u5Q4~&^1whMj&-R6e41q%mLBZhW z%Yi@$fXL~cJAp&CTtU?^WRCP5Fv15!40D3x#@myz6K5!)#4Y*ymUM$`Ai21I`~}te zl#muMl$O6z@(pr9_PMc0CE$;94hk}LOd_8&ammb#F>>xby0qX7jNAWS@O@&ehyEQ5 zvWJWTvZA{C9W-KuTo#!%eDR>~WBB3*5IfZC5Tu8k3bvrg9U;LMgF^UoUXM>mlm(d| zAM=eK2_EwX2??%fMdf!?c?mgNO4Qt58ev~%nTDK-EFq%51#7qbvq(Z-P)!#a zvscVt->lfbzSGjeyx+tU*QfqylRx#0R%E;pttVv7QQBQ^Y4ygMp?Y;|D!Zuhh9&Nq zA)yC1aRee<*~8;(9br!FTBq16Hu+AZ^*}l8VNT5cVL87;M7Se`Tv}N224u3w|Mk5B z89<4o9qJhyb$zqJdtsw~`Yv~4-1%Tzn}4B@^I$tvAG*@%yhvm5NugU>^uFp>4r1ar zfSCPDH0~MqcwX0y+{S~0hZkLy%FC$39Gte-WXM*Xyuf0}Mn!r!u{tF-vSzBEf(G(Q zzIi!}060;7@R&b6kYwnAa1tc&yOP8SoFzd&sp2zyEfsa zK@S8o5bUOiWqI+*hrxyT-U*IT5)2bMM9C1(j%t3^IJx2j$b}>dWw&|9r8|37aPi-e zs?Cfn_@S`q@Qn*ZkH4;MAbR)HpWDQkk=O=r`3{dWMXS){8yVdL zbr%7O6Cd*`a0{0=A4qJy6F}Iq4pGrDD=6!e!}b#bLYM*xF(jFlk=SfTPEn1%8`;9VO)^PFa++6R!zO% zl|8Bw=EGP0Vhep`0fZgpKrzU-N2tCpOcmxpD90hcTXcLqJNcv^*e)X$}*0U68#}4w0 z;hmn-#&Wz?h%cOw3;@ z2?z-AZ=g{IvQA+jv+B)ubpeEV23Z7+ED@7b^Q4iI)bjiQEMcsSdKP|@BNm6h*Q8kg zb9#mF9~6ZC9_5D!O9b&1RREfq*cZ`A5c2DgFDmojj4v;IMv}=fN`#0n3#nx7h7%;4 z9sxMI94wB7b?}Yi+lzg6QXbARXX1B3MnB*YLY(0dV4XnJ0YvRV)D}c-K-3CEE#Ytb zSR>Hel%#G*-kKyNRDOca1!RE;uyL3S#0sEmDJQwjTP+N1P>MXVKx(RyE6TDJS19tM z(w?Z~=~=}Z0){;ibg$h1!ps7YLz*tq&87MeD|ZVcau-81-k?V*{E+-iN2fmSlqVs7 zf0WG2`(*zUcehC%9rKY&rcm=hC<)<;P%vcS)I&S|v8PcX>4k`hd`zNU8WpZp00CQ3 ze3v*yI&JW@E~S)ypM#&u0!`G7$NeOsCaBQ8MyU_r3hV(_fDeQ+!i2vi!^C~V#O8dG zNOXlJau3Gx0CpYR?{{!wJ}8fR?f=cRL=A<$rR}N0r&4(h{_&2MWr0bxHH>1c;{ygO zp4=Tt!b>P$MhE)^IOPE+!#?{(sgo~jcB0WqV^2a&q@@fR%1I4$yr}>kY}DDPvPwZm zHSzf@Uz-vkKssh6RaLrh$EB9oPjMOpWXSJhWa52Wve;4vj50V}2UFn`r)y{qmf`^< zBn6~mkX$x33!O!F4C?Y)tH{G zBhzUmlX;5WQv*oSpz(U$R+}jk-!cluJr&TAUW54~< zw$rog)_fHJ_!#dFhtYUXB1)`Or zEKsDX$nRwkRt_}Ig;*|gwKU7uAYWzzwcYv7cDI= z#IAj_(gMovsvNMnpG98{fJ-?ka^Ye7Ov)OpwuXA$2C4KoveQa=@#)k@4F?@4M0m%P ztlG7l)M6DbP^!(89J;Y_X+Xg4WeA(F9egpDm_wv z*|7>v4*BCC?r$9DI~eYUKNKwItKUGblYkKfsE$1KY$J^BZr58K$7F9SA1&sOR_pyYCWuSg(de1923Gsg}t};_$N~*wrpZ_9kJK~Pe5;6DPy$KxL!wu(+`qG?fO6x44 zJ{+Ox_S0sc%hQ&})?wKWJAa26TxC<$ZRW{~e-=yCi|t}`GKD7!!@&U>Nk1(c?wR)`%7 zc&mKb%VSMO<@o-oi6=U2Y|oc1f&BDep&f7fRm}jMBgf|YXWa*g+cX3W(lAd@W{uX` z1(aD^P+5s_=0D{;8RHg@BK1k|QUGOTqjs^cZ}=`oA3?d<{GVqkH!D{P!D}hZ>Vxvg zlk#rVmV}8lu4xHEM?Og+RaQZ&cx@+c|MLlFw{|oP!}v2 z5#kY)!9&y$@K49-W!pcl;)B{41-^GZegAq#JK_bcqYFVdvX$uAMbV5Y`jzeye5bJs z;qe;1-uBytq%?h1l9V3EI^bCs#B3Psg2m zT~3~h@Drl&c|D6_Pr-|Gv-+>}PX}E3?_l?l&Qu)GfXVj=cwbSKuMerr+-P)kkVoI$(8D zWL*~wA4s`6fAzubO4O9vR>7w^eo%lqGPnI{iLW7Wj`nmalt=FBc=yCs?1g?}{mSlt-S4t8 zU_e>?|E>@`0B8ve(KJ=q;n4-n?dB5#nRQN9U!LSAVeUQu+Z8W(>#L>MWoXiEc=cur z5WwL+2z=BGJ-luX-jl*OHmseb3ioU3Ih@KuO~HX?%Ir{OxRImHJPnnV$?@X zCOIq3O=jI@gHla0e_hvn{WBc%xfj&giPXGJof@lFp5J?T66^yc>@YcDQ`JIFx%z`H z+kNTKhr?f%OO*$64cI*_*YNskr4$Wz=0!T+!$-${9L+-dd99BA?90JdG5G;eqUZq~ zMah1Sr1yR8H@lMhm8hgkZ zN25UP6HcEp7s3PTt>Iq}W-A|9&9@u5k=>om%Pm_#hF9dR-3y_rMt4s8r#}1UZkj74 z?i}>mQ`c^K(N1Ruu0TV;`{vmr(JS!r73^tGB$~eqg*#hzljsl3(YgWyOt}-?)p;tD zVQeS3=M}|PJ7R+^_FLi_#G8M^C=Ng38>&9ugpeI_fFoX6l&23Les6HV_TQfExnUJk zce*IV_`&zKFL5l!_Ta#0I~j^c>(yL2BHqZ%5>_{>o4cgDbWb@Aeop$vnSDKnyMY1oLpDi!N6Urd1>o_4rvPkg1oL#%^%Y+7Bf`!@LCA z{)LK~Ia3?UyGFA=G>luy)~|x9iTo)Wy(L2*zKP>EXHa(F;u$mbIJzAc`!zum-9c~c zS@Jn_(1c+K`{rv4N`7f=uv^9bC=Lwunj*q0+sn_^YKXZ|)%^0+q(q*Sh$QS!!LC;* z5cu>Chl)xSDbbwJ0{f$OpG*Sy%knH84eD*b)+_~>%P=2o>lxqZae6JftNMGcegPre zUFjK@?lQqx->?*hH^%@)8_*w=#P%wR$Hgu5ThL`n;tMxZj-!z|RGC+Au?xqqc}tP)YQw zh;?bQe(-<|>ensJ&rfNdWiA%Vb}x47=YIz@k6k@8r1jk%&l!u@RynncfQ?Vz;bk8# zZEc46Vm$h6TC|q2*;JdTkNAL5-a4hxy-9=X(x{9oQLZPxSex&P+o5~me0>yv=A0?S zn^1}jRUHkooHn(EHhY3?P&Vp{kBMd-kp1q=>!pt;ozs_<361wVe)31Cz^Lg@>^E$} zw?i=Tlc%^BgbY9@6z7IXKT|f|0Xew@TG&>5y{}aPku4s5&L%+qLdd&>yzK)Cl8bqK z998F(yiIhm5q=5(N*c3bIeH-*;9;^gR-5@=%fP&buI-&p3*HL;jQqz4j0ccEC7wcxmvL~?c!1v zs%~f;IO|V)1W>Mq&L>2P^XSa#zi+Yiz%PP~- zp)i|$0i7u@K1e7Z8ibxKDBc7pAKZj*_q%M>UIQjtC6* zfF0ivO;&0}@N4|iSA|Sl`iRwP$lbv+8vk>n>6jgCJ`VSS(>ztIB>naf6%vZEƚ zG9@^jbB!{BvPnT89`5s<|LMmGSI&Dhn0TjC;wm>xOTF?jU)qn-zu!g*0k|JM*a5Kj zcb)@kD>W6e^ZrN%(r(8WdXKR1+?~8Se z#u+zqXx~@`J$iVgu4e(Ehs-#wHNK7jSRZ+QZ!xNbrzWhbXlMH8YzCkY2e=I4=hv=x z2yqnwZtMSDMPc`u?AS^yee4C0NX@jX}&0ati* z+jGS9<8)6F?=A6p+hUL6#0SFePUka6N2`L=RH2pO@}2$Hy*2b2#gsIQ0{k#u;>Bk5 zR40s+S!?Vd?g!XaZP!%6LD0oTklVh2kBF|Ru~2W%#D^P_^lSl}Q~je02PRMHdGzDb z2PFK}EopqlkLGR3{EQ#E&D^l3B?0@C7@v7AmT96r=U=9_S2|Z$KcP_ET?Gn02NU>S#bN0|NYw6+xC|7i$?dljj zXw(cn!h$+^@yojdBbuiaw@v#Zdm)m~pT42aIA5t^GuDK8A*IJ}_+ zD}9-OyG}$3g|HUsZ&1*z7I0f!TwIp#9tcn@(PJCzt*watGp3h)b!Grb+{D#{3r{fM0?M|oM{Xt@;%73kfIpy>=1m2*ehRj3h$ zP{p9Wr=eGgFh9I+mYBe_2fD@{f-F0?G-1z@Y8Cxl@$LI8JL5EAC*$%Sil`pzEIaEo zVL=T{b`MM&z|sq6;FBiXk;-~dz{SBTWDEPiv42_Of^tQRvo)A@>aLT1>2c&#W^Py| zgfYheb+0L19tPem**;g+X#uA;XCwr-dDTF+mSi*BUR0lX@1&q1p1vz9@^kDBnVd7P z4Az*oQWzWZzU0WR&@zGj$V8F+fz(K$Uyb5+Zyl#cHu>Oh7y)5YL;F0FjyzuMxQH;t z2MIX}MU@;nRRw;)LpoaFmApz|N1i7lrcAgZXLrd|Vw^2RVC*g?|H{8QNsJY#;sAZ@;A8X})>Y9o^3I^#5*6mA+6sO^NnJdLEi~dP7ZpX_v z5@Ts_K2H1dvIeYgIE~G;^;k5W{4&!Rf^ZXWIB_^`rjew?@JT-~r@*~~GfVHF@4dg2 z;q=1jV9?Y1qLBwD6#7z$;hCA=fnG&{{Ln8JJMpzfW;4ZL>*#QVC{jl&JiyNxSp3xx zkTP#?Q2_F_qZVtfEKJdnL7rvZ@wwsaoK=V-OGHufwtRqqbPKhNG^sqxY_17k(K31= zv_JtlgnNScxQ3jgnAW8gG+WNEm!0P?cN2>F*uJ2|*6L3f_3VC}3Bzshn2 zG!4&bUcx&3KeNn=me)|XWK#}`X$k5YVZek`!BIa>`a^U24mler`qtgLu>}p!Xe}uE z!V%!p`u({wsThFeSh$zD7n#{n&#U7ogq2IxV5l4?E4_p>ca_ zjdhfd(-t6lx{Jw25J${KHR5dD2B%~lt{AwfAgKI2GY&0R&m}X@f~ee)R*S4;9icdH zP;^6{F`s4OkyetEJ(B2kRqM{tS8Tzi^sIpDP3&NrRuThZ2V)16tlO8YAS!PdL6$rW z6w?II{1lt2JaDt&9)qFh7%(?q)PUyGIPgu-+^KW&#r`P<(Qol7SHGES22aI}UTCKC zBl=tzPb?Um?hDywo@BZ%NU{P}|f#-nZk z9%y7|xHYdRxE8Mf{p+f|=e0be`!m$-B@o+=)aI?$v1lh4 zM2}e(<9@g{t7oLYJ+g0x^^h8^ThRK-!HxAnHSg$P&EO`I&a9v{_S_*620X`Tho>Bn zw5T*{;}4oJ%O>kY<~R>@Vl7Ey!RI~UzkS)1k~HNHl*ClEK*&;>2!UC>1D6pYm?C)AC*GzFF4SY4R!D<8Aoi{hNemJW} zeOqR#5<37kWCnmj8W&lg_2DlM7-n4~Iwf|L)VZ}OwJe9(ehM}YZB@*Bh1itTa-0(N z73M6J^r~~u%F@~cM4BwkdE#1L>w2$hKG`GciyY=x^jMw-0<2%9@STTAYt=}_O;RJ2 zi79K<^uwml!TTD{La@-r{3HzKEdpY0YV*6N zAk+|ow1uuf=HB(7uxR_n6-*-#xkSt}x_j(AG=Qc^*NV~6V<+ooKnl^sMjT&mV@Z$E z@pYS||4ktvc@+t#IK(@-`|LE(LvUkRbq;HNl-iN)!$7{^Sg5}ZEonY~v4{DQrcS!j zw*x%M-ndBXg5bo82I*e3l5JGoNIkZ5hOE(Bhh~yaCagP zO*W+l%H?}^AbxwfN~Fdb$^0rlRuV$3!;B1Op&il?X@Qs+|} zT73tg2?O%e$$ZIapmIODk=pJVnq2mk#ZtGF^EFop|LI`~RpVrxL2k;y7C0X^t>>Q7 zP3B8k*>+QcKRM(^!S<02-M1@++>`7jK{eqmYurfwespM6FMtPQ0;;RzB7weI&(;qf zZ%$|_*tT+c?fP_ne`Np06W%LSgtgWAx`#zDd}=Wg+!~34UgSFWAAWL?c({qyEu(GN zGV?T7B-~ku{p!l16iTpL3Nj#hSSu;m9Q{&7{zjv+P6@7-l zx|Z5hi;Hzhxtm-S!Me&@W}*UYXIHL!Mbw1-+l%<3h@g7V!GXsWL75lbpm>}|5-oKL zZ+BFv%ni;5&|tUu4N15E&_jzdVda0EW6;W7?msRQt%7Zxsuhb@+?8q zc=<2>p(%K(%Cl=3-(Yu#+|qM^tXI35YQn;{-nlJPu6Tm>J6aujMYV$-EE4^!7MOt( z?gy5w8_0!hOdN9u$NJ|4)CM*o&sETZ_WdSv2HH8yR(@_d1HzDelhRN7I{qkM<-*sYn*fZi~ zLtC&mO=BDlTb2qK%54~%oAtHns4pH<*Vcw~^1N;UAgLzhEX??IBG%?*0Dq-C)Dvy)=%ie_7F)>DQ&hiBe;!7H?_g7u9>FT1Wf?R~0NN%S@I zB?2!}h3Lj(&bK1Ti&|dG-(-6cUCD7#-99PRDV_vAoVy>vcTXgIKfLjqiU+;s)Lkqs zoYh-KvknU4M7f~_^yLOkF!#@M9)>89E|$WDo0Ka*d-{l$e-+++r(L+4gu9Is7I zQ2mLZCcpjUkr&!jmU=|{d+B8yK_#r3CTA!--V@cI?hB#sZBbP&$Kd=gEJ*9G3hG)j zQV+UN>OYO)?CEohhlzlvZ&RBpE6uhqlz1e7J-r^U0bodVk1-F7rqYC;8K+4r|X} zg0m139S0=H{+=z{Zr?LsoZ$Q}O&EAeF#gsK8m!~5qpVl&(B$>z;qyGAJWx11G8&!> zFmI`xF1`vT#S!)R#Al=~rMHSSZbgBNPG~O_UkrjgJj^Jx?%?X@g-_R9e|nM(U0!Ui z&1h6gPvsTARn${SU&WaS7FyzRNW7Qs%9M}I&1_Jj_;tkN)cDUvtM=;KEoSW`-UdRU z+e^n}IrV}3(b_o3o^F@VJX9)3LS!|(ygb<}-NR}igoN@g>@Jp}6Vd?7(MtEPW@l$k zUvj2r1agPUE@#jKg-mSyblkWKh8$&lEAJ0 zNHk~%d)pkack@(wcC$W22r;`o6YNJw3(suST;O*WwpCM0+_)TXyupm%tsb*hrhwz; zx2^GW=%N((tB)*RhXB}xDInR??uYONouLDU{_^Fl&z=|aM? zpEZF>$!1&2G3A-;Ab7h|iDmHC9kS+`4V$JUq)0y&J2C;uO~wj-?uZHMH2mkxTJEUegEU z7gIv^K0n4H8{AT!0C7nK*2e3uh4Kd8b>=&t*?ra11-O-El$R)ZeP?#0ne(oHDKffI z8eyW^6GY+9~m3PA#=Q++7eeYvPM7F~F~$5U{HbjuCQL` zvR%`8H%0gV8I&_{X>v9TBa-@$b`05nZdoq&Mdkieg`k228i0-H``g1Vbv1BpuB{cy z?v&7(czs8>GDnKb81*FAC2f`^dqav04K#hU}3m4 zNi^jh4*P+E@YT~x`j-j42XNKJx!*M*kZ;C?t<_?-A{p@x1-w#n@DcG&)7xNRrR2y? zzG#!@?qv|e@2#6ycxZW?yXZL6C^zaZA{^Ht>UbF2-KH1$WVDu={YBzbc{Jf3eL&Hd z=v89ptwH_^xYJZxdVvWFxbb@Ogrs6^u%C-GADc7*59*M_H`(kogfW_l>&Dg~8XX@~ zJ^=YKjFXfM#LKL)6#UE4VHTNBqE3Z%-Sr@Q$zG+|YpT^-H9hUK2b)iAMCNLnvKJHo z`T!Bnn$&A4H^x}i^+C~ll;%@`LE*`WbSUnJOpENpAelr$gG`g`N6|=IgN5>PpO!%W2bp@rw~~TP%j~Fj zUHao!ws#h~yN=d{^1p-Hzc5zoFEuTWJjC^t!elr4id#1dQ;5^*OUk_|Jc&ej!+8jfz{lZ&eYA90Gvz2!C?)IKLVwpWbj@bn*wA5+ zeHcYUWB;Ib^qd=-b6G#-D30aO?ZclxczO6G&@%qHMN`>NCxXV`8?!=ruEi(wpM%i# z@9*s1HabNoQilMLV@!x(V)xdyxr(4Mw*Dm9Qq2twmwhP8 z>2a(M%)sL#Sb0GJ{rhrDJ?l8rJo|7!rm?^$0o1^a;B=&A^q)v7B^MU%ieS566fx`0 z3akoG5-7XZUnc&?PmO%SitIz((y>L-m;Qg@6WiAiP41iDJ#8|fqmQ+G2<@MgnlrC!sO0f_Xup9atwg+ z9>-GQj&TSCdBwAy;+_8=@9vB*Xb{?g4sW@kFGmyc*zO-iIY*$242ofixvJ)op*Ys_ z*B6z3Z>*}_;3(J4eR{Y1MvC(6wvEc`i53I~97vqw)UDX>eY30iyW2^Y-IbcU*aF?) zsw@3mYg4V|>)Z;H;l8S@Z)LEq%9FYjnp-7AUr@k?D2^2-?RDu>@=75E&{pO#p5vQC zRr^0Rw)!v0g>@DZztLLUBcV*P6dN8QgtkaFRoO*I5~QAy--FK=RmgC=e#&WZFOP$zYeFHKU8^*TgsML1gq|8{X@7wh;zrIe$|-!m3#k{;d_6Fh~!4)4b9Tg0EOA6dP4ern-(sDoor#y4*1 z9P&CgRne93D?i+xs|&EZHh;P`XN&Pe-C>W6M$|pGtZ#rC{Ma>R7#rkubkmH--JLp= zZXX-vb-cY*+iVNlI;5VS<)l{G%G2_XZAZOergrXCWqrguY=8sK5Qb5-Jmor*~?%zBiB#mZ7#w`k`Q3ikC77XuCN)-guN#P zEh2k)wi(KhZiG^;03M|wZ*{2lX03oqNTh|8ts&iTnY!U&q;BQPbB{!wuydS+7oZ0| zx7zJSE5DsOv6j2$~v=8iTGv37seC$eC9;G9@ua?V(xyse22(7@ynpbf4 zQW#nnKInH_dXKYk*+9e65B}%MxtYO<7fdh7S)!u+sPt#*&AJlNu*!v+L75NMRt?-2 z4SJqmAB&YkojOt%sQ`DMyFzK{Mig)lZj34qMfGT(+@Q40WXxv{%b{hjV&(DH4rLL- z)p(_*IIQOgw!LZs$>_$C=9xxN^SZ=jW~ZXa#D%DP!s9xwgA1iXw?k3T>S8c1~NZr=!$Qfg{AD>`F_RVBp|3_hO8P?Vl zy^G=`grdP+i@QUS;8vixOA7=j?i7bWiu<%XTRioj<%b%?5LUHul}0gbJF!vrV%%dZfc}{RQBrUi_}>;;qsej6^+-tIHJ9D zWS3LVoUMg}p2r3q9~wnvzu;)DTDb+G3arf)N?r>EAL)n;EWTFUUu#A!iPOKSsdREC z3+}~m7Nz%T`Cg0T=?kut(H_!h z_g_iZ<5qOh?y~`sZ?QH;>srR+Q2&M(>K#@iT5!!$3SZ19KF1HzVdTULz!UTbq2(6$0v z>ib2?H+6SwA)Q3>O26I3j}Y84^TVEV;^UNm78m>U%QtOBAm zgDc)Vwp|t+#-H%At>^?_y(s<`SNiD_^RCrXGTT}ar`_LK2Gh#N*KwI4$7K{1|7{@D z-H#-Ff8tdB(f!xu7de9_s^xZsfBH#M`A5(0FUxCw`GgeTgx8O}?vm=9_h}1_0QC@k7=7beu)GxI38)<3o5*x&)lPO2_e=BmAE0Wt9xQ03bhNgG?w`9 zdTK8<=U<8>lV_ew5g*H~Mo=R;_6!%le|;CzoOzFNzX0}pyZ7nag|B;$PMk!m{|IAV zSx6c0Fc$5=TI zSR5BnMl^D1ooT%W^G6!R{IdQL)r+QKEI-B2X>o6U|DB8IiRr1` zv`Hna!=c_6oCaQrYTdhJAt$u%eYNfnGm-PcXXa>Ahs~_4YXaGC+-bEN#S_^VjTi?n z5mxs*S^Zv$*6mBCN6evW$B`aV`JbBHV^T6vmWK+_Em@e=J*a5*_ro`cf5`Q`DEW%U zA{%4EbE%rC@@mywwdV7(2|KZSx9f~n&|SG;_v>b^uOX=N9;)mzllgn%wez%|#|r@% z!8_LKHCzuQsGWMc10-ILIKdSmXwOZXd}P``e-Xkqkh`Qz+EnVq5S{|3XWV8;2>%Mb zk7Ze>wnVC7eGyrE87@b%Zxae+*xIMtcZXK_5o}i17cB|vj>=WAh~9Ec6(^z7J~Fc$ zY@yM$ocYf~KZn?fjLJ%8zsa{tNuFDK_J)w|L-`~gjzL(<1#O$kKK~ved-(Wy)^y1o zPiJNE#gT1F%ZM{MUOvrgTxgzaj#$kpHX{uzUUjphyAKeSoO>USX8-00^|(D_!1eiY zFe60Lb7h`+y_l_+-c4G8;SIdEU-%)1XJ-vhHAQ8aa5~0%4menxHA7AAcQxf=V#3D< zMJ@e6%CR6rf~N&U{$^4k6o|(|9Kv2(`Qa7jbG$Me=+`t`OT zj=C9C{DzzMOiGh^IObrbr~HG<;ieyZ3S87!rsutOs`aSQYvRcYDq- z;ew4i1Oe<*sh`XJT%k@ccHctK`{BZ=;%jFKHMDNg^r-R$F+5s#OoC=_qen9$&~I5h z@-9pXh$38hx!%%%k?|(QA>g^rP3O^R7v_8?Izia<_$mr|C+ISdW6CEs-doM3F?r64 z?VSw`rlFzo(xykVSR%;*{2m+KNGBH97xm)~j^D#&Z4o+Wp|V4`NHD{;{?WRA@Ozyz z+k(Cw*DlB3h`B}M11cWlBKj62Kw!gzj4V%Day`kbj{#HQUfKWLQtSSCCQ?V}EZ=U^ zU-&E^CwK;`eLm-?60y}()YtGly-3-3*Sn8c>i1{jiwm@2R(gTo&Z{tfC6we&62nQ4 zt+)HHmHR&I^?(-Q@<8Xy^@4Rw+=h$8YuWQ0|qb12G z@pHjBArHBgkMv2{kBaHXa+{n_f_;NhzVQUPhS$$@hNvyuKI4Y*5%x%7 zylmVsL?cWv-fR~o9{aFYyW2m1zCMKtO`5XAJPnw#9M^vg4&P#VJm?`mb9ScS{h^V` zf4UqV;=CjGGEXTkM*3x5>PkjGde>q1_JL>>ACK%l*UNL8Lb_AjB`ow*K;Qa00= z^V;9{>XPu%phKuG=+pSOIxHFbL(>nAO>rO0u*=_geGLvI$4bhn-^0LPDn`h>>v$|w zq=^7pJd?K;6f{Q1NrKJ3eO7Qf>D;oiY#8nCJ>ZzrA+^z7tPwkorhhXlFHDbyiOPS> zo#t^VsyyxDm=O&>Cu>Td$Jn(+%f{6y-JHrvBgelSP>qnboQi-Gq&Qke|J}o6XU4Kd z6FB-;DP8o!LGbvU?U&k1G{wq#K(HS8U`Ve#LkTXX1h=Aw1u26M48zZ{aB=#@hz2>& zYEHWugm5qzN^oNgWO}L3X=-(>d~bfj_bguUm`?Dkaish%O*= zk{haQ5-i)mtwFPuqKd|Rrroa%z>%SQm9+F`k=g=tjW48##axiJo{<7m{V~ocpBMQxUz45e$Q%hc$qJz z7?Oh{sC8^7N;4x3Re1|ig-f{)i5Hfi@h_+nzh)GLP=_y{dJ4p=C&Kz?^|ox;NqDta zUS|Igr++0~vFxe!E!jHMj^*jS+FpJSv7lxrHQC_cvb4~v+q`+wXJq(pzz-I%*~@%B|D28Uy@=SI;awQ0W? z_t=lLCN`!(Nx3vUM0yoDL_anBY*3W#O+_$8<+u!+P0 z-&KoACU^th6q`I;Fn)?;D;kJAXa4$5?4jg}d#XBNE1X!hS9aX}GoB_1>GS@SNp*uy zCDECZY1`4fd|(#)U(FS$aEj-D_bE~bj5k>p#(qAN4looSKp=eoWZOU6DRH)99onB$ zj(vg0u+1$o8R>I9bnYv|uF0}HKJ%B4J zu`c{|=%6XprTX^dlUk5Ax> z5!=))M^ovJAhV4k(^FJ@Q}16E{EAg-DX%LFi9q(Q6LZ8O$XaGjuQv6J#VYM1Q^4Ay ztVmsrOL=D*Z+nrVO@$@JljNrY#ATv>kz0!nna6MjNn3MWRF#Mf1JO;f!xV1w^S7tX zKU7tdW*inNkemY6D?HGduaEtA!|39rm%9}cd-!5L&#tbk-Z=r_*lSff?^0?H3-*fx z7IgGJc@xClDB=6FaFCyJrs`uEMw3Z+AR@ueSnkt~v^{-{MQq9nFC{nxQlXxD>qk{i z2MI9>z;L(ET%7#d@c?g}4`9Q9EJJUD{(G5_F+98csKSi*8*W%bH{@}2>HHzSCJ~pV zYy)`0G^)Cp{$OSf94N1iTqI;Dvmma(WaR56hAb>_oVAd7w`f{T5NL%SvJDAU&KoTY zAkW`qmK`F>-&_oiV+)S6l^q%ijw=a{vnP-1Q?O}=L9}0vNUGj;N28`w(g`8R-+U#D zZFMnCqDS0yRm2v#=@l3I0Epv8g1K+NRXpHUh5@hWxis=PR}UV>D7kqEG39#I4S09x zwNg>`d;>Aq_=3AJUFS?j7P~eXL9zj|;DED5Vo+#872-H;_2>8WWsX>eYy+^dkRTp# z1o|TcG*nz4w?0P5OZ@knFM}B~hn3b@J%hp-iR=)L?2zUSn7>K)DB?9b{L%}gYy)-O z*6)hcIU7TZ%dWaKHtjP75s2Ez$b(dhF;YlBDi9f0L)Ecc8q9Qy!bp_|LN&tTWk1}y z^wra*b17td0@KnNCjuPv(!rTu>a!E8dYiE#1bdu-7+ZLZyb3lJ?xk#igtb{^O(IIn z&*_Y(QJf@KQGI3JeS{6+hC%1P3G;1z+h0TntTeGaC=RPdQoM~$9hk#A71j?W*5^I@ z0=T2n8JoW9vL2O17AxmBOTF!q%|9yX+op|dz%`p&KcmOz<=hH^pX0APwtR6Sx8Sha|35l5|!K_R)G0qc8b+ka){|H^rN=yKVZq4>D> zCIkV6*wsQ=b+5ZdvDx>kiCfbE>wIEqKeZ-J``y_w+GwysLAduu1eEi9P<5DNylj;3 z~*^)loci=s{^Eo2Uke_KB|PNyM9=gdiV$dLzJwP7M39yW(U5=EPmn&!8WN6G&Sb5j?bJ$+>h z<7G(Zd^?8xP0ipqRGb9AIme6{G+y>krid7{;tfBU*^NW*(_taw9<5O@429w`Jg-Rw z&+zx^aeaT5jCsKkxeqSEadj7*1m~PR{bfk@{7v)VIGP*q+OB@^Foamb@~#%f?9t&j z@*kGhw)BJZbuGr7^+_I){w8Kdp+AW(GuHSKA>>BU-O7{T+?J1A|nNNG#E3qEt-RE!8*Pe60iu>iC0%ZN*Gu1Wb zcgC1hKwLv`+}$bX%MJaN3>{Cd{LN5Q{eqLeuS_CQ=S(g*4)>gs{#zO4a9Myr{-%%Y zkRlSibps~c(U(ckIrESmq6&^vJ>{fF(Fr);4kLeaIyi0^RUa?Q94QN+%`ZgVmj-}f z*|aVDA7Wp#J)W6yEh?&r=7_p+e!)sOU6{t58r&?^9++4C2oXFq) zo}3x!6BDeTz(4ZA@x#HC{uQ~J+Mwm!I?V$TbEnB2?wfS5*%PSOvA4rqVRJV{`=lk&EINs@qP9cjvp0f=a-1?=!g&k%y$+4 zEvl~G=Aw1l9{*?u|A-9#NCU@@4D%fu=A8wOUki55?6t7lg{3`w1TPssP83DoXu`E!1lgPc{Xx?nZ>b1jt!(k2#)>(p>A;=z9d8 z=e_!JB>!)2tlJC!>e1(3A1?l;x@W%mf(8ZQ<9l|30B+oS2LdE7?Wwusx-0GJvcx*d z4lZm{8z$ZeJNTZ|>%M_$;0*c64oTmDPa1XKuzgR6>sp2o@5dja8aDL1GIY*lWrv=T z$MI5e@)Rlmc83GrCKH}=%8ryRpe!Ec{RUA?bjs;>&ME5*&m>Jp$~wSxDbBweykL>a zFMs}TlwPQEUxfXL3e51;1kM5I-YN{D$loMI@f51dP}#yn8B!#FQ#d$o>YVcmHSlm5 zQYL>BgwjIZE$h!T4+<(WY{|WS2OW01ws>%5+3w-dJ670qm4$Y%3LhS+|P#l!IhH6dGIpdWbN(_#3N3q~w z8Imu5^ApTYaQjEN=IV(l2ZrL|9cuD_cbFjM0y6P4&d`xEBys-caBy4>Y9xv*xdvUV z))Ac>u-umZ%0L-XGJn%Zc8DJdj=cf*HtS+py?Go%&1KfRt53V3fBzjK_*X~L{jD~W zxA}^4Es(Hv?{9X?QJG1fss1(f=_2i^B<-mI0n&mXfEssY1YO*;FUPI!;lbc=b;<#R z4EXMBt1Ydw7w+iMCoH*cN_!eed-@g>AmE8X+xpGtoEpJ#VzSDM5ID|JaFxtcEU~smrGN82=|{snKi;$> zCe(-R-7%lGZD6j?nLshH(YBbTG8hHwwhRB@xU~z;M--`zl_6R3H&J-WM}miu;ILi& zdzj9dvFwni?9dvjzNdd5rQ?aZiO`W9>P3Q|cJ){K%Opn21j_tIC^P$qDVqlW2hJpq zySgL8E8F~}HJMgWv2MvNB;f-^jGZD=Sa|dstP&sGB?d`$8PFfbk_y zEX~)ayxBJPU4N?3s58X#4vo!z-Kle1lcCRx@>x7PtvKyLL<5^*dAv3TMfCHS&KRh zRPM?@qj5!48pXS^_PJuN%u2s_DT{?|aYpRfk%6iQ1og7IdACYm*>(1{bSeSf@SkVA zb>ZT9>LA#bEUymi9YY&nesxh#`}VJ{PKgU~TEX2<><`3my81BsXlmsJRn1Skga`s*hKP7^Kgxv>Y0K!f7mg4N{s=B$dKKBfNr*wJYT7wjPibp<Q=1q)Dkn<&E&jzWXNt7f#9sE8u5STY*yp&)gI;IqSEa1Pdx zlsc1kIBzIbyPS|XD5m8zTv`81_$uw?0yBq^Cf=(gK}43f?prc2Hycc>P(X}fNKByt z^6C?J(;WsjYZ7E@rc`0z%aStpSJ20u&rxbCgc9p@Hoq&3nAJSG87W(dRu~Wq^m|!f z-SqLr0WtBcgP|`rWVHoEq+A!6D9JT(h6sB*bK-5wY$%%rWQZv1OSOM}Q8^+38-j*d zuwYwwl%hxEU@}zYLhpzee8CGQJ=Z%T10R#esqPgm@N<}L}mipavn9;6+WzlAFHIInPUIB4LF5-tz#32lC|fi?zo}~+@pXxUdd*BMl@rKRT(3KH`772N(Z_~W{(-8NR4&VFQyxyYp2CwNZ1G1?QxAgtG- z+&w#4^4hn*&So~Ggd(vfHg9mw-1h8&iyzahmyYu7J~w*q^V_D=s_v!fP^F@Wao#KI z9eeq^I$Ru@pl1c%K94-eS)Lu2z_I+{DC@x}>+z_%t^Rz%QyI^USr2|RneWPelU~Xb zpoj0Tb>Uw4%eI;eJtI01Ss2u$y@{^v{Mud7KwY1$|d42}ZNwMM)OQw(cga%GE%;>$~v5w_}&>P7A8krDO)*8?OM&5pQ?G#0OAEFa%pa(Hw( zfF-P?@n~~YBepv9)&uA~`gqeI*6xzEdRB6HDsRz0vI-iH4`qJ5#9@C-)Vj|>Pl`CC zr)(rSG&kLM#dxuLFO%R^T&3BqU67M|ecs3uCJlNZNZ(n;4odgN$hE(bHr@9}<+I`G zy?pmocNH4y!(|+0$#0O8j~v4eP12>KC_Ax-uMI=i`XIe>;!A!N@7Kn+PF77RvXN;r zXZt!$hn^{$$ZxW#>E&+5qe8!dPrhjb#u z{-WX0(8^`#o8t!IY81M<$UKdd(dI(U>A%I^d;bfuU})|?Ll3jwR1BKG-F$!n^8`G6 zH*f*M7FE&E81B@UDNIsHI!aWFdw zfp9(byYRu7FcDO)=wg$6GupHXkY?~T`p3PFao1eTEKR1=q20J)OigVbgz%skL(b{XvT9$J%MSKrVbhu2$f2PDG@-v&qK9Vkc1 zAJev=DA&ba;KF~R7(xmzMaOhL+t&GFP%a7dw0Vv71?s-ppA&fchxnA-74^(k!gEzC z{O&a_UGL;)FY9bjwkw|_7V1o!e^W8_&@&B^> zi80+EUtTQV|8B8hmD`-f?tO$!Cm?sIO}cB(ZQ92J37N-% zl#lzx6}#fcJCzTA(CjniG&%frB$~A32oaefcT1X>V`i^$tI}cl6T+b{DQRm8N{Iiq z6#9Dly4%5XO!q&_i`$3pe%rZpOaF@p>NY57k~`dN49=1lm*yvIEIjs-S9G7~(SQG( zEfYthYJUrT4PQZa%_N523kTs_XV!6a-lJJ3Q5JBMZC{lkA_Hv7yU~8ssAtsIz5dsnqdTZi;{5kN@EH4_Vq}Vk>0UF7OS5v@HEFq2(Y&G5Z~I`Vu!e1fMyz ze~aV!wWllgHIs0zjO9^&_(SaHkQrR{#_-`Y*jnH_e5<>spz~sUrF#Uxq{mqUUkl!-91@Nnq5HwSL_UjK5;z?#EE0bKF8x>q*)ehD;E|(M_ zV|w{hHHhuLG)ZNdJt&_L&$ooEbc=+Zt?|~HiP2$K?Td%LT}1w0HS3HA z@`p)&<<4F&C$F@6cp>YkADVie2e{-^A4naa&R^9gk4OedV9u`Vy^7`#J!a`GhSM#lc?IzdBgEi4o zRcJ+pCf4u^Y%ll9@fSm7q0-K`$6>a@w6`sfV@1?q3ziaOe@)r)BJooaM*M>wDHHw9 z2-QU?0z?P1R~aS`p8xXZ+F(GE@S3Z2ZX0xdZq~$D+}$xzV7wYvbH0U&MD_BO3yMN- zm?UYOVSkd2i*G-WbJ^A%i;+U;3|>1W+CGl4<$7eAWNYENG+UfBvb2Z7KV^5wEFWwq zDjk#7kfb)3wq9XE!wMN%MIY4|2aEXv+`Bx&7m};Pnn^z3qG_$C=?LAv8~O3V>oR9Hy> zu1DGFRaAJNIf_3;M>(rUessPOS!($Ep5BTf#+gI4@CwV~O#OKn4wLyt1AgE-MSu;L zj9YU3;3LD?XcP5E>771kb7-QiXt5}+GvjqQrl=FQ69c|$V9jGy7^L$E8S7Tg2Q44f zZRZp~Z^O>3>yNScSpPw_1!4a5?0M^8f_$sE$~V>sDJ?zk&=Uo1a5;-YIS|9woag{Q zLW(+?nqPM~Qg;jMAb{vVBtl9-k2F|T#<1XTLAmQ`IB5)HdU5xube+??M6&V*gu5Px z(~kLLwcc_;+DZ5ap_qXRJ~%04UnB$J$s{t#=A#8j^as+BK^%4Abf+H1l^sY^471f` zEN2MO!FzdadKPA15M&?=$T4ONlzB*=AUy3EHDe@!&ZY5dCPx1Rs19vQN(Cvv7)JW%9|y%c9Tc zi2m3X%?^MsTRMx0uHXzpnn9CLY*8`YH26_FooBg{lPEn{E z4k;bh0lq0>I1)<4kCTv#6d|rl#3ovqzHrgt(s9N>ia9$bb#dqFGR>P@an+N*TSx zq#KVK9x$VIA13j``mwq!?bAc$|qt830NR&2!? z-TDeX!R|X-V8x`n9H~2w?fj0&*`%OWTu+EG<)y1xn@NFRCI=PVXr@5^7$0JY?QBd` z$*kKMrF((xtV2|3S#X5|H^X+8Q_iZe`A8%M#Zy;(&6UX+?dAg%1;Q2i;1!U4_6!7= z$)`yZ+DOy^!>bWx34;;eq+lHgC}+uLF-E%y!^(9gi6E+$kS!QOFasgM!&lT9SG zK_X=cSlu9@=8pdUxSFXqM(}|*6vIf_Qzej^Oo(402?X~v7_qpOWRL!?&m^4qpNX|a zyOBajEg-7V2&D{!EtAM7n@>DZ_7O>kDL~s3xXKz``U;--z4-PM5$Y7I(tJ0Rsw^S6 zFa&J|0-Z@@o~_v$(9#iog$MV`K+rRZtg$tl0}L%7ozc3pY|Z+BXRnwpBB8NFW?C?< zq&3E9J};mpe{@U*f=&f=l)5|R^*kjg-) zFg5>XYgPcbdH`!EAT*Yc!)OFbLS{_Oi)_ti09QC9)=j(?INZ-0CPi$)scX(Th96G` zf#zsJ+liLk@TSRo)c~vVupe5TCV*oP;4?gUuEBmAG0c#uIUX;or3wIF<%8cqph=qL zmVjelU<)4nfe+pe*$>x*qEw^?tBe6T;K6AsP!`C}X%_oLP>VSlrKl-B{lkl&Pq>y1$@3UXg2w(;RrD2qrn=pxx zy8*T|k{;3--^{$3_`&7_0p#wINbyB~Z;$>6grD)jiy-^>83c{V?%U3Fh~pxp#yo^HDF8kko=m3-9;AFoUS_ z3~iiHReUcp4&rSIL3+1w%p8ym)Ws^IltvW#jvjBqA9zd-AIISiqZfgopU&c@>v4{4k{9c7O&Q`IZg z5yOLD^1+`$pi!F8Zla}q60_&gV(rm$cyJUc%|f8@oJxB%-12~o^j08TXHyDEFNC`U z>qgDw6|qDgO-+tt=}wI`oD!Ll{XgHi-kWb;DKO3d_|6GWFXun5GZ^X8?@z_kdnoOpP(1A$7D3FS)iMA!NQEm@=8Jb=995NQht z%Bz-XmUBh>wMTP7pyFgg`9m%!7gh0z%BKbbl_L{+5HSYOc>pJfA-I+hVHhHj5B~pQ zIR+#69VpuY{5O{ZU=?KpiU2xaU?K%%*aET@jquDsI6?NKHTMmed~nHxqW%(4K79@Z z%D&;pWfb0j`M;f08Ok|*(cI4@qCO#!;);IE@9xC?a1^(RMvwp2wvUw@!U_=V4<`aO zY1!J^Fp{&o*fJ?azTxHJi8jISAFu*L$vfk5#<6B84)dLlbP7&-{`$3%|9!~Si6 z2ooo!%lrCCZ202)(^+CuZFZot-}SEl-{HXP)pmE;PRdFQUtu4-nLz|lmtS#MJ_Mf* z{nF*l^HnB9xQbX7u6z{!=n3vf`?x?euE;k8A3{Kptbj*RTAqb^QT;2nNNIAePmcT+ z^`eX`nzleQfygL=8Ua?cFK~)6ig2JbL(jH{Qb9k$Nf2Esem}_~yr>u7kcX2~ety=Z z)u9}7E*}^}9+6IIhQ=k3ipk~7n)1?}X)i*9dJ+5so!4c?R?f(WsHXb1)RtV2TZ)T< zx6|`(BXEMOW#*7$Ar6Ou?nYfGu7tXy>)@F8oVK{?TDCUzou_1vdRSrT$v^2Zw zeGv-0f?`2q;XJx9xNU)DOicI{_U139y-4He3MRE3lmeOw$J0%R z(-jcMY)b}Z=@j9+q9+PA14U|+SHo*CwVmHwG~jR;AaAw_)a0~>7qNeE270w^XBK4(!&XQJpFM*eFSbxnu_gLv!H7 z1#~fu@?7~^#2xO zYlMnuqH+PPB6b0G;t`)M5UR(L^Fu~A z53XFm7DFD6hrbgZhd+UtCeoPVMgTQsk?t@pAd7JbH=@eM;c`j+nj~8_y-dMENf78g ziGrk$!CZW{(oDz4%0+km23KuHXGPU^*F+X)VZ#~9!q!*HiOkN@hBJUdzgNok#D?l* zq6y`z26~F`mwxF9|2CaubhcI)w#^AP z?GNAm`FPL52Uz`eA;J^z6&&>nShJvv0Lo`*-nZZ`mM_n+DJU3&ewCok2z2=4o}@=M3_Rlw7an?sX- zSE7!gJRO^ED$C9#H&u$S@(k3MMH#liK z%3Qu{xv9`iP#%X=yf!rw%f7t(`D=J4NyTx)!l!X_jrdOAias@--9lnI|3ou}S|Ux^ z@Q-`zPwSl~yF&qI~+)0{bA9c7Op_Wyp9mZf=U{DB&;rdWEz z!jxgg*-mozQU{>G#pj{8{ru;?N>VVUXmYju+Gr)Y7hWyv?YyVl+V2@Q;jz2L5J{im z0U{rT!jClM-*~&B1I;7{1nHD5SUWKnM?`vv?tg@V)F@cFw0(HMBVhy9 zo3sZ~!O75)P;^(e=#^JpY^(E@b!&vy$OmXW0Wf8Y;ksetxvB2q6-(`w(|x3+#c5iw zTd~`F@Yr+$>zX#*iH844zsY@Ui$j;jkzDnS>9xD)08g9!%N)H}xpWVvwWz#X$;;vM z%;;W)JEQkJYG;N2reCywV)*5R3`^W`OaF4{O+B?;cWkb#IK2H7)2kFFo7P?nf|PuS zH0OJJ$*tY|Q$Au%(9+2cIbSC55>a*7@z+Gy4EW+@Mv{Zba^=L zmAE_Ddx>Xyty*P%ma>xI@E}F4rfbI=Jgn{YPitfLQUu?6Z;R$Df!t{RvXN{9_J?uQ z@SB;KSW{A!)_k#uQ^1&-_W$W&-S_Yz7#lPoLtW0NoKKy9c46 zJv{}WM`yUa1sj6H`Abs8cT72SIg;#5jd%2x8ZANojwgQ!Rs#iuL2uP)fgC*SWKdwS z^Q*0VXSGjIwLTkxZ+K!P3QDA#+%w2w8@tHcB>6}nJ4sqWI6Po-vAt<(PP^3K|F8d) zREg4@LLF!^Hesd|0VFN{^1u*k3E2cgz+FmzMNyO8Zoq|}ZAFgKjIeB%P+MV0HDP`^C9xIusRV;8 zXm^VsCV2(_G=SkZ-a5d8w2w5HvQ?O5h2*Vk{{CPZ%b<(=Ijb010fm|SNq7XSPL$x( zVQUrT=;&MQuI{hLp}arU)-zR^L}2h9im!RAn3x}&&kEWQmhdtW@$~I8kKc{DfHj1L zN0_6rgX5mJ^nq~h>hWyx>ED>Ih$vQeHH-S_06m%8DV_ z#%~3A?X7~2!v-TUF7AE&3@5ZES!>K|pUu!+v@Hr026osw>C(3j`Vu8nIS}9JY)-9a z;v+|R_ug!NrQU2l>^1JfCGu*?)6#|#+mdPIPUOKB$3Zkz;sft}p2>hCMdlpy(7icA z1vD9yo)4xlq??^zRVIDSA2j6vuyZ3`Ql={|dlV(NgS&WRZ{HCQsv0$>h+(5$n7rt^ z_w|2D5)gpW;QyjdgxJVx_SH$BtOcmV^b@AppNRdPd z{2Td0?-cd#l_!s&FGXXWg6^996TUis_}X?!;RwsIN&>VaPa}M0kinuAi@wojs`W>Y zM;>o~*U54G!d~BT_oZI10o!#gtAV$mdN(5QT1cMNu$5>;YF52dUDVE#`#9U8CH+(W zyWh~Rj{>a4)@gbXlEI-(V=xCdWme^c68zUr$4PW%ECB`p`{?I z!{Q^M7q2Bbg8~CDY>AYmlN}R2i=+}HScFfY6Vg6Ba^uoP5G0fJm};w#xhY#F9?I}l z4{PFE5c&jS#tSCw~IeJ0tkoQ{`a@= zYtD2JCEGJub>$21wQSYT86~E=TRPLN1S^3CY=VoQ@C2M^xXY(SW_YAWUXZ>4+A{p8 z_?i}tKx&*np7jm+>k9qV{z)xv$&$V$n1?zzpV5yn_n@D(-{waw?pNcFeq_EaVddJV zuyXIzv709aVd^MPS`Pb~+?P221hVivW zkRX<*^xs={hkl}}*bl8^xxSRPGjX910Rr(H=C&Hv(HwNX{B6^ySnXqh%NV_Po!rw9 zd@eE9nJUP&$4n+yb=hdExH%4AUuy3%#xi3S9lRyQ60m_Q9$`9`mf88ioGVG*x-bRn z1bnD=_VxKd-I&&AL!AfB+1KB1KfAU@w4E>ibEcXn=rn%0x7gPskM`|TU}g%()Mk){ zQYKJNKgzLuRPE5SV?DJP6bW&9fjUB+k4dl5H0Xi;I4S*~<)T=ew2nN&sH!rEUL)}Q z$e`h<0PtF+`Wqcn_;@GFd`8Tr%9q-m*t}l)G%kCBJ@S;_Sbv7p)QLL3sYusUR8#ci zhCHO!+N|3orgG-KjX(CvMcCR`v XECm!AlC$i+Kwp4QOZT|{(f$7d28|@_ diff --git a/1ano/2semestre/lsd/pratica01/part4/db/EqCmpDemo.cmp.rdb b/1ano/2semestre/lsd/pratica01/part4/db/EqCmpDemo.cmp.rdb index 623cdcd5c3cd730946c9b72f65ef796ce96edb69..e03448bf6c18896c822aa3006c753cd419f40706 100644 GIT binary patch delta 36131 zcmZ^~bxR-m}MyDV1RZ>+exYjKAH#i3YncefjR`905jX3ihy z?93*gT$|ZVb|%S{-R~7!3e`{sl^_lH_`yN^cQ_>dPX>Vp{lEEtDO>XYvKQoOm!2zu zY$`Ra(nbmA8heWV!o&Gd*>Ss>do(#DR6(*9XCo`&RwaHS*qR5q^kU$qG=4(?XU>QfIb>84oSi!n zeB;E`NeF*4YR2LFDTX?2pmEcLRS25XqHm|~Q6T-##*aB8uC{c5KRpbx;?Wr!eh0np z&WMj>jvahAa{o$mY%IX4^QZR9&87(tl4Tz-%o^=dXUC?5_W?(-5zpP;rJ=o1h?pQN#ju5=Pu{9gPJ zW*Y2L>^KvBxwt4%w0tPeqSyKAxV0?cC6G&Nx4(63Q-UF!88a20fe}+);v-#%eToGH zn3A9EtZ$#4+VOR2k4aBF$sw*vRD6FS0%px)UHBCr(`EuW**Y%}}z{>-~UfEbm~@iU{fhY-lbP>zB|Ww?_gN z3!Y5naK|q5>Q)N7xjS_L!w2ySt-wL^}=i*=<_7*tk1cWUeaKo2zc z*sY4E(`)KiTsF;cG2yI%AQv9WiB=ALiYj-vzS3m3Go-+sO&x0j~S zWr=$<6vLt2nPSt*3d0fN_J)J5Y#2Im8KrKFEIGX8(V9I8zB)ZI03z?~8BFh~!bQK; z6p}FBO}@wvTWXX`Gzj8UMx6Jv8T01Dhgr#a$P65*POba%OjQmV&go|Wt` z4?W^;W2EryWxwl%+Z2RM&b77P(4&TdV)3@Q%0BnHc0N@2gHrYu-cowXSE^VFE$yCc zv;*VgwCL!(*UJ3`cZSXkm`cl;3DddLA*Y-L#;%vEGc*hBwkG~e^a2Bb@j}f@=r?SW z!vh;(?j)U;H$mLgIwoO^Vt_X%FS;~`7EgBQVN2XA@btF!6mfn&itn={h>AfyH-`s1 zVY$V;xUN{!-~8m{#QW2rM$!5=kDeaNdicPRnLBn4>LPu}m02}kp(+Gy$JUCKN4H0; z>dLZFS3_8zn!Tc|=-CnQ?AWIs-TjG_=1h90sM%0er`H$!w)RghliHYCR7<0|#y<7X11wuIv4H*6_f}H5-`lEkB9Eo=r~19c;{{wD7Yx)dsHJSy1 zIb5hS%JQ`-@eqiu&?TQR6XkTNV_JPIW7dVJe+4{xB3vzLqRE)<(mI~Y1(D2z6p2k! zv%hsLhYi5>|88g>z;uI~>$56Ks^B6~SdeEHbm<+pxIB~xekL6{!3W6QjmL%~=>*%S zc%7-$`B3N^x!;iZytv{ttf@$U>tnU+VD;lRd0FgzB*VR85h-ttJTI|5mqP8NVa6#! ztFRQXF z2H(3-OWEZBg(+IYTJ^n>xPNT0qqj(~rni#`4VRY53))9+uP7GmwEnebzF`DKQ_SHP z;u)ilPDCdC;^z6Pf*mCZFTo|8WupBYxG~f_m)6szxhNvBFw}Gw1FoE!Nr-^ZPxYju zLSxhZ&=P9|)7>HKV`EKY*jbg@pz{u69wLRQb$>5_zO8VZ>@zWbi0fj{n?cfJ!Jkie zuJm71$|y3J+t`vMwk2gd^~fE+*-OZaY4L-W{TATPxrR@T8$v#~9*SFf*jq-zYxK9a|Qf8&_BEuClt1J&ah3w2FzFZ(3w-7oj} z;p<3K!GS=Y8)Y?9zgqg~&#m_#pg?#=$dSfscq~Z3cc+mq`$36DK0bJVkeF0{#5H@( zYd?=qN|yLxLUD)ka?+{OrzyR1K+m~dB4Ee_b1K;|xPtV-eck?N}O3)@jES{&{V}(@LZ662fCPm!t5L@8oq@{VugNR=mu) zniGN-S*xp9ld8}K%v|&|~ex%N+Z)K=pEtGpX z-k6;_a|2K)0w4Yx#fkQk*q}tiin~YniR(i!Tiv`TAq{J36~_rU&GWq!}ELC39y}T)9(yJrc_ca9 z(<4b>QQcP(C^oU6kqTkwGu05zE3MZ(IePOG^!Q0yW82XN*oF#mVlU zupsN{WB12%I;XltBp4x_;S_EvQPLOBWM~H2??!hLo0FfEq*139$`qH($TomcIITD2 zg@0rj^%nDzMs@^^Kf-P9)G>Ysa6hMoA0M1KF-^9pWO=HkejyRx18|tk5V`%a(JeUpNZTRnd)oo~cB+KUc0zvgonp zpb*CD;BkE+DNFjBvQFh*wf@N9BgBy9tl6^-Efa(5HgNk0Pv3&m+@QBboaT+Ur#FfIviU^E{TT}F;ef;M0L1brYe0MKc)vjoX3azqhrn# z_i75Zf2v3?J~4>W#-o5-WBFVc+Q~}pPn_i+Von%|*uZ^zvt>pc5JVDuv!zixlM9=R z&YF8qIgPwkud;KN5b);Bk{;H#+7}bk7iuug@SDa%lY_G%uUMvUVOL;K4A-~%g-#WK z-S_xu{kwv}@OME>6?1tb4(dNB5DPjB5Rm-qjcBd5N&xH%Zfy0yzTFSGdNT46LiX8O z_T#~OCw{H#T2$^VcF;cTl8(=r7IxUB(fCI^d9cNC7}hvy;)4S>at%4 zvh(EhCd#o=z=cM0a~W;q%Z9oi(pt!ZFmiLmk_Eegc5o5=)Cw}{{N+QSWZ7p0@MMM` zC}wlOrdaly}CRKefZ$$i^g^7KMs0P z7aC74?Yvk8pwU9DSa21Gx90MgA#5mvM@@tXa>A{cvEKaHNMeMD?;o=^;~|WBa$y^X zRyBWomJ#oQYrhEFa$^zneb_1owh`VPVQ=-HV&2lIU?0kv4a6I2X&WE?bEB%AI36)L zHc%r(x`q}OjOc$bJ#CMfR_HuYfHxFj=t!n-+fvYEuh|UW*cUwE4n%=Z95iz*2?8s` zF|vuFf-)`nMaqaxW~w@vUzRBs(ysx3|L-Tak_ zg#Jh)O0Jx#4js+D&wd78G+N*8pj$d65mxBKQF%GZ{PT}Yu;&{6wukX%zpz^Zg#HO1 zg!>8e|2Y9UBmo{+lgjJEeaE_fhds=0u|w2a9E#TodFCwBnL8BZiXH*GhxkLsW8MGu zU84*IBt=1HhF;#}5oBd`SaC<zg=r~0t=mdRkYp=um67aq`5OwcrCx-Fe@#g7ILtMlXOYBzn z!RI)vB)D5bA-M>hd)RuK8*w}gq4r}4y>M2$c(KFD3UBFwIYUyc0{__?X6MR? zD>DoA^T2EskiPv%surD0XI{&z#Pm_ zKi^N@U(X!hTQ}bBTjK*Hrkaj`frA^@hI{zv89$ktsF>4e&EU_WRY6u~Gw33e-!cD8 zzIBH^0qVZTt#--psGHlhdTuJHdTv-_k5BJyG-F=U`tmAV!jb3S{DcZ?)_;aB>j#+q zawDO~dT3B-(fIp|f^m&lv0Ibaxi9!iz2cNBo4Jd3(EY&f0k)#NHh(x;A53=ALqT(~PuDNQl5Wi9$<3@TWbGmm{!53;|Yf)%YM8oFZhnts!~Ic}HiugZnFecSbM$L=n@{8cR+-gAZOH`*ll z3qMZi5a%uVjYBwV3mI$#Tu@q_=n5>TL6&#JOPwiIFV|=suP%I*Iq4^;FK> z!P1LB9stIxM3ZCUo*DPKgT1R$i_UoI_7^ZWo9DjyXJZzvR)X>hH%y>xAW$o?T&95- z-1|gwbil7$CVfbfQQ=65AIWE~_?H3!mbhkUOVlH7ekl;iZL3kA*r?K15+zEs^k$RL zSLB5weU4Q0y(j|csc-2mBQXS&r&(Qe<)3 z$MIs*s|ON~_l$(-?1ThT_ov$!dNr36haDkIZtiKz{W7EYDBvukw9|8V;0T3A`q~w8 zZ(X~*WC;&F&(O!Y`Y&@G&Niux;S}F6n=4)>+5l(>&AnfR&ql~Ru;Xl4NxiG+>KgcS zAL^b~Yo78RSH~m3w-ho^e-#|6+@E<< z)$Vy=2RF9k`zeib%3XX{k3x|ZD8l$XW)OBP)#hhmfU{nQh^=@i1tn$tfYk(V4gPtx4+(t9RT#R1j`tpQvcOoG?yX z2wwAAJs;`4x)wL6T7MCrI(ni74;y&;z5|ENK47JDNZ(kuc4_9-iSA~4U;TFeC+pAp&o3#P4l03%OH z=`A#=GYz31R@PrMCTL{7r z+Tiu?vnG--yx2*h+R3L`)CBq))138EL3_iEmhvkc@j!geCX4>eHn+TPjak$8jWQLN(OT*>~kZ^|zf8cK8*3Pny>9JQ2(jTxlL-`s_- zIqlxqkVm!Bf0otc?T`hd%NNjrJM~4h8SK_|-xTFROsA)@$As-FXwLma+C}PBEHLPg7)LLtG^c(w(8&USIl(n}bFg&In_5x*D&I+3W)1|C zVW?4nw+KLt+tvKk8kcZ-ZpG4_YbK0GH0lRjIUNK7N#rX&? zCNWmIFv@_IeRnC+!{8ZyK!d=nVpTdleeQwdiof*fTU0!4Slg_WzHkV&_aS;e5lVm} zsE-3AP>@`Vn0$${s;OXi$Usl_!WM+%F+JZ?*|(^^Q*{|*&F=$;+GrV@5iMsFl0#J} z$ZK!ue&eJgJmai`J(CZQ!TN01TOGoda(7pHB0S^xp73V$8gy+8_;{+?n77MCree(1 zX7{~h2Ygb5g1BGag^+lMP|nLZoSV#VKfX}>>>|*Z-cq+3OuHIoV?^2 zLYHceHQ(Q!@zTg+`1vFJtW6-z`(hVMZMiYsgs8ZMR`b`#@K8yBiIaPDo->P3Q9iY2%G-`B{d#ckb`?VbecxPj)GQ@=BO2I5J$lN3%y zfwF2U%&1?%0x1(1{Jpq4@|L(1wnSUlWU=WZrxNm#?HEFITWX&12{<-$ZrGUEg$U-t z4y;zHC#s11#{qEXh+X-XYP{x(4Du{yWQmKJYbGg(u}d-l1gmUGeJsQqr>4lRwUxnK zJSF>I6}Gsf!2yD0^jcfoI^uuV%mF$R0WWZ{!<&46Tk+c6C3XBz$&cYQvV&slOUx*9 z#NfGZk*yL)2&QQ_*EA8X;_(LyV*=(qG=kY|&&@3V0=PlHs3MsI`w-a-zR4x5`Gm@A z1lw&_7uOsD6kYHbZ1?vjECxe9DfPwtQr)_JJ$X;Rz4v-{-rvVUp%XvVs-fy1GP4tK ziC`rWj9d^VMq9*aM+m}<)VUZV)CCk*ncUX{^>JFI?!W_=Bo2ZqDBK1`SewQ1h zWwKv;w2Q`HKWtlO;^#(=pFehoY77u9gx-l+`O>ows6bc{e@;2*sj17AfakLye!yH| zMGP&&p><@Y)gc9&jwtuiq|8e5pW=L?sC;**W)c+p!ni1P`2?O?$ZjvjV{&CEx>k}r zFZIHCqea9rlgWc*^W*;+P+0%S4i?AH+o-Jnc9e^|fy({Z;DzXyco=yZYn+D}_^`NL zbFq^S?0mi65^0fbgmitSg;`TVBRwdugv>?^w@zahY~>|HQevT}<$E*DN|d4GJusMq8j=v^`dx6~0LQ7m~^f+-At%V3XIKBX6`TMK{j*LL`Y7M`TpYw*!hPm4r|ltDv+A6=HB1=2D>L0uo$kJ zu;bF7MRPf{d-ISibA)<-!M+_5nufKXCIQ=?=Otf~>zKlOcvC2C!(}7p+JfCyUcKha zAt`|zq)c7NE7^GbQ@W1%Ge5y+SP|g@aLCp-RDp$YX775pY4qBf`tMnP2alC1T5t34fcu3sQZd02PUwXJ%!&w{cWDbO;H`$ zj$v^9HzK5kW}TN%D*kzkxhH(%I8>dH5j_LdKdS@b{|1XoJ>9Hm|jHqou*Fj)x8mrV`lM!zLbiESUj~BeSSai2%{2 zmxt`75K|&Jv-z}8FW_T+L`DT+G5m%vf2!^)Wx_-ID3x8yzR}_2vr7rG# z!dW3SB(-Bu>j{3RpCzA;CI{Ak^6!G()H-=TO~AT4Eniq$%-Oq})2r!k zW(bw0a0c3BiHDt{)*vd_wzK<|xs&JEpniW3V;`Qv4}Z)?dNqC@f@FHhXku*Ww8@awCfJ+8DLJY$Nett}_%0Emb6at~JXXMF%;6W{&brL^ zS>06{0EVqK&seq$9yjVfdBUhiuG!UFPfit6Ex{71!JaGwNM3##lAf)cw}wZMJLP;A zR_E_Ay7jK_NRn(gVW!-hS-??vVq#vi3W<1m zd~M*B;7W)n%h}P_N)a)pSmC^jgw5#-(NN&igwAby@`E}<@I~b6l!R&Qa`@G9$5Ch; zQ9(T0yqbW?vpY|L%siuD3?{t?G{vZe@(7HZ@)x)!xuZjF&H5thJd#udlyIDfh?E4C z8Egl&*`UGPeFW?gE#PUDuun2p;rqmnCkq#nyZoMSmxKNAq}4S}Aq0#genk^j!wVL4 zqG5etCKlg=z1T{T@Xxlk&qcuRyzNzmW&ul91EcP+-55n2j_#n4*}SFO{C~$WpO9}u z`83Y;miBCy_F%)GAN&aGsjRz_D8cxSawpElpu^o?kY4%D@qjvCz=NsJAg(u($e|?9 zuXXONR@SLW)*nol+osYKlWZ!{m)Aa)9vCHxF_9_eQV4r0^Kz!I#s^B4 zJ!mWs{!00#@XF<%Rz<%+gIv-wWei_cR*q%6Zax5Zw5Ef zHN5o|&J&I`sPmLz$O;MYbZlNMH9ui`r3mz2UL8j81Il{d=kFe1wXP7WjJ1aA=SZqx(GqLy=I;Bm^eG=hUU;`B;mwOo5v{fe96a0){-&t-O}Q;PLHq4WFc zpz0U?DL3_;75RIix#cfd&4!~R88=7430hr!yPoqgijcsVm9QB&n7y+mW%(pY(=B(M zcUJT<+V`s+b^;&&9qWQ@9{&xA#MUUEK)r~-6q=m2!1jZNl!89K$iiI@UPw`((+;a- z)^sjw?1CkHhP+LDOpLNFCGJWS8PF(}<97&+aD`35pjIjxfbW_bKCDxw-^rwDQy_U} z#ir=bc0HDyEP^c6g-gVnwVVSP2SL}IxP1l+jZ)Sv&i)KYa2 zD@taF>}>7ubf50BSs+=1p{Bvtq)f6(P}#TGUAokMB-0F zTJ$PYF%;=Ku`06?Z~8!*bicC{DI&{9<^J64iXginLBcMStewtQ9Z;8*2`EjOl=g!ZW;Ryv1S>w^++OBOstHeJF=~=lQ zs>Djl#q8L>*Fr!l!#nq;`Fb~(Eo6TUI+ZKj9xM+g!ygl^lr?Wx5YDgw;+4lYdkL%& z)(kdS*80mXwdr%=cG!_aWPT780H@PDP`i|1WoWj50SKGD1&SRD)I2GJz?fXNz?Ody zQM-X&^ZOb(K{G5HHU1fdgT`)T6Nv(jZ9&Gj4GrI!GcCA(D4#^mjE`B%G3z;y;_7ND zr5&1&pXyy(cd;C+@lMQ-SAe#p@m18A2{dWms8DIk)AYO{<&MqgQ1fKafYigiRD%0K zW6IlxEjYN@)3aKohd8yC_u}QS8~eLII7f{vp3EKC9u##FGX_xx9w zQB3%cx)c21dLo?u76JwWRXTG%kMH6#cyaoR7-|-#tFdy8?Lja zj!J#h&qX=(jUWMzwTKGHY1VRUnUjc=F7WGm|`B;f#l!ZY5n|{Yg8zd`uV?jZLi7Shz!5 zy`0v!vtY(bG<)u7SaO7N8Pk!8CQBGH$zuCOe;G;q4u_J{0wG3L{7H+ble2JPRr80I;Bm!DjagJ3hi;Ap1l&@Lh24$k-g23J-Qlo^B#3XJ)?}y zd<#EB$64)Pb@efRhrdaA&=vlsXE7+eQGIsL!+(F?ubw9>Y~^Zf`+G`>H9ICRZT4JH zb2Z$`9$(6H3vIMGx-D&9(3Wi8GtfN=IAMKWz6U_pPs4Qn^oBHhH_iN5(%0%wd~~_B zL_4|3Q;SM_jo0pKLh)-b;;B8dPXZqF!kP@ZTn27UhvEDxuPX>;5SxF(6Ja#fKY@qo z!Ykz|=b7GQ5&d`O#k4<6?Z;+fBWyf$`Lz>4y}7APJNMjGp#@OJhmq>YO~$bEO~C0d zz~5A~8p^H>Z{iyi9+nLx2jN4nOd0I7i%lq3RfI0Ys#5jg@S-f9{|@!*Bx9KlUNezX z6UQo)jGpRWq6c4$LTW{~{tQ>F?Ld!&2&(TG4&6%vYppcp? zu2F}7aQn_LcskZK_x~)dM9?xi>aB>wiUb)*>RV>AP~BmxXG9!VLG-a10Gjt_C_7Rq zRpzyr*(4ROwUVG~>Myhwp2E<3#hIA)1Ch~@>ko`zk}@q|6YO?GGA z`XI$&LP8&~l)mBevN-Ya_OUwu2%2*TRmsdugnq#!q^X2ab5dZj+q_--Evn|9wEg#w zv^;2b|F;dbF9@4U8ksT4D5+uJib?L1g4(=6n`$;?dQs6CKN{pxFpyDXk==z&_$gPkfZ7{tN-?ETI9Sb27xaDP6xsPAYO zhQ`{Nk9UU2+NIs0Q%MdjiL3OYMA&&w%B5GnJ2tiDdSeeM6{BThkn%%CWPWJY5?YSz&3c9hF=@`oHPsx~)9BO?dFpe-|Z}kLK`>crRt$ zE=|l}OaAL{KaFX}BX7?sQ7Nf5)uzK^;de*}ohqvRHQ4)j6E^T4Es}tZ_P{-y zpGcf2!C(gs2Xr)p#)9})ehsxaR)t2|tvK|%uXeLysy-`GjJM4pdM8-Psn8BB6|Y5s-EOAR)h|VRbEA_F zEw4qn-bd#h(2}{kzgB0`@BrL#!aL9H5yEuRm9?5(oKRI$=z=4&JV<#|jEVXBm2)&& zsb_iV4d!f=%PYJ9_2_ZiK;BW0 zmFRLyeQQV^`=tqOvKYx4bJg#qh1@TgALH6g`mdp5^=PM?4}C``fKpb@18^Gy&7(B5up~L_{RWS2Qn?0$KnQ zf^_%@62>sU9>%Ie8KnsBg`KEf8*ivoAj|r4uvI%`(14x~JSqZ~KQ*!gazN`EV~3>C z@(CB(_5fLNXo4=cdg5ah50r_xKeG9%EMZQdiP^ZAHSbjcfJiIV$(YPUBP9SiB$NJQ z+XCZfpoy3W@=&a}oCvFG-a;x9cd0XFZ@SEMu=PIFutZ3zg`QFpW8`FdAWQHzq2>^>b72Dl_k{-D+e@WjZWIDzWSSAhc;&RRJPYVjR_q zl1U_PO!9;cKi=rDQITS*uWm7;)~amPrxWQhBm0gE=`n*do**(w?xAaYnxZ69H9}9& zYci=C%7*)TG)GVNBieE_M@M#u3@;70tl)BVvh9ioU@}7$k!)(iTd)2p)LH&-bZb_+ z-bvbhtAZM1@TP(({f2UH3>pQ*@B z6CRI$hxf{vuf!iSg#MBI$D?=jH_H0CS*hrF4ncS=N~{72Au(x%(Gp=6 zoHr!y*8c;N}uHi-;MR9PJ8{iGZLa$|_`Er+|=s*gxdB zLJ*m4Wy1qw`IsmpDTMU%HS1%$Awv`H`M=$_6u)9 zl1Rp8xyBX^mx-Ooif=zqm2K`Vb>|+$w|_YZ*iRT2nwCH-(ww7I=fAEcb9=Crut6mo zaGoB*>>!A!4G|Ju6GF=PG^FZjFDv@b9v=(stzrnBGw?2_HQ?xU=h+++vCtBSX54hb4Q9haN+l zRL(TX>t2UN-s6=B&x#pA-ZB_=!aVDh7bAPm;kcGyYVf~r;#H|CPZ=p9By%tE*!sJ3 zq1_pSZH9FM=tK1+jz@!G`XW&78m?%ZpRkn0f=+m_$A zck@Da4~xp4R)yyLA(3<|!10`qlNfO1!lPHQ@A$blL`%IjhlJ%);X%o+D}m(K6eEwF z?%JU=omnnR?7=PK+#r>ZF}`Cq$sZZNh+GTD?}nT0-VEWYuThQ*wOKwIuTlTpsf5?@ zJ_=y%SA-Jbg?J;d`u~77%WHWzTw2GmDRs^3!qIwS>r(*&O+n&J=T137L81zP5$gvI zIkd&aQ(agjWeYxZMQH0H+32EnR4VWXv2(KnH%4$Ji=*7gw`5gD3u&JvCa3S`vE-=~ z48yehZ_PbFz~Vn}{{X4~!1V*DzvS{K1V|Ck^<5scEkV|ELf-!R%@}+*J}ZUc|8p6k zfH~xg=&kuVc|>=NKFmy7c{C}Q&CL#?;MGh34}z6HiCf~lpl4YCy3Q03O1hgF$c{Vs zmjQLiXdc?2)bhk zhc)S;E+sXPr@tsNiCj?LeI{5X24CajzEO*?L_ol$gR_U z{m9PmGx=*P-a@;;&D_R7Eg0**Vy%J0(_pAI>d!$mDVizQ3*vLwXAcrrQJWD(tUq4R zRxld`B3Mfwz}QU_$Z7k~1PoFwoKFAevDfK}>U61KjoCqVPukm=u< zqw(uI@j5r8xp)KB@{%feqSr&mZ5xmC4ZK`?L8XsUdqG-+DHsI)WE2bNtx9mSHqD(A zAOH0a<=`PEfkmXFX_Z8Rg87XUKmQ7TMkY=;!lLL5yE1W9RZs z@5rKt-2Yl48@OIP-$-)qceae~*?oTyUcXwrc*O#J%R|hC;mYmsH-I4G?WHa#y~A7n z4%EfR1MCeo%V~$0(w{5PvZv`-o&fz<9{4o~wQ>6sMm} zdDzx(LXW5~bnArTtPj)98;+5ACTs8-D*W*RsVzg&Y(BUlX6~~p)Uf4 z9=~sE$C26m?`og%wYUKOXl9m&)oLPs0JI@Sk35FUi=G!_eFHIu%kcqt{{iy{j9x>G znT5E(FEo#1UqcrMH+obOFsWQZj)zJM8SOc;kmK(=vXXy$%8WtK0^YbNf>U~CB8>@i=qXg`g2z^3&4(o=bo?||J?T@q}U0TqlYFJU&5+&CouO>b`LWW_AWC|Mkt`n^IOw4cYFu18hHY1B&Q#%k=FsBaZXMFqZXc!B+L|%AA z?oo+7`AtB-5^uIN2N)cGOzdWKAJaVTP#`#|2zMGDlM*Wy0wgdMA9XiBNM#U|fiT3N zf>r4SyMN3$txE+X)^!(gL;g*Jq3H!DZNq#s zWc7ops-+cz{Hslv3vt4bq#StndHmyI)c#J(Oj>h<_?(9-Ty@7tEXMuCXm1RgCJkiiGxLjg&D#&Hk->YDyW0}l?J9mVE|3E#xlfEf zns~LPqlqLLdE9^PM7k?X*R{`@2)`J}`=S&cl34Qw`D(3P3bJ-0o)iaX8`yeef=9mH zyhqS*j)6{`2(y&o2b}XrgzY^$q}Mime%nQKEkzg*#?4$kx&4yc%?50~n~#XBu`LV9QW=g$iT0ej zJ2h?6hz~cmAM=sFkmN`g4n@OeIe%cS40}$~&&Z7pmnnTU;b35N!ASrYv!}$k_odjT zJ?|-{bm4AOVvWA$RJj|~;Onq%)brMYyG&GFx{GhGqu`^VPRo1Pxkq@~g@YI5qd@J* zx>YNFpD_;!s^!flaOcKDLw+Zd^hF^xcb1@QXjz9RQ=d_)&t}>%w6D(yA0|`O{WTiA z|K;vLqs(F2)lHP9!%WR$x_ux>Rg)5Z_5V88h&jHuhs=kDfJ+x&uoe8-l^@T$(+xr1 z)cvLn4><6FL@+p+Q#hfXAiQr~c3LYXUgXDrkukFXoT=|e#Hy$(eudsE!Z)Hj*~K** zkC{d-FV=4{`$0x@>$Y?R6{~%w|1X)RqCU}ueVH$Rccc(&lBnlhFrQ)|sgFDF=gXG- ziP4_&)}a0?MidL}UFzV*k1$`AIhj{50%=u9#2SLFr~PdSYvvE_-QbcwBR}F>Ae?e8 zO*CW|Jm6S%?#DXhk2HJ%2}huz6Sie49^?5tFp0T62P4Dvv27J2)Wl<8*{_`^!~q6= zuyE^+_QjpYoGz?DLW=$4e<)aSR2sLbzcqSXJ}*E9#|P(o0@m^gt>#{sNoeGn$T^=~ zWpMPR9{E5zzG~KXnt7V}lki;SN0bzAdEbXX8#3bT!HlB`g5;Yar0SeT0hIozubrr; zSez3_+ea*{hKgV*_78xkx~BG~%?cwcW@YVfKqqX(9O6QbmO-bHqM;hBP_IX?$bU*R zU5^s1Z)gQ&!<0%-k82NC_nX04ZFXWkUkcq$_elL_ja$$J{_k45FHCpJz3XgT*g+Enlp z6j{GUi3sXfHeUGJ7aUya%9>G?MEZlw#|^m@N>i)ji3hrW?J_B=t=a!m z4kHDw@s>ST`xNJlrq~SP)0$;GYW&W_-6x!ygh>@b;G*tb%TD$Lr`y=So(c`yrpat$|B1t@h zr^31^H;&)KVc>cPG3un19Z!z>vzu%}17X*~uMs^Jjs;-hcg{aN6QlLBE&_u;)jlPv zanA#fL_B|SW36}+toBH=Dk99CxU&CP^qnUNj3#$F{{9oqjnyN*neSc0NEvSAd5+5{ z8XwlZ>oa;^`i!-sbqW^;^1U(klOi@3&{0Vi!ka1usZJ9Zs8M=HU%tj)1_X+!+w$I+~yLlG@3=baQde zhhzPFUY2pQh22*8AQfxE5xquQTnsN_26gmJ| zk5_Z4c^I5o5hn}K+F2nE2b)66r#lY0U#Cx@tLv%#>|Lk2o;rQN{`wz@ z0a*4ZBh}KW-{kuf=2NJpPyk+#seSF3!zAjp@NFTP&Gx5B)*%qvlzsxtYiUPkk&az^I8_j?f@XD?vf zZ^M3GOFp;&J~65sk*voEbjc{N7DUzdDMCu}Re{U%oV`RUA+m9%gKQnP zy!uQCn1VK;l))Bwv8RA`@(JoQzZUcy(aMZULV1m-)c%&V3t+27UCgIjPJy!|Lh>dL#y$3U4J%_kPSe zVMAk-Ky-iN8W=m#!&TqkP5~EA+r`{?dJw9WiU$CDAWu(3oyQMuxTXqUq8X=4&aEhq zHP2V`!10SKz$j<>C$g1jjZI{YPxtp^ZeI4318E15LM#rVU4&z%M{ji>u3NC8kCiUC zN>OBUS%|1|Rv9V`fdp_&9iD8kg0F=z3(8$iLm~09t(|b$z<8&`(NQ2j>30Khwd}g} ze32ve2m&k1N3r}~h%YYGV(y&QyGq3j^9h@;KzV|1mjDLCZ0;H+4sQKItDojNgx!uuBUKKHD=ck&o zUIu`XET?a9pOesRI^e;^vAC#dc`D|ALD4Rt+K_YpC3eV_p~m=fCuO@u;_FV3Z`ZNJa|s+0Y6FKme25D#$vL|h~ob1xGuF67kF^b_MvhYa?oGX0~k+TbGLvNOLi>TPkQ ze<6vAa{Z!85|tcIg<++HK(sKMCU z@V?vgIUJXwy8XVVHyyL`E`9^%19^#mwXq?r=H`H(lb3HUQ*CWRhlAIxQ%W7uzR4L- zMe`n7=k@g5aUO2l;yrr%1lpUH?j662V+jvYRVaUBD8nQycMfSE2r&g|X#=R0j4fgP z2MWK+h5V5FpkpK{fRYR*U!P7O$F+Gte!9A2guJ)${tA_${-;7^C|m}} zb-tMv!mk>_UkgGhMzH77Ed?M<(5n*GpJC~*HVvYgL?IyQjRE4dSz2%{gou%v%#=+u zo<71H3008j%uqxz|K=~}pN_Jw$7Pvj>k#CfR_R!{|D}aKD&p#H$IVL$SNY@c>$gHK zv4HVNQ_HVAnhsPzh_;H?EUqGS&VQBn8Hz6m~AJ`m#QF5X+vlAt7p`NQa>%IFXw130VIltqlH&L4z3Q!fAwgr=^1iiL~kA!S9l z(h1n!mIVudo zEyv!p*!4wxfxy>H?=T3`;25wbu9DLU(p%_eiEcDg6d<{TbazLNoxEQXbP#Q%ADN!< zR^h`snlCHj?{Pr%zF&4>34dpx%olE`h+M61d6qVQmw==G_IEsVLbV6(8M23BsmE z0|znFh{N_|bLHpUIh;;>5w#z3xS7a?Sf#ZAC`;2lU@nnHMQ(Kf5uP@i&8aJkA+D1- zJH!$Gn0Z>>-sRasY?cMEa4lS=SKapfK;GfMux|u-#KMlI1vSQ@b}RWgr`tbnY2sSP z$9pU_H2~&CsxM4TyPS|=e2#=|Hqy&wo0+fA6?K|RNMdLU>4?gL^6L_XEk%(LqZpe8 zTCdNi$0*c5CXxXZ&m@7p;6>{{-o74b|DrQ0ZDD5WJ=o!xz&C2G6!0#jE8d``c6_C>ees<`T-QOi6`9b6Dc<|F(1{6bN( zHEM14rK8ljONJxErHu~TE9Kk8?Y7@6&NvChyct*}jjgL0QzKk#FrF@|o`&~BypfE& zY^Jh;HB8ew7nsv*Mo2q4`|Nxp+OK+5(gk>F(&p`)xgp;skH`pq5}8!3dPq+?g|8Z# zCBn1xsj5h9m3oMsNo8D>?#vOrWLcTHOgYx1Tskg}Ye~k+ThL?;$`&%Y(iHO+=0{?* z(ogEX#&)G#lzQ`TnhIV}U%glG_BS*oBkt*IvfW|U_$L*ng>kn~N7m<*g}i8mNdw4L zSmxV0ae?1Ocp-cJEtvZuZ_^gMQMaqgE*TlsEk6Zna?=(pF#FQ5UZY$dAy^-Ze=V$# zZpz~gJ84QjiBwoG<95x78h8I}WZhDLq%vDSmX~O~X$*$j$Kp{p{YYQElW^CpK2GK} z5(Jv`}4@kV&ACYLxFpnxR2Ix=pWBe%7TbA#9QZjU)euac+4f!O1)CG3RS z>e9Gnd&sp7hF#DkOhYvPRFJhE?po)-Amp?F@e#SC%F?5Od4-`i?W9fR0A?yZlXwF5 z`i5DkCJOhTz`Qu#iiaiVZvaGnYNBH#T2_h7u6N|8lZ%OfqMmvbbA?V!W5g>eodQ_~ zyJg~43ada^1J?;9QP^jvc`L0}p1FQ>-idykwhpx~S-z522kNJWeE0*~ykEqkb?1t7 z^hN2(DLP41pg)XnbY}VU8b8X?WNlUA660{{xNW~A8jDM=dD11Hqm%QbV=0IA%?N|;>x_P$cM&@g`ajsi`Q$GDAp(1 zO0$771^5ABU*+9hK@`guy`0NWJMj;rT7&V#u(ycKP$Aj?RS8RaMRo_w5h~X3uW+t@F``NK;BsK` z<69zUyCr4;Lj3U*k?C7@MgECj}JNUiNlrEMD1!C5hr{ z{epaK5S&PT^-Rq}qAH7W~npr4`4O zdGQv1A>9EYn>iy8G4wjN*a!P)s|(ke>DgmSx9%M`*~2MK<)l*C2}Rf_U3dcgW|dJl z8q#6giHwH@2A-IQ*O@ojDkv3g*Q08;?%7>7^(u^9WF)BT*cAv1sit1TgHV?=X5@Dz zN055M@@QyL%*?li-fse=zc!@Z_Dau+$Lu#Yq*q|G_nOg?wq1%-@-ztaQH0y|q&`z8 z1w84=x9JgUqe4*a}=fbSsH+y~)_ZHhO9pUMd^i&U7FUq*3U}`c00%smF3P0u$dnBH~56%Je!}{ra{YFIQHLaKl?;iFFB7jCGHHBF1&ZAO$_5GH5t* z;5Eq>n0WmTTEc#ZEgo_4O+CWX>}wuj;pX(IU|;&(o-8 zpCX0?t?!5f_KQ9_=b|(}n`j5#aH&s8RDnH)Dz)0ucU06bezFEA^2Dvg0?`tJPUW?oi5nwPO z90;BfnC2Kd2(Z^1aB305QfSpa?(IEo;+Jz&w3N|alv)`=^uJPOxU`T0{bOuO&C5`h z09st3<@~nmqJt4uXxugdb9UDpwUXtMx5%G=SDJohO7Wp%GezwY5eUhc(UWKX+U+ne z&b26xZ5L6-yxyR_|C)^~vq$J$LTZwl$J>NOAM1qz;vvU1@_RWbL@yF_dsK4*ub!HJ zT}ubOKO!}W7Q_?1u#2tqko}K`QM~FJcBI+x)TCBW>~YNd47jJ zwskRN){{CyuX4szQI4%~6tQ%U6LvGm+^4-vlj=hsC_`D=&9-N7-EkN{ReiP`We|MQ z)FQ9)k$uA(0__@iNz35U?f$9SNT4*f<&?Q~-|!Z`RlZwyFU!d@=#bToKgBBV0RRjp z7Pxk~mwx;CaWNfq39~Jn5_GQcE~kms2fg<<>!l5&U*_$t@`L`+N-*0>(>oSS6I+18 zxf|zDk^A22w2`$23mfstB4&)@ICPsa@bcyCg%XZPS_n$0KA zZfxcdHVNDiAk^8cO~xzEyeVYl&y$!tj5l$dH@gA5ZUf2EE!hS+7U98F1cKWPx`tWr zEF@ymzYW!_Ms=gcGvQMMz>IHMGh#BLGl5wPMx<_sP&Xq{$nMBMpH7eVjMD@`N6is;P#0w%%{J?t=l6Gkx_fjgv zPfm(_E;8GoMSP|FJtij8(@i3@{1M1`PLjBgUg?AiG}B`KP%irt_#`!@>2Z#_XmL0lcLylf>#MX?h2H|zm?qdUn50@tLR<-FRJ|#J3w}h z?<&7{>-;*e*OA94*E9b4IYHn7(h#lPlB%JW509yCWF=O;hja@}&6PHgb6J^|y>ZP3 zGRrDVEK!r=to8Q{35o6?R@QQs6?jq*?OTJt1w#><1qL%}s?snd10eB@>rsxZkY{8L-3chd9Hbnr*VfFEEMqRzgqf9m?RK!bTh7M}nTjorS5z)uWL5 z_V%eqPZK$@J6}{xz5rOCV%p%M8_^Q361NF0R`^^`(5i0WEOtz|$_0sd`iv;&MV_XD zir!3<_(-Prc2*fu!LlMO!kL;&xbR)Z9NTr+2;$ryauZV zXmWLkmSnL_#%4IyENeFC`S={IwINc_96g5UC_DjY||-d1OkTx3v}zi zIdhnsxnwG&o>g(Bo9o@_Ox@F3N(HH}sZnr~u}nc$1_DB&k@=mufi?Qx*;%%-(SK-S zJB32gP&^s?Zg0Yd^Bk(OZySd#cW|746UF~cbi!7*_1Sbdk;XZ@R_TgB8pv(!mNi9xLMOic}(14Q%(bfXgHIpK;uQ zKK$Rw+c|5Sro0;_=yU-y$(pQcQ7`p(Vu+?Oq}K|PrvPM&kxCI-_zV?LkckOrF|o>g z%#sc_sx>`)n+lgPp%7&BBqBjEt$}z8^+&H0{ZqLzeBn2P2$2xYrnGLxiRq~j18zFXj+D}DfC)Z=oic7fM!y?LT`*<32+w`7 zh}$$l4_Ct`8Y3otNZES89})cl-w11Og}E9`OFto+f@vrUP|t-DQ+-LVGKf_n#SC++ zoPhKRR>9mVwdFR5=){DPOd$-OuUrm;c!)D=oo92Y7Q4;D&3}<%TR$1PqnRBdEd`nV zT5S_k!@^!M`nb26Uaj)m*fYVKG!D6~IHxm9-rg#^F%SKSBYWkIFL-GzS393YZtIj8 zQCPCOb1XW*M2&pPJ}w;)K6;f?&W7G`!YgHUjYWbhyKNGGiDqw(|0+MV%CyQAm&y7g zb_&O-;}0w^XEu2;d9&;A7(6E)Qd+Y~Gng$Fq`-)bDUG)->cxse@HCb=6TE~Vb*7YZ z=3RUeTfO71*RqaP);WNy9y*Wd$c{m@A~MR5qi|^pHa}AR*}1UB`fN0dept$y0L?(9-JcOz;@4%m8t}Q*6A$f9nA5as zGxIh8sh^Ni7NkXL|H=kr(#aDAPpU*9(T{rHF1~RH^4#2rP6r4gk=fI&>!je_vinJUdKSNhvCPJHf=?vcjatX7Cck z^t-TCcfg$i#H0)22p328z-9lN0Oq_P5B2tts;rc2V@kqU9e4@)UBoI7+R8*|nLVIm z^OYUhWvE_ehYC_yOlZlOIPw;7+3-W8;zt-OTO^Z!BMtt#VraNrYwRP2IBbq=&?!D9 zJOCQ4XmN2gIPE+*VO2szNm2u!5gV+qN!y`?cq)a1fmL$UB-PXzi)IslkcYt2$1wG= zvY=w&VTJ9L;qqX^N}lTEaTr0V#EB>&S&9(a3G4g-rM8*#YFV$l(J6 zn%tpi%%BgyHMu2T3ynvJu}YPbVBG#2K`82Oa`7tgLRzAj*eYDfP`jSx)AN~Ava}O9g^5FVJq-E68#+zC zRgKIFAA$4~wrInO&{0o-APvQoVsHiRhMcA&%zw5puAJy-+$+GH9mhOUk@IF#YH7{ND0xqF zY@xc!7?uJ>=)C!MTjn%r?r5?oUH)-MGjY|%!l$9FMB(75k16$LSdeq?pe_GnX2gKS z;j^hd6I$>MIm1w^;r_(^b(w}DwLJ2&numBizHe%hfwG2>Z>-UcsWSbGL8_RAc9M>8 z#-#M@^hwT=R48WDOg$UwIgP#wdw(~CZF{To@HzI_wj0f{6@pMTeQ5EE9p=})aUWJ3 zB?)8Xh`niI{XKG>;IZniGgDSDzZ3v#r6#l!oZ+j$5*^xXPAL$l&;i(DENKrkEKS1= z1R6Cdtn|7~1TBD7oT7ChAYkF8$+Qm6otlVeC;jZ7zkL$O!_^6cUlW9l)Z2#^r@Urws$JnP8Q44V_>Jrl(6Ikuh;1Mv zC3)3tM2M)Xvxh!>;$u-d_aRpsS-fP3hNyKgFuvEo&b^1TYwNKiV8JF;x zWe=VP!$lZtW`AMK8fJoNZVqU5hbktI`8TM`L!EX5vGnNPi8^?(CA$Bs-1ayBzXZX2e{RRAtNS|77CN|?FN{PjEQSXwZSE8@An_G{^t&FF2yiOdR^4r6zdU* ze(Y%DjCHoA6n_=#3RH_MD6%uxN-Q!O~6 z(qcja`5LqSD|DrQF-fR7$GXoq0zb6^skmC-MFWii2p{Hz4R-aEDocDo?(_kQD8mP+6h=N;vAqbx|0E^Ly#6+&ZtQ?#@@%HR;D5s3j~XExFcLhoM* zE8F6`)C$UmZ|mek%kZLTCd-Ezc449_kXarbQIe*pm`|0=UXiqjA@+F%5gr)AzhziM zoQ*icQwpp|0sW8@(&ld@hVY_nNg6yUZ<3;@8Zkt!dMV|~*rMQE;r6juDTk?6F02+b z;D~mv%0Q5_8pt}NOnWvSsJtYvQU8<--UU#uoi&dC+uE&;)-6iJ%{#EL`$Y(Et(GDU z(GbN+5$s{X=*S+~VZoGb1r>rW)-f(m?fV^uep<%}Al_*vg_5)I0rjYK5?=@D570fNW)Yh(%>$5|^r&y^+2m2a z!1vAv@v-+^xWdWtm1x6^E)F#5rg8xBV$&+?d<`y!5>;-Gjyv8n{{th9vYXFWhhCKmpm_o`u2<4#nbm_88}KxvgQF#GQ3kQkOwOeEYy`yRsuB_7n)^tBHV&*d!qtqe zg-ObP#{tdr4GJL$T$un2e+AH67u(sUIL3@svl*>= zqx=1qH^;;zsWJ-%al9Rxjlps{4YVi4Xj9;SZfU34BiL#4q~q!FPdL{8 z-;MAv(Y}yb@Rd|}!wTld7hh(fGIYJPc43V@B%h;CP&bLVq@#-LwJtEJElrSdHOJs)jUw4Dk>&9dQ-0!OLGWeG zq3)NNk<#dl%c&41VZg$1#)$Hmo}9V9I-`ZtPh`TXYi9&Ig2>**aZ`a(a7bq*RH`5w zKsN{&R${D`Z&rguw?)*&aaPX|NCz<}8sgtW3lj54MS%o@&F>EE^F`!_&-!z1_CF9q zPvUIl!+Nb0Ng^@V@!UplF#8q{Osj^;@?q2MW?##6S;;Ss5p6tVe4m)eP!l zG8cKmrBF?6rt0KGY)2W_HE}2EH3G~5HdDrHm`zeP_|c>zJmIUHm{%p#2?z{`;nWUA zHaZxcpt*0DK;<|FLkzIcnWiNh_aEpPkehYqZUjM#U}X@7#0Ac^`_R6Hrk{ai zx)0yQc%ljG3Wg~}lx9+BQ`ZI{8$gRV0$--_tgUeyCAAemAi1 zloFSy_2@wD;tfSNQ}ZLkY~;mdS0lAi3=Gu=Jsw@?`BB^Gq&7wgEosg-82z4KaAd?# zW1&RS-P)rL)3sNG-`=)S`+g{1WZ1l=+o+beZG>ye;i4i@QDKpj(hviv%T>3}m5IxO zJ~t)=kJ@q?Q|3Tm(_80?ksviCV3F9+0hvyC#hWZ$77J2n7m@p5MhgVbd6lWO^%NXP zA+0}SW)f5dImhH9h8(*1!J(@r13Cz^&mB76Lr*Na`zq=EklOp+?nMK_3nZQ78X0Mh zc#Bp@0HdY=y=99$1%OHqP9>~)Bs_;zz<3!ie-wJV6I_2}qaR7zbWHw@av^a5=vo~{i zQLO1N=8|oS)<00Do$pf0lgYM;2|PA(F*)N^!DfvfWGsrz2q3fvTKtE07Q5Nd4;)MP zd-mS55I7iQOwbHX!li>*3KjI6GLEeqUjbQjj|em#;XqVK5o5b4Lj*oZ*(2WHOHuzt zb&=+0{2c~x>qWrNPsZ^5VWt{660Wf4x`?F`@UJmHN|q8XXkH#;l;I%{A^0vjuklSp znR&n$Palu0O`9IpcVN#+CdT+b(ik@2GhzZIEIW(_%PnyhyJ9ngF>!euN z(r}tVs|hxy0y1ohAyrLpbOgrohhKC*ajP7B$G|u;1?KJ9m-+P%?{%Gcw*_#zXzpno zqY!FEp6Lt{Tj8XlJf>1vf~-^r%~V>IRLuQC<$|$hcUF&4FTu50k;J~OnT=^z>+yX# zfZrmK_6S--OV`Hh#_DR;=3y?q?82_omG0YIuO>Ia&Seg(^Ns2+%}SYd(G8fgfKYTz zkzQT6I=SKMApd&uN;#^kpq!ebTF|{oe-!;5Rh5aN5@#;#fn?fFl|~W#a%M8gSgHZ2 z!eQm_R8O4mXckp*q4@sWi3;i>ezyR=t0u}j5&8bMaV^#@mrx-f4O8F=HX*HgEax^d z-2^UdU6Z^kDZn;cXU;9UAD8C5l~he+f_Od6eJI46{4=%)JmS}=oBcnfnie~h{c~%9q}H-pdT+DY2t8zEpTodb&zRJeVQ z1Xc~(iv1*oe;R3}S`p&AH$H8ucKWmmaPc*xZg|91-za*Wasf`u^TSFJDPrC| zq<2lnts{udkXpUzMCgIlC#`(Tlb`qW@<~a#0G0%+$qJB$8b?{$dt7^e zD4w^dp2bEAw(A>@k~j~#ODWqhok1N=$Ce?^Zt^pLi0&K>Zbwm+4FGl4;u$pl8@bsI z|Bdn3H-@z;4N!mo%Od?MfYdJC(=?Gelh+6)>jD`|sa;&%)3_b}SINIsGuxna=Z5E_ zYTu`yIX96293|IbypOO1_U*9k>OK4y>Qjrrg7d=k(R(4kY{s$qzY#QkcQx|!Q}nkh zq5-q|K6pz36_#focnPuJG{%#8tZ)j^UDL*l%wr@4hmwMx9wh`T2fSTpj3dus{f4B9 zg#L*UNUs#%Dy*9SNT#?*QkGdYkNUH=IvC|AftQpGSYupHuhxm+$Ga}Bab2$%QE+`gj(~n0<^wLj>OR8NV1lQCu>= zXZ*5dQ-Wk|={@)-(>sJ5QQSW(EUS8M<&+Dk(P3$Dof@c= zX+ClZ!jRVyf%wqIvq(qtNBQda7ly@Mpb=Zn$o`KU4U$iakKj#Uxph&%@_EaGlqGtW zK%w*xmc@ytjn~Y>nGZEcwhZECZUJeQXXEJ^J{bXjpV}O!=IL8FYSOZ z@|hqvbs@Gq+pwlGR;Z%BQHzq=L;&U2;iD>SS)sqXR`yUOxs*v$j18f6JL<^rZA$ zts0%1eZl$&ZeREG@@}sSwex;=Xj4uogz;bO9;0U}@vp)_H%h?fQ|%Wpm$10Wsg5KnKD?}D-vlT9rJemk;`COiErCgI84_u+HlY!kZU;{E=B z-S�(Yv{&@D~+Ww7_t-47PWb&3^mGHK>k`3gqIPI}?r7|FPguJ%|`SYS0bRO{fLFc0_KTcrZ;T|fahC7o14fvNpaq;6+ z5Md!wh?Aq6e~$M2Ohj9tbs zijb{jk8?!Nr`0@?0HKX2U0t}`XU1J+=4Q+S2PredZ|Zi@&OVo6sfkazdxJwF>yIbA zFwAvU(=~YTG zg2Z4utXf3A(^4+9x)KB6;;$^I%O|l01b9iBHuq(G#)@EMMT(4r4a>$`{>E9 zho6jdL|2HnR`WCe7E?Xsjg7sp0Jd^{G1}jzNz=~%lQ|vpIq$O}jB(}j@Q455s!b}r zetd|&g*UOCw)Ch5B`=$a&dFwQrq6U1EpyTKAWhGJ@rBCtz`v_z*F#soE2p^UEjew6 z)KAy;2|$PD#1iICd`5@%@cR%&tWboNUewC_>2@eq3FWm&Znw~a#D9qL)9m|f)Wdl!u3RQXytB?GNa#P->n^2p*u1ps=O3J< zJ*L)|?Cg6x*&3!+mn_LoQkWWIGA#E; z+m;=pi-&|CBdX&~b4N>a#OWEI^4sc=Gx;DN4RdmLwju&gra5!Hku~uu(pBbY^`y#n z*1=7Vy)l$tEEk>|)<6B2doIb`@$Q9WFEtqTkf4r^JZFmC_}3c#ZA!1fR-}3QI#|iF z>Ep5-Lp{e`nx|t|fK)YH$$xXX8j&Q8(*Klc>px|(W>EzRlLnFm$YZ@{|4;I_4%t!< zO%QjUyGNAe^ax^|j0<$tbw1$|INTV>Z&B6HP^ui?4H@Cp(_?*)IPmEvAIGF%s<=V8 zKVA#-`G+AY%@|p_^HYFxH>+qFhq(-Trf3x)HQh4Z?DnefcF>|~Ub(ggg9E(eVT1yV zcxp!~e56(YO zqrMY7WCwM*%J8dfYQl9eyPogGZIl2M7Mm5rrAICIdU>Y!f18!tt5_x6$EKK7C z2=4-xh-f^=;P?hBWJ+Pp-GCNXMyAhKGR@0RRG>5bN^U=8b5s|T_hx91rP=ah3EAOt5dGUp(v4L7lTuZNfGu?;t~*dqe6;1+f$(uy zOga|yCpKV|)}_x)b#T@y8Um(zmE;))my1W0hf)@&R|SF-H|!=3Y z@2)#1rYJ7L^?ERjkF5V?lnHkYh_muQgEvGQD0}92eOo8&Nfuj7+oV@zp~EU4V;HjP0_#=|{KqEKPc<_Kl<*oZ3*;bY}}_ zDvZA-*WnNS2j83LR0Yc`4H@Q&`}QheV4iu|`uDLe;9%cTf&Y^W=I#2%<4ncWSK17J z$^e)-U0y1loN~N=8SB~Sxcj;7zSS@3GY{~~q;2C+%JYX#veyU>+=_zbt~MDwN3Ofl zqHDE*R2jBu7**wcDq4Y2p5WYqOMXQO*tv)Q=K=n*MiP^Uv-u*r*I>#=5S^0rEb4Ar zdK7>22SYtlLPFRB8)HQUy%EstkAxxeZ6j37sv~fdnQNLHq4EpEd!UkXR1rS&5*mPY z9yO?An=>pgw>2mB=Zji)o{;(vK-GV*{nwqgTl$|ozfZ3{+-EW6(I~vWOr@c7)IB!r zbY1=1K-*!zZzFc#D&G}VxIb-JAI(?9p|rV+KQL*%df-aH=X|%1n2pcO3)3k~0#_-W zl!{?nTTNYn!rHfwa(ZuuRi#il>HGklPLl~B3&QFbB;&ZI+lllRDWB0;<~Ln<_Sxqm zYzm+CRWUjDU^Te~&g-B4=&Ml{1hY4v|9%HB06`}GO9^VrzH znO6O>V$}PdL&$7c?!=>H8-h}o1a`<*-o=Y!Nc|5ey{mE?;DXqW z5JNE0o$6D}1xbyB$EfgXJ?I^49*0+StYrz!Cq9(~z1Lit-^)e^v|LC=l^F4uGpIFz z2FOMmK{1j*UU;XEYT8Y&U1=m@_e5&$hY&c&qrLQ1Qbg)46a4pvVmJtnT{?nX9(%_n zN6(ZQ)fckMPyzlbAJ%t|-*=z0IfFjcvkr6VTzQ7M7vg1zUcDyLl~At$SK**yn>yfE z|Mvz{fxA3k=YN8j&VoqKa#rl(^#&KelXKd@`A=ZAg%hL4Je`7k86*P3&AvVZoA`M1mHK+^VBn z$K<~V`8lw1bKE7?`)Y}*n+V{ArhP8( zULb#VB>_I`X~cJj&iKu{j$~W-4*$fqOm*SEZW+t?fFt?u;vWR}-^HLvhvjtJXMr5U zHN2RPCId1VK=IF=v=0IhiHUuherk~9Q9w@o`(8d3(XsKKFiu|}5pEkkomF&gXeP$_ zUZ_cyCAj#IK{H;uR*mu^&DIbt0A_PlKD6#<2*H7fA9eI~CCPm- zHkY=po-g5Op`A9xf1Ajf^gU#H(vegh?f3{g#3hf1G@9{XI{(numskV0a2nqSQl?A0 zYCAW${f{E1lqJh6|JpYs{}^BYvs%FK3#yp3StWkfVjrno%+*)&O6I^>uFkgfKSh4t ztpW<3B`z3j-c>D3Z! z+*8jye)d9)AO3N73~DLqe_DzzuN}V+^xwJ5dRmJ36F&Qq_*!|w`IwEgd_9d=-ve-0$#4>G6m9g~{J)A`W z{Ge$2-{1FYe|C?o|Nd|wM(_e^D*6B2)Cx~a*Hu1C_9f7^Q4(pz*7=sb3JE%ZaWWV* z?8jR5t0u#}6LHBz1k&nU${I50tcicMvuePo` zsOjX3N2IsV4uq(nND-8Pobd=qIRsRsDOJTlsF6^lTtG;^ApRgU0hJzCx>PB z=ly4qGB_pDIepYAEx=a5Oh+KrGc=q^W~hI@i=O#aO*-T@H+Fcwb!@I`xj!-6 z4}O*xZ+U~Ge;VgAF!tgVk z&Rv9#uKD>7b!^N8W1?dHln72RtmX=|q;JK^)(1yRn16Y@>0eHu6blX%PbP;?w0vp_ zb4*A~!UG&y@lk%FmHjpKJ9$T8YhE+o&A!oPAlzkJZ-;j1ubtac5PLf*u)r?|1hp;M z_4AR@5P7YJiIuCS&^XxL`x!g7^E+lcEt@V=Pa|aoZJSMZ!-Ic7jtUyN;^YzJvHa)d z?6N_iM=e`@YN_-_4S9)Ns}teuS-d3(d|*~T^^-ItPC0;6(X4_0ENMc}MLFllJ0!or zIzG|ZPnpV$J=hZ%FwmK{*!p}tebToxxV}n1<>$DCJb5p0&yKoNdI^#U!!nt^#$D8w zAD9pT&dVgn9qlG>*SW4&?=dW~JI}{^JIlO^6_-5L|9PHQCd@Cn4OVE|*>?!t4 z?28}>V#N=Et*f2WHgLzO!7Z9OGqB%4)w9i&|4dr3U(E)l*NrCwDt?5-tJ&JEu~c4y z1;SYn(rZQV$89r>C%r9ytO2CKyl_U1BBt3b4N|a_Jkyz<9pbA07_BmW^e#$-99F9G zY}(QzFs(1mJuuXXIM(s1>g~t4_woZCPX9-pUjSZSdxwke#rJy$B>=)ydP(}&N~v~r z?1$A5NVRN8cFRGS1GX?DI*uL~k3X*jG?oo^s5Rb-rP_UTO`}$jIPx{*l~GsB$QzEt zm+D43y1cfr_NypZ&1HWjWW#T(a|!(ym;Gv)|JCuI{7vbJZrQcUb8jSux-Bt{%?W;0 zwGCwY)%phdnnfJttj>vACp|QK3Gsa0cnSDu_2X!n7ik>)ZIh%h61HxRhCbSzRr75_ z^lf$ooO;B*aA)$HBrE8MXfq`aUW}4etR$0Q}OvjP;5MD7u=UNt77VSBU2wlkXzRBdo5cv;xK{_5Q=; zq1ho<;LHxCw~-6p7I)jPSaSY~opY%d>A_nc#5(-!UWEQ244-(|V+ z)@Sg4vXX!sLpL9bOU~VL{{t?)>!MmoqF8tCC8^N9yTapN!o(5b3@_o|O=-UfpY}d_ z2)bKrY;aXPO1}q}qI6ttKqK)i1CB3FRpHV+*O^rg!Z zB~#si)}ABHi;-wmg`fmyIA)r=kxpiwtm3#UyK%jv;lHSH)7NV{< zB~jdoS}~}PV1Ql6=Dq9cV{9|S;w3{M2N{r6<(>K6kj%iRJ5u_*M1ja6izAr44YM0r z%wA7uqN}PGDQEM$=uScGZT+Zu%D1KW3GcW(cRPb0FlQItG>MNo6ml#t1ubq6cv$;H z?FikkV41c&xps_7{rd~dsuR8Lpj}$vb~Yh^0azA335(i7$QdO|0yUtA7~&(>TGgQ) zXYbGVcLlbVmYYY*CWg0hhmVQwUOA^g>IJ|n{9l!c@l{W*=*x!5b2ltM9rePCdY%wP zjAcI@_MVNS^=M&}lmp?P5jGn=*4R{jfjvqBud7&Fc@Zl4_U8|Pq$t)GT zkGuLkYvdx|D&Ab`5bCsstX72hTA59#K#)r$QewbBazM`?Uv^A19}{E!QgpHM&P>?# zDO&DF(Gd!DKuWmvcWF%SS3RFIcL~{mz^Oi8zg4}kmS!C*%e>GZS+ZvF-_jIv`PV|X zI6tU3gw)%eKkK?M7@-)W6cXr5Z!8P}jEV|Fj9wO| z6=snPk#FlXdeb;%a;{-)RisRFPBDXs>yc;iiIYw#M<6oX-QEAQxfDUZzVv3fO>oGf zJeSmoccYr(-8?KBMir(HwZiaS`n=GFXZ|zV;Cv8!y6F4_wC)p+q7j1!(%#J@*>3t| znQ(JY9t7Io4?Sf2*c=LQ8;SKKY>aQ*?7y!h0Xr!X=RbR{ao98CnbZC7=meuC2?3Qj z5@o-e_ z`%2B=vpH@X=Thlz4R7Bqu0v%bY|1_961O5Nkr2`SeHqIA+gYfh(l zK|zX)Tw*)g+^Kw`d~Us+qyfgyy)zoD*J}^>9r58RikS#CDkiCV)u!9-R~DNHjpejx zY$+IfZojFiMo!q{kzr`pIMpPW>)`wT!X-;BFO?{GIykmowxl~buH*HV(7$&42lY(u ATL1t6 delta 35983 zcmaI71ymbf^tOw;ySr0L(BM+sr8vc1id(V4-QC??ON*9b#T|-Uafjgc@%w-G-nH(! zlC|cXXTQnhO!g$_>^<4jQ~?uP4wE1QD0v}3{r4D7{GSer81{en|FyBn|C_y_Pr8m> zN##7)nI6B@+C5Ep4c^|n8*t^xOzPkvW8sy?U3Q_uY)Zh$aYL!so?dWLHOX%AA~{{C z#xpqZ@!syd@;rU*ap->)@7TDF23tgP# zr;B9(`M1}I*DXEWZxBLAImgd-ia3PpEpsxrzT;uHS452`spC-s68ieoRMGOP^VuHC zsh%E{XVimN!RPZK2;rO48}Zwd@S}oppp;-ISxivhu&)=v%;}7sMd|C4*u`U?o7aN_ zh3~@sH$c^(z7DbX)r%r7XwY2#o@Fi{;bxjib=EzKZU8hE({6LcC%}Zln3dOzi-!`D^FJnE#ihWR;t7HSc z_YzLR852Wu(xR__McyQNq@#yT{kt*{3H#LeP=SwS$*ebITatc=gvmBu{KRqW!9)4z zLsrED{3H8YK1GU7!nt3R5w*1|vam?{s^PEY-EXgv26uYxdHG2(_O`w`iSX-x3Ela* z$07_S!%boJi&hKi>1LoAm!=F_+CBwPC--`H9wbe;Fw3>MLhqN%eMRO%j1_t21xZQ^ zlz{u#$d-7Y=(gtO!wbDg)fX3%uz(jy_j1YyQ*k=Iv7iYzFZ^Z1;<9*g#5K3_W_`?C zK?M?%=PcsjUXZABz>4Z`(iaAGv|r5UH@ zg%$46xwkk?>8@0gVYAk?Il&k7!RYATCBT=1Ua#lnLdOilxj;m<&kTBNUvdoIgoFGQ zA%=KjZ+2^Cz?U;u7(Hl$i45y%_9Cc1oR|v13K5=6?qj(;-eli{F_JU+7-diPWW7~> zeXYS4BaD~`5%N#`d3j283!iY(%H!qQLbgw17&hR#2Il9DA5AJ3)OJx)Jm4|s?}3f; zU$LCR++<&RN>RfU=L@GWty~ZOo*6q6Pt36^ytXx6iGDt1Pk+{*`BC#oUJAuJn?HTg z!WruNNBR5QuR{MA82ZDZ09e?2Cr-n!VT8d0))Xgk435Kvw+?;qAAw!WLqNKDcjGD? zPd7>qXWQt+bol=) zkpA8nd!>Yg$Mn7+a{ajd$GOzMT3_?b&KghgA|I|>t{r@A?Cws2F`;5*kEyKr#%pN}Cke!J$P-N}ozfBYjomJj7e4cu68qH2fueM4q=SM zz}zg?=vnnr#R*l{5M-&IFSXv0ZvS;8fL70$@Us8GykkqT*avOJ0c|6e=nWl&fIWtH z==p?z7aI>Ua0T0L0{q_^slKYNsf$N>aZ$#F^-SByj})~IUT-}I|5Hm&iFO&>+V91% z=-*?3m;E_-m~d4kdkcT<$k6NMe8ZbF!E_8RHm{hF$P#cFO{puDVInHF3QO4*Do&{! z(LCan#sBP}fjo)^{-b1wu@Roa@ajZPlU<|kTajlFZqz*oFUTc#6SRrZ- zSLC!nozytu0}fQ`V4KeS0dFfY@(*1oR&#G@Qz>#m1ro#uE8&F|!EeOak;F0orZUss z#BLORnim;XZViiQX+$_Ol&RR*K0&m#n-%(JZ5ev5kP>tApopvUPWo2g;>udbFCZJ7 zQ?awfogK9BI{KO)m3DFMIdu=Mv`kHs+qFOTXTUwjB4vg`>LcLI#*>inSkFHT^WSt# z3MT?F#|~x9la0EA*4;8UPw8fQADt;w1(FCHjB~|r?b_Z_lSPp}K<_u(BjG{=Pdm*v z5ycJ%Zhr>*DX0{NTyxjF_6h`~7I=~mA?Y;M_$pf5@{U$-7JlP7uc>eSav zJEvbSljH*5x-yPGA1t11ZK~7*;X9%XNHIhcMlDu>R|gKClygOy5i>rFa*bbR^KD*s zxOMb+;JFdf3Q%2t{1p%h_e=JTUX!ahYwL-cJR-V}k#T29DPu>qj|@|lMQ4%w@85xx zCu&U!gW1Fq*BnGg3~dYqw*(urPl(Oy@=`epcN`M2)DqyBc)o{^9-J0wfJ47ZStrrN z>2S&_HO5j4w41~q zUcdN6YQUX(F>~16k-WDaQtp--k(4ijk3Ul>;!WT#Ubh?OMVq=JK5fTLUHBUF2Wm;O zQAx{$=l%9l+TWhmQKLnG0f7A1tuG(ExvwGWv<=`qLlocHp(T^CL9TKjbPLq8?YLA_ z5{?zAPA2bs{}#r?G)=x_o&&dp&hFg(#2qoQ(>;wdYbN0Tlz0aF%E;PuHbs51 z1?jpnZEM%y+rpH(zbs zUKW4tQT)P#oBzohAW$q5Z(iK$DF4-K$clBZL!`Z)0vC9(p@vaI=NveX8z`v2N6sUp z-OOu}9O}y`sPn+C;Tmo|m?c3N*mycdKvA`*B2M+RbYetdo72|U%D3{#IZw{S)VU>8 zBUvN#t1W6J1Lo&M4Y>syzPJ|-`V*KD-1Uq<%i$=ry3}0O0)We{JEQB>u{D)>XAm(=St;Lfi)FW`D$lT z@6_J)mqX2&6VF)7e^#c1R&j5%nltS)9VKz|xS|EOx?3^TkNA{gru_w^WkaIsjXw)Q zJ8}n77RJMD0e3Slq4L(o>x<_F$m7P;4y(@7_ZWg*Oy;H(I%hE)oVLFMMKSJ)IvicX zL;!gO51N-%YAfHZ%0b(1wP{+N53`{~44hk^#xIP6vw zQJRqW5Yo6fl8=3(ZS0Vq8CKJN6__lCCD3e)NelfyO|mg&5+D+6!8u9ZFdWg`@hS|s)`g|K>y7|PPDnx@Kh?DO(#?jYsh#lPrAFj*u_=CJL z5qs2CDNha>GdD!3&y&!seFLc~U4`AIgqZwsx?DM!VZ}>ISNN*ztZ#v@R-n;GeO{JB zjVSp_wgya$uf1QV5yhho?DxARQWN1th*bNZkF&>CsauU6WFC#uzFn&s(In^MP$XC3 zVE-Q*CP0w`)2R8)?Yd3Jr0ojHh#C^69i8~PS4ue1c;FodKW{|#{ZR%2cCUTr*YvxJ zIzT+6;wLc7Lo!8TISDg+R1NK3L-q)3F@3f)bt3exEP5P7vcpYIe{?h3oz7SH6YMOR zv_D`i+S1Liw>;CLM$G5&2PiZatB=JSMDxOFeKVbesqqd4OUuP` zyW7>qE$_V;bGk7n^F{n6D7m-vseR*R~W=6Xb>qrjwTNDEV$8?u)4v32K}>xa?`{&vy(XCUF8Ba`L6 z8TrPuiz;#Eibk%UUgH0jv}jTm3NK_xkX2yb=w{VHZynM$^qRgpaFV}t+~IsO0S zEy2+P8j;&SUqyoWM1f}qk)Axi?(ZJXe*7SsM>nKhFK^zTdZ@!i!hVWI$Bo-B&QBtt6YfNLy z@k-!pUImYO)HmAN3+4$Ip}T+V8|&G0r5F4)B%p9;D((0ZJ5UkeSTeWYun!(4=-g(U zJ!Z(Ex>j&PiF0^`!Q|V(uFo!@1;IcV(BOVbanAhvUs88PsN;=RW$jlm5uwu&7iQZuYX`+4eTMakisvo&0+GlCVk~%Km-)?dI+Ew(af7 z5qMgW5iR0nd%OT1o^xtmdQG`0ld5TGpG;GY;i>JYynP^kSjgIN&9e@68E@d5a{p23 zhYjeDuRo*^=NqKJg)#YW`94K4R&%Xz7T3u{aRd7u+;f7-AfG?+Xz6DX7TbmdBWWWf zo*|`z#lzqc+K)OQfBDp|fhA%o1;S{x1`-INi4!OTp%>6n{r39s*gUzIPs_A6<@b#L z5-B6d2*DPDS9`Sb&C@ji^uFmKeL?r?7@h&Mm%+O*>q#=^aXc}i`B&!!l6TKFZ|E3Dw_&rxxMpF5b?VUD@gg} z7Mjr%;uF9quCQ37`5C_Ck%FjIpjEnBeQC9_+uB}g-VddfNlQ2*C!Cgnx|?>5I7w>0 zc2l>N= zZvZ;_8$llSOnxiRowr}!kRC*dj6a9=p4b_{qdO?p)rEW0)U%$~i4fIAu+a_#!sVk$ zqe`O+^Y%qUXWofvz#I5;hC)w6b#cYEuJdHk~DaO_4&=`f2w z)iV;kpPg!TOsdarX#aJAH%}|?t8l$m6)DiVpE~k*&5|YT4aeBiUKN$O8{qH2b6nn0 z+o#pG?wET5i0mSSW;j*$U&5A>fp{_Y9M_D)tS=Xj0tEv zGTIAZqXo6g482S^zqRO|FRFOPwIjOkZBfpgGoDZUbSJ=l!;NQ`XE^8;Tbc54eB1nC z5FV3r8y~m)C=;M1oy#d%eS@t;hS+Px=rhhsYFY8Xjye4GnQ-luVH0X*L)1F=mgI)F zgQ&m|xo+ub9m1`XENNh({dU#jED3l+lIWjXHUthN{|aryjI`Or9yz{&nng`EnpIP8 z0F?yHc#PXZHO=ki&9S8tcOvqevO_&hC@vpD(_&GPlrb5=v1xMdlGmy5}R$s^kf z;?hN?URNbK&XUUnNh(1f;wsWzNai;jZQU@X09nakLFzhYk*e$yzunQ zj6-gV&KH+ns4tNq<74+_VAcd>4+c+ndScp+&)i7!Vhf^2dW(_I*C+>59wC##(!!>)EB>V z{rp_*`6oq-rvRe3Ur)!Z2;g0-XVngeOxzLc)-J=YAKTr^@?_91c+@@JMMX3BF#0*w zrPF5vkXITupYoukv6b?yo!%sQ0;|;!9i16J9GwrBspf@VMMr#RmLMSe%(@0ecNP*{ zAB>ylOJRD5R?Q-azOT)D2N$; zlcC7}W|j9;{+#PVmoRv>)FiU_lOGKc@hFO*M|Z|ms!#r=^QSmY2FaTMSms`SPnZvF z|F0{nmr}Qj+`iCv4Pbwg3D0nbA1p&uc3-2W@YzWI`v(~|@Q>=!rpV0w-p!M>1dVTw zoZ0cGUr>Doj*vN|b!6}xhsmF-@C{p)h&89&oBtFtE~A|0xS2XAvUv;mcMweyinl(x zb={^sgmGy8M3Z~Exwa~wwACnJW&T$8Xg~whwNKzlXhS(9NdwxT&6RZG6dwz0UeS%3 zmkBFuhrD~*lH~v@QFS?P#qn099_J+Xy!M?C3Y7+I)vKYEmjQ;CBJ_Sbe!rnf_5(_T z1klU%kn$q@hx^73Hp@UT3 zuy*wJf0bY)PXKh!(-l(!&ZL#kBnHRw+Q%p8ENW{6U4tDc1o@6OG!Nd~ax;T?%g!s% z1uO}1-3e2FA-tr8-G;OT&#(2(y-CWzs|f{Si}l;D1o(s#*VfdF8oWjNKZZjP&wEl& z7d!OT*=IGg4#K@&7Kz6;4$}^Bpc*D=J49b#KU5qQ0V;ypM^ykw^AE>?;j!c4?p6>E zm0J9T!0DF$GFwu4_GXLx!+c)1@P4I$??HIN0}3*XckivW%Pmjo=^_61rfsd~?Z^I= zjb^be@z?`_BEasPL3?XCCrha;-EKBv-LH-f;k<9l?UP)8^Oj!d?W2CRIs|(rderGF zx1do6Fi3jW-0N+D&faZjCl^9fN2OxQm4X2}Ywsu0okc1~vgt>$WYl1p32l%-PH*=K zDUpme49613?qhjs{E&>CxHc6p*(F1tQT;^xgAo$qpFG6;S#K#IAXC!N@q3ge2DcFv zbiYCtops0~*0(I81-E4LK|CT?H);|`bFgJ95PGwj2RyLPpX`B|KxH0{jpLvi))AUn zOgAaF;3}>Ljs@-*Nmg+ew?E?uc){HrDAccN;npJuGJZ1jOwD`B9+r3E*b(|ke_)7m zsCGiNmzKI@en`^)VaSW(96rc2n3nOzq%uD_9Wd^Uy1_A1@Kn`jz2Aq3*uQRE_5fx; zd!TeAV`bP!s)5chR*UnMSk~iaEbhB05ZZIu8a-zXW3t9a zja(aP|5Y-DBEKfN#D3&xUaTNsI{#n|l(7t&m6QKsOjVN>J;BGpO?q>PW#cJC4YQ-Y zw}06`QeK-u#dl>5xl)#*D7QxSObJ2eq?>{w?#@)~S=H-Mr7T0~i@Cc*+LRy=-YkWA&qf9!JsYc1> zNO?~9BEu9ky|vZ|+$(B3*AeP|@{+1jJhQ2)-AKs4PkFP%)FC{)H%J{rHpF2lb6zVh zHAd}2E6Ydc&qAm!U%G2|+lID*@qNz}*~7K9bFQ`EkaR~o_DB>r@yoQ%r%uhig2{M_ zN}Bmv8AnEI{G<1brCe33^&8xidL#R%qJw=I4FE(|ty}JWPhUTW{RBmyLxkk>-ksKaidawx}9q-Dm;`h_;-GzRxQFe-}Q>pdk=Cc}*9 zv{bA?NI&%$o`Vnqst%$WsXbtcn}d^fejraN^#m$JV$5exYwcJgXgGYbjP);Nh6RYQ zD}In86jrB(*+>Z|ItOQkx82@P&J_<>zEy}DTsED^VqLCl#Xa+`1(3BgZj;|0Myy+a zu4C4U@(-ib_&tE&u@Jp_spHvLPT9;&@m^f9Cr#7Hnps3h6?uQs5hDcmg6g5lXvWk} z@Ar#{#tioBb$b@RS!T)|1)$uY5=n_8^pD4Qb;SbQ6$$C`Q~=K7Ja%*yse|x?kB$w7{mr_M60KzNkg7p(UX3Ve{ycXBeidq(lYY!3T>= zpLb^^dZ~(&GIk4M&ykZoW`b7!7rlUmcAYrKPYIcgHek$x&+NIzIbx%7it^Sd2jh3V z+$haxHA0rJ(OxK;o}^r!cxFL zP8~&E8w!xcX!V@jth9Ry=jj+VX6LI1zjBv2N_`LC@2eEiLB^3YLLG4wllFb|n7#Kxiur47FY-IeovZ_Te~d3C z25vm`5l6=F0XF7*ni4JOPz6&L9>><;7TOOEHXQKBqgXO}gSQdJJ8*Fgfm&utCNYOKI_j#z0b5fWHn6 zh)i#lR_l|9)aM`Ka)>y9w|D{H_Yul4q>r}d+qM)v!HsGa`YapA&URcLGRbWj@Kj#2 zs--|~!frlM#B&7vYQ|Kd8SV_jOV{9dmvJOAv4$^Eg;v;yLDbF~YJRh1_}KcLl#fij zex$WMimxiJ5-5X&ApmFOJSH2kavF`w{y0ovti6 zPmK;{U|H?uJHIhEdG0ZGkdENTTM!s199jYo=?l*wpSNKA^EWN!h` zK9Ug-CEoDbf}&Z9nnYTgC%x_XmNb)Dq9~9Z%NwupX?5;=N~W=$;0tG~ABLc@lv1va z6Pw_|hV9|U1aY1!2VpnK;);+D4(B)8$8S~nPa-dscR?=_dS6H(9Z%I=BDEO~N0iVf z(d!tNn-VXVg>^mb4N)q_n)$zYePODB2e|KtxPQ6we|;B$Co0Ogl@w@@Z7ssVEAd|y zss)ptI_#t_km$r<5a`e*N@%Bftf7aXlq2Y7o`#bcPx~UhC(dew(Z%KhlpoZF|N99&+Oty)3q(cLCXPBzUR3qPh`cQ<&WDT zGbR!>8%+Mfzz85*F(8I@%KmmTZP^q`nO?3dAKPxkm6t=3qd#|vc(svtpyod6nw9vP zg~q6y69fJ6i(2JnRq0cF|1dHs4HScP1C*Y!IkKZU1WBFlNYDhv6b`=NVL)6jDF;q~ z14~ZL`_vy#&hv645;-Q8=8z2|H=qG!`k7`RYEk(&4+juYZ(m|2<*^bu8Qlvy`3^cd z8#1~7YGU6(uu{(wwnZS_CtR!OW&AFbry^s1Km)s$5=|y=^#= zWfUE&B**5s%C7b;%zdr#8CmJHej=cOE)xW@#F=b9wZxfwxKm|bj8g;;FG0ZPh6ObD=b zW|{m|kN*YfIEju!2I3Nc{{0=jD$JiN_5gZqSb^rj&+0cE8&JeRdy(bdhlb{bLJk3DOv1 zVcR9NTvHXphzJeGs9@*RE+T>b|d8 zQ{e^L%k^2l#aPaH=Kf^?F47(e?Pxy)2s55B!x-1p;+K7uBJj!mdf+QW=v!b2PO zNrD>534X_svXZJXTL^dOi4`i)^9X6m6GbtbgwGI>?mJe+c*rmg9w#L;d}k7dqvX+#X8>eBkg_p0ULaNtt#{ zQH`f6iCI@3ki*~%O5pX@WIWHML1HdmtP)UbL;5swaQZ`G*2YGOxGT)gPgY8v?fnp( zXW~djezDlFT#h(_q<14o;zD_Zi&vZ#OXz3$-=CK@TWoAt4%JP=RQexlk|%^-y03Pt zx-4d}&Q7@;h>;#`w5ff!@{Tz^aF8hHRPc~6yZ`ktORe#2Ky9iKXj%P05J6Q!6Kepj z7p6sGW;y*qA;B{9*Y$ejBS{}l@z#k_;*}^Vb8+LbC7PBFdZH>c=H1}?Rb@qE!2XTzsU2cMXms4W1c@UUoq=uD{v!K1#i+%WkD33OL%( z5u}xfGX<^mG`g3)r3uo1zGqDRaXKMe@fwRm`n=*`N~@4xg3;r)bN%&AIvo8q&J^XW zCn;$X7Oa+dD$1Pim@`v;rKv7r)WPLUCz@KHboH>z@hbP=d#WMAYDB+#{Z|QO{*awA zX;uzgeQv$7*^!co_VB_{WeTj!=JqbtS1TJH z=pZoCL)_=(5VD^(8gQaeLJAp`*`~tqRcGHCcV1)RTTvQLEqTq5%@r%)RXv4ZXbTC% zW-GCm8)$5yPxxAkNR8+%AhFpH!R7)>3t$f8*Yu1%D%Dph@^~ux(hlX&3d`{d`sq9~ zC}!rb{0xcCHy&_;anMA}t?v^(BUiQ!$5#P_1f1Eh_ZM)uLr$Ssm-lcu+(-V6*Q=Yh z9~1q0Hx3iPwH&Rc?fWUilQX7A2gxj!S6s(er%2z|b~Tw8{4CAXQ47w9#76c3N5OGY zA%*C}@3Z~m%`KDlf(QvsW$5K}%kt4Svm5~_Jnq?K?|fLdgI&_^Hu;~j=8Q&Vp|r+8 z)Hbuwa%P#!)E%~ROwN4~$TFUV@eLowp2|{mnJ(3ModO2u}H_7(6DQFQ90A)r-K88AwQ@cYF zK688K1x?V7d8!yn9C8?XPB$sPY?X@oD#?SjjruZ~?)X3Jq~Ckifl@e%>I-DGQgT6Z zQOm60s${t2l$P&1SOIJmx+ErWk5u{78Spp=ieO5RWo8KU>?EwBRivkD(<8sbSAoMW zx%jotB!ynNtZWYoKr3r7xdj}~AIoUtB*5P*rFQ%72#oD^I#e%L{*vf7eJ zc9G;*TsrRC!p;0u@F74BCA&CvNv??AVtji0kS?R7qRXXNKn^4)XV;O5!_ZsOm7RRE zDF?DSPeaXU@pMH+&?jQc?BJI#)v@a{R(EYncYtugGuk)+%MJ`@%zSb_u1T|ANeJcH z?=8VTA0wY!vTG7DHCT_cE!>T?)Z z(C-e=evs9h={V)jqiGUj>{=Q1{YBTM&xpBRvhSb-u1x&_*5UN@Ib=wX@0IfbGmheX zc1pV41L(>x>ClzIjIVTT6VA#`NeugR?}KN%=7f*SO|Fr36-D^8#rYLGltt7TeP)_n zXsk{_%P;_s$;B}++tt4hjMp0cJ`(+D>dpt~YlhRcoDxWKr<_mQmtXHrz~zd)Tf5Bt zHJ*sK;_%d#9gzLHW*>(5yn-%Z*y86VD+RPGzI|mhLNs3Ffl#h+t^_&#J|sfgiDg4# z%+~4`Ba*Qf=UdugMfiPh47;6*s~Z&vVwetcQeiT~U_-hK;Vhd9;B#VE8${E?Qg#tJ zK=(HJ&J6Bq%kBIF>MD0zW|_r%xnN#!v!{j6J16(Tp$LGG6s_;XAR z0Q-*xA{VWl$~%Y?@$gcSC^L{W%t1}dl2@Oe1(-s5+-_fHhT$mlp>?npfJ0KwQvu|t zZBSCpUw53p113b(wnJMXYtBT2O(aBu{8J-3+NiYQ&P1qo#wP-q5k&CXMcL*LtnWc5 z8E|M`MMf%>v{j!2qD7z?K2JYGPBp(%xGM&U%*)OxSRJz4RmSM^P~GMb^%1ehShZ5E z;*RiXqyA>==%{5sQP+nBwo7qYEy#@07X%Yw3r8X6doAC5onZ~5{rb=Y!f*$8VZGLr zFbd8>5N*|0s-??aNFbiMNGwz52WLw@%dfc!Lk0QXc8&WbKEWdH`C|Vi6;#so#Mnl? z#qmnVY~b*(8LyaGa1kF@dWv_Z@YkM}g`L|Eej{;F<# zT5`q-XDlFEYEsQo?zD!9!e&RcSc$||5#zwIEc}rv$xx7iHTB7PCjm!Q5zo5SVrATh z3>n0L(_&2WGk^n_E+}34o=m4;3Syv&Dd3ZHr!Sy0``wL8<&2uVAkT>HO(rYPtj`Z6 zcWjO!rq1&7lrADVdDOZjwN48|EJj3+YDIaw_Fh(f`GCPZ9#)RIIQtI=23={ia)<&v z+r_N{2LFn4(J6~1bV%t?4*%t^43=u^Ah8m&$h2e~8U$LvqFGPbvWbJpCV4kci#dAU z1*f`yFp*P7@N6itNxMVYSaw>e(RT>Bk}d*oXw6)dJ_4`rE+?L5+>x1JDrac|+V^!B@4loVFZpPJByf1SN$$+G>Q z_>|)dw>;2*>B!(Tc{Z!{jt!yH@7}fDCLv1a{E6C%LvhDzuQtUS#WV(%NF5GI3-N9dMAb14@Ej-3EQ&s1Dc3av3N9XxaC_HW2?v98s60K$YScNTVM) z#EJ62{%!TP{2GeuN^+C0!4FH1uMKIW27`1lvvp}VFoivU`5Cz=p~T=2DQNGaho3ayP?Qt z@F)AbmlcV4>p7)RFwfB;aSd|d6#}VMZGG=xp~#rdxX>tjsFLvkVO3_*L#Qo-t$$(G zuNiiYYw2I_O%j!3g;VVap5x+Im?1_*Bah*@X0r+>oAaPm>#W3|~>W_B@)hHrP# zv9~HIios2$vMA=)(Xfu3q_Dt|g0-2L-@^=%s!`osRk_X;jO10$P(+}#opC3O9~6I- z2lbtRx{(XsPGx<>2ZT9oF#^~lR*Goo8~nI|fXApoQ?V{YGo92SK|&~OBdvz^S1d6~ z^AgNWrJgF05d50|kIff}XUz+V^#`yuiZUX*k}jMC^2?+}o=xX@2jc&L?LUPEdA5W8 z=uv@WDMY?SjOQE+i~mWh+LdHOZjn{PvHs@bsM7uKQGNc|jP&R&I)mY~R=xuQBP9J} zxTC`SlRo@K!O~RMJ|ddXY%#7r`#;394gxaX+Pb@9ye5^5LD$C+z-AjK9~9d*foVx6 z(y!7Cu48vv>rCyd@E>S9(pDC(@|QYmPog}Jc{g6F{o!Wwk7PhEmHz{*8Lt{f!J`H@ zmkf0`yN9odZFf@GlC;I&hCaV{g|Ad34-mxZMQ+Zbs(Lwdxaoa7mvZurE(S;CA^>)F z1*YNM=gCK4R^YrBO|hPR|@Zu z=u{(g#BK7WIaL2jVkh+#)=Sqo7nC5dN2^OoyL#$1QOg{#j)ORbhCW@6zli0h=luT$ z>G<(2ecBBq;t=90+bZuYnPqD4QB+B+E>eC+;y~+|_1K)Y&>z!8|Je#o#T?#Xmvw>- zX)}n!zs@1D^@UZvB5!@C_oGzNJePLp^PvOUwnqP$7?#pN8A-N6`AyHkK$O*u8XL(A z+3QW9AERQ}#PUU8v$dB}c^+!{`~KoF;pTfoS=fI^R2{7Ig0lD!^$uz8P$PR*!15Z> zWhx4H17dOfZP@t4?M;*lHmU#HMJgOKlHH*Fg6uP&-=KZ!(DU=U>PY2pwb3_R;I>NC zq<)!A!F6BLxB8E7H_|r9vM;~EQOD%&@}unO51SxjN2u6MA6RP`(svlRgKMxn?FI)h z)ZHOW!3nKHd22;y-5{<@ALz2#E`YsAw#pn^|F-!5UO^JB9wOuTRp(FVS72|iFGs9rYEFyeae>ipcQS^-8GQ*zT;IbfqwVA>1dmt{kzJxVcWQ? z#CC!HYIt4{m_uV3cz&5|AV8&fhtvog zw{0>j(D!`h1(Pw%;00}2rf3+D`QelQubKom8?*dbiP0acX#1Wq2_UhKmK91#TK4yE zY~dy1v}~Mcgk{<3`;;N}5Ny4dJS@Nmjh+l6$i*v@(UHRdz4x(9E?~WMu9@=8cXtWX zv-@g4ym6&;@c{%2;iKfmas@jq_>)GwJ~sqrbbM2YO|}!{L%%lR`BZ;x0z2JIS;mpv zAV>oEW~9=3%Eb!C#u@k|y}{-D&zrwD84S?g>FSgIfA@VeLK!?oW0cUJDG1G}y#-m2 zuvTLC4n3X@5~AF8ySxZrU`m+%Q_j$5vC7Sw`4eeWJl|iOTZx!D52P^>VGsH-NLsH9v_Yvo z;}OQYj@&dl>oo|6ggtu0D*u+GJWjU}Fbl2-y#%YCch`bOj8*}*is#a`pev>m3WE~4 z>3@tT5zFz99j}w_&0?{qe|%n{`j84@^Xi4q(Z6cmn($zc6jDsZ{N&Wp4uX-ABBcZ5^7ds0 zq;0ox-u)G_jSo~rYIZ`Oe(!GJ59tid+ALH!7LfBj7$K$URtrUkc%dW`|2wX$7pL(( zhg989QF4#xR}s;`{$tO&-YX_9S_CH%(Lh7EB|DK1cwRWBe+gQDGQT1usi29CM>-l` zM@r}pvVoq_hR}*VM)^4fS^O7Hmew*;2|tWtmN9oZ058AJ=}0@WBvre{;c$)pwCVDpj#<-G0&eifhrSlG+hKJwdPV-C{dX;%tUSXmZec7{Vo4331QzLkI-0^M$mJfXNEFGwCdmt%> zVa*~-wX=+pH+|Y7$khSf3O2zF$^9bKz(p3;^45(K6V#bE7;2i3r@{AIT|dZvwY56z zMI7=K%A<>13`=dk4f^lG!f`Wo@hI_gmUPLYS78v@KQJbwlbh>w#4D!Ng~?je zgx`V>9$xxhUTv-q8t@Owd2BfVp|_+XlH|*Q3l|RZ0J`3j69X^&qcX2nJ<)8;jc{@H z91KKSgBQQY*(_zo+4rK`aEPGr!T~QNzVYl0nT=;8`PhjpM+LFZxgb0Ld;40kgOjj1 zZ$Cwi3?{}9(Y>$07y7G72_fMrzT5$<@;FQA8;mq~?POO9iJFz3a)%wDPdGDXFL)GW z9eyrBLBZQo$-amCD6trSurXooO@PoQLIfLXI2gNt{pbZba6B;b8}y~(MB7Miflr?u zHubF|VPdw&*n=gV4;q2Un%=LHI5uk>kMz{d`zVFE?9fhqzWK8-TRvD_aOQQ;3g{Q2zNF+h_bn zXI(iWQ}D^rtCa|_32x*f3vDjoK%cL5fYFjMn{jW;GKi@mHbf&R{zjz}wVyQ-cH}=* zGhfN0mpZbid?RJ1pgkl*dNdv9SfmnTK4s8Vpo~iuL1CZ_FZ9*g_3He{roc%EHFydC zXXK{6i@Wd~w|b8V4AL=`DfsuY0cls|q~#X=&w}?`z48%(7wTb%g(~CE*9+1rK_f-y z{GiLHfL;X6G?V+kSUbd=1^=S!7(@2R{Lgsd3NzN6c=JC_6p;2pUs`(!qX6W}x>1Ep ziPJF~FB$%yudoruFHX0wa4IO1Li6AW|4H5o%4_~CCNePvCv;$}RBh5chy4MSDdUyj zoA8_KzD`1bgI6zQ+lJYtT3FL#VPbT)Ux*9ZVsqT76=K>~6#7%S3AAKNA7LL+@ik6U ziY_qA=WpCa2+@O*)jAgKhkOF~a#c@lb_Y-wAoQA>u=a>({YxFxl@?Y4fxA>uwu;*+ z@f&{c;ljs1nWG;et{n114zGzME&js!pDf2V&B#}QPd@bCd*5Q7L@@iF&Wz$x>8*Za z4)yVe($GDbu_d61hPj>Wji;7|j1TWdQzQz59ap622-o5ycp%V0RDaDto{w!B5 z;6Tj_xJmM8e+`a4{Ks;D(LL=q?dT@gm9Tq0?YLlfClWK9JsH*(An|MZ#69RMKBgn4 zbnxX;uGHm41?9=7G{NYlj``ExrA0AzQgNtbMcGsB`1;^I^XKMoQ$$2EY%(;XZ(^A4 z!}RJ%E9jHV%P>7W7NlF&{X5Z-3MUAG=%O26fT<6gXh@!Y3NCvKw{l)hF~%XGZEEKp z8*nSpsN4T)L2r5@i*|F_wH+eJnEhCuD>5rLw+56NF2y%BJ`jN)8-1oZr_$AaRt3gP zW-EfrheOV(At@Fa#oyJp1Y(eD9_gp?yqna}zlUE7CK6uvRBt)9NF9V*oe%aIWfI{e z10HN640OtizVXWm7fPaVv7WG5ZY_P6yU*mBGw}9pI-?d3>=hIran$W7%*}V9EQnJy zo*{`Mm3Pl24%$ zqu)r%r%W*(eo6c-< zsx@})8TX|sQil>y{c{)AgA7Z9(ztE!5-Hms63~cf297k8O%*9iFX#AzL-WW%zEB|n z(n@TJ^(9bCp<&^)y3Wir)`_C`1v>sEe%R>~<~^L7^^(5Mzi@MLE@a?XIIGCI-opD? zd?y`i${oE%Ra(j@W)5>0$U%4caSOSVKI^l)NB~0SLp4 z7=4M_j<3`T)fXSr<-Hl>>J2pq9AOSb5M^dN|d;dZY0L{w=CX0I6S8<^Km!r}B3I4Q1Jk@V4k z(XN!Zx=(}pq7Xj=f1J4vO5wPyF5whr-2M_4=>bAP_?Z>{%P>-j4=Ne*)5%HGLNvQNrM!!gg5 z(SiHihCyS$i>Ow^E>D1S^j>||^}eyGR^STMup+_PP{7dj7nGuTC}Hx9DGbY`W6lGR zOFz-0;RF3G#+j%RCdgK%$N){XoY?-&q@aeUeL9KMQ%tpEw^YPKo89aSKhg~)2N_$l zWA*S8z2Ya5X_y|?Nd!&+KI-Nrt8fKZFJ`#W1zVBl$m+-a`i6pt^ z&?EM+bPI{_QV}2tc)tr57rgi)Lel2h{z@S#wuX|&oGp)JNFR7Cy}0OC44e&oG@>u# z(?xzMkNf<~yF@KoNe*a^_=x*^Q*y%8#Lz7tNLQg8cCQN)V`&o9BKnnX$P)GrWd3a~ z7}QFl!6vrCXFx2CPmm#fSICh!7nu=z6LO#G)l=S;Y2{BN_+%$y6F;c6AY6b+Rc>Z- zqU;ZTA;I_!w8fSbSDH&+b2h%5vu%%3aFlcGpfDdP9C(W>#@$ zz}80~Z};7e*S!W3o?xEx9Bv|+zuyr3raLK3B4W73lq2Y{YZ*ZTJ z(9Bxk0mRWbC@DEgmR|6agGH^&u-4F}T_u;#aR}|5T=b0uA!rT(>g3P_LBXju|9^aYu-|>*I9%;&fOv9^k(vMU|!O zQt}*HuvO>w7J3p<&K$@)7{TXR!Lco{yo;kKQR*w19hLsjRb1iZl=|B3)PD1m5@gw= z8kV&LtSqb8d5cgt;FFIO;0sTEHk1EQno>4Lm4c{Hx z1fT2FY5NLqsoS6qLyA6Te^Z27%3(-9lg|^LwSyxJdzMw!u8l-dB;SB2{H8pI6%PFn z$DsTn+QIK}8%g^?2<#XvWS<}&CDQPO!4w7QmVdcCrHy2e%h{x^zFZ~S>rZ@}BK~!@nDcq|$Ub|BPdbs>n2{ugbgUho97<3HC ziwic?FsD*&E3RV81UM7#f9nJ$%`L5N10{#vOF183is5Ga$m$D^rf;ioForvYg)&uz z-(Q$I`ZSW%JAiprXv4P^2K6cQyaZsWZF5B-A15AV2WF(yU~nS)VLd$`uHlv@%AUFC}|CzDz&sTyBm zj+gRgGNgMtzG>oAFDc|nlmQX@)XYiySf7=3(!|6~bU@ACtOM*@#36tBvqY=2P$h{6 z)P$2l6I|5fO`?9-y8ZS)LAbY@{0DfqMRgADRE}cVe@qC*U>f&J#w2!K;z%UFcNE3;Y%x0{dhKhyo&MBnDr@xnRc1xGbg93(>%eYIWZ@^Q%$E zbVW%6tbja#fjB!WJ1TiMJfQ|#| z^tcE~u5V~VA*Acul+ zX#<^n6dh>FV{1SQ1JI=LDz+x^?^0U9g;(gF~8SMXhM*1nfTQvW|26?p| zP~<;Od**W{kJDGi3TH~u=8YT}KB=^ZJLimq+EuFUix1!W?0>^2lWMZRlE0dBn#ORj z(*k2^&wdhi`r=_U;ZejiRUpla2*p7!N4K2~*QU1|Y7(Zlc)Nk+yfFD6z z_P6YuE34wEmz?GUuf2{)xOHsJ7g7cOJJ>!-x7dl^KY!z&iyax=OZ%5Nkz8*^HG`BvC!{0dVVYN8a4vb4^kY0|)$_u!k~GEe#E@AqZI zvetm=R~NO3id-a+Byq8<{suZp!rYat#5vK5+lS*-=)2^h&d>hCV!o|MxBP5G$U_u+ z{=mY^wXCMZT6rzuCxv83c^FKv=NvAOTs*3}%xME}ms@nb%K3^egoQSB!wEcvQ^Zj` zVbmWBKburY+gWhdcwkdK_h%+@$hSAQjF7fgB;C))#ZS@BdBicDG?TGtku)mtz`Ilw zi}fzY!r<@Ag?87(J(1OT)>2P(A|`fOQ(D{#`Jt0hRv)`82riW{-gBFR1^6AnzIpp` zvThjpRQ-h@JL$$xz*o?db{a!nVn~VH*%%vS$SjfQZxLL^oekM3vmRXI z%8@H)V1@NrZP$hSkb@I4Ea46s!&9ntcjBQMSQ|^3Bt6cV@cUVqIT1p@?x8h~VS)#+ z0n(czau+zN@Y?K=pce~vdrRXCz{QTq->Ph?!k52QV~SIi#Qyoj#lJ_O&y*)<{5k;* zFe3bo$7>--Z~KGMA-BikN@Oj*Jz{1E#Q5n<22QM)BMw>gibG1xUJMZj;`kT{CmIW) zDaJmcJP4#+jHyE{J0dl}38o%D!DV)YR>x2 zzX6EITBPc8me9ykwgbFa+-XM8G@{)QBi#GG;&NOBXe+cV>Gp>BuI5j!}P+PDkw$Y(oa7{@mTdQ$;F>kqFp26 zhPZm5$i=4?z3qR8;T^fDkJ+S}OqezA7KEp@tq_U|0#>1be`Fwkru^P3L=hrPb#6RumX~3+Bw${WsH`0%c6P@k5e- z-?9Li*uVUlCFi>qzlGoc5ukDI(f_#P<%R3?LP12gM@Xdj3TZGEMn|KJIP`yuNmKHx z^DG5}pCpSkS<`#(`xzJ$eT!z}|KBZ4EIWZP&W()lw23feIq`IZ+GE;Id+ z%7CCPPe#g6veSV+YzgF_sn+EYml%p^2({XLw36^9MXxkA^J~+a^Qf}&!KKiEk5DsO zm?P&r8sKE`YOt43_b1nv8$TTuC zG*P{M(fK!Bk|sV0m;GYA!ZEu|K8dx=edtEigdL~uBt><6-56p$`a-9PBf?J11P9E} z6|w$tC#>Au^em4p;eB4nc+So6>-U@0dE_TptafI*kscJ;C&O>y#k%4oW5cxk0X)TF zKTkzkKd=0Zkav`uZSJH0#%-(bcP>erCJn1I0Dng5KZ)cSBZq#<+9Y>pLHQj?X23a9 zr(Bo%Z55l&)s&|)bagv`b|*dAGa`!0O2k!tFRQJ(mk%8Qoh|6|3daPrW+1LpD%J5C z_1Q%ELNXx<>?g{&eujTNT6v4`z&p9{b9uQJyD{V#l~(jyy=V|?m+Dlg<60nt!zrfb z1D)pm@NkAI{IoEPeG!u$;>!BB84_wl60KG(egWtR`3kaxZ|1mj-^eqwkP80J1uP+T zmJ%zqxA!(S0$n$@2?XJo_Nw{dt~~7M5BGP@|N2$x3J#Oo^ys~ERum)9u-AixCUbYr zoG}L*;$u*OMie*fIy)?1uOHglqJ1tT(4B7^OO#1!t@O-ib9??b3imDw-X-z#+v6AW zJ7+(Za`1#i*42ClewwRW49`DSjxHq0U86VOnYj>kmY{wYcf0}83k*m+#6u~R&2hdW zoUA?_A&+B)cpf^ANUfN{!QY?y=V1)Oq{?07^&OYW`M+Ij>d$Wuyu}y^@86W{f$E|j z6@@K?u0B>+#z8AE-5Oce^w9K??l`?8sl~&t6A5*v(iqokXToTzsQpFXX9;=#Qt+A& zL3tH;oG4`c0}8X5>&{jGjAxIWIhBYPdZNdfa54^J=zh&&7f^k@PLuUFbIm(=Vftcj!3L&Qj?CcDD zh2OV`|K3<86IjJh{$`Rs)!!M&W*D)9*kCR6rKZ&K6fLPuE_g3qyJ2YSQ$G(}xQzde zjutO$_pJ#+(^ETXxDyw%b1#_SGZdF!vC;v@7w?KESImWVvt+!oAF)yG8OVtU2WXYm z#H$;h-9}vVyZK~=^GLEbEC=kb3Vp~^ef6i^vbm3#)WEQ5|Im~4A00BT#I`q zO?TneZOKz2t)ckquYLC~oZolRzYnqGP=ZvWY6lcwhQO8mv}}VP;? zD6FVsUEeY5hWVGcD-PH`)my`{0&Z5v0Ptd&(ahoKkYcVC_0UK*B zJE@KT5`jYQJ}tfR*%l#c?g-?(EAez-kEr}oG%Zgj>Zi5bRU(+b(uy`(;j}JkjvQT; zyc$pcvr>j_c7icX+UZTGwQ6bczXPF@3Q*SL`j}i{4wqyUOvz4Tg)I)Jf@T_Qf z{rs$8*m_>8z&rZ)$tg@{4l_Vk1N;wO()_+n^fcEp#6BDeW$C`8>6S=B#IgkW7~2nw zz^csoIw-fVmodDWv8EX|^l(VA`LH8$UDOzoEXRO6R{)h(0B{MpzO@Z2teCN%tbs9! z`I|>!ZmI}0g&l*WH10*lzzk#_c&9&+ht{1_9_DvVqZ9E7q0Ej5ekWDdP8?V{qGSa; zQ7rn9Jr}GF6XP)nIa4jBs1oZjg}wX``Sh<1jZ7sa54j5~Br8G4XHyool(m~50zaLy zk)%!YqZFYwYJsQN9cZxo8Wf4-k-#eU#j<+N@D6kjZQFhi@Q|rB6HhJdM}!0G0bA`A zE7&YU)=oBfIdHF+{;G-*rM1t@SGQ&@oQ6G36^rc{EO^mI7i9_zaDL!`#Wo(T$aqRV zU9j?#?B)KYIKKS8s_96J`rMdNNvrIBc`5Of*n?rxHLyIxBE7MHKmwmTV8a7AoYa2_DHXCpNC8x|Yc6dza|5c3?1yhgFLQngwk6|HoLC-`-It>;i zzcC^J6X-U>1PvvucnAh?PTB~}8@2eBU6bCIFSLZdXEbaV4gavF2(9Lthc{{R-gd_@ z8EXAk>Ikd=#<+IO83#Y?3CSLYCFW5J+<#`Nkf$S3gjB+*JjN192Y>dNbK~Xc>?M46 zp=pBUpdV0b_Q@@)(Jw{0-#dZ896}%1#fn+X3yjAt1<$9^6It%chAhN=^p7;C8fl2& zQdMpXsd(K#B~{Y1Si=$D!>eH5d-hemg~rg1AV(mPvZ6;g$SO5Q8;72>$%fNYgz4J+@%PrMEN z0?tD*nx{keni&RK5wc}H?3JFo>>;DkWVC(>D50sSY@tQV<(X*+ya+`I{{VCweF&M= zAeWu?ZI`~_SjU@@PaKEPx+vqlV_#B71sejeQDP9;rfr`lm$Yq$LfFcmuY8cLC#X4l zriqX)y=M?1;v$(9|5&hLxXDF<8;`PlPcqZT0Dt31^3o>-oP|^6O&Kr2bNpSxX_x@O zRWS=kiHIIiv>fq-MSj57!`fM3tOw8lCZv;w^@V}8JP5%BH}vZ5IQc>}@FyxMaCaai zyJVN`Kt@$sq(~}m@Iuus45T^E$aRU)p=$VHOB26+{9(I7^vPU4^rYnfmHs8Glu*x3 zoAX{%Ayo`bvf}`9KoDN`eZH^TBhvDZ&lcz;+i=mq0bDZ6%IqACn%T}{hHj{xOW){P)BN_5t zC5Q0n$f*fT@*i_XY-%%s)8J+5D3>_oA-{Lch3iaNp*S?7n`uyP(~a=>ja3jt_@8){ z6WIY^M_mimI;-MhiY3R0A(YVtiFOOT;wj0)b4tv^lznGLYI3!=8c4xG0HbW4(QJ5~??= zYS#$mTZ67M5?^R zEyfri6jHP>wjpL#A+CKpt~vh9V|QafDQV6?QZ( zk#dV04Lz~CjtD|jV9&( z>sJiR-;J@Of(oP?X(T3rLRFwHcCE5SW8zh4Uh*w6GHckZ>4rfaHwrU+K030bAUz2S zR{Tu=(7OlJtEd>3>GK()y@WJ2`*n}vr1(yyrtD1e+CH++pjTBeK8g$zm}i0xU?P{@ z*E+;JbIO9D+x>{^$P29+KT$k1qq|09>zpvpXd_XCZLi?aH4}iS!cI&WSTzl#(T<=9 zG$=A~vTuYlIfa2HYJ3QAuKl+^r!+K!`C3u}ivv(>L7$z%kW#XU?GY#o0m^qpCb%eFVe*ZbkZmdQsF|2BqOlI-{gE=Bk?9Q!ofgNCQkbulzfeyHX+~7Y zpj06wl!I$9+bMkl%ErpX1~60j!ZVs4oE4o$&Y2?&|IbinF=X0fx=NS+jkMxUiA~~9 zLF+BkY5i6t7gh@2jRMZ=InNFTKyQbgx}Nqc1K1?nuas5NMqN;V#PK z5XjtRaYiC=8TD>%v(K;7SI;Vq-g*7-R`a{>`13DC(^yZz6Pkx7m3qV|DnMl3*&WmV z5Hq#InTz56_z+44<>(wq4fyHWrARK_E%bgPafWO{mfQD1S>|drTaDqzuilqq5(^rH`iuivu-@i2Z zFNYhyO=&nL&W|KUb#Duc9soyAz2#IdGuV-x1X$cwWN&>Lz+mo`xdP5Rmt?In20-{c!wI<*t0DI6C$6J>DN@kpSB|XkT-TP(L>#Yy{ zPzaX7&0+i&;;etgxZZ+3b1__|>(`b?C=&^iqqc~R8w2Bg;Pz5|K zL=^9CfO7tp}a3ED*!QwX2BYS8C;~5a0#JJkplSa&0fM?x` zXQ(BeRB?Ca+aGZCs?Iutfni;sK$EoBT{ztU(xZlge`9=i&tqv2|v$dNWyh> zpm4Iwh>69U^jq$}tGr5Z2Ai_}@dU&7R>_lysrFjZR}%^1OOyPWrFr;GEvfUA;Ya6+a~N!zfD`0rm*SH>=*>0Jv?7xXu=E9n#OlZ~siA>s(`yl$*@tna4iY{lse#+DK^X`zjGWfSm%9AxF99r;+XOd`xWU$-cPqyjE z0&F&RkWfcN27+>EVx}}cFzB;uSi^rb(mX`cuz`QjCgat#Afv>2nIXz?Yjx-lGop5{ zLiuuIn32o21AM>2rFobdM(ZTd13_BAvzxx}VIaE=-Y;T_Vp3bE8Ceu3Bx|fHoa_MF zR9o<8&PvvImKIl0O%Za3h$EE`aMYiT&G5`6YC|Pu$-IMN$(^*w#*71U!l;sv?OAtG zm_P{>HNo@ioGW5Kj75PJNx)KY@dg_ev7F`7T&uLW83Ceil$0-;+klKcov&x9T#ll6E(t*kw;TLMgXgs*bJ$g*u{uT>_^{xU>>#J@QBMT^I1?~ z*v%}#YGlI_G?l5)GE$c~fZxd*5m*s#dXg89UK5@0o*n<*>eab(zM|;9@wmNx);3=N z>@EZ%tOWArf0rkUt;KeElU=1>>{wzVG5-K#a@50;t#qnW$FP7NHks2}8>ImI%&>uTX`|&l2q$kj0lBFkk_u&}7@4R+N7u5b0iwUJ{Z&hr ztJm~b-ez;4gd`*Bmi}g*o%Wmh-s1(2H@`!Vv3}CyK9kywFV}+$DUhKaLW^@6-?o3i zA8%_sQv5(mL#HHIxs$H5nAr?HBr9_hDPdjf8=^VU5!8iPz17%=AX&T6Y*hPP6^2_6 z6>J=dgOI6zP4r$7&*!z;%UF%Mg-Fcr$`CXsa1KGT1CBLU>0L{$soYOU zx&B0)jSg5ot$z=u{{ibYfNMAQs|7ihsLW=DS6sWq3xW9u=Se=`Fg@DHjyWD(qt&sk zepxjbeVl`efMT{N`n>x%K_^p>R;$BG(yGDZULA3}@k#;<0O&_NYVWfR`vl*$oA}jC z7tB&#cjT)+9$V*@r9Z+VYS}K3w;wcpoYF6A&i_$|bQwu#-Jy-j_!%ig7(;rImdMcl z)X0T1;!GH=p-dsS$dqz%Lu&swg<%EMX=~zbz1rddIv#}^c-Xc!v$i;XMa;Crb zyitAk=##;Java5)|2Nzk%(^otHVv2Ojl0+quxFVsBGZ4?ooltT zMhrfUIhPITv5*H6hhzM~by>Z^=v_KAt{5iGg-x}ceJ#o?kI8q@TuJjUcR1FcoZ@bax`0&#Y5EEbj8k}~-is+e#x zn<5H~iuJSfL$ySfTy{ES>OXa zfS*@_4KhG0X6X6&9v?d2VRnP z`WzuKKQ0^cG)c$6l$Lk^{UY<7+a0iy1epk0xohP$St`^~Lc}qolgeUPumXja_B=Z$ zCX4KNWHwvL2=!5-G^A9`*dnM=L8RnRfh&iSZ9q^v4b?PT28N1fWUZ;{V}9pE;PT#x zG8f@MkQPmCZId>}(oGt8=FCi1e2ur7t#a3>NM3v5p?bg5NL zOZwli!-Py8@b4CJ!B4paB~%qEr~1WdwZk0@SG_dhRe|t z@DRkt6t)H&WjLCX_Hd`!F1i?@0Xrt+s*sa+Jvu&<_p~Y}nn|O;N+&)Z<-Oc9WPQ|% zNtsqTo5m7B#&rSu3l>GHviT^rU}mw9jK&_bMF_F!P=#S(g(9*;I668`u)PmQ&&0GU z_Pp%Pmu{S~`g!Fsr*XRF&*vDGf!)d)q!b?5OJa@z=YjR@%l46o|4+cqLOBo#qU zR5^_)PBp^Wb9l9@aQaox{9;29-r>Rbjs-Hy2Ae!BJN+@)q{#tB_I6@zr!i{az~lxi z=|Ay|t*+?W4x@np2#}a#R@U3bU=80l8Z(QclT-s=@eJ)}Jivu6ktEUk&6{T|GK8G(8__qd{RwqLCofbzNV0z7l2ziRn zYWe0-V;grzS4YSH`GEYh>xHAj0(t>lM2`B0AafqnOzvqm8D>+ z=I}6|+fZ|i`9nKcTrE)K7*mF}+Vmi(p^1Ok2w9ghL~qY53^mdN6POZxI+o^5*jL@-}voP4*MW_P&aK2ZiFXRxZxBL$5kC zF4o=yx50VVxQeiN`vV!Wx>KgdS{pMv1}bI8sVt)lZeROb&PW~`g@SC<{Pu_Q0|iQo^w_--P4tbJVX zKs&`HDn6Vy9V`Q!H{agB20LCILeEG-+c-f#tG^q-L5zL>0Vle9%Y|ez89^gB!QL+o zq+^ePSpsM7^aD7-tokFPSjvwN`@9gAR=PcqJG%&U5e9s^y;0p>!*}ZL?(H);jJ-mU zl_s=;grcSAu3tSqeMw#bR%l2Pz1dqZ7BA4%f|_SKt5|&qR3c2tCDE#X*Oe2=6@;pa zVIB}3aozU|K;m9%kp;jk19$F=YylkAf{TLk;Ku}M`qgQHm^g`V;2;dH?lpyJH}h#b zXZ4|URQ~xevkS?3{PBBM=l_&f@EAg%Zr?}z8j#Gm9=KL_`tF16<MXC^UKSn!_)T zb$EIal+?m^p~AK(!{?^7bFX0_@r&3Bn85=aAvgV|-f$SR?pYSBN7=BtW`T}K@09HI3*NE+uI}D8s5Wyr47tS-sdi5p?mWjWW}2 z8tHyOlS6r03>y?To@aWT?vxh9oyvP3Luzv6owup|wRqX}`D1A>$bcD`E^%Oup_%(Z z{t>Vh6vH~teeuX6UC0u#^m}fw2;0V7`^sm^+0u_TFkLoQJ4-UG_Q`NINo`0SPI_yH z*Yw&Hese#+@F>fC?4>Ql@G;W?eZQ@BAm_5^v_b_MX>62);vXq&Imuq$uVhCiLAuB~ zfVojX>6o3jo~5y%B4r(rE^P;Yk&BCKJPAVdGE`^u7t6EV-CFYEG2st_ES2^DcxQdD z89g3ge~Cf{%I)mx6NXTs9+jXz>b&uxt_1IHHDT?d8IU@`VI^Z?Cxk90 z1ai$kS)a4o5T8!z?qp!=Xlq`05)W||c!6xBwSsxdL}Ek=4uCleOVuMOq0#z0Hn5P0aSrr=G2qcq}}U0t`k6r`hv~muBra%WotXJ zPLhEOcT*f;urzb>aNMCKuBoxGaB=(A`g;Gg&DUf8{OYeNPcQ=PY}I9rO3|U(*yd$@ zomIbnrlS_{xK*E~4sEU2ci}3hSr_{~Z0z9G?|ORJ)BF1G@U3;Jix(pQZsD=Gjy36# z>hJiQhUnT!XY;S)US}rB9yBPAemxuRbkp`bgcgDnP$Ns;w@M`kao}eXF0|hqjJBr}S5M*kwMQ-5E8c&_MChwAE^`p)Gdv{g9(?`l>QHz3^6j zDW;mmAn3yl?=`_*wJF>F6AhyOWsQ0MpIB76`%f$m{hwGw2Z=>5nHlgVQ1$fbDIMyL z8YnIk1{{0XUhaW1139TPjZ86XqO60J5HSTcNUqJQwqHdji8RqbJ{P_mmU+f$Xmw2h zopBuHEag1W?B63&ciN_~1ZM~1MMQ1#G%~^~)a4lWo<@NnrsDw=u;cdgk*0B7uN#~(_ zqzI~NW|x%-RA>>XPvbOPe^lpTT+Jo>p45QTpQX~G)|3>7A9(95(AV;&h4TkdPXkY} z2xwHJv->64V%yD7>xmO2f>J`eIQqCy=X!Fq1dJ6Y3xU?_^r+s@jM=?V^jwA|=^}Kn zgD0wP1>KD`;hyUePqf-{*<}s(u_A-7#Z$L*nN8v3S|Ig+HGQnlolf2wJ~*)>r-yVr zW=`tzaYP1E6$Ds3pkLk~*6~S{7Vnq^9PQ1ZI2`}IRFv0leRym?`6?}W;00pNA*!_J zfEqOr$POJ-TI@mgYP}Wj_n*M3>J<8+WxDIGV+i}P!h*VTh9s};?xc}dymL2fLkEjp zH&Mgr$+Nt0&7*rMThHqVXq0!wjlsKBYkPWu?xZ7^EOqWBv#3=tC=~+{0PSg|xVveS zYMw}O^Qb|+?J2c!bbmMWp_I}j4r(BV=N6~~|HM?ueLxFbFL+>$L_2thdhJpvGPE30 znj&xt9@IfP)|%*5ZTSpmJ-#|;&m=lhCcJlh#LKEZ!qGauJZDLui@Qp%tz4aGk#@HT zcSb;9n?1}c=Q2!jY@fdG_{^X^V^o4-OG)0XNbZG4lm-R>NMNcVHH$ygg^;uo)PVod zeC+E#nk)Yw&7X36;br!ay}#&(dRIy>i9d|Mx) z5f61y&>Qk(&%y$NnykIc>$m5jv*ReAfqv*)u{6@`_>+3xuvQYY=2dSqm!aws?kq38 zC-pBTO4#(Q3ph@btMu85d-*%(wH8vHVNBB5rq|8nM%l^f&!I2987uYxHIUMd$D~QD zPy^|wanLY_>G1MD{S;FXFa}av*V_M=Ou`8)Z>o_2W&H**xe>b*oZr)ORehDnwD5b5 z?Re*5GaKAeJ72x#NTp2sEU70^trW|!6vD*kz(>2Aan3)nItr{Im78k)%o{o73j}O* z2+Mi9LXDQ`MweGzm&0ad)AEfC80?_G?sT9Lr<-QD;zz<*<&5|<;YSFv#yWa^Ban|W zn~BukGYOo%kbnM)PDcPSG5+FXL4mu?w5EEdwlO7$_jvvMV*-?*3oA7L?Z}riJLm@G zk-tH*DmDoDCoFKU5KGTz_Y*J+tn#pg75WF}2!UK3b)aw};Iq`b#v^)_GgRx6l1O9F z?$Z_B@~LoqT%YgI$P}*^=C6RfOMXM!I&H*7_-X=7GC+4UM3RQ7=me0idj8b!O3F&E z_i`Jnn=i+%CTc(5{YLb9L+q0XMxlU)q@Auxg!I~T{*(6CSobHxp9N|{;Qm7Qj{RSK z*MFOl><+jpMcRUR^ZLr-EPYe!4&!rR`O?+kks(uaM^s}3Un?A}{dbOSyAM$hq(!I% z)72+tD#dt0i?NV~F;;vrmHRT4333n1r#Dct3^UKh61Gf z##fPRgY^DM_qFGYkzUYSK;Cw8)GRJTsuM0R0|LpZVh5)*gGACph2OOiAU1nGNCbI^ zr-@%0zaTha3uqGw*tC?STzNotMr*!`DOP18;{S+&MrDW%%LQAvV8ZhjrM?36c(|Siu z7=E&LkDJ5F7+o@>bXxs;aeE{|@Pmd&{`?)nKRJ8G_tEzS{u{^9D*UPB&2;6NPwee6v?~tKXJ-%n;?;85VH@{>c z_+|y_fis24?m337f2xzdPZe!eUmyOI>VrV+xU1ti0WSn95UKz-xF*jj!=WVmcC_NT z2e}q5dz#BC47nrKAZbCl2r-4j@AeQ*pA{BVH5Ah+A6oIpP^i?0^1j_X=tsf68F@nCb(8JGN)7uJYe!8cG}=z; zm(CK*$yIFtH|`7^84WgL>fV^{b(B-DwEOUUvte%&pk=V@r87LJjECZ-tY=zd7$|va z&1ruK?8x<0VMrR1^n&8W|{V8w^muh*|4Ab329Gf(e(XoLu+$Gu%xwKH06Qe)n zoF?}7_z+>)mov~+`=4ekXY!}AlE}X(%2UP9ub4l;T=3gTN|g5=Rw885wH^YMV1JXb zJWk+DurR(V)xIABPalkF6xGn_DvHDCcc;^kHxzpJ1>|n#kfe5HOWz(Z2;oCghjC zOD}{lE`56p1M?y8v*fvj?rd}Ycs6lHmkR?z?7<{B_WtdRj}hanxv?CSQJSGgL_5EZ z3bv@iiN{Emp}M!FzzSa-+(o+!LBHMz1I-bY!W&VXFy%hM0!)=s8b;$fgfFD69F?sB z4499Q<+JHTLBJMFj3)HB1+h)~AECAE%o$G(Lzt)Lcmu&Y(p?f{_0=Bxbgs2EgGNA} z9v1=xlGrEjOp2&8n{3x8u9OK zt=RXXwa%bW=mT~a#roQQ01!7j1s#C{0oBQqmL%2w&q+w?PKIZWyH{w}{nP}1^*?c5 z_*_DXuKFn!4PEm7AsJF5VV1bllzdIGhp+qS@KE4-_WYT#n!P~U{wIGzsF#etJs{Se z<#cz*8t&l@|8Q>*Tqh3oNAKD3m%B{8Jh?wWB8VR;s2*Q}$U~*%7BtcNHwOb0sNLI1 zKsNL?op%{bI2lF4Pi+j~!tEdy!`865f@Rca4v`Y$ifByl<46qen!jV0`v&ER4xe%K z_N#S?EsCz_OK}&jG?9Rl)~Ewtt!fm>wA|^Kk=9cbLHb`7g}6())IafC>G9%}-jv4V zr_Ep6QKp+<{Dh+xAI?0e4&dRyQ7tVC`{DNp%vRs%Rmi-}aAl6}I>AS>HEt+Pj>0am zbu%Lx{H6I+Hj?->^vp1`P|?Xy>$n4XY*S z!yNvu8@u=Wr*6)pj?}B+bFzwfU1PgLDpsSZR$=Pw6%2NcGb6&Dp$>xJz2qs}G`vSD zjS+NxB#f?rxAOE&J<5jPE6>lXsN*@@81c!V`+?%9UnAq4YFw|E;s|?=^6j%l>c@IS z#FU&~bVHv>&29G=V+;MjDetX>xUA;*6^5ayb(?F~k-L)S5dQ_-9mdcc&r}oN`K`c3 zhJ=vaUTWT+++ACpfYf)|jol`cHhW6P2%qFnvUivt%997Vmzo+~bp>ldgq=5^)N<8mj0P57tc`eXnfe}8u9 zvghL{B%Pd~Wr(wnc~_LBHw5 zOuO^jqz&R_T_y4NCmkUTnf(pepkn-VIh|5-b#|uU*&d5xBOI1g3Gjcnt;2MOfKk?M z&d4MijD|R2=nZ-!YT}7`~iVQMt@9ME@&zunCNK zX*G%3>pP=IK>I@&(j{N0QyBHwrg9_ozzYr5(G|61=04gL@gAzs={|1QkJ(9-Bjer0 z_*2LmJx+5{S(-guJiVkfGs(6Ot(eeQ&UulctTDJ7s!Xh|2#yaA^uKO*CD@USp3&0p z>s4u+1jq7Gz_u1_+6iASXqx9dk43U)XX2n|9ktjluNm)o=aEb^ui-2#^JFKU>*lev zk3FLQ0v|iL{{p=NEylAQ&qXqH=g>mh(KN_?@-H)zUI<_~2G-fnGrfdgd1OR=_mxSA zKkM%aVt9Gu;dbD#ScNx+W}+PL`4^-a0}8DNf#OF;u1^9G0%(Mcy(jTV+RcIIyDp_f zez3pMFc}SMcOin0PT25|Cl}m2ff6qQTimDJlV;V=XK|PD<|A9GLMNScjcb&7XN>_m zY4v5f2wssV?Z|%lsAZ3p z*USyTBj0Tilp-hl#Rec$ldJ{ZyP*3^syh|w*1E|ejg7Y`=GYZblKEYOh5i+!*yh5> zo|HTm+UXoB8Ct*Ru9G&Z&Rd_e<5!vK+({;!hxZOLuQ&ozTWbZ9|KC{pIaql7{v2%m^*V!&k5TzN zJ@a+mH0e*;`2niM;mZGB!<;Mcvn_0!o1&w;ppG>c?iNd7yr+#(3` zoyu+X^Ip6Wc;BL9rwq}8r`Hv26C_MLX+i?Rt9{v)L#w{Fp{r2A+%x59TJS=#l|hxh z^XQi%V6u{~7H9HZfX3_ies|Nv;pxR%_g#NAQk5>(Q+bg;;(N+v{CfE*+hgYU74ZvJ z!@8z^`$f)9NDj4$Kb-&j%BKAPVa=eE`)ZK%8iDwK82tZu&|&3!jG)NTX8(46w${wbv$0=cwT&w2aAG6!~ z#*G&LPg&<3)O6Cu@hDZLh|;^F0S^>V2p;7C2{;rHI3Xe(#h`=$7Z54(Q!o^d98IK# zBY;$?3ZV!jpa?>QfT2l3lVXt22@oJ})O&qz=KW)5XJ?=Tzg@K^QcoIIpQ;ppAL zZ#LoMJK=6YVYxLe8;(Efycbuo-q-MarTJRv!9JR=>6=C~D^Jer_gE$yJmWNKE3{e*xTZ$?4qB4U563UhG}D~}#|JIvky ztD6))a&+&{xH(v<(z0c>R^a2Tn02~@4EHz8cK;8vl@dD^bx__}+S{HXr0t&H%#p&h zca-{1(QY*5&fyn>De6e-JMFoegnCTS{CEBI&z7dtyq|qCs+RL?0 z=>L#^_!g^+=vs4?S^p8KbUTDa=eqOnVc=uRb8Zw;rNt|v_kfPx`2TnW{sGb=s|;c# zpZ53AyYbs^IQ;$BRlyXFs}`=$N%k^ej)%UePi$6wAac69`xDHq9PzJInHs_9em^^9 z4$p6*ux=~hza~PQ7yg;1XUPN4Ss9kr#=AN&pXIX8>C7DXrdQzlb5e#|A2NzuSa}XD z__>JuLnr^_r2bE}!KKe@Z`|1P%%Piu@lF}eUVrdp$hwL%YL~zIESSDnzl?Gm>_|() zSGL?3SJ81>t4YNRZVnfjz!S0OAy3i#Krbr z44+sPz$^^Khapf9rvqh7iq7eO3of|}YY&EHI6s2lEDEF2Oz|*B7q1|+lgq|d;qd&k z?4u)U@{k8F)on*k058{y20n5!&Pp_ud~x-lAvt8%5~1@%pRId`;MTPn>8>J#P0G^R zXs(zI;G)DPo5+1x zP;+)G+VC=zEn&kzgQBY139aHf@`{JQ9S;o0A;E__$AJ@_6nndEsoh`wJKAJ~s6M$| z*|GbW1w`x;=LX1QKi_GyH- zJ_Wbv#eYMTsCA5sIk?ayqwxBXDj4}ns&UzHep>qDd65s$_$UpFeCF>)1Dh+3wNs(< zrh760GXSm)-kvYAC$^5{A%vg$?XkRy#^Dand0L~%qjn`{!_~ZmGh?nNb9Lgr2#j@N zE?aTKI==?0$pVVV$Vnq8ty2u|nizkw^a@nx+^$qqA}&nO~nt}L=vAX?Zg`ufd##;91>OEIl?=76a6G$Zbm-(+vkSe}f!EFvDOv7<6t zZqNrxM;c0EY@*E#*E^Ex4__iL2K8ULKrAWQ2ogUyyc`6mfdiqF-iyK(`!^+Zc`e(C;gilS&{gD6s!Aj+t>GyZ_Z?>o8;P{9k3h z6pRN?2pi0;N{N2oe?yhhH;xY+vPP#QM6kgEyY9LoB;3N|Bg^anzb)$t0day({6tua z-j}THp`!)oA6ED^?2&yj-F+R@=HvWM!|f?*|JHM^8dKb*H(kiAi-nbB zsI~G3ZF_*5l2)BKagti4u=Sf2B6B z<_Kf0BM;J8Vw5~K_yJ(Nl+bfp`@9}Ebf}`a#aozIxkZM?7KP!f_}!YO67p6|%gvNF zQ4=OoVeqBXn^yX76il(YI27Tn4a_Cz=zm6VY%6InT9nK8$?OdEpq+zExA_} zYAgD#7m)1XeD5vUYN2Uvu`P_C-5<)DY}OfTI1Z6-vpVDOK9c9iKKGI88XG%1Pq?;| z_$ptOO-&+?x%h_$)Ya;XSSDk8Y-yW@@;i3vDBnXYa}sxS_LuljfjdQ9XTX2h*%P() zE6V{v_ecTWA=DR5&Ac|7w%Vajq=?b0zD4BRv%GsT z6z{JG>{LHTiyr4ip>H;ry%4Vm2-4o6I{Fr$GnqM(1MkW(a=ZhR)IB(Nz1gZ@Cmne~ zt6|sDEQqPwpw&Hx+wKc_n(km$Aq4SXLHg`RodpYizP-0%WUV1NaJQQ!f#yG0(3!j3 z-v-p7eMJ{)iDmP>w(lQ?&$rG>=N>P6o7Kx_wLE!nnOpU#KUz7Q!ry$I;ovh91}#?Y zEsUH=?b)$*p9iO#oV=%BFVSJ8^{1`_Q6yx#%JI)=30Lb(5gaa2LOPy^uylMOHRQiN z5s=3B#{aGHAYPy7f62}34r)4Hy{KI!;g-Hy$-c|gKgBBy9-Q4&G`YRqP+jFY;t=b} ndDuTg1q1Q;(AQlsYkk5;`H}83;TXJB2{EB-H=cRqTO9ubSj@qU diff --git a/1ano/2semestre/lsd/pratica01/part4/db/EqCmpDemo.db_info b/1ano/2semestre/lsd/pratica01/part4/db/EqCmpDemo.db_info index 90c4e25..4b36e2b 100644 --- a/1ano/2semestre/lsd/pratica01/part4/db/EqCmpDemo.db_info +++ b/1ano/2semestre/lsd/pratica01/part4/db/EqCmpDemo.db_info @@ -1,3 +1,3 @@ Quartus_Version = Version 20.1.1 Build 720 11/11/2020 SJ Lite Edition Version_Index = 520278016 -Creation_Time = Tue Mar 7 18:59:33 2023 +Creation_Time = Tue Mar 7 20:46:10 2023 diff --git a/1ano/2semestre/lsd/pratica01/part4/db/EqCmpDemo.eda.qmsg b/1ano/2semestre/lsd/pratica01/part4/db/EqCmpDemo.eda.qmsg index 33b5f4b..8889b12 100644 --- a/1ano/2semestre/lsd/pratica01/part4/db/EqCmpDemo.eda.qmsg +++ b/1ano/2semestre/lsd/pratica01/part4/db/EqCmpDemo.eda.qmsg @@ -1,6 +1,6 @@ -{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Design Software" 0 -1 1678212368198 ""} -{ "Info" "IQEXE_START_BANNER_PRODUCT" "EDA Netlist Writer Quartus Prime " "Running Quartus Prime EDA Netlist Writer" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 20.1.1 Build 720 11/11/2020 SJ Lite Edition " "Version 20.1.1 Build 720 11/11/2020 SJ Lite Edition" { } { } 0 0 "%1!s!" 0 0 "Design Software" 0 -1 1678212368198 ""} { "Info" "IQEXE_START_BANNER_TIME" "Tue Mar 7 18:06:08 2023 " "Processing started: Tue Mar 7 18:06:08 2023" { } { } 0 0 "Processing started: %1!s!" 0 0 "Design Software" 0 -1 1678212368198 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "EDA Netlist Writer" 0 -1 1678212368198 ""} -{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_eda --read_settings_files=off --write_settings_files=off EqCmpDemo -c EqCmpDemo " "Command: quartus_eda --read_settings_files=off --write_settings_files=off EqCmpDemo -c EqCmpDemo" { } { } 0 0 "Command: %1!s!" 0 0 "EDA Netlist Writer" 0 -1 1678212368198 ""} -{ "Warning" "WQCU_PARALLEL_USER_SHOULD_SPECIFY_NUM_PROC" "" "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." { } { } 0 18236 "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." 0 0 "EDA Netlist Writer" 0 -1 1678212368373 ""} -{ "Info" "IWSC_DONE_HDL_GENERATION" "EqCmpDemo.vho /home/tiagorg/repos/uaveiro-leci/1ano/2semestre/lsd/pratica01/part4/simulation/modelsim/ simulation " "Generated file EqCmpDemo.vho in folder \"/home/tiagorg/repos/uaveiro-leci/1ano/2semestre/lsd/pratica01/part4/simulation/modelsim/\" for EDA simulation tool" { } { } 0 204019 "Generated file %1!s! in folder \"%2!s!\" for EDA %3!s! tool" 0 0 "EDA Netlist Writer" 0 -1 1678212368407 ""} -{ "Info" "IQEXE_ERROR_COUNT" "EDA Netlist Writer 0 s 1 Quartus Prime " "Quartus Prime EDA Netlist Writer was successful. 0 errors, 1 warning" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "614 " "Peak virtual memory: 614 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Design Software" 0 -1 1678212368422 ""} { "Info" "IQEXE_END_BANNER_TIME" "Tue Mar 7 18:06:08 2023 " "Processing ended: Tue Mar 7 18:06:08 2023" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Design Software" 0 -1 1678212368422 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:00 " "Elapsed time: 00:00:00" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Design Software" 0 -1 1678212368422 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:00 " "Total CPU time (on all processors): 00:00:00" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Design Software" 0 -1 1678212368422 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "EDA Netlist Writer" 0 -1 1678212368422 ""} +{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Design Software" 0 -1 1678222677857 ""} +{ "Info" "IQEXE_START_BANNER_PRODUCT" "EDA Netlist Writer Quartus Prime " "Running Quartus Prime EDA Netlist Writer" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 20.1.1 Build 720 11/11/2020 SJ Lite Edition " "Version 20.1.1 Build 720 11/11/2020 SJ Lite Edition" { } { } 0 0 "%1!s!" 0 0 "Design Software" 0 -1 1678222677858 ""} { "Info" "IQEXE_START_BANNER_LEGAL" "Copyright (C) 2020 Intel Corporation. All rights reserved. " "Copyright (C) 2020 Intel Corporation. All rights reserved." { } { } 0 0 "%1!s!" 0 0 "Design Software" 0 -1 1678222677858 ""} { "Info" "IQEXE_START_BANNER_LEGAL" "Your use of Intel Corporation's design tools, logic functions " "Your use of Intel Corporation's design tools, logic functions " { } { } 0 0 "%1!s!" 0 0 "Design Software" 0 -1 1678222677858 ""} { "Info" "IQEXE_START_BANNER_LEGAL" "and other software and tools, and any partner logic " "and other software and tools, and any partner logic " { } { } 0 0 "%1!s!" 0 0 "Design Software" 0 -1 1678222677858 ""} { "Info" "IQEXE_START_BANNER_LEGAL" "functions, and any output files from any of the foregoing " "functions, and any output files from any of the foregoing " { } { } 0 0 "%1!s!" 0 0 "Design Software" 0 -1 1678222677858 ""} { "Info" "IQEXE_START_BANNER_LEGAL" "(including device programming or simulation files), and any " "(including device programming or simulation files), and any " { } { } 0 0 "%1!s!" 0 0 "Design Software" 0 -1 1678222677858 ""} { "Info" "IQEXE_START_BANNER_LEGAL" "associated documentation or information are expressly subject " "associated documentation or information are expressly subject " { } { } 0 0 "%1!s!" 0 0 "Design Software" 0 -1 1678222677858 ""} { "Info" "IQEXE_START_BANNER_LEGAL" "to the terms and conditions of the Intel Program License " "to the terms and conditions of the Intel Program License " { } { } 0 0 "%1!s!" 0 0 "Design Software" 0 -1 1678222677858 ""} { "Info" "IQEXE_START_BANNER_LEGAL" "Subscription Agreement, the Intel Quartus Prime License Agreement, " "Subscription Agreement, the Intel Quartus Prime License Agreement," { } { } 0 0 "%1!s!" 0 0 "Design Software" 0 -1 1678222677858 ""} { "Info" "IQEXE_START_BANNER_LEGAL" "the Intel FPGA IP License Agreement, or other applicable license " "the Intel FPGA IP License Agreement, or other applicable license" { } { } 0 0 "%1!s!" 0 0 "Design Software" 0 -1 1678222677858 ""} { "Info" "IQEXE_START_BANNER_LEGAL" "agreement, including, without limitation, that your use is for " "agreement, including, without limitation, that your use is for" { } { } 0 0 "%1!s!" 0 0 "Design Software" 0 -1 1678222677858 ""} { "Info" "IQEXE_START_BANNER_LEGAL" "the sole purpose of programming logic devices manufactured by " "the sole purpose of programming logic devices manufactured by" { } { } 0 0 "%1!s!" 0 0 "Design Software" 0 -1 1678222677858 ""} { "Info" "IQEXE_START_BANNER_LEGAL" "Intel and sold by Intel or its authorized distributors. Please " "Intel and sold by Intel or its authorized distributors. Please" { } { } 0 0 "%1!s!" 0 0 "Design Software" 0 -1 1678222677858 ""} { "Info" "IQEXE_START_BANNER_LEGAL" "refer to the applicable agreement for further details, at " "refer to the applicable agreement for further details, at" { } { } 0 0 "%1!s!" 0 0 "Design Software" 0 -1 1678222677858 ""} { "Info" "IQEXE_START_BANNER_LEGAL" "https://fpgasoftware.intel.com/eula. " "https://fpgasoftware.intel.com/eula." { } { } 0 0 "%1!s!" 0 0 "Design Software" 0 -1 1678222677858 ""} { "Info" "IQEXE_START_BANNER_TIME" "Tue Mar 7 20:57:57 2023 " "Processing started: Tue Mar 7 20:57:57 2023" { } { } 0 0 "Processing started: %1!s!" 0 0 "Design Software" 0 -1 1678222677858 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "EDA Netlist Writer" 0 -1 1678222677858 ""} +{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_eda --write_settings_files=off --simulation=on --functional=on --flatten_buses=off --tool=modelsim_oem --format=vhdl --output_directory=/home/tiagorg/repos/uaveiro-leci/1ano/2semestre/lsd/pratica01/part4/simulation/qsim/ EqCmpDemo -c EqCmpDemo " "Command: quartus_eda --write_settings_files=off --simulation=on --functional=on --flatten_buses=off --tool=modelsim_oem --format=vhdl --output_directory=/home/tiagorg/repos/uaveiro-leci/1ano/2semestre/lsd/pratica01/part4/simulation/qsim/ EqCmpDemo -c EqCmpDemo" { } { } 0 0 "Command: %1!s!" 0 0 "EDA Netlist Writer" 0 -1 1678222677858 ""} +{ "Warning" "WQCU_PARALLEL_USER_SHOULD_SPECIFY_NUM_PROC" "" "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." { } { } 0 18236 "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." 0 0 "EDA Netlist Writer" 0 -1 1678222678041 ""} +{ "Info" "IWSC_DONE_HDL_GENERATION" "EqCmpDemo.vho /home/tiagorg/repos/uaveiro-leci/1ano/2semestre/lsd/pratica01/part4/simulation/qsim// simulation " "Generated file EqCmpDemo.vho in folder \"/home/tiagorg/repos/uaveiro-leci/1ano/2semestre/lsd/pratica01/part4/simulation/qsim//\" for EDA simulation tool" { } { } 0 204019 "Generated file %1!s! in folder \"%2!s!\" for EDA %3!s! tool" 0 0 "EDA Netlist Writer" 0 -1 1678222678072 ""} +{ "Info" "IQEXE_ERROR_COUNT" "EDA Netlist Writer 0 s 1 Quartus Prime " "Quartus Prime EDA Netlist Writer was successful. 0 errors, 1 warning" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "613 " "Peak virtual memory: 613 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Design Software" 0 -1 1678222678086 ""} { "Info" "IQEXE_END_BANNER_TIME" "Tue Mar 7 20:57:58 2023 " "Processing ended: Tue Mar 7 20:57:58 2023" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Design Software" 0 -1 1678222678086 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:01 " "Elapsed time: 00:00:01" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Design Software" 0 -1 1678222678086 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:00 " "Total CPU time (on all processors): 00:00:00" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Design Software" 0 -1 1678222678086 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "EDA Netlist Writer" 0 -1 1678222678086 ""} diff --git a/1ano/2semestre/lsd/pratica01/part4/db/EqCmpDemo.fit.qmsg b/1ano/2semestre/lsd/pratica01/part4/db/EqCmpDemo.fit.qmsg index fbb43c3..e034d3e 100644 --- a/1ano/2semestre/lsd/pratica01/part4/db/EqCmpDemo.fit.qmsg +++ b/1ano/2semestre/lsd/pratica01/part4/db/EqCmpDemo.fit.qmsg @@ -1,129 +1,129 @@ -{ "Warning" "WQCU_PARALLEL_USER_SHOULD_SPECIFY_NUM_PROC" "" "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." { } { } 0 18236 "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." 0 0 "Fitter" 0 -1 1678212355649 ""} -{ "Info" "IQCU_PARALLEL_AUTODETECT_MULTIPLE_PROCESSORS" "4 4 " "Parallel compilation is enabled and will use 4 of the 4 processors detected" { } { } 0 20030 "Parallel compilation is enabled and will use %1!i! of the %2!i! processors detected" 0 0 "Fitter" 0 -1 1678212355649 ""} -{ "Info" "IMPP_MPP_USER_DEVICE" "EqCmpDemo EP4CE115F29C7 " "Selected device EP4CE115F29C7 for design \"EqCmpDemo\"" { } { } 0 119006 "Selected device %2!s! for design \"%1!s!\"" 0 0 "Fitter" 0 -1 1678212355652 ""} -{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "Low junction temperature 0 degrees C " "Low junction temperature is 0 degrees C" { } { } 0 21077 "%1!s! is %2!s!" 0 0 "Fitter" 0 -1 1678212355700 ""} -{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "High junction temperature 85 degrees C " "High junction temperature is 85 degrees C" { } { } 0 21077 "%1!s! is %2!s!" 0 0 "Fitter" 0 -1 1678212355700 ""} -{ "Info" "IFITCC_FITCC_INFO_AUTO_FIT_COMPILATION_ON" "" "Fitter is performing an Auto Fit compilation, which may decrease Fitter effort to reduce compilation time" { } { } 0 171003 "Fitter is performing an Auto Fit compilation, which may decrease Fitter effort to reduce compilation time" 0 0 "Fitter" 0 -1 1678212356015 ""} -{ "Warning" "WCPT_FEATURE_DISABLED_POST" "LogicLock " "Feature LogicLock is only available with a valid subscription license. You can purchase a software subscription to gain full access to this feature." { } { } 0 292013 "Feature %1!s! is only available with a valid subscription license. You can purchase a software subscription to gain full access to this feature." 0 0 "Fitter" 0 -1 1678212356032 ""} -{ "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED" "" "Device migration not selected. If you intend to use device migration later, you may need to change the pin assignments as they may be incompatible with other devices" { { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "EP4CE40F29C7 " "Device EP4CE40F29C7 is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Design Software" 0 -1 1678212356261 ""} { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "EP4CE40F29I7 " "Device EP4CE40F29I7 is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Design Software" 0 -1 1678212356261 ""} { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "EP4CE30F29C7 " "Device EP4CE30F29C7 is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Design Software" 0 -1 1678212356261 ""} { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "EP4CE30F29I7 " "Device EP4CE30F29I7 is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Design Software" 0 -1 1678212356261 ""} { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "EP4CE55F29C7 " "Device EP4CE55F29C7 is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Design Software" 0 -1 1678212356261 ""} { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "EP4CE55F29I7 " "Device EP4CE55F29I7 is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Design Software" 0 -1 1678212356261 ""} { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "EP4CE75F29C7 " "Device EP4CE75F29C7 is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Design Software" 0 -1 1678212356261 ""} { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "EP4CE75F29I7 " "Device EP4CE75F29I7 is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Design Software" 0 -1 1678212356261 ""} { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "EP4CE115F29I7 " "Device EP4CE115F29I7 is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Design Software" 0 -1 1678212356261 ""} } { } 2 176444 "Device migration not selected. If you intend to use device migration later, you may need to change the pin assignments as they may be incompatible with other devices" 0 0 "Fitter" 0 -1 1678212356261 ""} -{ "Warning" "WFIOMGR_INVALID_RESERVE_PIN_NAME" "AUD_ADCDAT " "Can't reserve pin AUD_ADCDAT -- pin name is an illegal or unsupported format" { } { } 0 169133 "Can't reserve pin %1!s! -- pin name is an illegal or unsupported format" 0 0 "Fitter" 0 -1 1678212356271 ""} -{ "Warning" "WFIOMGR_INVALID_RESERVE_PIN_NAME" "CLOCK2_50 " "Can't reserve pin CLOCK2_50 -- pin name is an illegal or unsupported format" { } { } 0 169133 "Can't reserve pin %1!s! -- pin name is an illegal or unsupported format" 0 0 "Fitter" 0 -1 1678212356271 ""} -{ "Warning" "WFIOMGR_INVALID_RESERVE_PIN_NAME" "CLOCK3_50 " "Can't reserve pin CLOCK3_50 -- pin name is an illegal or unsupported format" { } { } 0 169133 "Can't reserve pin %1!s! -- pin name is an illegal or unsupported format" 0 0 "Fitter" 0 -1 1678212356271 ""} -{ "Warning" "WFIOMGR_INVALID_RESERVE_PIN_NAME" "CLOCK_50 " "Can't reserve pin CLOCK_50 -- pin name is an illegal or unsupported format" { } { } 0 169133 "Can't reserve pin %1!s! -- pin name is an illegal or unsupported format" 0 0 "Fitter" 0 -1 1678212356271 ""} -{ "Warning" "WFIOMGR_INVALID_RESERVE_PIN_NAME" "ENET0_INT_N " "Can't reserve pin ENET0_INT_N -- pin name is an illegal or unsupported format" { } { } 0 169133 "Can't reserve pin %1!s! -- pin name is an illegal or unsupported format" 0 0 "Fitter" 0 -1 1678212356271 ""} -{ "Warning" "WFIOMGR_INVALID_RESERVE_PIN_NAME" "ENET0_LINK100 " "Can't reserve pin ENET0_LINK100 -- pin name is an illegal or unsupported format" { } { } 0 169133 "Can't reserve pin %1!s! -- pin name is an illegal or unsupported format" 0 0 "Fitter" 0 -1 1678212356271 ""} -{ "Warning" "WFIOMGR_INVALID_RESERVE_PIN_NAME" "ENET0_MDIO " "Can't reserve pin ENET0_MDIO -- pin name is an illegal or unsupported format" { } { } 0 169133 "Can't reserve pin %1!s! -- pin name is an illegal or unsupported format" 0 0 "Fitter" 0 -1 1678212356271 ""} -{ "Warning" "WFIOMGR_INVALID_RESERVE_PIN_NAME" "ENET0_RX_CLK " "Can't reserve pin ENET0_RX_CLK -- pin name is an illegal or unsupported format" { } { } 0 169133 "Can't reserve pin %1!s! -- pin name is an illegal or unsupported format" 0 0 "Fitter" 0 -1 1678212356271 ""} -{ "Warning" "WFIOMGR_INVALID_RESERVE_PIN_NAME" "ENET0_RX_COL " "Can't reserve pin ENET0_RX_COL -- pin name is an illegal or unsupported format" { } { } 0 169133 "Can't reserve pin %1!s! -- pin name is an illegal or unsupported format" 0 0 "Fitter" 0 -1 1678212356271 ""} -{ "Warning" "WFIOMGR_INVALID_RESERVE_PIN_NAME" "ENET0_RX_CRS " "Can't reserve pin ENET0_RX_CRS -- pin name is an illegal or unsupported format" { } { } 0 169133 "Can't reserve pin %1!s! -- pin name is an illegal or unsupported format" 0 0 "Fitter" 0 -1 1678212356271 ""} -{ "Warning" "WFIOMGR_INVALID_RESERVE_PIN_NAME" "ENET0_RX_DATA\[0\] " "Can't reserve pin ENET0_RX_DATA\[0\] -- pin name is an illegal or unsupported format" { } { } 0 169133 "Can't reserve pin %1!s! -- pin name is an illegal or unsupported format" 0 0 "Fitter" 0 -1 1678212356271 ""} -{ "Warning" "WFIOMGR_INVALID_RESERVE_PIN_NAME" "ENET0_RX_DATA\[1\] " "Can't reserve pin ENET0_RX_DATA\[1\] -- pin name is an illegal or unsupported format" { } { } 0 169133 "Can't reserve pin %1!s! -- pin name is an illegal or unsupported format" 0 0 "Fitter" 0 -1 1678212356271 ""} -{ "Warning" "WFIOMGR_INVALID_RESERVE_PIN_NAME" "ENET0_RX_DATA\[2\] " "Can't reserve pin ENET0_RX_DATA\[2\] -- pin name is an illegal or unsupported format" { } { } 0 169133 "Can't reserve pin %1!s! -- pin name is an illegal or unsupported format" 0 0 "Fitter" 0 -1 1678212356271 ""} -{ "Warning" "WFIOMGR_INVALID_RESERVE_PIN_NAME" "ENET0_RX_DATA\[3\] " "Can't reserve pin ENET0_RX_DATA\[3\] -- pin name is an illegal or unsupported format" { } { } 0 169133 "Can't reserve pin %1!s! -- pin name is an illegal or unsupported format" 0 0 "Fitter" 0 -1 1678212356271 ""} -{ "Warning" "WFIOMGR_INVALID_RESERVE_PIN_NAME" "ENET0_RX_DV " "Can't reserve pin ENET0_RX_DV -- pin name is an illegal or unsupported format" { } { } 0 169133 "Can't reserve pin %1!s! -- pin name is an illegal or unsupported format" 0 0 "Fitter" 0 -1 1678212356271 ""} -{ "Warning" "WFIOMGR_INVALID_RESERVE_PIN_NAME" "ENET0_RX_ER " "Can't reserve pin ENET0_RX_ER -- pin name is an illegal or unsupported format" { } { } 0 169133 "Can't reserve pin %1!s! -- pin name is an illegal or unsupported format" 0 0 "Fitter" 0 -1 1678212356271 ""} -{ "Warning" "WFIOMGR_INVALID_RESERVE_PIN_NAME" "ENET0_TX_CLK " "Can't reserve pin ENET0_TX_CLK -- pin name is an illegal or unsupported format" { } { } 0 169133 "Can't reserve pin %1!s! -- pin name is an illegal or unsupported format" 0 0 "Fitter" 0 -1 1678212356271 ""} -{ "Warning" "WFIOMGR_INVALID_RESERVE_PIN_NAME" "ENET1_INT_N " "Can't reserve pin ENET1_INT_N -- pin name is an illegal or unsupported format" { } { } 0 169133 "Can't reserve pin %1!s! -- pin name is an illegal or unsupported format" 0 0 "Fitter" 0 -1 1678212356271 ""} -{ "Warning" "WFIOMGR_INVALID_RESERVE_PIN_NAME" "ENET1_LINK100 " "Can't reserve pin ENET1_LINK100 -- pin name is an illegal or unsupported format" { } { } 0 169133 "Can't reserve pin %1!s! -- pin name is an illegal or unsupported format" 0 0 "Fitter" 0 -1 1678212356271 ""} -{ "Warning" "WFIOMGR_INVALID_RESERVE_PIN_NAME" "ENET1_MDIO " "Can't reserve pin ENET1_MDIO -- pin name is an illegal or unsupported format" { } { } 0 169133 "Can't reserve pin %1!s! -- pin name is an illegal or unsupported format" 0 0 "Fitter" 0 -1 1678212356271 ""} -{ "Warning" "WFIOMGR_INVALID_RESERVE_PIN_NAME" "ENET1_RX_CLK " "Can't reserve pin ENET1_RX_CLK -- pin name is an illegal or unsupported format" { } { } 0 169133 "Can't reserve pin %1!s! -- pin name is an illegal or unsupported format" 0 0 "Fitter" 0 -1 1678212356271 ""} -{ "Warning" "WFIOMGR_INVALID_RESERVE_PIN_NAME" "ENET1_RX_COL " "Can't reserve pin ENET1_RX_COL -- pin name is an illegal or unsupported format" { } { } 0 169133 "Can't reserve pin %1!s! -- pin name is an illegal or unsupported format" 0 0 "Fitter" 0 -1 1678212356271 ""} -{ "Warning" "WFIOMGR_INVALID_RESERVE_PIN_NAME" "ENET1_RX_CRS " "Can't reserve pin ENET1_RX_CRS -- pin name is an illegal or unsupported format" { } { } 0 169133 "Can't reserve pin %1!s! -- pin name is an illegal or unsupported format" 0 0 "Fitter" 0 -1 1678212356271 ""} -{ "Warning" "WFIOMGR_INVALID_RESERVE_PIN_NAME" "ENET1_RX_DATA\[0\] " "Can't reserve pin ENET1_RX_DATA\[0\] -- pin name is an illegal or unsupported format" { } { } 0 169133 "Can't reserve pin %1!s! -- pin name is an illegal or unsupported format" 0 0 "Fitter" 0 -1 1678212356271 ""} -{ "Warning" "WFIOMGR_INVALID_RESERVE_PIN_NAME" "ENET1_RX_DATA\[1\] " "Can't reserve pin ENET1_RX_DATA\[1\] -- pin name is an illegal or unsupported format" { } { } 0 169133 "Can't reserve pin %1!s! -- pin name is an illegal or unsupported format" 0 0 "Fitter" 0 -1 1678212356271 ""} -{ "Warning" "WFIOMGR_INVALID_RESERVE_PIN_NAME" "ENET1_RX_DATA\[2\] " "Can't reserve pin ENET1_RX_DATA\[2\] -- pin name is an illegal or unsupported format" { } { } 0 169133 "Can't reserve pin %1!s! -- pin name is an illegal or unsupported format" 0 0 "Fitter" 0 -1 1678212356271 ""} -{ "Warning" "WFIOMGR_INVALID_RESERVE_PIN_NAME" "ENET1_RX_DATA\[3\] " "Can't reserve pin ENET1_RX_DATA\[3\] -- pin name is an illegal or unsupported format" { } { } 0 169133 "Can't reserve pin %1!s! -- pin name is an illegal or unsupported format" 0 0 "Fitter" 0 -1 1678212356271 ""} -{ "Warning" "WFIOMGR_INVALID_RESERVE_PIN_NAME" "ENET1_RX_DV " "Can't reserve pin ENET1_RX_DV -- pin name is an illegal or unsupported format" { } { } 0 169133 "Can't reserve pin %1!s! -- pin name is an illegal or unsupported format" 0 0 "Fitter" 0 -1 1678212356271 ""} -{ "Warning" "WFIOMGR_INVALID_RESERVE_PIN_NAME" "ENET1_RX_ER " "Can't reserve pin ENET1_RX_ER -- pin name is an illegal or unsupported format" { } { } 0 169133 "Can't reserve pin %1!s! -- pin name is an illegal or unsupported format" 0 0 "Fitter" 0 -1 1678212356271 ""} -{ "Warning" "WFIOMGR_INVALID_RESERVE_PIN_NAME" "ENET1_TX_CLK " "Can't reserve pin ENET1_TX_CLK -- pin name is an illegal or unsupported format" { } { } 0 169133 "Can't reserve pin %1!s! -- pin name is an illegal or unsupported format" 0 0 "Fitter" 0 -1 1678212356271 ""} -{ "Warning" "WFIOMGR_INVALID_RESERVE_PIN_NAME" "ENETCLK_25 " "Can't reserve pin ENETCLK_25 -- pin name is an illegal or unsupported format" { } { } 0 169133 "Can't reserve pin %1!s! -- pin name is an illegal or unsupported format" 0 0 "Fitter" 0 -1 1678212356271 ""} -{ "Warning" "WFIOMGR_INVALID_RESERVE_PIN_NAME" "FL_RY " "Can't reserve pin FL_RY -- pin name is an illegal or unsupported format" { } { } 0 169133 "Can't reserve pin %1!s! -- pin name is an illegal or unsupported format" 0 0 "Fitter" 0 -1 1678212356271 ""} -{ "Warning" "WFIOMGR_INVALID_RESERVE_PIN_NAME" "HSMC_CLKIN0 " "Can't reserve pin HSMC_CLKIN0 -- pin name is an illegal or unsupported format" { } { } 0 169133 "Can't reserve pin %1!s! -- pin name is an illegal or unsupported format" 0 0 "Fitter" 0 -1 1678212356271 ""} -{ "Warning" "WFIOMGR_INVALID_RESERVE_PIN_NAME" "IRDA_RXD " "Can't reserve pin IRDA_RXD -- pin name is an illegal or unsupported format" { } { } 0 169133 "Can't reserve pin %1!s! -- pin name is an illegal or unsupported format" 0 0 "Fitter" 0 -1 1678212356271 ""} -{ "Warning" "WFIOMGR_INVALID_RESERVE_PIN_NAME" "KEY\[0\] " "Can't reserve pin KEY\[0\] -- pin name is an illegal or unsupported format" { } { } 0 169133 "Can't reserve pin %1!s! -- pin name is an illegal or unsupported format" 0 0 "Fitter" 0 -1 1678212356271 ""} -{ "Warning" "WFIOMGR_INVALID_RESERVE_PIN_NAME" "KEY\[1\] " "Can't reserve pin KEY\[1\] -- pin name is an illegal or unsupported format" { } { } 0 169133 "Can't reserve pin %1!s! -- pin name is an illegal or unsupported format" 0 0 "Fitter" 0 -1 1678212356271 ""} -{ "Warning" "WFIOMGR_INVALID_RESERVE_PIN_NAME" "KEY\[2\] " "Can't reserve pin KEY\[2\] -- pin name is an illegal or unsupported format" { } { } 0 169133 "Can't reserve pin %1!s! -- pin name is an illegal or unsupported format" 0 0 "Fitter" 0 -1 1678212356271 ""} -{ "Warning" "WFIOMGR_INVALID_RESERVE_PIN_NAME" "KEY\[3\] " "Can't reserve pin KEY\[3\] -- pin name is an illegal or unsupported format" { } { } 0 169133 "Can't reserve pin %1!s! -- pin name is an illegal or unsupported format" 0 0 "Fitter" 0 -1 1678212356271 ""} -{ "Warning" "WFIOMGR_INVALID_RESERVE_PIN_NAME" "OTG_INT " "Can't reserve pin OTG_INT -- pin name is an illegal or unsupported format" { } { } 0 169133 "Can't reserve pin %1!s! -- pin name is an illegal or unsupported format" 0 0 "Fitter" 0 -1 1678212356271 ""} -{ "Warning" "WFIOMGR_INVALID_RESERVE_PIN_NAME" "SD_WP_N " "Can't reserve pin SD_WP_N -- pin name is an illegal or unsupported format" { } { } 0 169133 "Can't reserve pin %1!s! -- pin name is an illegal or unsupported format" 0 0 "Fitter" 0 -1 1678212356271 ""} -{ "Warning" "WFIOMGR_INVALID_RESERVE_PIN_NAME" "SMA_CLKIN " "Can't reserve pin SMA_CLKIN -- pin name is an illegal or unsupported format" { } { } 0 169133 "Can't reserve pin %1!s! -- pin name is an illegal or unsupported format" 0 0 "Fitter" 0 -1 1678212356271 ""} -{ "Warning" "WFIOMGR_INVALID_RESERVE_PIN_NAME" "SW\[0\] " "Can't reserve pin SW\[0\] -- pin name is an illegal or unsupported format" { } { } 0 169133 "Can't reserve pin %1!s! -- pin name is an illegal or unsupported format" 0 0 "Fitter" 0 -1 1678212356271 ""} -{ "Warning" "WFIOMGR_RESERVE_PIN_NAME_EXISTS_SAME_DIRECTION" "SW\[0\] " "Reserve pin assignment ignored because of existing pin with name \"SW\[0\]\"" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/pin_planner.ppl" "" { PinPlanner "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/pin_planner.ppl" { SW[0] } } } { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "SW\[0\]" } } } } { "EqCmpDemo.bdf" "" { Schematic "/home/tiagorg/repos/uaveiro-leci/1ano/2semestre/lsd/pratica01/part4/EqCmpDemo.bdf" { { 200 296 464 216 "SW" "" } } } } { "temporary_test_loc" "" { Generic "/home/tiagorg/repos/uaveiro-leci/1ano/2semestre/lsd/pratica01/part4/" { { 0 { 0 ""} 0 14 14177 15141 0 0 "" 0 "" "" } } } } } 0 169140 "Reserve pin assignment ignored because of existing pin with name \"%1!s!\"" 0 0 "Fitter" 0 -1 1678212356271 ""} -{ "Warning" "WFIOMGR_INVALID_RESERVE_PIN_NAME" "SW\[10\] " "Can't reserve pin SW\[10\] -- pin name is an illegal or unsupported format" { } { } 0 169133 "Can't reserve pin %1!s! -- pin name is an illegal or unsupported format" 0 0 "Fitter" 0 -1 1678212356271 ""} -{ "Warning" "WFIOMGR_INVALID_RESERVE_PIN_NAME" "SW\[11\] " "Can't reserve pin SW\[11\] -- pin name is an illegal or unsupported format" { } { } 0 169133 "Can't reserve pin %1!s! -- pin name is an illegal or unsupported format" 0 0 "Fitter" 0 -1 1678212356272 ""} -{ "Warning" "WFIOMGR_INVALID_RESERVE_PIN_NAME" "SW\[12\] " "Can't reserve pin SW\[12\] -- pin name is an illegal or unsupported format" { } { } 0 169133 "Can't reserve pin %1!s! -- pin name is an illegal or unsupported format" 0 0 "Fitter" 0 -1 1678212356272 ""} -{ "Warning" "WFIOMGR_INVALID_RESERVE_PIN_NAME" "SW\[13\] " "Can't reserve pin SW\[13\] -- pin name is an illegal or unsupported format" { } { } 0 169133 "Can't reserve pin %1!s! -- pin name is an illegal or unsupported format" 0 0 "Fitter" 0 -1 1678212356272 ""} -{ "Warning" "WFIOMGR_INVALID_RESERVE_PIN_NAME" "SW\[14\] " "Can't reserve pin SW\[14\] -- pin name is an illegal or unsupported format" { } { } 0 169133 "Can't reserve pin %1!s! -- pin name is an illegal or unsupported format" 0 0 "Fitter" 0 -1 1678212356272 ""} -{ "Warning" "WFIOMGR_INVALID_RESERVE_PIN_NAME" "SW\[15\] " "Can't reserve pin SW\[15\] -- pin name is an illegal or unsupported format" { } { } 0 169133 "Can't reserve pin %1!s! -- pin name is an illegal or unsupported format" 0 0 "Fitter" 0 -1 1678212356272 ""} -{ "Warning" "WFIOMGR_INVALID_RESERVE_PIN_NAME" "SW\[16\] " "Can't reserve pin SW\[16\] -- pin name is an illegal or unsupported format" { } { } 0 169133 "Can't reserve pin %1!s! -- pin name is an illegal or unsupported format" 0 0 "Fitter" 0 -1 1678212356272 ""} -{ "Warning" "WFIOMGR_INVALID_RESERVE_PIN_NAME" "SW\[17\] " "Can't reserve pin SW\[17\] -- pin name is an illegal or unsupported format" { } { } 0 169133 "Can't reserve pin %1!s! -- pin name is an illegal or unsupported format" 0 0 "Fitter" 0 -1 1678212356272 ""} -{ "Warning" "WFIOMGR_INVALID_RESERVE_PIN_NAME" "SW\[1\] " "Can't reserve pin SW\[1\] -- pin name is an illegal or unsupported format" { } { } 0 169133 "Can't reserve pin %1!s! -- pin name is an illegal or unsupported format" 0 0 "Fitter" 0 -1 1678212356272 ""} -{ "Warning" "WFIOMGR_RESERVE_PIN_NAME_EXISTS_SAME_DIRECTION" "SW\[1\] " "Reserve pin assignment ignored because of existing pin with name \"SW\[1\]\"" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/pin_planner.ppl" "" { PinPlanner "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/pin_planner.ppl" { SW[1] } } } { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "SW\[1\]" } } } } { "EqCmpDemo.bdf" "" { Schematic "/home/tiagorg/repos/uaveiro-leci/1ano/2semestre/lsd/pratica01/part4/EqCmpDemo.bdf" { { 200 296 464 216 "SW" "" } } } } { "temporary_test_loc" "" { Generic "/home/tiagorg/repos/uaveiro-leci/1ano/2semestre/lsd/pratica01/part4/" { { 0 { 0 ""} 0 13 14177 15141 0 0 "" 0 "" "" } } } } } 0 169140 "Reserve pin assignment ignored because of existing pin with name \"%1!s!\"" 0 0 "Fitter" 0 -1 1678212356272 ""} -{ "Warning" "WFIOMGR_INVALID_RESERVE_PIN_NAME" "SW\[2\] " "Can't reserve pin SW\[2\] -- pin name is an illegal or unsupported format" { } { } 0 169133 "Can't reserve pin %1!s! -- pin name is an illegal or unsupported format" 0 0 "Fitter" 0 -1 1678212356272 ""} -{ "Warning" "WFIOMGR_RESERVE_PIN_NAME_EXISTS_SAME_DIRECTION" "SW\[2\] " "Reserve pin assignment ignored because of existing pin with name \"SW\[2\]\"" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/pin_planner.ppl" "" { PinPlanner "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/pin_planner.ppl" { SW[2] } } } { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "SW\[2\]" } } } } { "EqCmpDemo.bdf" "" { Schematic "/home/tiagorg/repos/uaveiro-leci/1ano/2semestre/lsd/pratica01/part4/EqCmpDemo.bdf" { { 200 296 464 216 "SW" "" } } } } { "temporary_test_loc" "" { Generic "/home/tiagorg/repos/uaveiro-leci/1ano/2semestre/lsd/pratica01/part4/" { { 0 { 0 ""} 0 12 14177 15141 0 0 "" 0 "" "" } } } } } 0 169140 "Reserve pin assignment ignored because of existing pin with name \"%1!s!\"" 0 0 "Fitter" 0 -1 1678212356272 ""} -{ "Warning" "WFIOMGR_INVALID_RESERVE_PIN_NAME" "SW\[3\] " "Can't reserve pin SW\[3\] -- pin name is an illegal or unsupported format" { } { } 0 169133 "Can't reserve pin %1!s! -- pin name is an illegal or unsupported format" 0 0 "Fitter" 0 -1 1678212356272 ""} -{ "Warning" "WFIOMGR_RESERVE_PIN_NAME_EXISTS_SAME_DIRECTION" "SW\[3\] " "Reserve pin assignment ignored because of existing pin with name \"SW\[3\]\"" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/pin_planner.ppl" "" { PinPlanner "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/pin_planner.ppl" { SW[3] } } } { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "SW\[3\]" } } } } { "EqCmpDemo.bdf" "" { Schematic "/home/tiagorg/repos/uaveiro-leci/1ano/2semestre/lsd/pratica01/part4/EqCmpDemo.bdf" { { 200 296 464 216 "SW" "" } } } } { "temporary_test_loc" "" { Generic "/home/tiagorg/repos/uaveiro-leci/1ano/2semestre/lsd/pratica01/part4/" { { 0 { 0 ""} 0 11 14177 15141 0 0 "" 0 "" "" } } } } } 0 169140 "Reserve pin assignment ignored because of existing pin with name \"%1!s!\"" 0 0 "Fitter" 0 -1 1678212356272 ""} -{ "Warning" "WFIOMGR_INVALID_RESERVE_PIN_NAME" "SW\[4\] " "Can't reserve pin SW\[4\] -- pin name is an illegal or unsupported format" { } { } 0 169133 "Can't reserve pin %1!s! -- pin name is an illegal or unsupported format" 0 0 "Fitter" 0 -1 1678212356272 ""} -{ "Warning" "WFIOMGR_RESERVE_PIN_NAME_EXISTS_SAME_DIRECTION" "SW\[4\] " "Reserve pin assignment ignored because of existing pin with name \"SW\[4\]\"" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/pin_planner.ppl" "" { PinPlanner "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/pin_planner.ppl" { SW[4] } } } { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "SW\[4\]" } } } } { "EqCmpDemo.bdf" "" { Schematic "/home/tiagorg/repos/uaveiro-leci/1ano/2semestre/lsd/pratica01/part4/EqCmpDemo.bdf" { { 200 296 464 216 "SW" "" } } } } { "temporary_test_loc" "" { Generic "/home/tiagorg/repos/uaveiro-leci/1ano/2semestre/lsd/pratica01/part4/" { { 0 { 0 ""} 0 10 14177 15141 0 0 "" 0 "" "" } } } } } 0 169140 "Reserve pin assignment ignored because of existing pin with name \"%1!s!\"" 0 0 "Fitter" 0 -1 1678212356272 ""} -{ "Warning" "WFIOMGR_INVALID_RESERVE_PIN_NAME" "SW\[5\] " "Can't reserve pin SW\[5\] -- pin name is an illegal or unsupported format" { } { } 0 169133 "Can't reserve pin %1!s! -- pin name is an illegal or unsupported format" 0 0 "Fitter" 0 -1 1678212356272 ""} -{ "Warning" "WFIOMGR_RESERVE_PIN_NAME_EXISTS_SAME_DIRECTION" "SW\[5\] " "Reserve pin assignment ignored because of existing pin with name \"SW\[5\]\"" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/pin_planner.ppl" "" { PinPlanner "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/pin_planner.ppl" { SW[5] } } } { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "SW\[5\]" } } } } { "EqCmpDemo.bdf" "" { Schematic "/home/tiagorg/repos/uaveiro-leci/1ano/2semestre/lsd/pratica01/part4/EqCmpDemo.bdf" { { 200 296 464 216 "SW" "" } } } } { "temporary_test_loc" "" { Generic "/home/tiagorg/repos/uaveiro-leci/1ano/2semestre/lsd/pratica01/part4/" { { 0 { 0 ""} 0 9 14177 15141 0 0 "" 0 "" "" } } } } } 0 169140 "Reserve pin assignment ignored because of existing pin with name \"%1!s!\"" 0 0 "Fitter" 0 -1 1678212356272 ""} -{ "Warning" "WFIOMGR_INVALID_RESERVE_PIN_NAME" "SW\[6\] " "Can't reserve pin SW\[6\] -- pin name is an illegal or unsupported format" { } { } 0 169133 "Can't reserve pin %1!s! -- pin name is an illegal or unsupported format" 0 0 "Fitter" 0 -1 1678212356272 ""} -{ "Warning" "WFIOMGR_RESERVE_PIN_NAME_EXISTS_SAME_DIRECTION" "SW\[6\] " "Reserve pin assignment ignored because of existing pin with name \"SW\[6\]\"" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/pin_planner.ppl" "" { PinPlanner "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/pin_planner.ppl" { SW[6] } } } { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "SW\[6\]" } } } } { "EqCmpDemo.bdf" "" { Schematic "/home/tiagorg/repos/uaveiro-leci/1ano/2semestre/lsd/pratica01/part4/EqCmpDemo.bdf" { { 200 296 464 216 "SW" "" } } } } { "temporary_test_loc" "" { Generic "/home/tiagorg/repos/uaveiro-leci/1ano/2semestre/lsd/pratica01/part4/" { { 0 { 0 ""} 0 8 14177 15141 0 0 "" 0 "" "" } } } } } 0 169140 "Reserve pin assignment ignored because of existing pin with name \"%1!s!\"" 0 0 "Fitter" 0 -1 1678212356272 ""} -{ "Warning" "WFIOMGR_INVALID_RESERVE_PIN_NAME" "SW\[7\] " "Can't reserve pin SW\[7\] -- pin name is an illegal or unsupported format" { } { } 0 169133 "Can't reserve pin %1!s! -- pin name is an illegal or unsupported format" 0 0 "Fitter" 0 -1 1678212356272 ""} -{ "Warning" "WFIOMGR_RESERVE_PIN_NAME_EXISTS_SAME_DIRECTION" "SW\[7\] " "Reserve pin assignment ignored because of existing pin with name \"SW\[7\]\"" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/pin_planner.ppl" "" { PinPlanner "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/pin_planner.ppl" { SW[7] } } } { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "SW\[7\]" } } } } { "EqCmpDemo.bdf" "" { Schematic "/home/tiagorg/repos/uaveiro-leci/1ano/2semestre/lsd/pratica01/part4/EqCmpDemo.bdf" { { 200 296 464 216 "SW" "" } } } } { "temporary_test_loc" "" { Generic "/home/tiagorg/repos/uaveiro-leci/1ano/2semestre/lsd/pratica01/part4/" { { 0 { 0 ""} 0 7 14177 15141 0 0 "" 0 "" "" } } } } } 0 169140 "Reserve pin assignment ignored because of existing pin with name \"%1!s!\"" 0 0 "Fitter" 0 -1 1678212356272 ""} -{ "Warning" "WFIOMGR_INVALID_RESERVE_PIN_NAME" "SW\[8\] " "Can't reserve pin SW\[8\] -- pin name is an illegal or unsupported format" { } { } 0 169133 "Can't reserve pin %1!s! -- pin name is an illegal or unsupported format" 0 0 "Fitter" 0 -1 1678212356272 ""} -{ "Warning" "WFIOMGR_INVALID_RESERVE_PIN_NAME" "SW\[9\] " "Can't reserve pin SW\[9\] -- pin name is an illegal or unsupported format" { } { } 0 169133 "Can't reserve pin %1!s! -- pin name is an illegal or unsupported format" 0 0 "Fitter" 0 -1 1678212356272 ""} -{ "Warning" "WFIOMGR_INVALID_RESERVE_PIN_NAME" "TD_CLK27 " "Can't reserve pin TD_CLK27 -- pin name is an illegal or unsupported format" { } { } 0 169133 "Can't reserve pin %1!s! -- pin name is an illegal or unsupported format" 0 0 "Fitter" 0 -1 1678212356272 ""} -{ "Warning" "WFIOMGR_INVALID_RESERVE_PIN_NAME" "TD_DATA\[0\] " "Can't reserve pin TD_DATA\[0\] -- pin name is an illegal or unsupported format" { } { } 0 169133 "Can't reserve pin %1!s! -- pin name is an illegal or unsupported format" 0 0 "Fitter" 0 -1 1678212356272 ""} -{ "Warning" "WFIOMGR_INVALID_RESERVE_PIN_NAME" "TD_DATA\[1\] " "Can't reserve pin TD_DATA\[1\] -- pin name is an illegal or unsupported format" { } { } 0 169133 "Can't reserve pin %1!s! -- pin name is an illegal or unsupported format" 0 0 "Fitter" 0 -1 1678212356272 ""} -{ "Warning" "WFIOMGR_INVALID_RESERVE_PIN_NAME" "TD_DATA\[2\] " "Can't reserve pin TD_DATA\[2\] -- pin name is an illegal or unsupported format" { } { } 0 169133 "Can't reserve pin %1!s! -- pin name is an illegal or unsupported format" 0 0 "Fitter" 0 -1 1678212356272 ""} -{ "Warning" "WFIOMGR_INVALID_RESERVE_PIN_NAME" "TD_DATA\[3\] " "Can't reserve pin TD_DATA\[3\] -- pin name is an illegal or unsupported format" { } { } 0 169133 "Can't reserve pin %1!s! -- pin name is an illegal or unsupported format" 0 0 "Fitter" 0 -1 1678212356272 ""} -{ "Warning" "WFIOMGR_INVALID_RESERVE_PIN_NAME" "TD_DATA\[4\] " "Can't reserve pin TD_DATA\[4\] -- pin name is an illegal or unsupported format" { } { } 0 169133 "Can't reserve pin %1!s! -- pin name is an illegal or unsupported format" 0 0 "Fitter" 0 -1 1678212356272 ""} -{ "Warning" "WFIOMGR_INVALID_RESERVE_PIN_NAME" "TD_DATA\[5\] " "Can't reserve pin TD_DATA\[5\] -- pin name is an illegal or unsupported format" { } { } 0 169133 "Can't reserve pin %1!s! -- pin name is an illegal or unsupported format" 0 0 "Fitter" 0 -1 1678212356272 ""} -{ "Warning" "WFIOMGR_INVALID_RESERVE_PIN_NAME" "TD_DATA\[6\] " "Can't reserve pin TD_DATA\[6\] -- pin name is an illegal or unsupported format" { } { } 0 169133 "Can't reserve pin %1!s! -- pin name is an illegal or unsupported format" 0 0 "Fitter" 0 -1 1678212356272 ""} -{ "Warning" "WFIOMGR_INVALID_RESERVE_PIN_NAME" "TD_DATA\[7\] " "Can't reserve pin TD_DATA\[7\] -- pin name is an illegal or unsupported format" { } { } 0 169133 "Can't reserve pin %1!s! -- pin name is an illegal or unsupported format" 0 0 "Fitter" 0 -1 1678212356272 ""} -{ "Warning" "WFIOMGR_INVALID_RESERVE_PIN_NAME" "TD_HS " "Can't reserve pin TD_HS -- pin name is an illegal or unsupported format" { } { } 0 169133 "Can't reserve pin %1!s! -- pin name is an illegal or unsupported format" 0 0 "Fitter" 0 -1 1678212356272 ""} -{ "Warning" "WFIOMGR_INVALID_RESERVE_PIN_NAME" "TD_VS " "Can't reserve pin TD_VS -- pin name is an illegal or unsupported format" { } { } 0 169133 "Can't reserve pin %1!s! -- pin name is an illegal or unsupported format" 0 0 "Fitter" 0 -1 1678212356272 ""} -{ "Warning" "WFIOMGR_INVALID_RESERVE_PIN_NAME" "UART_RTS " "Can't reserve pin UART_RTS -- pin name is an illegal or unsupported format" { } { } 0 169133 "Can't reserve pin %1!s! -- pin name is an illegal or unsupported format" 0 0 "Fitter" 0 -1 1678212356272 ""} -{ "Warning" "WFIOMGR_INVALID_RESERVE_PIN_NAME" "UART_RXD " "Can't reserve pin UART_RXD -- pin name is an illegal or unsupported format" { } { } 0 169133 "Can't reserve pin %1!s! -- pin name is an illegal or unsupported format" 0 0 "Fitter" 0 -1 1678212356272 ""} -{ "Info" "IFIOMGR_RESERVED_PIN_WITH_LOCATION" "5 " "Fitter converted 5 user pins into dedicated programming pins" { { "Info" "IFIOMGR_RESERVED_PIN_WITH_LOCATION_SUB" "~ALTERA_ASDO_DATA1~ F4 " "Pin ~ALTERA_ASDO_DATA1~ is reserved at location F4" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/pin_planner.ppl" "" { PinPlanner "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/pin_planner.ppl" { ~ALTERA_ASDO_DATA1~ } } } { "temporary_test_loc" "" { Generic "/home/tiagorg/repos/uaveiro-leci/1ano/2semestre/lsd/pratica01/part4/" { { 0 { 0 ""} 0 643 14177 15141 0 0 "" 0 "" "" } } } } } 0 169125 "Pin %1!s! is reserved at location %2!s!" 0 0 "Design Software" 0 -1 1678212356274 ""} { "Info" "IFIOMGR_RESERVED_PIN_WITH_LOCATION_SUB" "~ALTERA_FLASH_nCE_nCSO~ E2 " "Pin ~ALTERA_FLASH_nCE_nCSO~ is reserved at location E2" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/pin_planner.ppl" "" { PinPlanner "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/pin_planner.ppl" { ~ALTERA_FLASH_nCE_nCSO~ } } } { "temporary_test_loc" "" { Generic "/home/tiagorg/repos/uaveiro-leci/1ano/2semestre/lsd/pratica01/part4/" { { 0 { 0 ""} 0 645 14177 15141 0 0 "" 0 "" "" } } } } } 0 169125 "Pin %1!s! is reserved at location %2!s!" 0 0 "Design Software" 0 -1 1678212356274 ""} { "Info" "IFIOMGR_RESERVED_PIN_WITH_LOCATION_SUB" "~ALTERA_DCLK~ P3 " "Pin ~ALTERA_DCLK~ is reserved at location P3" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/pin_planner.ppl" "" { PinPlanner "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/pin_planner.ppl" { ~ALTERA_DCLK~ } } } { "temporary_test_loc" "" { Generic "/home/tiagorg/repos/uaveiro-leci/1ano/2semestre/lsd/pratica01/part4/" { { 0 { 0 ""} 0 647 14177 15141 0 0 "" 0 "" "" } } } } } 0 169125 "Pin %1!s! is reserved at location %2!s!" 0 0 "Design Software" 0 -1 1678212356274 ""} { "Info" "IFIOMGR_RESERVED_PIN_WITH_LOCATION_SUB" "~ALTERA_DATA0~ N7 " "Pin ~ALTERA_DATA0~ is reserved at location N7" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/pin_planner.ppl" "" { PinPlanner "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/pin_planner.ppl" { ~ALTERA_DATA0~ } } } { "temporary_test_loc" "" { Generic "/home/tiagorg/repos/uaveiro-leci/1ano/2semestre/lsd/pratica01/part4/" { { 0 { 0 ""} 0 649 14177 15141 0 0 "" 0 "" "" } } } } } 0 169125 "Pin %1!s! is reserved at location %2!s!" 0 0 "Design Software" 0 -1 1678212356274 ""} { "Info" "IFIOMGR_RESERVED_PIN_WITH_LOCATION_SUB" "~ALTERA_nCEO~ P28 " "Pin ~ALTERA_nCEO~ is reserved at location P28" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/pin_planner.ppl" "" { PinPlanner "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/pin_planner.ppl" { ~ALTERA_nCEO~ } } } { "temporary_test_loc" "" { Generic "/home/tiagorg/repos/uaveiro-leci/1ano/2semestre/lsd/pratica01/part4/" { { 0 { 0 ""} 0 651 14177 15141 0 0 "" 0 "" "" } } } } } 0 169125 "Pin %1!s! is reserved at location %2!s!" 0 0 "Design Software" 0 -1 1678212356274 ""} } { } 0 169124 "Fitter converted %1!d! user pins into dedicated programming pins" 0 0 "Fitter" 0 -1 1678212356274 ""} -{ "Warning" "WCUT_CUT_ATOM_PINS_WITH_INCOMPLETE_IO_ASSIGNMENTS" "" "Some pins have incomplete I/O assignments. Refer to the I/O Assignment Warnings report for details" { } { } 0 15714 "Some pins have incomplete I/O assignments. Refer to the I/O Assignment Warnings report for details" 0 0 "Fitter" 0 -1 1678212356283 ""} -{ "Critical Warning" "WSTA_SDC_NOT_FOUND" "EqCmpDemo.sdc " "Synopsys Design Constraints File file not found: 'EqCmpDemo.sdc'. A Synopsys Design Constraints File is required by the Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design." { } { } 1 332012 "Synopsys Design Constraints File file not found: '%1!s!'. A Synopsys Design Constraints File is required by the Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design." 0 0 "Fitter" 0 -1 1678212357060 ""} -{ "Info" "ISTA_NO_CLOCK_FOUND_NO_DERIVING_MSG" "base clocks " "No user constrained base clocks found in the design" { } { } 0 332144 "No user constrained %1!s! found in the design" 0 0 "Fitter" 0 -1 1678212357061 ""} -{ "Info" "ISTA_DERIVE_CLOCKS_FOUND_NO_CLOCKS" "" "The command derive_clocks did not find any clocks to derive. No clocks were created or changed." { } { } 0 332096 "The command derive_clocks did not find any clocks to derive. No clocks were created or changed." 0 0 "Fitter" 0 -1 1678212357061 ""} -{ "Warning" "WSTA_NO_CLOCKS_DEFINED" "" "No clocks defined in design." { } { } 0 332068 "No clocks defined in design." 0 0 "Fitter" 0 -1 1678212357061 ""} -{ "Info" "ISTA_NO_CLOCK_UNCERTAINTY_FOUND_DERIVING" "\"derive_clock_uncertainty\" " "No user constrained clock uncertainty found in the design. Calling \"derive_clock_uncertainty\"" { } { } 0 332143 "No user constrained clock uncertainty found in the design. Calling %1!s!" 0 0 "Fitter" 0 -1 1678212357062 ""} -{ "Info" "ISTA_NO_UNCERTAINTY_FOUND" "" "The derive_clock_uncertainty command did not apply clock uncertainty to any clock-to-clock transfers." { } { } 0 332154 "The derive_clock_uncertainty command did not apply clock uncertainty to any clock-to-clock transfers." 0 0 "Fitter" 0 -1 1678212357062 ""} -{ "Info" "ISTA_TDC_NO_DEFAULT_OPTIMIZATION_GOALS" "" "Timing requirements not specified -- quality metrics such as performance may be sacrificed to reduce compilation time." { } { } 0 332130 "Timing requirements not specified -- quality metrics such as performance may be sacrificed to reduce compilation time." 0 0 "Fitter" 0 -1 1678212357063 ""} -{ "Info" "IFSAC_FSAC_REGISTER_PACKING_START_REGPACKING_INFO" "" "Starting register packing" { } { } 0 176233 "Starting register packing" 0 0 "Fitter" 0 -1 1678212357067 ""} -{ "Extra Info" "IFSAC_FSAC_START_REG_LOCATION_PROCESSING" "" "Performing register packing on registers with non-logic cell location assignments" { } { } 1 176273 "Performing register packing on registers with non-logic cell location assignments" 1 0 "Fitter" 0 -1 1678212357067 ""} -{ "Extra Info" "IFSAC_FSAC_FINISH_REG_LOCATION_PROCESSING" "" "Completed register packing on registers with non-logic cell location assignments" { } { } 1 176274 "Completed register packing on registers with non-logic cell location assignments" 1 0 "Fitter" 0 -1 1678212357067 ""} -{ "Extra Info" "IFSAC_FSAC_REGISTER_PACKING_BEGIN_FAST_REGISTER_INFO" "" "Started Fast Input/Output/OE register processing" { } { } 1 176236 "Started Fast Input/Output/OE register processing" 1 0 "Fitter" 0 -1 1678212357068 ""} -{ "Extra Info" "IFSAC_FSAC_REGISTER_PACKING_FINISH_FAST_REGISTER_INFO" "" "Finished Fast Input/Output/OE register processing" { } { } 1 176237 "Finished Fast Input/Output/OE register processing" 1 0 "Fitter" 0 -1 1678212357068 ""} -{ "Extra Info" "IFSAC_FSAC_START_MAC_SCAN_CHAIN_INFERENCING" "" "Start inferring scan chains for DSP blocks" { } { } 1 176238 "Start inferring scan chains for DSP blocks" 1 0 "Fitter" 0 -1 1678212357069 ""} -{ "Extra Info" "IFSAC_FSAC_FINISH_MAC_SCAN_CHAIN_INFERENCING" "" "Inferring scan chains for DSP blocks is complete" { } { } 1 176239 "Inferring scan chains for DSP blocks is complete" 1 0 "Fitter" 0 -1 1678212357069 ""} -{ "Extra Info" "IFSAC_FSAC_START_IO_MULT_RAM_PACKING" "" "Moving registers into I/O cells, Multiplier Blocks, and RAM blocks to improve timing and density" { } { } 1 176248 "Moving registers into I/O cells, Multiplier Blocks, and RAM blocks to improve timing and density" 1 0 "Fitter" 0 -1 1678212357069 ""} -{ "Extra Info" "IFSAC_FSAC_FINISH_IO_MULT_RAM_PACKING" "" "Finished moving registers into I/O cells, Multiplier Blocks, and RAM blocks" { } { } 1 176249 "Finished moving registers into I/O cells, Multiplier Blocks, and RAM blocks" 1 0 "Fitter" 0 -1 1678212357069 ""} -{ "Info" "IFSAC_FSAC_REGISTER_PACKING_FINISH_REGPACKING_INFO" "" "Finished register packing" { { "Extra Info" "IFSAC_NO_REGISTERS_WERE_PACKED" "" "No registers were packed into other blocks" { } { } 1 176219 "No registers were packed into other blocks" 0 0 "Design Software" 0 -1 1678212357069 ""} } { } 0 176235 "Finished register packing" 0 0 "Fitter" 0 -1 1678212357069 ""} -{ "Warning" "WCUT_CUT_UNATTACHED_ASGN" "" "Ignored locations or region assignments to the following nodes" { { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "AUD_ADCLRCK " "Node \"AUD_ADCLRCK\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "AUD_ADCLRCK" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678212357120 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "AUD_BCLK " "Node \"AUD_BCLK\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "AUD_BCLK" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678212357120 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "AUD_DACDAT " "Node \"AUD_DACDAT\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "AUD_DACDAT" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678212357120 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "AUD_DACLRCK " "Node \"AUD_DACLRCK\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "AUD_DACLRCK" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678212357120 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "AUD_XCK " "Node \"AUD_XCK\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "AUD_XCK" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678212357120 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "DRAM_ADDR\[0\] " "Node \"DRAM_ADDR\[0\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "DRAM_ADDR\[0\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678212357120 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "DRAM_ADDR\[10\] " "Node \"DRAM_ADDR\[10\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "DRAM_ADDR\[10\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678212357120 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "DRAM_ADDR\[11\] " "Node \"DRAM_ADDR\[11\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "DRAM_ADDR\[11\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678212357120 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "DRAM_ADDR\[12\] " "Node \"DRAM_ADDR\[12\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "DRAM_ADDR\[12\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678212357120 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "DRAM_ADDR\[1\] " "Node \"DRAM_ADDR\[1\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "DRAM_ADDR\[1\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678212357120 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "DRAM_ADDR\[2\] " "Node \"DRAM_ADDR\[2\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "DRAM_ADDR\[2\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678212357120 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "DRAM_ADDR\[3\] " "Node \"DRAM_ADDR\[3\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "DRAM_ADDR\[3\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678212357120 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "DRAM_ADDR\[4\] " "Node \"DRAM_ADDR\[4\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "DRAM_ADDR\[4\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678212357120 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "DRAM_ADDR\[5\] " "Node \"DRAM_ADDR\[5\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "DRAM_ADDR\[5\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678212357120 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "DRAM_ADDR\[6\] " "Node \"DRAM_ADDR\[6\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "DRAM_ADDR\[6\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678212357120 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "DRAM_ADDR\[7\] " "Node \"DRAM_ADDR\[7\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "DRAM_ADDR\[7\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678212357120 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "DRAM_ADDR\[8\] " "Node \"DRAM_ADDR\[8\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "DRAM_ADDR\[8\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678212357120 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "DRAM_ADDR\[9\] " "Node \"DRAM_ADDR\[9\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "DRAM_ADDR\[9\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678212357120 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "DRAM_BA\[0\] " "Node \"DRAM_BA\[0\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "DRAM_BA\[0\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678212357120 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "DRAM_BA\[1\] " "Node \"DRAM_BA\[1\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "DRAM_BA\[1\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678212357120 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "DRAM_CAS_N " "Node \"DRAM_CAS_N\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "DRAM_CAS_N" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678212357120 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "DRAM_CKE " "Node \"DRAM_CKE\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "DRAM_CKE" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678212357120 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "DRAM_CLK " "Node \"DRAM_CLK\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "DRAM_CLK" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678212357120 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "DRAM_CS_N " "Node \"DRAM_CS_N\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "DRAM_CS_N" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678212357120 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "DRAM_DQM\[0\] " "Node \"DRAM_DQM\[0\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "DRAM_DQM\[0\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678212357120 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "DRAM_DQM\[1\] " "Node \"DRAM_DQM\[1\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "DRAM_DQM\[1\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678212357120 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "DRAM_DQM\[2\] " "Node \"DRAM_DQM\[2\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "DRAM_DQM\[2\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678212357120 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "DRAM_DQM\[3\] " "Node \"DRAM_DQM\[3\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "DRAM_DQM\[3\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678212357120 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "DRAM_DQ\[0\] " "Node \"DRAM_DQ\[0\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "DRAM_DQ\[0\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678212357120 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "DRAM_DQ\[10\] " "Node \"DRAM_DQ\[10\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "DRAM_DQ\[10\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678212357120 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "DRAM_DQ\[11\] " "Node \"DRAM_DQ\[11\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "DRAM_DQ\[11\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678212357120 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "DRAM_DQ\[12\] " "Node \"DRAM_DQ\[12\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "DRAM_DQ\[12\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678212357120 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "DRAM_DQ\[13\] " "Node \"DRAM_DQ\[13\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "DRAM_DQ\[13\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678212357120 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "DRAM_DQ\[14\] " "Node \"DRAM_DQ\[14\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "DRAM_DQ\[14\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678212357120 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "DRAM_DQ\[15\] " "Node \"DRAM_DQ\[15\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "DRAM_DQ\[15\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678212357120 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "DRAM_DQ\[16\] " "Node \"DRAM_DQ\[16\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "DRAM_DQ\[16\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678212357120 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "DRAM_DQ\[17\] " "Node \"DRAM_DQ\[17\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "DRAM_DQ\[17\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678212357120 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "DRAM_DQ\[18\] " "Node \"DRAM_DQ\[18\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "DRAM_DQ\[18\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678212357120 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "DRAM_DQ\[19\] " "Node \"DRAM_DQ\[19\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "DRAM_DQ\[19\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678212357120 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "DRAM_DQ\[1\] " "Node \"DRAM_DQ\[1\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "DRAM_DQ\[1\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678212357120 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "DRAM_DQ\[20\] " "Node \"DRAM_DQ\[20\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "DRAM_DQ\[20\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678212357120 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "DRAM_DQ\[21\] " "Node \"DRAM_DQ\[21\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "DRAM_DQ\[21\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678212357120 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "DRAM_DQ\[22\] " "Node \"DRAM_DQ\[22\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "DRAM_DQ\[22\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678212357120 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "DRAM_DQ\[23\] " "Node \"DRAM_DQ\[23\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "DRAM_DQ\[23\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678212357120 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "DRAM_DQ\[24\] " "Node \"DRAM_DQ\[24\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "DRAM_DQ\[24\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678212357120 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "DRAM_DQ\[25\] " "Node \"DRAM_DQ\[25\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "DRAM_DQ\[25\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678212357120 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "DRAM_DQ\[26\] " "Node \"DRAM_DQ\[26\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "DRAM_DQ\[26\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678212357120 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "DRAM_DQ\[27\] " "Node \"DRAM_DQ\[27\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "DRAM_DQ\[27\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678212357120 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "DRAM_DQ\[28\] " "Node \"DRAM_DQ\[28\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "DRAM_DQ\[28\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678212357120 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "DRAM_DQ\[29\] " "Node \"DRAM_DQ\[29\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "DRAM_DQ\[29\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678212357120 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "DRAM_DQ\[2\] " "Node \"DRAM_DQ\[2\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "DRAM_DQ\[2\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678212357120 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "DRAM_DQ\[30\] " "Node \"DRAM_DQ\[30\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "DRAM_DQ\[30\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678212357120 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "DRAM_DQ\[31\] " "Node \"DRAM_DQ\[31\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "DRAM_DQ\[31\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678212357120 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "DRAM_DQ\[3\] " "Node \"DRAM_DQ\[3\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "DRAM_DQ\[3\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678212357120 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "DRAM_DQ\[4\] " "Node \"DRAM_DQ\[4\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "DRAM_DQ\[4\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678212357120 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "DRAM_DQ\[5\] " "Node \"DRAM_DQ\[5\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "DRAM_DQ\[5\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678212357120 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "DRAM_DQ\[6\] " "Node \"DRAM_DQ\[6\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "DRAM_DQ\[6\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678212357120 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "DRAM_DQ\[7\] " "Node \"DRAM_DQ\[7\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "DRAM_DQ\[7\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678212357120 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "DRAM_DQ\[8\] " "Node \"DRAM_DQ\[8\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "DRAM_DQ\[8\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678212357120 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "DRAM_DQ\[9\] " "Node \"DRAM_DQ\[9\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "DRAM_DQ\[9\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678212357120 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "DRAM_RAS_N " "Node \"DRAM_RAS_N\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "DRAM_RAS_N" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678212357120 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "DRAM_WE_N " "Node \"DRAM_WE_N\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "DRAM_WE_N" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678212357120 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "EEP_I2C_SCLK " "Node \"EEP_I2C_SCLK\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "EEP_I2C_SCLK" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678212357120 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "EEP_I2C_SDAT " "Node \"EEP_I2C_SDAT\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "EEP_I2C_SDAT" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678212357120 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "ENET0_GTX_CLK " "Node \"ENET0_GTX_CLK\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "ENET0_GTX_CLK" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678212357120 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "ENET0_MDC " "Node \"ENET0_MDC\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "ENET0_MDC" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678212357120 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "ENET0_RST_N " "Node \"ENET0_RST_N\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "ENET0_RST_N" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678212357120 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "ENET0_TX_DATA\[0\] " "Node \"ENET0_TX_DATA\[0\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "ENET0_TX_DATA\[0\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678212357120 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "ENET0_TX_DATA\[1\] " "Node \"ENET0_TX_DATA\[1\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "ENET0_TX_DATA\[1\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678212357120 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "ENET0_TX_DATA\[2\] " "Node \"ENET0_TX_DATA\[2\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "ENET0_TX_DATA\[2\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678212357120 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "ENET0_TX_DATA\[3\] " "Node \"ENET0_TX_DATA\[3\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "ENET0_TX_DATA\[3\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678212357120 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "ENET0_TX_EN " "Node \"ENET0_TX_EN\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "ENET0_TX_EN" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678212357120 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "ENET0_TX_ER " "Node \"ENET0_TX_ER\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "ENET0_TX_ER" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678212357120 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "ENET1_GTX_CLK " "Node \"ENET1_GTX_CLK\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "ENET1_GTX_CLK" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678212357120 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "ENET1_MDC " "Node \"ENET1_MDC\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "ENET1_MDC" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678212357120 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "ENET1_RST_N " "Node \"ENET1_RST_N\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "ENET1_RST_N" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678212357120 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "ENET1_TX_DATA\[0\] " "Node \"ENET1_TX_DATA\[0\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "ENET1_TX_DATA\[0\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678212357120 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "ENET1_TX_DATA\[1\] " "Node \"ENET1_TX_DATA\[1\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "ENET1_TX_DATA\[1\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678212357120 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "ENET1_TX_DATA\[2\] " "Node \"ENET1_TX_DATA\[2\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "ENET1_TX_DATA\[2\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678212357120 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "ENET1_TX_DATA\[3\] " "Node \"ENET1_TX_DATA\[3\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "ENET1_TX_DATA\[3\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678212357120 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "ENET1_TX_EN " "Node \"ENET1_TX_EN\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "ENET1_TX_EN" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678212357120 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "ENET1_TX_ER " "Node \"ENET1_TX_ER\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "ENET1_TX_ER" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678212357120 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "EX_IO\[0\] " "Node \"EX_IO\[0\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "EX_IO\[0\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678212357120 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "EX_IO\[1\] " "Node \"EX_IO\[1\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "EX_IO\[1\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678212357120 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "EX_IO\[2\] " "Node \"EX_IO\[2\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "EX_IO\[2\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678212357120 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "EX_IO\[3\] " "Node \"EX_IO\[3\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "EX_IO\[3\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678212357120 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "EX_IO\[4\] " "Node \"EX_IO\[4\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "EX_IO\[4\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678212357120 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "EX_IO\[5\] " "Node \"EX_IO\[5\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "EX_IO\[5\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678212357120 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "EX_IO\[6\] " "Node \"EX_IO\[6\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "EX_IO\[6\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678212357120 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "FL_ADDR\[0\] " "Node \"FL_ADDR\[0\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "FL_ADDR\[0\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678212357120 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "FL_ADDR\[10\] " "Node \"FL_ADDR\[10\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "FL_ADDR\[10\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678212357120 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "FL_ADDR\[11\] " "Node \"FL_ADDR\[11\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "FL_ADDR\[11\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678212357120 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "FL_ADDR\[12\] " "Node \"FL_ADDR\[12\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "FL_ADDR\[12\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678212357120 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "FL_ADDR\[13\] " "Node \"FL_ADDR\[13\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "FL_ADDR\[13\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678212357120 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "FL_ADDR\[14\] " "Node \"FL_ADDR\[14\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "FL_ADDR\[14\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678212357120 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "FL_ADDR\[15\] " "Node \"FL_ADDR\[15\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "FL_ADDR\[15\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678212357120 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "FL_ADDR\[16\] " "Node \"FL_ADDR\[16\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "FL_ADDR\[16\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678212357120 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "FL_ADDR\[17\] " "Node \"FL_ADDR\[17\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "FL_ADDR\[17\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678212357120 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "FL_ADDR\[18\] " "Node \"FL_ADDR\[18\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "FL_ADDR\[18\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678212357120 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "FL_ADDR\[19\] " "Node \"FL_ADDR\[19\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "FL_ADDR\[19\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678212357120 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "FL_ADDR\[1\] " "Node \"FL_ADDR\[1\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "FL_ADDR\[1\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678212357120 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "FL_ADDR\[20\] " "Node \"FL_ADDR\[20\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "FL_ADDR\[20\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678212357120 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "FL_ADDR\[21\] " "Node \"FL_ADDR\[21\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "FL_ADDR\[21\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678212357120 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "FL_ADDR\[22\] " "Node \"FL_ADDR\[22\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "FL_ADDR\[22\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678212357120 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "FL_ADDR\[2\] " "Node \"FL_ADDR\[2\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "FL_ADDR\[2\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678212357120 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "FL_ADDR\[3\] " "Node \"FL_ADDR\[3\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "FL_ADDR\[3\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678212357120 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "FL_ADDR\[4\] " "Node \"FL_ADDR\[4\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "FL_ADDR\[4\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678212357120 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "FL_ADDR\[5\] " "Node \"FL_ADDR\[5\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "FL_ADDR\[5\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678212357120 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "FL_ADDR\[6\] " "Node \"FL_ADDR\[6\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "FL_ADDR\[6\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678212357120 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "FL_ADDR\[7\] " "Node \"FL_ADDR\[7\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "FL_ADDR\[7\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678212357120 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "FL_ADDR\[8\] " "Node \"FL_ADDR\[8\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "FL_ADDR\[8\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678212357120 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "FL_ADDR\[9\] " "Node \"FL_ADDR\[9\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "FL_ADDR\[9\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678212357120 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "FL_CE_N " "Node \"FL_CE_N\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "FL_CE_N" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678212357120 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "FL_DQ\[0\] " "Node \"FL_DQ\[0\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "FL_DQ\[0\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678212357120 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "FL_DQ\[1\] " "Node \"FL_DQ\[1\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "FL_DQ\[1\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678212357120 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "FL_DQ\[2\] " "Node \"FL_DQ\[2\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "FL_DQ\[2\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678212357120 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "FL_DQ\[3\] " "Node \"FL_DQ\[3\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "FL_DQ\[3\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678212357120 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "FL_DQ\[4\] " "Node \"FL_DQ\[4\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "FL_DQ\[4\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678212357120 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "FL_DQ\[5\] " "Node \"FL_DQ\[5\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "FL_DQ\[5\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678212357120 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "FL_DQ\[6\] " "Node \"FL_DQ\[6\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "FL_DQ\[6\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678212357120 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "FL_DQ\[7\] " "Node \"FL_DQ\[7\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "FL_DQ\[7\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678212357120 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "FL_OE_N " "Node \"FL_OE_N\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "FL_OE_N" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678212357120 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "FL_RST_N " "Node \"FL_RST_N\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "FL_RST_N" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678212357120 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "FL_WE_N " "Node \"FL_WE_N\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "FL_WE_N" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678212357120 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "FL_WP_N " "Node \"FL_WP_N\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "FL_WP_N" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678212357120 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "GPIO\[0\] " "Node \"GPIO\[0\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "GPIO\[0\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678212357120 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "GPIO\[10\] " "Node \"GPIO\[10\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "GPIO\[10\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678212357120 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "GPIO\[11\] " "Node \"GPIO\[11\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "GPIO\[11\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678212357120 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "GPIO\[12\] " "Node \"GPIO\[12\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "GPIO\[12\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678212357120 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "GPIO\[13\] " "Node \"GPIO\[13\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "GPIO\[13\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678212357120 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "GPIO\[14\] " "Node \"GPIO\[14\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "GPIO\[14\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678212357120 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "GPIO\[15\] " "Node \"GPIO\[15\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "GPIO\[15\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678212357120 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "GPIO\[16\] " "Node \"GPIO\[16\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "GPIO\[16\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678212357120 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "GPIO\[17\] " "Node \"GPIO\[17\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "GPIO\[17\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678212357120 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "GPIO\[18\] " "Node \"GPIO\[18\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "GPIO\[18\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678212357120 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "GPIO\[19\] " "Node \"GPIO\[19\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "GPIO\[19\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678212357120 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "GPIO\[1\] " "Node \"GPIO\[1\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "GPIO\[1\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678212357120 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "GPIO\[20\] " "Node \"GPIO\[20\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "GPIO\[20\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678212357120 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "GPIO\[21\] " "Node \"GPIO\[21\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "GPIO\[21\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678212357120 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "GPIO\[22\] " "Node \"GPIO\[22\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "GPIO\[22\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678212357120 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "GPIO\[23\] " "Node \"GPIO\[23\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "GPIO\[23\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678212357120 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "GPIO\[24\] " "Node \"GPIO\[24\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "GPIO\[24\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678212357120 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "GPIO\[25\] " "Node \"GPIO\[25\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "GPIO\[25\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678212357120 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "GPIO\[26\] " "Node \"GPIO\[26\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "GPIO\[26\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678212357120 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "GPIO\[27\] " "Node \"GPIO\[27\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "GPIO\[27\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678212357120 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "GPIO\[28\] " "Node \"GPIO\[28\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "GPIO\[28\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678212357120 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "GPIO\[29\] " "Node \"GPIO\[29\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "GPIO\[29\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678212357120 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "GPIO\[2\] " "Node \"GPIO\[2\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "GPIO\[2\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678212357120 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "GPIO\[30\] " "Node \"GPIO\[30\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "GPIO\[30\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678212357120 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "GPIO\[31\] " "Node \"GPIO\[31\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "GPIO\[31\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678212357120 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "GPIO\[32\] " "Node \"GPIO\[32\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "GPIO\[32\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678212357120 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "GPIO\[33\] " "Node \"GPIO\[33\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "GPIO\[33\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678212357120 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "GPIO\[34\] " "Node \"GPIO\[34\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "GPIO\[34\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678212357120 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "GPIO\[35\] " "Node \"GPIO\[35\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "GPIO\[35\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678212357120 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "GPIO\[3\] " "Node \"GPIO\[3\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "GPIO\[3\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678212357120 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "GPIO\[4\] " "Node \"GPIO\[4\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "GPIO\[4\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678212357120 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "GPIO\[5\] " "Node \"GPIO\[5\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "GPIO\[5\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678212357120 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "GPIO\[6\] " "Node \"GPIO\[6\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "GPIO\[6\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678212357120 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "GPIO\[7\] " "Node \"GPIO\[7\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "GPIO\[7\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678212357120 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "GPIO\[8\] " "Node \"GPIO\[8\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "GPIO\[8\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678212357120 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "GPIO\[9\] " "Node \"GPIO\[9\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "GPIO\[9\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678212357120 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "HEX0\[0\] " "Node \"HEX0\[0\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "HEX0\[0\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678212357120 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "HEX0\[1\] " "Node \"HEX0\[1\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "HEX0\[1\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678212357120 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "HEX0\[2\] " "Node \"HEX0\[2\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "HEX0\[2\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678212357120 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "HEX0\[3\] " "Node \"HEX0\[3\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "HEX0\[3\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678212357120 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "HEX0\[4\] " "Node \"HEX0\[4\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "HEX0\[4\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678212357120 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "HEX0\[5\] " "Node \"HEX0\[5\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "HEX0\[5\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678212357120 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "HEX0\[6\] " "Node \"HEX0\[6\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "HEX0\[6\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678212357120 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "HEX1\[0\] " "Node \"HEX1\[0\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "HEX1\[0\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678212357120 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "HEX1\[1\] " "Node \"HEX1\[1\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "HEX1\[1\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678212357120 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "HEX1\[2\] " "Node \"HEX1\[2\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "HEX1\[2\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678212357120 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "HEX1\[3\] " "Node \"HEX1\[3\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "HEX1\[3\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678212357120 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "HEX1\[4\] " "Node \"HEX1\[4\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "HEX1\[4\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678212357120 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "HEX1\[5\] " "Node \"HEX1\[5\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "HEX1\[5\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678212357120 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "HEX1\[6\] " "Node \"HEX1\[6\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "HEX1\[6\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678212357120 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "HEX2\[0\] " "Node \"HEX2\[0\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "HEX2\[0\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678212357120 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "HEX2\[1\] " "Node \"HEX2\[1\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "HEX2\[1\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678212357120 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "HEX2\[2\] " "Node \"HEX2\[2\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "HEX2\[2\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678212357120 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "HEX2\[3\] " "Node \"HEX2\[3\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "HEX2\[3\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678212357120 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "HEX2\[4\] " "Node \"HEX2\[4\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "HEX2\[4\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678212357120 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "HEX2\[5\] " "Node \"HEX2\[5\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "HEX2\[5\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678212357120 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "HEX2\[6\] " "Node \"HEX2\[6\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "HEX2\[6\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678212357120 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "HEX3\[0\] " "Node \"HEX3\[0\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "HEX3\[0\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678212357120 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "HEX3\[1\] " "Node \"HEX3\[1\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "HEX3\[1\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678212357120 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "HEX3\[2\] " "Node \"HEX3\[2\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "HEX3\[2\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678212357120 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "HEX3\[3\] " "Node \"HEX3\[3\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "HEX3\[3\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678212357120 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "HEX3\[4\] " "Node \"HEX3\[4\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "HEX3\[4\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678212357120 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "HEX3\[5\] " "Node \"HEX3\[5\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "HEX3\[5\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678212357120 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "HEX3\[6\] " "Node \"HEX3\[6\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "HEX3\[6\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678212357120 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "HEX4\[0\] " "Node \"HEX4\[0\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "HEX4\[0\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678212357120 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "HEX4\[1\] " "Node \"HEX4\[1\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "HEX4\[1\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678212357120 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "HEX4\[2\] " "Node \"HEX4\[2\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "HEX4\[2\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678212357120 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "HEX4\[3\] " "Node \"HEX4\[3\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "HEX4\[3\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678212357120 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "HEX4\[4\] " "Node \"HEX4\[4\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "HEX4\[4\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678212357120 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "HEX4\[5\] " "Node \"HEX4\[5\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "HEX4\[5\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678212357120 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "HEX4\[6\] " "Node \"HEX4\[6\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "HEX4\[6\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678212357120 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "HEX5\[0\] " "Node \"HEX5\[0\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "HEX5\[0\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678212357120 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "HEX5\[1\] " "Node \"HEX5\[1\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "HEX5\[1\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678212357120 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "HEX5\[2\] " "Node \"HEX5\[2\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "HEX5\[2\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678212357120 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "HEX5\[3\] " "Node \"HEX5\[3\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "HEX5\[3\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678212357120 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "HEX5\[4\] " "Node \"HEX5\[4\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "HEX5\[4\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678212357120 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "HEX5\[5\] " "Node \"HEX5\[5\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "HEX5\[5\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678212357120 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "HEX5\[6\] " "Node \"HEX5\[6\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "HEX5\[6\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678212357120 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "HEX6\[0\] " "Node \"HEX6\[0\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "HEX6\[0\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678212357120 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "HEX6\[1\] " "Node \"HEX6\[1\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "HEX6\[1\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678212357120 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "HEX6\[2\] " "Node \"HEX6\[2\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "HEX6\[2\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678212357120 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "HEX6\[3\] " "Node \"HEX6\[3\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "HEX6\[3\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678212357120 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "HEX6\[4\] " "Node \"HEX6\[4\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "HEX6\[4\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678212357120 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "HEX6\[5\] " "Node \"HEX6\[5\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "HEX6\[5\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678212357120 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "HEX6\[6\] " "Node \"HEX6\[6\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "HEX6\[6\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678212357120 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "HEX7\[0\] " "Node \"HEX7\[0\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "HEX7\[0\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678212357120 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "HEX7\[1\] " "Node \"HEX7\[1\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "HEX7\[1\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678212357120 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "HEX7\[2\] " "Node \"HEX7\[2\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "HEX7\[2\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678212357120 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "HEX7\[3\] " "Node \"HEX7\[3\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "HEX7\[3\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678212357120 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "HEX7\[4\] " "Node \"HEX7\[4\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "HEX7\[4\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678212357120 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "HEX7\[5\] " "Node \"HEX7\[5\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "HEX7\[5\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678212357120 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "HEX7\[6\] " "Node \"HEX7\[6\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "HEX7\[6\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678212357120 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "HSMC_CLKIN_N1 " "Node \"HSMC_CLKIN_N1\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "HSMC_CLKIN_N1" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678212357120 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "HSMC_CLKIN_N2 " "Node \"HSMC_CLKIN_N2\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "HSMC_CLKIN_N2" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678212357120 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "HSMC_CLKIN_P1 " "Node \"HSMC_CLKIN_P1\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "HSMC_CLKIN_P1" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678212357120 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "HSMC_CLKIN_P2 " "Node \"HSMC_CLKIN_P2\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "HSMC_CLKIN_P2" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678212357120 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "HSMC_CLKOUT0 " "Node \"HSMC_CLKOUT0\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "HSMC_CLKOUT0" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678212357120 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "HSMC_CLKOUT_N1 " "Node \"HSMC_CLKOUT_N1\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "HSMC_CLKOUT_N1" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678212357120 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "HSMC_CLKOUT_N2 " "Node \"HSMC_CLKOUT_N2\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "HSMC_CLKOUT_N2" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678212357120 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "HSMC_CLKOUT_P1 " "Node \"HSMC_CLKOUT_P1\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "HSMC_CLKOUT_P1" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678212357120 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "HSMC_CLKOUT_P2 " "Node \"HSMC_CLKOUT_P2\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "HSMC_CLKOUT_P2" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678212357120 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "HSMC_D\[0\] " "Node \"HSMC_D\[0\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "HSMC_D\[0\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678212357120 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "HSMC_D\[1\] " "Node \"HSMC_D\[1\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "HSMC_D\[1\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678212357120 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "HSMC_D\[2\] " "Node \"HSMC_D\[2\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "HSMC_D\[2\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678212357120 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "HSMC_D\[3\] " "Node \"HSMC_D\[3\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "HSMC_D\[3\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678212357120 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "HSMC_RX_D_N\[0\] " "Node \"HSMC_RX_D_N\[0\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "HSMC_RX_D_N\[0\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678212357120 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "HSMC_RX_D_N\[10\] " "Node \"HSMC_RX_D_N\[10\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "HSMC_RX_D_N\[10\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678212357120 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "HSMC_RX_D_N\[11\] " "Node \"HSMC_RX_D_N\[11\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "HSMC_RX_D_N\[11\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678212357120 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "HSMC_RX_D_N\[12\] " "Node \"HSMC_RX_D_N\[12\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "HSMC_RX_D_N\[12\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678212357120 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "HSMC_RX_D_N\[13\] " "Node \"HSMC_RX_D_N\[13\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "HSMC_RX_D_N\[13\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678212357120 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "HSMC_RX_D_N\[14\] " "Node \"HSMC_RX_D_N\[14\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "HSMC_RX_D_N\[14\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678212357120 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "HSMC_RX_D_N\[15\] " "Node \"HSMC_RX_D_N\[15\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "HSMC_RX_D_N\[15\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678212357120 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "HSMC_RX_D_N\[16\] " "Node \"HSMC_RX_D_N\[16\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "HSMC_RX_D_N\[16\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678212357120 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "HSMC_RX_D_N\[1\] " "Node \"HSMC_RX_D_N\[1\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "HSMC_RX_D_N\[1\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678212357120 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "HSMC_RX_D_N\[2\] " "Node \"HSMC_RX_D_N\[2\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "HSMC_RX_D_N\[2\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678212357120 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "HSMC_RX_D_N\[3\] " "Node \"HSMC_RX_D_N\[3\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "HSMC_RX_D_N\[3\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678212357120 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "HSMC_RX_D_N\[4\] " "Node \"HSMC_RX_D_N\[4\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "HSMC_RX_D_N\[4\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678212357120 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "HSMC_RX_D_N\[5\] " "Node \"HSMC_RX_D_N\[5\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "HSMC_RX_D_N\[5\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678212357120 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "HSMC_RX_D_N\[6\] " "Node \"HSMC_RX_D_N\[6\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "HSMC_RX_D_N\[6\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678212357120 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "HSMC_RX_D_N\[7\] " "Node \"HSMC_RX_D_N\[7\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "HSMC_RX_D_N\[7\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678212357120 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "HSMC_RX_D_N\[8\] " "Node \"HSMC_RX_D_N\[8\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "HSMC_RX_D_N\[8\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678212357120 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "HSMC_RX_D_N\[9\] " "Node \"HSMC_RX_D_N\[9\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "HSMC_RX_D_N\[9\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678212357120 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "HSMC_RX_D_P\[0\] " "Node \"HSMC_RX_D_P\[0\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "HSMC_RX_D_P\[0\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678212357120 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "HSMC_RX_D_P\[10\] " "Node \"HSMC_RX_D_P\[10\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "HSMC_RX_D_P\[10\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678212357120 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "HSMC_RX_D_P\[11\] " "Node \"HSMC_RX_D_P\[11\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "HSMC_RX_D_P\[11\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678212357120 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "HSMC_RX_D_P\[12\] " "Node \"HSMC_RX_D_P\[12\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "HSMC_RX_D_P\[12\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678212357120 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "HSMC_RX_D_P\[13\] " "Node \"HSMC_RX_D_P\[13\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "HSMC_RX_D_P\[13\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678212357120 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "HSMC_RX_D_P\[14\] " "Node \"HSMC_RX_D_P\[14\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "HSMC_RX_D_P\[14\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678212357120 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "HSMC_RX_D_P\[15\] " "Node \"HSMC_RX_D_P\[15\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "HSMC_RX_D_P\[15\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678212357120 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "HSMC_RX_D_P\[16\] " "Node \"HSMC_RX_D_P\[16\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "HSMC_RX_D_P\[16\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678212357120 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "HSMC_RX_D_P\[1\] " "Node \"HSMC_RX_D_P\[1\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "HSMC_RX_D_P\[1\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678212357120 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "HSMC_RX_D_P\[2\] " "Node \"HSMC_RX_D_P\[2\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "HSMC_RX_D_P\[2\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678212357120 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "HSMC_RX_D_P\[3\] " "Node \"HSMC_RX_D_P\[3\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "HSMC_RX_D_P\[3\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678212357120 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "HSMC_RX_D_P\[4\] " "Node \"HSMC_RX_D_P\[4\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "HSMC_RX_D_P\[4\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678212357120 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "HSMC_RX_D_P\[5\] " "Node \"HSMC_RX_D_P\[5\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "HSMC_RX_D_P\[5\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678212357120 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "HSMC_RX_D_P\[6\] " "Node \"HSMC_RX_D_P\[6\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "HSMC_RX_D_P\[6\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678212357120 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "HSMC_RX_D_P\[7\] " "Node \"HSMC_RX_D_P\[7\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "HSMC_RX_D_P\[7\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678212357120 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "HSMC_RX_D_P\[8\] " "Node \"HSMC_RX_D_P\[8\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "HSMC_RX_D_P\[8\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678212357120 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "HSMC_RX_D_P\[9\] " "Node \"HSMC_RX_D_P\[9\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "HSMC_RX_D_P\[9\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678212357120 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "HSMC_TX_D_N\[0\] " "Node \"HSMC_TX_D_N\[0\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "HSMC_TX_D_N\[0\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678212357120 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "HSMC_TX_D_N\[10\] " "Node \"HSMC_TX_D_N\[10\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "HSMC_TX_D_N\[10\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678212357120 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "HSMC_TX_D_N\[11\] " "Node \"HSMC_TX_D_N\[11\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "HSMC_TX_D_N\[11\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678212357120 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "HSMC_TX_D_N\[12\] " "Node \"HSMC_TX_D_N\[12\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "HSMC_TX_D_N\[12\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678212357120 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "HSMC_TX_D_N\[13\] " "Node \"HSMC_TX_D_N\[13\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "HSMC_TX_D_N\[13\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678212357120 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "HSMC_TX_D_N\[14\] " "Node \"HSMC_TX_D_N\[14\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "HSMC_TX_D_N\[14\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678212357120 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "HSMC_TX_D_N\[15\] " "Node \"HSMC_TX_D_N\[15\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "HSMC_TX_D_N\[15\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678212357120 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "HSMC_TX_D_N\[16\] " "Node \"HSMC_TX_D_N\[16\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "HSMC_TX_D_N\[16\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678212357120 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "HSMC_TX_D_N\[1\] " "Node \"HSMC_TX_D_N\[1\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "HSMC_TX_D_N\[1\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678212357120 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "HSMC_TX_D_N\[2\] " "Node \"HSMC_TX_D_N\[2\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "HSMC_TX_D_N\[2\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678212357120 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "HSMC_TX_D_N\[3\] " "Node \"HSMC_TX_D_N\[3\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "HSMC_TX_D_N\[3\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678212357120 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "HSMC_TX_D_N\[4\] " "Node \"HSMC_TX_D_N\[4\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "HSMC_TX_D_N\[4\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678212357120 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "HSMC_TX_D_N\[5\] " "Node \"HSMC_TX_D_N\[5\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "HSMC_TX_D_N\[5\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678212357120 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "HSMC_TX_D_N\[6\] " "Node \"HSMC_TX_D_N\[6\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "HSMC_TX_D_N\[6\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678212357120 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "HSMC_TX_D_N\[7\] " "Node \"HSMC_TX_D_N\[7\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "HSMC_TX_D_N\[7\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678212357120 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "HSMC_TX_D_N\[8\] " "Node \"HSMC_TX_D_N\[8\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "HSMC_TX_D_N\[8\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678212357120 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "HSMC_TX_D_N\[9\] " "Node \"HSMC_TX_D_N\[9\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "HSMC_TX_D_N\[9\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678212357120 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "HSMC_TX_D_P\[0\] " "Node \"HSMC_TX_D_P\[0\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "HSMC_TX_D_P\[0\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678212357120 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "HSMC_TX_D_P\[10\] " "Node \"HSMC_TX_D_P\[10\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "HSMC_TX_D_P\[10\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678212357120 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "HSMC_TX_D_P\[11\] " "Node \"HSMC_TX_D_P\[11\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "HSMC_TX_D_P\[11\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678212357120 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "HSMC_TX_D_P\[12\] " "Node \"HSMC_TX_D_P\[12\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "HSMC_TX_D_P\[12\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678212357120 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "HSMC_TX_D_P\[13\] " "Node \"HSMC_TX_D_P\[13\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "HSMC_TX_D_P\[13\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678212357120 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "HSMC_TX_D_P\[14\] " "Node \"HSMC_TX_D_P\[14\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "HSMC_TX_D_P\[14\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678212357120 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "HSMC_TX_D_P\[15\] " "Node \"HSMC_TX_D_P\[15\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "HSMC_TX_D_P\[15\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678212357120 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "HSMC_TX_D_P\[16\] " "Node \"HSMC_TX_D_P\[16\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "HSMC_TX_D_P\[16\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678212357120 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "HSMC_TX_D_P\[1\] " "Node \"HSMC_TX_D_P\[1\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "HSMC_TX_D_P\[1\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678212357120 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "HSMC_TX_D_P\[2\] " "Node \"HSMC_TX_D_P\[2\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "HSMC_TX_D_P\[2\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678212357120 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "HSMC_TX_D_P\[3\] " "Node \"HSMC_TX_D_P\[3\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "HSMC_TX_D_P\[3\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678212357120 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "HSMC_TX_D_P\[4\] " "Node \"HSMC_TX_D_P\[4\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "HSMC_TX_D_P\[4\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678212357120 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "HSMC_TX_D_P\[5\] " "Node \"HSMC_TX_D_P\[5\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "HSMC_TX_D_P\[5\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678212357120 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "HSMC_TX_D_P\[6\] " "Node \"HSMC_TX_D_P\[6\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "HSMC_TX_D_P\[6\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678212357120 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "HSMC_TX_D_P\[7\] " "Node \"HSMC_TX_D_P\[7\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "HSMC_TX_D_P\[7\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678212357120 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "HSMC_TX_D_P\[8\] " "Node \"HSMC_TX_D_P\[8\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "HSMC_TX_D_P\[8\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678212357120 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "HSMC_TX_D_P\[9\] " "Node \"HSMC_TX_D_P\[9\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "HSMC_TX_D_P\[9\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678212357120 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "I2C_SCLK " "Node \"I2C_SCLK\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "I2C_SCLK" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678212357120 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "I2C_SDAT " "Node \"I2C_SDAT\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "I2C_SDAT" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678212357120 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "LCD_BLON " "Node \"LCD_BLON\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "LCD_BLON" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678212357120 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "LCD_DATA\[0\] " "Node \"LCD_DATA\[0\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "LCD_DATA\[0\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678212357120 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "LCD_DATA\[1\] " "Node \"LCD_DATA\[1\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "LCD_DATA\[1\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678212357120 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "LCD_DATA\[2\] " "Node \"LCD_DATA\[2\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "LCD_DATA\[2\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678212357120 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "LCD_DATA\[3\] " "Node \"LCD_DATA\[3\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "LCD_DATA\[3\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678212357120 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "LCD_DATA\[4\] " "Node \"LCD_DATA\[4\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "LCD_DATA\[4\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678212357120 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "LCD_DATA\[5\] " "Node \"LCD_DATA\[5\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "LCD_DATA\[5\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678212357120 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "LCD_DATA\[6\] " "Node \"LCD_DATA\[6\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "LCD_DATA\[6\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678212357120 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "LCD_DATA\[7\] " "Node \"LCD_DATA\[7\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "LCD_DATA\[7\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678212357120 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "LCD_EN " "Node \"LCD_EN\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "LCD_EN" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678212357120 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "LCD_ON " "Node \"LCD_ON\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "LCD_ON" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678212357120 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "LCD_RS " "Node \"LCD_RS\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "LCD_RS" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678212357120 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "LCD_RW " "Node \"LCD_RW\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "LCD_RW" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678212357120 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "LEDG\[1\] " "Node \"LEDG\[1\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "LEDG\[1\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678212357120 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "LEDG\[2\] " "Node \"LEDG\[2\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "LEDG\[2\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678212357120 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "LEDG\[3\] " "Node \"LEDG\[3\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "LEDG\[3\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678212357120 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "LEDG\[4\] " "Node \"LEDG\[4\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "LEDG\[4\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678212357120 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "LEDG\[5\] " "Node \"LEDG\[5\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "LEDG\[5\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678212357120 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "LEDG\[6\] " "Node \"LEDG\[6\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "LEDG\[6\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678212357120 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "LEDG\[7\] " "Node \"LEDG\[7\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "LEDG\[7\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678212357120 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "LEDG\[8\] " "Node \"LEDG\[8\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "LEDG\[8\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678212357120 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "LEDR\[0\] " "Node \"LEDR\[0\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "LEDR\[0\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678212357120 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "LEDR\[10\] " "Node \"LEDR\[10\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "LEDR\[10\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678212357120 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "LEDR\[11\] " "Node \"LEDR\[11\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "LEDR\[11\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678212357120 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "LEDR\[12\] " "Node \"LEDR\[12\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "LEDR\[12\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678212357120 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "LEDR\[13\] " "Node \"LEDR\[13\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "LEDR\[13\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678212357120 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "LEDR\[14\] " "Node \"LEDR\[14\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "LEDR\[14\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678212357120 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "LEDR\[15\] " "Node \"LEDR\[15\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "LEDR\[15\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678212357120 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "LEDR\[16\] " "Node \"LEDR\[16\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "LEDR\[16\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678212357120 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "LEDR\[17\] " "Node \"LEDR\[17\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "LEDR\[17\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678212357120 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "LEDR\[1\] " "Node \"LEDR\[1\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "LEDR\[1\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678212357120 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "LEDR\[2\] " "Node \"LEDR\[2\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "LEDR\[2\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678212357120 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "LEDR\[3\] " "Node \"LEDR\[3\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "LEDR\[3\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678212357120 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "LEDR\[4\] " "Node \"LEDR\[4\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "LEDR\[4\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678212357120 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "LEDR\[5\] " "Node \"LEDR\[5\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "LEDR\[5\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678212357120 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "LEDR\[6\] " "Node \"LEDR\[6\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "LEDR\[6\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678212357120 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "LEDR\[7\] " "Node \"LEDR\[7\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "LEDR\[7\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678212357120 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "LEDR\[8\] " "Node \"LEDR\[8\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "LEDR\[8\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678212357120 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "LEDR\[9\] " "Node \"LEDR\[9\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "LEDR\[9\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678212357120 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "OTG_ADDR\[0\] " "Node \"OTG_ADDR\[0\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "OTG_ADDR\[0\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678212357120 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "OTG_ADDR\[1\] " "Node \"OTG_ADDR\[1\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "OTG_ADDR\[1\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678212357120 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "OTG_CS_N " "Node \"OTG_CS_N\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "OTG_CS_N" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678212357120 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "OTG_DATA\[0\] " "Node \"OTG_DATA\[0\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "OTG_DATA\[0\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678212357120 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "OTG_DATA\[10\] " "Node \"OTG_DATA\[10\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "OTG_DATA\[10\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678212357120 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "OTG_DATA\[11\] " "Node \"OTG_DATA\[11\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "OTG_DATA\[11\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678212357120 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "OTG_DATA\[12\] " "Node \"OTG_DATA\[12\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "OTG_DATA\[12\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678212357120 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "OTG_DATA\[13\] " "Node \"OTG_DATA\[13\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "OTG_DATA\[13\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678212357120 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "OTG_DATA\[14\] " "Node \"OTG_DATA\[14\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "OTG_DATA\[14\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678212357120 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "OTG_DATA\[15\] " "Node \"OTG_DATA\[15\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "OTG_DATA\[15\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678212357120 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "OTG_DATA\[1\] " "Node \"OTG_DATA\[1\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "OTG_DATA\[1\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678212357120 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "OTG_DATA\[2\] " "Node \"OTG_DATA\[2\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "OTG_DATA\[2\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678212357120 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "OTG_DATA\[3\] " "Node \"OTG_DATA\[3\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "OTG_DATA\[3\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678212357120 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "OTG_DATA\[4\] " "Node \"OTG_DATA\[4\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "OTG_DATA\[4\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678212357120 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "OTG_DATA\[5\] " "Node \"OTG_DATA\[5\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "OTG_DATA\[5\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678212357120 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "OTG_DATA\[6\] " "Node \"OTG_DATA\[6\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "OTG_DATA\[6\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678212357120 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "OTG_DATA\[7\] " "Node \"OTG_DATA\[7\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "OTG_DATA\[7\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678212357120 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "OTG_DATA\[8\] " "Node \"OTG_DATA\[8\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "OTG_DATA\[8\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678212357120 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "OTG_DATA\[9\] " "Node \"OTG_DATA\[9\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "OTG_DATA\[9\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678212357120 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "OTG_DREQ\[0\] " "Node \"OTG_DREQ\[0\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "OTG_DREQ\[0\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678212357120 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "OTG_RD_N " "Node \"OTG_RD_N\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "OTG_RD_N" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678212357120 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "OTG_RST_N " "Node \"OTG_RST_N\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "OTG_RST_N" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678212357120 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "OTG_WR_N " "Node \"OTG_WR_N\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "OTG_WR_N" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678212357120 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "PS2_CLK " "Node \"PS2_CLK\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "PS2_CLK" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678212357120 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "PS2_CLK2 " "Node \"PS2_CLK2\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "PS2_CLK2" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678212357120 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "PS2_DAT " "Node \"PS2_DAT\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "PS2_DAT" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678212357120 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "PS2_DAT2 " "Node \"PS2_DAT2\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "PS2_DAT2" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678212357120 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "SD_CLK " "Node \"SD_CLK\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "SD_CLK" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678212357120 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "SD_CMD " "Node \"SD_CMD\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "SD_CMD" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678212357120 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "SD_DAT\[0\] " "Node \"SD_DAT\[0\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "SD_DAT\[0\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678212357120 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "SD_DAT\[1\] " "Node \"SD_DAT\[1\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "SD_DAT\[1\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678212357120 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "SD_DAT\[2\] " "Node \"SD_DAT\[2\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "SD_DAT\[2\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678212357120 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "SD_DAT\[3\] " "Node \"SD_DAT\[3\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "SD_DAT\[3\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678212357120 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "SMA_CLKOUT " "Node \"SMA_CLKOUT\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "SMA_CLKOUT" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678212357120 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "SRAM_ADDR\[0\] " "Node \"SRAM_ADDR\[0\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "SRAM_ADDR\[0\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678212357120 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "SRAM_ADDR\[10\] " "Node \"SRAM_ADDR\[10\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "SRAM_ADDR\[10\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678212357120 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "SRAM_ADDR\[11\] " "Node \"SRAM_ADDR\[11\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "SRAM_ADDR\[11\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678212357120 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "SRAM_ADDR\[12\] " "Node \"SRAM_ADDR\[12\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "SRAM_ADDR\[12\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678212357120 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "SRAM_ADDR\[13\] " "Node \"SRAM_ADDR\[13\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "SRAM_ADDR\[13\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678212357120 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "SRAM_ADDR\[14\] " "Node \"SRAM_ADDR\[14\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "SRAM_ADDR\[14\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678212357120 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "SRAM_ADDR\[15\] " "Node \"SRAM_ADDR\[15\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "SRAM_ADDR\[15\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678212357120 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "SRAM_ADDR\[16\] " "Node \"SRAM_ADDR\[16\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "SRAM_ADDR\[16\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678212357120 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "SRAM_ADDR\[17\] " "Node \"SRAM_ADDR\[17\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "SRAM_ADDR\[17\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678212357120 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "SRAM_ADDR\[18\] " "Node \"SRAM_ADDR\[18\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "SRAM_ADDR\[18\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678212357120 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "SRAM_ADDR\[19\] " "Node \"SRAM_ADDR\[19\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "SRAM_ADDR\[19\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678212357120 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "SRAM_ADDR\[1\] " "Node \"SRAM_ADDR\[1\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "SRAM_ADDR\[1\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678212357120 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "SRAM_ADDR\[2\] " "Node \"SRAM_ADDR\[2\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "SRAM_ADDR\[2\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678212357120 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "SRAM_ADDR\[3\] " "Node \"SRAM_ADDR\[3\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "SRAM_ADDR\[3\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678212357120 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "SRAM_ADDR\[4\] " "Node \"SRAM_ADDR\[4\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "SRAM_ADDR\[4\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678212357120 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "SRAM_ADDR\[5\] " "Node \"SRAM_ADDR\[5\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "SRAM_ADDR\[5\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678212357120 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "SRAM_ADDR\[6\] " "Node \"SRAM_ADDR\[6\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "SRAM_ADDR\[6\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678212357120 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "SRAM_ADDR\[7\] " "Node \"SRAM_ADDR\[7\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "SRAM_ADDR\[7\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678212357120 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "SRAM_ADDR\[8\] " "Node \"SRAM_ADDR\[8\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "SRAM_ADDR\[8\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678212357120 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "SRAM_ADDR\[9\] " "Node \"SRAM_ADDR\[9\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "SRAM_ADDR\[9\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678212357120 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "SRAM_CE_N " "Node \"SRAM_CE_N\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "SRAM_CE_N" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678212357120 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "SRAM_DQ\[0\] " "Node \"SRAM_DQ\[0\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "SRAM_DQ\[0\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678212357120 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "SRAM_DQ\[10\] " "Node \"SRAM_DQ\[10\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "SRAM_DQ\[10\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678212357120 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "SRAM_DQ\[11\] " "Node \"SRAM_DQ\[11\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "SRAM_DQ\[11\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678212357120 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "SRAM_DQ\[12\] " "Node \"SRAM_DQ\[12\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "SRAM_DQ\[12\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678212357120 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "SRAM_DQ\[13\] " "Node \"SRAM_DQ\[13\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "SRAM_DQ\[13\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678212357120 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "SRAM_DQ\[14\] " "Node \"SRAM_DQ\[14\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "SRAM_DQ\[14\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678212357120 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "SRAM_DQ\[15\] " "Node \"SRAM_DQ\[15\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "SRAM_DQ\[15\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678212357120 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "SRAM_DQ\[1\] " "Node \"SRAM_DQ\[1\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "SRAM_DQ\[1\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678212357120 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "SRAM_DQ\[2\] " "Node \"SRAM_DQ\[2\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "SRAM_DQ\[2\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678212357120 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "SRAM_DQ\[3\] " "Node \"SRAM_DQ\[3\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "SRAM_DQ\[3\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678212357120 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "SRAM_DQ\[4\] " "Node \"SRAM_DQ\[4\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "SRAM_DQ\[4\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678212357120 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "SRAM_DQ\[5\] " "Node \"SRAM_DQ\[5\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "SRAM_DQ\[5\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678212357120 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "SRAM_DQ\[6\] " "Node \"SRAM_DQ\[6\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "SRAM_DQ\[6\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678212357120 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "SRAM_DQ\[7\] " "Node \"SRAM_DQ\[7\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "SRAM_DQ\[7\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678212357120 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "SRAM_DQ\[8\] " "Node \"SRAM_DQ\[8\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "SRAM_DQ\[8\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678212357120 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "SRAM_DQ\[9\] " "Node \"SRAM_DQ\[9\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "SRAM_DQ\[9\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678212357120 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "SRAM_LB_N " "Node \"SRAM_LB_N\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "SRAM_LB_N" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678212357120 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "SRAM_OE_N " "Node \"SRAM_OE_N\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "SRAM_OE_N" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678212357120 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "SRAM_UB_N " "Node \"SRAM_UB_N\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "SRAM_UB_N" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678212357120 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "SRAM_WE_N " "Node \"SRAM_WE_N\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "SRAM_WE_N" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678212357120 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "TD_RESET_N " "Node \"TD_RESET_N\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "TD_RESET_N" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678212357120 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "UART_CTS " "Node \"UART_CTS\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "UART_CTS" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678212357120 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "UART_TXD " "Node \"UART_TXD\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "UART_TXD" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678212357120 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "VGA_BLANK_N " "Node \"VGA_BLANK_N\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "VGA_BLANK_N" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678212357120 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "VGA_B\[0\] " "Node \"VGA_B\[0\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "VGA_B\[0\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678212357120 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "VGA_B\[1\] " "Node \"VGA_B\[1\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "VGA_B\[1\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678212357120 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "VGA_B\[2\] " "Node \"VGA_B\[2\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "VGA_B\[2\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678212357120 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "VGA_B\[3\] " "Node \"VGA_B\[3\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "VGA_B\[3\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678212357120 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "VGA_B\[4\] " "Node \"VGA_B\[4\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "VGA_B\[4\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678212357120 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "VGA_B\[5\] " "Node \"VGA_B\[5\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "VGA_B\[5\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678212357120 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "VGA_B\[6\] " "Node \"VGA_B\[6\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "VGA_B\[6\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678212357120 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "VGA_B\[7\] " "Node \"VGA_B\[7\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "VGA_B\[7\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678212357120 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "VGA_CLK " "Node \"VGA_CLK\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "VGA_CLK" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678212357120 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "VGA_G\[0\] " "Node \"VGA_G\[0\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "VGA_G\[0\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678212357120 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "VGA_G\[1\] " "Node \"VGA_G\[1\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "VGA_G\[1\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678212357120 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "VGA_G\[2\] " "Node \"VGA_G\[2\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "VGA_G\[2\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678212357120 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "VGA_G\[3\] " "Node \"VGA_G\[3\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "VGA_G\[3\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678212357120 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "VGA_G\[4\] " "Node \"VGA_G\[4\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "VGA_G\[4\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678212357120 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "VGA_G\[5\] " "Node \"VGA_G\[5\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "VGA_G\[5\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678212357120 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "VGA_G\[6\] " "Node \"VGA_G\[6\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "VGA_G\[6\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678212357120 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "VGA_G\[7\] " "Node \"VGA_G\[7\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "VGA_G\[7\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678212357120 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "VGA_HS " "Node \"VGA_HS\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "VGA_HS" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678212357120 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "VGA_R\[0\] " "Node \"VGA_R\[0\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "VGA_R\[0\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678212357120 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "VGA_R\[1\] " "Node \"VGA_R\[1\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "VGA_R\[1\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678212357120 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "VGA_R\[2\] " "Node \"VGA_R\[2\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "VGA_R\[2\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678212357120 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "VGA_R\[3\] " "Node \"VGA_R\[3\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "VGA_R\[3\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678212357120 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "VGA_R\[4\] " "Node \"VGA_R\[4\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "VGA_R\[4\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678212357120 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "VGA_R\[5\] " "Node \"VGA_R\[5\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "VGA_R\[5\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678212357120 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "VGA_R\[6\] " "Node \"VGA_R\[6\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "VGA_R\[6\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678212357120 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "VGA_R\[7\] " "Node \"VGA_R\[7\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "VGA_R\[7\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678212357120 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "VGA_SYNC_N " "Node \"VGA_SYNC_N\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "VGA_SYNC_N" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678212357120 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "VGA_VS " "Node \"VGA_VS\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "VGA_VS" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678212357120 ""} } { } 0 15705 "Ignored locations or region assignments to the following nodes" 0 0 "Fitter" 0 -1 1678212357120 ""} -{ "Info" "IFITCC_FITTER_PREPARATION_END" "00:00:01 " "Fitter preparation operations ending: elapsed time is 00:00:01" { } { } 0 171121 "Fitter preparation operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1678212357129 ""} -{ "Info" "IVPR20K_VPR_FAMILY_APL_ERROR" "" "Fitter has disabled Advanced Physical Optimization because it is not supported for the current family." { } { } 0 14896 "Fitter has disabled Advanced Physical Optimization because it is not supported for the current family." 0 0 "Fitter" 0 -1 1678212357135 ""} -{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_PREP_START" "" "Fitter placement preparation operations beginning" { } { } 0 170189 "Fitter placement preparation operations beginning" 0 0 "Fitter" 0 -1 1678212358762 ""} -{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_PREP_END" "00:00:00 " "Fitter placement preparation operations ending: elapsed time is 00:00:00" { } { } 0 170190 "Fitter placement preparation operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1678212358856 ""} -{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_START" "" "Fitter placement operations beginning" { } { } 0 170191 "Fitter placement operations beginning" 0 0 "Fitter" 0 -1 1678212358888 ""} -{ "Info" "IFITAPI_FITAPI_INFO_VPR_PLACEMENT_FINISH" "" "Fitter placement was successful" { } { } 0 170137 "Fitter placement was successful" 0 0 "Fitter" 0 -1 1678212359068 ""} -{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_END" "00:00:00 " "Fitter placement operations ending: elapsed time is 00:00:00" { } { } 0 170192 "Fitter placement operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1678212359068 ""} -{ "Info" "IFITAPI_FITAPI_VPR_FITTER_ROUTING_START" "" "Fitter routing operations beginning" { } { } 0 170193 "Fitter routing operations beginning" 0 0 "Fitter" 0 -1 1678212359312 ""} -{ "Info" "IFITAPI_FITAPI_VPR_PERCENT_ROUTING_RESOURCE_USAGE" "0 " "Router estimated average interconnect usage is 0% of the available device resources" { { "Info" "IFITAPI_FITAPI_VPR_PEAK_ROUTING_REGION" "0 X104_Y12 X115_Y23 " "Router estimated peak interconnect usage is 0% of the available device resources in the region that extends from location X104_Y12 to location X115_Y23" { } { { "loc" "" { Generic "/home/tiagorg/repos/uaveiro-leci/1ano/2semestre/lsd/pratica01/part4/" { { 1 { 0 "Router estimated peak interconnect usage is 0% of the available device resources in the region that extends from location X104_Y12 to location X115_Y23"} { { 12 { 0 ""} 104 12 12 12 } } } } } } } 0 170196 "Router estimated peak interconnect usage is %1!d!%% of the available device resources in the region that extends from location %2!s! to location %3!s!" 0 0 "Design Software" 0 -1 1678212361389 ""} } { } 0 170195 "Router estimated average interconnect usage is %1!d!%% of the available device resources" 0 0 "Fitter" 0 -1 1678212361389 ""} -{ "Info" "IFITAPI_FITAPI_VPR_AUTO_FIT_ENABLED_AND_USED" "" "The Fitter performed an Auto Fit compilation. Optimizations were skipped to reduce compilation time." { { "Info" "IFITAPI_FITAPI_VPR_AUTO_FIT_ENABLED_AND_USED_FOR_ROUTABILITY" "" "Optimizations that may affect the design's routability were skipped" { } { } 0 170201 "Optimizations that may affect the design's routability were skipped" 0 0 "Design Software" 0 -1 1678212361492 ""} { "Info" "IFITAPI_FITAPI_VPR_AUTO_FIT_ENABLED_AND_USED_FOR_TIMING" "" "Optimizations that may affect the design's timing were skipped" { } { } 0 170200 "Optimizations that may affect the design's timing were skipped" 0 0 "Design Software" 0 -1 1678212361492 ""} } { } 0 170199 "The Fitter performed an Auto Fit compilation. Optimizations were skipped to reduce compilation time." 0 0 "Fitter" 0 -1 1678212361492 ""} -{ "Info" "IFITAPI_FITAPI_VPR_FITTER_ROUTING_END" "00:00:00 " "Fitter routing operations ending: elapsed time is 00:00:00" { } { } 0 170194 "Fitter routing operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1678212361494 ""} -{ "Info" "IVPR20K_VPR_TIMING_ANALYSIS_TIME" "the Fitter 0.01 " "Total time spent on timing analysis during the Fitter is 0.01 seconds." { } { } 0 11888 "Total time spent on timing analysis during %1!s! is %2!s! seconds." 0 0 "Fitter" 0 -1 1678212361579 ""} -{ "Info" "ITAPI_TAPI_STARTED" "" "Started post-fitting delay annotation" { } { } 0 334003 "Started post-fitting delay annotation" 0 0 "Fitter" 0 -1 1678212361584 ""} -{ "Info" "ITAPI_TAPI_COMPLETED" "" "Delay annotation completed successfully" { } { } 0 334004 "Delay annotation completed successfully" 0 0 "Fitter" 0 -1 1678212361775 ""} -{ "Info" "ITAPI_TAPI_STARTED" "" "Started post-fitting delay annotation" { } { } 0 334003 "Started post-fitting delay annotation" 0 0 "Fitter" 0 -1 1678212361775 ""} -{ "Info" "ITAPI_TAPI_COMPLETED" "" "Delay annotation completed successfully" { } { } 0 334004 "Delay annotation completed successfully" 0 0 "Fitter" 0 -1 1678212361944 ""} -{ "Info" "IFITCC_FITTER_POST_OPERATION_END" "00:00:01 " "Fitter post-fit operations ending: elapsed time is 00:00:01" { } { } 0 11218 "Fitter post-fit operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1678212362197 ""} -{ "Warning" "WFITCC_FITCC_IGNORED_ASSIGNMENT" "" "Found invalid Fitter assignments. See the Ignored Assignments panel in the Fitter Compilation Report for more information." { } { } 0 171167 "Found invalid Fitter assignments. See the Ignored Assignments panel in the Fitter Compilation Report for more information." 0 0 "Fitter" 0 -1 1678212362388 ""} -{ "Warning" "WFIOMGR_FIOMGR_REFER_APPNOTE_447_TOP_LEVEL" "25 Cyclone IV E " "25 pins must meet Intel FPGA requirements for 3.3-, 3.0-, and 2.5-V interfaces. For more information, refer to AN 447: Interfacing Cyclone IV E Devices with 3.3/3.0/2.5-V LVTTL/LVCMOS I/O Systems." { { "Info" "IFIOMGR_PIN_IO_STANDARD_LOCATION" "AUD_ADCDAT 3.3-V LVTTL D2 " "Pin AUD_ADCDAT uses I/O standard 3.3-V LVTTL at D2" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/pin_planner.ppl" "" { PinPlanner "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/pin_planner.ppl" { AUD_ADCDAT } } } { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "AUD_ADCDAT" } } } } { "temporary_test_loc" "" { Generic "/home/tiagorg/repos/uaveiro-leci/1ano/2semestre/lsd/pratica01/part4/" { { 0 { 0 ""} 0 15 14177 15141 0 0 "" 0 "" "" } } } } } 0 169178 "Pin %1!s! uses I/O standard %2!s! at %3!s!" 0 0 "Design Software" 0 -1 1678212362391 ""} { "Info" "IFIOMGR_PIN_IO_STANDARD_LOCATION" "CLOCK2_50 3.3-V LVTTL AG14 " "Pin CLOCK2_50 uses I/O standard 3.3-V LVTTL at AG14" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/pin_planner.ppl" "" { PinPlanner "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/pin_planner.ppl" { CLOCK2_50 } } } { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "CLOCK2_50" } } } } { "temporary_test_loc" "" { Generic "/home/tiagorg/repos/uaveiro-leci/1ano/2semestre/lsd/pratica01/part4/" { { 0 { 0 ""} 0 21 14177 15141 0 0 "" 0 "" "" } } } } } 0 169178 "Pin %1!s! uses I/O standard %2!s! at %3!s!" 0 0 "Design Software" 0 -1 1678212362391 ""} { "Info" "IFIOMGR_PIN_IO_STANDARD_LOCATION" "CLOCK3_50 3.3-V LVTTL AG15 " "Pin CLOCK3_50 uses I/O standard 3.3-V LVTTL at AG15" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/pin_planner.ppl" "" { PinPlanner "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/pin_planner.ppl" { CLOCK3_50 } } } { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "CLOCK3_50" } } } } { "temporary_test_loc" "" { Generic "/home/tiagorg/repos/uaveiro-leci/1ano/2semestre/lsd/pratica01/part4/" { { 0 { 0 ""} 0 22 14177 15141 0 0 "" 0 "" "" } } } } } 0 169178 "Pin %1!s! uses I/O standard %2!s! at %3!s!" 0 0 "Design Software" 0 -1 1678212362391 ""} { "Info" "IFIOMGR_PIN_IO_STANDARD_LOCATION" "ENET0_LINK100 3.3-V LVTTL C14 " "Pin ENET0_LINK100 uses I/O standard 3.3-V LVTTL at C14" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/pin_planner.ppl" "" { PinPlanner "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/pin_planner.ppl" { ENET0_LINK100 } } } { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "ENET0_LINK100" } } } } { "temporary_test_loc" "" { Generic "/home/tiagorg/repos/uaveiro-leci/1ano/2semestre/lsd/pratica01/part4/" { { 0 { 0 ""} 0 89 14177 15141 0 0 "" 0 "" "" } } } } } 0 169178 "Pin %1!s! uses I/O standard %2!s! at %3!s!" 0 0 "Design Software" 0 -1 1678212362391 ""} { "Info" "IFIOMGR_PIN_IO_STANDARD_LOCATION" "ENET1_LINK100 3.3-V LVTTL D13 " "Pin ENET1_LINK100 uses I/O standard 3.3-V LVTTL at D13" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/pin_planner.ppl" "" { PinPlanner "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/pin_planner.ppl" { ENET1_LINK100 } } } { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "ENET1_LINK100" } } } } { "temporary_test_loc" "" { Generic "/home/tiagorg/repos/uaveiro-leci/1ano/2semestre/lsd/pratica01/part4/" { { 0 { 0 ""} 0 113 14177 15141 0 0 "" 0 "" "" } } } } } 0 169178 "Pin %1!s! uses I/O standard %2!s! at %3!s!" 0 0 "Design Software" 0 -1 1678212362391 ""} { "Info" "IFIOMGR_PIN_IO_STANDARD_LOCATION" "ENETCLK_25 3.3-V LVTTL A14 " "Pin ENETCLK_25 uses I/O standard 3.3-V LVTTL at A14" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/pin_planner.ppl" "" { PinPlanner "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/pin_planner.ppl" { ENETCLK_25 } } } { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "ENETCLK_25" } } } } { "temporary_test_loc" "" { Generic "/home/tiagorg/repos/uaveiro-leci/1ano/2semestre/lsd/pratica01/part4/" { { 0 { 0 ""} 0 135 14177 15141 0 0 "" 0 "" "" } } } } } 0 169178 "Pin %1!s! uses I/O standard %2!s! at %3!s!" 0 0 "Design Software" 0 -1 1678212362391 ""} { "Info" "IFIOMGR_PIN_IO_STANDARD_LOCATION" "FL_RY 3.3-V LVTTL Y1 " "Pin FL_RY uses I/O standard 3.3-V LVTTL at Y1" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/pin_planner.ppl" "" { PinPlanner "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/pin_planner.ppl" { FL_RY } } } { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "FL_RY" } } } } { "temporary_test_loc" "" { Generic "/home/tiagorg/repos/uaveiro-leci/1ano/2semestre/lsd/pratica01/part4/" { { 0 { 0 ""} 0 180 14177 15141 0 0 "" 0 "" "" } } } } } 0 169178 "Pin %1!s! uses I/O standard %2!s! at %3!s!" 0 0 "Design Software" 0 -1 1678212362391 ""} { "Info" "IFIOMGR_PIN_IO_STANDARD_LOCATION" "HSMC_CLKIN0 3.3-V LVTTL AH15 " "Pin HSMC_CLKIN0 uses I/O standard 3.3-V LVTTL at AH15" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/pin_planner.ppl" "" { PinPlanner "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/pin_planner.ppl" { HSMC_CLKIN0 } } } { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "HSMC_CLKIN0" } } } } { "temporary_test_loc" "" { Generic "/home/tiagorg/repos/uaveiro-leci/1ano/2semestre/lsd/pratica01/part4/" { { 0 { 0 ""} 0 284 14177 15141 0 0 "" 0 "" "" } } } } } 0 169178 "Pin %1!s! uses I/O standard %2!s! at %3!s!" 0 0 "Design Software" 0 -1 1678212362391 ""} { "Info" "IFIOMGR_PIN_IO_STANDARD_LOCATION" "IRDA_RXD 3.3-V LVTTL Y15 " "Pin IRDA_RXD uses I/O standard 3.3-V LVTTL at Y15" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/pin_planner.ppl" "" { PinPlanner "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/pin_planner.ppl" { IRDA_RXD } } } { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "IRDA_RXD" } } } } { "temporary_test_loc" "" { Generic "/home/tiagorg/repos/uaveiro-leci/1ano/2semestre/lsd/pratica01/part4/" { { 0 { 0 ""} 0 373 14177 15141 0 0 "" 0 "" "" } } } } } 0 169178 "Pin %1!s! uses I/O standard %2!s! at %3!s!" 0 0 "Design Software" 0 -1 1678212362391 ""} { "Info" "IFIOMGR_PIN_IO_STANDARD_LOCATION" "OTG_INT 3.3-V LVTTL D5 " "Pin OTG_INT uses I/O standard 3.3-V LVTTL at D5" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/pin_planner.ppl" "" { PinPlanner "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/pin_planner.ppl" { OTG_INT } } } { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "OTG_INT" } } } } { "temporary_test_loc" "" { Generic "/home/tiagorg/repos/uaveiro-leci/1ano/2semestre/lsd/pratica01/part4/" { { 0 { 0 ""} 0 443 14177 15141 0 0 "" 0 "" "" } } } } } 0 169178 "Pin %1!s! uses I/O standard %2!s! at %3!s!" 0 0 "Design Software" 0 -1 1678212362391 ""} { "Info" "IFIOMGR_PIN_IO_STANDARD_LOCATION" "SD_WP_N 3.3-V LVTTL AF14 " "Pin SD_WP_N uses I/O standard 3.3-V LVTTL at AF14" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/pin_planner.ppl" "" { PinPlanner "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/pin_planner.ppl" { SD_WP_N } } } { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "SD_WP_N" } } } } { "temporary_test_loc" "" { Generic "/home/tiagorg/repos/uaveiro-leci/1ano/2semestre/lsd/pratica01/part4/" { { 0 { 0 ""} 0 458 14177 15141 0 0 "" 0 "" "" } } } } } 0 169178 "Pin %1!s! uses I/O standard %2!s! at %3!s!" 0 0 "Design Software" 0 -1 1678212362391 ""} { "Info" "IFIOMGR_PIN_IO_STANDARD_LOCATION" "SMA_CLKIN 3.3-V LVTTL AH14 " "Pin SMA_CLKIN uses I/O standard 3.3-V LVTTL at AH14" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/pin_planner.ppl" "" { PinPlanner "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/pin_planner.ppl" { SMA_CLKIN } } } { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "SMA_CLKIN" } } } } { "temporary_test_loc" "" { Generic "/home/tiagorg/repos/uaveiro-leci/1ano/2semestre/lsd/pratica01/part4/" { { 0 { 0 ""} 0 459 14177 15141 0 0 "" 0 "" "" } } } } } 0 169178 "Pin %1!s! uses I/O standard %2!s! at %3!s!" 0 0 "Design Software" 0 -1 1678212362391 ""} { "Info" "IFIOMGR_PIN_IO_STANDARD_LOCATION" "TD_CLK27 3.3-V LVTTL B14 " "Pin TD_CLK27 uses I/O standard 3.3-V LVTTL at B14" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/pin_planner.ppl" "" { PinPlanner "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/pin_planner.ppl" { TD_CLK27 } } } { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "TD_CLK27" } } } } { "temporary_test_loc" "" { Generic "/home/tiagorg/repos/uaveiro-leci/1ano/2semestre/lsd/pratica01/part4/" { { 0 { 0 ""} 0 514 14177 15141 0 0 "" 0 "" "" } } } } } 0 169178 "Pin %1!s! uses I/O standard %2!s! at %3!s!" 0 0 "Design Software" 0 -1 1678212362391 ""} { "Info" "IFIOMGR_PIN_IO_STANDARD_LOCATION" "TD_DATA\[0\] 3.3-V LVTTL E8 " "Pin TD_DATA\[0\] uses I/O standard 3.3-V LVTTL at E8" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/pin_planner.ppl" "" { PinPlanner "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/pin_planner.ppl" { TD_DATA[0] } } } { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "TD_DATA\[0\]" } } } } { "temporary_test_loc" "" { Generic "/home/tiagorg/repos/uaveiro-leci/1ano/2semestre/lsd/pratica01/part4/" { { 0 { 0 ""} 0 516 14177 15141 0 0 "" 0 "" "" } } } } } 0 169178 "Pin %1!s! uses I/O standard %2!s! at %3!s!" 0 0 "Design Software" 0 -1 1678212362391 ""} { "Info" "IFIOMGR_PIN_IO_STANDARD_LOCATION" "TD_DATA\[1\] 3.3-V LVTTL A7 " "Pin TD_DATA\[1\] uses I/O standard 3.3-V LVTTL at A7" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/pin_planner.ppl" "" { PinPlanner "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/pin_planner.ppl" { TD_DATA[1] } } } { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "TD_DATA\[1\]" } } } } { "temporary_test_loc" "" { Generic "/home/tiagorg/repos/uaveiro-leci/1ano/2semestre/lsd/pratica01/part4/" { { 0 { 0 ""} 0 517 14177 15141 0 0 "" 0 "" "" } } } } } 0 169178 "Pin %1!s! uses I/O standard %2!s! at %3!s!" 0 0 "Design Software" 0 -1 1678212362391 ""} { "Info" "IFIOMGR_PIN_IO_STANDARD_LOCATION" "TD_DATA\[2\] 3.3-V LVTTL D8 " "Pin TD_DATA\[2\] uses I/O standard 3.3-V LVTTL at D8" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/pin_planner.ppl" "" { PinPlanner "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/pin_planner.ppl" { TD_DATA[2] } } } { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "TD_DATA\[2\]" } } } } { "temporary_test_loc" "" { Generic "/home/tiagorg/repos/uaveiro-leci/1ano/2semestre/lsd/pratica01/part4/" { { 0 { 0 ""} 0 518 14177 15141 0 0 "" 0 "" "" } } } } } 0 169178 "Pin %1!s! uses I/O standard %2!s! at %3!s!" 0 0 "Design Software" 0 -1 1678212362391 ""} { "Info" "IFIOMGR_PIN_IO_STANDARD_LOCATION" "TD_DATA\[3\] 3.3-V LVTTL C7 " "Pin TD_DATA\[3\] uses I/O standard 3.3-V LVTTL at C7" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/pin_planner.ppl" "" { PinPlanner "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/pin_planner.ppl" { TD_DATA[3] } } } { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "TD_DATA\[3\]" } } } } { "temporary_test_loc" "" { Generic "/home/tiagorg/repos/uaveiro-leci/1ano/2semestre/lsd/pratica01/part4/" { { 0 { 0 ""} 0 519 14177 15141 0 0 "" 0 "" "" } } } } } 0 169178 "Pin %1!s! uses I/O standard %2!s! at %3!s!" 0 0 "Design Software" 0 -1 1678212362391 ""} { "Info" "IFIOMGR_PIN_IO_STANDARD_LOCATION" "TD_DATA\[4\] 3.3-V LVTTL D7 " "Pin TD_DATA\[4\] uses I/O standard 3.3-V LVTTL at D7" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/pin_planner.ppl" "" { PinPlanner "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/pin_planner.ppl" { TD_DATA[4] } } } { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "TD_DATA\[4\]" } } } } { "temporary_test_loc" "" { Generic "/home/tiagorg/repos/uaveiro-leci/1ano/2semestre/lsd/pratica01/part4/" { { 0 { 0 ""} 0 520 14177 15141 0 0 "" 0 "" "" } } } } } 0 169178 "Pin %1!s! uses I/O standard %2!s! at %3!s!" 0 0 "Design Software" 0 -1 1678212362391 ""} { "Info" "IFIOMGR_PIN_IO_STANDARD_LOCATION" "TD_DATA\[5\] 3.3-V LVTTL D6 " "Pin TD_DATA\[5\] uses I/O standard 3.3-V LVTTL at D6" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/pin_planner.ppl" "" { PinPlanner "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/pin_planner.ppl" { TD_DATA[5] } } } { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "TD_DATA\[5\]" } } } } { "temporary_test_loc" "" { Generic "/home/tiagorg/repos/uaveiro-leci/1ano/2semestre/lsd/pratica01/part4/" { { 0 { 0 ""} 0 521 14177 15141 0 0 "" 0 "" "" } } } } } 0 169178 "Pin %1!s! uses I/O standard %2!s! at %3!s!" 0 0 "Design Software" 0 -1 1678212362391 ""} { "Info" "IFIOMGR_PIN_IO_STANDARD_LOCATION" "TD_DATA\[6\] 3.3-V LVTTL E7 " "Pin TD_DATA\[6\] uses I/O standard 3.3-V LVTTL at E7" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/pin_planner.ppl" "" { PinPlanner "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/pin_planner.ppl" { TD_DATA[6] } } } { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "TD_DATA\[6\]" } } } } { "temporary_test_loc" "" { Generic "/home/tiagorg/repos/uaveiro-leci/1ano/2semestre/lsd/pratica01/part4/" { { 0 { 0 ""} 0 522 14177 15141 0 0 "" 0 "" "" } } } } } 0 169178 "Pin %1!s! uses I/O standard %2!s! at %3!s!" 0 0 "Design Software" 0 -1 1678212362391 ""} { "Info" "IFIOMGR_PIN_IO_STANDARD_LOCATION" "TD_DATA\[7\] 3.3-V LVTTL F7 " "Pin TD_DATA\[7\] uses I/O standard 3.3-V LVTTL at F7" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/pin_planner.ppl" "" { PinPlanner "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/pin_planner.ppl" { TD_DATA[7] } } } { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "TD_DATA\[7\]" } } } } { "temporary_test_loc" "" { Generic "/home/tiagorg/repos/uaveiro-leci/1ano/2semestre/lsd/pratica01/part4/" { { 0 { 0 ""} 0 523 14177 15141 0 0 "" 0 "" "" } } } } } 0 169178 "Pin %1!s! uses I/O standard %2!s! at %3!s!" 0 0 "Design Software" 0 -1 1678212362391 ""} { "Info" "IFIOMGR_PIN_IO_STANDARD_LOCATION" "TD_HS 3.3-V LVTTL E5 " "Pin TD_HS uses I/O standard 3.3-V LVTTL at E5" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/pin_planner.ppl" "" { PinPlanner "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/pin_planner.ppl" { TD_HS } } } { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "TD_HS" } } } } { "temporary_test_loc" "" { Generic "/home/tiagorg/repos/uaveiro-leci/1ano/2semestre/lsd/pratica01/part4/" { { 0 { 0 ""} 0 524 14177 15141 0 0 "" 0 "" "" } } } } } 0 169178 "Pin %1!s! uses I/O standard %2!s! at %3!s!" 0 0 "Design Software" 0 -1 1678212362391 ""} { "Info" "IFIOMGR_PIN_IO_STANDARD_LOCATION" "TD_VS 3.3-V LVTTL E4 " "Pin TD_VS uses I/O standard 3.3-V LVTTL at E4" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/pin_planner.ppl" "" { PinPlanner "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/pin_planner.ppl" { TD_VS } } } { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "TD_VS" } } } } { "temporary_test_loc" "" { Generic "/home/tiagorg/repos/uaveiro-leci/1ano/2semestre/lsd/pratica01/part4/" { { 0 { 0 ""} 0 526 14177 15141 0 0 "" 0 "" "" } } } } } 0 169178 "Pin %1!s! uses I/O standard %2!s! at %3!s!" 0 0 "Design Software" 0 -1 1678212362391 ""} { "Info" "IFIOMGR_PIN_IO_STANDARD_LOCATION" "UART_RTS 3.3-V LVTTL J13 " "Pin UART_RTS uses I/O standard 3.3-V LVTTL at J13" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/pin_planner.ppl" "" { PinPlanner "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/pin_planner.ppl" { UART_RTS } } } { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "UART_RTS" } } } } { "temporary_test_loc" "" { Generic "/home/tiagorg/repos/uaveiro-leci/1ano/2semestre/lsd/pratica01/part4/" { { 0 { 0 ""} 0 528 14177 15141 0 0 "" 0 "" "" } } } } } 0 169178 "Pin %1!s! uses I/O standard %2!s! at %3!s!" 0 0 "Design Software" 0 -1 1678212362391 ""} { "Info" "IFIOMGR_PIN_IO_STANDARD_LOCATION" "UART_RXD 3.3-V LVTTL G12 " "Pin UART_RXD uses I/O standard 3.3-V LVTTL at G12" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/pin_planner.ppl" "" { PinPlanner "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/pin_planner.ppl" { UART_RXD } } } { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "UART_RXD" } } } } { "temporary_test_loc" "" { Generic "/home/tiagorg/repos/uaveiro-leci/1ano/2semestre/lsd/pratica01/part4/" { { 0 { 0 ""} 0 529 14177 15141 0 0 "" 0 "" "" } } } } } 0 169178 "Pin %1!s! uses I/O standard %2!s! at %3!s!" 0 0 "Design Software" 0 -1 1678212362391 ""} } { } 0 169177 "%1!d! pins must meet Intel FPGA requirements for 3.3-, 3.0-, and 2.5-V interfaces. For more information, refer to AN 447: Interfacing %2!s! Devices with 3.3/3.0/2.5-V LVTTL/LVCMOS I/O Systems." 0 0 "Fitter" 0 -1 1678212362391 ""} -{ "Info" "IRDB_WROTE_SUPPRESSED_MSGS" "/home/tiagorg/repos/uaveiro-leci/1ano/2semestre/lsd/pratica01/part4/output_files/EqCmpDemo.fit.smsg " "Generated suppressed messages file /home/tiagorg/repos/uaveiro-leci/1ano/2semestre/lsd/pratica01/part4/output_files/EqCmpDemo.fit.smsg" { } { } 0 144001 "Generated suppressed messages file %1!s!" 0 0 "Fitter" 0 -1 1678212362443 ""} -{ "Info" "IQEXE_ERROR_COUNT" "Fitter 0 s 534 s Quartus Prime " "Quartus Prime Fitter was successful. 0 errors, 534 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "1155 " "Peak virtual memory: 1155 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Design Software" 0 -1 1678212362604 ""} { "Info" "IQEXE_END_BANNER_TIME" "Tue Mar 7 18:06:02 2023 " "Processing ended: Tue Mar 7 18:06:02 2023" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Design Software" 0 -1 1678212362604 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:07 " "Elapsed time: 00:00:07" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Design Software" 0 -1 1678212362604 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:10 " "Total CPU time (on all processors): 00:00:10" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Design Software" 0 -1 1678212362604 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Fitter" 0 -1 1678212362604 ""} +{ "Warning" "WQCU_PARALLEL_USER_SHOULD_SPECIFY_NUM_PROC" "" "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." { } { } 0 18236 "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." 0 0 "Fitter" 0 -1 1678222504333 ""} +{ "Info" "IQCU_PARALLEL_AUTODETECT_MULTIPLE_PROCESSORS" "4 4 " "Parallel compilation is enabled and will use 4 of the 4 processors detected" { } { } 0 20030 "Parallel compilation is enabled and will use %1!i! of the %2!i! processors detected" 0 0 "Fitter" 0 -1 1678222504333 ""} +{ "Info" "IMPP_MPP_USER_DEVICE" "EqCmpDemo EP4CE115F29C7 " "Selected device EP4CE115F29C7 for design \"EqCmpDemo\"" { } { } 0 119006 "Selected device %2!s! for design \"%1!s!\"" 0 0 "Fitter" 0 -1 1678222504339 ""} +{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "Low junction temperature 0 degrees C " "Low junction temperature is 0 degrees C" { } { } 0 21077 "%1!s! is %2!s!" 0 0 "Fitter" 0 -1 1678222504440 ""} +{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "High junction temperature 85 degrees C " "High junction temperature is 85 degrees C" { } { } 0 21077 "%1!s! is %2!s!" 0 0 "Fitter" 0 -1 1678222504440 ""} +{ "Info" "IFITCC_FITCC_INFO_AUTO_FIT_COMPILATION_ON" "" "Fitter is performing an Auto Fit compilation, which may decrease Fitter effort to reduce compilation time" { } { } 0 171003 "Fitter is performing an Auto Fit compilation, which may decrease Fitter effort to reduce compilation time" 0 0 "Fitter" 0 -1 1678222504830 ""} +{ "Warning" "WCPT_FEATURE_DISABLED_POST" "LogicLock " "Feature LogicLock is only available with a valid subscription license. You can purchase a software subscription to gain full access to this feature." { } { } 0 292013 "Feature %1!s! is only available with a valid subscription license. You can purchase a software subscription to gain full access to this feature." 0 0 "Fitter" 0 -1 1678222504834 ""} +{ "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED" "" "Device migration not selected. If you intend to use device migration later, you may need to change the pin assignments as they may be incompatible with other devices" { { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "EP4CE40F29C7 " "Device EP4CE40F29C7 is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Design Software" 0 -1 1678222504892 ""} { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "EP4CE40F29I7 " "Device EP4CE40F29I7 is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Design Software" 0 -1 1678222504892 ""} { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "EP4CE30F29C7 " "Device EP4CE30F29C7 is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Design Software" 0 -1 1678222504892 ""} { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "EP4CE30F29I7 " "Device EP4CE30F29I7 is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Design Software" 0 -1 1678222504892 ""} { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "EP4CE55F29C7 " "Device EP4CE55F29C7 is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Design Software" 0 -1 1678222504892 ""} { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "EP4CE55F29I7 " "Device EP4CE55F29I7 is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Design Software" 0 -1 1678222504892 ""} { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "EP4CE75F29C7 " "Device EP4CE75F29C7 is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Design Software" 0 -1 1678222504892 ""} { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "EP4CE75F29I7 " "Device EP4CE75F29I7 is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Design Software" 0 -1 1678222504892 ""} { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "EP4CE115F29I7 " "Device EP4CE115F29I7 is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Design Software" 0 -1 1678222504892 ""} } { } 2 176444 "Device migration not selected. If you intend to use device migration later, you may need to change the pin assignments as they may be incompatible with other devices" 0 0 "Fitter" 0 -1 1678222504892 ""} +{ "Warning" "WFIOMGR_INVALID_RESERVE_PIN_NAME" "AUD_ADCDAT " "Can't reserve pin AUD_ADCDAT -- pin name is an illegal or unsupported format" { } { } 0 169133 "Can't reserve pin %1!s! -- pin name is an illegal or unsupported format" 0 0 "Fitter" 0 -1 1678222504897 ""} +{ "Warning" "WFIOMGR_INVALID_RESERVE_PIN_NAME" "CLOCK2_50 " "Can't reserve pin CLOCK2_50 -- pin name is an illegal or unsupported format" { } { } 0 169133 "Can't reserve pin %1!s! -- pin name is an illegal or unsupported format" 0 0 "Fitter" 0 -1 1678222504897 ""} +{ "Warning" "WFIOMGR_INVALID_RESERVE_PIN_NAME" "CLOCK3_50 " "Can't reserve pin CLOCK3_50 -- pin name is an illegal or unsupported format" { } { } 0 169133 "Can't reserve pin %1!s! -- pin name is an illegal or unsupported format" 0 0 "Fitter" 0 -1 1678222504897 ""} +{ "Warning" "WFIOMGR_INVALID_RESERVE_PIN_NAME" "CLOCK_50 " "Can't reserve pin CLOCK_50 -- pin name is an illegal or unsupported format" { } { } 0 169133 "Can't reserve pin %1!s! -- pin name is an illegal or unsupported format" 0 0 "Fitter" 0 -1 1678222504897 ""} +{ "Warning" "WFIOMGR_INVALID_RESERVE_PIN_NAME" "ENET0_INT_N " "Can't reserve pin ENET0_INT_N -- pin name is an illegal or unsupported format" { } { } 0 169133 "Can't reserve pin %1!s! -- pin name is an illegal or unsupported format" 0 0 "Fitter" 0 -1 1678222504897 ""} +{ "Warning" "WFIOMGR_INVALID_RESERVE_PIN_NAME" "ENET0_LINK100 " "Can't reserve pin ENET0_LINK100 -- pin name is an illegal or unsupported format" { } { } 0 169133 "Can't reserve pin %1!s! -- pin name is an illegal or unsupported format" 0 0 "Fitter" 0 -1 1678222504897 ""} +{ "Warning" "WFIOMGR_INVALID_RESERVE_PIN_NAME" "ENET0_MDIO " "Can't reserve pin ENET0_MDIO -- pin name is an illegal or unsupported format" { } { } 0 169133 "Can't reserve pin %1!s! -- pin name is an illegal or unsupported format" 0 0 "Fitter" 0 -1 1678222504897 ""} +{ "Warning" "WFIOMGR_INVALID_RESERVE_PIN_NAME" "ENET0_RX_CLK " "Can't reserve pin ENET0_RX_CLK -- pin name is an illegal or unsupported format" { } { } 0 169133 "Can't reserve pin %1!s! -- pin name is an illegal or unsupported format" 0 0 "Fitter" 0 -1 1678222504897 ""} +{ "Warning" "WFIOMGR_INVALID_RESERVE_PIN_NAME" "ENET0_RX_COL " "Can't reserve pin ENET0_RX_COL -- pin name is an illegal or unsupported format" { } { } 0 169133 "Can't reserve pin %1!s! -- pin name is an illegal or unsupported format" 0 0 "Fitter" 0 -1 1678222504897 ""} +{ "Warning" "WFIOMGR_INVALID_RESERVE_PIN_NAME" "ENET0_RX_CRS " "Can't reserve pin ENET0_RX_CRS -- pin name is an illegal or unsupported format" { } { } 0 169133 "Can't reserve pin %1!s! -- pin name is an illegal or unsupported format" 0 0 "Fitter" 0 -1 1678222504897 ""} +{ "Warning" "WFIOMGR_INVALID_RESERVE_PIN_NAME" "ENET0_RX_DATA\[0\] " "Can't reserve pin ENET0_RX_DATA\[0\] -- pin name is an illegal or unsupported format" { } { } 0 169133 "Can't reserve pin %1!s! -- pin name is an illegal or unsupported format" 0 0 "Fitter" 0 -1 1678222504897 ""} +{ "Warning" "WFIOMGR_INVALID_RESERVE_PIN_NAME" "ENET0_RX_DATA\[1\] " "Can't reserve pin ENET0_RX_DATA\[1\] -- pin name is an illegal or unsupported format" { } { } 0 169133 "Can't reserve pin %1!s! -- pin name is an illegal or unsupported format" 0 0 "Fitter" 0 -1 1678222504897 ""} +{ "Warning" "WFIOMGR_INVALID_RESERVE_PIN_NAME" "ENET0_RX_DATA\[2\] " "Can't reserve pin ENET0_RX_DATA\[2\] -- pin name is an illegal or unsupported format" { } { } 0 169133 "Can't reserve pin %1!s! -- pin name is an illegal or unsupported format" 0 0 "Fitter" 0 -1 1678222504897 ""} +{ "Warning" "WFIOMGR_INVALID_RESERVE_PIN_NAME" "ENET0_RX_DATA\[3\] " "Can't reserve pin ENET0_RX_DATA\[3\] -- pin name is an illegal or unsupported format" { } { } 0 169133 "Can't reserve pin %1!s! -- pin name is an illegal or unsupported format" 0 0 "Fitter" 0 -1 1678222504897 ""} +{ "Warning" "WFIOMGR_INVALID_RESERVE_PIN_NAME" "ENET0_RX_DV " "Can't reserve pin ENET0_RX_DV -- pin name is an illegal or unsupported format" { } { } 0 169133 "Can't reserve pin %1!s! -- pin name is an illegal or unsupported format" 0 0 "Fitter" 0 -1 1678222504897 ""} +{ "Warning" "WFIOMGR_INVALID_RESERVE_PIN_NAME" "ENET0_RX_ER " "Can't reserve pin ENET0_RX_ER -- pin name is an illegal or unsupported format" { } { } 0 169133 "Can't reserve pin %1!s! -- pin name is an illegal or unsupported format" 0 0 "Fitter" 0 -1 1678222504897 ""} +{ "Warning" "WFIOMGR_INVALID_RESERVE_PIN_NAME" "ENET0_TX_CLK " "Can't reserve pin ENET0_TX_CLK -- pin name is an illegal or unsupported format" { } { } 0 169133 "Can't reserve pin %1!s! -- pin name is an illegal or unsupported format" 0 0 "Fitter" 0 -1 1678222504897 ""} +{ "Warning" "WFIOMGR_INVALID_RESERVE_PIN_NAME" "ENET1_INT_N " "Can't reserve pin ENET1_INT_N -- pin name is an illegal or unsupported format" { } { } 0 169133 "Can't reserve pin %1!s! -- pin name is an illegal or unsupported format" 0 0 "Fitter" 0 -1 1678222504897 ""} +{ "Warning" "WFIOMGR_INVALID_RESERVE_PIN_NAME" "ENET1_LINK100 " "Can't reserve pin ENET1_LINK100 -- pin name is an illegal or unsupported format" { } { } 0 169133 "Can't reserve pin %1!s! -- pin name is an illegal or unsupported format" 0 0 "Fitter" 0 -1 1678222504897 ""} +{ "Warning" "WFIOMGR_INVALID_RESERVE_PIN_NAME" "ENET1_MDIO " "Can't reserve pin ENET1_MDIO -- pin name is an illegal or unsupported format" { } { } 0 169133 "Can't reserve pin %1!s! -- pin name is an illegal or unsupported format" 0 0 "Fitter" 0 -1 1678222504897 ""} +{ "Warning" "WFIOMGR_INVALID_RESERVE_PIN_NAME" "ENET1_RX_CLK " "Can't reserve pin ENET1_RX_CLK -- pin name is an illegal or unsupported format" { } { } 0 169133 "Can't reserve pin %1!s! -- pin name is an illegal or unsupported format" 0 0 "Fitter" 0 -1 1678222504897 ""} +{ "Warning" "WFIOMGR_INVALID_RESERVE_PIN_NAME" "ENET1_RX_COL " "Can't reserve pin ENET1_RX_COL -- pin name is an illegal or unsupported format" { } { } 0 169133 "Can't reserve pin %1!s! -- pin name is an illegal or unsupported format" 0 0 "Fitter" 0 -1 1678222504897 ""} +{ "Warning" "WFIOMGR_INVALID_RESERVE_PIN_NAME" "ENET1_RX_CRS " "Can't reserve pin ENET1_RX_CRS -- pin name is an illegal or unsupported format" { } { } 0 169133 "Can't reserve pin %1!s! -- pin name is an illegal or unsupported format" 0 0 "Fitter" 0 -1 1678222504897 ""} +{ "Warning" "WFIOMGR_INVALID_RESERVE_PIN_NAME" "ENET1_RX_DATA\[0\] " "Can't reserve pin ENET1_RX_DATA\[0\] -- pin name is an illegal or unsupported format" { } { } 0 169133 "Can't reserve pin %1!s! -- pin name is an illegal or unsupported format" 0 0 "Fitter" 0 -1 1678222504897 ""} +{ "Warning" "WFIOMGR_INVALID_RESERVE_PIN_NAME" "ENET1_RX_DATA\[1\] " "Can't reserve pin ENET1_RX_DATA\[1\] -- pin name is an illegal or unsupported format" { } { } 0 169133 "Can't reserve pin %1!s! -- pin name is an illegal or unsupported format" 0 0 "Fitter" 0 -1 1678222504898 ""} +{ "Warning" "WFIOMGR_INVALID_RESERVE_PIN_NAME" "ENET1_RX_DATA\[2\] " "Can't reserve pin ENET1_RX_DATA\[2\] -- pin name is an illegal or unsupported format" { } { } 0 169133 "Can't reserve pin %1!s! -- pin name is an illegal or unsupported format" 0 0 "Fitter" 0 -1 1678222504898 ""} +{ "Warning" "WFIOMGR_INVALID_RESERVE_PIN_NAME" "ENET1_RX_DATA\[3\] " "Can't reserve pin ENET1_RX_DATA\[3\] -- pin name is an illegal or unsupported format" { } { } 0 169133 "Can't reserve pin %1!s! -- pin name is an illegal or unsupported format" 0 0 "Fitter" 0 -1 1678222504898 ""} +{ "Warning" "WFIOMGR_INVALID_RESERVE_PIN_NAME" "ENET1_RX_DV " "Can't reserve pin ENET1_RX_DV -- pin name is an illegal or unsupported format" { } { } 0 169133 "Can't reserve pin %1!s! -- pin name is an illegal or unsupported format" 0 0 "Fitter" 0 -1 1678222504898 ""} +{ "Warning" "WFIOMGR_INVALID_RESERVE_PIN_NAME" "ENET1_RX_ER " "Can't reserve pin ENET1_RX_ER -- pin name is an illegal or unsupported format" { } { } 0 169133 "Can't reserve pin %1!s! -- pin name is an illegal or unsupported format" 0 0 "Fitter" 0 -1 1678222504898 ""} +{ "Warning" "WFIOMGR_INVALID_RESERVE_PIN_NAME" "ENET1_TX_CLK " "Can't reserve pin ENET1_TX_CLK -- pin name is an illegal or unsupported format" { } { } 0 169133 "Can't reserve pin %1!s! -- pin name is an illegal or unsupported format" 0 0 "Fitter" 0 -1 1678222504898 ""} +{ "Warning" "WFIOMGR_INVALID_RESERVE_PIN_NAME" "ENETCLK_25 " "Can't reserve pin ENETCLK_25 -- pin name is an illegal or unsupported format" { } { } 0 169133 "Can't reserve pin %1!s! -- pin name is an illegal or unsupported format" 0 0 "Fitter" 0 -1 1678222504898 ""} +{ "Warning" "WFIOMGR_INVALID_RESERVE_PIN_NAME" "FL_RY " "Can't reserve pin FL_RY -- pin name is an illegal or unsupported format" { } { } 0 169133 "Can't reserve pin %1!s! -- pin name is an illegal or unsupported format" 0 0 "Fitter" 0 -1 1678222504898 ""} +{ "Warning" "WFIOMGR_INVALID_RESERVE_PIN_NAME" "HSMC_CLKIN0 " "Can't reserve pin HSMC_CLKIN0 -- pin name is an illegal or unsupported format" { } { } 0 169133 "Can't reserve pin %1!s! -- pin name is an illegal or unsupported format" 0 0 "Fitter" 0 -1 1678222504898 ""} +{ "Warning" "WFIOMGR_INVALID_RESERVE_PIN_NAME" "IRDA_RXD " "Can't reserve pin IRDA_RXD -- pin name is an illegal or unsupported format" { } { } 0 169133 "Can't reserve pin %1!s! -- pin name is an illegal or unsupported format" 0 0 "Fitter" 0 -1 1678222504898 ""} +{ "Warning" "WFIOMGR_INVALID_RESERVE_PIN_NAME" "KEY\[0\] " "Can't reserve pin KEY\[0\] -- pin name is an illegal or unsupported format" { } { } 0 169133 "Can't reserve pin %1!s! -- pin name is an illegal or unsupported format" 0 0 "Fitter" 0 -1 1678222504898 ""} +{ "Warning" "WFIOMGR_INVALID_RESERVE_PIN_NAME" "KEY\[1\] " "Can't reserve pin KEY\[1\] -- pin name is an illegal or unsupported format" { } { } 0 169133 "Can't reserve pin %1!s! -- pin name is an illegal or unsupported format" 0 0 "Fitter" 0 -1 1678222504898 ""} +{ "Warning" "WFIOMGR_INVALID_RESERVE_PIN_NAME" "KEY\[2\] " "Can't reserve pin KEY\[2\] -- pin name is an illegal or unsupported format" { } { } 0 169133 "Can't reserve pin %1!s! -- pin name is an illegal or unsupported format" 0 0 "Fitter" 0 -1 1678222504898 ""} +{ "Warning" "WFIOMGR_INVALID_RESERVE_PIN_NAME" "KEY\[3\] " "Can't reserve pin KEY\[3\] -- pin name is an illegal or unsupported format" { } { } 0 169133 "Can't reserve pin %1!s! -- pin name is an illegal or unsupported format" 0 0 "Fitter" 0 -1 1678222504898 ""} +{ "Warning" "WFIOMGR_INVALID_RESERVE_PIN_NAME" "OTG_INT " "Can't reserve pin OTG_INT -- pin name is an illegal or unsupported format" { } { } 0 169133 "Can't reserve pin %1!s! -- pin name is an illegal or unsupported format" 0 0 "Fitter" 0 -1 1678222504898 ""} +{ "Warning" "WFIOMGR_INVALID_RESERVE_PIN_NAME" "SD_WP_N " "Can't reserve pin SD_WP_N -- pin name is an illegal or unsupported format" { } { } 0 169133 "Can't reserve pin %1!s! -- pin name is an illegal or unsupported format" 0 0 "Fitter" 0 -1 1678222504898 ""} +{ "Warning" "WFIOMGR_INVALID_RESERVE_PIN_NAME" "SMA_CLKIN " "Can't reserve pin SMA_CLKIN -- pin name is an illegal or unsupported format" { } { } 0 169133 "Can't reserve pin %1!s! -- pin name is an illegal or unsupported format" 0 0 "Fitter" 0 -1 1678222504898 ""} +{ "Warning" "WFIOMGR_INVALID_RESERVE_PIN_NAME" "SW\[0\] " "Can't reserve pin SW\[0\] -- pin name is an illegal or unsupported format" { } { } 0 169133 "Can't reserve pin %1!s! -- pin name is an illegal or unsupported format" 0 0 "Fitter" 0 -1 1678222504898 ""} +{ "Warning" "WFIOMGR_RESERVE_PIN_NAME_EXISTS_SAME_DIRECTION" "SW\[0\] " "Reserve pin assignment ignored because of existing pin with name \"SW\[0\]\"" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/pin_planner.ppl" "" { PinPlanner "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/pin_planner.ppl" { SW[0] } } } { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "SW\[0\]" } } } } { "EqCmpDemo.bdf" "" { Schematic "/home/tiagorg/repos/uaveiro-leci/1ano/2semestre/lsd/pratica01/part4/EqCmpDemo.bdf" { { 200 296 464 216 "SW" "" } } } } { "temporary_test_loc" "" { Generic "/home/tiagorg/repos/uaveiro-leci/1ano/2semestre/lsd/pratica01/part4/" { { 0 { 0 ""} 0 14 14177 15141 0 0 "" 0 "" "" } } } } } 0 169140 "Reserve pin assignment ignored because of existing pin with name \"%1!s!\"" 0 0 "Fitter" 0 -1 1678222504898 ""} +{ "Warning" "WFIOMGR_INVALID_RESERVE_PIN_NAME" "SW\[10\] " "Can't reserve pin SW\[10\] -- pin name is an illegal or unsupported format" { } { } 0 169133 "Can't reserve pin %1!s! -- pin name is an illegal or unsupported format" 0 0 "Fitter" 0 -1 1678222504898 ""} +{ "Warning" "WFIOMGR_INVALID_RESERVE_PIN_NAME" "SW\[11\] " "Can't reserve pin SW\[11\] -- pin name is an illegal or unsupported format" { } { } 0 169133 "Can't reserve pin %1!s! -- pin name is an illegal or unsupported format" 0 0 "Fitter" 0 -1 1678222504898 ""} +{ "Warning" "WFIOMGR_INVALID_RESERVE_PIN_NAME" "SW\[12\] " "Can't reserve pin SW\[12\] -- pin name is an illegal or unsupported format" { } { } 0 169133 "Can't reserve pin %1!s! -- pin name is an illegal or unsupported format" 0 0 "Fitter" 0 -1 1678222504898 ""} +{ "Warning" "WFIOMGR_INVALID_RESERVE_PIN_NAME" "SW\[13\] " "Can't reserve pin SW\[13\] -- pin name is an illegal or unsupported format" { } { } 0 169133 "Can't reserve pin %1!s! -- pin name is an illegal or unsupported format" 0 0 "Fitter" 0 -1 1678222504898 ""} +{ "Warning" "WFIOMGR_INVALID_RESERVE_PIN_NAME" "SW\[14\] " "Can't reserve pin SW\[14\] -- pin name is an illegal or unsupported format" { } { } 0 169133 "Can't reserve pin %1!s! -- pin name is an illegal or unsupported format" 0 0 "Fitter" 0 -1 1678222504898 ""} +{ "Warning" "WFIOMGR_INVALID_RESERVE_PIN_NAME" "SW\[15\] " "Can't reserve pin SW\[15\] -- pin name is an illegal or unsupported format" { } { } 0 169133 "Can't reserve pin %1!s! -- pin name is an illegal or unsupported format" 0 0 "Fitter" 0 -1 1678222504898 ""} +{ "Warning" "WFIOMGR_INVALID_RESERVE_PIN_NAME" "SW\[16\] " "Can't reserve pin SW\[16\] -- pin name is an illegal or unsupported format" { } { } 0 169133 "Can't reserve pin %1!s! -- pin name is an illegal or unsupported format" 0 0 "Fitter" 0 -1 1678222504898 ""} +{ "Warning" "WFIOMGR_INVALID_RESERVE_PIN_NAME" "SW\[17\] " "Can't reserve pin SW\[17\] -- pin name is an illegal or unsupported format" { } { } 0 169133 "Can't reserve pin %1!s! -- pin name is an illegal or unsupported format" 0 0 "Fitter" 0 -1 1678222504898 ""} +{ "Warning" "WFIOMGR_INVALID_RESERVE_PIN_NAME" "SW\[1\] " "Can't reserve pin SW\[1\] -- pin name is an illegal or unsupported format" { } { } 0 169133 "Can't reserve pin %1!s! -- pin name is an illegal or unsupported format" 0 0 "Fitter" 0 -1 1678222504898 ""} +{ "Warning" "WFIOMGR_RESERVE_PIN_NAME_EXISTS_SAME_DIRECTION" "SW\[1\] " "Reserve pin assignment ignored because of existing pin with name \"SW\[1\]\"" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/pin_planner.ppl" "" { PinPlanner "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/pin_planner.ppl" { SW[1] } } } { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "SW\[1\]" } } } } { "EqCmpDemo.bdf" "" { Schematic "/home/tiagorg/repos/uaveiro-leci/1ano/2semestre/lsd/pratica01/part4/EqCmpDemo.bdf" { { 200 296 464 216 "SW" "" } } } } { "temporary_test_loc" "" { Generic "/home/tiagorg/repos/uaveiro-leci/1ano/2semestre/lsd/pratica01/part4/" { { 0 { 0 ""} 0 13 14177 15141 0 0 "" 0 "" "" } } } } } 0 169140 "Reserve pin assignment ignored because of existing pin with name \"%1!s!\"" 0 0 "Fitter" 0 -1 1678222504898 ""} +{ "Warning" "WFIOMGR_INVALID_RESERVE_PIN_NAME" "SW\[2\] " "Can't reserve pin SW\[2\] -- pin name is an illegal or unsupported format" { } { } 0 169133 "Can't reserve pin %1!s! -- pin name is an illegal or unsupported format" 0 0 "Fitter" 0 -1 1678222504898 ""} +{ "Warning" "WFIOMGR_RESERVE_PIN_NAME_EXISTS_SAME_DIRECTION" "SW\[2\] " "Reserve pin assignment ignored because of existing pin with name \"SW\[2\]\"" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/pin_planner.ppl" "" { PinPlanner "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/pin_planner.ppl" { SW[2] } } } { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "SW\[2\]" } } } } { "EqCmpDemo.bdf" "" { Schematic "/home/tiagorg/repos/uaveiro-leci/1ano/2semestre/lsd/pratica01/part4/EqCmpDemo.bdf" { { 200 296 464 216 "SW" "" } } } } { "temporary_test_loc" "" { Generic "/home/tiagorg/repos/uaveiro-leci/1ano/2semestre/lsd/pratica01/part4/" { { 0 { 0 ""} 0 12 14177 15141 0 0 "" 0 "" "" } } } } } 0 169140 "Reserve pin assignment ignored because of existing pin with name \"%1!s!\"" 0 0 "Fitter" 0 -1 1678222504898 ""} +{ "Warning" "WFIOMGR_INVALID_RESERVE_PIN_NAME" "SW\[3\] " "Can't reserve pin SW\[3\] -- pin name is an illegal or unsupported format" { } { } 0 169133 "Can't reserve pin %1!s! -- pin name is an illegal or unsupported format" 0 0 "Fitter" 0 -1 1678222504898 ""} +{ "Warning" "WFIOMGR_RESERVE_PIN_NAME_EXISTS_SAME_DIRECTION" "SW\[3\] " "Reserve pin assignment ignored because of existing pin with name \"SW\[3\]\"" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/pin_planner.ppl" "" { PinPlanner "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/pin_planner.ppl" { SW[3] } } } { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "SW\[3\]" } } } } { "EqCmpDemo.bdf" "" { Schematic "/home/tiagorg/repos/uaveiro-leci/1ano/2semestre/lsd/pratica01/part4/EqCmpDemo.bdf" { { 200 296 464 216 "SW" "" } } } } { "temporary_test_loc" "" { Generic "/home/tiagorg/repos/uaveiro-leci/1ano/2semestre/lsd/pratica01/part4/" { { 0 { 0 ""} 0 11 14177 15141 0 0 "" 0 "" "" } } } } } 0 169140 "Reserve pin assignment ignored because of existing pin with name \"%1!s!\"" 0 0 "Fitter" 0 -1 1678222504898 ""} +{ "Warning" "WFIOMGR_INVALID_RESERVE_PIN_NAME" "SW\[4\] " "Can't reserve pin SW\[4\] -- pin name is an illegal or unsupported format" { } { } 0 169133 "Can't reserve pin %1!s! -- pin name is an illegal or unsupported format" 0 0 "Fitter" 0 -1 1678222504898 ""} +{ "Warning" "WFIOMGR_RESERVE_PIN_NAME_EXISTS_SAME_DIRECTION" "SW\[4\] " "Reserve pin assignment ignored because of existing pin with name \"SW\[4\]\"" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/pin_planner.ppl" "" { PinPlanner "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/pin_planner.ppl" { SW[4] } } } { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "SW\[4\]" } } } } { "EqCmpDemo.bdf" "" { Schematic "/home/tiagorg/repos/uaveiro-leci/1ano/2semestre/lsd/pratica01/part4/EqCmpDemo.bdf" { { 200 296 464 216 "SW" "" } } } } { "temporary_test_loc" "" { Generic "/home/tiagorg/repos/uaveiro-leci/1ano/2semestre/lsd/pratica01/part4/" { { 0 { 0 ""} 0 10 14177 15141 0 0 "" 0 "" "" } } } } } 0 169140 "Reserve pin assignment ignored because of existing pin with name \"%1!s!\"" 0 0 "Fitter" 0 -1 1678222504898 ""} +{ "Warning" "WFIOMGR_INVALID_RESERVE_PIN_NAME" "SW\[5\] " "Can't reserve pin SW\[5\] -- pin name is an illegal or unsupported format" { } { } 0 169133 "Can't reserve pin %1!s! -- pin name is an illegal or unsupported format" 0 0 "Fitter" 0 -1 1678222504898 ""} +{ "Warning" "WFIOMGR_RESERVE_PIN_NAME_EXISTS_SAME_DIRECTION" "SW\[5\] " "Reserve pin assignment ignored because of existing pin with name \"SW\[5\]\"" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/pin_planner.ppl" "" { PinPlanner "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/pin_planner.ppl" { SW[5] } } } { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "SW\[5\]" } } } } { "EqCmpDemo.bdf" "" { Schematic "/home/tiagorg/repos/uaveiro-leci/1ano/2semestre/lsd/pratica01/part4/EqCmpDemo.bdf" { { 200 296 464 216 "SW" "" } } } } { "temporary_test_loc" "" { Generic "/home/tiagorg/repos/uaveiro-leci/1ano/2semestre/lsd/pratica01/part4/" { { 0 { 0 ""} 0 9 14177 15141 0 0 "" 0 "" "" } } } } } 0 169140 "Reserve pin assignment ignored because of existing pin with name \"%1!s!\"" 0 0 "Fitter" 0 -1 1678222504898 ""} +{ "Warning" "WFIOMGR_INVALID_RESERVE_PIN_NAME" "SW\[6\] " "Can't reserve pin SW\[6\] -- pin name is an illegal or unsupported format" { } { } 0 169133 "Can't reserve pin %1!s! -- pin name is an illegal or unsupported format" 0 0 "Fitter" 0 -1 1678222504898 ""} +{ "Warning" "WFIOMGR_RESERVE_PIN_NAME_EXISTS_SAME_DIRECTION" "SW\[6\] " "Reserve pin assignment ignored because of existing pin with name \"SW\[6\]\"" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/pin_planner.ppl" "" { PinPlanner "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/pin_planner.ppl" { SW[6] } } } { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "SW\[6\]" } } } } { "EqCmpDemo.bdf" "" { Schematic "/home/tiagorg/repos/uaveiro-leci/1ano/2semestre/lsd/pratica01/part4/EqCmpDemo.bdf" { { 200 296 464 216 "SW" "" } } } } { "temporary_test_loc" "" { Generic "/home/tiagorg/repos/uaveiro-leci/1ano/2semestre/lsd/pratica01/part4/" { { 0 { 0 ""} 0 8 14177 15141 0 0 "" 0 "" "" } } } } } 0 169140 "Reserve pin assignment ignored because of existing pin with name \"%1!s!\"" 0 0 "Fitter" 0 -1 1678222504898 ""} +{ "Warning" "WFIOMGR_INVALID_RESERVE_PIN_NAME" "SW\[7\] " "Can't reserve pin SW\[7\] -- pin name is an illegal or unsupported format" { } { } 0 169133 "Can't reserve pin %1!s! -- pin name is an illegal or unsupported format" 0 0 "Fitter" 0 -1 1678222504898 ""} +{ "Warning" "WFIOMGR_RESERVE_PIN_NAME_EXISTS_SAME_DIRECTION" "SW\[7\] " "Reserve pin assignment ignored because of existing pin with name \"SW\[7\]\"" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/pin_planner.ppl" "" { PinPlanner "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/pin_planner.ppl" { SW[7] } } } { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "SW\[7\]" } } } } { "EqCmpDemo.bdf" "" { Schematic "/home/tiagorg/repos/uaveiro-leci/1ano/2semestre/lsd/pratica01/part4/EqCmpDemo.bdf" { { 200 296 464 216 "SW" "" } } } } { "temporary_test_loc" "" { Generic "/home/tiagorg/repos/uaveiro-leci/1ano/2semestre/lsd/pratica01/part4/" { { 0 { 0 ""} 0 7 14177 15141 0 0 "" 0 "" "" } } } } } 0 169140 "Reserve pin assignment ignored because of existing pin with name \"%1!s!\"" 0 0 "Fitter" 0 -1 1678222504898 ""} +{ "Warning" "WFIOMGR_INVALID_RESERVE_PIN_NAME" "SW\[8\] " "Can't reserve pin SW\[8\] -- pin name is an illegal or unsupported format" { } { } 0 169133 "Can't reserve pin %1!s! -- pin name is an illegal or unsupported format" 0 0 "Fitter" 0 -1 1678222504898 ""} +{ "Warning" "WFIOMGR_INVALID_RESERVE_PIN_NAME" "SW\[9\] " "Can't reserve pin SW\[9\] -- pin name is an illegal or unsupported format" { } { } 0 169133 "Can't reserve pin %1!s! -- pin name is an illegal or unsupported format" 0 0 "Fitter" 0 -1 1678222504898 ""} +{ "Warning" "WFIOMGR_INVALID_RESERVE_PIN_NAME" "TD_CLK27 " "Can't reserve pin TD_CLK27 -- pin name is an illegal or unsupported format" { } { } 0 169133 "Can't reserve pin %1!s! -- pin name is an illegal or unsupported format" 0 0 "Fitter" 0 -1 1678222504898 ""} +{ "Warning" "WFIOMGR_INVALID_RESERVE_PIN_NAME" "TD_DATA\[0\] " "Can't reserve pin TD_DATA\[0\] -- pin name is an illegal or unsupported format" { } { } 0 169133 "Can't reserve pin %1!s! -- pin name is an illegal or unsupported format" 0 0 "Fitter" 0 -1 1678222504898 ""} +{ "Warning" "WFIOMGR_INVALID_RESERVE_PIN_NAME" "TD_DATA\[1\] " "Can't reserve pin TD_DATA\[1\] -- pin name is an illegal or unsupported format" { } { } 0 169133 "Can't reserve pin %1!s! -- pin name is an illegal or unsupported format" 0 0 "Fitter" 0 -1 1678222504898 ""} +{ "Warning" "WFIOMGR_INVALID_RESERVE_PIN_NAME" "TD_DATA\[2\] " "Can't reserve pin TD_DATA\[2\] -- pin name is an illegal or unsupported format" { } { } 0 169133 "Can't reserve pin %1!s! -- pin name is an illegal or unsupported format" 0 0 "Fitter" 0 -1 1678222504898 ""} +{ "Warning" "WFIOMGR_INVALID_RESERVE_PIN_NAME" "TD_DATA\[3\] " "Can't reserve pin TD_DATA\[3\] -- pin name is an illegal or unsupported format" { } { } 0 169133 "Can't reserve pin %1!s! -- pin name is an illegal or unsupported format" 0 0 "Fitter" 0 -1 1678222504898 ""} +{ "Warning" "WFIOMGR_INVALID_RESERVE_PIN_NAME" "TD_DATA\[4\] " "Can't reserve pin TD_DATA\[4\] -- pin name is an illegal or unsupported format" { } { } 0 169133 "Can't reserve pin %1!s! -- pin name is an illegal or unsupported format" 0 0 "Fitter" 0 -1 1678222504898 ""} +{ "Warning" "WFIOMGR_INVALID_RESERVE_PIN_NAME" "TD_DATA\[5\] " "Can't reserve pin TD_DATA\[5\] -- pin name is an illegal or unsupported format" { } { } 0 169133 "Can't reserve pin %1!s! -- pin name is an illegal or unsupported format" 0 0 "Fitter" 0 -1 1678222504898 ""} +{ "Warning" "WFIOMGR_INVALID_RESERVE_PIN_NAME" "TD_DATA\[6\] " "Can't reserve pin TD_DATA\[6\] -- pin name is an illegal or unsupported format" { } { } 0 169133 "Can't reserve pin %1!s! -- pin name is an illegal or unsupported format" 0 0 "Fitter" 0 -1 1678222504898 ""} +{ "Warning" "WFIOMGR_INVALID_RESERVE_PIN_NAME" "TD_DATA\[7\] " "Can't reserve pin TD_DATA\[7\] -- pin name is an illegal or unsupported format" { } { } 0 169133 "Can't reserve pin %1!s! -- pin name is an illegal or unsupported format" 0 0 "Fitter" 0 -1 1678222504898 ""} +{ "Warning" "WFIOMGR_INVALID_RESERVE_PIN_NAME" "TD_HS " "Can't reserve pin TD_HS -- pin name is an illegal or unsupported format" { } { } 0 169133 "Can't reserve pin %1!s! -- pin name is an illegal or unsupported format" 0 0 "Fitter" 0 -1 1678222504898 ""} +{ "Warning" "WFIOMGR_INVALID_RESERVE_PIN_NAME" "TD_VS " "Can't reserve pin TD_VS -- pin name is an illegal or unsupported format" { } { } 0 169133 "Can't reserve pin %1!s! -- pin name is an illegal or unsupported format" 0 0 "Fitter" 0 -1 1678222504898 ""} +{ "Warning" "WFIOMGR_INVALID_RESERVE_PIN_NAME" "UART_RTS " "Can't reserve pin UART_RTS -- pin name is an illegal or unsupported format" { } { } 0 169133 "Can't reserve pin %1!s! -- pin name is an illegal or unsupported format" 0 0 "Fitter" 0 -1 1678222504899 ""} +{ "Warning" "WFIOMGR_INVALID_RESERVE_PIN_NAME" "UART_RXD " "Can't reserve pin UART_RXD -- pin name is an illegal or unsupported format" { } { } 0 169133 "Can't reserve pin %1!s! -- pin name is an illegal or unsupported format" 0 0 "Fitter" 0 -1 1678222504899 ""} +{ "Info" "IFIOMGR_RESERVED_PIN_WITH_LOCATION" "5 " "Fitter converted 5 user pins into dedicated programming pins" { { "Info" "IFIOMGR_RESERVED_PIN_WITH_LOCATION_SUB" "~ALTERA_ASDO_DATA1~ F4 " "Pin ~ALTERA_ASDO_DATA1~ is reserved at location F4" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/pin_planner.ppl" "" { PinPlanner "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/pin_planner.ppl" { ~ALTERA_ASDO_DATA1~ } } } { "temporary_test_loc" "" { Generic "/home/tiagorg/repos/uaveiro-leci/1ano/2semestre/lsd/pratica01/part4/" { { 0 { 0 ""} 0 643 14177 15141 0 0 "" 0 "" "" } } } } } 0 169125 "Pin %1!s! is reserved at location %2!s!" 0 0 "Design Software" 0 -1 1678222504900 ""} { "Info" "IFIOMGR_RESERVED_PIN_WITH_LOCATION_SUB" "~ALTERA_FLASH_nCE_nCSO~ E2 " "Pin ~ALTERA_FLASH_nCE_nCSO~ is reserved at location E2" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/pin_planner.ppl" "" { PinPlanner "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/pin_planner.ppl" { ~ALTERA_FLASH_nCE_nCSO~ } } } { "temporary_test_loc" "" { Generic "/home/tiagorg/repos/uaveiro-leci/1ano/2semestre/lsd/pratica01/part4/" { { 0 { 0 ""} 0 645 14177 15141 0 0 "" 0 "" "" } } } } } 0 169125 "Pin %1!s! is reserved at location %2!s!" 0 0 "Design Software" 0 -1 1678222504900 ""} { "Info" "IFIOMGR_RESERVED_PIN_WITH_LOCATION_SUB" "~ALTERA_DCLK~ P3 " "Pin ~ALTERA_DCLK~ is reserved at location P3" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/pin_planner.ppl" "" { PinPlanner "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/pin_planner.ppl" { ~ALTERA_DCLK~ } } } { "temporary_test_loc" "" { Generic "/home/tiagorg/repos/uaveiro-leci/1ano/2semestre/lsd/pratica01/part4/" { { 0 { 0 ""} 0 647 14177 15141 0 0 "" 0 "" "" } } } } } 0 169125 "Pin %1!s! is reserved at location %2!s!" 0 0 "Design Software" 0 -1 1678222504900 ""} { "Info" "IFIOMGR_RESERVED_PIN_WITH_LOCATION_SUB" "~ALTERA_DATA0~ N7 " "Pin ~ALTERA_DATA0~ is reserved at location N7" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/pin_planner.ppl" "" { PinPlanner "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/pin_planner.ppl" { ~ALTERA_DATA0~ } } } { "temporary_test_loc" "" { Generic "/home/tiagorg/repos/uaveiro-leci/1ano/2semestre/lsd/pratica01/part4/" { { 0 { 0 ""} 0 649 14177 15141 0 0 "" 0 "" "" } } } } } 0 169125 "Pin %1!s! is reserved at location %2!s!" 0 0 "Design Software" 0 -1 1678222504900 ""} { "Info" "IFIOMGR_RESERVED_PIN_WITH_LOCATION_SUB" "~ALTERA_nCEO~ P28 " "Pin ~ALTERA_nCEO~ is reserved at location P28" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/pin_planner.ppl" "" { PinPlanner "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/pin_planner.ppl" { ~ALTERA_nCEO~ } } } { "temporary_test_loc" "" { Generic "/home/tiagorg/repos/uaveiro-leci/1ano/2semestre/lsd/pratica01/part4/" { { 0 { 0 ""} 0 651 14177 15141 0 0 "" 0 "" "" } } } } } 0 169125 "Pin %1!s! is reserved at location %2!s!" 0 0 "Design Software" 0 -1 1678222504900 ""} } { } 0 169124 "Fitter converted %1!d! user pins into dedicated programming pins" 0 0 "Fitter" 0 -1 1678222504900 ""} +{ "Warning" "WCUT_CUT_ATOM_PINS_WITH_INCOMPLETE_IO_ASSIGNMENTS" "" "Some pins have incomplete I/O assignments. Refer to the I/O Assignment Warnings report for details" { } { } 0 15714 "Some pins have incomplete I/O assignments. Refer to the I/O Assignment Warnings report for details" 0 0 "Fitter" 0 -1 1678222504901 ""} +{ "Critical Warning" "WSTA_SDC_NOT_FOUND" "EqCmpDemo.sdc " "Synopsys Design Constraints File file not found: 'EqCmpDemo.sdc'. A Synopsys Design Constraints File is required by the Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design." { } { } 1 332012 "Synopsys Design Constraints File file not found: '%1!s!'. A Synopsys Design Constraints File is required by the Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design." 0 0 "Fitter" 0 -1 1678222505711 ""} +{ "Info" "ISTA_NO_CLOCK_FOUND_NO_DERIVING_MSG" "base clocks " "No user constrained base clocks found in the design" { } { } 0 332144 "No user constrained %1!s! found in the design" 0 0 "Fitter" 0 -1 1678222505711 ""} +{ "Info" "ISTA_DERIVE_CLOCKS_FOUND_NO_CLOCKS" "" "The command derive_clocks did not find any clocks to derive. No clocks were created or changed." { } { } 0 332096 "The command derive_clocks did not find any clocks to derive. No clocks were created or changed." 0 0 "Fitter" 0 -1 1678222505712 ""} +{ "Warning" "WSTA_NO_CLOCKS_DEFINED" "" "No clocks defined in design." { } { } 0 332068 "No clocks defined in design." 0 0 "Fitter" 0 -1 1678222505712 ""} +{ "Info" "ISTA_NO_CLOCK_UNCERTAINTY_FOUND_DERIVING" "\"derive_clock_uncertainty\" " "No user constrained clock uncertainty found in the design. Calling \"derive_clock_uncertainty\"" { } { } 0 332143 "No user constrained clock uncertainty found in the design. Calling %1!s!" 0 0 "Fitter" 0 -1 1678222505713 ""} +{ "Info" "ISTA_NO_UNCERTAINTY_FOUND" "" "The derive_clock_uncertainty command did not apply clock uncertainty to any clock-to-clock transfers." { } { } 0 332154 "The derive_clock_uncertainty command did not apply clock uncertainty to any clock-to-clock transfers." 0 0 "Fitter" 0 -1 1678222505713 ""} +{ "Info" "ISTA_TDC_NO_DEFAULT_OPTIMIZATION_GOALS" "" "Timing requirements not specified -- quality metrics such as performance may be sacrificed to reduce compilation time." { } { } 0 332130 "Timing requirements not specified -- quality metrics such as performance may be sacrificed to reduce compilation time." 0 0 "Fitter" 0 -1 1678222505713 ""} +{ "Info" "IFSAC_FSAC_REGISTER_PACKING_START_REGPACKING_INFO" "" "Starting register packing" { } { } 0 176233 "Starting register packing" 0 0 "Fitter" 0 -1 1678222505716 ""} +{ "Extra Info" "IFSAC_FSAC_START_REG_LOCATION_PROCESSING" "" "Performing register packing on registers with non-logic cell location assignments" { } { } 1 176273 "Performing register packing on registers with non-logic cell location assignments" 1 0 "Fitter" 0 -1 1678222505716 ""} +{ "Extra Info" "IFSAC_FSAC_FINISH_REG_LOCATION_PROCESSING" "" "Completed register packing on registers with non-logic cell location assignments" { } { } 1 176274 "Completed register packing on registers with non-logic cell location assignments" 1 0 "Fitter" 0 -1 1678222505716 ""} +{ "Extra Info" "IFSAC_FSAC_REGISTER_PACKING_BEGIN_FAST_REGISTER_INFO" "" "Started Fast Input/Output/OE register processing" { } { } 1 176236 "Started Fast Input/Output/OE register processing" 1 0 "Fitter" 0 -1 1678222505717 ""} +{ "Extra Info" "IFSAC_FSAC_REGISTER_PACKING_FINISH_FAST_REGISTER_INFO" "" "Finished Fast Input/Output/OE register processing" { } { } 1 176237 "Finished Fast Input/Output/OE register processing" 1 0 "Fitter" 0 -1 1678222505717 ""} +{ "Extra Info" "IFSAC_FSAC_START_MAC_SCAN_CHAIN_INFERENCING" "" "Start inferring scan chains for DSP blocks" { } { } 1 176238 "Start inferring scan chains for DSP blocks" 1 0 "Fitter" 0 -1 1678222505718 ""} +{ "Extra Info" "IFSAC_FSAC_FINISH_MAC_SCAN_CHAIN_INFERENCING" "" "Inferring scan chains for DSP blocks is complete" { } { } 1 176239 "Inferring scan chains for DSP blocks is complete" 1 0 "Fitter" 0 -1 1678222505718 ""} +{ "Extra Info" "IFSAC_FSAC_START_IO_MULT_RAM_PACKING" "" "Moving registers into I/O cells, Multiplier Blocks, and RAM blocks to improve timing and density" { } { } 1 176248 "Moving registers into I/O cells, Multiplier Blocks, and RAM blocks to improve timing and density" 1 0 "Fitter" 0 -1 1678222505718 ""} +{ "Extra Info" "IFSAC_FSAC_FINISH_IO_MULT_RAM_PACKING" "" "Finished moving registers into I/O cells, Multiplier Blocks, and RAM blocks" { } { } 1 176249 "Finished moving registers into I/O cells, Multiplier Blocks, and RAM blocks" 1 0 "Fitter" 0 -1 1678222505718 ""} +{ "Info" "IFSAC_FSAC_REGISTER_PACKING_FINISH_REGPACKING_INFO" "" "Finished register packing" { { "Extra Info" "IFSAC_NO_REGISTERS_WERE_PACKED" "" "No registers were packed into other blocks" { } { } 1 176219 "No registers were packed into other blocks" 0 0 "Design Software" 0 -1 1678222505718 ""} } { } 0 176235 "Finished register packing" 0 0 "Fitter" 0 -1 1678222505718 ""} +{ "Warning" "WCUT_CUT_UNATTACHED_ASGN" "" "Ignored locations or region assignments to the following nodes" { { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "AUD_ADCLRCK " "Node \"AUD_ADCLRCK\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "AUD_ADCLRCK" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678222505774 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "AUD_BCLK " "Node \"AUD_BCLK\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "AUD_BCLK" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678222505774 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "AUD_DACDAT " "Node \"AUD_DACDAT\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "AUD_DACDAT" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678222505774 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "AUD_DACLRCK " "Node \"AUD_DACLRCK\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "AUD_DACLRCK" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678222505774 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "AUD_XCK " "Node \"AUD_XCK\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "AUD_XCK" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678222505774 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "DRAM_ADDR\[0\] " "Node \"DRAM_ADDR\[0\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "DRAM_ADDR\[0\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678222505774 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "DRAM_ADDR\[10\] " "Node \"DRAM_ADDR\[10\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "DRAM_ADDR\[10\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678222505774 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "DRAM_ADDR\[11\] " "Node \"DRAM_ADDR\[11\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "DRAM_ADDR\[11\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678222505774 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "DRAM_ADDR\[12\] " "Node \"DRAM_ADDR\[12\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "DRAM_ADDR\[12\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678222505774 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "DRAM_ADDR\[1\] " "Node \"DRAM_ADDR\[1\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "DRAM_ADDR\[1\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678222505774 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "DRAM_ADDR\[2\] " "Node \"DRAM_ADDR\[2\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "DRAM_ADDR\[2\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678222505774 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "DRAM_ADDR\[3\] " "Node \"DRAM_ADDR\[3\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "DRAM_ADDR\[3\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678222505774 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "DRAM_ADDR\[4\] " "Node \"DRAM_ADDR\[4\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "DRAM_ADDR\[4\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678222505774 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "DRAM_ADDR\[5\] " "Node \"DRAM_ADDR\[5\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "DRAM_ADDR\[5\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678222505774 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "DRAM_ADDR\[6\] " "Node \"DRAM_ADDR\[6\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "DRAM_ADDR\[6\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678222505774 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "DRAM_ADDR\[7\] " "Node \"DRAM_ADDR\[7\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "DRAM_ADDR\[7\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678222505774 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "DRAM_ADDR\[8\] " "Node \"DRAM_ADDR\[8\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "DRAM_ADDR\[8\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678222505774 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "DRAM_ADDR\[9\] " "Node \"DRAM_ADDR\[9\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "DRAM_ADDR\[9\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678222505774 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "DRAM_BA\[0\] " "Node \"DRAM_BA\[0\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "DRAM_BA\[0\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678222505774 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "DRAM_BA\[1\] " "Node \"DRAM_BA\[1\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "DRAM_BA\[1\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678222505774 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "DRAM_CAS_N " "Node \"DRAM_CAS_N\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "DRAM_CAS_N" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678222505774 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "DRAM_CKE " "Node \"DRAM_CKE\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "DRAM_CKE" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678222505774 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "DRAM_CLK " "Node \"DRAM_CLK\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "DRAM_CLK" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678222505774 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "DRAM_CS_N " "Node \"DRAM_CS_N\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "DRAM_CS_N" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678222505774 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "DRAM_DQM\[0\] " "Node \"DRAM_DQM\[0\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "DRAM_DQM\[0\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678222505774 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "DRAM_DQM\[1\] " "Node \"DRAM_DQM\[1\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "DRAM_DQM\[1\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678222505774 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "DRAM_DQM\[2\] " "Node \"DRAM_DQM\[2\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "DRAM_DQM\[2\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678222505774 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "DRAM_DQM\[3\] " "Node \"DRAM_DQM\[3\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "DRAM_DQM\[3\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678222505774 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "DRAM_DQ\[0\] " "Node \"DRAM_DQ\[0\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "DRAM_DQ\[0\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678222505774 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "DRAM_DQ\[10\] " "Node \"DRAM_DQ\[10\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "DRAM_DQ\[10\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678222505774 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "DRAM_DQ\[11\] " "Node \"DRAM_DQ\[11\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "DRAM_DQ\[11\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678222505774 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "DRAM_DQ\[12\] " "Node \"DRAM_DQ\[12\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "DRAM_DQ\[12\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678222505774 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "DRAM_DQ\[13\] " "Node \"DRAM_DQ\[13\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "DRAM_DQ\[13\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678222505774 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "DRAM_DQ\[14\] " "Node \"DRAM_DQ\[14\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "DRAM_DQ\[14\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678222505774 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "DRAM_DQ\[15\] " "Node \"DRAM_DQ\[15\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "DRAM_DQ\[15\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678222505774 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "DRAM_DQ\[16\] " "Node \"DRAM_DQ\[16\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "DRAM_DQ\[16\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678222505774 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "DRAM_DQ\[17\] " "Node \"DRAM_DQ\[17\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "DRAM_DQ\[17\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678222505774 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "DRAM_DQ\[18\] " "Node \"DRAM_DQ\[18\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "DRAM_DQ\[18\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678222505774 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "DRAM_DQ\[19\] " "Node \"DRAM_DQ\[19\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "DRAM_DQ\[19\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678222505774 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "DRAM_DQ\[1\] " "Node \"DRAM_DQ\[1\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "DRAM_DQ\[1\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678222505774 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "DRAM_DQ\[20\] " "Node \"DRAM_DQ\[20\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "DRAM_DQ\[20\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678222505774 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "DRAM_DQ\[21\] " "Node \"DRAM_DQ\[21\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "DRAM_DQ\[21\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678222505774 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "DRAM_DQ\[22\] " "Node \"DRAM_DQ\[22\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "DRAM_DQ\[22\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678222505774 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "DRAM_DQ\[23\] " "Node \"DRAM_DQ\[23\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "DRAM_DQ\[23\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678222505774 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "DRAM_DQ\[24\] " "Node \"DRAM_DQ\[24\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "DRAM_DQ\[24\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678222505774 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "DRAM_DQ\[25\] " "Node \"DRAM_DQ\[25\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "DRAM_DQ\[25\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678222505774 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "DRAM_DQ\[26\] " "Node \"DRAM_DQ\[26\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "DRAM_DQ\[26\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678222505774 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "DRAM_DQ\[27\] " "Node \"DRAM_DQ\[27\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "DRAM_DQ\[27\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678222505774 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "DRAM_DQ\[28\] " "Node \"DRAM_DQ\[28\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "DRAM_DQ\[28\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678222505774 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "DRAM_DQ\[29\] " "Node \"DRAM_DQ\[29\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "DRAM_DQ\[29\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678222505774 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "DRAM_DQ\[2\] " "Node \"DRAM_DQ\[2\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "DRAM_DQ\[2\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678222505774 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "DRAM_DQ\[30\] " "Node \"DRAM_DQ\[30\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "DRAM_DQ\[30\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678222505774 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "DRAM_DQ\[31\] " "Node \"DRAM_DQ\[31\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "DRAM_DQ\[31\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678222505774 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "DRAM_DQ\[3\] " "Node \"DRAM_DQ\[3\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "DRAM_DQ\[3\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678222505774 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "DRAM_DQ\[4\] " "Node \"DRAM_DQ\[4\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "DRAM_DQ\[4\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678222505774 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "DRAM_DQ\[5\] " "Node \"DRAM_DQ\[5\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "DRAM_DQ\[5\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678222505774 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "DRAM_DQ\[6\] " "Node \"DRAM_DQ\[6\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "DRAM_DQ\[6\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678222505774 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "DRAM_DQ\[7\] " "Node \"DRAM_DQ\[7\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "DRAM_DQ\[7\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678222505774 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "DRAM_DQ\[8\] " "Node \"DRAM_DQ\[8\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "DRAM_DQ\[8\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678222505774 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "DRAM_DQ\[9\] " "Node \"DRAM_DQ\[9\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "DRAM_DQ\[9\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678222505774 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "DRAM_RAS_N " "Node \"DRAM_RAS_N\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "DRAM_RAS_N" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678222505774 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "DRAM_WE_N " "Node \"DRAM_WE_N\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "DRAM_WE_N" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678222505774 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "EEP_I2C_SCLK " "Node \"EEP_I2C_SCLK\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "EEP_I2C_SCLK" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678222505774 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "EEP_I2C_SDAT " "Node \"EEP_I2C_SDAT\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "EEP_I2C_SDAT" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678222505774 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "ENET0_GTX_CLK " "Node \"ENET0_GTX_CLK\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "ENET0_GTX_CLK" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678222505774 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "ENET0_MDC " "Node \"ENET0_MDC\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "ENET0_MDC" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678222505774 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "ENET0_RST_N " "Node \"ENET0_RST_N\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "ENET0_RST_N" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678222505774 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "ENET0_TX_DATA\[0\] " "Node \"ENET0_TX_DATA\[0\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "ENET0_TX_DATA\[0\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678222505774 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "ENET0_TX_DATA\[1\] " "Node \"ENET0_TX_DATA\[1\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "ENET0_TX_DATA\[1\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678222505774 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "ENET0_TX_DATA\[2\] " "Node \"ENET0_TX_DATA\[2\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "ENET0_TX_DATA\[2\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678222505774 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "ENET0_TX_DATA\[3\] " "Node \"ENET0_TX_DATA\[3\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "ENET0_TX_DATA\[3\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678222505774 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "ENET0_TX_EN " "Node \"ENET0_TX_EN\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "ENET0_TX_EN" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678222505774 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "ENET0_TX_ER " "Node \"ENET0_TX_ER\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "ENET0_TX_ER" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678222505774 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "ENET1_GTX_CLK " "Node \"ENET1_GTX_CLK\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "ENET1_GTX_CLK" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678222505774 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "ENET1_MDC " "Node \"ENET1_MDC\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "ENET1_MDC" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678222505774 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "ENET1_RST_N " "Node \"ENET1_RST_N\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "ENET1_RST_N" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678222505774 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "ENET1_TX_DATA\[0\] " "Node \"ENET1_TX_DATA\[0\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "ENET1_TX_DATA\[0\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678222505774 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "ENET1_TX_DATA\[1\] " "Node \"ENET1_TX_DATA\[1\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "ENET1_TX_DATA\[1\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678222505774 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "ENET1_TX_DATA\[2\] " "Node \"ENET1_TX_DATA\[2\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "ENET1_TX_DATA\[2\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678222505774 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "ENET1_TX_DATA\[3\] " "Node \"ENET1_TX_DATA\[3\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "ENET1_TX_DATA\[3\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678222505774 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "ENET1_TX_EN " "Node \"ENET1_TX_EN\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "ENET1_TX_EN" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678222505774 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "ENET1_TX_ER " "Node \"ENET1_TX_ER\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "ENET1_TX_ER" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678222505774 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "EX_IO\[0\] " "Node \"EX_IO\[0\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "EX_IO\[0\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678222505774 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "EX_IO\[1\] " "Node \"EX_IO\[1\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "EX_IO\[1\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678222505774 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "EX_IO\[2\] " "Node \"EX_IO\[2\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "EX_IO\[2\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678222505774 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "EX_IO\[3\] " "Node \"EX_IO\[3\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "EX_IO\[3\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678222505774 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "EX_IO\[4\] " "Node \"EX_IO\[4\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "EX_IO\[4\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678222505774 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "EX_IO\[5\] " "Node \"EX_IO\[5\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "EX_IO\[5\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678222505774 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "EX_IO\[6\] " "Node \"EX_IO\[6\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "EX_IO\[6\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678222505774 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "FL_ADDR\[0\] " "Node \"FL_ADDR\[0\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "FL_ADDR\[0\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678222505774 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "FL_ADDR\[10\] " "Node \"FL_ADDR\[10\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "FL_ADDR\[10\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678222505774 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "FL_ADDR\[11\] " "Node \"FL_ADDR\[11\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "FL_ADDR\[11\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678222505774 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "FL_ADDR\[12\] " "Node \"FL_ADDR\[12\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "FL_ADDR\[12\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678222505774 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "FL_ADDR\[13\] " "Node \"FL_ADDR\[13\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "FL_ADDR\[13\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678222505774 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "FL_ADDR\[14\] " "Node \"FL_ADDR\[14\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "FL_ADDR\[14\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678222505774 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "FL_ADDR\[15\] " "Node \"FL_ADDR\[15\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "FL_ADDR\[15\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678222505774 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "FL_ADDR\[16\] " "Node \"FL_ADDR\[16\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "FL_ADDR\[16\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678222505774 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "FL_ADDR\[17\] " "Node \"FL_ADDR\[17\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "FL_ADDR\[17\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678222505774 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "FL_ADDR\[18\] " "Node \"FL_ADDR\[18\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "FL_ADDR\[18\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678222505774 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "FL_ADDR\[19\] " "Node \"FL_ADDR\[19\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "FL_ADDR\[19\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678222505774 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "FL_ADDR\[1\] " "Node \"FL_ADDR\[1\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "FL_ADDR\[1\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678222505774 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "FL_ADDR\[20\] " "Node \"FL_ADDR\[20\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "FL_ADDR\[20\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678222505774 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "FL_ADDR\[21\] " "Node \"FL_ADDR\[21\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "FL_ADDR\[21\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678222505774 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "FL_ADDR\[22\] " "Node \"FL_ADDR\[22\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "FL_ADDR\[22\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678222505774 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "FL_ADDR\[2\] " "Node \"FL_ADDR\[2\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "FL_ADDR\[2\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678222505774 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "FL_ADDR\[3\] " "Node \"FL_ADDR\[3\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "FL_ADDR\[3\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678222505774 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "FL_ADDR\[4\] " "Node \"FL_ADDR\[4\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "FL_ADDR\[4\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678222505774 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "FL_ADDR\[5\] " "Node \"FL_ADDR\[5\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "FL_ADDR\[5\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678222505774 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "FL_ADDR\[6\] " "Node \"FL_ADDR\[6\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "FL_ADDR\[6\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678222505774 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "FL_ADDR\[7\] " "Node \"FL_ADDR\[7\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "FL_ADDR\[7\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678222505774 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "FL_ADDR\[8\] " "Node \"FL_ADDR\[8\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "FL_ADDR\[8\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678222505774 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "FL_ADDR\[9\] " "Node \"FL_ADDR\[9\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "FL_ADDR\[9\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678222505774 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "FL_CE_N " "Node \"FL_CE_N\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "FL_CE_N" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678222505774 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "FL_DQ\[0\] " "Node \"FL_DQ\[0\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "FL_DQ\[0\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678222505774 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "FL_DQ\[1\] " "Node \"FL_DQ\[1\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "FL_DQ\[1\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678222505774 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "FL_DQ\[2\] " "Node \"FL_DQ\[2\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "FL_DQ\[2\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678222505774 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "FL_DQ\[3\] " "Node \"FL_DQ\[3\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "FL_DQ\[3\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678222505774 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "FL_DQ\[4\] " "Node \"FL_DQ\[4\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "FL_DQ\[4\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678222505774 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "FL_DQ\[5\] " "Node \"FL_DQ\[5\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "FL_DQ\[5\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678222505774 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "FL_DQ\[6\] " "Node \"FL_DQ\[6\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "FL_DQ\[6\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678222505774 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "FL_DQ\[7\] " "Node \"FL_DQ\[7\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "FL_DQ\[7\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678222505774 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "FL_OE_N " "Node \"FL_OE_N\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "FL_OE_N" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678222505774 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "FL_RST_N " "Node \"FL_RST_N\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "FL_RST_N" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678222505774 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "FL_WE_N " "Node \"FL_WE_N\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "FL_WE_N" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678222505774 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "FL_WP_N " "Node \"FL_WP_N\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "FL_WP_N" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678222505774 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "GPIO\[0\] " "Node \"GPIO\[0\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "GPIO\[0\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678222505774 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "GPIO\[10\] " "Node \"GPIO\[10\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "GPIO\[10\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678222505774 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "GPIO\[11\] " "Node \"GPIO\[11\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "GPIO\[11\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678222505774 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "GPIO\[12\] " "Node \"GPIO\[12\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "GPIO\[12\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678222505774 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "GPIO\[13\] " "Node \"GPIO\[13\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "GPIO\[13\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678222505774 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "GPIO\[14\] " "Node \"GPIO\[14\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "GPIO\[14\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678222505774 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "GPIO\[15\] " "Node \"GPIO\[15\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "GPIO\[15\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678222505774 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "GPIO\[16\] " "Node \"GPIO\[16\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "GPIO\[16\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678222505774 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "GPIO\[17\] " "Node \"GPIO\[17\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "GPIO\[17\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678222505774 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "GPIO\[18\] " "Node \"GPIO\[18\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "GPIO\[18\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678222505774 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "GPIO\[19\] " "Node \"GPIO\[19\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "GPIO\[19\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678222505774 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "GPIO\[1\] " "Node \"GPIO\[1\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "GPIO\[1\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678222505774 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "GPIO\[20\] " "Node \"GPIO\[20\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "GPIO\[20\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678222505774 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "GPIO\[21\] " "Node \"GPIO\[21\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "GPIO\[21\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678222505774 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "GPIO\[22\] " "Node \"GPIO\[22\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "GPIO\[22\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678222505774 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "GPIO\[23\] " "Node \"GPIO\[23\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "GPIO\[23\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678222505774 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "GPIO\[24\] " "Node \"GPIO\[24\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "GPIO\[24\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678222505774 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "GPIO\[25\] " "Node \"GPIO\[25\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "GPIO\[25\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678222505774 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "GPIO\[26\] " "Node \"GPIO\[26\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "GPIO\[26\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678222505774 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "GPIO\[27\] " "Node \"GPIO\[27\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "GPIO\[27\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678222505774 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "GPIO\[28\] " "Node \"GPIO\[28\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "GPIO\[28\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678222505774 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "GPIO\[29\] " "Node \"GPIO\[29\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "GPIO\[29\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678222505774 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "GPIO\[2\] " "Node \"GPIO\[2\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "GPIO\[2\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678222505774 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "GPIO\[30\] " "Node \"GPIO\[30\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "GPIO\[30\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678222505774 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "GPIO\[31\] " "Node \"GPIO\[31\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "GPIO\[31\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678222505774 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "GPIO\[32\] " "Node \"GPIO\[32\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "GPIO\[32\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678222505774 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "GPIO\[33\] " "Node \"GPIO\[33\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "GPIO\[33\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678222505774 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "GPIO\[34\] " "Node \"GPIO\[34\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "GPIO\[34\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678222505774 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "GPIO\[35\] " "Node \"GPIO\[35\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "GPIO\[35\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678222505774 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "GPIO\[3\] " "Node \"GPIO\[3\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "GPIO\[3\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678222505774 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "GPIO\[4\] " "Node \"GPIO\[4\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "GPIO\[4\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678222505774 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "GPIO\[5\] " "Node \"GPIO\[5\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "GPIO\[5\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678222505774 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "GPIO\[6\] " "Node \"GPIO\[6\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "GPIO\[6\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678222505774 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "GPIO\[7\] " "Node \"GPIO\[7\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "GPIO\[7\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678222505774 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "GPIO\[8\] " "Node \"GPIO\[8\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "GPIO\[8\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678222505774 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "GPIO\[9\] " "Node \"GPIO\[9\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "GPIO\[9\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678222505774 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "HEX0\[0\] " "Node \"HEX0\[0\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "HEX0\[0\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678222505774 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "HEX0\[1\] " "Node \"HEX0\[1\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "HEX0\[1\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678222505774 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "HEX0\[2\] " "Node \"HEX0\[2\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "HEX0\[2\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678222505774 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "HEX0\[3\] " "Node \"HEX0\[3\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "HEX0\[3\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678222505774 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "HEX0\[4\] " "Node \"HEX0\[4\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "HEX0\[4\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678222505774 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "HEX0\[5\] " "Node \"HEX0\[5\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "HEX0\[5\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678222505774 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "HEX0\[6\] " "Node \"HEX0\[6\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "HEX0\[6\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678222505774 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "HEX1\[0\] " "Node \"HEX1\[0\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "HEX1\[0\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678222505774 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "HEX1\[1\] " "Node \"HEX1\[1\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "HEX1\[1\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678222505774 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "HEX1\[2\] " "Node \"HEX1\[2\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "HEX1\[2\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678222505774 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "HEX1\[3\] " "Node \"HEX1\[3\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "HEX1\[3\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678222505774 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "HEX1\[4\] " "Node \"HEX1\[4\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "HEX1\[4\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678222505774 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "HEX1\[5\] " "Node \"HEX1\[5\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "HEX1\[5\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678222505774 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "HEX1\[6\] " "Node \"HEX1\[6\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "HEX1\[6\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678222505774 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "HEX2\[0\] " "Node \"HEX2\[0\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "HEX2\[0\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678222505774 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "HEX2\[1\] " "Node \"HEX2\[1\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "HEX2\[1\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678222505774 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "HEX2\[2\] " "Node \"HEX2\[2\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "HEX2\[2\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678222505774 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "HEX2\[3\] " "Node \"HEX2\[3\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "HEX2\[3\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678222505774 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "HEX2\[4\] " "Node \"HEX2\[4\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "HEX2\[4\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678222505774 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "HEX2\[5\] " "Node \"HEX2\[5\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "HEX2\[5\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678222505774 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "HEX2\[6\] " "Node \"HEX2\[6\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "HEX2\[6\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678222505774 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "HEX3\[0\] " "Node \"HEX3\[0\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "HEX3\[0\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678222505774 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "HEX3\[1\] " "Node \"HEX3\[1\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "HEX3\[1\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678222505774 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "HEX3\[2\] " "Node \"HEX3\[2\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "HEX3\[2\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678222505774 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "HEX3\[3\] " "Node \"HEX3\[3\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "HEX3\[3\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678222505774 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "HEX3\[4\] " "Node \"HEX3\[4\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "HEX3\[4\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678222505774 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "HEX3\[5\] " "Node \"HEX3\[5\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "HEX3\[5\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678222505774 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "HEX3\[6\] " "Node \"HEX3\[6\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "HEX3\[6\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678222505774 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "HEX4\[0\] " "Node \"HEX4\[0\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "HEX4\[0\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678222505774 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "HEX4\[1\] " "Node \"HEX4\[1\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "HEX4\[1\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678222505774 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "HEX4\[2\] " "Node \"HEX4\[2\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "HEX4\[2\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678222505774 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "HEX4\[3\] " "Node \"HEX4\[3\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "HEX4\[3\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678222505774 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "HEX4\[4\] " "Node \"HEX4\[4\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "HEX4\[4\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678222505774 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "HEX4\[5\] " "Node \"HEX4\[5\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "HEX4\[5\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678222505774 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "HEX4\[6\] " "Node \"HEX4\[6\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "HEX4\[6\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678222505774 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "HEX5\[0\] " "Node \"HEX5\[0\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "HEX5\[0\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678222505774 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "HEX5\[1\] " "Node \"HEX5\[1\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "HEX5\[1\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678222505774 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "HEX5\[2\] " "Node \"HEX5\[2\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "HEX5\[2\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678222505774 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "HEX5\[3\] " "Node \"HEX5\[3\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "HEX5\[3\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678222505774 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "HEX5\[4\] " "Node \"HEX5\[4\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "HEX5\[4\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678222505774 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "HEX5\[5\] " "Node \"HEX5\[5\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "HEX5\[5\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678222505774 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "HEX5\[6\] " "Node \"HEX5\[6\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "HEX5\[6\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678222505774 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "HEX6\[0\] " "Node \"HEX6\[0\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "HEX6\[0\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678222505774 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "HEX6\[1\] " "Node \"HEX6\[1\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "HEX6\[1\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678222505774 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "HEX6\[2\] " "Node \"HEX6\[2\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "HEX6\[2\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678222505774 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "HEX6\[3\] " "Node \"HEX6\[3\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "HEX6\[3\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678222505774 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "HEX6\[4\] " "Node \"HEX6\[4\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "HEX6\[4\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678222505774 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "HEX6\[5\] " "Node \"HEX6\[5\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "HEX6\[5\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678222505774 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "HEX6\[6\] " "Node \"HEX6\[6\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "HEX6\[6\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678222505774 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "HEX7\[0\] " "Node \"HEX7\[0\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "HEX7\[0\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678222505774 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "HEX7\[1\] " "Node \"HEX7\[1\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "HEX7\[1\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678222505774 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "HEX7\[2\] " "Node \"HEX7\[2\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "HEX7\[2\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678222505774 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "HEX7\[3\] " "Node \"HEX7\[3\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "HEX7\[3\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678222505774 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "HEX7\[4\] " "Node \"HEX7\[4\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "HEX7\[4\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678222505774 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "HEX7\[5\] " "Node \"HEX7\[5\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "HEX7\[5\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678222505774 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "HEX7\[6\] " "Node \"HEX7\[6\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "HEX7\[6\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678222505774 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "HSMC_CLKIN_N1 " "Node \"HSMC_CLKIN_N1\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "HSMC_CLKIN_N1" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678222505774 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "HSMC_CLKIN_N2 " "Node \"HSMC_CLKIN_N2\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "HSMC_CLKIN_N2" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678222505774 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "HSMC_CLKIN_P1 " "Node \"HSMC_CLKIN_P1\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "HSMC_CLKIN_P1" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678222505774 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "HSMC_CLKIN_P2 " "Node \"HSMC_CLKIN_P2\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "HSMC_CLKIN_P2" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678222505774 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "HSMC_CLKOUT0 " "Node \"HSMC_CLKOUT0\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "HSMC_CLKOUT0" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678222505774 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "HSMC_CLKOUT_N1 " "Node \"HSMC_CLKOUT_N1\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "HSMC_CLKOUT_N1" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678222505774 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "HSMC_CLKOUT_N2 " "Node \"HSMC_CLKOUT_N2\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "HSMC_CLKOUT_N2" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678222505774 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "HSMC_CLKOUT_P1 " "Node \"HSMC_CLKOUT_P1\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "HSMC_CLKOUT_P1" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678222505774 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "HSMC_CLKOUT_P2 " "Node \"HSMC_CLKOUT_P2\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "HSMC_CLKOUT_P2" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678222505774 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "HSMC_D\[0\] " "Node \"HSMC_D\[0\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "HSMC_D\[0\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678222505774 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "HSMC_D\[1\] " "Node \"HSMC_D\[1\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "HSMC_D\[1\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678222505774 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "HSMC_D\[2\] " "Node \"HSMC_D\[2\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "HSMC_D\[2\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678222505774 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "HSMC_D\[3\] " "Node \"HSMC_D\[3\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "HSMC_D\[3\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678222505774 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "HSMC_RX_D_N\[0\] " "Node \"HSMC_RX_D_N\[0\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "HSMC_RX_D_N\[0\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678222505774 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "HSMC_RX_D_N\[10\] " "Node \"HSMC_RX_D_N\[10\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "HSMC_RX_D_N\[10\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678222505774 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "HSMC_RX_D_N\[11\] " "Node \"HSMC_RX_D_N\[11\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "HSMC_RX_D_N\[11\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678222505774 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "HSMC_RX_D_N\[12\] " "Node \"HSMC_RX_D_N\[12\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "HSMC_RX_D_N\[12\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678222505774 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "HSMC_RX_D_N\[13\] " "Node \"HSMC_RX_D_N\[13\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "HSMC_RX_D_N\[13\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678222505774 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "HSMC_RX_D_N\[14\] " "Node \"HSMC_RX_D_N\[14\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "HSMC_RX_D_N\[14\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678222505774 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "HSMC_RX_D_N\[15\] " "Node \"HSMC_RX_D_N\[15\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "HSMC_RX_D_N\[15\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678222505774 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "HSMC_RX_D_N\[16\] " "Node \"HSMC_RX_D_N\[16\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "HSMC_RX_D_N\[16\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678222505774 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "HSMC_RX_D_N\[1\] " "Node \"HSMC_RX_D_N\[1\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "HSMC_RX_D_N\[1\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678222505774 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "HSMC_RX_D_N\[2\] " "Node \"HSMC_RX_D_N\[2\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "HSMC_RX_D_N\[2\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678222505774 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "HSMC_RX_D_N\[3\] " "Node \"HSMC_RX_D_N\[3\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "HSMC_RX_D_N\[3\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678222505774 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "HSMC_RX_D_N\[4\] " "Node \"HSMC_RX_D_N\[4\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "HSMC_RX_D_N\[4\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678222505774 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "HSMC_RX_D_N\[5\] " "Node \"HSMC_RX_D_N\[5\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "HSMC_RX_D_N\[5\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678222505774 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "HSMC_RX_D_N\[6\] " "Node \"HSMC_RX_D_N\[6\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "HSMC_RX_D_N\[6\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678222505774 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "HSMC_RX_D_N\[7\] " "Node \"HSMC_RX_D_N\[7\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "HSMC_RX_D_N\[7\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678222505774 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "HSMC_RX_D_N\[8\] " "Node \"HSMC_RX_D_N\[8\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "HSMC_RX_D_N\[8\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678222505774 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "HSMC_RX_D_N\[9\] " "Node \"HSMC_RX_D_N\[9\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "HSMC_RX_D_N\[9\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678222505774 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "HSMC_RX_D_P\[0\] " "Node \"HSMC_RX_D_P\[0\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "HSMC_RX_D_P\[0\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678222505774 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "HSMC_RX_D_P\[10\] " "Node \"HSMC_RX_D_P\[10\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "HSMC_RX_D_P\[10\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678222505774 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "HSMC_RX_D_P\[11\] " "Node \"HSMC_RX_D_P\[11\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "HSMC_RX_D_P\[11\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678222505774 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "HSMC_RX_D_P\[12\] " "Node \"HSMC_RX_D_P\[12\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "HSMC_RX_D_P\[12\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678222505774 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "HSMC_RX_D_P\[13\] " "Node \"HSMC_RX_D_P\[13\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "HSMC_RX_D_P\[13\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678222505774 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "HSMC_RX_D_P\[14\] " "Node \"HSMC_RX_D_P\[14\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "HSMC_RX_D_P\[14\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678222505774 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "HSMC_RX_D_P\[15\] " "Node \"HSMC_RX_D_P\[15\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "HSMC_RX_D_P\[15\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678222505774 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "HSMC_RX_D_P\[16\] " "Node \"HSMC_RX_D_P\[16\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "HSMC_RX_D_P\[16\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678222505774 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "HSMC_RX_D_P\[1\] " "Node \"HSMC_RX_D_P\[1\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "HSMC_RX_D_P\[1\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678222505774 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "HSMC_RX_D_P\[2\] " "Node \"HSMC_RX_D_P\[2\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "HSMC_RX_D_P\[2\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678222505774 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "HSMC_RX_D_P\[3\] " "Node \"HSMC_RX_D_P\[3\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "HSMC_RX_D_P\[3\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678222505774 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "HSMC_RX_D_P\[4\] " "Node \"HSMC_RX_D_P\[4\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "HSMC_RX_D_P\[4\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678222505774 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "HSMC_RX_D_P\[5\] " "Node \"HSMC_RX_D_P\[5\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "HSMC_RX_D_P\[5\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678222505774 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "HSMC_RX_D_P\[6\] " "Node \"HSMC_RX_D_P\[6\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "HSMC_RX_D_P\[6\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678222505774 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "HSMC_RX_D_P\[7\] " "Node \"HSMC_RX_D_P\[7\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "HSMC_RX_D_P\[7\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678222505774 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "HSMC_RX_D_P\[8\] " "Node \"HSMC_RX_D_P\[8\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "HSMC_RX_D_P\[8\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678222505774 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "HSMC_RX_D_P\[9\] " "Node \"HSMC_RX_D_P\[9\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "HSMC_RX_D_P\[9\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678222505774 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "HSMC_TX_D_N\[0\] " "Node \"HSMC_TX_D_N\[0\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "HSMC_TX_D_N\[0\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678222505774 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "HSMC_TX_D_N\[10\] " "Node \"HSMC_TX_D_N\[10\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "HSMC_TX_D_N\[10\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678222505774 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "HSMC_TX_D_N\[11\] " "Node \"HSMC_TX_D_N\[11\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "HSMC_TX_D_N\[11\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678222505774 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "HSMC_TX_D_N\[12\] " "Node \"HSMC_TX_D_N\[12\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "HSMC_TX_D_N\[12\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678222505774 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "HSMC_TX_D_N\[13\] " "Node \"HSMC_TX_D_N\[13\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "HSMC_TX_D_N\[13\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678222505774 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "HSMC_TX_D_N\[14\] " "Node \"HSMC_TX_D_N\[14\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "HSMC_TX_D_N\[14\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678222505774 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "HSMC_TX_D_N\[15\] " "Node \"HSMC_TX_D_N\[15\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "HSMC_TX_D_N\[15\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678222505774 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "HSMC_TX_D_N\[16\] " "Node \"HSMC_TX_D_N\[16\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "HSMC_TX_D_N\[16\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678222505774 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "HSMC_TX_D_N\[1\] " "Node \"HSMC_TX_D_N\[1\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "HSMC_TX_D_N\[1\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678222505774 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "HSMC_TX_D_N\[2\] " "Node \"HSMC_TX_D_N\[2\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "HSMC_TX_D_N\[2\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678222505774 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "HSMC_TX_D_N\[3\] " "Node \"HSMC_TX_D_N\[3\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "HSMC_TX_D_N\[3\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678222505774 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "HSMC_TX_D_N\[4\] " "Node \"HSMC_TX_D_N\[4\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "HSMC_TX_D_N\[4\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678222505774 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "HSMC_TX_D_N\[5\] " "Node \"HSMC_TX_D_N\[5\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "HSMC_TX_D_N\[5\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678222505774 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "HSMC_TX_D_N\[6\] " "Node \"HSMC_TX_D_N\[6\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "HSMC_TX_D_N\[6\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678222505774 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "HSMC_TX_D_N\[7\] " "Node \"HSMC_TX_D_N\[7\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "HSMC_TX_D_N\[7\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678222505774 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "HSMC_TX_D_N\[8\] " "Node \"HSMC_TX_D_N\[8\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "HSMC_TX_D_N\[8\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678222505774 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "HSMC_TX_D_N\[9\] " "Node \"HSMC_TX_D_N\[9\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "HSMC_TX_D_N\[9\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678222505774 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "HSMC_TX_D_P\[0\] " "Node \"HSMC_TX_D_P\[0\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "HSMC_TX_D_P\[0\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678222505774 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "HSMC_TX_D_P\[10\] " "Node \"HSMC_TX_D_P\[10\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "HSMC_TX_D_P\[10\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678222505774 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "HSMC_TX_D_P\[11\] " "Node \"HSMC_TX_D_P\[11\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "HSMC_TX_D_P\[11\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678222505774 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "HSMC_TX_D_P\[12\] " "Node \"HSMC_TX_D_P\[12\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "HSMC_TX_D_P\[12\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678222505774 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "HSMC_TX_D_P\[13\] " "Node \"HSMC_TX_D_P\[13\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "HSMC_TX_D_P\[13\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678222505774 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "HSMC_TX_D_P\[14\] " "Node \"HSMC_TX_D_P\[14\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "HSMC_TX_D_P\[14\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678222505774 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "HSMC_TX_D_P\[15\] " "Node \"HSMC_TX_D_P\[15\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "HSMC_TX_D_P\[15\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678222505774 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "HSMC_TX_D_P\[16\] " "Node \"HSMC_TX_D_P\[16\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "HSMC_TX_D_P\[16\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678222505774 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "HSMC_TX_D_P\[1\] " "Node \"HSMC_TX_D_P\[1\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "HSMC_TX_D_P\[1\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678222505774 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "HSMC_TX_D_P\[2\] " "Node \"HSMC_TX_D_P\[2\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "HSMC_TX_D_P\[2\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678222505774 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "HSMC_TX_D_P\[3\] " "Node \"HSMC_TX_D_P\[3\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "HSMC_TX_D_P\[3\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678222505774 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "HSMC_TX_D_P\[4\] " "Node \"HSMC_TX_D_P\[4\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "HSMC_TX_D_P\[4\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678222505774 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "HSMC_TX_D_P\[5\] " "Node \"HSMC_TX_D_P\[5\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "HSMC_TX_D_P\[5\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678222505774 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "HSMC_TX_D_P\[6\] " "Node \"HSMC_TX_D_P\[6\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "HSMC_TX_D_P\[6\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678222505774 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "HSMC_TX_D_P\[7\] " "Node \"HSMC_TX_D_P\[7\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "HSMC_TX_D_P\[7\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678222505774 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "HSMC_TX_D_P\[8\] " "Node \"HSMC_TX_D_P\[8\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "HSMC_TX_D_P\[8\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678222505774 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "HSMC_TX_D_P\[9\] " "Node \"HSMC_TX_D_P\[9\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "HSMC_TX_D_P\[9\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678222505774 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "I2C_SCLK " "Node \"I2C_SCLK\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "I2C_SCLK" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678222505774 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "I2C_SDAT " "Node \"I2C_SDAT\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "I2C_SDAT" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678222505774 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "LCD_BLON " "Node \"LCD_BLON\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "LCD_BLON" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678222505774 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "LCD_DATA\[0\] " "Node \"LCD_DATA\[0\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "LCD_DATA\[0\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678222505774 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "LCD_DATA\[1\] " "Node \"LCD_DATA\[1\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "LCD_DATA\[1\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678222505774 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "LCD_DATA\[2\] " "Node \"LCD_DATA\[2\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "LCD_DATA\[2\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678222505774 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "LCD_DATA\[3\] " "Node \"LCD_DATA\[3\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "LCD_DATA\[3\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678222505774 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "LCD_DATA\[4\] " "Node \"LCD_DATA\[4\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "LCD_DATA\[4\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678222505774 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "LCD_DATA\[5\] " "Node \"LCD_DATA\[5\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "LCD_DATA\[5\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678222505774 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "LCD_DATA\[6\] " "Node \"LCD_DATA\[6\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "LCD_DATA\[6\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678222505774 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "LCD_DATA\[7\] " "Node \"LCD_DATA\[7\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "LCD_DATA\[7\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678222505774 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "LCD_EN " "Node \"LCD_EN\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "LCD_EN" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678222505774 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "LCD_ON " "Node \"LCD_ON\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "LCD_ON" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678222505774 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "LCD_RS " "Node \"LCD_RS\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "LCD_RS" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678222505774 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "LCD_RW " "Node \"LCD_RW\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "LCD_RW" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678222505774 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "LEDG\[1\] " "Node \"LEDG\[1\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "LEDG\[1\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678222505774 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "LEDG\[2\] " "Node \"LEDG\[2\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "LEDG\[2\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678222505774 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "LEDG\[3\] " "Node \"LEDG\[3\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "LEDG\[3\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678222505774 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "LEDG\[4\] " "Node \"LEDG\[4\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "LEDG\[4\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678222505774 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "LEDG\[5\] " "Node \"LEDG\[5\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "LEDG\[5\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678222505774 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "LEDG\[6\] " "Node \"LEDG\[6\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "LEDG\[6\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678222505774 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "LEDG\[7\] " "Node \"LEDG\[7\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "LEDG\[7\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678222505774 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "LEDG\[8\] " "Node \"LEDG\[8\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "LEDG\[8\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678222505774 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "LEDR\[0\] " "Node \"LEDR\[0\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "LEDR\[0\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678222505774 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "LEDR\[10\] " "Node \"LEDR\[10\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "LEDR\[10\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678222505774 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "LEDR\[11\] " "Node \"LEDR\[11\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "LEDR\[11\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678222505774 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "LEDR\[12\] " "Node \"LEDR\[12\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "LEDR\[12\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678222505774 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "LEDR\[13\] " "Node \"LEDR\[13\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "LEDR\[13\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678222505774 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "LEDR\[14\] " "Node \"LEDR\[14\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "LEDR\[14\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678222505774 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "LEDR\[15\] " "Node \"LEDR\[15\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "LEDR\[15\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678222505774 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "LEDR\[16\] " "Node \"LEDR\[16\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "LEDR\[16\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678222505774 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "LEDR\[17\] " "Node \"LEDR\[17\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "LEDR\[17\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678222505774 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "LEDR\[1\] " "Node \"LEDR\[1\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "LEDR\[1\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678222505774 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "LEDR\[2\] " "Node \"LEDR\[2\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "LEDR\[2\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678222505774 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "LEDR\[3\] " "Node \"LEDR\[3\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "LEDR\[3\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678222505774 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "LEDR\[4\] " "Node \"LEDR\[4\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "LEDR\[4\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678222505774 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "LEDR\[5\] " "Node \"LEDR\[5\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "LEDR\[5\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678222505774 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "LEDR\[6\] " "Node \"LEDR\[6\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "LEDR\[6\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678222505774 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "LEDR\[7\] " "Node \"LEDR\[7\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "LEDR\[7\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678222505774 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "LEDR\[8\] " "Node \"LEDR\[8\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "LEDR\[8\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678222505774 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "LEDR\[9\] " "Node \"LEDR\[9\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "LEDR\[9\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678222505774 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "OTG_ADDR\[0\] " "Node \"OTG_ADDR\[0\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "OTG_ADDR\[0\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678222505774 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "OTG_ADDR\[1\] " "Node \"OTG_ADDR\[1\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "OTG_ADDR\[1\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678222505774 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "OTG_CS_N " "Node \"OTG_CS_N\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "OTG_CS_N" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678222505774 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "OTG_DATA\[0\] " "Node \"OTG_DATA\[0\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "OTG_DATA\[0\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678222505774 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "OTG_DATA\[10\] " "Node \"OTG_DATA\[10\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "OTG_DATA\[10\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678222505774 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "OTG_DATA\[11\] " "Node \"OTG_DATA\[11\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "OTG_DATA\[11\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678222505774 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "OTG_DATA\[12\] " "Node \"OTG_DATA\[12\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "OTG_DATA\[12\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678222505774 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "OTG_DATA\[13\] " "Node \"OTG_DATA\[13\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "OTG_DATA\[13\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678222505774 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "OTG_DATA\[14\] " "Node \"OTG_DATA\[14\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "OTG_DATA\[14\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678222505774 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "OTG_DATA\[15\] " "Node \"OTG_DATA\[15\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "OTG_DATA\[15\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678222505774 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "OTG_DATA\[1\] " "Node \"OTG_DATA\[1\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "OTG_DATA\[1\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678222505774 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "OTG_DATA\[2\] " "Node \"OTG_DATA\[2\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "OTG_DATA\[2\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678222505774 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "OTG_DATA\[3\] " "Node \"OTG_DATA\[3\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "OTG_DATA\[3\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678222505774 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "OTG_DATA\[4\] " "Node \"OTG_DATA\[4\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "OTG_DATA\[4\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678222505774 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "OTG_DATA\[5\] " "Node \"OTG_DATA\[5\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "OTG_DATA\[5\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678222505774 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "OTG_DATA\[6\] " "Node \"OTG_DATA\[6\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "OTG_DATA\[6\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678222505774 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "OTG_DATA\[7\] " "Node \"OTG_DATA\[7\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "OTG_DATA\[7\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678222505774 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "OTG_DATA\[8\] " "Node \"OTG_DATA\[8\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "OTG_DATA\[8\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678222505774 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "OTG_DATA\[9\] " "Node \"OTG_DATA\[9\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "OTG_DATA\[9\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678222505774 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "OTG_DREQ\[0\] " "Node \"OTG_DREQ\[0\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "OTG_DREQ\[0\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678222505774 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "OTG_RD_N " "Node \"OTG_RD_N\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "OTG_RD_N" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678222505774 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "OTG_RST_N " "Node \"OTG_RST_N\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "OTG_RST_N" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678222505774 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "OTG_WR_N " "Node \"OTG_WR_N\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "OTG_WR_N" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678222505774 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "PS2_CLK " "Node \"PS2_CLK\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "PS2_CLK" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678222505774 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "PS2_CLK2 " "Node \"PS2_CLK2\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "PS2_CLK2" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678222505774 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "PS2_DAT " "Node \"PS2_DAT\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "PS2_DAT" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678222505774 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "PS2_DAT2 " "Node \"PS2_DAT2\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "PS2_DAT2" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678222505774 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "SD_CLK " "Node \"SD_CLK\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "SD_CLK" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678222505774 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "SD_CMD " "Node \"SD_CMD\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "SD_CMD" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678222505774 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "SD_DAT\[0\] " "Node \"SD_DAT\[0\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "SD_DAT\[0\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678222505774 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "SD_DAT\[1\] " "Node \"SD_DAT\[1\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "SD_DAT\[1\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678222505774 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "SD_DAT\[2\] " "Node \"SD_DAT\[2\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "SD_DAT\[2\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678222505774 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "SD_DAT\[3\] " "Node \"SD_DAT\[3\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "SD_DAT\[3\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678222505774 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "SMA_CLKOUT " "Node \"SMA_CLKOUT\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "SMA_CLKOUT" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678222505774 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "SRAM_ADDR\[0\] " "Node \"SRAM_ADDR\[0\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "SRAM_ADDR\[0\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678222505774 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "SRAM_ADDR\[10\] " "Node \"SRAM_ADDR\[10\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "SRAM_ADDR\[10\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678222505774 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "SRAM_ADDR\[11\] " "Node \"SRAM_ADDR\[11\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "SRAM_ADDR\[11\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678222505774 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "SRAM_ADDR\[12\] " "Node \"SRAM_ADDR\[12\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "SRAM_ADDR\[12\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678222505774 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "SRAM_ADDR\[13\] " "Node \"SRAM_ADDR\[13\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "SRAM_ADDR\[13\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678222505774 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "SRAM_ADDR\[14\] " "Node \"SRAM_ADDR\[14\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "SRAM_ADDR\[14\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678222505774 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "SRAM_ADDR\[15\] " "Node \"SRAM_ADDR\[15\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "SRAM_ADDR\[15\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678222505774 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "SRAM_ADDR\[16\] " "Node \"SRAM_ADDR\[16\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "SRAM_ADDR\[16\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678222505774 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "SRAM_ADDR\[17\] " "Node \"SRAM_ADDR\[17\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "SRAM_ADDR\[17\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678222505774 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "SRAM_ADDR\[18\] " "Node \"SRAM_ADDR\[18\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "SRAM_ADDR\[18\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678222505774 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "SRAM_ADDR\[19\] " "Node \"SRAM_ADDR\[19\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "SRAM_ADDR\[19\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678222505774 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "SRAM_ADDR\[1\] " "Node \"SRAM_ADDR\[1\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "SRAM_ADDR\[1\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678222505774 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "SRAM_ADDR\[2\] " "Node \"SRAM_ADDR\[2\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "SRAM_ADDR\[2\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678222505774 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "SRAM_ADDR\[3\] " "Node \"SRAM_ADDR\[3\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "SRAM_ADDR\[3\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678222505774 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "SRAM_ADDR\[4\] " "Node \"SRAM_ADDR\[4\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "SRAM_ADDR\[4\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678222505774 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "SRAM_ADDR\[5\] " "Node \"SRAM_ADDR\[5\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "SRAM_ADDR\[5\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678222505774 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "SRAM_ADDR\[6\] " "Node \"SRAM_ADDR\[6\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "SRAM_ADDR\[6\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678222505774 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "SRAM_ADDR\[7\] " "Node \"SRAM_ADDR\[7\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "SRAM_ADDR\[7\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678222505774 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "SRAM_ADDR\[8\] " "Node \"SRAM_ADDR\[8\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "SRAM_ADDR\[8\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678222505774 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "SRAM_ADDR\[9\] " "Node \"SRAM_ADDR\[9\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "SRAM_ADDR\[9\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678222505774 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "SRAM_CE_N " "Node \"SRAM_CE_N\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "SRAM_CE_N" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678222505774 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "SRAM_DQ\[0\] " "Node \"SRAM_DQ\[0\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "SRAM_DQ\[0\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678222505774 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "SRAM_DQ\[10\] " "Node \"SRAM_DQ\[10\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "SRAM_DQ\[10\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678222505774 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "SRAM_DQ\[11\] " "Node \"SRAM_DQ\[11\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "SRAM_DQ\[11\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678222505774 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "SRAM_DQ\[12\] " "Node \"SRAM_DQ\[12\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "SRAM_DQ\[12\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678222505774 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "SRAM_DQ\[13\] " "Node \"SRAM_DQ\[13\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "SRAM_DQ\[13\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678222505774 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "SRAM_DQ\[14\] " "Node \"SRAM_DQ\[14\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "SRAM_DQ\[14\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678222505774 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "SRAM_DQ\[15\] " "Node \"SRAM_DQ\[15\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "SRAM_DQ\[15\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678222505774 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "SRAM_DQ\[1\] " "Node \"SRAM_DQ\[1\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "SRAM_DQ\[1\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678222505774 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "SRAM_DQ\[2\] " "Node \"SRAM_DQ\[2\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "SRAM_DQ\[2\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678222505774 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "SRAM_DQ\[3\] " "Node \"SRAM_DQ\[3\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "SRAM_DQ\[3\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678222505774 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "SRAM_DQ\[4\] " "Node \"SRAM_DQ\[4\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "SRAM_DQ\[4\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678222505774 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "SRAM_DQ\[5\] " "Node \"SRAM_DQ\[5\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "SRAM_DQ\[5\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678222505774 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "SRAM_DQ\[6\] " "Node \"SRAM_DQ\[6\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "SRAM_DQ\[6\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678222505774 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "SRAM_DQ\[7\] " "Node \"SRAM_DQ\[7\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "SRAM_DQ\[7\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678222505774 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "SRAM_DQ\[8\] " "Node \"SRAM_DQ\[8\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "SRAM_DQ\[8\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678222505774 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "SRAM_DQ\[9\] " "Node \"SRAM_DQ\[9\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "SRAM_DQ\[9\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678222505774 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "SRAM_LB_N " "Node \"SRAM_LB_N\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "SRAM_LB_N" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678222505774 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "SRAM_OE_N " "Node \"SRAM_OE_N\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "SRAM_OE_N" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678222505774 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "SRAM_UB_N " "Node \"SRAM_UB_N\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "SRAM_UB_N" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678222505774 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "SRAM_WE_N " "Node \"SRAM_WE_N\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "SRAM_WE_N" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678222505774 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "TD_RESET_N " "Node \"TD_RESET_N\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "TD_RESET_N" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678222505774 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "UART_CTS " "Node \"UART_CTS\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "UART_CTS" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678222505774 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "UART_TXD " "Node \"UART_TXD\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "UART_TXD" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678222505774 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "VGA_BLANK_N " "Node \"VGA_BLANK_N\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "VGA_BLANK_N" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678222505774 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "VGA_B\[0\] " "Node \"VGA_B\[0\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "VGA_B\[0\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678222505774 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "VGA_B\[1\] " "Node \"VGA_B\[1\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "VGA_B\[1\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678222505774 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "VGA_B\[2\] " "Node \"VGA_B\[2\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "VGA_B\[2\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678222505774 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "VGA_B\[3\] " "Node \"VGA_B\[3\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "VGA_B\[3\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678222505774 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "VGA_B\[4\] " "Node \"VGA_B\[4\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "VGA_B\[4\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678222505774 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "VGA_B\[5\] " "Node \"VGA_B\[5\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "VGA_B\[5\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678222505774 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "VGA_B\[6\] " "Node \"VGA_B\[6\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "VGA_B\[6\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678222505774 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "VGA_B\[7\] " "Node \"VGA_B\[7\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "VGA_B\[7\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678222505774 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "VGA_CLK " "Node \"VGA_CLK\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "VGA_CLK" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678222505774 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "VGA_G\[0\] " "Node \"VGA_G\[0\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "VGA_G\[0\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678222505774 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "VGA_G\[1\] " "Node \"VGA_G\[1\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "VGA_G\[1\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678222505774 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "VGA_G\[2\] " "Node \"VGA_G\[2\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "VGA_G\[2\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678222505774 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "VGA_G\[3\] " "Node \"VGA_G\[3\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "VGA_G\[3\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678222505774 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "VGA_G\[4\] " "Node \"VGA_G\[4\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "VGA_G\[4\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678222505774 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "VGA_G\[5\] " "Node \"VGA_G\[5\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "VGA_G\[5\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678222505774 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "VGA_G\[6\] " "Node \"VGA_G\[6\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "VGA_G\[6\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678222505774 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "VGA_G\[7\] " "Node \"VGA_G\[7\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "VGA_G\[7\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678222505774 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "VGA_HS " "Node \"VGA_HS\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "VGA_HS" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678222505774 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "VGA_R\[0\] " "Node \"VGA_R\[0\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "VGA_R\[0\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678222505774 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "VGA_R\[1\] " "Node \"VGA_R\[1\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "VGA_R\[1\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678222505774 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "VGA_R\[2\] " "Node \"VGA_R\[2\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "VGA_R\[2\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678222505774 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "VGA_R\[3\] " "Node \"VGA_R\[3\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "VGA_R\[3\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678222505774 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "VGA_R\[4\] " "Node \"VGA_R\[4\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "VGA_R\[4\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678222505774 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "VGA_R\[5\] " "Node \"VGA_R\[5\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "VGA_R\[5\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678222505774 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "VGA_R\[6\] " "Node \"VGA_R\[6\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "VGA_R\[6\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678222505774 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "VGA_R\[7\] " "Node \"VGA_R\[7\]\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "VGA_R\[7\]" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678222505774 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "VGA_SYNC_N " "Node \"VGA_SYNC_N\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "VGA_SYNC_N" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678222505774 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "VGA_VS " "Node \"VGA_VS\" is assigned to location or region, but does not exist in design" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "VGA_VS" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Design Software" 0 -1 1678222505774 ""} } { } 0 15705 "Ignored locations or region assignments to the following nodes" 0 0 "Fitter" 0 -1 1678222505774 ""} +{ "Info" "IFITCC_FITTER_PREPARATION_END" "00:00:01 " "Fitter preparation operations ending: elapsed time is 00:00:01" { } { } 0 171121 "Fitter preparation operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1678222505785 ""} +{ "Info" "IVPR20K_VPR_FAMILY_APL_ERROR" "" "Fitter has disabled Advanced Physical Optimization because it is not supported for the current family." { } { } 0 14896 "Fitter has disabled Advanced Physical Optimization because it is not supported for the current family." 0 0 "Fitter" 0 -1 1678222505788 ""} +{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_PREP_START" "" "Fitter placement preparation operations beginning" { } { } 0 170189 "Fitter placement preparation operations beginning" 0 0 "Fitter" 0 -1 1678222508212 ""} +{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_PREP_END" "00:00:00 " "Fitter placement preparation operations ending: elapsed time is 00:00:00" { } { } 0 170190 "Fitter placement preparation operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1678222508347 ""} +{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_START" "" "Fitter placement operations beginning" { } { } 0 170191 "Fitter placement operations beginning" 0 0 "Fitter" 0 -1 1678222508393 ""} +{ "Info" "IFITAPI_FITAPI_INFO_VPR_PLACEMENT_FINISH" "" "Fitter placement was successful" { } { } 0 170137 "Fitter placement was successful" 0 0 "Fitter" 0 -1 1678222508641 ""} +{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_END" "00:00:00 " "Fitter placement operations ending: elapsed time is 00:00:00" { } { } 0 170192 "Fitter placement operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1678222508641 ""} +{ "Info" "IFITAPI_FITAPI_VPR_FITTER_ROUTING_START" "" "Fitter routing operations beginning" { } { } 0 170193 "Fitter routing operations beginning" 0 0 "Fitter" 0 -1 1678222508841 ""} +{ "Info" "IFITAPI_FITAPI_VPR_PERCENT_ROUTING_RESOURCE_USAGE" "0 " "Router estimated average interconnect usage is 0% of the available device resources" { { "Info" "IFITAPI_FITAPI_VPR_PEAK_ROUTING_REGION" "0 X104_Y12 X115_Y23 " "Router estimated peak interconnect usage is 0% of the available device resources in the region that extends from location X104_Y12 to location X115_Y23" { } { { "loc" "" { Generic "/home/tiagorg/repos/uaveiro-leci/1ano/2semestre/lsd/pratica01/part4/" { { 1 { 0 "Router estimated peak interconnect usage is 0% of the available device resources in the region that extends from location X104_Y12 to location X115_Y23"} { { 12 { 0 ""} 104 12 12 12 } } } } } } } 0 170196 "Router estimated peak interconnect usage is %1!d!%% of the available device resources in the region that extends from location %2!s! to location %3!s!" 0 0 "Design Software" 0 -1 1678222511923 ""} } { } 0 170195 "Router estimated average interconnect usage is %1!d!%% of the available device resources" 0 0 "Fitter" 0 -1 1678222511923 ""} +{ "Info" "IFITAPI_FITAPI_VPR_AUTO_FIT_ENABLED_AND_USED" "" "The Fitter performed an Auto Fit compilation. Optimizations were skipped to reduce compilation time." { { "Info" "IFITAPI_FITAPI_VPR_AUTO_FIT_ENABLED_AND_USED_FOR_ROUTABILITY" "" "Optimizations that may affect the design's routability were skipped" { } { } 0 170201 "Optimizations that may affect the design's routability were skipped" 0 0 "Design Software" 0 -1 1678222512080 ""} { "Info" "IFITAPI_FITAPI_VPR_AUTO_FIT_ENABLED_AND_USED_FOR_TIMING" "" "Optimizations that may affect the design's timing were skipped" { } { } 0 170200 "Optimizations that may affect the design's timing were skipped" 0 0 "Design Software" 0 -1 1678222512080 ""} } { } 0 170199 "The Fitter performed an Auto Fit compilation. Optimizations were skipped to reduce compilation time." 0 0 "Fitter" 0 -1 1678222512080 ""} +{ "Info" "IFITAPI_FITAPI_VPR_FITTER_ROUTING_END" "00:00:00 " "Fitter routing operations ending: elapsed time is 00:00:00" { } { } 0 170194 "Fitter routing operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1678222512081 ""} +{ "Info" "IVPR20K_VPR_TIMING_ANALYSIS_TIME" "the Fitter 0.01 " "Total time spent on timing analysis during the Fitter is 0.01 seconds." { } { } 0 11888 "Total time spent on timing analysis during %1!s! is %2!s! seconds." 0 0 "Fitter" 0 -1 1678222512176 ""} +{ "Info" "ITAPI_TAPI_STARTED" "" "Started post-fitting delay annotation" { } { } 0 334003 "Started post-fitting delay annotation" 0 0 "Fitter" 0 -1 1678222512185 ""} +{ "Info" "ITAPI_TAPI_COMPLETED" "" "Delay annotation completed successfully" { } { } 0 334004 "Delay annotation completed successfully" 0 0 "Fitter" 0 -1 1678222512399 ""} +{ "Info" "ITAPI_TAPI_STARTED" "" "Started post-fitting delay annotation" { } { } 0 334003 "Started post-fitting delay annotation" 0 0 "Fitter" 0 -1 1678222512399 ""} +{ "Info" "ITAPI_TAPI_COMPLETED" "" "Delay annotation completed successfully" { } { } 0 334004 "Delay annotation completed successfully" 0 0 "Fitter" 0 -1 1678222512603 ""} +{ "Info" "IFITCC_FITTER_POST_OPERATION_END" "00:00:00 " "Fitter post-fit operations ending: elapsed time is 00:00:00" { } { } 0 11218 "Fitter post-fit operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1678222512913 ""} +{ "Warning" "WFITCC_FITCC_IGNORED_ASSIGNMENT" "" "Found invalid Fitter assignments. See the Ignored Assignments panel in the Fitter Compilation Report for more information." { } { } 0 171167 "Found invalid Fitter assignments. See the Ignored Assignments panel in the Fitter Compilation Report for more information." 0 0 "Fitter" 0 -1 1678222513160 ""} +{ "Warning" "WFIOMGR_FIOMGR_REFER_APPNOTE_447_TOP_LEVEL" "25 Cyclone IV E " "25 pins must meet Intel FPGA requirements for 3.3-, 3.0-, and 2.5-V interfaces. For more information, refer to AN 447: Interfacing Cyclone IV E Devices with 3.3/3.0/2.5-V LVTTL/LVCMOS I/O Systems." { { "Info" "IFIOMGR_PIN_IO_STANDARD_LOCATION" "AUD_ADCDAT 3.3-V LVTTL D2 " "Pin AUD_ADCDAT uses I/O standard 3.3-V LVTTL at D2" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/pin_planner.ppl" "" { PinPlanner "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/pin_planner.ppl" { AUD_ADCDAT } } } { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "AUD_ADCDAT" } } } } { "temporary_test_loc" "" { Generic "/home/tiagorg/repos/uaveiro-leci/1ano/2semestre/lsd/pratica01/part4/" { { 0 { 0 ""} 0 15 14177 15141 0 0 "" 0 "" "" } } } } } 0 169178 "Pin %1!s! uses I/O standard %2!s! at %3!s!" 0 0 "Design Software" 0 -1 1678222513165 ""} { "Info" "IFIOMGR_PIN_IO_STANDARD_LOCATION" "CLOCK2_50 3.3-V LVTTL AG14 " "Pin CLOCK2_50 uses I/O standard 3.3-V LVTTL at AG14" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/pin_planner.ppl" "" { PinPlanner "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/pin_planner.ppl" { CLOCK2_50 } } } { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "CLOCK2_50" } } } } { "temporary_test_loc" "" { Generic "/home/tiagorg/repos/uaveiro-leci/1ano/2semestre/lsd/pratica01/part4/" { { 0 { 0 ""} 0 21 14177 15141 0 0 "" 0 "" "" } } } } } 0 169178 "Pin %1!s! uses I/O standard %2!s! at %3!s!" 0 0 "Design Software" 0 -1 1678222513165 ""} { "Info" "IFIOMGR_PIN_IO_STANDARD_LOCATION" "CLOCK3_50 3.3-V LVTTL AG15 " "Pin CLOCK3_50 uses I/O standard 3.3-V LVTTL at AG15" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/pin_planner.ppl" "" { PinPlanner "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/pin_planner.ppl" { CLOCK3_50 } } } { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "CLOCK3_50" } } } } { "temporary_test_loc" "" { Generic "/home/tiagorg/repos/uaveiro-leci/1ano/2semestre/lsd/pratica01/part4/" { { 0 { 0 ""} 0 22 14177 15141 0 0 "" 0 "" "" } } } } } 0 169178 "Pin %1!s! uses I/O standard %2!s! at %3!s!" 0 0 "Design Software" 0 -1 1678222513165 ""} { "Info" "IFIOMGR_PIN_IO_STANDARD_LOCATION" "ENET0_LINK100 3.3-V LVTTL C14 " "Pin ENET0_LINK100 uses I/O standard 3.3-V LVTTL at C14" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/pin_planner.ppl" "" { PinPlanner "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/pin_planner.ppl" { ENET0_LINK100 } } } { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "ENET0_LINK100" } } } } { "temporary_test_loc" "" { Generic "/home/tiagorg/repos/uaveiro-leci/1ano/2semestre/lsd/pratica01/part4/" { { 0 { 0 ""} 0 89 14177 15141 0 0 "" 0 "" "" } } } } } 0 169178 "Pin %1!s! uses I/O standard %2!s! at %3!s!" 0 0 "Design Software" 0 -1 1678222513165 ""} { "Info" "IFIOMGR_PIN_IO_STANDARD_LOCATION" "ENET1_LINK100 3.3-V LVTTL D13 " "Pin ENET1_LINK100 uses I/O standard 3.3-V LVTTL at D13" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/pin_planner.ppl" "" { PinPlanner "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/pin_planner.ppl" { ENET1_LINK100 } } } { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "ENET1_LINK100" } } } } { "temporary_test_loc" "" { Generic "/home/tiagorg/repos/uaveiro-leci/1ano/2semestre/lsd/pratica01/part4/" { { 0 { 0 ""} 0 113 14177 15141 0 0 "" 0 "" "" } } } } } 0 169178 "Pin %1!s! uses I/O standard %2!s! at %3!s!" 0 0 "Design Software" 0 -1 1678222513165 ""} { "Info" "IFIOMGR_PIN_IO_STANDARD_LOCATION" "ENETCLK_25 3.3-V LVTTL A14 " "Pin ENETCLK_25 uses I/O standard 3.3-V LVTTL at A14" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/pin_planner.ppl" "" { PinPlanner "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/pin_planner.ppl" { ENETCLK_25 } } } { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "ENETCLK_25" } } } } { "temporary_test_loc" "" { Generic "/home/tiagorg/repos/uaveiro-leci/1ano/2semestre/lsd/pratica01/part4/" { { 0 { 0 ""} 0 135 14177 15141 0 0 "" 0 "" "" } } } } } 0 169178 "Pin %1!s! uses I/O standard %2!s! at %3!s!" 0 0 "Design Software" 0 -1 1678222513165 ""} { "Info" "IFIOMGR_PIN_IO_STANDARD_LOCATION" "FL_RY 3.3-V LVTTL Y1 " "Pin FL_RY uses I/O standard 3.3-V LVTTL at Y1" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/pin_planner.ppl" "" { PinPlanner "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/pin_planner.ppl" { FL_RY } } } { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "FL_RY" } } } } { "temporary_test_loc" "" { Generic "/home/tiagorg/repos/uaveiro-leci/1ano/2semestre/lsd/pratica01/part4/" { { 0 { 0 ""} 0 180 14177 15141 0 0 "" 0 "" "" } } } } } 0 169178 "Pin %1!s! uses I/O standard %2!s! at %3!s!" 0 0 "Design Software" 0 -1 1678222513165 ""} { "Info" "IFIOMGR_PIN_IO_STANDARD_LOCATION" "HSMC_CLKIN0 3.3-V LVTTL AH15 " "Pin HSMC_CLKIN0 uses I/O standard 3.3-V LVTTL at AH15" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/pin_planner.ppl" "" { PinPlanner "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/pin_planner.ppl" { HSMC_CLKIN0 } } } { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "HSMC_CLKIN0" } } } } { "temporary_test_loc" "" { Generic "/home/tiagorg/repos/uaveiro-leci/1ano/2semestre/lsd/pratica01/part4/" { { 0 { 0 ""} 0 284 14177 15141 0 0 "" 0 "" "" } } } } } 0 169178 "Pin %1!s! uses I/O standard %2!s! at %3!s!" 0 0 "Design Software" 0 -1 1678222513165 ""} { "Info" "IFIOMGR_PIN_IO_STANDARD_LOCATION" "IRDA_RXD 3.3-V LVTTL Y15 " "Pin IRDA_RXD uses I/O standard 3.3-V LVTTL at Y15" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/pin_planner.ppl" "" { PinPlanner "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/pin_planner.ppl" { IRDA_RXD } } } { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "IRDA_RXD" } } } } { "temporary_test_loc" "" { Generic "/home/tiagorg/repos/uaveiro-leci/1ano/2semestre/lsd/pratica01/part4/" { { 0 { 0 ""} 0 373 14177 15141 0 0 "" 0 "" "" } } } } } 0 169178 "Pin %1!s! uses I/O standard %2!s! at %3!s!" 0 0 "Design Software" 0 -1 1678222513165 ""} { "Info" "IFIOMGR_PIN_IO_STANDARD_LOCATION" "OTG_INT 3.3-V LVTTL D5 " "Pin OTG_INT uses I/O standard 3.3-V LVTTL at D5" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/pin_planner.ppl" "" { PinPlanner "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/pin_planner.ppl" { OTG_INT } } } { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "OTG_INT" } } } } { "temporary_test_loc" "" { Generic "/home/tiagorg/repos/uaveiro-leci/1ano/2semestre/lsd/pratica01/part4/" { { 0 { 0 ""} 0 443 14177 15141 0 0 "" 0 "" "" } } } } } 0 169178 "Pin %1!s! uses I/O standard %2!s! at %3!s!" 0 0 "Design Software" 0 -1 1678222513165 ""} { "Info" "IFIOMGR_PIN_IO_STANDARD_LOCATION" "SD_WP_N 3.3-V LVTTL AF14 " "Pin SD_WP_N uses I/O standard 3.3-V LVTTL at AF14" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/pin_planner.ppl" "" { PinPlanner "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/pin_planner.ppl" { SD_WP_N } } } { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "SD_WP_N" } } } } { "temporary_test_loc" "" { Generic "/home/tiagorg/repos/uaveiro-leci/1ano/2semestre/lsd/pratica01/part4/" { { 0 { 0 ""} 0 458 14177 15141 0 0 "" 0 "" "" } } } } } 0 169178 "Pin %1!s! uses I/O standard %2!s! at %3!s!" 0 0 "Design Software" 0 -1 1678222513165 ""} { "Info" "IFIOMGR_PIN_IO_STANDARD_LOCATION" "SMA_CLKIN 3.3-V LVTTL AH14 " "Pin SMA_CLKIN uses I/O standard 3.3-V LVTTL at AH14" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/pin_planner.ppl" "" { PinPlanner "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/pin_planner.ppl" { SMA_CLKIN } } } { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "SMA_CLKIN" } } } } { "temporary_test_loc" "" { Generic "/home/tiagorg/repos/uaveiro-leci/1ano/2semestre/lsd/pratica01/part4/" { { 0 { 0 ""} 0 459 14177 15141 0 0 "" 0 "" "" } } } } } 0 169178 "Pin %1!s! uses I/O standard %2!s! at %3!s!" 0 0 "Design Software" 0 -1 1678222513165 ""} { "Info" "IFIOMGR_PIN_IO_STANDARD_LOCATION" "TD_CLK27 3.3-V LVTTL B14 " "Pin TD_CLK27 uses I/O standard 3.3-V LVTTL at B14" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/pin_planner.ppl" "" { PinPlanner "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/pin_planner.ppl" { TD_CLK27 } } } { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "TD_CLK27" } } } } { "temporary_test_loc" "" { Generic "/home/tiagorg/repos/uaveiro-leci/1ano/2semestre/lsd/pratica01/part4/" { { 0 { 0 ""} 0 514 14177 15141 0 0 "" 0 "" "" } } } } } 0 169178 "Pin %1!s! uses I/O standard %2!s! at %3!s!" 0 0 "Design Software" 0 -1 1678222513165 ""} { "Info" "IFIOMGR_PIN_IO_STANDARD_LOCATION" "TD_DATA\[0\] 3.3-V LVTTL E8 " "Pin TD_DATA\[0\] uses I/O standard 3.3-V LVTTL at E8" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/pin_planner.ppl" "" { PinPlanner "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/pin_planner.ppl" { TD_DATA[0] } } } { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "TD_DATA\[0\]" } } } } { "temporary_test_loc" "" { Generic "/home/tiagorg/repos/uaveiro-leci/1ano/2semestre/lsd/pratica01/part4/" { { 0 { 0 ""} 0 516 14177 15141 0 0 "" 0 "" "" } } } } } 0 169178 "Pin %1!s! uses I/O standard %2!s! at %3!s!" 0 0 "Design Software" 0 -1 1678222513165 ""} { "Info" "IFIOMGR_PIN_IO_STANDARD_LOCATION" "TD_DATA\[1\] 3.3-V LVTTL A7 " "Pin TD_DATA\[1\] uses I/O standard 3.3-V LVTTL at A7" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/pin_planner.ppl" "" { PinPlanner "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/pin_planner.ppl" { TD_DATA[1] } } } { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "TD_DATA\[1\]" } } } } { "temporary_test_loc" "" { Generic "/home/tiagorg/repos/uaveiro-leci/1ano/2semestre/lsd/pratica01/part4/" { { 0 { 0 ""} 0 517 14177 15141 0 0 "" 0 "" "" } } } } } 0 169178 "Pin %1!s! uses I/O standard %2!s! at %3!s!" 0 0 "Design Software" 0 -1 1678222513165 ""} { "Info" "IFIOMGR_PIN_IO_STANDARD_LOCATION" "TD_DATA\[2\] 3.3-V LVTTL D8 " "Pin TD_DATA\[2\] uses I/O standard 3.3-V LVTTL at D8" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/pin_planner.ppl" "" { PinPlanner "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/pin_planner.ppl" { TD_DATA[2] } } } { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "TD_DATA\[2\]" } } } } { "temporary_test_loc" "" { Generic "/home/tiagorg/repos/uaveiro-leci/1ano/2semestre/lsd/pratica01/part4/" { { 0 { 0 ""} 0 518 14177 15141 0 0 "" 0 "" "" } } } } } 0 169178 "Pin %1!s! uses I/O standard %2!s! at %3!s!" 0 0 "Design Software" 0 -1 1678222513165 ""} { "Info" "IFIOMGR_PIN_IO_STANDARD_LOCATION" "TD_DATA\[3\] 3.3-V LVTTL C7 " "Pin TD_DATA\[3\] uses I/O standard 3.3-V LVTTL at C7" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/pin_planner.ppl" "" { PinPlanner "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/pin_planner.ppl" { TD_DATA[3] } } } { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "TD_DATA\[3\]" } } } } { "temporary_test_loc" "" { Generic "/home/tiagorg/repos/uaveiro-leci/1ano/2semestre/lsd/pratica01/part4/" { { 0 { 0 ""} 0 519 14177 15141 0 0 "" 0 "" "" } } } } } 0 169178 "Pin %1!s! uses I/O standard %2!s! at %3!s!" 0 0 "Design Software" 0 -1 1678222513165 ""} { "Info" "IFIOMGR_PIN_IO_STANDARD_LOCATION" "TD_DATA\[4\] 3.3-V LVTTL D7 " "Pin TD_DATA\[4\] uses I/O standard 3.3-V LVTTL at D7" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/pin_planner.ppl" "" { PinPlanner "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/pin_planner.ppl" { TD_DATA[4] } } } { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "TD_DATA\[4\]" } } } } { "temporary_test_loc" "" { Generic "/home/tiagorg/repos/uaveiro-leci/1ano/2semestre/lsd/pratica01/part4/" { { 0 { 0 ""} 0 520 14177 15141 0 0 "" 0 "" "" } } } } } 0 169178 "Pin %1!s! uses I/O standard %2!s! at %3!s!" 0 0 "Design Software" 0 -1 1678222513165 ""} { "Info" "IFIOMGR_PIN_IO_STANDARD_LOCATION" "TD_DATA\[5\] 3.3-V LVTTL D6 " "Pin TD_DATA\[5\] uses I/O standard 3.3-V LVTTL at D6" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/pin_planner.ppl" "" { PinPlanner "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/pin_planner.ppl" { TD_DATA[5] } } } { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "TD_DATA\[5\]" } } } } { "temporary_test_loc" "" { Generic "/home/tiagorg/repos/uaveiro-leci/1ano/2semestre/lsd/pratica01/part4/" { { 0 { 0 ""} 0 521 14177 15141 0 0 "" 0 "" "" } } } } } 0 169178 "Pin %1!s! uses I/O standard %2!s! at %3!s!" 0 0 "Design Software" 0 -1 1678222513165 ""} { "Info" "IFIOMGR_PIN_IO_STANDARD_LOCATION" "TD_DATA\[6\] 3.3-V LVTTL E7 " "Pin TD_DATA\[6\] uses I/O standard 3.3-V LVTTL at E7" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/pin_planner.ppl" "" { PinPlanner "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/pin_planner.ppl" { TD_DATA[6] } } } { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "TD_DATA\[6\]" } } } } { "temporary_test_loc" "" { Generic "/home/tiagorg/repos/uaveiro-leci/1ano/2semestre/lsd/pratica01/part4/" { { 0 { 0 ""} 0 522 14177 15141 0 0 "" 0 "" "" } } } } } 0 169178 "Pin %1!s! uses I/O standard %2!s! at %3!s!" 0 0 "Design Software" 0 -1 1678222513165 ""} { "Info" "IFIOMGR_PIN_IO_STANDARD_LOCATION" "TD_DATA\[7\] 3.3-V LVTTL F7 " "Pin TD_DATA\[7\] uses I/O standard 3.3-V LVTTL at F7" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/pin_planner.ppl" "" { PinPlanner "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/pin_planner.ppl" { TD_DATA[7] } } } { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "TD_DATA\[7\]" } } } } { "temporary_test_loc" "" { Generic "/home/tiagorg/repos/uaveiro-leci/1ano/2semestre/lsd/pratica01/part4/" { { 0 { 0 ""} 0 523 14177 15141 0 0 "" 0 "" "" } } } } } 0 169178 "Pin %1!s! uses I/O standard %2!s! at %3!s!" 0 0 "Design Software" 0 -1 1678222513165 ""} { "Info" "IFIOMGR_PIN_IO_STANDARD_LOCATION" "TD_HS 3.3-V LVTTL E5 " "Pin TD_HS uses I/O standard 3.3-V LVTTL at E5" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/pin_planner.ppl" "" { PinPlanner "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/pin_planner.ppl" { TD_HS } } } { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "TD_HS" } } } } { "temporary_test_loc" "" { Generic "/home/tiagorg/repos/uaveiro-leci/1ano/2semestre/lsd/pratica01/part4/" { { 0 { 0 ""} 0 524 14177 15141 0 0 "" 0 "" "" } } } } } 0 169178 "Pin %1!s! uses I/O standard %2!s! at %3!s!" 0 0 "Design Software" 0 -1 1678222513165 ""} { "Info" "IFIOMGR_PIN_IO_STANDARD_LOCATION" "TD_VS 3.3-V LVTTL E4 " "Pin TD_VS uses I/O standard 3.3-V LVTTL at E4" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/pin_planner.ppl" "" { PinPlanner "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/pin_planner.ppl" { TD_VS } } } { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "TD_VS" } } } } { "temporary_test_loc" "" { Generic "/home/tiagorg/repos/uaveiro-leci/1ano/2semestre/lsd/pratica01/part4/" { { 0 { 0 ""} 0 526 14177 15141 0 0 "" 0 "" "" } } } } } 0 169178 "Pin %1!s! uses I/O standard %2!s! at %3!s!" 0 0 "Design Software" 0 -1 1678222513165 ""} { "Info" "IFIOMGR_PIN_IO_STANDARD_LOCATION" "UART_RTS 3.3-V LVTTL J13 " "Pin UART_RTS uses I/O standard 3.3-V LVTTL at J13" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/pin_planner.ppl" "" { PinPlanner "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/pin_planner.ppl" { UART_RTS } } } { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "UART_RTS" } } } } { "temporary_test_loc" "" { Generic "/home/tiagorg/repos/uaveiro-leci/1ano/2semestre/lsd/pratica01/part4/" { { 0 { 0 ""} 0 528 14177 15141 0 0 "" 0 "" "" } } } } } 0 169178 "Pin %1!s! uses I/O standard %2!s! at %3!s!" 0 0 "Design Software" 0 -1 1678222513165 ""} { "Info" "IFIOMGR_PIN_IO_STANDARD_LOCATION" "UART_RXD 3.3-V LVTTL G12 " "Pin UART_RXD uses I/O standard 3.3-V LVTTL at G12" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/pin_planner.ppl" "" { PinPlanner "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/pin_planner.ppl" { UART_RXD } } } { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "UART_RXD" } } } } { "temporary_test_loc" "" { Generic "/home/tiagorg/repos/uaveiro-leci/1ano/2semestre/lsd/pratica01/part4/" { { 0 { 0 ""} 0 529 14177 15141 0 0 "" 0 "" "" } } } } } 0 169178 "Pin %1!s! uses I/O standard %2!s! at %3!s!" 0 0 "Design Software" 0 -1 1678222513165 ""} } { } 0 169177 "%1!d! pins must meet Intel FPGA requirements for 3.3-, 3.0-, and 2.5-V interfaces. For more information, refer to AN 447: Interfacing %2!s! Devices with 3.3/3.0/2.5-V LVTTL/LVCMOS I/O Systems." 0 0 "Fitter" 0 -1 1678222513165 ""} +{ "Info" "IRDB_WROTE_SUPPRESSED_MSGS" "/home/tiagorg/repos/uaveiro-leci/1ano/2semestre/lsd/pratica01/part4/output_files/EqCmpDemo.fit.smsg " "Generated suppressed messages file /home/tiagorg/repos/uaveiro-leci/1ano/2semestre/lsd/pratica01/part4/output_files/EqCmpDemo.fit.smsg" { } { } 0 144001 "Generated suppressed messages file %1!s!" 0 0 "Fitter" 0 -1 1678222513214 ""} +{ "Info" "IQEXE_ERROR_COUNT" "Fitter 0 s 534 s Quartus Prime " "Quartus Prime Fitter was successful. 0 errors, 534 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "1157 " "Peak virtual memory: 1157 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Design Software" 0 -1 1678222513382 ""} { "Info" "IQEXE_END_BANNER_TIME" "Tue Mar 7 20:55:13 2023 " "Processing ended: Tue Mar 7 20:55:13 2023" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Design Software" 0 -1 1678222513382 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:10 " "Elapsed time: 00:00:10" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Design Software" 0 -1 1678222513382 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:15 " "Total CPU time (on all processors): 00:00:15" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Design Software" 0 -1 1678222513382 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Fitter" 0 -1 1678222513382 ""} diff --git a/1ano/2semestre/lsd/pratica01/part4/db/EqCmpDemo.hier_info b/1ano/2semestre/lsd/pratica01/part4/db/EqCmpDemo.hier_info index 9b4730f..e6791d5 100644 --- a/1ano/2semestre/lsd/pratica01/part4/db/EqCmpDemo.hier_info +++ b/1ano/2semestre/lsd/pratica01/part4/db/EqCmpDemo.hier_info @@ -1,16 +1,16 @@ |EqCmpDemo -LEDG[0] <= EqCmp4:inst.cmpOut -SW[0] => EqCmp4:inst.input0[0] -SW[1] => EqCmp4:inst.input0[1] -SW[2] => EqCmp4:inst.input0[2] -SW[3] => EqCmp4:inst.input0[3] -SW[4] => EqCmp4:inst.input1[0] -SW[5] => EqCmp4:inst.input1[1] -SW[6] => EqCmp4:inst.input1[2] -SW[7] => EqCmp4:inst.input1[3] +LEDG[0] <= EqCmp4:inst1.cmpOut +SW[0] => EqCmp4:inst1.input0[0] +SW[1] => EqCmp4:inst1.input0[1] +SW[2] => EqCmp4:inst1.input0[2] +SW[3] => EqCmp4:inst1.input0[3] +SW[4] => EqCmp4:inst1.input1[0] +SW[5] => EqCmp4:inst1.input1[1] +SW[6] => EqCmp4:inst1.input1[2] +SW[7] => EqCmp4:inst1.input1[3] -|EqCmpDemo|EqCmp4:inst +|EqCmpDemo|EqCmp4:inst1 cmpOut <= inst.DB_MAX_OUTPUT_PORT_TYPE input0[0] => xnor_0.IN0 input0[1] => xnor_1.IN0 diff --git a/1ano/2semestre/lsd/pratica01/part4/db/EqCmpDemo.hif b/1ano/2semestre/lsd/pratica01/part4/db/EqCmpDemo.hif index aaa5fe96685bad71a0aa2b5975b690232a1cb9d1..a91b9dda6dcc309f87951705bfff10a9c1e1d690 100644 GIT binary patch literal 500 zcmVuG0001ZoUKyPZksR^eYdoKu+*3BAlo+OA;@g8NBz7sm zrukJ*O7w5~9Y?AK97xsbv&i%i_3RN!rqk<+FLSzK-9CaN6cmxm*eufp)97Y?{t`48 z;I!3Dbm^?-^&`IH?Y6;#L5BpT?2Vr@HuFhzfff9o@)o$kP`0XlM8U&&x3~4aX{(yw z1CrVEDK>#!WqdZj7^2MkgEv_42AC=vC>!m-5xO$fp%%b$RludTk;xpnTzbGADRne!pR43w`stL?wN&nz qG|R1_G>2e=C0x*6jcjU5o^!&j|Ikv;e-$mN-M)Yp(5F`)%1YHWhyslO literal 380 zcmV-?0fYXm4*>uG0001ZoUM>sPs1P-$KOf(4kW(l!z!?DWxlD~yzQpbzBUw29Z5?k zr6!B|-KE>2MyH91;Sk_|E}Y-FsfBfQg=bb5fMT$fE)8ZRpaI3Fwayie7{Qbtd1J(1 z=T~^79pHh|&c`Ck5#wPGO^3seJ=xkYPMY@!o*-w4Y*&0cA1B@$Uu;f-S}ikZ4nZsr(S0pea+Ivq{Vu9N;?etAAgCMV;|$vHZ?znh|0AHLAwx#XfD zdqyv7tq{_dNd=EJ7zt>NtG+;oKN8bj#Pl!3;Tks*ii7C3QzA$xBItEE>oQJK*5eX7 a>K|}7za9H_+^^uWES5PqKznbOQQ`$dHnmLv diff --git a/1ano/2semestre/lsd/pratica01/part4/db/EqCmpDemo.lpc.html b/1ano/2semestre/lsd/pratica01/part4/db/EqCmpDemo.lpc.html index e4e8afa..5859494 100644 --- a/1ano/2semestre/lsd/pratica01/part4/db/EqCmpDemo.lpc.html +++ b/1ano/2semestre/lsd/pratica01/part4/db/EqCmpDemo.lpc.html @@ -16,7 +16,7 @@ Output only Bidir -inst +inst1 8 0 0 diff --git a/1ano/2semestre/lsd/pratica01/part4/db/EqCmpDemo.lpc.rdb b/1ano/2semestre/lsd/pratica01/part4/db/EqCmpDemo.lpc.rdb index 4f95f8bde5a957e1e333ab86202918815751a4b4..aaaa5a4e3bea9e62a9b173c5d7cf8d28c04b721b 100644 GIT binary patch delta 223 zcmV<503iRm1G)o{M**;rNGyMBJg5jhkDl@gdh={S6a>Ba0l}0SD2b%$pIHGn;Km@p&cju9Q;F9WhN=>)u&Iw2&Z&T`3d-2 zCZlGdc9WXc9gXw#BLkO=ny ZK=_9}R9Thoy3&1DL8n7^!55Q40eDAJXvF{k diff --git a/1ano/2semestre/lsd/pratica01/part4/db/EqCmpDemo.lpc.txt b/1ano/2semestre/lsd/pratica01/part4/db/EqCmpDemo.lpc.txt index a88a48d..b4fb91f 100644 --- a/1ano/2semestre/lsd/pratica01/part4/db/EqCmpDemo.lpc.txt +++ b/1ano/2semestre/lsd/pratica01/part4/db/EqCmpDemo.lpc.txt @@ -3,5 +3,5 @@ +-----------+-------+----------------+--------------+----------------+--------+-----------------+---------------+-----------------+-------+----------------+--------------+------------------+-------------------+ ; Hierarchy ; Input ; Constant Input ; Unused Input ; Floating Input ; Output ; Constant Output ; Unused Output ; Floating Output ; Bidir ; Constant Bidir ; Unused Bidir ; Input only Bidir ; Output only Bidir ; +-----------+-------+----------------+--------------+----------------+--------+-----------------+---------------+-----------------+-------+----------------+--------------+------------------+-------------------+ -; inst ; 8 ; 0 ; 0 ; 0 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; +; inst1 ; 8 ; 0 ; 0 ; 0 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; +-----------+-------+----------------+--------------+----------------+--------+-----------------+---------------+-----------------+-------+----------------+--------------+------------------+-------------------+ diff --git a/1ano/2semestre/lsd/pratica01/part4/db/EqCmpDemo.map.bpm b/1ano/2semestre/lsd/pratica01/part4/db/EqCmpDemo.map.bpm index 9d0771979191153d271acfe1589c1d85874db6a2..0b2563ea9629f4f4589bce886612a1a2a3e2611c 100644 GIT binary patch delta 394 zcmV;50d@Y~1m6UZPk+h*00000006}R0000000000003VC00000004La%u-EH!!Qgj zFc4o?uKWW?(}B>CI3UJ>3vd|cC~LDWqOD7saYEuxBP0-_*lknBK+`TDEIF3#XZzW0 z$Md{R)b#~*8FAZ?qgYZzEH~2XQ2LzZ(%1v0v(R8ZvoaCUkcE)uI#H3J zthO4mf}P);rGI%}rLb=R>}LkKR%B^;C&ZF;I!3u&)Uh;?7$y+j9?OXZ5vxog8yu#y z!agA4&le&dvFMIQJ?@yFG}PCKHj2A@?bR=R589qQ!u^Eto*MvxI`p8-o~4d9uj@D$ ov`{Cq+oWi=YT`Ce6lJB=n*rt))Te#`#bq&lFY<9 zl(aR@K$`Q*hkuJC8|nlOEr9#lp!)d87EkaZgH?6DqP;H&1b>BzQ)|sSKQOEjdH`s=>siN+d zr3hMelcb8Eh%0eRE2(5du-UJF#W&M=Z{F`Wzj^a!-kbMko)iE8sQF2N9|3?czUlDs zMgSZU5*4YWtp$NXph^~ZL&E))^t82+9? zY#=rQjsQ_f{Gi=eNvS87@y`Gf+m2%>!QcwvWo%J#u^~&=Gwf3Rx6xSq0E0wrxxm5h zBkv)bDk~AMeKOiBAw4K%_KGk17xvKWx?u&M?7oGj#%qf4ctey^`0U7%apD_Oo-e`c z9AqRh7Uo@ihx~{%92h38ofy-93F1KYsBES#jKo0C=Hhbu!oup>J6c9&%u0OEi+xkk!}3$Dah$Letq@d72pq5gqHn=&@xDyoH>e_h`8MU&!6r*q2u>Yl$t>+F4J1&(@FEvr6V}U{I+}F{Tm4x1_w;^Z9?Z~wC~q!%c;Qs3%k@ppEbU&SXc+vG~fx+HUgXC z?b2Qj*%oS4Ifa+p-2(vthlg^zl@ zBX(O?L%+?ID~iZ^zh?@95^mA#M4N!zEny^Nn6yu>&8Ky5hp1$xkVaq*E}OwsOzhj^ zpxXbmyfjS3{4J59LK&F(w8(M|TUi`fp4q7Yu6vQFZFu;o#zxcNA34@9-#?fqx3p{SPQmXEQgi?%Qw!mK?*^%C^6CM9d8t zZX!`%I+g*fE>sv8CTAl-m$e_v_eE5OU}Wb18*qL+;f(rpmY6v$ESyt))vl|(V$D$y zE0GzWaT;7J3Vcm4;R>7e<80Ohs>aK8YHpa`e1+Mg|E7^%pvLJU+W&RqoU5aK#DjdO zj;LC*OnHHz@~_tp%jk&US{rwQt>v&-KIo&vTm8djI{~>fM>c?aZI&gE^zeci9rB60 zvcH#(DRO0?nPsF`$>->4D>U8b1>zcL9>$rhd093k|2Ku>6~UtTAo11~GJZ1MZ`B_;^d+B5DwaVb;k qrpHjeYwFM5oP;8sA4?a#NPqYQJH4?{gb-Ox&DyS~{ri3%9{vODnsm7U literal 2766 zcmeHJk2e#F7w3(XmI%;!oetsE^xsl6v^HuT)iFiek8IlDW-xlyL^t_YTApb(!W)PwEO5k6%i|25w4@VlyI^w=X zCwy;@Z8+yk7SE%YR&yoV#RaS(li-!6X2v&F3<_Zyi zpGVL^kFctm(_zV5dHp)h3P(ZKti-@V*5Q zh|8S>krM-YF60y1l*SQ_M0N)yyg8!QQ8|HjT;IAdA+y0mRVOoB=im2p;%Uju1>aYl zhh(bHWI@X4)k)gzYeyd7l)0)RlHac@#-VBYL${b&0wjZ5&%hOVj^1M1X30=tlclz^ zs`^Dc-*2@MlvbDiE;^0j<&7*=6?I1gyN18w0JKx%CNqWj6ag)(Da&|EfY#VT3X%rujq}j)PeL4h~@sl<4lT*9I4wf zyD;;s8!*7UNhteGb4_zy6D>aM1ukCN6x(RsV1A_DdUUi{Fo-#2JJKKw2C>-TGV7;n zr#x7?0F|=E&cNLaFdVVJ?qes3(e!jBW(Ws|V>njRes*^(8cRWlkO%}uUPyNhdw(^J zezonqSB0)4k=QNolu5`fDwBczGpNJP{@KQ*49 z`Am0?9f1=fhI(b+3=3w>ODjN<0698SK$349EFLZ<@pofm3W=Vwm|#}+RMgCDCu!#s zZYRcZR-j~ZyxGD$B*YuB$j?DoCwArdV0$H(dc>%>u_ahSJ#V4)`_yCwptk0a_qb17 z#EnoYQM!5AiAIRRzhe!jHOdyBn-pW>Z#Iv*^h$z6T%JFVfuEL?Y%j(HRY?~8Qu)0r zhDyS|h@kGzGNCsCq?hmcpvkdT|r@ll7?<-~RW)*8s0_VRg>`=Q9^ zYyWzhp-j!h)Z)-3JYlJ@=!^a#e{~Z&X#pj)D!q(d^jc`O!Q&D>PjJ<^`8csld;mS0 z`S~C1d(VqTFGF|an?eHV6-R5X4}As8@4HMEt9bLzQ=!^Ooes!a-vHilkdD4fDOYtA zk~?+X;S5?4j0T=49@&tCq@*OK{PE5?b4sN|NjT+n#^!sLvSu40Po-5E+rwfQj5oRqfIi7vx$?=ni&$XFfI&q~Aq_$n4XpftM}Z~MrRRITcm-577$!&+lk<<7JT(U6Q}RzKpD zyC9UhNUB#;sXE>8*g7TuyJj+E=q=~nw8%4zH~n^&vrGYe@nwBrkfYv1`Sh;)S;rs0 zM27H&YLzb>G*xa47URIAF8e?PDWX5A5^ILrt``m{RN@A&55e5*y?omOqpU=rd6c75 zXJfy*SA4jwX7!_ibU?)e{kJ{ryYVW=%x_I~TzQ7h2Tt9)w14o1uE}_&I&vl~TwHP1 z`E?Uz&Q*z|nQ=XR$L^{vfEuQ)WTSm&>4`Z->R`ELrH9?cr}o1ATe&dHEyi`ga-x#qo^!G%Tx@9732&y8Lyvl{O5dsa!?IatMUd_Y0E4Pnqn0wZc=h diff --git a/1ano/2semestre/lsd/pratica01/part4/db/EqCmpDemo.map.hdb b/1ano/2semestre/lsd/pratica01/part4/db/EqCmpDemo.map.hdb index 29b086f07a0d73db1bc32fa96a634625506f3bf3..0ed1b6368740ba5dbddb647edad462e66f161e0e 100644 GIT binary patch literal 30580 zcmaHS1yCHp(=QS{XmAZ7xJz&+$r0TBa1ZY85}e>J!QI{6-Cd5u^?=~;F8{CISFh@O z@73*e|E_zwr*~?0W~X--7X}8V0u2^QVPJkje_T+mX5!#zVQce|gN=oqh5e(rvxSxM zM;;EgkL>KM(1U{w3YDck%2+s=eEeo?;RLOF$^Y&@E-LN+!(d9^{}=y9om~G5yTP6U zF*DRw?z3yfhfyhwjd}W`Q(X&>Fl#Ty+c_t=t|#3NPKhJPHcbxJ(=ex@=bE&x9oNB+`yxY9Ed&+pqI1oHocf9c2 z@>Ew<6>^bLUFh4+y!OZs)R0#8I`H)j@u!99lwa~wW< z`{y{=Xh(!e=<@i(MRRl&BS-t^#oMfJ(nHf6DL2+`-dmZsD=L#rYd4T1(j_0VDqoi? z?>l9|)j!z)Pat`OwEFIiE5XI&<^^QQx6h{|dRF+v83c)nmVfecUCiyAkI_4yYdXoM zmg_uux}Ewp*A(nisHJ(N(+>7SMaLzuu z5*Gy8@dj0yT&9s$>};M~Q83*L7aY&1-bD&WJ(FPCx|I@T_U*R(NEHXUIOyVhyJ z9#Ll|(&grLIy){{+E)9l^l(kUqN8B=`+(Z~`2jH?J zqbe}zFmS&LMzirmYro{|oO50e-R$u`j6gBYwEPi052K;-xt~h@IADxLlB|V{uPQ_x z5?hcA*ey84vJd2el@{FBy~ot`8T)K^X@QL!7bZuhe7kelwQh=9=(aUXj1LcAB6w;i z(rWS*@3srG%F<1U>5{rA|MCR~;&61Nv8UdL<_^iUloZ@B?VWjX*a+@>Sx>H~9<%UF zUS_(e)zPfR32X#=tO^(^klcX;m|1P&v#BZAamsAxn#Nv)FN&+Wth`9@?^2sB*03X< zWS+p>OFkw37tI;Lje@4e4ae8JWLuqZto`e#RhC!1Q;=YvpQhurn!Mi@|(aDr{V&p!r1jYnp zp--LgtX80A$LGmc~^(ZI>u|=&K>V9&XC)j!n|Xr*%~N;oT~D5z@DhR#yXg^55_`M*oq*{NV*fGyKqndD06Jp|I`4to0Gqkiv zc=?&5Yy$PXa$%A2R+1cZ|2LB-pVOVrip zjIWGcjgHB85xM9_srEhGv5Q4TjhM5OS0q>^yvyog1QP9p$5dsQJq4RaXcX2IOi2g? zdv=EAD*OAn?-MmR`?UsG6Fc7>+=zei-O&)kvA5b5DFvO0M5wz%;jjuc_U z|EqjfZqi-`+OGaHu-`Xt^zq@MtL8<`i)YtA)X6CEAV~ zuT2jHIAc`gIYw!3jfBl@q_)&aj5S`aVc>a?9~qarK~#~UL3VUQ783F0V2c*~WU2Jv z1tx4IUYW&~;<8FM(KFh&aQwZm*AUw1~wLo02zRb^fPcMmW4Ru>f8MpRek( z!@)fQh>YNdhjt$T7PwSEUgiE15awIzb*VX&KZt4(e_tMUvd&tms1G z@ex^bC~3QTyryb^D~Y|V3wot=5AT9FM|UDeE|A1E&!V{}d0xxicroPKqmxA5NG?JN z&zg%5Hzppx7AtgEN2__J-`I}pCGvKp50os1<}X%JW+~UC(G0$j1vmVSG1fI@DA%r0 z_|AWz)MHq|H#k=PhH;fjx%j8ITO=~Cz9ze2a=7K#9jn@~2XSHp@ATJQg%8_&s(gBr z<G;=oj03GJy7uCQ3P*mtSK{F+u0GmvReuB z!fBn78^B)+EIbP}b=&d06g{YaDUNYmX?*$Evui|T{diC4yY@O$8v|~}({0Ydyg;gA zZ_>MmU;Qq!16O9*^K<1&Ua@$>5MkxR4$8|9+*MI#FY@W(506*zIsMC;4rty(6bYiL z1%$czyJtDduhMW~TTb24q`phyoyEMBF$i45lCgG3tKCeQOt(_YNZX$m7{)5K^bHF=|W|i0J>9 zbZ_usZeteivR=#i+ZKW5Y01lUq6_ zYw=U=8ocaFyW3Kd#d$@pN)crkn-3QRJ)UN{1?UxdkAxYm75=^-a>g}I>z8h;Sw};WkB>97eSoMlGL09XCN(gdRz-Wj(C(LcC4c z7q&#>Oyrl!y*iziOe2TJb@axtm2g+FsGD2=*`eQQcEh8dUrvEveRv`AR7jH#tF3dT%Ux%(nIAIw}Dg#@}>OJ)&^gyMaoRsVT6-iYz zN#(><2skyD0bD*41!xYk7ls$t%eoWo8nKn%{of_7CJyjN{YNQZu^02yVH}twurIGq%QO$^%Z38Ua>+L& zRB_>j-`jl0fi!LJrm&q$1ObD+dW%Uz6@MDQM5FQx)z?A$MNC57=7z=(m)-|&Q3=W9 zRpDz%X7?&+1@7~x1@0=S1$@V!&z1!ru`DO@kaD?VUIS+ZJus!N4+ZEZ@(w;$97$#S zQ1x8@ihnmnrGO(CBl+V}P@EbnNQ4SBpaOHKpqIJ_EiyS{h&OO`3}H~3g-zGN|0)hD z($R0 z?)Lkj_A2Q&YC`$n^YQxoOQ{awP=bZ8d(&~*BJus_ljGE~IMN-dVfM13ij?NN@wB9k zwt>S%ebUEs10g$?@miSa@Z55AS(>qiP%?@-+AKGq$4Xb(t3 z{=>qZ1wW{IwxR(lMKsh@y{J>U)%mH4)=*uVw3qYW1<`@>$-+}-VR%e;p?2^%;Dh6a zzJ_5X$$Qyx=RnFZB2Cz^78^Duc6e4M_82U9oNHwEkL-Z{+C`7aS*@wJg6DRiDQ^M( ztd^03=+CGT*orSSNcD@@wZ4YYZsZlJH2bY$=3wEx;^x$djL%Q8=EqhX#xeE6_stEZ z!CD%s(hds$UEukko>li17u>}CK%~Xsp7r;dz{yGyRzLho!pILBgc(Q~d8&3=CKv1~ z+;Yf(aN$JKctHjp8g~^-83z>_veAM3kW&Ii!?+=L2N{Qq&u?U<8jCDynm^4TyBiV) zYoDaQ%rc;BCO2Mn7$d_+0u*VoMRT}f6re>PTI`_34_ab#xBv>%DFs|HVIQQfQ+RT@ zrYt@XQFqSOh96+l@}8;+#)v{k(kJN9LjE_j)SyF4A1e6sPmuhMl}*>H$i$@MNp6fG zi%Qo=JL`A4x9LhXBck>mgv15$sYkW(`8`&H49}jy{4W*nT00qtxiRrLHki*c@Why;n7u_U^wEHy%A_%ag}mg}vi5>$X}fOq zTmx6;=HVc7v%$x!!-@p5m@L#aQ(PqBzOn|~*w6J=lk&KD3LNa)XwoZX4#VD^-u7O+V{vOP#|D%_!MRrN?lKd)f|KuJTa^O4ZYqc_ zwAe#=EVx5?4A4S@JEVy1kxWb$lV>tZ$`?0`kAx>*^SxRjvl?hKo#?pojrUH~5H zxjU;rs_SkfzWyJgmaky4Q4M$HIYw3j1@{)e(J=%SU6ve(18ib4T5-FMD0qRE;X-9_ zlq6l({TAwsLg9b1rP$*3E4v03>1@~C$Ok7p2UiJE@RxTgy8nZfL^vvD^9UJ%$t0`V ziC`0XsB$2t?^HG;{YKNV72G-D7;L{oO=+}r0fVD!zjfWCU=x=J75*pV;Z$^IJIoEF5L=C-&jZku@rG1o3F7 z91w4gXGD_dnaWpBWSw#w92Q2`wRN$!1=4&D6DDWKGi9xmyS-E-`qHLKO7Tr>{?iFZ zYrI8F61+J=Qx-i1%g)O+6^Af$cD3Ln7s?n&C%&50Ed7zJZ^k}xTX_9GZi3M3^Q+hd zz(PWH&E*6y&s}rXRE7 zyiqz7St@s%V&Us&Rq!dzi=`*QUTC)@@&sGKL?`AK1IQytg=mSYaI`r(=aFhpHx)&t zihMyaW^^>go#|2z9uHFQ00#{le4Q1 zI=@R~2i+vb*du<_s58bR2?^O}&>keJp-8ZQw?HMX!icL912a=XewC|C0!Lg;R}FAF zR3qy#kRj={lpMsKkBy`%rX&I=1ad_0dGyCy`p5nEd2t(KmT*)8WD@Pk#1gaY2uH*n^JF^`08Dqc|==~4$nw%X@uQ=S8oOs-rO<5&U%I`%R z(_xttm)&EN=cjrbN%{RecJ?5*U!+W5SJ7Nb!1rh1csn<#=mIeViaQ!Na$&hkLDI}^ zzIIton}CCvln;V2AsO3z#P*haWblfQcyQ&eT$23ve$nxasNSeFKjHy_NP!vc;uVCAWp=t+h@FiD@nR=&~F*sA*$bW(EuDp zQUDx#zv7Wp3~WIy$o`!8Rv#-!cg`3(_u)Y2K04^!rvaV&dZ2UPAL!f{Bq8I_4=?Bj zq(=MfeAhtTr4g;^kt|8LJPqd1H@{qlmx9gyp1sXinl9X@hQIuTQll~4?}nN!R~wUx zUto6W9WWq331ZgN&;Ht z3LcuDOTYG*#3IJYIK6{NO2iJeXM~3M#cyCmyL)Q4V@N8s*2;$?qw=Mh>*gc2e&jza zd=+`;D14vVs9J78$28mw#~uv+P4J63CSOz|I#TpNvbu4n8UNlZUa)pPk)p+j%`tMD zQ^o_JVMhTp)iN$W9pWT^Z}_bO)xtTXJTPBNjaVGUQNM~QOdj`h7`cD!XODjIxI<(l z;_4rN%#g4JhGxX_wQmU3KSvz1S4-jueaHXtXJ{JkA~7lxJ}Kc*^cc$cmgN~pt0$1!qMU@f zRYdGyl5h$*eKeMmy8h)Xb&c!<CDQWy=kt7t~@}g{Pm;u;t<&m7&-!KwccqQK!LNjy^zxvzrSGT*Q-b>y(tIs}S2YzF)G;oqO>Pr2OmN_NLvYyfi?+<- zeVX3UjQJ7?F|*~@Qejzc8h5T`=uM1{Q5?W13VK)b1uB?_3S^)Hs)78d+r8^{Ma`Q9~N{<4y2IQ@fHlVBrm ztf5q;ZE|{=_6V(hwfd0X5m3YG?_siWhGwht1BqUfKZ4Gs583m;-C^K8Z5^UdyR4Oj zMSn#V9?2gb#@flC{K}s6^a0GuDm`yd+KDY!5}Y($@?pIltjf(}nR-EY2`p^3f&UyM zES#>H{~QzJb^|`Ihb#-+rnx`KsPG&dPeR8gln5ombs<#01tc>)1?roOMEz956gGP( z1@}oWGU;*u>hV+ML_&RGb8G4-HSiri`Q44?9=uE}E0@NaA5{;{LK4f_bmeUpyv+C{ zgUPIcK>5`fqwhl_Q~Z;+nvmH|XCEzhr;L+p^P}d|;TMacl}jUfNWk_-${Li`x6MhhxlNF}i2CXFuy zmiAMPy%4s#e(HPH5*JtZA&g)T1lAM+58^a5XJ<|=$GUX0UbBYb^!VR?(PDSH?^stj zM_rfmljao24tcqPSU2p8zgQU7hETw-js7GobsuT4qp3E1a15!^b^M@TD)-i-vlK5D zOOEHGTxENEE7@}yi}#3pU!Ht$4$;JP6?D;=?7hAM)^y% zUBPe6XlDVgN{WW{4u0~8+rqJRuuT0Y)a|kA6s*$%Y#icXx7pFE8g>>%i1or{FGJi# zZR=rMxmN4M07{P`Q8TNBdeX{YmKuwTS|*F9xyHPSdT%Fz!Jn zB6k=^d8C_yxt+}Ynl=bOt}64>M_=))JOo?hXL$>rZ|pv~K9wPmIf9MQ6NhZlkixU2 zCU{k5&mKLu-e9!F7qTGTrZUW=wfHe??oaTo81`R|ov);C-4T9;a@}i9Wo! z1bROo6R{Mtuli`if&-th{%CMNz%>Cs=|1|j0DX~~wOqs=u6jtCy9Eb|6MfkeNKQb$ zJ6{lWDFT;b^ueYnE6s$PSLrJECc%d%bjV+^$h*z9Z>iljP?5Z`+d=Az+sv)BsO-({ z2sYIoWbJljW>Ovm+i6_REgD@!n^(aMkTI@V94jd%+`#Zz90bMp+=;!8=~Zo=CJ)YK zKSPo#x-WizB_Y0?!?Cqo8^jbARxQfsh&xZJ z1d2GxfF0e(RlJn0eo!NRXGPRS%N$|jb>i}V6rb*2*F$@|TzQ{Y0-Pb| zj?8*@doOfY1op%b_C*sOyBpiS#=d_xc6-BUU+R0}+3`kf_kEQs_hmbXG^hBlM zVW?3KBO?+>ypz&X8bM0*`hQ$SiGrfnei?ep51XFMea`Jfc;u&g&bJWoShm;8rSs3l zO*S|0iPf?sp)ak9_4rXa@78^g!QB22n*~Je zTUx0^55$>*#9sO>Bje!(btFk&7AMQ~YVvIs!||j~lEz8X*z*kjmbd1na)w$)nc@Jb z#(AR)4MwIRM2x1)sfulX49r(%HE*MC$4E|0_f5g3^Q;u3;63bZfdnqCSJ<~aTDDnj zyKJpng61+pTf}6ZAgTglT}IOdaERLcl!h9Qk$WHHu>cyP&J0@{KHpv? z5$dqrB)pdYMy(1c?M};SP(;s89@pXLY7pX2yv5Lzz^GSg z!<9Vc_iY@Dw3kqjtNKH5Ut5mc&}n`TH8phU!&DJexDp+J|Nj72P0Vc>%t`9q&zN%Z zJD#iJzG)B^Ptn8x)&JA9dt(!x*XK8@do`q1P`8vHJ~<%|HhS5evmFFNXw}vpNUG(L z8gg!Je<#d#NaA*r3^}aYo8f^adzk?S`3?^3w@h^FyV;;kpAE93Ru5vUqAxk@Fl%ih zzfmAm>3~jGS2f*Szj@oZ$O1v*nk_mu->toY%sM|Q{FC06Vh*|MKPBDuwRK4HYja$^ zz+W2PgMKSuA-;r(4vm3jA11wOTBZZ2IhY%%+;Gf;DBet%T+kz4jP*$}LWL6}&bkk( zBSjFF35$XaJ4-%L1ls6W{guw~yCbOo>*_*0QjLxItudsuh5Z-%gmU-ck%)(kQGK?FsNHo@(?>;vdbDdH z=Wt_=FQGoFQgwgH($$1G>}NC+Ku0vgyz)gPV$y?pgti4?7>uadjv=E=jrSizJE`lN44VpU}&=rx^@06h$+$j*;zjP8KW zaKIbJbPdUbtBcy*-qZfA(%n%B6SG$*+NaB+2H*$Jz}zFU5tnx)x!{z;EznGtEt9}4 z9JyxN6-Denjqs2zb@O0bmrKy9xY?+B zt_x|6fn%E?a93WGT>^hODekN9G4P|i8_m`$leWPu@qj(~%Yi81=rZ9EO&8V&&8dBW z>?w$aFqumtTmv~f3Qb>GAXzz&`bGe2bbVxru=hmoV=QHRw3u53&$M*+gy>d+_{D@A zPq2|5H^0&nG3r)!R3`$e?H|m`Lo~M=#@adAN|JZ{--`t%QkNO)hybNwKIkz%RMYlZ z2pztvpr004mZ@*(fA0HE^5)(Ru=Zqui)9dtr-aL9l`C6IbAv=*CC!#(~{?(N{oM(61z(-|0 zNZi2_d}MULkrjL_bGuQ$KCIfA;ek@{jNVXQ7u2XXuZvQ^n<8IR=%5|@uz6zh33sPW zvG5!OT8T-Esy^&>?9@ql>V+gH@9q)*@3s>eGa<0a{h}!qb4$ff zIUqA#>}>lNlkIyb-N@wl?`*ge=w&)L?~-sd*pZ>Rf0%j)X! z~0w0w!CE^lZr@ZOWU$G9eQ{BvFHxUyqHtRxaQ~eNk3Ab_m0jY z^I27Ok|T)kI=D|A*7W^u$BeF}{i5Zud)m|y*CHkg#MN_`lP^fe?a({M3u>$u6hv!= zCq7HVAND^oiw?~eU?Pl-`nb#Zri!HFdK=NWpg%{St;`Xy$nF|E&ysu;<{ji%S1$ki(d`Q|)^3uC0X=>`I_?3v%HXjhmhfN;OaTY^0 zrKl#LPaQ~~50U_+3ACjj93Yy_uQF#gHBG%4A%I3m=rd|&qtzQ_ESg`cJ< zmrCD!G&>*z=?8UdOPK=G&4T#aF$vS^hAV1TA1CuTe!tEQZZ@`dW~|kTB`^_Tk+`qi zpzBvChharoLC2bpKA>CWX9|5rWehn69$$^qfWG5_S6dCdemSA(`!&N^4cDTLWnngM zEYtUSLKfxoKY1FFBAGEbLwaZC;_^u-f9_S4dnavdv#>RP8qe|ix+3{YwBsA%2`Qqh zUyrH*;!GkKkm5+Vj)=GvLiDb%N57+Q8$YK4lk-;>U!+YGkYB&5Q&#_{Q3~_0#}Dn` zxQ#``>IS}X{6(#GtVa&6D`)*vyA<~JA#wg17HOp{_{Qjvm0lmxWyRnHbW^EOzV7Vz zbs|wqoKklJU3%+JKsCgF%`^B1dZQmA=(_YL4(zuF0Uz z4|gWQbS%?T1AUpo^5Lk|I0&CPV|?#>;dS%r#Dpoo9(n^rS`zfuI0!u(FZ1{|RU{(t z$HP0qaum*A2XgkpJwB7a6Jq=;2HCXlJ5qy~)s=+d8uvq1(%>5Xn4xLrd@tnfpM8-+ z#P3Ju5kPIw$5NhVbqF$K&yEW4Rfx*G8a8L7Ow9G#7I1nL{;cIYKd^ut89YLWmm12~ z9&Ks%{j0i8?*~Z?4*A|s#lElpf_J*z-OvHe#OkaYiWFDaU7qy47s8L+9`^My$aUOn zMj5wy&*_N54w8~3=^o!_Urgh~S#;|LBh_J3D>H$=Elp^L@N| zb<%~6lhm{@B*nfVvqIk#n378SffGG?u4zKwR3ar+%g{8WZ~Qx39sRfr8T;HJTOIkh z6$)pd-dr54(42uOb4n`iBL7KGqol@lj<%#yT>!NwG2=5>o_nA_{cAC#`D7$%%T`N$u|GM>Yw0cnLa; z5ROa|TR3HsKAD}S+7f#8))kmS?$A_^R@2c$$3_2=3WGwHC7BkSDYleVa#Mz?R0k7^ z=gQ4VHf7l~dUe!Z*01LnoD1ct4&dBx`j)mSCHNBwoJ9U5F9wCE`lxN6%+;?+3CQ@n zM|ap5D{2y9LlgP*!EPyu+|>aGUH{3dl}s6!^AqwDnVReN#vXkoDPk}{{QT%0;uumE zpB?;i_&4kCuD&#e+8>0fgIzf92t!Z{W&&4@f=iuY6Fg!OasR^hq*C3^V@ixuS=>2{ z<_(h+4^dUy!(rq0FqhVT-&^q*%tliC16*T_11unHW)|hGfKxQ}A~Jx%i9ixW#LW*v zO^z{;Th{QV^GnROE$d@9s~@&31Z4PEoul?L9yA;8jBRVDknBXRe=UW+bEe+QZd#AK zBQidq+K>PN+OdjDkGNsTN~^)u3+_t7z0P#Alz@6!r}8KatwE`rc=C+AS;b#%rWkLt z9GsP7vcCcO@Q?;(An)(1@HXa$l&^rX+*UqB-8FXgIY{xs2l(xJ7I#S&ZKIj{4o3;( z3x1cK3C1n5f!N0%lK=XZPP}qh&mHywJpCafqN$A*%{v7Za3*3<MGN(n>MN?hAY@u4Q~D z%@8G`th~=X3ah$rrU>5ms4l=UP>S8X-a7F`;PlTebvU(6_`cEfoo36EjfF~^=fBjlw zSibTPy&`ExfemuJ&t*;)Hrd)Lx6N9~F3v4|(O1WCs>df{X^k%GWh;no%0H7USxWmK zbt;nTJGs(F zaSXRwz_hhVX@*-N`Q-h^>p0YtgOk~H7hR>OE1G|rr-U1R;OfF;k-+MMpCtz?jK%6C z(7+q+ve9L;DnYLpuQdx=N!71iGTEi!x&*_QNn!Tni?7|PK0E2)5oNPI|ZrZHy?S?t6whZqazP+KMn6oum5v+7S@<(P4 zUdo+{u1vWK0pVQJTv`zR(LrG_HCH^>tXgPEaG6AKi3#vKSmPP5l~+fypDPhlWTHYD zxWp)iO#ArVX2e#yO*SrC49lieO7q4`t|8SE4wrz!!_jL`Be+??W0mcjmhI$@HN#9T z$}5%E-g2u}+L^8+nYyx~N4o%W zs3*!okPa$~@J&)m^!eaG=%!AQ7M&W_e+h&K24X5#;TrXIybdR>YK*9Nb^+>(S7YqW z_tVeFYHC&K^P(IcAAFww`%}c)KFdg)jHK7R8>7d^| zeej15%a4079@181U4lUo^3?}MQy_JJyQ^HDAzAdUjlc*B;DUgU0TO|f>z9W8X0djZ z;##y)64DA5x@_gZ_=@lF>nz3e+?S?#ur>tqq$F+;GcZkPmf? zstsm7#M}}yL?{ArPyu_>WHWRV-CkYyge9D$Ekx~b1Ek=F5R6Ox?PWUb20BuXptpb*^71PT6Fyol4g~qns~}l6g@og*Elt-zx&wRU!4{ zale-QP3yhhzs^M_I(~W1O*o;L1)+g-A)p*tq4PL7Mpvc^Iq5s|+4Y24w&)&t^VwXY zZ|(vVo_q0WF6Q4US4$UsrRGe{5(=BwJ-=AHh+BleyjaMlXUeDT7G4v{uBFPs*jiq5 zz+GE2WP0J}XrNCo@YGcW?;Vt6!B*XD>k~S0t`j9L8msu#4^H0eh^JCf2xATSJwPY>cxlpJE{e;*pNUm@nMLd#pwF2U|LiwE=c(}5yT;o*f2P!xFtnbr>yBHh~~+g zOPV&SGLL5nwxvy20VOOhPi_6669CZDOW3KJI;h^Z%DZbBnUUsx zBM-jL)OhfOo-oKJBJlj_AD~H&_lbpe#ksqEY79*RAlH5hrpNq&@>%_eZ^&ld+8+It z4%#v6!MqDEy#K)UE(e7)U5q$LjX>BZPQm5NdHoZ!Dv0K8<{39Z7330pjRK?Xc`ojS zeFl{76qL{-wkRwMGG{(gb0+9 zqy-tfquGP*g%;3d#gv*jZ6w<>L*4ZadM9?r6-mS zm1xVrtLk0(8XGc!N&G<{UJ2(G`^JyLdiR_Q;K7xE=62uQ)^%QOEKI|~w>8k*=$!Ct_ z1dEig@XX@x%{#qy75^7{K6EcV39ql82^&v<<8C5sZTZFC_PEFmF_(ln@f_K9IUsv-Ew>r|-3 z#=N`)F5a_lXrLE;QePU)kXq)Pp^E^|QrjCT3pmsU6kUfhRAXX{fd%DPDXDo4~r3;SQj`m-AugI}I)QkKmP^Mf~J@#eXK( zhCB5Ccty=+-oKi|L8@P%mLx3$uk0$zcs7&vckTDJH%9twF=!naDco^!2Sj974`V8H z1ZfezhsMcjY%S}E0}*nhT};XFx-#dFNxtnrgr|8pXhyyi(h}~q;0a)5XUX!6ASLN8 zSW#y6x_vq{LW@jO8KZN8wVxKGr=K`~hiY%s$^-?7T1H1{`A+75k5s|y!4P8wHT&qU zjV~Hbk8ST%joQ3sD3*+whxM3#Dh(rTAyG$c5y>wtrrt;}svZ-7AO|v!xnCG>Jq=$x z;)R<$q_0NY0)L3qw{!CnEHGL(cR`Uw(QmMJ!E}3m15{s849M4BHNaWi1&cMLBxNZ2MT=C#Ds688s0xE zF^MdFr10p_8oe8U$ny-hRci6@&HDU2eHt6v^ZcHxu1H{g{W1Gg)#E;f?<}&;H2z0+ zI)3;Sb%ANTFc0euG>byxZDV*C_M*&o?bDEI z1JM2`0@2;4>JN@tlUUYP8QlYUpkR|aCGGQ64&>e|T9H@%=vTTb%ARV~r#--q&bNuD zyR@4Y3xkg=zS+=F38bz{9J`=^@X_c678)|=`~F8XnaA~cHmo}>^^_Z6OV2_X?kt?Z`1Q_nE=;EusE$)i{%%eQhC`|eO$On9X%aljb;x_L;N+~7@(RjH$x>mmhqj8Jk6eXcp{8qB2fFm zmhiZ)!H-i>S&hq2OT>FEJ@8%osGMXo4w=xf1b{Wq4A6L;_J@jE%FrQ|!}fO!$7KRb zBzq4X;c>o||GKZZl#F{g^e+QM;6_&J1yNy$mEtN3%H8O4<& zSFM3HzOo6Q6qioFwjBE^$=tXuNB(%J1YKI_cOj(=ZNbMOg<%@%2+L!|Hs;Z>t$Txi zqo8YmnB+#|y(a~0QEToB<)UiO6Drh#*bs=n?U2r;Wp-2t1dJSs#8W)!=y^ zN;Pp8*rl8+6C)UMo8FXq9*eo&O;6T~$J%dfj@EE<6i->(8@4X3l0|l0BXu{PKEdfd z{Z631dGew6RE^-tO&VI^6k5Sn61i=4193=*t>TJ+Y!kWn&z2vrsT^JW#YubEu|r^# zAcHyM0(dAb4aOI`poI`W;7@D+TYdOh9VZn)3l zIGg@VJGN2k1=)ncuSNB$EnDh^WND}QF6qRc7ff?5)a}glWQoueZv7VKYOPpw{||jV z>H>lJl3^t1W>t1lee*SkWujsj0~`x%U=g)7qZ z!kH6kG_#pxcgFyP1Xg5Iw*r|Bd=y&unzZixS-w*z5RmR<3`(QvQ*RKr?K=KAn)Z*4 zvkCXrKDdVBP30f)*>*4DN~;T=h16`+vOd^{SKDSf=BSR45qUfzgP^Q!0mghRJ+3v( z(2(DAYl%bKK^Lqc1HT2AMu(Otx{zmi3(uLsZMB&l`B6)WZe_$Oz9{`O@-=Rkzknr8 z#aVyHz{k0{rSs`3(+r;YRZgTO6@517_c3_7S%&vvP9<`PP~vXNQ!+p33E_f*1A7XHQvjzfs41NOLj|&Dm}J2JX_coUMHQ zXsyPaq}FrC7_0UoW~O{-rBGhZf2XGmzRZqL8l5N|r!8?1=^95^5O@t?X!E_Y=*=E? z$R@0b_c|c*QT8%BjPJcKm2YMW%8of( z3c5;sp$)xKB`Lk#9!t?CB+%n_)bh4Ck2xy6sU=M4JaPfta1G5+1=GD*je==#gcvSH z8bJfLVYU~^6{`_jxYs64I|H;`i!7M^5%QgbEZWTk+0UdMf_z2Ku&yVGHf6n$k!awe z;FwYoh!I4dD3|uWOh298bNG@fK2841^xXJZDukC&nAtBg`HR{Y9zU|T&6_#+<%!Y1 zVAxZ)PD+a2q~E#=xZTl3RB7kM3x^ygV^q#V`Lt(U{kk)$x!(*5 z>VzTEH@en-drjb55*CIvS#9^kXrHhAVF))y$y&bC6tz=}b>Q>nod`~EuC)Yyv!*k}o6Mm@ z`giSh`d73db}^&5l!L>cKx~~~@VkQaRZomqHU{px>dutV7PyR)=W$$r@O~dMK;r-7 zMBQW^oBco@4{e8}wttUcdx$1Tf+Q68{1@W|Im*uTB4wakmZdWRwG&jz#P%@o=t&dQ zQW5xEeb0+ED8_;K?V{I^)75H$l&9U(pNkw-3X_nS{4^ZjPjx|bI#0kplSMxG?9LDU zVOP90wUP>CKSbf`DzOA5Lmh!x=xzQ@hiHkW@( z8^}<9>$)$!a3)r9fRB}q8*!V^y0-o3sqR@*(OM(~{f_EA?o~!%6RV!pNV1mxLNg3g z1N<^CDlsck)ZrfyQfaf?)(*xk8rs6qQ6t7NMtxeUS#DS|&*QAh!q?5?&BbSUL`s4{}+P3_sjz7~I3<%uj@CIKj)%I48G;`Q5+*CY7~o8~BN zXwx+u2#gU|EyHZjdqGT>!!#>9meuL-t}_=VHU<{|Hohu#RtYhMb|K(i>Gpa#QdF7S zk~IXbbN{cx-aD*`uk98l34|JY??{mz5QubxC`EdeqBKE537~+q2n3`_Rgo$X0Rbt} zk=~mi5Ty5xp-NLhir@U6^S#e?o^!qLIseQxlbJnxGV5Mz-E(K}iPhb}xgw^my}W{x zgi*!%y*%@Lzl4l$O&WSz3wZ@KkYv_{#dBbA1C~EOOZ?Y4HvXYUP-TlJZ3f2AQwDQG z(xSVj8c)-%A4#g(pBIv*`IjuQm4iS7S!zF}Z;c%tTe`ns?8?(_WN-bksf|^(=v=s5 ziwB~3L839jlir5`Me1D-Myc=Ydh8|Kd(^4&aKZfKSoseL_j9VRwV}5O-Me>{|MV#T z0V1XrNkfw{br{#iO^^=~9vPR`)s2<#sSAZtZ|}I4G;OZB1>BIuhT zvaIhb9&#crjzX@FoHl(uDdn1K!cvEHj4ddoUHm5E2`SEX#~UV$8N%>BtKkJE1D=MMiw}aG!@npL2OrH9B14@e;IVIuBTrZNS_4(OGwU~b;x5z zSyd$p_HzxjHE&!8!Sfc4kM64dKDJJ5&2lao2+b6+?2*)FtQ2kaq8OBks$n(yG7F&KG@18jtakA7AeE zOdS(OHb$cYPB=ojxy#-Bdp*}jr;Z3CYoj?dCtf29-7;5T+?w9Y?M7z3*FFm=Qnmz1 zNS(;Lh0gmEXTacOSn9<7=F4%jkTx&S$BBd2gK`xhcpALn$yN-Y3l_IjHmEk zp8b@WPGl;K`e9SxmYg@O-08cGk`x-aWi@7Id7{L_BHXcAyRdB9O!$|!N^3a6u=&Spi)5gX~s^_vO_spFJy@rqcsEUsx%IFdaOx{w+G6Sw|?HXn)xo zA!eR$GqdRSs~&c5iFdq7IsQCy%ikRwsGQ({yTF`fa z*nA%2I(^ajc&-Wc_GiiFl?I$IGFM1fCPl1W^`c)L71@+%(Y*U~J1*j8vsFaBL~ zpP=C7n4GCKZ$p~hL#MjvT%iyB;$Qj1X65DV$tTj51Or58{`lmS z*sy!CeCi-Gze2&P0%fuyf*3TQRZriTLnoC8zGxhqZlBc1CkNGJk zvhJ5#+B~!qfBOOY&zZ<1gjrgY zTV(Ct^rnn5QT>BYSSHfiT`kGf_2T01U84I2BPgd`cu%#!P0-kszk3sfwL*9+pqiRB z7iUxD+%_`x?$KJ;%?GKmyU(RdWd^(`@s(8fCAc2^Yr@0>^?ZgBC#NI>2grEIas-1k zKSj9Mr`Bg@F!CE`h6UcdpAx1Z)Onn3gR_$}3&gfVVVc1!ybY92UeFx}oX8lG3=@?A|r}Mp6y+>5M_7Vb7@_8g`C{ThGTFYNryB z$K7t67VtWGTas?1!b^_tq}K^$IiVA{m%RLeC!>e*&;3Klz0o)FakzR+q?$wO%KP#Z zNXJ@%PCJ$mql~=WmP^Y!YLEz?gb0|%k$)tLA-OPq(2wryG%~$!T=nFg2cPxVLGI*{8sM8hkS;gxal%6Zh_5BgFqdQvUWNm7@zDIc8M7I`aSbpehC-`NF}A(%Ay`y4;0 zX>l+GC+`#fzer%XaCTp6`xa9p@hSMb1%aOT{bQ2|>U+AsIT~9-nL(3AtHjO9;EM<- z`3OaAWCG2uO-9zwvjERO1c~X7)k-Z9XRS**c!^ET>33^Ct?S`Yd-~YT3{=ylB z2JGw|{E&hsrmFhqefEAA25KkAc_d3*zwFb23p)L0qdm;yPQPv%36;+6roOTllBo2z z3^ohfc3~_3I@VJ%E&oK91%9LV=ELTGi*TRAYip)*!0KrlywU%aH1F2x-C!c8&PJ9q z2kJ8{^r=;#Xzbp7@BZ?Yq?^EUBgwXpZ_SLh(N4wr*DvOQ7ry1Z=>#?XFYo=&A|-7i zLLflf`zwc!bsK?R`GJj8r{>yNNgGFu12xbM%?DkJ-`Cbi?10Wza`hb!uNmkYs>EKB zQJ=lyJj#<_7lA(AG`|$04iJmFcuajJ!Fgn@;P|cy7b~G`a$_b>glE#|T3M&Dz+!Kz zzmn}L7ba#86XSOzxY(2Gf78|>O0Cmd@>B)5v-m)`?do$(Oco~Q_pzXAd+I5#tpkhg zsyZfyhC@L4d)O_9q;|}E3fqW&ADGs!e4yH?yl%y!r+J6t=)yE z^g(CzIh#6|US4UcIRLn7;PmzFBDWif`i#wth)HWwu?FvR$3S z#QXr7MY%1>0bx0n0IWkUf`AW?1%umDDq(r>k|!v?l_G4yV?~!w)H2i34*a<@Y&v{2YGj3`G45eZNzFO5e7r^KM<|A`*Vs zhKX5X7my<84VCU*TM~gNlwse#3hCqfo2`BYiNa*4U-3s_ny~K>t?=VtIaKRk&3q%( zuVkVwSg6nb%CA>*9@)Zx4}ac-D0rJ)W~r+JO~ci%u18(Ksn43R?;46Fz50!QXcB`J zyQKU1bV9o=TDw(F=~)g1_rIjF_NCSXkSt89mL4j_#_Cax(l-sY9^#1E%3;HM8RliB4W{doTFU<&>-s zddIvwq!;qg--lHD46O58-19e_RbHtF(??<0q8_ZNP41k2fqKk3Nn}~OEk8!<`cio$ zbd))Jaj?#_QF-KHn?#&4Ow04qp&pZp89mFxkG;N2)f?D*T+&+Rri`PgwK6lu9K=Kfqs75ftK;AuDcBQ=NzuiUP`RUI znc3z&pr8BNhh~4}*aO|43qo$XJ@|Q}{yCM$t#GCGWl1})a;bkBNBq;+s(aby%d+a5 zj_1tbO=%rmj$S!K%aYRn?B@d-&e^&yKaMYPqS}87^&<&C=Y;+ih5mN^*B+PU$7OkL ztn+Sc^E<5bW>ovG(BFa3-wjmz71+$lUnZM)4=Jtu2(6dh>;Ox27flr;t}hxraTxfb zGvhe)2(~VJ?zFBWzpkUO?gFhU{O&31zi8qhVY?cDiTQpk=&`C(x~9_{3O~Hv+8ujJ zzjHSH89s^GRWm&&{NzPQJFc>WjU6ZSm^CP1t!5e@o>oYUGIU)ei`n+k#G7ty%Cx z{nqX#Dtea~=?&x}I_cn~hq|>p=#>7)hE8)LymE}UQcy7B!+8HWz-hUo|9HMggCEkh zc6+pTYr^QgFX)&0fYV$*5*a;{ml(>;b@mCKH>5C#QNhF*90;lc)MvDH0Mu#>6EgyA z52jA{rJi!yt{wwQ0?^-jdkrQrVX{gN$WBV*k{53_y{)X4f5OvN$D{-Co>V|pPU-)4 zrJhpQu9je8!Z9)Bhk_n}o-OdMoGFK@1I-8*P}|i^KxqyIsgDI)hf+_eZC9HxG5pY& zyGgHA^nKf$lMblLegMKa_Jf9sKKsLe3JsuF1~)alR?yh%}D(m{K_IFK#n^id|-a^>IV86j?5_~Pm zO@95lcYiJCQ?96YGD$ZVvZb}QVe8c*)e%lV=iB*4mXkOX*3&tU#Hj=7u*ylb+79&j zl$()X^^Qc6$#=ADNZ^Usc@r4D%P*6H{p{YmO zQ=fg zzuwx-*4mwOMjx`Ga{;K5xb12=CZ_FB@M<_!9sr2cb~OhR6LKgxd@Lw=Ab65Z!=xf} z%35|zmBb3@7_j>qAa!T-Yp3+TzX=>X+G!~+?(I-&MSW=FRPBV7n=O?6X@_D*!+f+S zl1s9|g+cQB1XoY7jq};2*zkp(;Rycbqra@|#xeWoNG^-$x?ELVQ(^51O8m7V$j~3& z32g}?rZ%F7yOP`LI@dqzrPbC;ly$4Dm^Sl+bmnK5WT85K3HHzn^Pv@pF{ilC5FfC=r`Pn_bz53YpXT<*OwH!si915j_h`qN> z%~a8X@n=+iPtdKRhlCc7K&y`Pu8)wF@raf2yDQ^jT^~n|^0V7;*?;R?KbrKY*r%o571 zh)=gG%Nv{8$_v{JRJ6p5#18n%93~qn(>0!FXS#Zi90#0a`k(kB+h|TVBIUYnNn zJ9xTaf8;$o+V&NFE51?s-Jc_`ZLxV%Yu^@ng1_W96HzLJAB*+ePi^>C#kk?zx+F5A z&t{`wcHqiY&66++Z!WsMhPy)@>6caS1)AD=DuziTD__Li|EFxWFtIcE(^vWFL&SU$pAX|Cmt&|3CHI3`(K7eKHHcnk_v+Gx4UYF%8*?1u7H zcJLnVxYKh)bO(kLs~XL7rDwkAR1EKIaF3W47no!h=tWBQ8}eDd=&n$6a7Vv@EiUv0XUMNCTwK&j|ynCMfE zF*OyMTFJl$aLxC%7-95psKDuq!sJ3iOD_pMELe>}2DI4DDW7z(uss<+O1s2f1D#pkjAy^OYT=$Q_d~CGK zIm`SL{mMv>Njup;hcWo z%Iz{j66TZ@Nr%>686Y@2%y_YyPCV4v%oJ~^DDEpU8UCdbPMa26h_cJGh4_@Vv(;`5 z;d|T6(?*80_PE?nb}af@2fhG>uMzt_J=xRXS`&~?2s5MZ?hZCf@Xy$3m;R1Lu;Zh% z>#PGI;U=KL5NcqbT>CKobc}GbDz1EkErdJq3d4OV#QmHr5vb%i$28Tv4B|VGmi*?O zBH?PYE~yMYa-`q0);-dJw9edA@jM&_`FjkL{hUR#)Eh+twXIYEBeb--^kc4$jx8}YZr zl~LA4g@V1DjU;{usN2mN;r+Q#^98>=xV1K#2rR#kdNTO*QI(*BL2XQSo+qD7T5dHZf&?oV6JfbjE|>Xo`FO<`NXY_W8A88qHf^$)IuTkOMMt{f2L zskCtK{zjyoUKwLJX2X%-D^C8sLmY1Un_}}V3&!?UQbvQkTg1;E$B3@4f!@6#MZP@n z@}sK|6(WnNhQ@qhdx?#brjymZ&}`SA&rzl5rsw6L>C8V6#;vt}x~&rwvTaIcCf%?q zjeFgbg|O9?bhT737|G&J0VQdF0IQ66pR|JnpZWcEg_qq~*rSuTmDZo#PA zf{&@D;$+$vNNSVd0TG@==#TPr%=uW?m)`x*tb{JVvyl4;@~tqFNYRTVYcP!iMWB%o z;#53!u6k7ZX2zxy4~Zt>0AyvLl$zC7|6#01pTk!A1dI@e;YU)!6Cu^8&mQ#L=_1}Iqs(TW4{?3*mT2~k^eds( z_q_tIDS!AX2PSBie;);=jDYo=%l*!wx*) zXORU^;YUTm;Z7Hs;=evy>~^(3<~;pu+1obgz++$8*5?qyGtKP*@o{kZig1aN#cZWl zG7$W8x{>e4sh1|%Us&h-?28of?$;X=38N0SByWSuTigt)yCSa8y>@Gri&8bZyX#G( z*cG{ta`SK}^8Hv~y3`Ok;h|~SXwF&676A?w%{!Hecyg{_Z#C)+;vm&(DI2;hu9>Ca zwqg%KlplCs5G*JfG0X_Nt=voNz3* zv%)pBnmMLKX}22xD!19a*u{STs!F^=XTz`}mtOkFXXP0NOsC8%XVvE!x0F-*h?Wof znP30YTE5Juyxty%OU~&)T1(9!+9I=hF5Amjbh;I18yIa^f6^z8 z+{->rrDV~+vR^me2I_9aTCy!pdnB8%u`>L${*vzPxYdkQ*=~`-=eK`3iJ$TQlJ}jOPcq@p zyH#q$>T=4Li?1_(hU(BzKcSxT;t7`3t?wGdLYRW$?p7oB8+}v9%k1wiR}9*G8zp@A zs&M}NT3USJ>NF8)$G3M?@4b4`Hn`*WG4Dg!Q(47jl+_6Pg;Ag8P~+tacV)vdY4*j; zBb5Pa5J?`RU(TNDEScKf1&-Xv-2#i99`pyQ6>eY-b|9BQ_ukKMYj^68ElhoOR+8%{#~M8!bJ`(&QK_wH#bgomC=zRr$LD`l;z|yvE*=!j%_KY!jzW*5S%zyH5Qt zgx~km6KUWZC-%gpQBP%gHNsMb((W;97^78x2_yE23Y*2MzSpyU-*Nr!Vlj!R{Z*ov#52@~JG698aJ4&( zJC(`4Bu0$J_wv=smWM@MH^%B$q(u6{D|t=%MW-$0*WTz~Q5n~DqS>By!M)U}Jw~I) z?JpDkGab@6hpKHTRk%4hCTbl6hQxM-O$xmQ)27mFQ=5*BZoEeeUE zvS>>`+LW$^$qmK&>Q)q(Ogg60BvP2eoY3c4n*o>yh_Z72u(x@oYat1ibGQ^5O2a&u zk5=gBG?J7A^$F&K49#%IU4qB&sWmM_;qFiB@2tcuE#sn^3@Q|xErLi&2tBj>z%2UP zQyQ1V$+QofbV8Yp@FGKhl}J$1BwKH5)I%fPaeLsJPUx%n$59_Nd|YXI)1)+FcbL5qVi<|}!(5TR?ZljL|I3U+U!au+QtTNLdzLW}FFQev{p6qO3Zs5Cxu%0~JP;Rg3MV#4B*1-VtSe#`aR+r`uj( zlY)J|+jG9i`|t#TR{*hlLb8}}H3s-0S-bkkzO5Ep90UwL3lx= zSBp)c#LIFJ(unjvV-qM0<6%B`LZ2e=_8`}M-i5U&ViYyW7WXY-q_+s+ow*+=aZyZ| z&j$b*SI8a%j+z5iPQ--9seny$anD0udOw!Ucs&x?xxz&K%a3w0l*KL8P9j(Q^3;z4 z??5qI!h%wa+anx!MA^ZNQY7OG#ivd08{)YiHfp?C6tWO&v9Km_d&}Y$YX{pApBcJa z`##m{ja}K8Wz~{Q6@p?i5Iza*m|gC%f?II3SnLqHy zdJi;P5T2h$S93eGZVssquIYkWi6fQJxVzw*K`1*dZUJGa9s0`^*=Q6r-55 zftyF!?d6HLwA4}HgDGZnS&E97inJ@J4O1jl?uSwv;~zh4%-0^FD^<5C)#y;%?HM4S zq{|Y7+;ANaBrl|#l+F_5AMei)vgm8EFd^A_!Q%GPF6+cWkGa&urc^u3cQ#hesAAYK zC7a?O+_(g|;y>E`7j_nDkzH4E86IP6Kb8A6@^m=}?}*|*V}~j5#1vK~npgKEjz-8P z?+ko<{`6j`&<^i3w*=wM8?bB-RFMy9g2t_ZWrv`OLdY>RE)Q(_2(q%KTS4(uQ467^ ztccNvg>4!p1yj7FIaI?xp!il8d_b;A=9ZBr#9~tG2(*P-!hNI%A$nZuK-(>cDK#GqcdJMQV zMj!Lo&W~W9u&|T7%xlQ&XxtaD&j2(-09lB}#ejW!p&7hLXEg2*?2{07FN3m|93MdO z?V*%;Xz%L7_KGx38L#*3LHYkXyAXJQS|~^sGfo?U7Xf9sL$VlgA_zP=DC3@5V1bGx zatyd@r_!Jd4+s|%j-7)LO|;>T>jICXAYAk~1q5CVl;H;9qQwzD9o6{H!jWZ&56{8l zYHE#rzbOn~h501@pu@d})mVo@-Ej}WHT}?85o9bH#|4(XqZV|<_I>x-e1g#gXlwzG z%p-yS^kgV~oBV-i|Nj9Fg;n{vqt@{k#g5rKmW>9J6!^y+D2vd#X(WJ439QCAv~C6| zMvQ8L$qFEqgi#UuZ+tThn8_z4fhfGei)=#UUK8zAVqb!5rl8xjxaSDN5~97&*bHJq zD0S{yyaG|DPGb}?;f*{^YhoPsmtD!X=ih`aqFO8zjBxycSjV{@lOcAHqANs?1@{Pn zmjx+$K=hb!42sc;E>%QX-_&ZB-w+dkx`0vW@HCQvm{1CnwFw=bL9P)Knqjj4g2bev zs1oMep-{g=(T7;`rSJ zsg09pk`(nq6|W%`ILLUy;<-I*u+-#uP7VT&Xs8xTO=kFpI#+V~I+6=$V)tJPSA~5> zi4TR<09G@D1bn6v_K(X5qegkwD(}h;Hq#lN5IvXq-dN1*e5!y&h7hNJlH2K%*T0?m=Fy!bRy z7*!1Oc@SDKgX|+lHNt#MLtTZnXc_?`0LFy>hYF1O=Ue~2`>-0@P%AXAa!H5(e=kjR zBwK2q{}HF8{jUHQ93f~HUS%15wBH;t>I1CCEYy4kX-$lphR%v3-9&(%;=wP*IE$A9 z@#ue9{khA(t)2r_Mue`zMv|anVKw(c>t>N+B&bZ-zwIMD>;z{G7>J0L-{v*YtP=!A zhWFqo51FFc6WI*G_z_Kx6Cp$C%DmO^BH2wZZT-gT2MdnrpVTUosH_GH zxT`1!DwYT~hs-2FmBDJXLnmjD93-g!#RtPARU+%_$jpD7#tJm+4uMhPnYr$8fESCR z(y2?dKB!-AMlgYEvcgVoz{v4H_EAZ+SA%6H$4hdckfG1!kb04bs%Lv%QGgkc^LR~_~#*ryv>Ooz*3%#Zp3sF1#z$?_Ea z`Z8a}tO`*M5vznhmwT~Y7EXNOx(P8MA0}%Vsy~DLe@PQ76SI4o(-hT6xMp5QEOqYQ z6~GU?T2;0b-@E@*Cq|!pe;@y`ln~dam}G=cl6Pd>vka8tV!^opO1M=-Gy!;b;xrv- zz$nRMzk*0^78ym1N`UzQsLUdPWb6y{U!L)QzyTyMf_)2MS)^K{j2}7v8V9P7NTLq= zj0~Ryt9ck|K8^hEXoAM+fol@NPP~7_gKM(G@M|@Er7XC3#{5M3f2G9Vc0B&?O!?mu zEkLD=IspSO0CeEL!Ne0HM~(*pTH*1Dhzm#(2%BVhK8_-zQ0h6P0!Z<;8pp|^vl8YN z^xWh@sG%9Zg{DZ!m!1qsi$39<<||pIg-O{CMSV+s>;E~|@~;#ko3w;a`gbU5T8cfW zRo1Tf*Sb1~u?5O@&C^_yB1dii4yKpwo>$;G2`qPyNF6&}h9 z9p^%oMsMBkHIbm&p|gU>Sa)0&xCT%!KBPJV|L>#=hzW0iJUhJGUx&aolh9cKQI zKx+{?%Zuc2$NdD?j6$sd2D#&E!8J2bcHsHtpGF^u&|_-j>Hl_gcU%v+W(;a2fNXNd zfk3lp$lL#TcB#f%k)Upopl=1O+~(hH|G*&GXSyQtvzx$wk+QGQ?@QRObWlGrpD{cn zjfuJ^Z`!#c$x3}{CkwpcspDvcw1H0)4tpRUVaI>)b<*PlcUf}!2M+F7GB@p1c{+J; zrJJtrwfU=P?#G@ITYszl_7sh~kp}|w0s`FR;FuTD(Q&jf(McghszfoNX~1UmL2FoY zXb95r&H;pQC$Q7ZPeWzQW=d+`zD3NsvFUAjVPj+C-l6|9S@tz+BAUGqU&1_DR(Fx=@$P@8C^_e{`0n`B~BqrK_&6Sf}s)5w7*iEWm9T175CxsG~Ndls6b<{>QJr3 z5GcvXBr7WX7Dl;I6)KeZ@XrG_BekNLKQpqqulc2}vKcTuX=Kj%V_55nMB45wYnk4yF=X z6$9a7;l&Y;iq#hQ#1!Sb#ibMO&8;B0q@OLb+;W6TMAmuP3~-sjmGehe*$LL$PTaG% zk1b-bU3l)nN}l(9e>>_ULTel{tqEgR-Fo)Vt;ULXbYRgF#V*8=2@#JzNq?r(tu0VY zc~pg`teKMPc#(YlL-{E)cJK6t?L#S}ish)2-$s8drPdIWq{j{mk-jL0$ui0i`wojZ zsqIl5)&9~60g(a`+GNh$$c-Hi{`~Tg{`oPA|Bg+4V?|~CPsJ&zNr$^OClW2ua&i2d zMQ=3aQX)(evXd1nkT^&A+>PgLw(o~p^)Q)zXmICGN_Au~T#f91zjc23%6>DzB*Kcgjj z;~P>(2*RR~UHD+E>nJ!273XAe(ejHmP**J7x2o??|Jw`tL?^R=c@2g85h{|uP(XxRl&X^k1N6wQz_HIQcSAKGsl8k4264U zQ)c~h67e%rYv$kUFnfojL_~l8`hzg3Ztjd`jG>-7E&+8B-)>Vz9MzPT9Bqd?Ej&{U z^79)Q{;DVwyCC~S0Ef>o#R(=A)2-#WY8@~!@X4_{pwaHcbb8Sm>hXW2q}47pXNh4# z^lwc;>WA;Dy$jFX-^?{B{m%3rRw)nqoW)cT5LcO~s=6B$lO^I%O24U9F*NxoCD*Mk z*K(dr`v%;xtD@@3()TwcQftD#T6)F}Y!F9kCCbFb^VO>uCn^3aGAM;iL)^EQ7Bb4L z4T=h63?Ln@_R+`M8fn(vmMY3NQ^6-U9Hus9>ZD*|1wSACz#wc?uZuj6sODaetcoJ# zTD!Dvu?7oDDqF~lHN%zzfFIO0*Qk%QJc#{UDgD6ml+BQ35=pALbeP8xf|R*Yir5iR z4-$YoY!InTVcc%%62q|yJv#*wJEJ}Bl*%pDzdhu>X)V9I&1X7bxOANLD9Y}dksdYU zX2wu$y=zpi@pR5n#z>R_YAC3t@beCGMCN>_G4K!ADc`I35`Dt^rP#|+`>_QCogpZ~ zese$sLa9?|%fu-}OD4NK5pT|=CW6>YIUB{B@x9o zH0GloaU><74e2;F7p(lNakZ^6B;TFl?6_Jex;h?ni{cg$S%a%)!N3H7 z#4b1CUg&t&Eeu+Ps%ja(_=*3D(YjeA2jVu9+RoK__9e+s@Ry`!^0eaXz)I(B3Z#Hp z4Q;<-&%J4*4?{_DFSy&4ae@>Tg)uY5#EMta8ve-R(sS zYu~@N{&8fRNrIP}D|Z0DF6{*Nh%)lcm3dTT7UOjskOTId0(KP z{R6dCZ+|#AMs`6uV#9Pw<~3cc`#f$THw4UU(q-dje>@sjMVO-%_n%l8u}&{M9?yLG zqU0O%(U!}|2N_|u9V2`(WA#rwRie@CfEAXItvUsNie|#}?QP_uEoU~l&C>MJdafw!17N`;=9FT^)Zvwi%)SCnBtH<3o1X~`wN zE2?N13u2hlkfNGOr1Ez*A4YWQH0TJrcrEJwG(t0T?u&H-xk6=it_{h(dE?s@H=n&i zd&{6%p+Umj2Hx)mim*sXh+x4QK=Jmcu@m(H@kNJU8=1!8CUr${Teb8C` zoO+J$Dx$BZLs$Dpz1^y3R(z(B=r1)I(<`997nvUsAQsy(b$S{d5#|-0tF82vz?rIb zcsh}JN>Q16tbk_on@gEEyTjA(F36qEY4Tt`#I~P7^Ie!Pu^H31aLeIi(7U++nRub{ zAMy*?2C7PQjG}j$N1Am5)$>`_M3L@p25IDSU-jDyE2nH4qb74nyI~QM)?VicYjOi| zj+WWy*Mz_DSvTJ)3`{8a$yq*8tbKiRFoqHEl z)wimvtGlafrfX*QH!v`;N5Q+V?a0c1Jazg#b1(W@M1eiC{f60G**X6&kJNTI{YNp!i zQ%)TwF>P?%@6EW)*@=Y)yt>Pd+bOpB30`29pbdSrX?f%MMT6=3S!hKCng|?m@IQ7( zN(+)|Z#Q4-MBwhdvUv|PUpH>Ey{7mNJ+!SlA6YLq9y=dj-?I+|4!NhfA2(kAK6bIQ zv&RxDE8oItIO)YUU0E3tcFo=0zu(mBDBF0;3l>|fHR;3QmSlzYzCTH=$Lh>W?-oWo zW))Gglf_o;3zQNIzE%`wPf6BGB$InoH7@uV$;u*k?V0&xsSbzmro0wTYJ_?-E*ClO z3+SA_&UQO?PYXmO-RD>D`z~uO=v{`WBX&$xSC#vg3<)<9sN4!^0#XqLdY4nj zhx`0AJhvAqm!1In>Ob8jE3C4_q=yyoWL`Krx-P3;tm|0EIW`5dfNRyj`)P)*OW(-h zx}!s>?v&x(xg;*1^WDwGSKW^nyOru?#Wk}|Tb-`iYm1!AQJ#gAm+Nt#7uWatfzie; z{m)-qKH5iSWl4?R>Y7T*CnlPw={yo!)9-Zmw1vR(6bwd(rp8ljvgSFi1)t<$#B1l? z9kj0G9xJ6gl*hmRp9a16d+EbVnOHu!@0=)V?qxVx{kyGJY&BAui(}DnJ{P;c$%90f zD_c&qHX^^%xE`FX)=Spj3YW?t5$_wB$z}0J{h@NS^M*tOjB{-Rn`-^|y)Y4ZkuR^m zKXcRy636-d>{SbENhHfS*H2w9hSN=%^?@A1-?ee@v)aZ(z;V}>k zzzvAq2+a%@mSmKIWDy$LfGu!NFi0>?1Dm4^xh4OG>MAoCmjCIPQ98HllYe<-0067L zIT?I+|CwKQ6n@!Xj;R+5=gp7ne&a&ik;0ggJ+OmYTcSw&cbt7O&b@6iIRACu3k&7Z zNxzQHqS%JMR&!~ticTZqw?I;ktkpm+$3;JTBUbb1bbJ(c#A5UD`o3=t`^hOI-d5%gwSyl?AdqrT-fE>>FR)%)Rfjz$-R)xZWywbpgt^i7EG@MGgHc{9+=oAGh{ z+``IVoTKBe*O*aAyJmy=>V=|XFL3?(e)lz#o7N^#BU1RUkNon6RBH=iGf+ix<-T~Y%(@KmSq;_$EtS4oz^!8{mfw* zXwz&)U$f zIrN-JV=tN^>}txCw$a!2zIN|u*=8~5q2%V>bf0p0XIc~3jMvoQCZnfIA;-o7t;QG4e$k6Cxb3JIxzY{pzGl`Dn zA1eR+iAjfF0+<(Y&8_yn9_bxC04`v+g&l^MG0p=?*eQsT&5_Io#e{zV(ZW?=AB!zF zH-Tu@#l!KQ_^O%LZBR=-i+=mdt``r!%ngPOI9S$@7CxSquSDng-C}W(K|YJ_%mYz* z0(CEFIw$;1SF;bjf9P9?!oG@Pq-DV3mDQStHS68ZeM`D6Wh3r;E(6#}RSjUN#!9hq z|%Moh6=T>}DC_J$?7^JA%92d?Hsl;H-mk_bSyv__IK_|HnOng>p5x zBMnM}#hHr3!JF#+xGoUumz&PaR%UATh}(8A+!_Z-|A3$gq4yGVX|2c_nZPLtMzMvO zgPZKxrt%w|NaAKF07+!2mYj>u>CB2bn#1(o4I>JGt?TVA&Yj8p3@Joq)tWT)Jd}fh zI@}wvEcJZbeeD}AH7urj30R^O2|2N;S30-Z?Pm5<1>ONLi?s;jwYo#yG3J*J~d3E(1ik@m&7MU+A;To+5-p1OVqy1KY!;RseH7|zQ zZFyOX50-GAV3Nf(<{iRT@Q+7a)0zm=cUCWZA+zQm@&%?$)*phPqGCDL?yke&tY2wW z1=bvRJM4uC1;F%-FZf=)=*?0qHj=sK>TJAQ( z)~pu_I>HftMNAJ4A^Q>D!(xAU^orV#Fs^}ex^^;t5+o7iC&Ty-e})BtqW|JLy4XBE z-Pn`I*s7}!S<#{8KnmfYiNw4BVTDw6(smMNiLbIQ%#I2=O8>8{ho2jDdpcv+ZcQ%m zG9I`<381ao*IDh~d7mSXf(=vKwmV_a^aQf2qoV$GAHNr+tqS62ANKWosOT_8wWw>| zR;A9=OG2Cy*@7XoXHd26XLsBSWNpV9L;V?zzmcM0-%lWNhEjXTr=id44U|F{dYu09 zdWmK=;DV{OR%8E-FestN<;so^pPz^5+y(M1VLXNxNZKlDJ<#WQIBtv^q(99VNGC^G z-1*RZX;z3K(LDbrHiyd#GgcQfxDYsPzr(D{{l4n>fXgYZzHQsO{guh{P@nsB)e*9a z9PORB8PPylZF{1k2S+m?S~CDyGvHn`V1C@caU1Id@atN~Z``1Yd@KGm->U#JNqrH< z-RU!RNpoy^e4^hpbddd!w?3gDn!c3?FW|t0G!$peJ!IIy5IFAUdU(i=G?kgXiWj+f z)GtCPZt@&gQpCl*zRy3iP^a*g&2BT2%C2fLlWr0a8Ep5{ zN|tgd>=Uk#aWdiu@d;#-1=_`E2lrg8D zbZ=rd9vd`T+S#RVDu}$XmcCs=Q9uFU`xl3=9u^4Zx#)Ag^g zfjjWpc{8jlf8z+x?zuVT(R@tN>}PbTFkgyLo9=a)kCe$cdK68)YNvtNz%RV(QMV_> zuUW;h$t#QTDWa4$Bzk+T)*U7d5ai{ZysY#B9ZJ>HJ7VnU+zoq&(`2>nto4PGMx~sr z%vJDA7=aW59({(drs3W^9R&iqKd}M3(GB^&n3e)T1CDk15p%uT+^eM3DG3ClSt$FG zasIHdEM5Y=v?UQlCq_VS1D(a}X!a^gqGZ*3TWQtlTD3+6#BxhXl(PR#L|An>O~b0u z9cQZaRuuwV%*&{uE9F7>;jEU4Q59jZ+68N5WJc?VWF2Zm z(G-R7!;jOTSdDV6k`UEKGT8r3>~SE^DkcEcXi6xqsQ@j2bt-lF_^JyKdZrH}$l(uO z(5-)cBZ6G{Syt%S5d6-M+2VNPc!~WXvRJ8T$(XSM(biNp($~Sr)IEo6`~-Z;QNJ*n z-5mnBq8_C_p^=$08--Fj;I9 zMgo4!A59txkA||;z1aezcnPmaNkB9;1-I7R));BgI;GKfVPDEjk_jn9mRR(`t3InS z4M$JDA_E7{>dG)AF~(rzV*G16E4)La982pfQ~HhbfE)`UU;t3~iH&pc+T11*IJ@#~ zIKPrQMnYK`KQ_6D3xF)^fPX%rAqeP9q8ZLF?rXvs44AH=pz!!EB69;5lDdbE$UI7l z4Vn$x09mYkxFp~jErAb$gd*g7@N|z@(jKd2gd{QgjuQ?y12q|mHv`cy`M;(@U`s(< z_*JWUh15-}5q^S*<9Fj9-`PUokQx; zi&E=yBD}b6q7Ub@)bK`d0bKI90IDEj&*O@ToM~S;a>u7nkkgD9D^CfUDY3E@ir>*{w`W!3op6k9jslKcJe^pjLRQ`VaO5!B%yupQ_sV2_-9|W_a$1tnf z-<7*DE2NT+A^-nD;6#k!!K$Cu-FZmJr^~AoyG_HDbl`v|agyfVm_n)i{pCNFfv(`e z3PB^Un;G@eVSz(yXXm*;3G$Z)vSI~U!KnP509oOHtRQKssb_C^Sk(S5fyP8A=_mq? z`TrB3rD|u_-YgZV|E&OZ#8B|4hoG@j6WX5ynQDT(ju+otY0Yl@t>XLJGpDCg>~*4o zvXy#K6LLb{(ffyI`O1`Iq~+S=0V-uRp;HFCtrU26aXEgGdoB4VHf*e9b#k#3AV8CE z%7`&6p@`Nv()R1(=UVALm{xBk<#?F1`{!H9FZppwx>#1(z8kJ(GP>c_BHv+qXC3ku zRL-uStT2Qj-SW4JYYOvCSugh!gyZjJmaYxngoh_8sHmqZsGO%NVb#aZ5pwrjz00M5 z!)KmFhc-Lb6=PRj#zkXs)nd`s_-oYKKC!osIV^2yXOiU)YfO!`-t7PK>nkZFn+^ll)?nB4dIMd`oZNs3lOPPOH zwAeE^Ozu7$CF=w(=I+-#BG70aa z1srf>4eT>?0?*QzZKfh*Mav&U=nHYbNK1%uBou;JV)i@-5-bDaPtg@jbYjD4fKwv? zB@jxb0rCXW@B_()xwMHi{iV|O+yb@m* zR~6N*vOMs5mE(>C?SI;dsQP$*K%vb_YIZ^NXuR521tiukd?f4Bgn z-yQhAMC`hgp$|Hcp^4%rRo!7LlsWQE>E;8F0%xq!>Hg36z!-Ob*y{410RJXd&La6oVuCCJAe=zP^9KgkSTo*=8Y8<@TAb>{8Zv8xguay4nYfXmXT zIzkmbw(n1ds)I8X9p~7d8VRTQh|lcW_r7)E8lvt?xbZ&+DZ5_6nPNN4_jerb{AO^7 zUoy`gZ$<)%Vm-J~5h3Ir0_`W(*LPin;^&fll)fvB%znQmahZXh73RGE^i93(oo=W! zk`1aQ0wN52OP0_hGVvgT?sNPJ#Ul~eh&;@`b(pcID5={z%L~Ig3@6ZE;FbveIBQZQ z7LxStJ|rq!QKCv*ITiOvRGPdWiq?a_LQ8)g5lA*miWdJpR^!JPf*m194#~SSBBMht zp76Ub{6iR$%KR{xl7EIrNF?vDwYEF!YMs2R$Sq}JS7uWIz4^@ieNDG~+KVq#Zf|FOKNlq#6RBF<`g~5bm0* z-#bx$O(7f@&y2Fz)5~9%6nt;1PW(~uDiQ$#2_V4o55$82NyPVLebQ2ByJM#`pV?NO zpchV($v_Z}ZeOYVRRzZ0!ybL>)8=MeKSH4{^T>L#`tB z)tpTyy};c1fEOsCjRL1oP4seL;l0uVn(#LOziFp#j~HjX(B;+UvFUtUCGvHR%^OP4 zOJCSlLFJ4As7!N;29-1H6dr7gAcX=*!TL|}ErDYxVfuE;WT1hZ#57-G07-)c#;;BT zA;{sHk z@g{{%Z(k=hIH3avx`YMaebteBjIE2GR*&0_hftCkJ#621kOZeL+Iw+rEp1KmhJf*M zK}!7#J=h`9O$MBps~q2YYJ-&OfxcYsCG?IJ2=`;L+8*W|;7AnTNIy0bZKxwTmHlyo z+tI4|w*8Wh!CBd{Ly?bRo~QF?zVBIK)~X6eE?^!4%DV_!t{Mu?y%SolzQSL=xo6hO z5&en+al_!14#d3jp>1nAiJ^V*3_hV5EnY>pCwDW{s<1mekFVx_57MSE;0ze_tlO9c z&BppSo~t6hit5F}=#9`8R7g3_c@vNSSZ8c5-r0L_YE0f&_oP3sY^bWD9G^87A0D0N znY!1!nOou!oCFXYzUWXBsB=5|tz8$|r0`i3>lE+YpLe;BrtFVRC+VHKF2+H(cZEev zU;4Wsb}((KFRKcw0CU$+E=oPX?7*=l7-VHar>AxK>VDcEwPC31@~$&UK?x<|Z5lxci{p!(Qo!-;T%`3yu&^ z8h9buPI``_?CLyREh;6edz z1V@BHtn^x+D+OaGe&&O+{nD7R8!YZX)u^!AZR2jjN^fs@T0aC8Y&Co>N?Kk0b{MH< zNG$y?l!D_f^k@0iQ9G<%WCAwGXpQ*Lulvu;+4`ZLS30*dDaw+haj^FIB-?PVbF?PEUe#N4SDEZeGTb=ywhP$1o(@@6bsx74(pGDtPnr^t)N8u1oosjxX9&wZhqcc@e1@zS~_hnH#WCQeO-B$Q>8BBGz;2*}uNB3{Y=Wrh0^w zJKzx2kqv6RJKpp`E-Eu&TwqNlq<4Sp^^@Xnj%<1}tZBv6J928}c-C)%^vQ|-8Ysqp zA)QQX(^N^$VMA{txt`NRFfOw$(VXv_?(?PiGG*N%+WQ%2Ykc~qQ%73nNb4m1sSc@i ziP>TuJ?xcA&*=XAOVs*t9qbz60h$g+PdT*SBA@oXC_75o`F7O^WU3d>cYUc_jpRom z)p6SqWA|Bt6twmz7(7eis4nM>Jpt}e+4X8WSk1;Wi1ur!FIabjt+s9&;_xvTA79>K z8WkT>obkF+*6-QRl*Z+_0N%LJ3{0pBwLl!Opjr7Ru`kDV%ec9gs|c<;HuNpI;)U-W zaA*DNxgV$v!#$_QS1;%ttr%0(jQ;l?SDL=`(7>;tr~UyS7(Gx1F+bg-Yn1ZlUcI*q zJ<(squX`N=c{S(uci$^(hEht&Rx&lHVB|e#aeeZz@4R> zj+fi!O_(i(Dm5LH~2g|F3HSJPp?VgUF`WBLv8lrx=}L*)if? zT2=lo!A_`h`+t0U2sR1nC}%hkbx{ws1!R5Bhkc#Z=FL%Kh1rFCT+mfyH{sN z(OqnD9#U1G)Nr)uS4C!JsO)xLsu*NVVbErATAeY87|th!#cH`FX}c%s(!8^nbxruF z7?ftvZEGoYFn`%SX2qP=@?4#e#!JU#$P!qvUoBLp&%#N=|E=hDzV$bB&Axd|^KE~I zTvR^7PNCEC=%6j5x*J8RuU!Ct+{aL`>#7KgJV37>+Ah%NBl5RZKI(1`nZYS8Kb?12 z6g+>M*`45DLJ~WXqIF=WUsyl&R*-gFMpq)Opy+0F6l(Lp`x7q2*ILhf&tBxq7ub!m zMp*!ubqRwistUitz0e}${{^`Dp>7YLOd0Gz;mBF-@Yv*ax4JzF)|G|)fBM+V-}`rH zg;=$YoTj>$BKVcl;BJR!HTU6m2W@Vy(KIDiQt9e#B;=;_;KBIN$9^aQ-jn!VJah8} z+oGF)ps_2E#9~CK<4;RUBCI`v6gKsnQ zbOPfx1k)17$Bs8JN|^NRiP5n3RO74|Y<;^!qQ|s#1qI*liTAB12f_N+f(Y~SMufaO z2Sd}1bgsV}TEl~zEAD6wI;vPxSUNZJ3BpQ1tn2ueVj#rq1{FmW?)Qj zh=XuGgUHuJoRncNEO34YLa`3o=c)-*{YV>kIafT)J_0%_v6S=GBVM^2eycxz;S!%r zGn>M}A+(?9KvXkYz8zl?!Q&$1eW@4Iphr3GbBPc`!%vE!K7Cf|UvMGOFd^OC7&HCl zLcJ;<76$$LvLw%^++b0hzdylc+~f6SYugu+PAewe-0mCP4qn#g+a;XC_)_0PgFM() z((}Y7!Gln+k76gqbFxILC*?Q?fgwU+-959tVnT!IOnIJGeYx->np5|i>(Hjee36Yar2Ma zG_R_!Z=zi0Y))yDl{c~2xz$Ar5Ue~;U$H`#y)-`ommK?d_|f?p-MYv0EB2!x43AXNBXqXs5?REm6maCiho8xmq5thj4WG(;Vx_E zf+`m5t(@pC^k1B_uO~NWf8vgvA$nt9I~M^3~j8u z2s_vr+`TO*Dj<;03X7Iew#>=;#qmC$dn9bU7$D;6a#zs;I1y>BgJc#~DC=JFgNEpF z*sgv&AR>Fqr!4jivp~RVGj7l7kK|YH%r1iygn1TaT30$8$U1%e4Q+1=rl#EVmot18oZ#DdCjw8@O4E)j(G>82w^|;*cj!+ zUvpc-`JZ4%SL(`J3Y@nj8hBV4wKlv8 zIhOS-kvoPSB&fg$#T3sNFfDUZFBu*%r68HAc@*5gxS;vyAJBcVr4&XH3~g;Aw47en z9H;{q&H=c#+A!tbjRSYFAPM~9P%rgxtQilaL#jk-8JD?JcW^z^q>We8^T6syCdt&H zXC#lC!3ip*2@#T4eLZCS(gZV7yBNNChQt*4wuT_W@|6Mw|%S9O~(Eyvr9-izgUdabWVYIxL0)4QjB1?VWV-lOKnW~=gU z%ZC1mi>)`|kiF(73_KKO!mxR{qkc$^ z0J5Z`1JK-OI0@F&whU%3x`0<+(aQZ}fvHixW=;(iazC2x=XH~y3qid@-$?*t<`%m2 z=bI0Zyl`TTp%@|a_p|jeB$UZj*b{D3^;k_<2(+OXp*GB#8g9j%HJb`6LK=qH=c9d6 zoezDkB8E|Y2%?5RApZ>YM8~l^s>}H`b@rSqY}eI5TvrX%KCqZYd-QT9Z*zE$=6z6@6kO zaRpsPV+-+}iTQ4MUJayS$PPc|T*SR*{Eao$se*>)N-QLASwjA4MiqbMRqm8RB~#0|GueU4363gCUqqMY)F=nF=rh@5BDo#e1sSD3K z)#B2cLqzfQSU9&4nGUkf3MW`n~oW5p%0#VyfE;~>BZDyK-2iJXvfi*p6 zq@fIhBW?)!-$+ze2#Gi+$m|PMur+KL^hJ!jk zA{`2g8Wko&YmDrDr2x^i#Fe2&93dF2N#B4{qNEBFG%ZLtXi)P0Mpr7O?bXPz><`#0 zaK$~tePbSfsEV6S?0sWTy0ry+6a|&3iw3hi@!*7gW|xh>(NZV|Yt}c`dBq7HDpMZ~=KF$F3JGSb+|+2p z!R_USpF-1)-|7(})3BF#@ba){d33M6zi1sk8x~H4OFM+zYH$Vc-M{AI`!72T)VBQb zfJk(tt4J(r`KHb!AE1O1lEgyGFo-dZtT5J9qr?ym>f*?nSVvotIKHc-^IIoSX$sYf zsUE1r;L?y%%Y-8!P?1=OvOF7J{Ok`s>B1u&Y-oCqLIhVWzew@PGn=CCgm&QUUTS zjM+89xkdlt=yac}vzNS=Lro$0-|vWj90DS~4-PpI*09woxa!reg9j-i?^@cMQQNf$ z9FT6774QmS@<+{04xQQxw9#|1L??S(37)VBHItil`Jd;lS+xg26J$6TTH z;fGUam4ZK+u+-<3#$0+k;poYM+-!>s;939&GVo7xgOmk_os`4hI97PmnWgUOJMMqJ zwDK1(c#SQ}^7~@C?5p5^lNe{+={9XEjV<0?C$?F6WAQiMF&9Rq@R?%o(6@L@wrD?- zb82;#inSDS*O!{Joi_-687Sn>wXE-!S!a8>n$52EW>F}z!L@a_C>Ki82O<5RvoWGN z5&DW0wz!Hv(NmIIg}A+i)0&P8hNQL0lR`|^ij;B6_@S|zhUTli9m$DmPb1=`>Yq{I z%FYmaaP0y%`lJbmTn4Da?U8J(1{!uQzawAh*K0ky+lbfMRSx;xt=uyWneMEhp$?|Hc z%i91*a;-<`K0H~=!zgXI9iv=6TFYZEZOBNHPd;7Cd#{>{nYON4Y;1kEO+mOgbv~Z4 zRi}uTBlqcKm^^p(Qi(%4o!by-E;(@sVK_f=l)wirK98N?38go=s+X@Kxoey0sYKj+ zq|YK3(OPNZwpR=?kn{hMZm)24fz>VJ?N&@du{oJLkt6R^0-G0 z#Km&Z%6J(|7aqNJ)7Ph@X)5fN3R}-R3UGn+ZEVe1kJQJDZ4KpF@=gu6;EO-?z~&mV z+WTIpwr$Qk^r^cQSlfQDPra)8cl=0kZM>yM@taVevtskJU*GjF8^E#}+bH774SZ3P zOT7vp=mD72l?WtFqD=jl4k~bUnsbO$G1!;@jFE04Wq5)`c+9&9A1e} zy}GSRJ&;RD!fw<~x?MKD4Ctk-N^=jhXnKLHpyPe?{4`RF;E;FMU>HfqzvbUt`hDE< zDc1g(Jcp0sQRigO|Fk6?2<+>#zhWP+tU za=6aJGUAyk+};(ni#R^A{pZ_rQKUA|{yMLD!1<1v!Pj{avj!TtD>^+q9K)vbc2ws4 z=7_`~aF^`qvgF!JwI1~s|1ba+?`WzBGv&iFH-EtVyC|k)=V|~TyWBsyWwc{R!{4d` zNJE5$RnrTCa7Q$`+zi`|Ll7dvDlD7(yFBg$-_Xo3N`eA+*3$(p>|z@ALD$g}M^db7 zmoTytM<9n1v+D^g?HqI?TS>qel)_L5ZrG&_zlL-t%LOqyx1^h@r?j`V9T-oaL~Lbw z$SQQ>z}BUod7PnT=9_r#xIuv3#Z`Om0T;T!ghBfF{v`5PLj?F;a?7%xJ6I=oRjmZn z%>s!R+B`h@F3HmqqU042mMuUF7vOgvF9_V{i1{ zAo>fXvI@P3s#b`tI!#4eYfRhfaT?oW(g;D?m6_ypS z!cu8{7TOShwa%MCV78~)ZfEzaC&B&6cc6{XV1Uz3WbcFR;UJN^jN5=~CqMB7a$~DQ z^FvH96X(Fe5T>0ZoRerdJEpIh2$yr;50nf9hy6}k;)yIqOGQeLOSz-aDa_xml`4NW z5XRh#ptit%Zq|}z{idbL--4`L87W}<3@ubEeAmUE3JZLwntWY;!fYFBcl6ZWk=Es)zKp?L@dbZ*xETRT7!#P4_{p%jc}5 z645-cOSm+sd;7;ioj7Uyunayh)}O=Xq3R%rps4gy*)@TzMYNvxNu=P5T?FtdzpZ8b zrU4Kiz8qxQ;EnV#|7&sE@=26>S+HOjYoK#)SUAITgFMUBjjmEo+RbuqBe9MsrdQs4 zE)Pe{gBQzdFCpF4T%35VY|&3@-qbAdVC6zLor5=zZTRD(p=ff7a?)Y``B%}o45dG3 zqX$kvD@T%?0HQ(#%+bm1nH6zsYnfROEk74F%qEhXcp*Cu22o`#t3Zl^Ddq1bjptkV z{Tb6L>ufw)KjJ+6wXq~et4=9zuPKMt+wlZ4paj9vIyJBq~B(hBzMm=`sXw5+k4Yr3<5 zU`KNrWkvPyr0y&Q(^zFKV?jU4yfgg50;Jxg$-S}@H({LS*e2nA&OVDJ`|O*Bp((B( zvQ<6Lausi#!7S|#&4a>^@Bcu;xTN3@s(QS~jgr^dcojN8h*|iJU4Bf zAVDcmvm3FTi=f>9vg`k6XX~;9q=Yw72gCnsYqHy_a}h$gVysE0}U#EUg^mP$ugBwa@07jIMs&_^nVS1hj?fHGhVFQ*Cx8+4MEUAk6e^p6YKj7J&xu-*3VcEyesDCQopmaihQ5W6c$s9bt(;SJW(9DcC#m#bCSxo#YqPo?> zuVMKefk{dLXorXm6FdIF;YGp`nd7 zJ^VN0By=d9C3Pdi$Cr+;AII84xFUE|cmFZ3ugcsB0hB2Y`ZN;v9-$R~vFxCG; ztE3Xx+SFbJmD)r1$-20I1^L=I7)p9XqnSYAydmfg8|ssNv$u+wz9ke7S=~vF3ZYc` z=c1aMKl4A%4|mZ2`Ph5)jxFdrvmYNWeo6S}M9XMLVz96NX-Axb5+Doex`e6yh7I!C z44U%Ke{xCVsrFyjpM7P?|6FYteR9#9A#fhHc-eYuzBn+Y4nuR_%obXZQhQ|2qUUtW zfVaote$I><)B@~Yq(A@ENc#v^e7FaBLdtiN3KX~3=yZnJwDTBujN}5$+i`Up2ddH# zZcxc$E-x-w-v)lkS2hja63T;J!;%lKF7lv_3(k@e9^v66?BUKQAl+<45`@c77p3h% zR;sgWhZi$tBfYGM|Djj}oh3vl=}`%DXL7k{oJG>{b7!`>?8#NqQem!8EJ`D~RPx{^ z7>7^mxfn0Fv5Y$ml{4EI6qqEsjKp8=si1B8IEHzi zp}8W-#*x@@!DMDo~hWv^v_c;7d<;m0^qT@YKxAEES00(=+GlLX5m6sqN+t4??mvx(5rUWs&%6{SYX8Y)z>KHMHbzA#; z8hVG*bi|iYJ?Pzehr?3Z`-Y*La~KcXyEw1!yr2kg6E{0efu?P2ricHU1!_2Q9)iqf z2(AH-gx%I+G;%Y~}dD9aceZ<~t?L^?-@uNr@K6Fyq)+r>lRU?m6?~IJA z?rDuof4pQrcAD=Kvi8f7%*nu#x-NC<1_A6B<9F}`0Zvw=ftOoQgcq359<|g{?{r&x z7t6mHy<+)yov8lwuJgjc$VU7ZOpB<>?m41q`L(j*)7NyT?B@B&uLmR(R9%C zp>jw_vh^6Uz}Bt5`s!X=TmzAv)sJ-@3iPmr7>DknAdU*%-&huf)CNx7B6dE|i_Hm2_pvaynwJGzrSR(&0 zhUjZS@#$$$QibVGEpc2oNGa>kj<6iPsvl$1ZetNoiEK(ySbToS@R0i)eakOl2}zJp zt$^0-$oNw~Gk4Ij#saPsMc0QsVaf0LDc&+*vr=g!* z^$pFW0G3W%XNO;~=amE9Z(N*R9?$IXhPF|s;US!4!L70}8$~Z`!A#AQza?Ng8Hgur zok1m}07$yj#&S{ww@&^u2o0_&->h`mfSkwmo85^fqI*FOH$DhvdG4CZC}H4S8Oaai zP}KFUD22b`RMxdE`E3W@83HMV80NBTBr^YVnbYsR~-uLZTr zBIUPdgkcv7X04Yb6lOel^xXKHMMGaNVJtdg@nmBPf*9MR+!{)mXO>uOs>;_@=(~z# zc-clJ+qIMA|7sV3wm7k=?$yU+EpJrLvbnVOv5Cb-y>C5rPEqpQL+bTCmmY7=JQM0c zRjExhE7DsieO9a`EYB4z_Ka29RO~;eyw@@-8`{L!(d}!07&xs=>nF$sCeKG$7x^ZQpg0Je~=N zi?@yO(>}Zf;lIj~2|4!fB1&tEUPa_g2_x{1%DX+q|>|X!>mH zkYS;}=hu_^w}USkLkEBJEsqT@6L!PRaTQ(A@3+-ubrwV~C%Kp7s`$YV%*xlgUxn+I zHkV{SjPIZ1<&`aDr~ODo!zm#HMbE^}}!(yIPoXlf#C_fh+ zpJxm(FSN^=?J3qM*cjLAxd+HSM0irJ%& zLQE)FN#@S7ZDyyl@co=1m_;T-gr`N^!Q(8ni=M}i<%7d-`|*S)YiEf{3Z0*^&Ni;% z7OJkF8q21XiSA|BHC5+hlos`t4)(M;Z%_>uaCPM@*~o9D6q8k>tz#!S7ISZrDyy&I z6qD5wyTPh;Ow z-;_yR;{`MOQg$RL*mF#Ci!m6@#())1cVMn^OB$1zV6Jao^V4t!hpMY7uraCG{lJ3$MZM30;3(zm>ym83XGY`u~*fI(^o~gIC;PXk(w`oO{xUfDA4llp< zZQKg7?0bBzDlhu|fUBQ=-<3S!1+}mW-sE5V$-p^HziJsp$%AgvWFLom19adWlx74#q@dcr}wIS;REyH3>o_#PWas8toPb$!=PWb#3U#zyv&=eqB-Et@pa56Uu68k1Pi@wOusL`T7Tbi;B< zezP{dglX#6=XjA1@B$w8Q4+xiEZoKb3W=`wUtf9{8R}YNZ+oVOPU$gtw)ozwksXL zH7vJks~~V0VWW?H!MT#BL~Oj&t7NAvl2(oD_c3Y`&@D~_VzRvD)t&t8Z0yWziAEyT z1CSb0x1nAwDL&a{K42(58)ZIDQ{R?ZpYa0pYsFtfr6N0Przb8HSXmtq(@}c`6ewO9$;ymFG6^g*y6P8Yq5T7!J^?{ctlQ9~4lOtd&<&I#W*iwhnECiW6P( zKmwzpU;6%qOX7$Y3VPm*hW2q)3UG2E73ot|2mA6Ywe|pwR(>`L1SkY|_lSRp<+89m zq`2QP)?054gZ3KmeoD9gSiiEho%SKCwzFka`zX?|)6bKav5=s3{`Sz-+IWlD>e0ym zo|Tny_XsxC;tySF9H%b=9vbcoHB}ZdDEJte4l=9ea$VhUU{eZc{|)5QwF z9B2s-GC!5FRJMUW*M0%dS{M67?hLK;$_##!D=xa ztc*T9A>t>I3A_OOi*8Qv40MkSjgW4fl`Hjmgs54In%RTqW-uHp)aRC9^NvOc+>HOH zvG0It;_J6134|I-Kw79$1O!73NDWe@HxW=eLIi>!MWhKtDN?s{vnvgVxOoHLpI+k5|JGUv=y>>dK&?wonakL5vmIK>Vb zL|ep@iIVa4`7$Y-ZC!(=6TdH#9zt2!+%7Y?2o6tbdpIV8w^D1(u4}fqL;O(wAZ3|X zB+lbbZXg`BT6?mYtIu)Uv*Kn;61*}2z88k;raXc2e%ANg&<}}$?>Q#7Z=zdV?dHdq z-tF*+q(pkvo=}N6KDxy6UP<9|(!+jUkn}l){C=jv#g#Yb#?q%*PWz9fPP4$O_4OA9 z6tb#!011ni@F!d-$+P)U5cjJ{f$GsO%H%*T&lD4pz=<+4_k`70f@%fxoEV zU-NljY^;{c(roYjxsK2>nP=?* z#O2j71|av&5*ofXEErnrcKbIJb4n!_Ai+9#IeO#=5jj6qL>05;?kQ-Kbr%`H-6>TKFK~IBlPzN#{wq zOgSaz(wp1j2mW=(N00zECG*iB6a5Va5O2Ld!}?NiMoz4K~G{taU{`uAU-9wYqgx4 ztYmkorb!Pi?tBs_CbBe{XhyV)) z&=-+1rz{1kM>Pzczm4lA(-{TA%vvp(SQ7LjZ!&%$E&cKSrFJVIv0W z4eq|>MEs`A)P!t|<2MN&*RnJ6sThApYt-9EE0mQ*7o+n!fKWcU8=T=Df5IdUZyr8d ziq1XjvJkf6Y|ONHTcVa1U{>#(6{FI2-m87Y^CD5rR>jF?^TX`}h6iq=x-`3wSZKSL8=HQTs@H03`%*YThhpr&Xl;j z=Nd6ZTA(8~!I$>7f$>gIMZw;H_AKM3Q%cJdacg`20m|-|QCaNCtl6oH+sfh5=$TrY+Wsfv-X1ol)xLaH{-&dE4K~(% z#mKF-kA}mX`sUqLO({KuL3v5JK6gW|J>xU@qK<6^Wb~W%C3mHA>&BY83eAK#2je-X zS6!^nEy;LPL#-2D!3=$D^x90ImOeEpsFwej zI0K^3)i@%Pt#f1P@s`T&N@d-R%5eKVu{ucS z#?f>~qeLdgc2Q#N688=LmfB5wAT^Dxy*-;>ajOwtfbh@jG z%)!8=lHl1Hm-B%1?J58`wY{nnTJQOVq$tF_5 zc|gIhKg${-;o0`m)C!Ehr%@?yfbb;5L!m#&_dRKW2zw_cd#7ah9v80L3D?bNzb1z3 zhT^)-Fi+jCRD9xFy{^!h4&S?r>y`_lR^G_fM-F!Qsx^`8-qrd0J=TJ7-IDfB&(u2) zG^}2~{|&};yUu=n(UIkryi2#sgnJsc&u&tD_SiGL+wdG@O&U|=eWq3TObP41zga9^XFtr}7NxP&&foT0BaAybK24e! zd6+Rb4-;ix3Z@t!XLzVb-{T?awxbM4mtKl@YT>jTDm;^LE9v*XxK zSO4zs54=P=hR-@?!Y#*_jn|TSd-&DTHNxnkmFJKn3m=xd`5&w$FMr~1d!`X~H9Fpx z&9CyAo1Sp6_?NEW6y1^vme~3|p{0?uKuUWjTKl!kkl070@^IR$d-84uZCH|er%cV# zP+B0by%WrS?RQ8l!>*8Of7|I<4O{{pk!9iC$}Gxx`E&mol_{Uj4s{j za9!vE-|AyNrBi6uihjsT_?{@P`x>shkW`k^jc-RJk^Lzpb$`wKdGa;Ddfcd~Dk%a_ zlxKP1$AD=?iR`j{n^v_9A zEP(4yI)z4)s-$!S?VA1+tFMW8{$&67n-s|$D>^4owGI7+jvyJx>M;Kw zjK;;4=yN#zX?#RRn~)%_sDSFpi}7jDU@MN|89F)Ac=NB`!)WM*yw#Bp5C-K{b_*;_K~XbY`=gO z1~7QuLe;J=?$WChXwsU#GKs?MxNa9*xAQ5qHYB!>LvS3dBbt4vAg%19wegsY$c5TW zO%aV+o49;uL-l<}YjQ_xKju!rYs3_$ly{ezec_69gRzr$;bZTij6)atQz!aUD1GAy zrgUb{oNl3J$VTx|Q^dPksVSKT2%VPzMhVlAIk1A@X!vekaPv?x{oaae87aqH7-CCt{~| zYr0NtrhV8(XN%5@5~GyVvE}03%)W3LGe5j?#NJ>%e<1@|C`GrqthgqW*n#Uw|KR3L z$-Zz(w|(hv73LM{p&VIgY~*QHKsYG z!?t(DZg@pCt)sQKeVA~R25YeXPP73ZD}s-Mz>nT^*mkTewXdiqb#P00PY}Wexd;j1 z*c!&0FRbp9s5bEKa^aV5&P!MFGFnm$ra%p860N`ETBwSVXO=V7k>d4uH9xMK#7y2*{U4;NNj|B0_+IEIz83+Pv!I#A_;GQu zAnlDlh-IMO4-)Ak;d?hp>T&{YK7nR^)ej+^5Nlkw7p{AQR3=@ObnvatnPs6+#qXiU z(z=0llPXc5y_Az2^-kZEpF)_Yg_rEV>i_tnf6idPb~Plnd|&8jPYBnW_K&(?o^Jdk zJAw%+=l+C+#6BQNQ%{;gd)f)YeoZMPc4uFRo>P$ZhTf6F)bICyB@_1`dDoy#jU>|^Ax`4i|ghNiM8JqO57I;BSnMqzRCQ@Y@ga+q?2HxS(IYA>WK_$eHh07Nco%Dr)}7ctiiws=hJ??sMJ#a$g$itN!Oxs6 zz|vs-ntaGr0-a?bqPmfU=_4L_u9(8^2dB`sb^Y`Aa32yNl(=q^qp0l*8SM*Qki?u6 zxA2fy^N`p!QkkTiO7?5A_G`Q$u}?^4(i!baQy5Ca2di2fd|Gj?8IeIciQBK)g~a|m zfgXR=&lpW>NK(%^RF#w4a85El`jY)&#dRjVE=N^S!=c9E-sOafoGpn+#pId3s4=he zG;N7?`tv29%I2aZV#Wf()bmk^DxI%caA$F#0GZ7KVR^22aBPXJn<&x(BICCDan1ir z%Us}|T1LXm@5;5Nxb(_z0^3@lA*r{dxTL+F*!cA9n*DxCQ=ntCm z3b4smiM)RAxva5$p;Xo;V&-cJG->I3K_SNyuMsy@;Wg49U7;O^QE1(j!%xH_W0mkxE&Je!pfmTMi?+jCJ*1m6kECX- z=jRru-rn{|%=E^|dF}H%r-|B@pO|)32!C2}bx%y9U(jOY(W8W8vyWjK)MewSYflhQNI z0lqzNe#h&eUT6SyY>xUG)0|)cZ7e;eJI@mu{8Y0@7bYdc!eM zeYH}X!Y}zQ{_U@4ot~H*=(;WiKEZT4Xwr~Nw|X|-!Q{>5_@es0DSm!ax8y)&-FEw` zXWtSP#K{Xd$gh36?5#;dG-| zQa&km?e-=grJz<8`!%pFoGs~T($HHRe)dkiquXvsQM?bQkzsnQybTj+H* zaa?7u(}=1Ft-J%2m<20wU92S;lT8w=GiViBJ-bhZv|h_s>MT%^79%Mer>OSvy-m!i)vd zS%b7my01190lsTiytyU%^w!|_s}9}T_!y{faWd_Fs|ODI%l)6*I8N9bjuQDLDsibj zOX9OK2RmINI)wDedGhA5APM;Jetq@qj)OH$tHRBi5`X`7+pC^1wu;qq;r{zOtXebQ z?K1~09Pen2oyp^*Un~ner;nQ;A->~WSzkH3Gx`aUDYoYOc%e*bOOu&*fO+IXHtsiv zgB!R%%vh&xEj-}_a0us+dNwXAF?;r5~ zB&w~CCG8T`HpX@s{rV0C=Ffp)wu4JV+rpqH4|zd)4u7KD;^OGs;+_QPV-x1pJ2m@u zzNGMiT7g1y1=ruVxn1STM5Xg|zdM(y3C-Y@9oqvxxxJ?Gc>a7X^ci)cWQE-7FKQXj z>ZhnEiIm=ZlNH0T1$(aNU(B#q-|!@l(ZvhX^*@v5gGVzsJ;q9Qt&VZua6;;Zh~i97 z`1t@&5?>q{nV5K5E0*$#(N&xPdM1brm`v*&no}a?r81dQr@*4H!>u1ss1}L8bgnCo zKfn;?Kc*GT$AwA2F`&!3`WqG=kl(`0E!6!T<4l@tG2z?F^cGbO6JO&*d!4gyKDQZj z-2G~i3*^eMF>y@V)h`8B@vHVk%Ma%jDsI*G z@rjXpSAG)NfZSiU4$~}8v|2g~_ld?UV_D>WCnI*l=SQz`jTm)i>WM&BWe(y;E>y{{ zxVPu};kD+_DcunwumCKTa5;k6yiNE)O<{`dj((8eh=bljnH}UdCYB> zDD(J07~AYj$=yaserTT_+sgke6c+cp>eCN7@X!YLYbM99u7MI^F0QqtrHoK!9GEy#UTD>Y1w zy7juioSggoBWIYcU&Te$(80#Xd(cy+9jo0vc)WXew8zS-KP#9fbJ~OPzES(G&XuW6 zW5ST%0UKGW1Y$4}Ds;iI*1Ugv)O31SKI0=jX-JCe zSg*=^I(1yWML4T8)8{5jaqA%49l06%m(5;ZNQ+F=8h4i#stwp*x{6kRq-iR4R1?Wb zAUei5tbIu6c|YVtVl%h+kuq!ZtDfz_?x;ZyjIH~{>ynR{jigD^tXkHJ-d)wqK&cyR zizgpxUVQvD`jZxMX1kj)7wxFhN!vD|9NW?tl{CMnKeHP?NmL2*{~hsJ1)j#n5@vF^AW)&{C5q4C=Je9^n>^U9yxb5~ z=I8D(>#g7DAw~mA@Yw9ilyT^Q^_%l$U8LOG$T6(8MJNg>uX$rx#E}LG|a!f~o z$!p@OY5j|h(!PC#!u&v^SGz%P)x>;OWwRS6aG85B%)AqA4?eyI|h1=<{ zhwd&1(Q-5b5H(E}!t@}$Tq!hX<8D{z$(ZD7#(V_mQgFQ1Pp0iA|2yBND=vG_-m9;H z{^p`T`jPKKr(dsjA;5^rTjYIYm~rvw@oz8xnwnddIiN&W_tFkt|BxE1dbI@s#G{M$ zS8BnfI&=K(l6pSJbKAKIj24rv{OBR1az`OXFUKAAb`3KjQK`uMOn`VI&# zOqgG=U7zT?zU9pu$6-pD2K8=&q`AJyt)SUPuW%17_7r+44HCAVILdLIFQ2^7xpyJiTtWZQTI+oz9rI!ThVm1O9J>WuvpH%z z>w4Ft=)>LI)$3XfO1PqwggiTGRwaXPLOGrR?&4Qie%=?9YlTj&sIrPnjooh)<+$qJ zxSb^Nc{kTgq%)-Eoj;Ag$=m#UQH>1O+@ET6kE0Z1Mlr>E#8iJx!Y?ej)i z8D^ZLa{D9&`qOC-DHm)?W!)3<>5`lLH?aK!S7JBE7J4*uq!{$CYcMjA)(+ETv6;Ik zcYFGhrm3#z3$TO`jiSw9;aK8S-`e(JwiVq}%ySipTgdo8minM@15Cr~gu<%`GcR%3 zKkyG_%g<7S^$gD<&8;*JOJSS3?D&WBvnH?Wa!4ySzTWuOc1Aflbkx>&X^Q%iKXiXr zmYvMtQLO8fGk!G3& zKF!pT{;fw*+-jo5Dw@0`u}ZixB+#USQ^e!v1G&+4nezLg+>E8dgNplwZ)!Q*AGeVW z*F_vr1jQCUqftA2+BA9l(-g2~32Y;Tgo&WU7c6zJ23mz9Oq1;v9s&rS zAPHuy1OlH-hOUiZrN$#*sBALyjQRml4_ISPIbazhWygZGMVLgBZPi8CP@t0Na}dK! z*iaTfRSVkM`*mbn^%3dhL^2BQiM3+&aC)<3yAFS#AL;QaVPrHKTMYE;2B%*}>Y%X$ zK)+AmbU|dFC`#A2LldB=uHHl|OohJ*L$#1)Rz>tuk!PEV z@>*5wu*t1)TayHr|hS1K1-I}%rGQ!yP}X-Pc|hEK;hpbwlNw9~?aon$ZH_w9X> z1`u*EdAUrog2+2)tQN3l7;GbgoJV5;0D>bZl^j(Fu^<7(QgibG&xryLVPBElqn@qg zR>hIY>m|E)6eu_adJ-b$4jyJI4Nb|{A7#b%}ven8z(qGqY zAUvM4)@#+GLouh?7xzs0X}nYvR9RS~iKD4B6G595OA&h4`AyMgDT88=qnE9_z+cog0C_1`s14l_-i_KwFGh01VYkCQ%bnM~%M>L;VArBHE-?EBrNyAcZ8n7Qh5S zWETRj4dASdKv3buV5nBIvbu0?LWQS?6$v7h(AXTZ zt*Qt`a#THJQVbdFiFE}KJV5`V)vnQ2hPU$F{X$U3Tx4xl)jNUNE z)ObglahWX(fT9z~kO`a1;-?qaN#a&~K_?2OHv z{ot1+OEs+yx6G6zCVM5vn=4Oc{2SdfpftT=$bw~7jNw#O4>uwp*C~i85Lu&eqj}^8 zEuI60DkV$kf#oI9(js=ZU=u^vj4m2;TeaF*M^CFOtjT@GTmzlM`zoemJts%7|VXycK&$ zA;Wcta1O|IPwWIxmSh*C91M+p1(fXoEAk_mMRggP)`7CIk$!GG%-8`0-V~7T1mb4G z79;Q^D!79FlL#isJbu3@PzZ`m_4VAj2x8; zsj&;UG$)PpkI9op`j^KS{-?)#VwnI06bM3#7lxr4$u11{GeFX53ctPWX{IKJd4P7UimD#(P;=>S@5B4MpL8 z)j7(}SB7K%Q`b)VrnLW!uZ*catg40;^ud({G}9#8W9d-TvuFM-Jlzxf8z_5+a*TcXDsq^`FUkcF zzenPOo_dqaBU+PaNR58@a|GT9AU20oB1g4@3D=OcXe=rDB8d_y^FrWBd6%}jY<`Z6 zJT3kqDeM|=hDV{X2|!sV5SkHt3xN*;*q}jW%vd=D-UeXf0V-p{`m^LQwEtxR&G>KG z%Sad+>j)s^VNe?FvF--ZcSLmC9y^jiF-!KExC|h;f+Se5TL^qO*;YjaDfgNHi_ze( z!HP7(1Ll!sz?wd=J1e%8rRLU{ z;x*(ePpmUg_HAV1_hkdM{AWF;9qDU5ikGd-MfDj9x`48VB>m%N!II9z4wDie(vT&O zt^VKWlRN0&W?<;am+K^&=#tQ?{}1~nSq3@kEu_Xe{PjHY-|7-x5n!XCe%5$Q!b4x3 z=tE$%q{Ro(jO$RBkfT5poBs0ufr$%9f(<*2z>`ueClG`hZwW)aAVXJ2{1qq|3KR9CI(zhYvFw`o0|11{Hf7_qVOO&TzlcBM@H za5B79Dv;u@{UrUl-M=U@QYB0~Ed`$z>`>h9Nf)uY(qhF-{ymS)qs1X}-zkB)bi%Im zC&hQVdlJ4=`&J#CagVe*p$XF!IG5@8sKy|Ptlpx?S7knm`t(ilrRI@xOL6{Y6~cj) zMpV<9@M)ip^v^wt!d9!8dB?QVp73eaj&u#{CiP&7qB$^ulrSsm)-tja~uH?P>)B_H-ec6Q zeZ}cHGwBY!-B1(e1I?$nsidMJxE)Xp`|cNLvwc5Tg8LB>@AvNU^6 zr7gtcLK&wI<|Co1oGL6PsjwI#+iuB5K;vTIMzJG~lUj3Lx)T^GNuaDx0NDKYUO>W#WMpRw& zm;Y*yVyA>nRA8p^L6HJW_cKmbZs4Lx!3!UZ`28BHvJ~B}=P`6O1SbDAOU<|WUSraF z?NLQ?CS5sTP5G4A*Bq?q_9tVoO^pw$+9|0{OAZo3Ig(7rIzNjyU6mH7>bWC0b-TXs zj^I{by)j7$&`sdjkIY=CAZsi0%v;gEE4KQ%S$n+$wY|7vwXkR-^by+JELT6XSjcJ0 zA{lB!4LPpVgq(Ug6weoKJ2zh8UjWr?@RKvYG|*#PGUVJ>DdxOrPW0j~6}^wY<8(EaGv zq-(D|PrBUbKX5fW<}0f4=#N^*Y=VQ+9j?Z_&8gu>2dG&#T-^KIQ=@jM-+Ix*w^k1u zL?qfZG_S-zxTO+!Q1$H-!qxG`hVGCQC%Im&H|Db1GRx8T;!Pcu5q6B0Dt$FqRMf$q zLCy1ohA(i#-N?!cVl4<&~TqfC1a-b~w? z=%~0%N~dWBvs_~vhTO`#!}KBOjsMj8bEyDgN4af7g^wvgAaR+rEPa~J*u)x5iluUc z?}~#;2$NYw3o;}gW6bFLc$xB2&-sJzrr1>6tDFw&3l}2kEq;Ig1Oh_RJPf#Qv4q1@ zbe8dEsOqjl-D|JYbp?~YBO}}abI%N;nw5^5H!_0lj&mwx>t0G7 z?OjoUNLH-U_3k^;o!NM*c#1Z;UQO?Tvocx84tx%|AO(W>0G0RESE(J}ZKI9s)PJ0b^-c>q zSj#^7mN{DJd%L^a)8}jGf=QxxmUBoK?)hM^CmYXAd)AM@zU0PBJ zDrdYe=pCQSXftZE9EW~&#evVXmY!uLeYiHp{g;Lrmf!2`Oxy$1$2FHn_HH`IzFR_> zi@y`D=Xr1A=ZWesQ#blwehLQ@WcAHty*`_P3(B*e7<#`^E{afir60vr!|;;`4&V}2 z+)6uQah0(oH%_EY*F%~&x}sg4bT(sr*=V1xYbw4A>Q261bgQcg?82i&-}tk`VqL9* zuR~cr6Rib_M!cAwhB5a%xW3@ZKBjCaZy~}k@luvCzGOf4xBSU%Herye(-5un-W`C? zw@l$|K;4g9dCyT) z@WJiSfFGAfZm3I|M!1^DixZ-@JVbL=YTt8kYtr$W4p_>50?ZETt$cZ4o2%B*F1^}O zqn*n*+Z(z2eMF~vG)A%^TbfQ(&p^r=$R7IiIqo8$yuQ4xzPj0239$1X24h4}!6g!f z3byHwB&ayk*@u}A0!|F=Y*-%WZLu!0Nn}I$4tFWjwxXiN6?|%{>-k4sKX2GcP@22l zezycwwbFXjxg!z=Wj%Vw6BW> zHMV>ekIBi5kEIZ#jHO|vG003S$R?n#%zAf&RKNW zIG#lp_6<7nG1qs-%ts{(!xq+Jg-+R1JK-8r3>0vhYuOKZ3(=WPKPB}Ya)t#RCd0C@ zN2WFv$2EOY>MY=ZdWypWuFoGVZmM!0-3{XvTE8GK({sFY4ButX4=v@0`FKhTVh{RM zi{4#1G8?NawP@6ta(9c+J=SvD3sd-TR6RjvTSPpUTfQC_$F&L2ND_P-7XD%Vd+eXD z)!cWvAjuG@t+CT_lzY=Vm-lVs!eV9?F-g3pE_NE1_Sbjh;TT0iD&yxM`LCrm4=o3V zgRSno#{%Qg(OY0#!TY@8f6V=a*+3LD{@|g!8y)w^EACrD?&0;`V<+{ z6Il_gPVp7hId&9!3ufmu^4|vP0I19OyRS-^i;WBWIuEC>&R4q|JuwK!AF4rqpiee)0KJh zA%487KhNWhi&!2vR=hcQI3D)`%7-W}{8aSK4YP~hKfJx2TT2A^Y+%1LFBA#{N=bum zzAnTOZdNU`#t}0}FTKLtH0tpH2X!=V1hYIJ-YU=!l~k`}dVA?LowxA0@t)d0iv7O; Dl@N76 diff --git a/1ano/2semestre/lsd/pratica01/part4/db/EqCmpDemo.map.qmsg b/1ano/2semestre/lsd/pratica01/part4/db/EqCmpDemo.map.qmsg index cd8b8d3..48ae82d 100644 --- a/1ano/2semestre/lsd/pratica01/part4/db/EqCmpDemo.map.qmsg +++ b/1ano/2semestre/lsd/pratica01/part4/db/EqCmpDemo.map.qmsg @@ -1,13 +1,14 @@ -{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Design Software" 0 -1 1678212348006 ""} -{ "Info" "IQEXE_START_BANNER_PRODUCT" "Analysis & Synthesis Quartus Prime " "Running Quartus Prime Analysis & Synthesis" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 20.1.1 Build 720 11/11/2020 SJ Lite Edition " "Version 20.1.1 Build 720 11/11/2020 SJ Lite Edition" { } { } 0 0 "%1!s!" 0 0 "Design Software" 0 -1 1678212348007 ""} { "Info" "IQEXE_START_BANNER_TIME" "Tue Mar 7 18:05:47 2023 " "Processing started: Tue Mar 7 18:05:47 2023" { } { } 0 0 "Processing started: %1!s!" 0 0 "Design Software" 0 -1 1678212348007 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1678212348007 ""} -{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_map --read_settings_files=on --write_settings_files=off EqCmpDemo -c EqCmpDemo " "Command: quartus_map --read_settings_files=on --write_settings_files=off EqCmpDemo -c EqCmpDemo" { } { } 0 0 "Command: %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1678212348007 ""} -{ "Warning" "WQCU_PARALLEL_USER_SHOULD_SPECIFY_NUM_PROC" "" "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." { } { } 0 18236 "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." 0 0 "Analysis & Synthesis" 0 -1 1678212348170 ""} -{ "Info" "IQCU_PARALLEL_AUTODETECT_MULTIPLE_PROCESSORS" "4 4 " "Parallel compilation is enabled and will use 4 of the 4 processors detected" { } { } 0 20030 "Parallel compilation is enabled and will use %1!i! of the %2!i! processors detected" 0 0 "Analysis & Synthesis" 0 -1 1678212348170 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "EqCmp4.bdf 1 1 " "Found 1 design units, including 1 entities, in source file EqCmp4.bdf" { { "Info" "ISGN_ENTITY_NAME" "1 EqCmp4 " "Found entity 1: EqCmp4" { } { { "EqCmp4.bdf" "" { Schematic "/home/tiagorg/repos/uaveiro-leci/1ano/2semestre/lsd/pratica01/part4/EqCmp4.bdf" { } } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1678212353697 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1678212353697 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "EqCmpDemo.bdf 1 1 " "Found 1 design units, including 1 entities, in source file EqCmpDemo.bdf" { { "Info" "ISGN_ENTITY_NAME" "1 EqCmpDemo " "Found entity 1: EqCmpDemo" { } { { "EqCmpDemo.bdf" "" { Schematic "/home/tiagorg/repos/uaveiro-leci/1ano/2semestre/lsd/pratica01/part4/EqCmpDemo.bdf" { } } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1678212353698 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1678212353698 ""} -{ "Info" "ISGN_START_ELABORATION_TOP" "EqCmpDemo " "Elaborating entity \"EqCmpDemo\" for the top level hierarchy" { } { } 0 12127 "Elaborating entity \"%1!s!\" for the top level hierarchy" 0 0 "Analysis & Synthesis" 0 -1 1678212353772 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "EqCmp4 EqCmp4:inst " "Elaborating entity \"EqCmp4\" for hierarchy \"EqCmp4:inst\"" { } { { "EqCmpDemo.bdf" "inst" { Schematic "/home/tiagorg/repos/uaveiro-leci/1ano/2semestre/lsd/pratica01/part4/EqCmpDemo.bdf" { { 176 472 640 272 "inst" "" } } } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1678212353784 ""} -{ "Info" "ISUTIL_TIMING_DRIVEN_SYNTHESIS_RUNNING" "" "Timing-Driven Synthesis is running" { } { } 0 286030 "Timing-Driven Synthesis is running" 0 0 "Analysis & Synthesis" 0 -1 1678212354268 ""} -{ "Info" "IBPM_HARD_BLOCK_PARTITION_CREATED" "hard_block:auto_generated_inst " "Generating hard_block partition \"hard_block:auto_generated_inst\"" { { "Info" "IBPM_HARD_BLOCK_PARTITION_NODE" "0 0 0 0 0 " "Adding 0 node(s), including 0 DDIO, 0 PLL, 0 transceiver and 0 LCELL" { } { } 0 16011 "Adding %1!d! node(s), including %2!d! DDIO, %3!d! PLL, %4!d! transceiver and %5!d! LCELL" 0 0 "Design Software" 0 -1 1678212354636 ""} } { } 0 16010 "Generating hard_block partition \"%1!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1678212354636 ""} -{ "Info" "ICUT_CUT_TM_SUMMARY" "12 " "Implemented 12 device resources after synthesis - the final resource count might be different" { { "Info" "ICUT_CUT_TM_IPINS" "8 " "Implemented 8 input pins" { } { } 0 21058 "Implemented %1!d! input pins" 0 0 "Design Software" 0 -1 1678212354880 ""} { "Info" "ICUT_CUT_TM_OPINS" "1 " "Implemented 1 output pins" { } { } 0 21059 "Implemented %1!d! output pins" 0 0 "Design Software" 0 -1 1678212354880 ""} { "Info" "ICUT_CUT_TM_LCELLS" "3 " "Implemented 3 logic cells" { } { } 0 21061 "Implemented %1!d! logic cells" 0 0 "Design Software" 0 -1 1678212354880 ""} } { } 0 21057 "Implemented %1!d! device resources after synthesis - the final resource count might be different" 0 0 "Analysis & Synthesis" 0 -1 1678212354880 ""} -{ "Info" "IQEXE_ERROR_COUNT" "Analysis & Synthesis 0 s 1 Quartus Prime " "Quartus Prime Analysis & Synthesis was successful. 0 errors, 1 warning" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "403 " "Peak virtual memory: 403 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Design Software" 0 -1 1678212354885 ""} { "Info" "IQEXE_END_BANNER_TIME" "Tue Mar 7 18:05:54 2023 " "Processing ended: Tue Mar 7 18:05:54 2023" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Design Software" 0 -1 1678212354885 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:07 " "Elapsed time: 00:00:07" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Design Software" 0 -1 1678212354885 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:17 " "Total CPU time (on all processors): 00:00:17" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Design Software" 0 -1 1678212354885 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Analysis & Synthesis" 0 -1 1678212354885 ""} +{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Design Software" 0 -1 1678222495047 ""} +{ "Info" "IQEXE_START_BANNER_PRODUCT" "Analysis & Synthesis Quartus Prime " "Running Quartus Prime Analysis & Synthesis" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 20.1.1 Build 720 11/11/2020 SJ Lite Edition " "Version 20.1.1 Build 720 11/11/2020 SJ Lite Edition" { } { } 0 0 "%1!s!" 0 0 "Design Software" 0 -1 1678222495052 ""} { "Info" "IQEXE_START_BANNER_TIME" "Tue Mar 7 20:54:54 2023 " "Processing started: Tue Mar 7 20:54:54 2023" { } { } 0 0 "Processing started: %1!s!" 0 0 "Design Software" 0 -1 1678222495052 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1678222495052 ""} +{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_map --read_settings_files=on --write_settings_files=off EqCmpDemo -c EqCmpDemo " "Command: quartus_map --read_settings_files=on --write_settings_files=off EqCmpDemo -c EqCmpDemo" { } { } 0 0 "Command: %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1678222495052 ""} +{ "Warning" "WQCU_PARALLEL_USER_SHOULD_SPECIFY_NUM_PROC" "" "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." { } { } 0 18236 "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." 0 0 "Analysis & Synthesis" 0 -1 1678222495248 ""} +{ "Info" "IQCU_PARALLEL_AUTODETECT_MULTIPLE_PROCESSORS" "4 4 " "Parallel compilation is enabled and will use 4 of the 4 processors detected" { } { } 0 20030 "Parallel compilation is enabled and will use %1!i! of the %2!i! processors detected" 0 0 "Analysis & Synthesis" 0 -1 1678222495248 ""} +{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "EqCmp4.bdf 1 1 " "Found 1 design units, including 1 entities, in source file EqCmp4.bdf" { { "Info" "ISGN_ENTITY_NAME" "1 EqCmp4 " "Found entity 1: EqCmp4" { } { { "EqCmp4.bdf" "" { Schematic "/home/tiagorg/repos/uaveiro-leci/1ano/2semestre/lsd/pratica01/part4/EqCmp4.bdf" { } } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1678222501791 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1678222501791 ""} +{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "EqCmpDemo.bdf 1 1 " "Found 1 design units, including 1 entities, in source file EqCmpDemo.bdf" { { "Info" "ISGN_ENTITY_NAME" "1 EqCmpDemo " "Found entity 1: EqCmpDemo" { } { { "EqCmpDemo.bdf" "" { Schematic "/home/tiagorg/repos/uaveiro-leci/1ano/2semestre/lsd/pratica01/part4/EqCmpDemo.bdf" { } } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1678222501792 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1678222501792 ""} +{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "EqCmp8.vhd 2 1 " "Found 2 design units, including 1 entities, in source file EqCmp8.vhd" { { "Info" "ISGN_DESIGN_UNIT_NAME" "1 EqCmp8-Behavioral " "Found design unit 1: EqCmp8-Behavioral" { } { { "EqCmp8.vhd" "" { Text "/home/tiagorg/repos/uaveiro-leci/1ano/2semestre/lsd/pratica01/part4/EqCmp8.vhd" 13 -1 0 } } } 0 12022 "Found design unit %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1678222502146 ""} { "Info" "ISGN_ENTITY_NAME" "1 EqCmp8 " "Found entity 1: EqCmp8" { } { { "EqCmp8.vhd" "" { Text "/home/tiagorg/repos/uaveiro-leci/1ano/2semestre/lsd/pratica01/part4/EqCmp8.vhd" 4 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1678222502146 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1678222502146 ""} +{ "Info" "ISGN_START_ELABORATION_TOP" "EqCmpDemo " "Elaborating entity \"EqCmpDemo\" for the top level hierarchy" { } { } 0 12127 "Elaborating entity \"%1!s!\" for the top level hierarchy" 0 0 "Analysis & Synthesis" 0 -1 1678222502196 ""} +{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "EqCmp4 EqCmp4:inst1 " "Elaborating entity \"EqCmp4\" for hierarchy \"EqCmp4:inst1\"" { } { { "EqCmpDemo.bdf" "inst1" { Schematic "/home/tiagorg/repos/uaveiro-leci/1ano/2semestre/lsd/pratica01/part4/EqCmpDemo.bdf" { { 176 472 640 272 "inst1" "" } } } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1678222502199 ""} +{ "Info" "ISUTIL_TIMING_DRIVEN_SYNTHESIS_RUNNING" "" "Timing-Driven Synthesis is running" { } { } 0 286030 "Timing-Driven Synthesis is running" 0 0 "Analysis & Synthesis" 0 -1 1678222502763 ""} +{ "Info" "IBPM_HARD_BLOCK_PARTITION_CREATED" "hard_block:auto_generated_inst " "Generating hard_block partition \"hard_block:auto_generated_inst\"" { { "Info" "IBPM_HARD_BLOCK_PARTITION_NODE" "0 0 0 0 0 " "Adding 0 node(s), including 0 DDIO, 0 PLL, 0 transceiver and 0 LCELL" { } { } 0 16011 "Adding %1!d! node(s), including %2!d! DDIO, %3!d! PLL, %4!d! transceiver and %5!d! LCELL" 0 0 "Design Software" 0 -1 1678222503335 ""} } { } 0 16010 "Generating hard_block partition \"%1!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1678222503335 ""} +{ "Info" "ICUT_CUT_TM_SUMMARY" "12 " "Implemented 12 device resources after synthesis - the final resource count might be different" { { "Info" "ICUT_CUT_TM_IPINS" "8 " "Implemented 8 input pins" { } { } 0 21058 "Implemented %1!d! input pins" 0 0 "Design Software" 0 -1 1678222503360 ""} { "Info" "ICUT_CUT_TM_OPINS" "1 " "Implemented 1 output pins" { } { } 0 21059 "Implemented %1!d! output pins" 0 0 "Design Software" 0 -1 1678222503360 ""} { "Info" "ICUT_CUT_TM_LCELLS" "3 " "Implemented 3 logic cells" { } { } 0 21061 "Implemented %1!d! logic cells" 0 0 "Design Software" 0 -1 1678222503360 ""} } { } 0 21057 "Implemented %1!d! device resources after synthesis - the final resource count might be different" 0 0 "Analysis & Synthesis" 0 -1 1678222503360 ""} +{ "Info" "IQEXE_ERROR_COUNT" "Analysis & Synthesis 0 s 1 Quartus Prime " "Quartus Prime Analysis & Synthesis was successful. 0 errors, 1 warning" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "433 " "Peak virtual memory: 433 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Design Software" 0 -1 1678222503366 ""} { "Info" "IQEXE_END_BANNER_TIME" "Tue Mar 7 20:55:03 2023 " "Processing ended: Tue Mar 7 20:55:03 2023" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Design Software" 0 -1 1678222503366 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:09 " "Elapsed time: 00:00:09" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Design Software" 0 -1 1678222503366 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:20 " "Total CPU time (on all processors): 00:00:20" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Design Software" 0 -1 1678222503366 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Analysis & Synthesis" 0 -1 1678222503366 ""} diff --git a/1ano/2semestre/lsd/pratica01/part4/db/EqCmpDemo.map.rdb b/1ano/2semestre/lsd/pratica01/part4/db/EqCmpDemo.map.rdb index 4d1f6852053dd9047b1be754b6822c4780a54dde..9afbbed3a4ed608082716beaddf4529e67871d37 100644 GIT binary patch delta 923 zcmV;M17!TN3bG22d4I&E@PyD2NF|jV$4OOH&6{!Eswk}tjwa!@`!qz9a zZ{dCyH$=a}W2TwxoN#oTR-N`2Jg!$kDP}ty@4bqTv7HnW7Ju>n*Sw^-;c&eE!u5Vy zAr~>EbMCy*8+y#bhP!mK=U-9$f!%{W_a5;7TmR7v#C8I0Vl$rc4U_cDcv11!MOzfJ zw@0J7X*(-67oHC0cj#n#G9`MVJ(n~$7VXQtFnU5WDJj7mS?;*KNCDR?X%z6z>7B9E* z;{=Wf6MP#yH9~SnjWsIC!6)dZ##p{Fv4zS5p(`2cxUTsnGDEF38_Sf6TLx|j6=VuH zE4wq;D4BDn63p|OYEuQpwa-Y%2@NYMzs4x|Z!MDKWPe94tbgT|r_!6`jptUmEb?POih`r16^d^K2WT1dkfD#3 zk7x8`xd#;E&&6PED@DcDk`tcMiINRww>ccsOW`k&d!Ura$%tMtPu&$SFt?GJWZxO+ zYXc~i`3tg}`dr#v6Gw{a(35}yDt~W4pS}P)!EJ+zK7yAvQL*NpiAY!G%M0&YcY1Wx zv>SFs(TRx7F{z9?;vmbUEJmYG(PHPuqvI4;#&)!ZW7pd*eiAdJ6?!;Jv;MQ~*`+`s zq2?OqPka+b-vF~vYhKrg^J$P?phyVo!69rBmx&aE-ei2RxjZ|2Y z8`xE-DlobUk`C!SaC*ut(S6K|(|h+$r+4GvxSMr;iN|$DKIhhfy!m*H$H{bZJUOQC zgFrp+W8xeiAK@~^?s4`#u8_;Ue9=(ynXnwP=qTN z8#Gx9ohAcBx3eqj+>!damwL!+v|b!C*$ieEIF0-b64Shlznij+*JD1|Vg^D*qYtu* x&$q`nc^6^5rGcI|*u8`L76Sj2pgUrNivvf~@!tRd0RR7Z0pez0WV0s(3jqcK(F6bh delta 923 zcmV;M17!TN3bG22d4B}u@`TV4NF|jV#|~7bc?+&v6{VHIQ6-+xcz3)RG?!&ADa#Z8 znn(C%X5)1lx8fDVDx001`Of`2XZ^-#GD?*ae6^&ibZY$wnrHscv@n53u1i;BN2+M+mp zeKeYzwzHzS@N_W0L&wwODbZu?xum(VXkX@q(GxnAk`m03<&N7+UQf!OOt2IjC$qUJ z^Od6-o!e;g#(zjRCTSW`(I)6Bs&rH`U6Vn3TXJUOpEJuTZuRiEWV)l4S+9Y~;^kI; zoWK!bf^UPTjgZ{Y#u}C6;1hJy##r8%*h1xj(3K2zT-W>(nW5I2#xkYimVp~W1(^cQ z%I*v{O6HuY1oOP6+EhVt?K2W`Lc@y6uQ3Y#TZ<$)*?*A>>tA@~sq`j!qiZ){Dw6UB=+vB7zi~JCfqTpz0h2mSm0b0g9Waz`? zqf>gk+yjd7=VGw7m7-#6$qCQsM9BuT+Z>MRx$qarJy1&IWJIr+r|yaunA^xqvhNJ^ zwE>jMd<)r4eJ*XTi6g~y*pq+)Du3UAK79dpg4+faeFQIUqGHWG6OpdWmlxi*?&Rob z(>Cmiq7xCDV^SG)#6gxxS&T*>qQ%aQN5?6yjO}O*$F8?s{3K>bEA()dX8o=0*`+`s zq2?OqPka+bUjVaEYhKrg^GT3iphyVo{vm7;mx&aE-ei2RxjZ|2;Vc1O@qe{NJwq>Y z8`xE-DlmEzBpuRu;Pix9qI)#^_GEhZ%SJWyl&OBE#Q+z-&Q<#WY0t=L{oJ&N zl3!wkEwmTDd$q({ka)qw2+`s0y3*lZAKzTt`(v*Qi^by7SXakdEWR7-)>z+<^}|@#$GWpR|2WQPkDZ<4 zoo`O}KWrSQyI*$o?e^{0#>+b!AF=iB#qsLDuKA#ItEZj(kVw^wPn_mYi??=;kFD*G z-Z$=;`&Y*K-or;f@9yqCxO@NXr_R^!#`<%tc|HGPeUI}9WE^skgZ~FTJy=_3rad!d zG^6!7`^=Lc1j>_61e=pi1e=pi1e=pi1e=pi1a*JrOurgy_WQ+rv2mOm*E{o_598R_ zpBq=l>-ql4TYvnuSj=_utb=*+k>k?X`|UGpevdb+zrDOV-x%kA#s}SAo&O%!^E+P~ zYo6zQ^ZdDE-uLxbFP`o_dwuuq#c}!TtJ8~n>z&>8#r^fgPwR^Z>x+l$i$`zg$DaN8 z`7=@G*WP<_w12Sw%kpUN@$&J}{^9c0YV&Y;aJW1=erx&r!PE0&`~8LS&(<*mK6}i) o>tl^4KR delta 447 zcmV;w0YLum5AP3ZEO1t^0)L+5>wK1<)H4^etf zVk>qw2$kVxUFmSIk8iH+{jt}j#bR-Ftm|Vf7T=6@d#t--eK*$4vF@$T-;eY8V`t}N z=c}{*cN@pq?*F^`di(YpS?DxWJmSl1E=}Z;;o&NV{7}p z_l-N|{+Hvt`{?nLz1_Y04Tj>D&fkvnzvF}Mtj_<8>-n8; zj5W{mzIp!GG4H!F){AHRKfV6p?d5U#^Dk!?yX&33^~L@5#e?<5!}Z0Z^~K}2^JC9{ z{QOcG^K0+_czkep@M?Ly|8)8E_~2-Hd$oDAJUm(+pS-pF?eN*fvHi!T@z2&V13rJu py_;i=CqF-X^7EIsR(mhEo;yEo|$YU z@|A;`@hcM(1LVuh2!YB{Uu7(uO~3vyv2cd?M)AY^r-@42{}dm5k^igwrwyI|3%f&| z>Y`;P{e8%p)OywqLKhZOQ?oWZmr*9zp335xmtk=m$`Y`li#DSfv#tEg-u?~Zg79DJ zh9t-b@<9h<2_xkBOkYoN9e8gzO?ypwO*v_6-oH*{J#XB<`gBioO?yl^O+6oU-GkfO z+Ne?%7T1Y47zR#+awDr*ZM?f*XhA2RaF>n_SY=o5XoULJ0Bf<;tSFlFue#f{z?-BZ zipO|y&>nv&iNJG*G+$PN8o)vttaG7sPhG;$wfpmxuRI3H?c4o0b3RHdJxJDdj~}>n zpYOZpljDs^vnuYJ@~nGtYmn1L%3mpC@jQR3Y@?IHS$b{09K!JU*HnFbmq+2`4M}hC zCys4Lsm)Pz`8gbMI-mZBYOfC+U#k~8vGi;%+bZt#u0`ljEnjn!;Z*>sNL@WkWETUc z2-3tIaK9T@y3^q;0VN)}`~Lp}K-z=ih40r@fYx6!bI+p-ckBE)JI~ZWNbXIb2XgcB zW=~=nXYT}Z4>#%$pXBHQL9d@>bDNdJGDbMOR*y%xs z4-M09CPc^5oo|jgUAphI4q#nSwXaxa7u^lZ;+Q4KRN7LillG#mXlnM}NkID5^625% zbWl3;Y+V(8w2~e5fYcEWp60=mx&we-*(c|^tE5#ZIjNIH-4}3k_%ZTW$jh~hH-a|B-eBb2VC?9Cs7HL%!4JCVtl7w4eJN4Yc z2S#0c!2H-8eF3X_@C<7q7tTcYvgpq>QxC#~UCMO3x!DVTv5L_Yh!qP-_+Z@?PpZv$ zRk3PvU~fw%W62bSbHZUZ1{dV2j5{Q6yQUOBp4+cvXh8O~0z$z9r{5 zzR85k<^nTgPdAwcNzJH0e(&czk5PgCWmYoo6}Wy^siF%-VEolF=Yj`(ns~@ap@g=-5AROP?HPyQMgFKNl>AtsVyCT)4d0>KFe6>9HI{WLYFqUBf8)-*`kgu4 z-5=z{HLfe1fQU{aK6U!w;>Yq2{fAY#SH9q}ql)ASc;Qneu@6cW@s#mR*)m$j7^Mw-elX&MVdu(u8!J;8tA1&UY5 z`TNp<)N=C`?5N{mk!8>oK?_i5wB@=@>DgRWmOiDAKkhWu^k6YomaH81@YFXMj|Fnr zTQzEMJ*U#xie`wrOk01gbeUca8hbnRau-YzRivA|F%q#>Xe`U`+~^0e-C*Z93SxI0 zhq<>d12geO2D(!M6{sOGfi`S88AZ>Gbn92{2K5eI!Bow5n)C%tcACJeWw{muq86_0 z?A$Hmcm06ih-0QRWz?G_1^`#fe_C<7BviaM_0%aCf*HV!-l}M!M6e+I7ZkT0R*0O%M4j zZZULI>7q3_wh0YqJOIg&lSw7X=~^K~s{+rcazAB71CGg0y3%*yDHH&o+FaciT~oq~ zO2ewQ%fG|r!;I_RGQ+rSK)g7?J*9KnV)=9dPJbWT$MC%4+Us&?tEcKjfA3kg!PMWC9e%Fb=lqvw|gWe-Hfy4B0JMB;+XK~PM04hZlIsO;9}p`$gQfKv&4*( z{@hIYrO+QX&srYc&%FKn_yf&1my-1paUjB50e^*S#^TM~w1XN+@_W*2?VqOordRoE zq#-7H4ZA4^1-j&LwBD4)4r|T}d;iyu;8~?e)1&a()ED)M`?q=U;hCB~qo@;7le5}t zSR(+w&qtSFIH>#=0@6zO5Q6)XgPN`*vZMZ{R&DxSa9`2W#HK!_Qp56bPMP>|%ruR} zU@!miJU+bv|L;e10=jbI@{r)WWYDC~r;626>CEvvB8*U%A=uacG&kFib(6!5{4USa z{g!8O{Bmj}`xkUiC{}v#(W1|A$kSK_*16> z_R0+_D)r)>vg~vwl9kUR#}yK5Vs?h8TjW!OMYi9w@@mR11CZ0?-$HI@ zTkDqW&^bLb3p=Q&g;gCVtnSh{c|h#+{FF7+hcxN70R zhJQA%Sh6?j`Vf=r6KCUpahTsgn0PEO2Y3j(gDmBISF08+FF^?%;MGTe$(@DbsIa6+ z&up*yHDS<|%;fC&s4|G;^Bo^>f{)+W?34?9Da2>Ue|704vo{jQpdm{;Lo=Np2lENT z9C|!N+S(Wv7%$&a)tTX~h{n&{d5*Svgp~6X&CrIjbTTLnq8B*vNm;#h`&DYKwiYI+ zgCl~_+{?r6bk?~asztc)Bt(a{02cfoRSyvt8W3yBe{b_Aw_AVXcaLK%&|YiZbMMm} zS!AfV8XZ8Fxx?gWay+NW@nL_}mQd3L`m~fLi`y3dhln{HKwP=yKVQCcj163f}7NJADT>Hr$!L1 zrx2~j5GPDw+09_tRX&{b$}aGI*?sJ7+5&3l(Fq9N_r%ox@Kp5~x^M53o@pBo_DJ(s zp8mC@bvPv#Z)!abpM+tqXF9;x&#ZT%$Z!e_KHuo;Si6i0nb=UMAScFoVyxQ}5mvU@ z1ER4yYir_M#<%zXab5nwW1j4iTWUI=Ry!re!(1e5isD(%S|ZW%eTO=0`HR#7JzFPO+D zF2Cg^3A?H}Zm=e-11{#JDJK=>xnroczJUaE;$e$SRlMVE2Y^`Eb&SVKskfKs_3_5l{?vO#K)*km!*db|pMmYYNit!; z(bvpq4O2k{A^lai5!d~Ezv>$`(I=iG?A)>`o za*!AT8cTz}4d9^U%2B{5qZXB;oSGejCI7A+YwRG{3Nx{^g{;BDHkId6S&(g zgf(8&LvD2;r`OTHieIFa9>;Dm($)}tL4a)NcSSk2i-nN5kAVmgWQd?b1RWxniTenM z`1OhV4%3$xtEsO72y@Qd@M@ml50FfglYME3do*u8KJ6f@26jhY1}uoe6fP#gkZ=h zKoOFt;K(WEqjh;AhT7=#wf;*mV&>s%^0sh6m2Bv6hXZ|@Bg2scjnEdOq4!oWj+wRWn zgI9-E5j(SspNI2{sba*%l?lZKSejyo%?nT6qg0kT@;NWl{tS@DN`y%Q^3W4_jlPk4 zz=+6z!$MMbF;JOCNdQLi;(`MOzrrrlS}3LY>yuMIV`A{9#2HI}!`O0y;%fTOW6Xa& z&T43aTa5@4gdIhdB}7?+cf*Q)g_%3zmdl;2PW#*915M|?Xm!JSD2^A0?yXbzIxD=kbuyYD&_)6A>9@f+@Rkp3`d z{?CGgW!9YzkHAjeb7vCSydw=#mnHYg432eU0@5%Zq+xG-v})|hvkR(C6xu8@mzHAG zJ^MlDP`ZtN%CCbF0_*%N{pteP>-u#?DK$kg;zZNlfPK|76Co$7=xI5k%ZT| zOx*cUMclsNXC}Y^TrB zXM=j!^ScKyo2Tsp6UtMDPp5#Qz!lST`!D4e-CKQKsK>FAJ88~Hdq!N2MQwr%pnW#4| z+o5ltv#-DRpCgILcas>BRtUC_AvuGLq-K>c-NEk!LwPg`T1Q-AHY$(<-b0{JC=QrC zgB~|#^+Gs5+<>}4_%yj?o zr|i&tEZ)#&iy_%dUT&v=gV{JNUU3RJx?8C$l_w6?Yr+nZy_|>rIkDnT?AHDauIA)bhb9R4o0>Xbd@Ww}MyOVw%ypkY~ z*ol+)JWLW!8AIa#WxE8JH5{b$_lnasT8t4vxO(0MvcX|OHaJSi4yXay0ec}k;BUwd z7$hR&H~`Iiu1AJMdW-5z+N}|z=@~8YrL4JsOiUzn7()T^!TAZ2+^pT;HP!WO$cGII zlkY1(ycD8LG)Q#LTVBbH0Htx354HnuEKR2K1t2Ge?)Tp7Lu|ObKj zj80LTEHY2S8urAxW?Ci{+rup9&_)RcIK6yDzk$D0#@R&>3{X!~$gaWzNM}jV<3(dN zBru7#1fkg_ZwS8{9dN>hIY$DnBTN+e$Z;fp4^MoTyh+vC?5wMG0#=b(%ET_urUH8N znfQK-8+!)DLK0cx=pzh4{CSc9)*^8P3mI|bSGc+Y8A)n1Prh4nsf*P55huILO{>H- z9@ElG?~z|L`6dEpOBBjV>Ead2>EgK%NrXrkM1T;nhlmM8G?ddxiY(5DMH(p?`eGv= zOa@^f$p9vAtf1s2?{~7^YlI}2X$+bh1L?i6bG29!q(QJJcf1h_+}}F>a|8zU+%BDg z3k0=nU-1tplu#W-+oGMQQ89@7#xs%x5AvsuhW~Bcn)!tP#Z!fDuF(>@VsjIQl(Q&;SU5JcqL?TUYmpPWuxGkql#$?* zE~r<0jyE2$aX(I(a+0J_AzfT7k5yb)^19$)lZ5Z+3-AzI?X*)cV>Rb-Q$eL%=ak{; zMJJGvHE|t1WY3_Bmr>^n!q=og34ohV$u^4nFZ)Ein40C!l(sb=8SvYLpRdeVG5_q|-A!$q%B#o(u&X}0Ed!+1BW}zL>QSt$N8^cJ^|xLZ;EPnk7gc_YJ-X1UD^O` zLAY~CRbn4RdURD%vz3G zcf(mc#W)pc{_@FHzhhUr9qiNyx^-NPgZ(LRkDUWTys)|K`>g3z{g{UX-{@m6J_+rf zbcZlyKNtXtz|(NS^69Jb{an=(Q2GE`hfUqCZFB4`j~wjU7p|>!5c4`u?~`eT2JK~K zN(hQ?vJ&YZBIp1Rs|%%Z&|)jJc-u_eN9Qt91Z?&g-?V}Km*AxG6n-1$$KzFWPV94c z@%P^@pMUA`q7o>+D7i0MF+7wcQ}yJK^5=!@j=L=^2cwnEBp>y7wJf{hew6~Ab+YF! z!Zm35mhAa!0scSL%yo|m>18Sv=aOkb2gcgyC*y!vj&;<%ko7uceuJ(!hmt{@UE z@;kO+20)=M4EL!MV4b0--sd_WsSQXVw@qlPqpf4Kf3BC6d28@%tH};k%|FrTc85F7{UITc1aGGXfN=^r7 z*bpczGGl|k06yD8`n*2pA_x!rVCvAVyzBiwpoxkHWr$0hE(UplW8*$Ttqc_qXyNXU%UY3 z+fA#_!QPj5O{J^P{+zNB&GM}XV?V}~wcnS9B`Z-ZZS1{<*e>u zUflS9Ci8#(4{U_j?*MHMhqu}uQ%-MTyAX$gS)=A^6n?YQa=(5KS^eu9ve;nq!&v=+V@JDv6# zr`6xO69U|6!ZfD*$8^nT5|*DatfFoaN~l#k962s5B*tc!`-%x^`-3FXKWQ@>-NpLr z_T$KwrY$Wkv{vRgSvY7o@-2=e=!-LYrXScWO!tds3Dm1wHUGf*Zp@gN6?lkU-%g^) zF0tx^80%a2(yh#Bwc9v3;xim%rH%X9WGBg4!dDPjVpgJ9>9YUwTA9FUSZZ)P9aR*i zwZ_GTB%4Z`v9dDQ;7M}IZU|n>YlH(f#O;#3t=DrlvvoR5bvD>z$SOjY>NKNU<4k2) zl3AxTRyrDvp3S2T-6PtL}s8DGngaV`G=nm+YDtm5EBT=Jh9+1q%}G_ zNY6|3Z-?v;vZUy-7^_v0ag-a9x=mJ`q)dhNNgnUev9uT*QoU*#qv*lQ`qK$%=L7f| zvOQi$*koG?CH8O_bm!_CS}pJvavz_P;|8UFHj?54w_JR^S(1s)6kdOZgU7X(4Vzsa zdCWJf#pv-oWPsA(TasqE?%N@P9xO1bTO?cvVOI^iv0kD)Mo*8=pR(DXJsDc0_;yK3 za9e*$4{! zX`9mjpZm~%SEUclS0A#^dYu&9@^X>n$Q*t*YiuUF%Z!d}p2FaimS?z^-g@-*2&R%m8nanOWjavWK5K*4e8t$RP*p z(0pqTUK)@zGve)Qsyd718)fc}>d_ydTbBxDQ*W6=E!X>OF2;Fhx#sB+?VYiojs3=} zVZDwu_OLz%TJ?jmf3#$Q##poKPJd%`p!^z&P`L9tIe5X^R0GCs z>Xet~Zjg$)GeO)}AodL^*LbfF6Etf*#Pm_+^RKoY77xP8(Dt}Cl#b@U%}$mnuyk-` zZp~coWy%DiwxHoc?xlM1M^DblH%)_necf#w5E^a{zX!j6r<`+CN=x_D1^fN7_*OTo6RK^)Q)G!Jvl7nY#_swM#FU)n z31yXR_R?O%B0Vg*LyYkv+@({%e#E^ZfBkZAK;fFv)AG(gqqvdnyd>Cf!_K;Uvp zke}N!nEL>&nAOvDDRS}AaBm*?OrmEPb9E>H$IVdqmZ>hJ)98UHG9Nuo^MbEG0KR(+joEaXop=`BgKGC?}sDlqPAo}XC zdVf~GKi>|bGoriDl&o=qP@me-boJn!*KpXMfTS5$4GY~F;_pI5br(VD49p8J6lu#A zKi;V#Upe#!9XeowXZ*L%{~oS>XzJT+c{a^2_BekN9QNiLY?|r?;72+W70-pu?KDCy zU*ZCpOVZRI@N7M`Mz#GS8e6$=a)CF-9vD6shAaK6Pt zH<5JABMSgZS{QCk)bSlq(YF{zhUE?HwfzY+mI5*?uffgkH^fjxd-H+)W-peh!aHwx zr6{Jx0%A280m~?~cRPM(=8W32kl{}6&0g(7@kTKRS!(O#*>iKT?E&9?s43g?-l=g!8>QM=#H|IMD#qN=p3 zxY);*Nk9Pv(o9zu=yo!&^{&dx>uT?;F6IYm+M;y~-N7^Z#ST;6CP&<=V|z-!4ua7$ z)rqM2qUccn@3|6UQnwHF%S2l0P4`^59BZeh2<8HRQewH^km_i#a1g`zl5#K3%v zI3N>VlB}(@T!R{wRS<;#h5qll+ed&43*7|Hz}m8%r&v?lx4-P{E%-RIk%H2!iX zX}sstUaMeGV-JHRc zp*_+U9Rf4-RJcG{)W8hCCjN;{zMijbE)`2c>gEJ>93Z%wMy3fIlg@%lqrbLRM9V9L zW=Ps}=LpbvZ4da2uACEJHjKYzEvJ%EHTzZO8#dV!!n-aQME9q~gPnVFkn3HEYl3Wo zwq`j`<;D_v7ydFTGrB3#{o%|cql$$$-jRbx$$y~azylZ$=}0~@GrImrt$kMg;zYLR zq|o%qaOjev!*nddGMS1h2P!b351GHmd?qPT-oKa15NN-)a`|&8(Q0KQ@h!YsQ12{Hjq{ z?$8-Ym2oF7LY!Dm11HHDT`psV{uTgawoF<(y;?eYY)w%cPB7djC;>3DWc?2FB2cl4 zOwHZJek^~c;*FWJ14|FmyJ7#Pe{{9qUeUB27ooSUSGR;58{VmTq5c?|kJB{t_EUsc zU)_yU(`dr+)Gf8(&DcZJdasr1*sFpX;3lk5SXh!$9SZZ349qIG5Y0mTykJs$MG80k zQ8O2ojSa8}KSCzbDXC^2#LnA2?ZV50cbbV>A6R^K`JuF%Lg0_@DO);1a@(f0l%RSR zoLbL?%jqy(pVurlo&^Q`txj4`sPW3g0q z)niXod}3kAN_Du+nlv!eO-&m{XPJ!YZ;m!F6G=^jGcpV5pYUX?r<#x_$$VHz#1j<40=J{&ECO=CprOeK*P&lsl35!XWnK z&KBdXNorCu#FR=An3Qj*W;UdzQ_D+KL5N(>Ow+WDxW{E+YL}X1WkgdYLGPbL)5kq= zx;#cJ?#l)LYAT$H4Rq`XtF#H&ipFj9B0!xX0e3$IzVm3pbvbxozLCBS8YO&_i{#OT;tH{>4%G zURP%?{ht;sbnrB@0p>cJ6@^ASwP=Z~wF<66wLDOP#rOk@o9B+b_TCfXZMu?f{prG!)6F*z+4ItF2cxzbwfca6#`y5{GgqxTmb{l-b6#_w)L*@9e zhNtp%-UoJ{u6&Gx%-Qb_4MTVj$5mfD9Al($x{$kI%c8e>xU?u&v4N(U#$wW+-uhcx z+L|1@;Lm@U(-6ewmAc~1)D6YKyyW}qs@?W6Op~zuVFz$2Uo`~m_)G2Hbtqkwt8hd= zXf7lvJ17y-$p^e^&B_|{VuL=Rtu{QGA{_M11^{H9GPN&-3fJ}X&Zyne#|xF8RN(ub zmE!l`*E)pp z76Ju5AL>J+j3{i*Wo#vPh3LRYq0c{257f<4;2sW1qnQ7K7>?fRQ3d>s@sMh8bS%o&`&)KSO z-8<{@G<-{P6?!yjts+{9mugpiGzqRE;y$N7dl=crYh!m?J5%iS4x}gIJ{=RCt1Pfv zC~OV1%|VP$YGZYqpeuFNDU@yxSyLPax^z+B%6^sgYA;{1hV8InWGEeYlBt~Gnonw^ z&C+c$ij4;>7U~wdqQLW6a&~K`pq?GqEv!p}1vMzn8Ax`gzkM(Jar#QW@Jc_*e{ zgRr7%wZS}dwh0AJ=sFy1g|wdh#ZMDiP!W-6o#;!18R)oD@)<=EC&7dpMVNF1TLOK< z$A9M4YP=>@tN*mB99K0bzTn37!1&c21E72PGVRgk7L|ETyVI= zp4$1`mhA^$RwFm?5B$CG4yUScw0&V`6pd(qe~T>GI29~EV4lHoOH;>3Y1!wdhkjh{ zAEaT)FKMnatTjxY^3C`;I&4VspbTb(IY8-dy3pl>r z@BBir%GbL~P`YqPK&Mavn8u;-%dU{$tvVa&%0Du`2--!8zdpmxXz$>(`+n#|pGF3t z3r-LJp@5xPH9C3N`=$d7;3M_|bR!1+n`t+;7gscTALqsMhhQQd%Js!XM2Ap!K7~E> z1x}sHZe8s+5)hn_!gX|V*Abpu%?lM}a^=={`057}|hSzV{9nKY<1kDUYXXCobb zKV*5WWndMvZ*9ghHW-wQj>>09S}Z%*aSeXRX!1l$`pon|~^;MI` z3v^q{Nr(B0s>B|+^%$lXUMR!WOZ~nVYM%A2)G>jyMViL#GFXCa17~ofT<_gMHX}<>Ysc|ow&CxmLbkhm3RW9eev9b#DGD~5pWIL#rPJdG z6K*BWU@AW&mL3It1AU~?X;l(vy`$by#zV6f7V66Jk`ETKSyx9v%sk=F17kUol%cLp zs{5_A;GbAyd7U~`%^YO|%?x=H=etC;-~-IDQ+j?5wKt$}+IsKmj@(0VkfZs1OnW%u z!RuGrHc2~s4#hL|DDNwfLpWYBXjgR#mYV)p2sedxxUNhmnA4~mK}T`x(yBnJCF-h`ZK@B{5>9wb0&WkvO< zq<4zEiR|KrVV^fM;lEtcl4$|u%n9XXppT|&{D0t4KnTT}{T?Ip0G=I2I!EjnH={i} zip0NJ?Ifse7o-r7p(FQX3mJFo!H_9`yh4@mK{iytEV%npzwm&t-N|i$?x8b?x!nnO zN9F$YADE6F2eRhr1W#4c17E)8ogS}Q+-sLj$)4vwexod(Izv3z`Op-zHu&&;GuAvV z@S;iO$9Y)w43RWtJ&&80&OR|kqV9%0fO9gs`uTo5+`bo5+-X~1 z$>6_SF>88vzAC9%+aor1-M{;)kVC0@62nYZT?XzaGe5UEMJ}<|Fd)Z|j#ro3nq$WA z(G6woaHw#w!b+~6w{W~CABVWMX{gIW$tmjE=A1;p&w~`#XZR_`rQwc1%DU<){b`kS zQ=Z!vgTzMbVy>BVVXyY-$BsMJKERGk@v`yg`bP(kcTtyC2X=w%fw#1OCdO>p%)GWW zPJ*bNEe1$eQwuktYr$7b8l1R<=As(Wj=uSQYZBV%&1;%v{}L5N{h;vAT=Qs$N7G<#M%z$n<6)YTGu2 z{nMh2+E07u=$TA~lXWJM@ey;7lbR6ULMn9)--`l*ETvlbrYuvG3~eju!ls8e(vCxh z(y19+IiBk@TK?i$TL@JIjq2{X<@HsWJ0ZFa7y`Ml&BRyNG#fL)VtQoxrx|fj+tyd#mW!>kDa!Ejc!rLJ4AutL9pd zMXc|S1|Dp^siYFw?mh$2av_b;3@9>%LkyL4I6elnya~j%ySoE{kPz3nw=o4$Ar>23 zTHdsO9(*`H2>$})GF1IfShlskl-RDp8BQB~99^`p3aJx20fY~g3D*V`Ph&}E6O!t{ z7iQQ?iD=(Lla_@rj@Mw{PEVnVH^xBEnBom=;(1-o(v4yIc5WkJix6MUsio^8PP-ZP z2q=rQ%gw&$5{reuas?yj-5n+jL_#%X`zKH1jXD9CYqwj=&^mM@5y;zY7`okGZ$bXp zGgqEWH&o0BfPHeO#d0ZaqDF>tnBnE7ngqZ^IQ(q;2jEtWkB%gdX8Z-k5L=PCy<;eK@&TT6Z;1`;POT*SyPv8UgN5U3ufY=aMEp7Q8uZl8@_ z$rJdSJ;twk-RmU3gfLLhJ<%@>ls=JqmbjISqIC%@_h^j$%TWhw*lG;}Tpy%8HrE>pTq;?21&Y zNIyE#3JiZG_UzOeLmL3gGmf-ZX*CN2nkU0ojX?sn!d=yf`4MgA8Ssz0C3C~R6Bcfn zY}&FfD88@w^hF|G@LnT2;1UmUr z+H39m0X~i@{twP;9>-FAXSKlM{{#$}r4Quga349#yhi@7fPI=F2JZE%pyJ`L7ZHT& z^LGP<40yYb`4Li?op2s+CBJu{A+CzSgqH&0V>{PeW%d4u<69Y2QCX;&t91%&Q;y7v53{Ge>p| z8o_RBzLYxcyGkIho61q7clYwwX=2p{&Eq&kkGEPWb>83lXy8%BLh51avIl9Irx(Q^ z(MQsddF)V#neL%wIda14H>h}Lg+$4MJoqFJiC?+jAQL}1<3_i&m#?hkK@;i;QXhYq zTIvlC64H(Hz(#lP*J%{M1DiVMJO&*H{%&jSJl1$MByf?Rdcf57v48R1m;CN(b4n1( z7AT{9{E7c>8ZB281A&Jn-@8RXWeaw2E-zq=3(XBB4j;=o%d!(-l-r7`y@#;95D)_x z5$5jM>ip5VKI`%%94(UAEVsSlejv`%^h4+8d18Pd!|-)VDV(R4z%SXk%JZGBAFPsY zP(I%q+Bq)cTBXzn-|=S#4>0rSPYg$-wD`8RG~1jHfkKi8K}aXIi-jTyor&4SPYX6} z&gQ?>=f=KSxg5=qRu?3RWLHXulDku_=YQYjf*2ju=Pdu+>*#W`)=D4Q-fot;e2xBu zu)}==H7})#{oo;*vmAfV|-I$?ggcqW!If{a7sKW5J9cX>7g>{ z`D?J9sx8unXsVnJ>uck(Bag>nKN94SDGD1ePe1(u+4yA#+NgWa)n(T7h z@;(V4yAFV!-9Ig&Orq9SA#S87t=L)%o(?69Z*(z>;SN870zM6j~v)b@Pbf?dt)5>|*T&pY#lSCcN;i;-27yt3zf(9FkCxo{}_ zjgu13l|sK?EEcxXamjl;d$+MRe``+^aXp?}b3Iim^y#KQvlLSYpC}zYK|>bQ3|WRl zm6Q_kLZOH_16d_uopcH+QBPun}f_b2~ZW+kml#xZlO zpYZ0k6C&x`>`km-?=GWi$g}$?g^*6KszTukN?X`aEor}qgKnS4q69G+Y_|SBPK!wJ z>98Qj6QYc-E$0J=fa!@dr2tUpbF-Zc`(-x<~{PSL%}WnbaLtLCaSHjkSkz z(JQA_flp(cxMy}qZq;*S0?SWSzgOpR_TdYqeac-J4vkcVJ$f;w=G`rzDhgJO)q&hp zMBErkbCp+iCqQxt_N2A|V^!tIO0|nkH^3AXj52)Gh4mQ-OSG_P8v%;~@vTI!`dX}bz9_gdU{ zWx@IRYY7DlR67TD(!&zXoGwqu)UD zjeqe9b(5Z-+t_*X28!`xk5{UI@vdrgsJ43v#D453Laxd~KcRg~Bkq93v^>;h%DW1_%Vpzlyp!$ z$KZC;b#?0BSu*%oO{DaP;O4w&wO54U<^ZF0@9Y`)AGtg|?ByOs&Npb*)k5*`aL0yS zte)eD6MU@)s}DT}@u02{dWI8D-sYhP;OW$QyDgLf}G=st_5P`UP@v8|8U zwzk2<;d-f$)tA>Pg42@A`_dd_Yku&nty1dVS~z8uW8}j7o$njr3Wte5lI6pLID}*4 z-WIyb`5N^JzkNt&SmPwZ0Ng)%AmOpD?{AMZzSGur{b_5a>RV_*letTDs1s#_}Z|Rj=-GDJ`~Hp80c~{ zj<}V6S^gHt7SHL=2f_U4@4Ihmp8q)S!e~JIz|&uP;izmw|IBuJ$h2wUErv z40-1PO5=FBHV+Y>0V?{?2dYHct+uP40`zU#LN#$%Ejgu6POQKNh8q>^%~u)tdi%Qm zn3t>6L1>aY3`4Q3&iGhu0_I?PtTqDcPwsYyjDnC~%3M~K)Ra$M7C(D=vkf-+eaRL+ z>(|f5qyBS`JD&A;9x+A3{(+M%rvu8>DEWjI<@0zU(9Juqd12!(lg1R9bI9H$N5J=u zkW>cb%ZR(8GF3;b#)zB!GVCKdRb@}BM(XBD%O9TFi-{Y0Y=v$rvKBm)Od*|a&_r(^ ze@gH`-tPE75V|&{bkM`-{J8P<5y(uhG0*_LeBUP*0>3ew@9QW2{x!A>>F^#N)boPb zH8jh?sQ^+tC+yQS6mNB_`OI4Sj9x8449EQfE4Co+r`34n=EgS2uO(X>tEzmuv-o)x z+UOn-Su%wQw;So}!%QzSL69#SY@DyB^_Fly3S=8>V`{=|D``r*A;< zPN;x~_k7L!gsWjH(8KbE4R|fDs3SJ1?H;0eTGAZq?lrmyHSZ5~>0>OVXncCif_6GN zz`edceuisruLhh#XFB*}7EB5Gz`-G7c>D5}lj9J)Ui1vU8V+;58`QpA(6`aDcdbVF z#E1(_=j(qw&=UN1lX+gq`^4vQBg^|#?gs#{R`28aqRd>K=J6^GGcwUN&HP2i=Pb3e zF1bjH)Q@DQXAfQq5WWmfU4r6T^R0$wCjmFrM0G>1@YR=4BWEpYX7`&pKH_PkJ+=gy zcl@u)z5}SKXzi9HBy^BSHFOL}R|J&MLNB3%ND+dd5Tr}*O{5D-6BGy_0s_*D^cFyB zsM4!aq)2Z9{_)!|`Z>=As{Dd?6 zq#Ifr3gM=!i6Vai|5Ljc+cKv)gm7sBtrK&5pL>MK53aN6`cmXU=!o zuO2yOT!znQTS=}P#n6O8sUONOeTh-Lx)yQqn4<&j!n-i=Jt*nxAEv}Vt~^0BiGRoz z-BdSqjO14g9^fajefP2FYYB$jzxS~oWVlpMOr$nf>PIlxuwtlRP8w&1xHsDo*d;*`8(AOcp#}Z1%@bWWaZwTg<7tj zTdq=srHPQ)G*iB14#h~%`h{Ibr!UAjza>$OdUW-i$z)gX`@lUx=D>3y?z(SPmOUKw z=$qG-@ehNEKUU&ui!Gjxwqh&}gOa(&6tS!KA>vxj^}Yqoei%z6s}5(l@afcHlT3^f z?~e}OU?oPh6&qSXQdtdb_@P^u{5@=4=$UIiHBR4K!xB4+;{jwpNPQ=p8>j|dT<0t& z_Rdba#uO7N>M&Ylvln`m5gn|*{_PTUGxJG7k9J2`kRW}V?*7SpCphj5 zIR89%2W0ca~3^m4d=6eIJ^<93O7 zyl=UDd!^pJUARSis&`?85^ngskMes}C7AxnERvChc(jLaEq>RX-rPaaHT*IziELBi zH~kaod=*BKK9PJ)CVARk&v=%}A{w~%S{NfKB=ey2Sl}PEO1~%EbL}ZzPCyTEw!BoFci_m+Ym5Gv zz9_H#HQT!>LD-_?(zN%5M=5Dbe8_uAHMA{gtN5xuFkR#lKf8M+C#INzzge1>o_=K*=L|Wqhi^INq#5v=e-juT(hhB^$AV3 zzp-jm6$JI#`!0G}$UmxfA6~NupYKZbmO?KR6mAESP%p<4GoyEOf-vA9M#n(5m_*J0rO2L1bEj-` z=h`VWi_k@gNO_jX-U1}5Dt9WW(7s8&{cdYZPwFWYy&Npx?pA;NH0(vbNOODYDL;Cd z1HEkTA60q)Z*EJy-XczT)@bf&HncWa#C5f3ibabC-F^!C$REZT~XF zfRG^s4ZJzo@GDl{&zXI9^3ohTbO#%-u*0vJYW5I4vX+lQM{6hxS*jv z%VOD=1WCFXWUM9R4#$%c_Kc=-LYG;TGcaC;~O@7s+j9q7?(hBK*Z8r02ql zYxk@vXRG>55w&ftS_jpGj!#_ND4ni{&Gau05;oLP&c^ZOt9!@|iMdqP3=2X|Za};w z!hRb=7=x_Sg-`DkmF|*!+*t0wp;JG`(43G#oCG4%25Wwn?P!O0dlwm) zW+foCJ&QGW>sTR96U}npS_h_8GANxG!=&QdwryPem}a42GkuH4%ylNeuM#O|ivwC5 z7H#5+0wG?(ZLCjRBA8~+D0iy=Hg0MC+n9oRc9UtA_imleV;9CdbsdyD2F$a#fBd-B zqRsa2Z-lN_o{@z$B(<4$Ey~8V1t7v2W(h4%9x~OL%&aq0?od(g#8K{OK)mR~{H}-n z)`WPCwQ6nt=3B!%NvM4YSBvg^7WQH-trfMi0?RiU>Hh5MQw!Q5kaEYS1tc@NE6 zLjH}O%*+M)iQ`2oE+t$yA3oomI!QPzhh7E|G-e;}xd)ehlJIsr^;Fg& zK}{+_Cu&d?Q|W7X7&{oxq_MPfjAOmM3_{~<~Z%X>Tq|^5VX-PToAspmuZcUvWNSiCY z5`OUf@-xAI=u}UkfBI5S+0e_s{iAXTY8%$i&U91S?0bZN6#E|h)xXG2)QW#p7D2#h zM1!0NmRN$`g=_D^1ML!+{zSww)_i3CIGDKecyNw>t%Ch2N50maKu`Q?Lg{KkzFSZH zQUdJ$cNJ<$TMp-ofG!R@(S)H7?}dsnYc|wAkcioK&Y|xKwyr(jn0gdV8gBB)*IPRE z%);4GX=SgzC-3gR?U5Pecx@y1V{C5a4C;0Y)mcPIzZQADhN{|!llwAHAKZms5oBBdz3l8CRp}qqLa0IzRzif$f?k$JE3lvG4ik2>kG~v<`SCu>({TXTSsH#f1WY7Jt z6N2N+@X|;)tmvlCH)B!TREGi6-r^yXyGD1OlN!IFIJcFXReS$c&I?2rc-_y!HKa)x z_Q%kt)k$!0PM)^-+tE-hg?4k0c?KJO5%yNkw&S<_`Z8CCHd9Wm=FZji5;KP(aZTs< zpR+1-k)MJ$-8VvLPF{X{s}OLqKaSdrL8X*hG?T|G$Hi!UCZ;T~F<elOpd>Lv1aU6?(lKRK~V zjzxigAFMqvUVu=lei2yjB;_H61hC@&P*|Xxo9xEde=fnfl@R661Ue3gzTWDH34Zm| z`~0Y*$jKyNRH*3s%dZj9qVHqoPM^27#r^THChzD=StvWSQP3(jT3>{eH$vv`^pgCJ zIbxk_DmmLZzgliZ+7{-b6%J3xC4t_QTa8fJlcCKmm@uPMbe@%D{IibTFO>n_PG%=A zI?iUcezxUtcxaKtWs$NGhA<`_hER!fcln{WhPu(h_P>@{&t6Xxnw6c5vyOCCzZ-KhmA!y!`jOdq59VA>VY@QtG3ml)k~UUC^(nT7 z{h?{~^TNPs{iTtg=D-^6iqV25WHqBy+!XIygO3IKb^><&ykBBslof&&DZXv!uMYp7 zJFE(&d1(;QUPwXsbQFFIH^^F6hj;ws!nUK$tuM6-JM2t1DJgcYQ9c*5SoaM@0XGXX zm3h)*ItsawO)MWYnm`@50T}L2QR7O5Uo(GbUiI$gtSkY}MP%mk=+D!fa%<*;?#2gF z;PVx~9L&uf;p{1J%^~Ls&N}wCWH#ze@V5aTC!X#MKV#;Ur6)>$v9hegSkyA2^Tu7{ z8WQZrY`+vroXqy-E_CIVf)Uy!&K5GheMd#k%;p-Q;^pZ^zZo00^Y!k%FhE1K0}9_g zv;Uk5VAZKQN{;CWpV#-x4^&q55h|I6&4JZ&n`gDElP~E*=V$X59$?9}Htr{l{($ec z-LcF5o7Q5zlr-)=i65N`QN7=kw=}PR0*uCPj)3(zd%P2u1W8h8?HXQX$+hgZS#P>) z@6%7-16W+1Wm*L^w=~<9`C%)|u=otbQcARxyesXkpAUZX7cA8r&hpcH2DeAbFIn1z zZ)3~)vAfm%};qptHY4kOr?HXagE7Et|3Lm&@f;$W3myJE61~|&QIQCYypzn}Y zCGyL>Q*epUPDEIzP9@5LDC!6jg?^H1Oap~cLW4k24zIsZ(@ET*8?+JWG)6$I zJ$ttkf?J-@h)Z&j097f04{hk*QUg_~fHWi_y%S>ek8j*!xqC|!1a=TXEg(U>L4j8n z-$`CECl18^a(?+$$34NcKXo%^!&XEOw5Glv{^*N8wSx67m6KNEKajA_ck zT{$!SjuAXgHQoXdzD>4D%O=rJ%V~g8inahlx^>@zz__Oun}WypjJFh9Z457hjJsNJ zR_r$AEdy3TB$LduH9PsYSu+Kx+0>ovgJ)wadoC7{B2({;aZHK+GV{-;2)V`q%%c~h zv#Z0Bi@EjHiy>NzfF_6z3EAwb?4&t}{2di}Uj}(WR+q)n=g_z-N~|?#5Tlm$Wb*VL z>A@G~LN_$*QpITQA!4fHNTuz>jItU1!?1NEzuXzsYBVVxK=adhO#6ZSsxlQyb?R6Fy1;6Jf`rW4|fDLkXOj8E@5AXf$h;*_!g=?chPMm`l&USy~CB^PHOZ zexh{;$1G>U?JoVs(|UfFxg@iJoXbUAEl<>+wj_%&*5^QZXmh;ng_~3T`>lM|AAwQ^XQPt~u8c&vqH$LN zY?rFi=XcmHyQR-{h-EsCa;vzNFZ3&#M*AjoVA!km&tXZ%5Y?C&D%F^v=O|0&(e=~q zZ@mb-fNl-Zxfvn-C7)!k$esB-@CIv(%9@7%NPykh%5%LJl#df8Vs>ZTjVWhL6ii~P zblXdZo{p; z;`$qa8(lvM&oIe-#%XAonhm6JVU(A^T~phVP}A(yr7Tvt@h7D0`bqu}&>Zf48^8BG zP^Y}a?e>vjq@lVSPEj6SBsKa|6lcts{^1E<@8W$p;es z9GQIBp@xcFY*czzsg~G?BTkmlbNTAfrJ}sSGC%jc;=)dNGR-`PBHbk` zn{44%N~^{Pc=v*BZhqbxi6RxNEOOKC@T>HBGE&vY?{^+^(EXuPL$*s=>2vN7YV>x| zyM-x<+g|tAmDrFFLTo_ibB}uPe(Hxh?KaMO^1A9_1cnJMtSI|u)#(w zXLsY~dve#j4|&*{(p0nBa%+j^Sfw^Oe>mO#Fw0mmDPmQQejn8Y&}g35-Dd|$p|a*k zFFYVuzeG(44`^kZ+_jDInvEN+tRlad5(?Q)S79ef_DX71X-R#z^Qo1ymNk?o(EZ3L&>wsU1AyimsYLN6pauaObo7S_yc;|)4 zCRK;|iu@2GUkG*JL#h^G#H{y#xK>rHdxlB^8)#u=9L!$Pg;DW;L0@0kaNlsN#v)A3 zjpwD@gkAlWwAl|Lv+ZAGQp~t4zStSw_jbxzV2A$Z%k6Oa2d0iVqf>kD@T_gsZc}_7 z?dNK%jrO0J*5&Vvw?Zw~^jqAl4t#0)JfmE(KRI?-k|^t4>cWJ{RAk1b|y!0P0QmEy{yP{n6UHJi@CYw=@V)Z>ih zxLw%{?>h?1b9F{xYTqt@pMj+=Exglz2b8leg)i7;DovEHtax73BcxwGDp|-Vw!WrL z87OJ?dF2cJqlkX@t4ym)!QzE?#kn$SZ#?Q)(Y#Ca$x9+@L)IKze~618@lEJ$E?HfQ z70Z!NthFZY_2zeFjvN6`S>UC&VwOlAl`%XA@mqKkn|?P9vDm`9aF>~X`4P#M{BkEz zSZUye&K=P@Bd09SFZT2rzH<30W9MS)Ttg=2>rNWADvS)V>2dzg=7M#U%WGL=Wrtt6 zFgD$Aggsa=O^raHq9cSnNgm`1?~+PJbkANzYCNN2IvwPliEdzFqyTo4I?=tlFD^PU zaU=ZoOZN7;N<|8rIBaYVmt4s;`=dv)Z%Ou<`_MVt zY85o2u6hFgtRRNywQGts)g!O?_ULKub*sII2H~AI7U=NlU?Q@nBR^4XF|TT$#;rMD_Xb;d`!G(862# z5n<+mO!zibuS-gN@LKfQ?KccfHwG3zQ z_+(HJ#;;+jciZbnj<)xUB(ZdABjYqD0af=41wMKYpHIVIZKI}CnP?5Ga%xtoi~~2m zlLsj!8Qdh#?fX!vzNoS$M@_5LRB7qN`wpcc@NntQy&nsduF+!0VX?BfSPnDcF?N@Q zYQn0&sigMwL`E1FuL$=ZZAQbSw%BTRvR-K9Ei+QC9DLVj%$MkFj%WpqD6xX%Tk8eM zI+l{!ubO1CriF~3icAQ%-PpIcKFHL?z`R z66APO7$%IUBo`YO6idMt&KqbRY~-JGBauGP&=Tn)6~M&^?^WT_w0{2AL1Wgi^U{1oKQIdBK=BRPGAFJO;W& ztD!4EvG7oLMwaW?LJ7PcAl(MUN{ii)z&{4`P9YX zW8>(ml38e#D*4WmuD@+iO1u;gt^OJ#&TssV4^{d{12C;1Z*~aO3j4yEF<)}6xFjhL zC2}3>NLOW&n)z8ho^--fWP+=0dI@KG%UH@Ig=IBo0*^9gD4s*0Ug1=X)XR>&%j=2l zOBsvI%Z`1@O~LjpjP|cAS$C}l7>dJ^!T~pB;@ytl6R}Rnj|`Kh3nNt>uy#QAF-ST; zvfBa62Xvo>qzfW>9kA*^_cx&v>vN#2Iz_*Er8dM5TsntwJ|F5==?ZwA5*+sv%x?#- zS123QPZ16vz?O?mu6H*{WaBknxl;KUu00_c`3jS{jzb6-KU^1NPSDGrKzW;%@es<{ zDieHd>4Zak#)*x_yu|hujGJ|qT6g?rW(1Ga)X zbi0?gff&;RndU=MIAU3WRfM82l5l5A08DK_j`Uc1gqo+C!#^=R=UnFjm@3Mj7iPr) zt5QM_#cJe(C#H~_U`!KLm3FYiB+?#?$)&1N36_{b<^rpxAf|jsvs;)ni9!nkjri0~ zMnwVBHlR3CyeACvnrOQ$gn=Bd4a2Afdrl#r0;U~7$;6m=sxdgy&k^ehm{yfP2j~?M zZRc~$t+)}d2UCgtB@+HlNr)No`ZaL2lBz3pwhD?Eog_V&AWZDgwt8p z;D{x#>lw%qO30$Z6NqIGa=eE1kih!_OmzsH`$mR`kdMeT8wE>DBkhSXiBw_)d2_@P zv<(OOt89E235ORiU_x;3N#(iY1)MsI$kAUO^^O!Z5I~tkQh+h}RAM)g4G!1}qV1Xx z4PaF_-Wg7&(ka&a|LA{zxscn42Qo3*J@6Hgf4+H-{i%}Z7J~btV+3M{}l~HWQyG(fMH6B zJoFOS-2I3Pl;rF0dQs?3L8jqIMG3q%z!U@8zJ^tnz!Lx{52+!;i;|CoImHl_eBh8- zm!QDk0&fIP-$dFwUI zI;;@g2PwC3BFE~G6f(R745JiWGlPsF#zat6=>~gFBNc&FF@%c{_-pnfVW0o$_4x#y zvISL>;{#!sS46C3AvT0j|2GWq#s4+?zr92gvDSw0P~gd6m^VZjRUtg&cs>{=lqjPn zq>BR2Ox}*oHRur_UKa#!2-gt?Uk}fBX|EiyBt{{FVIr8wVrK}#SB`|#P7YD(bNc5Z=&I10>J8um!no0GL9v`LOg@oNRfs1ko(qO)Ac~tr{x?EU=iF&l51!D) z-x)}QfiXhhjltRfJ0uREzko>K$pP(+*ymfO18LsVba&S!$VW0HxsWrCSY=>U2gLLi zQpyp_37CEgN(N(UsSKf5842A~qU}760N?~a^7miQVF4g4K?4lfFhr#13xd0Udjsuf=@Ph53Pd8*G z|I;+u*}YY>G^;~ik>kZ+>yboSwIS3Lx`PnJO(fy^iik?E z9CNe(Hae~hnV`V?!Y~;`j%6Ve4005_9!Vvp75r)%X#ie-OC_cp{K_Cf7WI}8 zZ{1S!TK%`;M2JJM4SyMuVA0?T6r=tn9fp%`3Sk{S{3jT?_()m8UKnTFVfC-fZ8vmG z3?3DahKh$&yxPz)7 zwN9*98H!n+RyUZQC0JJLGSsB@rw_AI`Us~{k!kKMRA{!M zM&G+cn8#brEbeQwaP4()k_tS^K|P=NDF=9LM4WGVE4GhSo%@r)fHgmA?)7wy5u@U2 z%VIh8$+ydQ-?2eiZ{}ZfeMxaGS`b)bd#IY#!0mH6G&n6g7UlBi<~D9*ZB}S)(0Yem z6?eC&I&I}G1)iP}wfU`A@6y5KV56l-XYNbcM9rVij()$Z-uo37t4QV;+-EA*YhCsZ zrI6V$ic|aiQbqikUpdA>p4Y4?usrGTmEkM=tw)eJxXAhC-uwIG%pNS0dmM+lNQ1!; zgFO91+xqqR-LE8ooT`O-v%w2ks3G?`Z4-qBwf7sv1PVL#x$b0_qNomyu@4i|PHqy% zlDzG6!gFuWOMbZ6f8fqB4Gj@l>Ch;o;EwhHrSQoYp59r)A=-sZL%geteVZpCS)p4HhJv`Vp>)J8m6Mpp$ErEEVf{j z&l8^2(b60B!-|c#$gczL9l)*oA5oi1-fcH0JT~sb(!ST)J0CX55Emn_7jJmxosC%*4;A=IkAcLsON;1 zOtxw|*bI{k0ARD=+#LFG9j|P6e7FEY$XL8s34VN_D&9XK_J*}!8Q;g^KJIiGQ^TB- zsKwY=Gw$-d%kEAI3O8&ocJ?tc*wYmq^SSGLLyjfAa(wUO5>!{xinazL04R{Yv^(&V z<|O;!cMmVeLi*aoqQ?^@w2Rp2N2EhPyzWcZC)VbiyLT95+;HM$)C-HYC~+=WXVW|4 z%L6XWUlWHLbU4Bl>a6?Y>K5VKuD(qWeqW@myMm}?JX2a!dGu*Qn6W>)xUa-}*0MTu!K;#hubH?6LY!?;sZOV%?)p0^KACotR}?cf z73No5m&}rk6yX$9v(J(`w&T|oA_e=5IUD{_rfx)nK0dR1Ik=QAxW?ocHw0i#5fT-uc&@!``wG)P@syj%}f#h!9L-;nX6 zdGXEH*@27IrhCrwes}ZBC~IEaIldy1p~9E1n8eZ}*$=BHeJAzZ2aLpGV1eqZX%56T z8Z?2T8337@M-gK;lixi?GL*aBK3vT|fgEJ3J^_o-**s^?ds>cQVrmi%U_byT?g!@% zyon{MtuSGxbe{A3E@~ww_|(A%n4)-~ivHs*>a3^P?`u2XP4!gnM6&DNR&jyDqpkK8 zOWGUaG><^LP{u$hH~Bj9#XR9zh(2RNBW&@hFyTKD($6IY_K$YjT{tM(#yNbzeW3NJ zde}Cew(-XK{nB?e#Sumo8ca#pFM`{db=UY=*#!{jJgqds|HiM}~}RyLer ze*>g)?Q67P$^H_}Jr|I{I;T^c>h5`R?=x5UT|cy;fgnYcI_?#}Mj3#OX zdHXXz$MM1<+MJ1UvNL7v$#Sj2@>rpq=mMDZ3zzE0-f;$}n;Pb-5kR7V1Pd~u`EQ$F z!ymA-HU?K-tJD0D%sfUF<4_qOr0mXB!uyhhwu5J6H2S3^5zLs3@@iWALdKnPw&S*u zYbmM26Zun*146cq9!S{h(wRv=>8;iiGRq@SWkbQ6 zU#^zQbm7OsB+0uBZxF*W2jbDn3Usuja9s2>XC5vgwtzK-&RXEiXK}oOkGL{B%J9II z(oiagZ$d(X_&Pe^jxXdg7F*zYCu(NO;5GxoXHRt<(|pZ$wjNE^_IU61H~&Y8^iCTIB-q@FwUfZXu9ys`5d|`Wjo3CgJrxli>%~ z?87&lvzYiIUTMyf${=99Ne(VCydR%{^V)|L$4|f_P~mJgY0Vjg?}6;tr?QqbF< z3gdOPZVAo07EeA_wzB-1Zs6Q2|5+pcjn0s_a8o$pO<}|(8jsCRhW5NQl8c!mKTjQi zxZLiwInP=krc;tLb}qwc>DhPG8MlP%f<8^&2_Agtex*;eOgq1*M#JQL6L)By#F`a9 zmtnrOm@}^^rgpd*DFg8w4debj`$Hm$Ig3-a8U5Nv{ArI@3%Y@LR7`~U%Y2+<(aZM_ zo^MO+WGhxmZxcC3->egc+t?GSk-@q84eK|xZ-d6A-Jom3#ud5^oOXcu z&i38AqLR~>v+FM z323)ThZgT|K94?VB+B=if0Ui}%}dr7n&oZN%WD{YE7MHVS8@aAM$eH_)jd$~(>83^ z@gkY=7q)sGu(yRxWsol9K9vv!@ulPk&8b%`FvP4I=@^WK@oD2!$$Z;>pNfV+PzCoovKsk0}KpI6&fs5!@$@=|9GIOrn!r&wW9+i7Y7?B8z-fdo3-6{ zN`5X5N={C8=sy<+6spNnezgXeQ_6g|20(4|gy8?<0>}P83CuV2|4IMp3YY)Ep0Fnd zSnV21Hv#4`I8w+|j$Da2*EbHg87R&he)q4(9naa%5FTD}(T*|(Zr%(!ZmRF0*igHF zDv#nn$s-C82Gy)%)%D%F%O6?mKMLY zy1|?nsgc*x`P%9&xB^APUsV(*-Ka=P? zRB(QkHv22!xm`i@ccLb_wZfJDx#~S?DSPM6#*>dG8Nrz^d8+wmlB;@O{-xx>3j^^u zNb;axoLr?H#j63iN5?{l-&4Xv16L85a=minWqrTg@4+51-=Qu8cUq-RxW=>W3nsBP zr@mO$Xh&^l#|JauKH8=mRv5ufBhaI#0>O}AVcpihbU?C-V)iO<818fvZM3FfJ!}c5 z$SWR*Tvtr z&1G3ScZa((g4JLl!?%@zdr_rJt7wS9>BJv4HiI8C8wTyd&n+WHZy1BOeDcqFjwGp$ z0N>>e4|B33g$~G7TBp&Q-u6zLzy2GE^Hb+!SDB{fGn<~O+L5`}0p}8yfg0?EI!|WPiy_aHkp9f7kjG%H^VOE zhd+V^nKi|ZlP|Iva72xZb4{obuqcZhl0NiwU4)r?3Z2oAh~CjH#t-5|5edOXBkyT;XKahWm#sVWKQ~9qpQLZs*YuiYebnffr*>qjsyU$&08k zW&#XWUEi5$OBM>?;XFyW)+dvYMp)6^Jp2ci3Ma>h7g5jjvs1}OmKyKwp#}2`olbrI zAAKJE`Qa=Pz9q!C%J=C`H!UwGy<5&_3d;$*4IK)0DIPl2EZRSQi6sYQuH#U@2A?;y zN2UfSuninNUpRW{lVAJEc0&GGFVont;)G#SjlbeS5z` zuu1l46r3ve{?&mgel}&$n&@keBpp*<^tre<(_SEZH=5d%))p=QYS%?R5J<2JKA{k& zb>9{PZf)F0EYMmW8A`WCN_H?`z}ZFib-f`xst#_|Id)f&8@9-u$XHISD=v4S36tTjw3P)>>t+7GwJW)EKetf z6KmpCWU(!0dmp{rk|!2N&@%P3xlz{iBi;!$Cu)YLBDRlfyZ8u(Lh8uLBd^ECax%B6 z!eAjITTsc?zwA+FFHrYQM)~`wv{~p~qWir1J7qLdak?rU~z5$Hm zpL`y*HVKc?a8}xNPFZmkZ}=?gNhz>VI~se~A}&t#j{>~mkpb*D#02UNJP+KBY%2b1 z_hQ;Po{|C2+Y^>L<0VawqJEbL3aho4tEA52lNWVwjy+N`w*t3}NKeBA@uaJEVoI@j zZJu$Zk6fZ`s%Gy@e}J4c^*@C2UShA6^zo z%EPw}*RFUh;!BZ4-_$&&XoTbq!tR|iJJH)Q#rGi*Ghd}Sul*QBU?U*@OiEgip);6{ zMy93sW}te#@mJ&{2LwX$8sYbz|Ac;ubaYc#U%W@m3!(jJD=f1&5fTllH{E*B`o+RG z+roU~rklexQ zdtt`!rSwqO&#*5pX5y=OZoIRaGgglE%CH&8IejO_S*kB`hG$BX*IE1XsydmkY20j{ zdkw`U)k7jkvT>!dIJFa`6RQpJxEs`6$ymAT8e=CMY%%E&+?Q6+utPmI%jI6e|=AqQcoT< zIR5>!B@B{Y7RVuH{+<;gYcTutJqkgQ_}Q9&ZFsX zy{Cg<%{{K!T1e}_Cifzq{i3o!>(P4mo5wBh#zXyf9(fjFOctYW&*eVt+vfmC+j5=7 z!YY!jKaM}F?15js?yJ3TRo8$k>;=NDD=TDNt&6AMRny39HHW>@&6nnwE49~>8Grc8 zg1(Km9q*toQCto|4v{G<6Z%Vpa}u9cT@#TYR=4c4Zk=(+UJ|i;|Ffnz;qqw7gkEFg zUH5dB^69k>evV7L=NkESnK#Y)Wjj9}(x6r4g42EgBwTsF`f(|1Q0?^{l2z7lXVCdy zIg#hvMXAPz#k?<(plzQry3A&*8xr=_Rt-=Y~peOeV z6wyu5+a9`08@?of-%$wO5gyL{1&@N2kHJFXK+Ol^4=1?m4^ zoeg_!uGAu?glz1uA}mDhOw)IcH2zW8a@}Qj>78(4YxlQ0ywZrysdG6j*Mn8l67o7D zWmzoe^;&XviX|h^-E~LQRAUbochb-}>+|4Y;@6+^Ykmo7r;ez8_#ELhI1#p}3{Qx` zV&Ff+gbJE?qmEP4*QzVRh%Ib0tLtgf74c_H!S!+xY1<_dVkHxei(G!uRWW_J{zR)>J>5&zO}ZJmnveus9n8yMD=s6`TfY# z3b#Rv-4ewTsHhXf{pVeYC4$lj>Zmyap9O+a70i4{W~mPc=o*ey`Y}aHp)-d(2#z}Q zru>Cu;~arq@|cNW@B5w!zqFxi9<#7qN*yhQ`sZA)WSl>AQtQ!mxBWW6t9#xh?v`Jr6{wb{J-d@jF2 zNK`1rcm1OGB+@@xRkj;cwr^CnV^mDl7@RE_F3VN6=azmD{&L>o@eYjx-9(bb9wKK8 zQ>K}dnw3Y&uVkfCiJ|s+R~@L~Mw}m`zru58Za&}O%Dc`c#uY?q{=LUY{vKpaq>)o7 z%(?L?jAfJ&@uvuGhrDW7?p32mqh5;R2c?20tMph+o1i>iCzWU>R4K8sUz>uVBC?>~4cnAk&8g)p_1zM0ffluEwG^D(3_=|-U-7Hd;i*pp_9JS38DY~Rx=Z5cMituLk1#!I$M#d|8Q(d4coA|}lEJ`qALVR>RXuGjEWmI$!)YXhov0Y@r& zZ@kiCbailX9K!OiYHSmD1BkW9dWi|v#^2-+V zGQ5i#%ffWE)f8M*{*S=5roA?ZI@s)(JK8Ln#`gSZb!K4U|Yi5 zkdhWekdh`F3H!qt8t+^dBkXanKmD{y$<6q~w%U+?$%6q?r;y()D8Cm*z`#JpiHQ z4%miof8s}7fMpNg3R3!WV9Dh5GLd}j+(Jpp_{8J#^~!2BZD*>Kb7(3Jz(kN(Y}uua zlPEHtXqEt`c|4!&|HD{2q}!H2a88c?OsALei_iM6r8UXM0!c3n_*>EtJvPG_^#`aB zLWLA6lu)5z7{mF%W5GCPwiP-pGOx%m=DPIh^N;gCmD^tZC`vwf6Vxiuf%FABvZSCR zD>4~6vb3Ru6_n_u?Lmtn*f7^wI_n(y6SW_ix}j;Y6Fk90f;nIut?%F0V+_|aoRR~Z z--Hk@5<~o}Tp2%3j@*x#PLNclUj6IUfd=PLfx-YyJmf>7h>+S>mz%;ajn7}>mHUyU z{pqtljt*aQP2ddN^x6(-yLgMH7(08_RHc5EVGkjDCcSh#CfNt49ob!&@UNZ*1~OvdU_t@o~ihasC!|6!BB?OP7RYwT_>iH@T~R z$dUiTP85-%qVwvP`g(;Np0phh6xg>VW z2-~Mlue*Pt&rCoc^4QK>`$B-@e z(gij)70s$$Ujn@Qj+mWb(sKqkwprK=%v;32-Vg}N#D_BPh#hq$z=}{aYuH$R*4)ct zdg5~@5Qrpa-E#(x*wGuBiDlJ(2+b6QW+L&d-volx2=? zL$_*AhnD5ey|h5&Ss#TuCW1QlBgU%1YrJZ@D*H09%u4U z6k&Kay$+XMP+4A7*eu~Zi;d5fk(1Atkvq(nVH(Zs;{HBz4z5uX9lv%f2Lm=VjpOIs z*2)K?%9U=lt-ESYiauuevh}DtiEft#ALFRt>BmQwZ+eHSBP>uCGquF!21fu(edcFN z$s51b3=gL{$Egc~vmVsU>6F8@96dpYx9JmynxgMMm3a3@DBU7s{XW7W6Pm*zJtQh2 zAm5<|hhWm!%v%;9T4t@=hHztsh7M}MBz7RT^bjGnki%-2EKuh&wUAS3^xQ_4p;l9u z#t@Zsxx{g!1kdpW47tRF@A2t@i0UYkoZ;uFrPSF!sK>)hm62cOsg)oBjK3MjA52yW z1^1=#h0gtqp)RE&l2wuBipxbbCmp(tq@RPMU7*f|`p41e`JF9V2t}M4J#O$C-XOVg z=M>3Wiyq>{Vg#3MBfxj zcHasQn9h^@w_wlp!C#c@g7+mUGn_a@MpWHRpu6jbrm{lM(40a~UuXSqd&SuxaJ~J3 z3~4(StM_8fqjl4)0jiC^R5gx`|It5{PyzZOOqzo+@`5836t+vPaz{p9yET%PZaqGo%uqcGhbvFbml{c&V0nsnNOgfMpmSI z=1k*{gsO6Y-c|H&T9HO)5ILw za@Ox1Zz5OLz$e0TfE#+zk?f2-l?2fpFTD%#0=e!yR=s+=mTU1dzvOJB{UikCU3!(GP=4u9#SW>Fkt9lQ?~ zP&E1N{p?~mK_n#|ocL-;ZjF4EmU=yj?evGF_tD8HS}TmENccHFGWX~5r{j;74O0S|^M8OA3S@A9RJMOs z#af?U;Ce1_ZOwWjCiGUfpKi24^6lL6`XgUpYzw%mdjY(_z z)X#DenTC-P*^|SP(#zC;5kl%}^*Pba;kvcqed4>1#bf(oBa5mM{SA-4S^`ZUusQ9k z&toPV;)r0WLDV%Pfj=AzySJ?Q#(HlFd4+b7)YB71nC~%E8nE2r*AqAwY-+2p=VtLKMvG3rSR%B%H7=0nJ z9^8fSWUU5tt{1)9bd6kT>S-+`y5FQtIbS)F?LX;VO|QH8>v{pQj?ZllTTcFht#1`p z*uDN_U5R4UoZk;9bT%-*Ro}H4Rtx>vI@y=pK5y>vL2Kubng8GKr&l$uVGN5zW4QI} zwwg_!v{oYnOcurQ#k<4H9>muW(zfe>E`1fz1M7!jre@%n`PI3w^edRo5n;h!fpOi| zLY8&J9% zq)Yx^)#P~A4%ozRi>tL0=3I#Vc!OTzjb}C13D0UaF;)LDmHF-=?zxl3 z@XE7ek^RlRgF4#<;5VE)=#|Ywwt#S{L|HmzJq# z&O@KJw+hx!r$ehxgWmQ$2#-f!t_|JrmXUo4XnpSeeYk74Ee7=wB2XJr!z0>CL1}Xw zD$v6cy8^d4_pSzw)3$*9K3G|{M|d8N{{`hC>ULT~!BHFdLgd+!41g^hDksBMbj3MI zWc$VTDSOod*2rIm&pz*l(n=9Fc(!$N!+h7;ukX6vQEUxuOHu332H z{MLBo28e!_X}Efo&f}ajn`|x_Fv*H!AG1K?xe?s;Xkr=YCodQdUFMqNuD9nEpgwm5weouQk%(b#k%Xey!LVN1D?B9^? z9&;x9+HfV8<*$D>=&>`>Wv#Po`N+nZcRm|eO=bW0z5)E?|Dv~$O#I~kW8f8#`R*0w z`GW(=7PJ9=LR7$WFPhPrx^I_baA`hqz1mUNdTRcCep~^^gS-B(WDGW$=#Lm(1FXkg2-bXO)q*_yWOdV6hjO5aO(DnxneCp14*9Ps(j~`15l5CMDutSc=>?{ zx@Y-thNCw^dN6p)HQ35r-wj82?Q321x(>#7A4gnlg*kon6!+{xh8O~0iZw3qx3EJX zGOHzNw_? zv+s@6wz>!c>CW2fjSixOSBf3Z2RBvyHVV;l^}W+=0^Xj@islg;`C7Qmj9Ck>2|{{o zmVMc*OxL@rhJkY|W{M;0d-p+n{fAWO24yQ{!gYR}+4}Z~sayHh>1YHlq@xR49eqgt z+_)(X`mgG*NZ>INpsz9XeXa4g=wG@qe+kPv7CMh;hFvIZ&(HqLfI~MSph~+ta=*RFckoB)X%DcU=X?Iw@aGSwb?m7b=Mpq9T zq-OeSfHk-+*|O?9hw_OO!fPBpWp!?eQhGMq0CM&L?L*fnIQ@mJ4N^@yicR z0DN#>dU9*PQ%0i&U0JdlFn}9bWfTav1K-5f^&w&Q3jB=|_}sj1W03i&&%|)7^wBIR zE;TUo`r`RE%oNR+$ANEO9FwQF!-F<@t=m+bd=YV96x)4dOw;-0+s;LlIP7BDr>G)d zR{}BQg_fn0R7qI&sb)-o8_`hh`-)&q6{LU;%nheDM9fXKUesnokJqT(=wo5TVbn;nkY)`N*va?Jn##Q4sH%AapeiFX$SU>|6= z*7keQWID&d;2{*AJ#6C29&ecxI>4Q?a(bRO)W4{jFf*`lGraKD_u{K)JE%Nls=@cc-a!`_jz z*GVsoXNPAM9zwLtRt1p`UY~m}s*G)0>Bx7i91$WwzJv7S1IT_^0U049)mc&3u@F7A zDVh*savcTy&gJL(I#)H^Wj{}Fc@P~GH%0K>C%u+T;MQooAb{jRAZD)(c;s{adg?cA z@K9ayr{UlkGqPK}>ip;gWAb*M7`UEi$NQxqAXWA)yY6HbO}m~4yb%$iBcw$9R=Y`X zwfnJAPQ2iiXW|VT)3g~S=9vPPZ1+^o1A}MvvveOBtj!{F6Y&Rrq7MNq{I?A# zD}6H@1BRJLzmdOMO6(WS8eO1X=;eZ0rv&H#eeH!XjHcu-_Ny?NM}zO@aG%JK&53t1 zDcdoLdXJ4Lf13Q%!Mbrn^d@RS_cr45))lz3ET{?Vb>#TqA1F()Gqrzpu<*U0q}2iW zfH3clClzD};)bx0zgpyih0xslp628H&F({fYj-seS0ZAN78TF4cQJ;(k!}_=`FSJd zBF~}Vv@Bo;HAkv0(xs~w;PKoM@i>g-nq2o2Zx+OM!|t_;JY9RSZV=YrL1^hZ71 zZX~55CO~6*-Kkf@7hL48yCP4Bv?=Q#5$2Zrsb*72)hA=&Ug5Ee#K5RQRf4wZ z$oe4Uw_x9w?}*JrEkSOqEoFS{0THrqjFaEQL07SIbZ{_#u`~_035hm@JV#WDcwIlo9^YOEgyz9}v`%Ux0 zez8*3xy|Wm^@K-~9$Zb`D+>B%J-NJSk?AX5ShlKBoe1)AdC0(^3ewaB-uTBYchsGW z2@WkR3A87n=p;Lp$&zpb2a;_aBV@pffpEKzd1-+<13_DK5yW>vCEWG^kBzpGcn|Mb zi2RigdPn!-?ki}Y+$uF?&C0~%P_0?WG%=2Uc!<5^zQ}ZcxWNQ&P3)SK{Jo#^Nb*K{ zI({A@)4IFee+H8bPhNFkb4_w3IWjivJQs95Jqaz#FP=fy{Rdrj7sq~T5bg8~?ldVO z&DzO1wX{T%49+=+!-Ln{=P;e#&Jq?yS6w0W6pJvO;JY81ulYiP7-aC$iI5LFB7bG4 z{7nA6LT3VuK=KmS{06tQuu4Lgf}O}7$NK+j66_p;%Bn%{Z0ma~w|+)x5S8h8D9FZl zm?HJ4Tc$CdkWaZr=0_#h5HSJ9>PS}5K*J^=Cr$zD%90TWJAT4U3JdfCQR~W8Oa7+! zF&Gg<(k5Tv@&~$OfAU@}DEVcqZMt)`u#8f!W9wMgPMJ6{6Y3LLU>oRIbL?}p5TRG2 za3g;W>9NKPAqi??8{PElp#-`WE|`#DE@wK5E-qtRp#3qN)~vH@Zp62Py#d{6)L zk>5goxTI$@lp_R-ml#01n`rE$n%0BzIRt7)imrsh{lHNmsii80is)*Bc-g=_PEV9@ zWm3z_JUUMe(1RLb+wn#$Wgs^Nvi^}vDQu_MwC#-%#YG>QMYwLuTSb31uE+UG)A-?%-qjGFpH*_k zNc7cYyD1_&+xR|3e3H8hVMN{^2z%1svbII`M{y={_(Rj7+d$`{;znZ&wKO9A<%C9O z+QKrq+-vs*zTpRL-89Id1bItq9OH1&H#a4Jbr>bba^wxf0t zYh5Tuhc)W(v=}B3nSFXI^~v;{Wn54yV^EGc>P?xo1fNE94%orHm7DKpWnv6YYh8#d zD^cpNKGg=RjYIHBvs}4PL#{t_G_Z|MCUzr7h2(wR2Oam!hI6RZ^Qu(?>hZtYqjKMV zA4_81uS8VS>-{P!!@Vmn^03=#Z&G}f!Kiho^RC!EG~H(YYpd$yJB~)?QFTe~-C^kv zV;kkPGW@Y5Zla(vKa=7pW7HY=n+o?qnF!5V7lu)JTRZbflOmK#QndPML`@DNjGd8J z>0SSbydmR*Pic8~p;R+J_$r0xdpvl?xA2y^!z&VV!e0;W*5jsC6fng;k>oq~U6T!g zCRC>TKQAE+oWY>8@kUnk80-dbJ*CA1DiY4%b#7SX`AKTp9uJx}M|qa_y5FXL!U9>n zt`Y1gc0Z2e7F3}@QpaJ;JGrCEC)X%rEf)`BZ1u%J5*)k~sK(&^6=LUcB70m}+pM4( z2Zmne{TB1BklEHUgO1n<#+t;wlRI+Jr&`?b`%Ci?r z&Rb3lG&vT58>U})hZ~X2P{xya9+*4)uvDzCY5fwwWA0Ews&4L2tiiVP{B@*SXP7i1 z(&hHuPY{lni>!$fCvoz7{sb=+0u5hu+EB&jlkYew&I>i|FMvszy)Qu_22^24vjQ#H zpPcuwQJO^>R|J2WFxvg%)Jpc!PTdO!ktSzW&lI&D0t*WX4yRY`M774I@k1JpLRppF zfI{};x$l5F7+eYoMSSc{mMEe{zqJPZ!>h@bB(GbF&`8{Vfo1P|N&YE;XT3GIb9V*unHA<#>9Pv$=5DIgIU; z*hNcdufkQM%n{ytdBvc^0&Ua&M`I!GaebrI{#UK}KLGcos5N=-BDPbeMq7ac&AvxP zAizp1wdhQBx&m-Gnax&&G#lt&&qlU9RRqvU_HJYwJKZ-=e#$OTaDDdD3A<$l@-*k9 zYFM^wr0(5a`_p!OPIbS%W{mR}D+P|aN@m=-YH3rzwO8d}G3@SJhh>9K_u-f%vTGFD zo*ro;#P^sE8#BaP{G3&Ix6z50wNCiRJ(WHp{hK_1P<#91Ia` z+o4A_TKA|Afh9-VKG=SsbB%BIT2oiCHW?vkH!Y8o(VS!ps!rE=&HaGFtvzXWo^tXi zjL=N14%*@ZW#{XHiq1e$LVgNws%l|ATnB%EIawEJ2sFFs+waCT$Iw$5$GGe6R}28l zkH(6Dc_S2+B*Gt7e?OM-3r`-kqBry#>}h<4t8R@Okr3mnowDlYMF0?4#bLBBTTud( ziW2Ns5o=)KoHc{^7>GNo4#AK;?dL|k<#0!}M=Jssn}k0o4Rz8>!W~ixtt9$0?0AFoC;M0pP`sEO;C95;1U?s?@nuS6W4^gpNKl7O_QI z#no;z_A}fnRVg-!XW|r$2eM7v%I26c089PqX1dVUym@jgTSoz7+!#yw3k->QGKFcP$9$%nHVJ&; z^rXFw+S&4kjVjv+Q2mvhn8U#R!S-s}cyp;*#VL@Fzw#QP=+)35lVs`IG^bs80TaUk zkHJgh?EZN91jf-(9kx@N0LuVJ>XY3`^icd@&_gS@AcF;Wd0HE*HC zW8(~C&aED{%O|1Jq|eWZ8GOPyJc9=NY8g%3zhTyA_s!w=R@8+ac_iwHj7!;TYr=L` z=Kcn1c{_2T1BuRJl|I_nU0J>#&Rf$@ZWYyp=t~JUq%!Z$e@=e5 zqf1))-Oj2B@s&zn8(1;hWv5A6B5UV83pM)65aE8_l3D7sj?|lHIa zHLdQ2uEu3t&G{P^u*GD;#g9lfxdL1EBsGwpiPS$<9fbf)_r!(6C+5{t(f_^2sSDfT zc+Ba}0I`-)x*V5aoA$W|2478whSZm-Fi8*0tYM_cP_IVRs&dsB$|aoK2pRz*;SRs3 zFu_*Z?)sE`Jkw=;_tUilpw(Cfnv~-;`zc{g> z#2{?R$f)L|Vd0{2E1VniEc=wZMY6?XE1>KJZ4T0Wnd;t0rOKDJP_8!D<^jq3j(;F= zL~cWwpgM1=F{Uh`*4Lh@fwsNZ;nCp!t z@8k-xShRwF8GI}zuFRm7=c)|XWA%*iQUl!Nao=}{9*L;4L121J-@fn~=-O}5eJnSxY+mFR`9=0=1xiK!dk)+T9aM)YEY2hYnKtWXnx2F{KU zxH*hdKwWjlyR1Uss@MV%R&hWfFwajZB^7X+sU^yJ1YT!^fHdeL^3HbIu=ys}J zeF4wfy8eASBN7xA2bJ5PUOns2q!4FgsUrlQvVtOIywKb7m1)BeJuWtPCA8 z*uBr~t+sMSg$@;G6g&%AJs%cdWv0=%Ceu|?4O`m!+qit^7XYu!bk=~nuS6Ls`^tP*HZ+h~OsWTRYiv@)Gk@sn>5(Au?MHUK#2+ z1Qp`Lx!K?{570g!Ripg=&q(z+Av=F5Qi{P?wXW&HSH#C>?7@5V8xnt0P>IIM_HDdu z$b(kUXrwl5|ypfLZ(Sf*R zp;)BdNXz<_ZBR5jCdzH_J~%q*kFfTW+0q>xZ%trOB>b)B`s-Q{p}*a^OgVu;?lKpU zBAHDh?7F=e5DW!E2A9~+pF-N1UG(4ApS9d5bN!7lEK3P8ircj$*^#c%Qh0=DLxvE& z7Br46{(_q-=Bw(?b5yA|^uoT$7&MH9_`0Yc?uze0_sAJzbbb!H3d5PPfl z^=Y+#lH|F>YI@%y+$HN)Pw{DWc9J5sYpn2m7^C`I5$&15X>Jaw2BjF8M>bGZ*_LI) zA)xi`7~=OYLc*Al4ae#Iy)YNw zBN5~2eY)@I#&QHt1DVAxV<>gw!D3*y!Y^fj$b>b1x z%i*SBpr~se8eXZm&>Al`W_h|(=0ul~880}YS*$&C_P{c@M;{HU(Kg%cDSvuT}+&{)uRJrOK^$mL`b zBAUKhrrorrn{dnr6hjGO#O>MI*?;YK_DyDBf@@Maj%f~ByQ_(R9bLkD2f2mDc+p+c z+AA;Hux9*oAEe~L(zW`Z@Gh;-Q2%xIYC+V~(Rl2Cnr|n^{^x!?Q@OPk@s6}C-XqzQ zMUnseQgCX#=fqVC@KP;N^P9oGS^G?N@CZVW*QLyb+{f*@*Ik(l=ZmGL%>}X?eDPrk z51sQk>f1js-i*aYh^J2BknMJ}ypb{%1sN=K13M$Hf%ky$DR~8{O#|HV zvor-myy9zp5wjVf!pa9nB`u-@{9)(OYW0pv{DcRrcwGRdZDSZ2LDb7E2?OK0} z+-wb~^Yf_n?`kNzlgwc#zOSoBtxZ)~n_e**0<@5Kz|-K6WKD=UKU z&7mcD`+-cpM$ifQI(U}0nZ^P7EJ!DB{q>Aj=kO5EJ#ys^QY+R9W*fiE?Mi-3rC0%H zrWz$<@7=YGYkQhWrLFA#wJ)zxLUw&7_4+<(i`#pmM8Lmp`@Z+Ykl@)<4Qg=u&p-jW z?P*PDP?V$Ul7P$*tJicjP{4wXA@TgUJ?O|KBvypUihFK%Fhdt6fO>8>ocIxcGP9J8 ze_9Ca?gPz0%J3e&!Y@CLs&CLy&SIZ?d1X~k&Dlsk(<`e!{?Pdzx5;{)+A`^K#$^NX zk0)eqW+Ua4aml*+=QIMZ^G3Ns#GMc$*_+h)iX<@-EsI;wD|qtv5z9SU;otP}&m)+! z&1H}M!ji=2V9-a|=^g@uBH`s(QY*bMzJ)paa=ZD0X@vl6CTB!IORH!#7dM1Fx{wn#dHZ7JrINzU&}DkfP+QiIE1B?BPm(%Zg#nB`mby$p!ERq`>}ynPv;1K&YT=0tQ&*Gkd3e{m=ZE|6ED@X!vXzl z&+{ajl5H)RX^w4Dh9{1eh&<{Tcf8{B6CvZpDpzy2J=1q_OyHE3@so>mPuC-(UWwx4 z*8BXH4(dNa8)LtKr}7^BjBGo`hV_k1OUen`!FI;Z;2PY43H!GX3}F3+8rszk`P3KV zaUl3A9zTTUITbGHNeddv&Q$LDsjay0!o$-jU@XF z2I>Vj_mae^3i(nYJOMFm<=4Y~KtAyjhSV2{1&flTeB#%DQrmiN`3k1{c!0gT)Q?o+ z_=DwY--M=ee5B^9p?Jy6aLP7D@LYF})$~bFOL9lN&Gd`A`n32=p~2We z7AeTqNPMAiDMYGbxTS;bB~DP3gjPN}Sat=|H7dg^1PNzq3%ImC$r<(FDRT|qd#IpLGk)pC z_{};oN#DJ8RP3yGIfNA@&Sy&hIL`gD_b@&=7iTj`!0=HUl+BC7gtP=n5sO5uaHftMHa}SeuEq)jVRK1tFI^e4MuO^ZmhHWG!k?P z?v0rAMJxMP8EE!LD|dp}d|C){UPwDc1WR5dJ>n?$%p1oLM`*b~nVDvI8eUxo{$ z-EpbZs8Awf2Dh87+?bVkk+7xMIB^R1E#>H~hcGJf0+N#FQdhHho}nrLR@titYR0<^ zDNW+noV?+qh7%kiUhP8iq`JW52MwljTjLKlpEC3G*}U~$^nRWq0%z8^sOEm>HXe1+ zT|C8B;yo(PZ3J;-1F)KQw?-%*r%AP>i<5P15_7IzI~V|kWWMHKQ1`(UCoj@cX+99y z6klcNn*hsz?`2Q%C?{}WfYS$FCzv0Z8a#+p?IWyg1!(qaqVn5 zh7g51w}1rpjIL4=lYm`6uYg@|n@1iy3o**j*9UD8qmP&6+eYt!tLszb!ViupTa)Wl zX!+U#c=%@&u>l&>2j?r$=3f4NCEAQ6?(VW&ZC! zhS4ykE z_+85*oUN8)d$jum@@OIyAz_eVVakwn9~DbX76@N*uVo`YpCbxi6?p;pUt>NLW*jJQ zPg!0c@JmhAUWiszHFV!_6LR3c7;MdYw3YFt`XOMNmZ^*74-8E3UE!%1h^(tk>s&dK z_+ZtNLH=pg5p6K#${%M zPfS+rA9(Vg5pvlK2A<;1d^7cD${FITSlB~CV!o`v07!Ry+A@)zj-G*6A(BO&2!AEw zQIu+HzF!#)>^MU8*iKjYPylOU7s`XiaYIc-@#1j><6XE-lszJ+zSQY}Q8p3F{5{?X z*<*FoII}y90B=q^4yI|!F~cpvNRh9WUtY=V@)V!S_`OHy?gf9)$Mx_u_M7XdyS;J^ z7lp>Co0M|A!zv9mZ@osQ=0+PCZ=boO^>4gI9-4~QBn+J49rrL~5QOocP4$cC2z;2W zWJPfhs)H+0KZJ*o789HqCF2bwBqDN8@pyf>HsT3~6E-2Cd3k_2oWL+w>#NoBBDHm3@gyAbq@#Bn||@{b~X(`Bz;R^aGDy z8h5WEV58lsE)df*EdKLxdwxKO`Aa6tomeEFuQok;qPJ>A&dSt=p?Gszj@pLsb$N5 z6+rR+Bl)Yp$kS)?*EtC+tc-<=m@fQ-7H@`$SA3_@?h+lm)x!=%`ftYsYq@IcVM+7UYxKyY!X#paDv!mBhk zcb{||y%rlgvvZHcw7opfxQ?Og$?AXfSF;CC*beu6OTlhmp*@xTQmnK8`4Lz60heM^ z!55px$%~{WeW4j}gXfJHy_>7YDh4kvppOu?uY~#7M|ve2i2fEV{K!W4X7&{_nEs;7 z@z@5{?{b871Ikf}bE<D+GL-o2lK>(YfxPCL&dQ! zkKFV`Q|P0J2hsm(>^q?0dj9t9V%1eP`f5o?)aXmpm53U>_ZF;9)F7-LT|}%Xu~C8` zx(GtBLI@U#E_&~sMET$E@BN>*ob$f__kYfwJ+nJAcV_4LJkK-t-kG~q+U}SApM4rT z3vYcwLqOtf#cQ&;Er-;1)$?++u~ed(nsB(m@=K>QBYKf$t+{LLr%ZOyU zdLvK8m8tZUSeQ+}S$reut-&zYAcRk=3ZCtV(9Dy5`26-8I)Oi#!kQbw`d-hCK{qNJ zG7?@c#_oj{f23+O<}DaeBlComdheYgg=D|h_UM|iS>&z~iFwi&VFC)Mjyo8`WZVLt zbF)OA&40$yF+CV0tVTIrOx}mYtIO={dj6lXk1onKdfB-P``>WBXO8pL{eOu*v`Z?zSnHG!KV7iK_1b_sSl3 zx_*=a@=+7C=ncwFa=HtjT0w?M?!LJlWQGi6G+vZtG zBrqQB8W5#FXxri7+&6gm$e)S*!oHMrG}{AIv{n#u#>)9lTbhsya#o}xa;FfiE`qU+ zcRhps>54$duVK1%?O-jke|qXK;xDMJ7o0=lh(qFTw&F(4VHD@E&XBmxGgt!fg2LWL zeuYIwtu8Gwhr~G@aAyFoeeSnin8vq4-h|e-%q(t-g4P3w-z}=73(HZ?h}O)aQpdr6 zWkt_wfy$uPG$HRB)^_yP3y!TgmsXt58SK*@x5u(ZxrplH{p=?BF-~vFlV?O{hm=6+ ztB^<>S6h6!glb^M0?;GI18yrE#-tk)C}O>Uh{UmvuhN zzk>tC=|nX=2Jd+@6N7obzGCQhv2hz{j{4u4t8@gKyU^*48njv>V?F4UT8j1QNtsK% z%hL0v^AO5<;7E0z#Cnh|wIu1&)99}#X&(6gNsWr0e28*f9Yo`0AcgfpMM&JIeeQ+> z?%xBLKoaYP+>khT2-#r$TmUnd?8D8Okhs%5?uJ9|-+h=s4(o->kT|xGIO;uac~t72 z1;tgWBKWYhJLsEMWRw#+EK_KoHWF7g>oTPR07yU4g*jugURVr?)86CuKjfDGjyX$L zwBz17B;C`7bIC4(F{JAZ!fj`i%blwPaf1ik^4*y6KFpb{^#VmmoX`RH$v*eh80SlW zM7F43g`{dAUb5$JtDE3PXfE_jdo-n_>2DA#b5p+?R9M>AXhbvc@z<$XlTm zcX>>oxfPD%Ez~VNo@Zz9ck{JftZLa zH$EGp+@Yu3v1hWlxHM^+&vS-a%$IjR?MYcotz1fl%k~7p6@Gu!Y%VYIDQ0POZXNxc zMCp;-p8IW1%gM`0xKR_b6O-4jh?Gfae-4gJXcN(tybvp^+#>igV)tyeSCvcvhA~YSi!@R&P2Yr^u2b$j_@^QV!mIY=a+7kp+`IloyXB9$d$wMA z?Q`<4+AUq?9Jl}W0XgnR0+7=n$SDL8a6hs+qW#Ou_UDMm=HaiJn}2y-QIgDD!wyrGyf%RaS_23EN#K@r}Ty~eYI!kDuwG}5A z5@&u610;HISwj{G#gJB3?{Us(bB`|y^`#+s_Z&Lo#$Zml5Lc^XK}~f%Al09 zZ5*k!nM=PkCAEa{>e;-%gn8N{w3uo=aMN=x)fx!m{(Sz)^Vg84E1b{hV_RN643&tz zV4*s%XFa$>b?yf_XiEQe8t)(a1&HU|*{?S}S5jwtZnnie3>AxQiGCPL5qn`o`1wW* z%ZS%2(Y(-X#an^~dR+fkYhr;UdnT#+=I`k=~(i z$7sD^9}<@WNKQLuydQJMWxb#esL&zz37}k^m@@(E1s_1=-Uda#D-KeZ{RXt7f6BtQ zz`Oqp5CVZ!3LsN2`8Eke%BP3SHyN6SRL$9X0bSD6il6S{)fIj}YY*p;2rHeg>Da>hHqzdid zZpG08&8e-V0A&OZZnWaG&tWP+_M*lGKtYODoJcE92*|tprcG2eFlMy!9M%n}k%(&I zrT#YxbA3wvRkBlw)t&hIt!|bcXZ=(1gGH$&{`*T1s`Gu;0|TnhFCPvt_rN^{nYczM zmqvKYqUpC~b05BKzbWb6BX)nOOlqkNy3}57346skBP{u3Crums_$+a0dG8>zM{bDo zrK5tw`i@#i+(by+-9zrT9hja&?yPedajO!Abv|GLtX0>8;sz1rD(FuK8vEv;1pu=719Jv=kht|iWk_5b zp!EY7DF7Y7hVnw*bEU5TtDR8Vo)M|fwk;}Z+z$u;e zh6ch&?ZB$~$-updoceTNYk_0A-4aa|m*ml)eB(>0S7AF)o{Kvtz#73|OT@+JgQyvk zoWJN>CY77nu8cWnsZC8he5&^;bo`eZULW0;*jiGzJ^S|QZXEf#n7@}Di)2efK=TY?G2~$0k^#p;cRYenc)opbxxD(OKGw{6fT~E_eBm@Oc+Qe%4v0(PGi# ztAoA#N&**?-+>KNB^9T$xPn>ABZ!wrYgt)qpys>rDQoMsb&nmM<-amP>cBGpk8c~2 zA3U!vd*M_2=WY1a@9@xLgIcAsuN=kTU(A*i6%W3dvVj zrFX5a>mRy~yB=v63tCp^bgVgLvuaJ-ELe?6`Ha?;IrXDHRPGL7D7KIFo#a0Pce0AD zEA(r~PSZs15h~4blo`{I~%OM>5;KUkrVySb3wYny8%m%m6={e|wg z+*%YfnJg%y{98xyPBJ1f_U*8acB$)I{KvpD9#X{+cJ-KUxf*KQu<)*{TM1u)Q%q}y zzZwE37}KpwffHkst;(8lYx`cDy^nCc zw5zUIN9|8~w&FY%ZaPw_rt@1u1G#W}owFdvXfdqtD0VOD2YIogZH^)+%udvPF$WyC z4~Z+7;MR)35k=w#Ycz5Q;^H82c}^H9D%dMZSX<9MZ%VE^aIVl?%LN|Q$0Dj1Y+N;u zR%mrl!aOk31-~@OTwIDlGFySv{u5LZhj%@E& zGe-od9~mq}X}Fu&467v3rkqAVyFLja#z*(D4R9Uvq-s3RwpxK=i*kU_z`*;UOeRK$ZXv&cE!qm$-sVT#Qq$ba%F*a zifgWm31;6Dxi{>SA?WgU_g)L-)C5ff38n2{@F$`S&ZMi3$IIIGZ7;g4y6xIBjrXUB zzPNIFlkhaEyCd4Z5UmCJm42EY5!G3%+!e~$e_G;QyI#IZzgQ&ur!VjbbGQaaC;J#S z7G?<1Z(*8;Z|%_oSGXML#jOm9lahd>ACM$u@sjxPvGNnsC{Ja@gPVwO<@C(Hu9j-! zb}jSnAhuW6L3gf`zIGo>ki^tgg^DG7c~n)rX+3V3T=#58FhZ_B$Xw0r`)sdWTaw{H zMTFdlbhsA(`IPBa00I2!7xDe=+z#J@tC^k0&<4%S(YCa=aToV&r3JKR`|a9dyM7PY zwMpKP**2i%U|rjpadr!Fw2}JzO5mgzo$Jt%ZFHh7b{=d}bS+RNmg87Nf8`^v82s#; z!tVRN@1c{XI{{*^6_PIH0zkriBWnr^Lt(uI9Lqyt-wLiR@L~d5#ksr-r=PcTtr|in z3H)N4sr2j^as=7Ma|Ev@1j8L37c4Ty@^9`T$N44A2`=S;Z9>b67YueWt$E7bo}5jv zJYQ?Gt41Zdn-7@^k8fSvy2a4hpw5%l65~aUK@l1F zAoV8avhg3$KyeaSEEGnK&ibm*{a7aH68VWk2iSMRDU~Vlfdvazp`;HiX(p}VeUHZJ zM&hCsK+f{|ZI*PXiCd;t)krn0ow_D`g7_88; z56^KUP`drdZ17o&ZhLy$$Q^opMNoy*ffLJ@mnwt%Ya@Y2>#~0+*}ssj?Tj-=r8oDb zKDGW~H~Y=5jq66Re0oUnyCnre{|xzNf-@P)3kAoTx8GXX#`gvpb_1D;ot){<63oF< z5=rtbe7ij1NugnqGlt=j9%KTbKgia(f=QP7h*%jf?i(+C`>MxBOtvCyR`quIijGG$ ze)rc{+Ep`>`TvfRZC2Eo==&vmHrN~Lvu;BvPO2w4u#_XiYmOn#tWY^A<>eZ@oO9B6YI|%Uso*!w^=9SIt?7arFA|}>2hE5sf zg?`dF>cwIfrKTN)L80;W(~jE{98ue(mEL<cEV~KOr0k%#o^gd+^aS z=4XK!DS3nFtIHmzi<-9SloOlxG`2L7^OaRm6tpJtz+>(pw-!!*hb_S`&zNhbKlgQA z>F=Fa?>%FX{Y|yMSH1J-jKi^tCt;qW(bjp1%HaMrXC`?^6tYxEO!1TA9eee9oZ9@`E_6 zOff5?dd~0r^%bVxkLf>%5U3=GjQY|-L+HPMRrekopFSrX+}(Pv&`Sj(E=YIz(U31y zl)uBKtKzR;uH|oDifnYsgXdax`?v=;A4${d9?!h4y*0i3f+4$tWv5p8w0u{0U{cvw zsC@Ya%LW+vBxl)#aBQZaD*uGEN#|nzV?uM`nBlvIK(d~lYMJh0jC9}piHh{C-c_6V zPuCHz>qNZH2^J|oJ-d!#8^tCly&*MO^hx~W!LR!j|5O&w%aRn8u4h|x%T*=Gy{M6v z-YEZETE8y8kltt~hfR0%Ez!r63(hPFT19%Q_*PSgimP1xBLaSUKQery4o^T)lLe2w zAEqFG_KFelkWkh`>I0%MfjlhJGVq0Lt`tlDVDMFma&u#BVZ2ytylwv$wd--gx%8t( zlGdKy@7CShX+v95jiEf*qWL-gE{WW9htIeLgCw%rEg9=Fnd+v~6QT9irz&Elp--Z3 z{ix5a&@YMPQ#aEU4p`3DYQ&_|Rc;ymDfnfSycQM1P@aEJTQZ*gwj+nTlFm^4WLAL9 zxr2$(nSIoQ4Va~5u2Py_9p}@W!ki$d_Gv8yCf(>`hrLH{7}J8V^HwbUc|5aXgGGtL zT!u}Nu4moTn7RrXl1EziUY@;R5Idnsx{q>@S5!*RC8E_u*&Ekcbm`DMx>=;e@>8A8 z_h;|jYSvh_`}y$8QspNnq&fR)cf-he7w31#KQA&i+PANt6?0y{2*@@><(#s;tMC*w ziAHrL$NQg4ZkMQ<>#CXG>{tHIJh^6kjrM1TN`A9R^4^SynWR8#NwvF02fKRB!U}Vv z;f`Eu{eiu0^o~R?*;#RawrR_?db9RONL)YJylydRCMw(Nn&dT!v?^zdkeE%Vx2$3a ztZpD16?Vuy|I!(2PV7qNP%&hBCS^QnWlrSE?64heUhst9Gu-I60J6^=s|l_fhD`Dx z%iOV0aNReEnGn*I7uCt;-CnSVGw*Qr8zUQ)78*5cH{0zpyKMsJp|Ip1`+=|LCq~^M zX2V~!S)dX6slQeGh)@a8Iwf?&B(f7+*AFq{L{7P5&%t$_5EeRYE<(o??LUF!22E-z z!X=#i$?@#u!?|%2w6A8Z;3cN$6J5MWMYt0g9z;IeFF}S^g!9}*#=2o4AZa&3Ok@Op6ZKU;N^I3K`h91HvMNyz7qj2K%a$42dSuV#VluEfOLUh}X)Y;$~>& z>mWY8GQ+8>wTI9oWt>$QT1pXd~Zwe_xjKV`EZz2!fvD@IfuaL<*$W8>!fNb@wV0Cx{ zDP9zgswEIC=A2o~CPHx&ud~krQ1Gt381;t}p`gU;>YK!<3TT}cdUOKmN{spfty4xL zbnpyMm1+9lFA+-Fyyeh5F{T(*78+G=pHwmpy2Mc69myxl8Bydvw%3k4-q^Um6R-@5 zBXBRm0$YM2@5l+5OtqL$5VQ%xcDiPl~~#ZA%4 zlgL*@Ynf1SU3Bsk@&?fw5pl(6Gl@K9%t_0Bgx_$2gjSW7ZpLrxZgRXcxmhV=Ng+Kd z(Iyw@;~zVaw1#4z-+Ij*IkPI|>)gi_x#hJqY(ug`34Y2|-_`_}Q+10+H3HSEzO4%| zzf&GnYiHT*lD=tjqs63~*fpPVGt)-%z>bZ+{JT~8?FfnJnj-_WLUU|V)`()2!lW?$ z+#va_lJAfX4ofAx0Gu@JB}}o_o%71kfp@IWZ~@TK5Q@vCcVhv${)?46jZ;tgR?X zj&~s+#)KkB@hs%SBjZ6JGgrcj8(2RC-V9{sNmxOPg(C1Sph*;A1szrsfqx2`e5|NZ zr*TgIhM@dRwu;ddzT zx^Pq)0b6bO9df)k92G&3TNVC|0Ebl815Uz3E6 z8({J9h@%J&Mr<4cF9OPXLdZss{f@xXg0k!g*%+`8_*yE#G7nGj=Q%8agl_6i1R+?w z4>Bo)taZme2mf><+@{9@!;wPZH;HT}E_p(@4a3$z>-5nOcPtxNJTKztakC|wK__)* z-yJOOM(9qDjX?f)sBHM*+yZ!@lw=R_(YOE1vflR&JkO^!G_V0o$kW zJEV9rII4vpw=Vn}8J-u8DksQo2>(WkXJ%7cg%^OtLF^i0ES_(|w-*CPG^nmpeXy2| z#;4y+_XKM0o!I4q7$5^o?VjMUh$_|HxVk0Ds3ow zwMT^*RRi@^LcbqJ#uAsbL!`No<3{63%1#R-d_%-Qu!2BYMUf>GCuBOcRQdPD`H>X} zJUeKkF5I6&=R0JQ8|eT?6%#-wkupT6)_+O>nkW6D$)=3pKWpBna0I{>II4i4q9Obr zqOd7hRslM<&bbj$53c(SF}sa~yJ3HU>xLj^yvS@f>@v7+0%CRtY0iTh-QJ(RW#(W- z&GUv;#Pl9*c}05B-5<7~Nk>9K8tgU#9|D@RC4`dWwcsTJ$YwWe8o_o$xHK^;1sX-I zgR`g`gSaqYpG&_`o)-W~VnNLsM%*%2=idZ1A!+4W!Vt20mPv5eQeGy%ZGf$t4iH;8!R3j;j8fP)NsQ_f`0<6 zp~0FW@S&hAcf#!(SVuUjlAwMP8BA32D&hz`C5Yq(9Q8AxR|vcohy_4{6dwXdH4_L{ zg*TAltDtp;=nS1ycHeAb)P3T0#ZPKzBfZp};v{h0BxI5k>FS0(2G@;3%&~yrz<3x0jyqKu}SPM8D0dA`X34Ko|95qI-2!1 z(uqekpdA?fj0mj_Ykp~>K7pe`+d7-1m}o+Y&ifRk&I)E@vd7JhNT0oC$EF|5Hgl{f z%Gcg33W6r~UrdULTyq#V3vH5$1!iLJ5}_if^2N67!QyHpYM4g}!eH?Ui1aO_z8kg^ z{1X5RhRuQc>Y%gSu>WtBQd0a|_qGmzgRW4e{eJ{x9BEF3dIPO9MQ3bsvMj=hQ4+*# zzj8h+qDKKa`|-aZ@*kYYWHd;&EVzFIqbU;UQN%g(=Knnjb0_>aT<+TRgX>a&So?QS z04}KXkl}BV4|`gnXt14hg&61mf6E{@EB1>L0sF=PF=8cvbaKZIfyG&z1fCu%8%tAY z6c24AL4B3c-^P)}#3%vc_4!~u;5oB+(@WRszczfJ=oAF!&s>^vvh<>{rA7kHy6}G{ zV}Mf!vpyI7Ut#d0***;>E-@q-Qv3a1^@v;=8{6e9DBw>%ie+?-wdpSwkjwnX#@Uo| z|BV)*DdT`&C@VI71_oprGRcMHcgOxO)ZjrCWw07k;FbU3-G8-=aij(jsthVFfShu} z5`*hzAX!3488@sVXwsSRH8F~j*tX;S^A^Cxc}eB8WFC|eY!`7p&%g^H|MIAMHcx=- zW+7SJND?>f9gsANaG7W=75WpfaCa;(*cS)M5S7{>=5*amjKL5iD zRtL6UdGGV5qcl3PsKBAd$Hn zmK$963&O&Hb(el2?bAxI4Oruw|8d&&uM?LEWGykO4JxjIrds3N_>n`vHVo8(f_=v! zS+|fXZrJZ&-=B~yE@ZbGHV*7N4awp}-gU$7gMEh~EO(K`ZrEzDZ&t);`!9qn+FX3$ zos)`6Sp2n%jLQ}0z79pKnUn>3UYP9d!JUy&cN6)GhdVENH3%6894AOE2CB^8#2E4Y z7-ma(5LI7Dk^4eZ3&(Z0V>@MS;&?QzNrKAw9jgaFD^UZ~W4Gfb>{^P{Hrm`*ZNTjE z?(HA(b99u^-p%~ZN`4=nJ$5K<)|(pLucXEqU@60xTIHe3pI0 z|D#peNI*0;qEWYYXxXW$;KA)hDraY0lq2_W)vbK6Ss(4PK7W3Gp~n!p`d6w(k~`}o zYpfGy!|@T1WcJ$D2+0?eC8l2_KKf)9C!R^#SjlI4lt^4q-*1vkuHHpuZ%Gq9#aK1V zLfd%bQ{r-!OJ>-{s|@i!6&hvA61^a53$k9{y2731yb?*1P1v*9`0@B(^|uD3X+2W> zY?Z6cyj=@#KS`VkpJnb=SW1qaqbi$7vDaXx)mv=fGf?=lhGO}|(vjMoxP6efT3v#> z{?^o^dL<_R^zW=mvP;ACW%Y;!fuHx?KQ7b1=d_M?F6QM`k|$%=M_f>in=sAbd+4;L z=NljUEXn5I*2uzd`o8!!WhxYv{KFaY#>V(9?O{p@n-ZfBU+H+YHOlZ(z@}ZcD{J_*PUjVMBeV)^m_zEM&g}~p2xAl2W-z~ zEX`fIwwGKo{alHpN^UWBXp>9ju+BtT=ss^+T-SnrdX-S3_^9${fPrsH$)9w+aIf7L zS!t#74X~TjA}h){r)mB&U#xV^F9vM$m-AC=GJZaX(NwhSCH>tnl8=>1WPixwF*YBj zOtgcmVA)>SK@L4)bG*No5IEgbn4&vuKeRYg!R?2+eNbU&A6a{k+V9qM@#)Udy2ob~ znLgblQuVRa?Wb`U%Bo8iJU?fIQ$}`lhxm7KY}eET1lQMrpeT&18=WB?+Ec^)w+@dW z9Z!ZzwPjhx0}eOWsfmf^W%p zuZ&@LveC$=G3(Nq6?3;&!QR&OqoP~N>aQHNORz?jAE1mqTM9P6p9}{Y zTaUQtNqyOs@Rj`Df&Ka>jrk`s+fgn4TT)2;*DXUaAu#Io1BG`)Kel$lW&Zj)oEx~1?=K}LkBDvo=w7gv01 z;pg|++F4@K&vq*Bdkr}x^@=_gYl6_Bs+Cb0Tbt0Oj*S$m+-_Y`i#x-;l@%m)*4|FDbGxl@+NLKTC)}i4n3ZRboz&H=Zm3mcI@?HiInt4x|Bah# z$42{W;Mr0%$Gh7}kMN7bgz8L)1eL~>)vR)_T7mv`50EO6+`!(}lgyuy#vZ{#w|@B8 zzFyQQ+|lMI=uaL!0?&P`8{;pdg&%y4&tfK5yw4l-G5>_?MgHp-kq@ah4??F`KZn>(`{| z&9Am+1xGNFVf3ik3mw>+y{TOcAC#A{@iP7T8C!BE9ayzwwNQ0Sr0Plk2gzj`=rvk$&E$}5)X;YJB-Wq0)GT|xOiD;8}p>ihSStJC8e92_vgR~Lh}_3(i_7k}2m z+_J=LJ<SZ`VnOfK2-WU91j$eZb@ zakd?tsI==B1a{K@<{M+zEu|*id7V1+?@Y%a!k!;)L3-YqV^=C`oXp$%fl=;#tj}T! ziJ#p_`0DCwhwE6jz2ThtRCdUF>hViS?{gNdn??q_#rXV7L1$v^CnwVjb1zY)&z@Zd zM9X@kcr%$(?}BE42Nnr^eKR9sF1>Ob^B6OILtFDtv^p)O_LW*?-qC^8ORgkRvr!ubq{DI{4_5T5-93%+< diff --git a/1ano/2semestre/lsd/pratica01/part4/db/EqCmpDemo.pre_map.hdb b/1ano/2semestre/lsd/pratica01/part4/db/EqCmpDemo.pre_map.hdb index 61479436e01c5e0af38d04f785f24e75209dbf47..674633b7acb3433b1c2fcbd3022f9b01aaa28800 100644 GIT binary patch literal 32997 zcmaI71C*pe(01+qS2tZQHhO+qP|6)3$Bf*4Dh=?ssnI9kjh5(4rVsi_>AU3hm509nrMm)OXdz^3E}cP@WIzPe|@xo?8kXo?G6N z1JDT}{qxDg@tNPbjwe4IwtCvGw_I4Q=G$_zve=F{ub($OuG)^bT()~4oE;rC;d1t2 zbB4j5O!U1Au=yB!K3H#a$Zh*P$x9dylJOFW_`FSdH)I%EqZmJQwLhZYXF3xV&Y{zEcBvJt2bvzn6uz&af^1==-ehG2)PPO`CqO+6!BY$&|+( zq{e zUqJho;i0iK_?PM)tVgPKz^SK93x4oRSL5#|e6wGfW#5Ng4}uA<*|_fJ{(;@A;a6d^ zcsgAv-5J|q{9)*r2~k33Jl&{EEMF+pmCU=wox`o|?dToxVZy7rm&8=7O<2@A-C^^q zq4!5Jw%s-?ZPfL8p=9$_YFAw?O`O%ysG}0a{e2mq?$Frup;I zjosyzhN^tL8+o5jx05%@-WxIrCp!yEkuL6RUkI{!%tVCQO z4eMw%mQHJbo$b6vVX4ZZ@crP0EP=9OE7<3}?n$m7z3CJm&(O&3 z>vj8RtL0YJTUavu+wO(Oi}8Ye{1R6(ar6HBj^P&Uo{$$5@9(J17{R@Qnj&wzj&;V} z?pGsTnM88KV#`FvfkrZwn06Y3)A(hp%|yUPD_)P8n;fZ+1ou&#TR?rT=2gq1OtF*(ixL{CxP%b=-1^fXj`=_cp0jx=`&&V?55z|_PdUJnPD7fg}oZs zPL;VTNiDT16Y&OJh+v@ed6B%v8V@-9%kFDO1e$}_2a$j-vh%)!<07@|ot}R;_SSsQ zO5;>|fxiy6{?`I@MYIwt7&HyNMq6~P+C_!8(n5aoveVShxV{c!u<({FGm&J`!{u#%!MOn5(oiDB7Z2Pu=#ijLO7$@S;Shh>SAR=;4YRu5`uZ-v8(1b z=mG|WV`|jvrE2X&yZQUdd|Gr}K+nH9iU#lYUIhBxWam8_lT7~{*r?d4yW>uP;pcGp z=|n``=0b4kcXl?ycqr65lQnE)CFre%s=Jutv?y+`e2@7tZ~(vjCGh&Z9-a);A}Dkj z?gri}TH)qleo-0T?>itLLaz{fyd~6x`nCWXT%Z@?$AhtbRCc2@yW6Y^ZmVqL2y#6C zoQ0nCC$sgEODrk8+)oe^vA3b!#2T|8$NfnPsLSNP&6;jka8`fyJlyt@4YnLyRZ z6{_FuvsJmkEtzp%W04ioS%>ahpAVlbmNy*;E`@FyHd``S&EAK0kFh2(iz3pbb_OFvqiBI9??6OsTdJPD9}}KqZwPKBQk2N_RMPrl0pU$)|Zu>m}5zz7Z#LO%&lJ)oO~3dAAMLnO=y?6uXpJ>oHsbWJlhkywxc{++>b-_bWxrah7VqetPP(8Lw981F4BHm;RWY;=LFW+g6V?2r{`gnXLUYs*xj4bpP*oq z2rTMpkU8Wb!!YX2NK~BjGEIJUDNMo~#CjscGl5Ely&kS)+$(=}XiXM^)CtKV8qy`InZX{>T^niM6NvE| zd>l7mXuy5HL*{tpPkD+q>$t_v_;6m?EeWo#c@@2U3nuQ`yM&aEh%eWt<}KA0>2^)H zf?2KRo}vb(*=qlYtuk4t7f*z7~(N#H}7yH?;6@mcr{L+w5B7<%1;{BN{w z%WvmSh{J*Ap~NBdweuaMW6R#+1$4r91ulg4?3A@9vo(Q7p_kRC9FG#4NH?3GT{c5M zE{2~MyEm%edB%@3W{Ea8j$%UU_#9S_vs;@7P<;Sx)bXvQ=bB|K-idF83{8(M*5amI zN(N}9XikSfUn{eOny&ifj-DQApDW$O;=jCQ#s_voLfWr4<}FufW#%LHj*!JJ=AQ;0 zSS9t3owJvmt>5)5-WxxUvkma5#p0iKCR#wJP)TRq$nTjo3SV`i1Zv|>S_3lQJ#kUq zWRu^wN+ae|3_D2b0wyB1tJYMH6x>AL$Br#<$_+Y+AKOa~q5e(vQ4ig9XVPxy;G)}; z?4_&cp*!1`a?ftGkeac(otAJu_)igDornU-=OJgSl(ti`v5+0ID4fZzQ%^}=o$&*M zQYtZz#(S2_KxfEiCHZ#(v;=dPkd<4+Ub0yi@_XH^_>+dw5I2URy2V}3Xr{2PbvF>+ zAADxpU2JP5Y6bjV*n6R#)hTA4t!!(hT1UP*aim|;~_ zr!7uqHpX!T%eOhnR%0a87yeXGr{3MX8=5jumi*(9X}el4LeCp4KJt|HmnDjf z9B2mQ_G9}O6EbcL6T=#(n<(-=cRH8^RmfLbnyx1*LKx2Y6lXv^S@? zSQ>@v#tF6B3uGDCWGfH-rySi`AYD^ysJX3~EU9KqLc83PMI9(Z>vW3j4Qx(fTXY&0 z9kYFqCxOcF;aD!GjTF7_9U9{O;p|7gWkC&$Tpr3CJcn)4ywKxH?#-Pjf)Qx{b1xdz zpu0+eVi_A{SVZXa5wqQ)ypf!X%H7y*xGge=Jb4tUa%k07pi&C+-Jx)F?`%C$t-1v4 zLbG4Kf6D=}J$U;mz9SMW*d1sF<)tsJKVRwjo_cdRdp*s$JL_gm?_9#k6n!ff9D4@% z#yn=EiG`t7qe?y)S>fk_^|gj_3ba?NJ`001m&)c;TVcQT@YVdL?IrIfT**J>f>$b6 z=-CVer#%J&)+t9q-7!asraeaA;glEiV#bSkCGXv|7Ert#P= z%it_9yJe=5Aho8VAT_pvAoT_knMc7R0c>2DRcNYuUY+ZNzO?^*@tSD$>QETVF1x%2 zb;Go;^I0p&jH;?h3PP`9`+dxA9?oZL^UA`|ikM-)JW&3+J~Tc-vbCQ&^(F`bcuTGW zvRdud(!D>qXbw-|HZEfe%K@EXKcV_u{}70tp1xmgZ7sj*FI9Z%3o1mkBUH!$C$k2a zznx2$NPel?m~}ag_{AW%sm@{D3<; zcUXiW)GeSJY=xm4ZbSf593UkDQU)O9pqbdudP0%&3Isdg$oP~|Lc^=ZoM5g7zgZ)rke0E!VyVpTxlKOYSQo8!+Y69h6t5(E(yLV1Na zW&AQ%FMR-o!!%2L0Hq;J!81kb_~4H?IfPLEOUE~Z*tZvDz_9nmq7K`+g3RNBGjndZ zGT#!$OR|aCLq_xl)!yBk;SNiEwrYjsIPRilMHux!b@?=2m2Qc0gBIwCj;oVYy z+L$y(={*fFm$2~1Y2qkr-*uJ`B%V2C4^5_-IobpzGDE_mKncR}Ql@f94zE}6QKVgt z(Iy(wX2~>R?z=78?M6rg+Y#+AtU|EpInQ^dj2zy8cD1G1$@*yPWR})d8~u%m8Bf=W5Xl8CckIo|;T_|iXo}*X zmKkZoU1}8LT`B-50iXbY9L4wsxxKVNSE*t(Jza=~R(;~u7F?4$nnirov%uKl!|Z%#v(&;56A%$frnVcy*f=HPGM#P4X_(ZBxtxU9z8O z(Ik=rhL^NPZ5k;v^Ib?^@Ye>WB_wZEUvMmcN!Hq(?6LmcIP(X4lWE>X1XdMyMaS-$ znydHPR>RIjL4t{!39e9fQ&W(MRPzn>;L)BM=Ecr`19zpbA-(k=I|wFwkOa=_a2l4o za1F_n!o4(*mva6TsVX>R6t_Ui!Yo#p3V?Id*gPfE+8O)^C|__j*l$e3UmxF6q^v83 zwjf(A@NcHEm)5h9jbd8OMKC+h+3N){w!&$W(Z2H_Y4n2Psgvqu2qwEiyqQ5*ueNB} z2Lo#C2>^o(Z)RUn=`i3kLl(!I(*JWhfKi;-N2Ga3S0zIS9%D-~@dT-|i@iDF7zi`& z+70SjRO9q$daf4}PY-ikNDs3Oz%l^S01N}rQ%E16F-L@h6nD=GLCuaLR1lXWi4FUP zcbF3mVZdT2XD0F+`wx7~B1%x%w`pupj76+4_98wE9{_X!-~oWJh#%lH=djps@W+b# z4|#n?0gSNn@Z3)WhgWo53HYeEu){EX_TimLf$Qw6?eg>9p;@FZ2&(8LdM8|1WJbLT z*Iya05#VZ1t;M9{xXV8P3h7AwFpEGkPc>Big`HGv-Y{5zCbA&|?uj#FB)DUIe&@(c zvtw{R%BrLc^GGhm9DH$@81u+rgeBUhQsl9pRsUc)?z zbJ2*gmsWsgXX&tPrzqW--EBT@it2*FO}BkDuwmSGjULkwN=ZJZRoE z^vThONt1JR+>u2FZodKyX2Hm3T{rI*f|gwyb_rhW?%CE{TmG_Xw+QV!(KXm~UKu?_ zEq6_H-?pc$vS~jvz7L&i8*jU+>Fj64)!tS|*>qM4?Hl1>(w)z^s)Y=Vb24i$<=xi9 zM=kGLbT%NfZY94Ao!gmq;)eV`gtpGUR($R4(h!?=jnMuhpzZ%9%GQay7;H59hbjO; z<*2zMqceV%nVw^2cD8O5_Q$xP8Qf?T8Owbqv&`@9@xY_gP=zP|01M1;PU_-QJ!9VuamAo9>y;RR_Vu>&!w zu`%od(=-XAecOLy13N{te{oFB-msPxipbc#p2#ge4u$Ts4&RzzvJc0p9*6D&ggXsq zK+kc2cElE9zq(@xVC3-jh@#iAMN-EAQLa{^y}f^>PUh&jS*gb2PiM z19oTb*c+=NSbZB9s=y&P_jwt2`bYI|sJqSDkbW^C=EAtEyHL>(kpxvtVpge2Q&7N? zr#BYTaKa7Prd;oKsRaSc;jD=#dj$Oc0LGAsyA)@%?TRz{5gg$-~Qx){9m5-_2Ff_6e+oC!Cnh)Vzm~ag#Psa|YZSf%JR4rAnayN?&!ZMEy z!UKQ^05Slm0H8CE?ZY??FbiT5g7dA^0k# zXpaeKaW9r9U(8lEi$TDa(6&1kXICy5rR4{Iffi9YW{2H)o;*4_^oz;|mAv5lOQA&~ z>eQFcK1E7Jb>nr&h)@M;5)|YOb_)r6iB$pxFt_e??^~&%FyxkRenpVt7qV^{@nwJ# zK^>}L?JBR#$*SfuaG+iS|1d)8fgB@rM@l4co*nnLMM0*U@}(O7$<|sz{lIPM`a^P9 z33y-#Zcyy7Q7<~m^cS|4c|CD)bDjaKHg>5W>+w8@Xhbp`K8-q?FLNXPV;|6*z9%$3 z&7V?$68TRl11Oo$_;5t1U8`%?o@miK5b7^}c&5AH-nl{OFMep!{|vqW0|>wX@!|&# zk?Rs)&TjhnfQqf(H6xVW;~&BXAwMJy7=EdG{_{~GI) zLy(a>BnhJx4~H1Iv2);L`x)`RzbuVk#tH-IQ6D-7xAHu3(i03^JtPXIArdL`2%)HH ztZ*oRsQ~2(pzR6-!1noNhyLxuSRXxVRdkQ!-47|JYq?1sMZ-04YL{7Z8!=wh3Bcwy z9ytO*tQzez2)4BTHJ|>^;K)Gr_=`JHIHu0?8C~!}jgR`O2@T%C9VUb!dny8&LHRhW z(5`}Y`Hw}cP-xkjCRHH|M__9CDcXSPxmw^aY>v7|3kfQ>2s^PiwFtJMSu$w9cz9Sz zDmw1#&WPI(N!M<2BA?Lf5s^K|sc5`A@~>c>Gbcrg7*EOZ{=h($LFDpB;cMxQMJOn` z7_tYxsXgaeu$KN6Jk9)grGe{5|JXN>XzUy23^TV(FrJd&`S=})V7k$laTqnlwTFA# z`&@({+Fl)Q(K&>wpM^b1+AAmDYN{;mJ+3YIYDq<2UE~OL4JK)@FoOePxrLdFi)D%{47E?o~ZxKvTuJcBH6Y0-Sj@`{mXo z6)YVE(rWoJO*`NvW6_u%e5D5pD?X=wuPPs4f&MCX#j8zydd(W9j~}*ZRF${^6jHqc zLk{h|T*%Wuy~dcrUs`zbb8^pNI?GaK!sS!@W=~~{bf4o~NUdRiv#w#L2seVmn`>Ka z3~!~ia_E*6I>1zWHfq6tQF5n~_cZBHpii20$yeJ3UkQ7V*x^-p_<6BM1Tf%D?E!6t zG_Vuj?^5rzA($Xd0nDaX0~r1sJU*hE6EhbNZj*{xlSCO_>;c{EYz=O<4Kg_e8LQmg zt0mL3la{!97xTv_#;h^+Pp3?+7}N-578#`(7)R+3ep7&UOj&?-8BN=1rNQ~77&KNK z5w5@W!1?k_8c-_%ycmTQkigME3(^WgV@K5fH`>T~v*cnmxXHSs8xtReDxwFH0W^4MWne>^Pd4&+MKpb$%gpk>BB6%>bCGU8R2 zO1u8DYcJdVQo}l)lZs;70?%--7gn&bT3zs;tSTc}Df@2#TiOW~TbutSu`yrOf2zSw z!tB7Z_G|0d#Z0}_wx5 zGEhnxKDqc)uU0`}T%U`D1$^Z|Mr%gzr`;%M1FXi(SX8C^TdUp4P{Y(N`sWo4v+YLU zd1>g-5VGtg`lmlqBLVdi8}mqQ@Sp7le=^L!9z05XK_-Px6P}$u)sh(um#i}tj+5Od zMryCsazZvD%Slk1I{lW?H-L=9zz;A#usqp?RCDj$@uuw6lvfA)b3ET*X;C$##~&G$ zq$7+FbvWS8@%xO_ifSGw?*S63K`U8_U4)H^X1rPFfhxd{Q?w{MY*vufFUUYXHAn|A zlW9)xGDAm{The!AVNr?L(sy)g*ANGis&x13L)jy-aVsVt)B~tJH3O*kO2O5B$idZz z<^onRUX~F;XTVO&cbZhzZ%}DXfEa$E3=))~lrmNZ@`%5c2`;Z-?U2(e!GI;I91IwSyBe^DSMmF(3B$UO?AVvX>u{HfnfkquWx zGVq1)qFCDoA@kQq>Ah#n;GA=eZ{w%U5(zV)qQKi+edU;f>x!4z~Hc&#Br&$n3O zdvA-!seia*(b}YcXdI9s0Nx%XahX0hekQi;RJ)B@_TIF#8{NEbUj+rQdg<18bc8m- zqsYtUNwgHn_jBW0$Ao7=E_N;La^jvPWICTY_KcKT@VK)hQ%BIGeA-u7d>ZXO%-^KT zVd&8#?#((1Fhil{zce|YA`5ZtRyzeBp}rcf%I);_-$K1(*tS{CETv}=69?<41=O%7 ze0A?K*APa*9punW? zf^cZoMx+rey}8N}MZNe7wS=P6?e9d<->BB>sYFww0Pgz)%{HBZqq9p|H-$8Oi)t-j zBW!Z>>^ZE`D%ORzNl>`_U*wl+<9A#ZfCN)*1S$jgx^Y9~?scyLYm6zhU>4C7&n`<- z3xh~z*WN0B906vHthHk15j)5kGk{a4gc8-Ec?mpfhdG6@|FoFJ5_JDZ;m?2C5ijXQ z6Xl{QD|gBA(?kMtkQH`74q}IBUTa$cfAI4kZU5(larid(i$mIE$mbyKsnooJVXt-4 zE?v0A{UW#rqJQNa+YbAfy?z3acqkhGZW%Rw>xhHWpzePGw~~-i+{HLJcl189x3toh;G@BFPhTL( zhN<}1u1`@}Yi*5<*kDAIe+3}VPZiS#pR#l@(%nkYm+ZSZ6QsyYj)`>z$_Gl$0}Yr&6oyawXpcaOW~wAg0}v zN%b!*bVKMb6k{a1|4DhFtUv%36o7?{e1cG1HdP{$9d?UQave4YTT#QZAvE9?7LnC~ z2CD9a?5F;$2=U*1AJI_Z?O4PJZvp}|FF`@rPrqt|h~&oFI)2qu!0l*iYD6?}G)S0t z=)fR1uzuamP;Y%C(?Ix$UjgUDH-Btey=b^pq$&6d6Es;D;-ld+gMmh#PmGDz3{rz- zqYDg*G5nWNa7wf2JH=Cjr~rEt9{>sfm;m4bKn!57k~;+hIdPr=LZcHx3%ArErbB6R z#iDg$*!a`p==12gcSooqb^ zUuR`Uz4s!e<2Abh-p$6BU|8*^4=63)5WK1MM5GV9EEdx}wn8ZnaMxRJ6@=V#;|SlR zfe?Gwh*B*v#v;DK$;ODLJ6lr}ouQJXnvWLA#%j|I2;rQ&(ojEU6@MRHG!)Sb99d^f zXvL*ni!78ex(KC(bvmVW?ACilOrRMJnG6py%pa-SZM_ztTZfK?F{UAFK;VofB7B|% zSu9uCIFd}QX0e;F+D&EaPDLWlyzLm3j!D@WFzy)_0^?$*_a61@iu*TN$nGJOpVt6DNGrNGuVK_h_VoXlaF}~sG zr2o)>S4m{hyGNn!F_}6$afS33?w``papCh>!$Bubh?Qz^yh7~_qr9KTZH@yIYf*SA=&S1$m$@jzA%$9=P$`?v3vZ% zFn4I2bKWls81H%RR+?u8T!2P4iu`xyI#>D?>zRPeyD-vwc$7hgN_&i;g#?{niSs3) ztV|?K=OkuMWPSS*shvl#<$Yim6XND#aF!l^+SevBCRN&3##C`Q&+D}TV+i&S`X0#^;49Z#(EA@z5i1;ig1Zq%13~RCouf|2g!A30 z`I*$={x*ln!eLl+-JotW;4e`*kuTh+-G?g#JpzSu+A~oMAjoG>%E#GvSd;GNKO3x7 z9k$Y&rU%b1!Wb+{=&71<(}_Xx(yhU;dGQbxG+|8q3Xq>fSR}v??uaser}I|79(cdf zxEyGDth&J=-h}-G z`h-G$Qv~L{49IQ9S@WK`f(QZJdc45!-3f0u%`8~2l_<)<*WUF*Cr!D7^QT=1!&`_$ z!Yf1YnI{7Ipn2{q7H8wkJtIHY~QTVUlY!xa54~VLX@lxXqDf3BA;|w*9fG zV&DU!b4N~sK45eqyy@d$gYTT_m=aaA3;B>u>yUV1bMAKo;A|;83y98O9*k%^we}ir zFoI>k?XiLJ-0Zq19B?k)kvzM>bDsMl(jJ0d8POrRtOL7V`mB=1JIJ?FsUm(E&|d3% zIxDBEo zOmp?ro^?89B~YpCCv9ivqWfI>P6ZXkoz2jZ_C>maAR5WmQdk;)L`$S1DCIz~dm6&M zY0%T5MU2rSoE71{tlBZhPJ%!POIw0wTuV^juiv%YuQ!RTYg>7&K5RGlG=3Ic(mm~K zcWgJlOZ}(#-+#|v83a~k4V5Aj3Q6}>;ck1VcybTa;%fYCwxUb%Ui#0f^;yhwZTA0N zwE&A)Ys-+|Wb`@QbK=|HqtN=UevF3$UZfVHKaOgRZvVMzHf+wN3ZE{?)j);Y4Q4t^ zg>sd<`u$be&}$Y}PK&*t%OVOZsuadco%`U&R??@IgNHKRb#{yUWBApf1KInV`|FbviX#b&sK00`pDXc%NeaxMMj{Ju0efEjf=32%Jjz;D&UIm$J5suUFL4i zjO%PYJslR_GBpztJzh;n=CDm^7izpME?b6prf2FbE{mPnZL6cB)nAKL@9pj?k1}tO z!4Iid{xQ$^BJOGn{?VVdQa`nsC~L3=h2C$v~xoUT8% zEU(87D^gr&o@vkeJDKw^J0GNVOq+VwaR&KZUdP-jlAoU?ooTMmw0K<>Kf2vkzb-nf zM=w6ysFWYwu87-aC+YKGD8Jk$!LNNwICTT&|4jE@A3CT=PF;^0`%!jyE{=UEb@5c> zfp<~8k)_n6FJD{SG$enXXQ?_b2))v&cL5PYlpL^R+z>JLq3UY)GrBtEgt$2IBA(bz z3!g9XZLiaO?F#Fq-hePUMXdqLev2SBf{?#yZ-kH2LQtI$Te-6h5m?; zv{POKX~U^<1mjPj%A%}#x(wG+iPEce$RaQj0|5*=z$x0{Tmlq(O) z3)_lov#Akju7fB}6mGa!q+r4K(ZCEwa8V5J9P1KCzad_n*b&vr1Mo3nnrEBWhj>C2 zJ&2*{zFYg%n3*2W6{bc{sEb~h_3MVdOnnvHlQ4~wPgvs@d36&%tNL)hC4;_H^dI8n+3b=)eS>@8w=E-|ET~^3 zGk3-4DPDYlIAFY0{!|dP*^}~dGAdIZpB26MN!Di}^*yn#n4K^{Za?OfmH9!wAjkLF zMEjXc*ft{f*P$?HL4qU>s$j*Hmmr^r0S$O{4}jHg7YOUJb>PYdTn*BmZMFT1cjS z*(J!=*~M8-%8^U@T-@b^(wDHRY06>4qJ0VH4aaxSHiasM$)N4cHYz4*~R7m*dSfDE8^Tfe6(hpKn&N^enVbMeni0Vlpyz zCHLLT0}*2GCbsAMFpzNjQqmCkd8BDA4^R`H(-S{x{)#tYxgPr;VRp2%;v98!;;8_i z)lAmY+qcA)8S z+rJ$XXujAu?|n`;OMf%js@NX<*{FWzdD>3>5($9^_1!vf%qRC%e8DCg(IiPvt z;JevzJi{=ejR*Q6CYON7$My!4Gi$OFB+V4Ry}P*{1bz1~!JHc=bj#o~;wY*Yuz(RJ zw`Y^oJOqdl1MOBKfGnbE=ElK^Ixm6*Ju0B^_H6uCfYH7*(P(uJ z;Jxa&9)7x<90CoVsx+lirI;f|>Txj+vOHF7IQ6XIykWYW7`vQUZ06NCgmiD=v=8FE zfxDbwZRXk7hiLD@do^HC8Z62o)t%7*4S!aN1P36+9U&VJ+I?Dwa6OO>-HomNsZ#mu z4}A*9t`mG7Hx#Wkq$m7d@2Y1H23{jcYqHoWL$9o|0GFc_aSKMx#HwbVW> zl6HFPEUI5*0yH<9lmjKpocEZINW0jdlR(EajwW#tVbK}wG}$54a}NKB!M};U$J`3? zBhG6n>Ymx}qVA6~2T=~-Zxg|4=kQ)(Tn~&cClDKXd_d$MPL3vA@6!I)BPi$#)>5Ef z1iqWtw<5lOBe*GDJ5z5msmhjPfUiz4dYF?jECOdyOd4CI=6M_R11P+)aoHe<=w^T3L4=zE{E(_dGoU!i^rbhTc=8C;Wg^7GXPQ+x} zEoF=iiJ3Gf7MYp=2iT15%6KzJot=!v0t|@KG|MPG`dy$n zsuDpoD9)o|P0Sv1&XiMpk=jTNk8!P{Dj2q;0)&D^v#V(77u-DuFBb0MMtDH8gCw#$ z3Vj0Ys-@i&TCHXonbc{~#S25|xS>g-P#zhz%=Bn}+NjQ>vW$+BSA_K6C-|Pd7tM?E zpqtSz-@Zur;K)V|)pYy_=*(3Nx`iCy8 zvGZNOMP)-TmlfT~_jtNtgqw|B_GkXS8whch@NMmL4ShUje!0f9Opmf3tUe(QdU*bJ zRlR-~_UIHMuXK6a|1=hd zwfhuzkuevk z$=RI}r0!;mHcI(q4j8eiwVcLSlObEpwO88^WI5 z(gUJ* zXGl3)%0PG473V0<7sn*OatxnAg2aIkc!UOioNrMbb+wjP6UcR+;!Qq!Z7aB@&*Ordp8S!f|mnT6{fFdcxSie;0v_xbq?D6)%|QxQy= z!I~a0VF2clWNiZ^X8noaFLv%rlR)sM`Y;F9jIyB$1?M8-) z|2>#1Ux$cj>Z-_~%bk;+*yF2UK}X~Ny+sf95tR8F9$bhS&ffPZkMSiz)&)tL&etE8yj{YvQ^=ThN?5w;TneZd$E~6< zvYT+I^jI)F90CapCCpLzL5zl!n{;%|3>v^uM_UGoJabJW(X}TfB^^(8plrx_&d3ND zQWDpfQx+L%GYl9DhDixY^}@E~z07S1lm2ghB}s+2LUGas(Dd@$zuTmms2D1o<^(vJ zkG~Xfe$oZrEe|k^14LL2PEsM4@sxZn04f#!H3YSV(FIJvc z9-QzEWx8{AfLsngU5t6xmTu;@p$Ks)UrsRvj>^t-JCUfP_+X4wry@wIEUWmna6>__ zC2lP5`#!64UXGd#`V;$a2CAqPVVSOG)cm*~wh3>xe}5X0CFq5|Qpjj7G_8tyq5e*I zE_C&7e!gNQZ21l*4O)fT38icvr_=7lf>a*oUti1z<#!7`-WYv*wIZUD^4pEv=*G(` zM_BR}FKt6rMSn*ge2LIA^|qD25@>k&BW;?}u8Wot2bgc8jZ1S)fQ;iXqS1tn!}558V01@6A)XCe4^-61cc?hyRNq}Ouwm$`)p`?H_+df`E85N~~G21#_*cla#gJ8D4 zJ<1pD9saPVy7vSTYG9ctKjd_F16!0L0pJ5%?o`= zRy{8WN3XgIW<7yhzoIbcwW#G3*VCpOyp-SRU{ySSn2-70_*dJA_Z^1{y?W&n%=Fku1J~H7swU)McjIh z1{cp%Mf=Cx#xdi~Bs5AOoV?uMK&DTId4y9=p8JBArSpL0tL9UA#t)7AU&YtNC?+~f zlNo49Q?30hBfmsZrNo>G-$Dea*z%NPW-)F=hR$q1;nI$YBgca((?cggXqZ=>6qHs(WZ_r-q*p<`s|YN=P68ze8M_Ry z8(K?h>af7`Fy`l~5Yv`&M!Ukyh>`_x0Z$4Y6$c9A%=o>?Aqh)izdfw)MN0`N%rvBl zl;mQ}(0FP>r*~$*a+88dNvD9@*cOhCy*ruRcnbptz`nic=1J(^NVH4r(*!ZE&XS`K zum3O;Oz#WiA*sQGe^B-vC1~jA<1sv{H)m{Skw;pN<;X?tqWPz!Er)O6mW5i3V9!`R zz{?gEVC=`H&2v`6p3=jnasB)=k;!X2&>?9in35%WQV-Ly=(o-V91MXuND?(izAiQz z)Sh{LN3ml+yKBvY-LqceJI)f~1lRnEV%v6*t9*l-UR5cQ#*15)kO>(5#0 zofE@%Nr{ik8*z@OMl-aH@5R-L+m6 zsD`2x>yWSJrm9KuBrYYs)NhlQpAqN9RE&4-(qtK}Rj+;hb&|UqScMgz>tU6PTP9P8 zY*4oQzAr#x$wJ}i0@{*N9q`S}Xxs)eCeIV@1L8P?wyTf_|LyWa(Ke{EXw8KWLpF|8 zzl?O+Ou~yYm&ocbA*Ukqt0O;z#$U{t$5!6l02*DmlcyI+fTa_2MrgzYlyjwjF&0`S z2NZQhlAdL>Atgb|268 zqA>?IHh6CXT$-XZ2IIXj*MddqRqZP0fDh%)Lj2W!Blu7m5K5*og*{FFijx7=m?1x# zc{9iXZ_Z_?Jg*%t@nbM8MK*IllX;EC3sd*uC#$QXA=7S z_Rq6`R!Gh}bFG@0YL3W9kPN87jO=lS_vwGaaKw3f1#|=R4#=deTkt|9m;aSABWi>M zrl%NsE?rcG-|2W;_Nx(GUMnw`45LL3%u_&V`picHwRTX^e)age6r?#;oL1(OM=6#y ze~cA(ZaHg{_9Y}jqJ2eLGC^zwkKAWXmuy^TA?qS0knAytDpfO);&D ztamkUr!2yoPw|J@do49zn&L;$UCCvCyw7i$h_CY3j@1u`vgYZm#GG+H^mD{#wz28M zoCv4aZ|T>z4~M$!&hLObhu7oQY`Dvk56v%*>d)hxM7}DYa)wCFpa;4t{ERh$Fc22# z?+nVFyP2Z)AOu-&UIeAXZ-m2Rz-vyy@02?Sx0Ag}P8vt_f2KjTCV21IyOKv)3;{C7 zAL(-+JY_hFcQF>;os3wYXvT;<<8yB%XF#BDw#MK}u}cC;{+ZQ%lI8eSA(UVT@h|Uk zU}jp16u%ba9w5to$3;@k9-rS8z8ZPUDfzDs+2yHDq%I4^f!nAKffa!>QsB+V-0_uq zkBSD=`vOWqSm|ia{_uuV?%c~)?er-MsM9G$X)Zu8A>=`?Y$R@QJ;_$(#!O4yw}Xo) zfLU6LUopA=QtCY}ZjX!kSHn@1%9UNI_oUdIKU)~Jw(Ydm`aneXKNX|A3zcW4kBdRw zwGQO~SI#(U^fxF2VPzv}vEfap)OAp>uUWMF_eT7C4xSL8v6(hq6}HSjMS#k+Qkgzw zT55D}W*-nF8_pbyD>oqOVnEcE<-U_r5KaDM|G5s@FQ)(a&~TK_g_g8#|Kx+pf##{C zt6&F)w>Aaw!buPz_8{#S^vYy|uyuW|@-EOQn2SQ+AL8rnb6nEEjiOmp&;FH1GG1Vm z$4kn~P-zrdMSC{#UItzw_-q zdw;!W&zx^hn#-_nl7D2L9VCfBxcU?5eGoDzut!o|=f`k%5NC%B>V>qkwA>!eOi)nS zZ_jplLtek%D!VTwrPI%=_i$&ZE7=dZl=rG#Wq~id?DFcK(L0=WM1RAQ?mHUTbbn_3 zEeT-N?I&6C6{*YTf@Je?ub`NxJXU5EH{WJhXFc0ZO>{7eNK50!W>kFKifBsN4k@W% z8wRa;f-JKY-QN^ys$GRA5_JcBTZc;A^RbwP?cSE>cYI{Rak(u=KUuLuj!CGKDDAG{ zi&BOr3NTj2gO=YqOUJ&DHpg_-MAtt72N9aexp*1yM(p10^G}9g$Wa zy1dM>qvh+XC;%SNh}ILW|H-GexB^jjZRUB5+cN-sNZ$Ejz%qNB?9Qp1 zs`7D-cIkay6Rxm%IjxDBct%a%wg5jvC->}9Grr604%;jrLud7wTr0rNnuEgp2Zn$A z8Jv?12E1ozh!AWz*92Hk6eBmCSO>Z=J1V4>Y5WI+WepR0ljSO+yYtZUe6RgxmRZk0 zbXvnW44CR0T&?!17yvyYW#6SF|8d7X-Qed3@T)^R7tfshj~%o^{gybOa+NmzC6O`K ze+=(I2O(-iD@|wx=`&+YK!3FRP5Cw7kT0Y|%JcJr>k_92C_QR|HeG%HlQ}6-brPe& z+{vIK>VMjMyPwv~22j2-JF1P~XqNh|uK(n%E*Y@B zOVV$Fz7Bv=BvMC0I+3b7AlgwaNn!Q4G|t0EpSW8_LF>m9kq*~tvnKZYECM-#W%=S`Vw=pqRHkSB;a{XCZjDY+k$$>0NFhYI zWTUMvumAn5F@x2K=S%oLfiZ+U>w732bs`(r_8R5+=G6PtUZdL@S+_@FA@}sB&0UY= zt98e{UIAN!s}#M`qTP#rU>g#hYS5&1{yiz0bDCI6Jyu}0>K_qkCp<3$(8Cl1{xYe( zAz+t*LtCjZ?rue9knUHK&WYTMOw5kJCk$BNo$d)xXsX5Udf(`MzDxQYkxh2t!o6hg z1Uq0wYFMeDsM{QV#q0;KqRcJ(H6oDZSLWS9rt+9Fmpa58KVK`R*WtwDx^IADlw;~E49vkK$)Tc0x-Y z{MzW{4B*tWqF}1!uh{Z2iuLgn9!hgb{1fj}K~w`{h(>~q{=qu+_?i(M1&L{FqiQ=f z`e-Q0t{Sa%Ws0);2#$RG!K&65b@4cLN`mjDKjMMisA)*LOEL+Lp{MYrg8fHVfbt`_ zv}Q;Z0C~ZsPkDehvgv+mnd8!RQEMYnoYN^a{~W3HpU;3R6@>qc09)JPxmZq33spmd zB*&*DN7dcEdFNl4pMvWM44qU0k)ixHry_ z*_4iY7jArFrIScvhoN&u%y~VLw*D{_xgP8nHxt}m7Dn>bQT}1W$t?cv_@_&P>%*X8 z)?3zCpNm(w%HvQgi&^hss1KEhOl{wBPHP{$PsFrH;=MGipx?|)>;j)C zf5D%5@n!ijUnc%3+mL<5c>~=CzX_0}`(*4v8?7Qfrfc zPPVVzANt(0&ntLe-(F_RVKJD^*0hrrl}lAZbm`3(an+CUFil_Xu|BD+EQzb(jc={+ z^{up*OJ*01ZLK)>t5jxu^4G&SX>?61UEX=hZsRXNE<|yPGEDxey;3*U`hlv~%b0g@ zC$4=dIbbM4tDB@^MdbQYa`e0D?DW!nzv<_#cHhdM`!>&=9Xo0`v3wVr2wE;#3C%un zaXw$c&VE!)6N&p_QBkK-kpIrAN+@Sht$H9Rx_*%6Wz zJPw=vr8F}5w~qy`{%Sa8R&)l^_rWED`OtNzi7OsCVcc*=p#XiV+1_IjxlJQw z;rvU?kG=LF4-rhx%Plfx-;gj@T^y^gdR5mpx;SPC&KeXlHA-TEWv--VBsE%M;X#(A z#4`D8AJr%9%=)kg5+CenMqCWL~-)RyB{/ULK+3b$F- z-YUysp;v`I+FtWMtt3P0nR1gRVP6p!KtXuJ(WY@%*FI{SK*>ls^PbS+63h_5MwMv@oR*KDd zrT6XlL+vm0U*JJmNklyRPhXVF2-BxNE!Wl<$e20b(;O*Bp0)T$)p+=cAFHic)YMk? zCzNPRo9C%~SEo#xJctc0Cua>9Tpi z>Gg1sp*%Ii=>jRG2|)D;oL$FVLtSPM9#(!0?&ux+^vwNZ*+fLo_usqkBHoWsgjPsq zC?7hCO(F!jBwS<#u{5+>f75Un+-7%Xo)^(RA7fXi9dPu4Me#4z7VQb zJa;8cL7~H!`tMWH9{11Lwi%^4dVLC^7p^bL3SLI{rG?F3dOjN8jN4N$C#uE>l&p6P zeTh6vfWf1KNN-6>FsN18;=DWs%^F~c*<<5j@J912f62=Jz3MAG9o0R-EIoN`&}D`W z=KO0haYN5|0K5_t-#l>y^0S@i(zoyvj#Y^V6RzQZYk{T{fBE@UZ6IZr|B7npTM5Bm zNa=2k`V#%1Ak12JwezzrTXaE%+dTVY0RU=vUk9yM=As^^DczmE@$nURaM=Yhp)|))hs?p{>_Pt{tl3ryIZVhzu--fstAh94>(d zI~cZN2ex1+{-O*`YQ|Ny$^{G9g zi(XDN+pANF$XRbXA$G;9O=vThH>#D3Us|&sTGCyOL?2E-mGK zNy0HW(CJ>i-f}!JMVEUW^K2(T*s6~CHw7PeqrcFvkE$>ElwYSBsoM=`(6&05^tlo^ z&gqU@JL4AlMhvTF752J0wKItanPoVdQ-rY;^CpWRhZ3q1!trB{iHlPf141c$l`3CM z_r3bq^r9^FDPEX^l`d3N$fdib!hlm)r3*x?qB<%&c-g`y~Q}J!e3s-9}lgIao zsJKuTZntu=ton0*O4np_8vI3}(EzPM*e7)J#>+?c1oEa&CNfL08?)Bkgl}3MLn@uK zyV(xVrusf+VFN`MO>+5G`1W-aQ_4Zqk$46h)cC{8400BrNneo`(E3as5@p=BL_$Tc}VbXqynzTb#j0_nPodCP~zvUqF1CnF*M|r6}7I!DyJ;syqnQ|bgOFgNr@V-i#EdfX}GV$ za?0f_dBrL2m?MTBzY>&c*}MSGKO>gvD!1dkQwvOo?JjVki>P?Zpu(a&trh|(7-F}JuOFO_ho!#f925a5a^plo1bBoQ2I&7 z`xn}(jZj*f_?G8>VE)~R%y%j@L9B#pZyiyT1)fD7h;Y0Nm-^O88>+>_3 zJZ0{x@+htR z8de(fX1koLgzo0d<8Lc~zb+MY^v3Zjdnpjpl?ZKHS09Zx<~M)dT2#~G`u5PTAUQSD z`)XaSNzdaaC!c=|%DGzLr#`kU%X#GFCmk*7cn!sYaWHh&rfOfSYc5Kr0#B!lWt4e4 z;dm;OgUC?DMqs{0)%!uR;8ZEwjf8cor=;~89!Z4Y@zn43)Ahw^(}!%6$%5H$g_XSJ zvQo~ttNU8l=)_CErDo;N{Gi4l=i&4FGjaIqa8IAzUzeatO)+9JKN0kSD>>i{lm55V znWeSca)w-a!xw9A9!&N}I8KjtL@}fjH2iQy11%pH#UQu39Iz%hFsiQ-klNNv*=o*lG9#M zKIBYcf6;_VTlpYsXQ=m06Ti<3Y9j@oixA%yuq)wex+^iZ9=})s=2y@b@N_`J2=AnX zT|4vIOQ(S#qErI)%%+o$kzl-I+xB-OA5k(t^z0wJO<3OF4y_jE#h!C{4WUTs?k-Zi_!4Tr}|?7hW<#sQiJoaLAk=rU|CU& zTFi&5>*s4vxyaw9Ak+u=F*pv#>PNS&^G8!=q&UCM(*_K_nIMQ2PCz=9iq~`@^U#cx zgA{ngtxN_YgU37V{1l}d!oAC7&7*ejwT(%JIRbD9xx@w{qM1>DUtK>ck$bJ%;lyV1=Vdu)Y16f+*kVf)+#MNn>*JoIwY_ z4vMNd%MA~d@6R+gSLN80<0wNa{{(J zsD(#!7Az4+KYmcYlnv6Zjk+o8aHtN-Yh<lX+NK2hE1Ty5D9V3V`9+z$j6fhnWBk zK#7@$-`%05loj)QCrtcoMLBV`l{s7}g;w-p#mn)B*@6KaZh@9#gVBzH{FU4!T(5&U zxKrD-zv(iV=M27wO9g~tRkcV3aN`2kHzV(}aCAKF)W@QE(}n=t(0!t3S~Smp(p8k; zNI*V8n-j2%7(8QRD+1*N9J4&s%(^G{zF#NR5-@{LemrqtD^e9W>55Ij;g#%%I3K2k z9^-RrItLzyhykOI2s`VYNyQ~>2e2`8HBjrD-mF&A=S-ijw$lB(4$%VFuGW_sd5$3R z2{bU%x4|=FV5X%2+cKeLwun*Kx!uAt>Y1HwFf|C>X@Y_`!Mfu!54-E2zwGQg0Y%)^ zL5-SWp@51dL1-Kkl*}OV!Uha?HAczwBQIWo;i*k9i!tQIYcPBtp!Ff)(V2%CbHR_tzfW2JNp|-5c(598$kNeg3ulS z8bMwJi^3;OzM*pi?t2H%RN0DT`A-)62G1PXioWoj+-4?hYXgY?`x0 z5vAL$?7tj;%3ptU#Qo}2^8p%QSXXMm4;3^)9gQIU9)ZwzCa4sMeJ}{E|wJuS$xq%8zFoQ=A!4 zoB^&QiZc_6g4yv73?$8^I_K5;4{ZOzF6B6$qzH7v4s?nOaEc3bQkN1U2y~)4nS3AUq!Qr7DJ4`cC1fWh6a@BYybNnxJ@W?Sq*?Xdu7j8>1|N zAh89qN+Zkyu$Uegu3>_*r0Rpy@F)tJCr~9OW-A|?du8992l1w^zVze~GAJ_QJL!6t zu)@VdMo63V(oH2LuWyfSO?z+j8TH&`6j3yB=SNS4FA*&I|PCi$o!%JZ3yW{07AC`XaIR( z0fsLDfeu8f3<$Qm>^kTT&|wI9 z;R=SYG{Tku+0nT0Sf*y_wlJ4L{wX4y!-;y?=TRe@i`V+O0or!!yMVf$lIu{D>xh!; zh(tNUqZu!hjt`1qe+Desie%V|!q|%1HKopg6-D(JLjPm`H!ef==Mx{%q8#tM32Xac zHV~So4mx3LZ`O;7+4Rm0YSSa5I}n*^;1hTk;7==+d<;b1r`kRzBD1`VnoE|ILLzi|s; zrPETsk_dQ9B=xx6&PVqf<0iv-vTi}vx~c?&`<9%tf>Q|}eRhYU6}D~#ZM`*3**h)| z-Cg@5bt~e@_~?ccQ~r!v`OH8E^m$Ih49bI8j0lgYR`(gDt z;h^V@(vKHh&dn>+YLRyIToJrOnOmptFO4wonMH&^mlV+jILPl1{GCuOo~zpIlEY1SKaZz7rAXub|TaqEqmsy3*muFW(T&tR-4 z%!(VXZ4VliS?-}7LJk)>co`UaY$AJtsHeMdDwp2V0`Kl9yZOGp>2RN3_#X-YRvQsQH@yucF+V@din!qU;{=U5?~$ z(+CEfxyZo9oq$kiR}qJS2D#Wn+M1LUqdN9ijQ+exUyN8;9FMC^~0vl~&&IVN2~@odxMDp1en*g_&VvG=by+Q!l6bUNnC; zVEo3#vjvpU4UcWk4398bK@#(EZt)SUUB6%FSXGGagk#`jdhI;@>#G7(IhdOm+@^qz zVCnJMQ-|9^NT3=k zR788|;QY0gxQw6flPw?)(~`JU1rWZcdEC$pUamrQ7GvQHaP|+8zatS?76U&H8+@_C z7AXVhG1exk%a7C|?;!XGAM~tc3m*iB;vYC-7X%|bayyBO4i0=gC3T?k>VJMybV1$NYrCq+rI97l*yrcOvJDhkmZC!1Qm{nKw z6u|dVsroGc+ri&1#Bg>rtmAjRQ{~Gosg;ztX^9cTbIGI0C5$0a*KE!JQh8}RtIc1S z(Pn?IdjwB$jwpM+(_brYpWY)Zc2hC;X8GrrR>UDizlcL4C4IUje?N%mxLU>bucYo! z6zx#t^@{I#T;dMySg_bl!nkI_<=ZR3BXaVQ}KTP{{W(?x9 ztQ9w4ppO{KaTyAt*FK&ndzG%K6CEc@8a7XrIsDXf%CSjrP@|Mnk?7LmDU^^JnVdcq zC93h9Z>8!}4rIdFR(*2GIW%X5qXe%3^?Ye$rW?;TFIF_;vp$8sn!bouArAU(W`9{}(bbWExVq+uSdiL-Suh zA6C^aTLP7TC%qX^3K^`Be9FkNJ6DXaYcYHC7TM8StA_jA5XJZt(U2I7`vmKwS^CH$ zuWxjEWWWUEg}auEHIgk`#Pt60+MgAHHDJOkp2s_022G}3c8$V_{gQI@^=dROVBLu* zvM>TO=S^t7jFD0}^{#l9a+cVoh=qZbS$1}ghRIXM)#wH`A@E-*(e^;^?FWgGJE z&AI|2JEsX2OQ|2UkZb-c&KP=rwQfw$W5-DI!m#TVokR5IRH>efJ{PrW;^);(i-#`K z!izhWy-7edk59bi0rAo!U8#!C)&tiBM$pfmcbw}vJ>z1(LMuCu#(=^Dz?1(KB1Ei5 z?HRW&I4#Sp36frsI@XULA+3N1^$Q~|Qbut|(#u3d`SDlZZ>FHDs*dxkKJ}%V99M6u zbea8B86G>=3v=$Flf+bpZ!pe4SWi%_cM`WA^xmeW{*?;htd!UJ)srg^TGj$84Tt_z zMgNtezop(?{i2EU7swx9FprL2<4kiDW0o>0H&6IPWaKW~VGONA)krRdC=H7u7l;%f9ZGlYrr-BSIlUf|FJ<#@KI8|(e$^deCa6f4Bkj4^MJ;3 zbteNQ8=gFOAC)i^O<;!LX?S7!-|e@ziGrsNjz5hG)2+N!!j@>-3DU5)Q@y` zufRTIXie;L@BLo!en}XnfojDzCY|d~#y^{ux9*02gfE=Dc>d7Emu0+@W?WtH4E2;2 zBfSwZqr5jSl|g6I^~g0BXV)CL9!{D1j&vC8oM9FYZ_Iy~4wL8njV;H%bMU(Ru-^bF zTBRTMO5&1WrjJ(}XXQQdJxj!glXmKd%hKB2&n(ug@`%HnYhYivD6e4#JJ}y}RCTsbM;@KZj)CN2^gt!cO2X@$Yanj#@-(CIm5@&_bQ|fCp z$j>V?J0h>*_XQOb>CXD`;3d-5q;oPs$fouzIAm3MkK zeoQ?ba9;k2$IFCp+SR5I_l$Y}3I!{U$?j81Ear!B*rFsBaB6m7>1UI#oqDA!g*89X zkAmD!UkWyT4F>KBoG$l01%BMb>>Y*aF|0V$Vt1;4%;G&b#yn949pA*dh{|?LP+mW* zo@*s%38Z+sa<`vyugUq_5wj2iqcysiXkVpv%3gG~3i2|( z$C6_g8%zYW@S3_?$_?>rQBanR5W`@&qtS47{V=NDPpJ_bGjQ_X=PrbFjGqdhO%AOw zzL=%WlP11rDqU`Q@FZTA^Z9*W*=5|!`0G8!cY1eHNrkIr4zvo@~M0QR!2#l-!BWpjwmeQ;+tFSCJ|H`i}H5r+*?nclv3~P71Jfm-Q>ehN?*=Me`nD8sPoV#>dmIU_Nv9`S|`gv4-?HT zAzv^>h}f&|8~O3=%Qm=0KmM5qs?k6jz6v-|1)>MSZ}K8r3EJa9_=F@&R+X`$ST| zw3sS^czE}7o+7+$m>OzxS47->tH=S69oU=&bW*}oA zHMwz-+d+(u({B;4YM`23tN5Y3tE2L>GCpc8$-?wvf0Z!IG;F~U%0Ed*leA>n)B=7! zT$A8EQO436q=p01ej$=wZY|LN`RBeGOe1CX;EPAEtT2hYCU8CWU415sSIRZER!%9S z4z5WLJX=J2Hl|3gts=^vj}0Z*iu-l17&+LA`565Eu6@PetyjENMba+!O9tCoM43%} z8^7|T_Ex6S)Nw>g&UUtrXlo>4p>217XR>q4jLMi*Qd@`kydZD3mG+y(gN~t?1tZHc zq5Zc9uT{3N1Zab0mCT)03w*%^=^!kD`}?HN(+y;5H;%PM1ZoiB3!w>8HE&`Je0wVY z#f(l!;_CS&OJuq)$f(fF%zN3n-CMNI^ox`?zDg}Sxp0q`)%g^G^3z8mV=%QBAtaRw zBp(#A^-8I$6nJ5(yps+fbot9CG%sVY-2^?Ke6{LYHxFn~1in4su*Ey>pekf(-dXxQ zlx~krT*dp@^Xi(2+Op9>E8}lOn69?!?7c(7*5A(H@VAt=cX zWBQu|y3~@|1Q~VUO_+QnNJbSnqdL5a93gf2guXp_U+^#LJ@E0ac&u`>Lx1GzLQd8)&2puvs;)Y^D=Eg%`A17?u=Qg{~W90;s z%otw^XE0o#BwmaOeECxG0g1Z!Bu4$%nzUAu!sJCgb7@pAmecH9MJn+YE{fGa-20pw z`{nrap?IlFb^MW}FnP85Tp@$skAKFLP!&YqAW5Tg#xPy`uv5~qMrfH!y*zOn?XF#D zYZf7VIPs=}@$H*m>FC52HjjtHet3+V@;$hIdqD4sdY#jn<89q?kvTv|&!=|7Fw#0~m z9}!U?BS)-ys(fDT;>o9^QRy_&vh9ma3=2iz1TGR$>fLx6Wa?=k5UE1%;#f34T9?W= z!aKxO)sh0A31!5oKb`h+dStmGORM>d(nP5ClbfRN2@j7B>N>v4i1|xaTRyr&TZVTE`X446w{+VlDZWV^ z2bvyTCikeZmi{nl{LGFXiarqaqSIp9qLEe=%-N6XS*LCJnifOk>pjWvu;RLiDvz}T z?)ZqA+d|TS&wH~=JK!NlwJ1HIGY!-*WfCf7kiD(+G?4MtO$CCk)GG(eIBYkIIammt zHH$@EE4h_c@{>MrSw%q`+q=aeNz!bauhRfw>wxIy8mX^nVx)HFe~Sy-G(Y6e_k^&t z(lNQYrpOnig9c^0SXT>U>_}b2lYSmE&A+27jo#87i;at#ypA7{Zw=5^Z4JR5dkx|k zVSS~Ac-_{Aa&rDT!2-c4&`*!DDzm;>IrlaVKV7KhIoIw$(52RNU!j)q+&FTA1{%ow zO%+_)v5Kyp*$b(-OXvI_$2`b4n&$T0QiqRSbrwx_oyy@m{OP(q>8V=0@2Dp^!Mkt0 z8zqonyV#%mvNFGNK1UpKS@N^@-BCR2CA!zqp>J2vhk8={dt`RRl%~Hz)l%B-0yy{R zac+u7=B{75v&i@}j|sUu<0>@MOiyQ3)m96#MF%30>mWg?u0_y8EpUicrSDwzO|D8h z&6xzU2hH3Cr*oh=XWY|we}i-V5;PrKkUq@_p-IjeEtVPUT20Y6ngnL{KR5&oP3=1@ zAvJJhiy|biB==b5@ei(fg(}+Kb;nkuPcp(sWZVFc&n;e4GSc~-Lk+fo1y*iDyk;%% zVm5)o8P1tZmYHjCZo}hT5nv2u$d6gh8He=Uci>ze>RcYz%w2)>-BaL(G*_ez+42(d zLqV{Ryzx>6(L%%(hBfXZq^Vs^T^Fy3b1x~}n(5H5+C8J`$~oRP}}HXj$k89>zze z%{4s8b&#(rtQX*p(Hv2z%6G1E^Zcxq`z=Cq`_|RP#i&;ELy~4&3v!lM;Ltsj10=BY zgH!(lqUD2OmA-OScfCL%m%yQGrZX|5gHDt0Yy#3n@WZ*vS^{QR745l+|Mcu5IG6a1 zW;38beTW3yuu4*?YQxOF!vd1G#5v=}GE)G~y-3hx2gEjlNVFPONwerX)@rsTYN7y> zBx&9W%@|@be!&u`k8=<&bojx5Xdw{LQm7IH1~lO#dZW4E?D2r+n?6p$0XDOl8bmU- zP60AcV3LXOiy)jhD^`ZgzX@?9NBJ@5KZDiAK!wxY$YI`Z4%C^=G$3ZNQ0a6FW(aKp zG%3xE1vakWhnH@_1IZ>u*(>;or(3W<@)MvLX%_O3{a7d{y`B;VN;sfnMyo(-V-Gl4 z@+n}4N`CM(3k?Xl0z4W~qu?i7$uf-z9)^gsIoEr5&=u#1;H#)5ob`=!Am+=FVtD3MEW30h*O|$PO!yJ-|q( ze+~g8RAbJ+%64!Xz8BF)q&$N~d;WeKdi;V#k_ngZb2Ym9weTGXuvdKN3bOvaQ3z3A>eo@aV5XX zwEE|;dIfk9qTw+tSqZL;5Ftf5q}k;1GQ-B?!D4)ypn27;C}-lUOnJr#A-?Y4lovlMiW!%rN~}C~tZp z7v$y*G$n0G1=1XQ;Kw3q`M*&l+oA*J(x`@D?137KB<=^kt|uxGoS6TU9w97f5aa_H zis8Sz~WS^g&MQs0$v>#F|M6Wx&k&%Olw*v6%|dIvLA4UTTD~h z^H|_d`3YA{YoljhKTE8+9)-;hN2CrBGGnsHWlw* z=bqloUqZrHeo3p^r4%fk|-bfMY2UDc122O5^l8LQs09wLOfbPQeei_T8q9FpREFJ1bTgA<)QX@^p?x zqwfdgrExqLrX+LHKnH~1IF$>3KSSC2A&d{IMirHeh-&0F!SGYriZ9H#vL+YcJ&1ym z_`QU?YBCsoLP)*v*MdLnWJbp=z3Yx#N_XoM8JC24j-nP~+|rkFJFV(miBa6p`~&PQ z=FX_YcNYB@2p(%o7~WSMKWu`uWE*)HDYW>2f{KND{?CKa7V<+wXx! zny)nuWTf$#2`$^Nyyp3jSQ;6^HZ(A7E)8(}=4egh0~F~&THOQCyG)zsFtR#LaEu{> z*hUqCWt_=HQk%YM?F-|olh2A29-VHaGQpo?)96nbCAOxAJ*v~7iatk_HOiPg5@8}3 zh=VXMaG+$MN>nk)qYoMd1!NBjzT)Jk1=>(Uf*Unp77LWQIDY>{RJXiNwu7#f|BzDh zOT8-)UH_znEBXm&AK=3^{P57Moe_bfY&Yv?%n9p z=*!LD)e&i=w^}>H*tituBJ4-^8tF`kve;|;@gb^>EG9Hy7Em7&qHG*{FqsqRY`yWO zF2d`7>A4I1D9k#=tcb$2AsY+WlR8zZ*z-}AMog0@BF_l=v_~&&SYb?1O{$2(^edZf zh|EIFSAtF^bhJf!p!GaNvXK_%w!n>|U7$x%L1z{{ob(1IL~P6;{#)FPb7yQYvjq_p z5j6hb>HqA$s(!?08HIfhAa=%S&_`%?$=Fy#+%P_yf(*p{~AKN5WxlIaz9<8xX?ao&&<&4sT%2K z;*gML^O3buopp5IYDT{q3mrUqu3nY5^zr*}_tKAKQw!ctG186C{W}X#JdR#TJNBe* zTS; z+;KDM_h~x>_EoXyS~HchVQiahW;6B}k2F{zlUh8|Qlq@sQwl8fo6`5jl*~bV!x$&< z`VB-{E5LpBdC@24Doa7)t2_n){y(GLaiy~E6-VACN(n}^spq{_xp(i+MEKv_KkKTIEzB5Bt&TX2v{+z+C%tFmLrSw2FXsYd( zeb0mIbIb@g{Ryh=j|i^2=dw_2BlndXuFW68RR{$nvp;btU6h{E9@;R>6MQv=XULA= z4DF)+bC^5Q;P!c9i1W4WgP-Y_c^fNaFJ5X$3ah@GJWZBH|Ehd4z#1HQ!a0Xw)s;Oj zfYu41OI-wq_Ed(Xt7Mb^)M0=9U8Hx6<~n%$`SygiZgG9fn@s%-eQ}3n713aBE(sgb z4dXU`+Mm2222O%6b-SGt;KIx!!=I)0TjFnn)0Q+o`**$}6W*Srp4##H5g-;b7nGB5 zXDdtFwx%Nh3ogc8cz(ZKcWTw#dUR)4cdr%f0{`>tVqz(;n~vehNOW=y{iI>r-qb8~ zR~(%r{E|U+fF@Ov{iEeF@~Y46Bbk0$26JETRJx@Px&`50mql9VORT7GZD_7#7v2 zeD7V9Z*9Wm^Pn%XI8YXnP^G;<9WUKF4$yTQ_X(2=n@Pw9!}mBh?>nNAB*H$KKRD?P zDF2YvB;MF|iq@#q1ep+j)IVS+eaS9ac@K*ivixQao=v*3m6hU?M1=c?^?!8nxw}f6 z==mwtMF?)uD(cME-Cwt~w^&DkJ~U)mT?!b7E2X)PY>@xKGx_|6sZ+M5R+Z`e>nfh2 z(WlgQS%2N`kFP(f7-lLfX$sf$22JL8oPRerD94{QUqtIZUsSF625o+Mcd*%io9qPC z8QiaNm2RCHIVN}%mehPEu`qm9qEe$CqJ1nnpHqWkD>R(ltL5DDDz#+hN5@UDeGP{; z&dr9Lg**H9kgFwc*fa)TeK->@yuAyoGRtJ7bi_L|NoY2l{l&0XpwE0ej2a$LY{P~m z>S!!@Uopw%^4hx49eoP_h@mxNLjnz=X~Plm>)E-$X)pUKs`q`Ye^nItdFsD^1299f zU7-|)6cHX)6fd|_6`TW27>4}nP4Pn;>)jk3e;pAo<(fD;XoxDQPP;g3afUut`>wlD z?4s7|q&8@+_NH|~?GY&NaR}|-Ct8}if79nmrj*Lwah6a`h)eo+q{8zTmzUaC3g)G^ z7tLbr8;9ODiLUIq#w1Yk+CYiEGJlzvW6dgag_oHu;;IQuKx!l8*lySg43_p$iF81iMn{wbhC6h z@~B~XL{g(O7$-j~qkmVL*Y43va4D;XK=f0m8LpR8pPQlt_?oS^0{d)EOR(29d1$;4 z?wd`S2N+yFXdRESn74?irbR5R=O3%ho54*hYpA1L0_7cC6_bkQYE`1#Xj9=bS2-@T`0)qSyx^Q+=_O^7;{~B-4u8>s*0BLeZ2F_r?|sybB}NQcTyRC zdg5j~+OM~MiQ}qvw8RmI3m!3m?IrH zZllcqS};PbW``h6J(03`AaNq?Z4P}pg&o0A;LgzL%NTF#H+pB@ng)_J9CKii2L#0- z0Y~pWwSIs8Jm_}7Z%o4YC4iD`+W3)R2ZL^Zqlr|%u9Eg&QMJV#^};jw3IPUUuln@v!lBbGZpW z2a$WuS01(#3hU!8GT$cA4Gopm^ENszOU%go8vS!_E#H3%gADXkk4z=GBk`%0$Wzt3^|YY3pW3ry?0|<$`AKkl zdv@r^YM*B)o?Zo4IZ-LDq-a&>CgQ?+e{tg=bw(au|%?FSBNhBg^xJEHMwFD?eaSoG-pLKb(q^muNVL{(wzLX;AJbHvN}T96ARs5pwd`JrZBnJ^)3vgJ`G(MZ&q?$d zk;wCLu}{qf%g-Gp5nb@t9deApw$r>V3D1f`X^=uCIBLAZS`o&tNb>oG5piqiE9#=| zXw;h}4RgJn9xUqP7t0l2b@Mj8SFm2M3~DsrWQ9;0OcOI|S}n3{Gd`U7`yaXezX1@< B1@8a= literal 32621 zcmaI71ym%#(k_U*JB`B(?(Q-;1A{w*`{3^G4DQ~z4DK+vySux)JKJ;b+kf}%J7*95 zWkyF;Mnq;-W_?jzV1a>wRUm?cN-(frpg(p{t!CojXklwZ%F4{h!pK7U&Dp}rn3Ri^ znUsZv3G`uQ28qftq_P%HCZyuV7EYjZ;r5~aW1`3XKNT1Y(tqoJrM2&WWjFA%pQxES zi+7s^s#uDus^YTwQDrVBW(jfxVy0%Mu2gAR>k|_;@ejqb1#(jL(iug$A}VN5BIsBm zXrK?2;zjOB@}L{+@Mv@WFGrx#oJwm%(|yHaRhoaeMLTc_Hw)=6Lz{a#M6= zWknDyhYcx*3hKek&?lczh_&;L^E!vYuG@>cm~}6iB#}bM$6Rnto~1d8^-W**E&6q; zEm8Rd;bvxY$lb;-<6_Wy3^Q^0Bbo4tq3Nrn0_8bi43|ohc#TRZKE>yIMn3(}caua> zLxm9c3EWLZx3!q#+$$R0jLw(zp1eOPLJ&T(&QD+k=k`}T;DRK6^5BA04ISDp=FcL3 zNa}ZlsZs9y<*wLl#>1~jW=Op(cL|*>UgWQi-#|Z3Zw`t0I@*r1rn{L4zF7AVp1xCR z3!pvBK7_BLG)4|HcE8zoUQY7QCEMSpIHarFjCzlEw48?*#ep$sZyNzmN^-V-+k@uN>Q*tTq%pXY;!=X1rGg=`T zBYCs#S-mKJR>XZDZ)Z3)+(~*4*rZ&0ckV3x8QuS(uif{K(&%4m^}k}6N$zeP9NxVd zev`INV%Jm9o3kDHI*Oh&DM88eRWJUM)E5nNE$5+W?{H^(Ct+WDgzA>#H96CM6N8{h zZ^Zg``0a^-XQ#trqvV{MFewm_G|-FV!x%cb+h*dlWd0Z7QzUOw-YTQyVYee;JMjDY z20*ZK-Luo{&kJ?mJf%)n%JI?BkvYUtoFoSr)jAI^ZE{(g12OdTFsbMWg)j$7hiwq* zLU%EN2$M#~&U00uZAFZX5m~ALk_h~_l>uptw_pD51WR|F5<7agnt471up{yHBz8pLaC z;DX2!Z}${W##@ddyOP;1J(qREgMGMTkxxioXjGjvp=0tUiqA(rE!HEUud_Y{jG7as zo2<6+wwje++)aq*7@BrFSWq2yecp=p#navxu2UrskVgU>S~d>|o&$4CpG@8D^{_a9 zM1!h19~!pv6vC${_}2w)+dmcO-**T)J799cubzvZykI-nc0@;iKcr+f>s=(QI&>xu zdVd$VAt-69ZGThTZMUUIO}>5omhEr*8$Puf<%!4RP$0iKhceM@OZ0Z+rSXQ7-nsm2 zYD&Tj1wd6}gXd+e+Xg{u@pnV}xw=x?x#=bk8ZbOyinV)h0rs6F_m%aEV(v3sXj_(| zbi982@zoPNUb$Hyg!uNLjCqvFfnTL%b5!`{0I=G-|5D&)Ilb-CdJ?X}3%R54u9^ zNdzsTQKa38KUW z_xcixqOSQbAY0zGoF8?hUeVsH?$1Nyv^wlRZ=)olX8Ok*{q{ai2nZiqgeF0~v(OM8 z=h>nnV$yoBSf-lbitigs{;Yp1-WNh90orp&Z*GqSt|Zts+;E%)xd5$$J57{2kO;c@ z<2Wf#ncU=6jfrEG87uh-O>DAo4_k@?|H#Ei5~B!N{Zya75xt|p_BB}LG;MsVHt=WX zP73!6|JBNzn1dns7uk zI!Wu0W&E~1_MPx$+WY#Y;!DTU$gKJMt_5K?#-AJBr;~i}$@vT9Q;v^fn%VH*COc)? zkyKNaSF;Zqaibwo@ddZ91*ah;bkZ%_&j6Qid&03>i?X6^>Ii>P`I9#b9xM${o1^)K>ts2adH;-Lo- z#4n|vkSw4Hor%(`__dW#MF(u3Z{9^i)&7OZpq-KZ824qte!nI>ty|+($xVUYJ2`)^ z$5WnV*oT#|C2S z$Y7r_h28}-uQGp)+~enb>2K_pzHMxJm%o5XrtLd>4wj8cuQVkUPuG^|^-QWESuYjr z6FdrSb^*RxEUI;cWKE&46BDAkbh8>Nv5Xr;FQYtt@o}KP)z6AQsv8b3V!U7!i?_-_-V^+`q8BK8g!gsp`7)0(kBXPLZ7N|J;A!~r$bM4#pAx%WvmX)jvo z>|}2Mj)FJ5&x}S&Uq}T%13}R>$ujJ<_H`G`z33Y5v6=C?ayFe+MJ^g&z&ghAwO}IZ zDnJ>aXI>E~nOO&(p`;gfCSSpS{%Uk0%AsM}_vzR~iVcvwun-lWk{OEDxR-Yu$+ zplxj_jQIF~)3=r6l`Yi!BJvlX&NXO7hCuHed|`NHZ<5Cl5(@!^Pju|%N`E#p6>q~I zJGsK6=ExV#+EnSt$3O|>eCV_AWCeIXm9QC7C1FnyEd55_(L!L zJh=~rmC1+emrwSH+INUoHyxOa0M8wV-KbvOy}0%5zST9IlYis*TeEvx9uBH$7a`6 z!m9*H%)pttPxeR_aZ3-p<9Z*g;xd^?%V+8Ywt{;q0`mXAcs%63Qr3X%HEB*T$hr_) zik6Z5;OF&dT=Hj1u{+0XMsb_Tiux*427ABzU5ce;2_WA%od7NAhk6R3w{b&$W7zXm zGR=-iVFBKxX;(-ptlPUID8TIHi?wwLJC{z$A;R;w%8*f@i1UV)5!gP1?7=AHGtcr% zJxv%wVXVuL|DR&wvRRm5Txkz#0yIvs8l`f%(iGYTkFWOV*C<&ql{#f($Eopc4(7u! zwVet8sd6;!Ht;_ae-1|-3H>2WB=w!CSrk@bA`mv>6Nc=MiUg+ar_T3bIaOC+exmv+ zEcSCasM;Ic##O zZokrE=`!&Z+lAXDC%X05<8cX}Ge|Icv;ZS&m4`f<^5)ksc`dh?@mAwH7K|7#d^N8Y zeVo<@(c*5D^Ry>YupPfVkjg_ z98ol4FIthLTV+s!oNF3MSMXZRgpmGVUSQn&}yU zFfcImudc2Z*1*#sr9Y!X!#Ti)3v{-qL+lG4U!{PsS9I(%mMFxC`OIjo^+^)`{&QB!VRT2v3>?U9li^#l!W~>Jr1QHNvKwtww00c>zv8|F% z${#_|2u zAQ0i+Xv$zmSRuyBelIyHuJcEFY`^6xjNkW?D~J$jNB8Ex_lJBCVo%hS0xz8Z+>}6bo%wCi#IJlbq~EU_uX6^)EDQQR#kfc zfPF_%oKQ+UjP`E_hgg`CjO;^(Ey~cPZOYFGxR0YZ?t>M45OK)Xq_bmT3tet!s#sxG z>Aj(B^|00wBopLtPj(@A!s?VsO*o8dE+fKOk5Fe=*w=$fl&esku5T<}C8ckTb~UA% z%ztG2WYu7^1T(cAwTOIP?(oyu2D};1tHX{2y>YdNyoOo-O$PmfGB12f(^ z2gZ@cLz`lU#MTREr^k7bZ617C`s=T7R>J8sgL~+`K+pk!9|XeS9weV{xXxJRmK@s3 zjwLPPkvzl`ev$p%9yA$MsLO;eFMid@U#M_qtlKY30`>S$EHeQY4gA*u9Enuhx8e5& zpXkMTp=*pdeughNqgO#swX<)LCZtLh-hC<(IkPxcMexW`ZwA%5LR_!}0rHO3WM8H% ziW~XoT2kLwk%fLRXi>lJNU%+wl>GI;$o|}2TdvjPz0y969ymV^Y3sUOa{`AuGa+-62#hex%4>B($PjI@V5V#o* zJ1tU72`TtGO}hJSdat3-Iy(xIiJk;6ZbY-2ZTh$2P_|~QdpD8^!*9pEnq$=7+`Wmc zZb5(E3ZIYrx6mttmWj7%GxIajqU{XuCtbm+?l`K5tp&~$F-*5Ju}rr!Ku8B64TMw> zQm{z9Yn(^^M{^$u;BYq>a-1+PXAmS?vJ!e zUTvVnWvbOQUra~R6;oeM!o}z1`)Q~iVYRTxG1MQ)i`*Z1m-hpg>BK2qJPU@YHEXOR zsh>fnm>DNn8BcV%m%1)0DH&JZn3T zS-x;g!un*fQ|wN#Q6~oUgnGv{SDwK&PV`k)$wD_pvRg%AHPm8RbAxa^Xf_AUX_>6Dvh=)fp1 zyY52nbuCI%inDoJx#+I*3*fRgD5{C9QUP&J$Te^$0-Ro4q&tlCVjqqydCuZwuu zH&j9gGES!4l!*YgWum)s&gT5i83kMi)-p?ONlybA2UBjdgaF%g&>=_jHVc#}u5nO1 z9(LW7s>5?oJm=R@i~Cb-Va`-T(9 zv(2oVTU=tt{a;=ivM;xZzTtgO8|+vQ7#(0 zyM3SnB0(^4xM62I*X)ZkSEp-A@0Vsbe_|e`jPqCTCj}CwoL^H*clrUf+=gGMBaI{i zSP3~`nAmPlf@6M8rwB&(x(+)*TZx?~Ic2Okiw6Bv9p${s`2AfzV2ci1YP#Y=4bFfe zohqooyZ~)sO2uiPI;eqr3e|uC92aaR${(pU3qnlkR9fq;Fl{)s} zx6OUs*;~WMdISuhC4x<2aE_#;eeyPFvNfzWB&aG4>ZU%SUaD+@& zF766vfc#Fzx-;~`D@F+3Q0*{PO8*6q-Do9XWWh+XyizCD56&h zB~wRuc92%eab8|Ofa7@vpuyT9ozvfb`ey+h$0eFu&5^JTIP$`&0$tkzjV-dz&wpCV zANy7*27j|&9oiEdVlG9o-WnzqDw&`G_`)eyZVvfshhs1jT6e?`x>>n__QG!osAkh< zUfhu=yS?}WW*&09(RNEdIFDp9VZpD`Vf}33*kS_IiLHiv%aCnir8@L?WnYnX;@8dX z?B+z3zxE7YYcYOlw6_bcv9kfe3It0K%t0^(!8n+On+2H?!6@whlbkNUN3(21^NOXO z50e{__xG_Vk)>CgsHz-_c*<%!nl8Ocg<3oNP!BT4P!B2y=pbN%fDHmJ$I#aAyYBiP z{M@W~l2Fj5(v3aPvw>h_0CHR1*rS1`ZGhAmee|T75lmk=xZ{RF1fElT{`Vb_vt!5F z)+)dqKq!c%8_=_@iIK`HPys|Wv!i~Q;@8wam7Fmk^G*=+@)}IbOD?L$Z6cvoSy}1h zm8Ohi|FQ$`rm6p@`UnIdfDAx zO^t!jI_BS~X4Qz+o*;fv{cMNRJs!$7W@R{fjJAg`pXW0}j-dy$o>Rmy-pcGJ2ae(; zxBkuushX6D2W%y$df~1b^s#kSFIVAcDw`C+Q{6Ua;ZAJu_(Z40NZnf;mJ**j-AkO) z;4Xz@9>z9^4SD2W;%EH+?PZ>We;^MYt~APZdGe)8>YIDAcr$h*I~I<)><@8IFcHl@ zrhwWoT_}^VpLew+g#CSyY+EBWeaIIyhytht#Ne}yJo-z`x!k3hoMXr6$btEzvGn1k z)<>yPxUiPM@#lK5nLHCnsmKLnQe*~MZ)U%Gyc`IrNOW3|kPi|R{|UtqQoT~*wv7*b zIl&Z9{y08cuv*RjB<9=DKEPm1kV5dE!VjbX_W^c;A7vHLqG$&XsB07T@RkUVrm zGrD`|u}lrH5$c!`f;E?ddXOl?Z)GE;L>e^v;1TM=Ft83VC`Q(CZoRQJ%d=s6>j2XHfO*)C4?YWVDTbx3WxTs=+@V?}i`IF^LX7zTVa#{_!Jp&>)S8 zukP7_&sfpITkJ|4C1##oy72v5AU#AdjAjr#axEMNz!;sJeTZDxJXYLoid57NNJVaM zH{eps!1bUK!xNNRHs0_}D?v1@ zG~I^fcVo7ASa%$zwy(L*S6dcFX`x>?1KclSWC}AO{>LMyRUI!dZ)BL+Od~@iJA5~B z+~=6Pq5Kh>&%fHEY4_t|EYh-K?Hu`Ywe;>T`hI4{+Wq9u{j|q%4P$IZANbh9NGb>T zRG|gU;o9x_6)*oD-lad{;mAye(qnC-++|_{4b6R3&v>99n%n*Pi?mOK{(ZuSnukID zX^O%8(fiJNfU#Ha z0bfBKj8B+_qKfbU=5DrACTyHvQNx+XVW)7bwF~|*(RUBd_ccq~Za@Fr;f`y2TI{*v zU8cqS$7zy7Fq;$83SIcC#ya9zuO{Jd(29q>63px;OG7n7pY-OAw7cll6muk*KpQ)L z7CkWyF)g0_RdixSynNP+f7joVHXfMa{X)1tc5po|=pAiidW&Y3BPhvL=bl(6pVK|A z?=JOoRcw8Xd9eW13Dhq87}Rd9{C+}=1513|++tL-j{<@130@$hLchY5vP|uob1I*} zR)}8JYQlNjUKdiGQT4z{wubLVlkay5PN3LvlYyIR>}NsB@a9v+A2U}n3gSNYxo*)6 ztBI5+P`>Y;7llLXfIfs;-yHe92})28;Q~F8w*3$IU$V35(yt7I4`Ii-K1l`obv%g( zfygZJDE$W2WI#}k0Au1&NNSH!y%knZ!l7!M@N6gU+|wI2D~J3zxx$@dW7&4ixip8E z!G1qbB*(C{maY|$^*;b~;cywB6iMjZ6eTm5evT-X*5qH{r1G6q`Y&I6EoNn=7$IX` z?I2KnAnIDaClZ<>W9DM#g)&9OJE_RXxLb&$Y*(#mxl==SIjR7hO=)v1)xQUI|Bz#dJfEAx(@zj` z773N^`-(coY9&IqSa))~JCI8-$s4M7=gBBJBkL zyeAQ|&CxFJRo=0(;}$qS!arJAtq1B?g{x*5VDnQ<_Ww#Cw-fzTr*!g#ujA=H?A81Y z4Z00mU2P*7_)naAbB~&6n&2Y(-W;B^qrwo4j$B4 z|3-?nTmgl^^=BeQ8Tv$|Y)~pFF1Oe6#k?3Mg2?lS#S-_cK z)XvwOS!!`kOaQXOHTkRx<&2vqDDmKFDnH%Z5$7~Z`cEFhlT*%t-xiiFtEOvwbY@py z6|ZFM2+#y&EG74rTM~LraEWCm4E#C<^gRVV8c$6<8c@=~EN)!h020GCt{E+)bOfXA zVg#cjarPye-{R%Uc;AKa{%}1Llcf}f)i&t1X=id#GHpavJD&=)^t&6~Wb1eo={z}~ zI@k5Pe=Lq~WM0`+SdNjQK@~2Xnoh$cL)M#d^@7QzeDt?_o&?3X{#lO>ib{#HZ37*S zyuHX;9KVoXaw{I-(*2K#$B9$Z7*G!Jck4ore=j1Htx=Z?$L3e>2K@^yO@~UCoBVXyLyiHDqRhbVc!@3Eqt9d) zVV>%Fvh~kEaMU^aJF#tt_0&>k9vO(gYl4V;`d8}SYx*qhKY}5+8z*K|ZdIj3@JmB3 z*u=N9_4GZJC#tN-@07bUf6(S4eW2zJWP(6k+8so!B@hgd<-|j?(H0Eh$%7hFuS2Rs z(rot-lZ**C^k|Cbkv&3!lRy~-1#B)3C(1VlS;8Oy{o0r;&M!Za3Y_o$A|(dmvDwa# zl7ydhYn&{SnZqFJ`+&eTnL(ttO<6O6K6s67^N(6zJ$enQH%qtUtdkWi{+IjGt%=2D zfjBVTT96uuuAA5W-oES# zYZ z0r`ob;9m_W5cwfD1U&)!&1J3xts0UnRRQ4P`wYh4~=b5`4#ns6aX zs^JA<&Q26Dha7XXvohZZw7Z&&O$4AAy(omPIFi1wGjbNz1>!zxUmjbpv7O>q z_4Z&l+|zq01|m%?dC1#7u|ijc)Bk4RwV%H$`3Q^ly#z&fpc$nv%=cCqh7!|zd;OZd z5m8b|bJl-eyr8+>$NSWVHcOZlBGv|xd!Mb#9ME>-_ki4KkCYqVF)8y>2BOQMLZCY& zODw7xH(DO{-8MbSu{U?%Ps4jnD9#+gUSW(slgxGD{hH-~9rMHcqAgDkTi!?)eK*Z- zb&OWe*}A`9O0cpY6Q6XIHK!K-dUv}$ebYZGRU5!e-AnN>(C=K_IRA^d786ZBhyRyc z2fa$HRj6YX_btA2k>9v~0v|}2{wD~51ax+gAPN!`+5Omm=ihZ$b>3=n zgEH=ISPtLq!u@e%cXQK%L8dqfun`b}v3JjKE3WxIQ`?^%%LKLaKNh zkQp&UA+`HUJv>OG1kz*znIox13>aBub5B(YlUWq+O(K1YE!cBoN)o%E;3dXPG?zttyt9GT4 z+nlY1uYV5zFkb%Q`spMVSrXxT*}mtqlaN8eH??!|A^ybAp$5KbwPrnQ!ZDQB3%Yf= z&XYz?l!@T{R1Ku(ErZc?Qbw*lD!{9+$+9BejDAOE`AAuN)NmU+pd_C47;a~;8n2!#2LcUyh8AnGs-VgPq=mEcW z4J+!EtYZ)s{XPrHZujeuI$4EM=k8bH!OX-VA+~By76x42blBMPp_D)-0bSz#1KNL&}{_PXm3&@*FCFW z!AIodw7QEV9f z=I)dT!<2@4n+Yit`_z4P>Bb@ZXKk>_sA;8Y-e=HJ-{#qN)K~jsHC+hw9u*zR|5NbC z?sQ34Q`=+c3`UOXG*1PGH`n^?=ba|=yW+n!`z$9Rs;wz>UPM5T zGUHatZsh?e#YVFOM+uu9(36-+vZ)7yw0e$-Yjodgt{CM5h;!eZ>`4)q+7BjzRC7A~6xN@NSYwhnl-kIRrPTB#G7lhN<4Adi6gmZ~=&?nG+`jJir4hx>Sw$^H|d z1WithR<+p-&oAmV= z6FR@b+zz$fXpb0Yn{JNZ>#4LBH&ym2NgO2vwKP{Gg^2>;cEzLAMFE~b1ZPN%ZKEq z6Ib}D1-)_l;>P3U;bCCEhLpG+d+#tJrq#zAp~c4==d2HvGG0WlmJiWo3l?)2$TXh? z&36?TZfu?iejdEb|Yzp}Dc?Pk0*r;egGT4;gzLk4w z!xbDVsLDxc5nghkJL^Za`J=PZa66!**@x%ozBra&Y=Au7lhQkI{sgYM1#te-i%RKK z3))JXuE&UUaw-AH7REi+HNGC6p1ZsbXKw%VtNqPGusY)am5*CM>C-R1I|lkFl~A7= zw6QR=Qw11kf_~G1%jTeGh5LEGUf5A!C-kjhO$pL1Q1`_@#~Rb`OvO%NuaC3(S=T6S zGr2Qqvr<6o!E$-D=(F*yaG#06w}#|V>%C~+_P>Qa5F1wOzeN`#N>{BvlU&FTb_gat zhvV-R9B_QAO0NSix&O-{+vPEMAMz^WeVv}mVCO%0 zy$0(YDeO<8QKjGWOFbJQ$DYakDybRO*K-oKAZ3;R?|D$dfajy%@F+UVFBm~0btlHQ zJjdxdy2@0K{orM~T4koQX1kX==vf?w4u_SUVBBZom2f;+H+W>-nL?&5_eLto3)0U! zXm#CYa}o8!9vZ|V*`Lhb)8ey?V=g94nYGNG)4}TW=?B=$ki9}}{IHn1C;62)J-na9 zf_9qntBA=}Zh~H=U%-!iqk6W~bZjgH#xP~g8%kima^7s~KAZcK)*9Hmo9Npyk(*rN zF(HE05^M*)z<79U`5Nabq;H#C^`f^f5WP6K9{pO&Jq3?YBPi+W9KtdyM2=mZmf-?t zZrF$VlL3eTUQLb9HY; z2QD|o>UnI_$)S=EQ7n20FLEF2cF}JLrI1awv&4y+B{MJ#{KzC7*Orp~4~86Fr9IlS zF1Hmn9mZGmmlRY!<yZnA6l|;L1jf>+Z5g z!A=NB?ifFltKJGOQZk(LFLN)>6(rV*j+2eAPJAUL^S4OkU_bG?#swggU`N|G%kdn< zJdS^wmeen~UD3+l(p%T{4zPNf)7OdpvRmy`%Kgm3^NydB)7gguZdZ-tR$Fuq1jK{} z&@t0I`GUFAzEvWrygKg7`T%{aRVLRG4Z7z&my zhM)Z%3(A}ecJl*YIIhyYu%>&30$?R1d@h;wt`JP!m=kG<7p;UIEnakty8s=2yQN1- z2c`8zBMkClN)LQafc|6U=QRmf#3>iv=&M0TY-puWj#jeG;PM#?O1uyRJS{UySFr^5 zj({nmaCBqu7Oz_|uFY)F<){prTVr2W=$KtOeotWfFH`DoQ(TOZ)K7M-PYggF1i^R| zK`tV%Z2V}`-X7R-HCXDAonE!fDn_{?_A+0gO(G8gVf!eEznVqGDuLreSn4OLexV1p z8S$DfKfj;_*)QROEf8uKq}+?SEh7d$m|_a{T?Qma^lZk0#S}_zo=fc>Ybx_gO0WH-8EcHEw+g2U81aSp?C-K z-9=qYakYrrI)rvCBPG?G?1gFEsFzI2yT|Sm!VNvEj;V zCzmXrdgsN*pvV6`5NDm^g5o7v)C_E7LVltG zb8+m;fxuw8E!ny@>~X9t&=rDg&Nq8o(J(DXmr%}}-rND&^5# ztvgcJrE{cCt7e2VC9dGuAN5?P%-qFM_EHuSP)-r=7J03O3z}Nz5Pmxe+gAwT9;NYB zIIodOT9rETcEpQjf^y4^Q?=a2ZJj+BGW8u0z$J6|V#7N2yCfGWr8Vd9SkEqsE9h_5 zQ`lGT`t#(irZs{ZH(vZyhYHp0C6qb-vrc$a2+@< z7pD|Tn*z?E|Elz~xlQNYnQ=vhNhEu@lL}F3=Wv>oV;fc}BJjode@iWK|E{Zx9OEw- zMze7*)-O}T3Eiqyp^N`3Bf!-oCcvtMUbN&qmGuBQ9Fk0}JhvY7q8Z+)<<3o`>(^?t z<&!p#4t}$8=N-dRy+dL5a%aQGtJ89nsD>YVzF1y>3i!t0rG$TPmAK@Ctg;+< zOm`TxFS9I0qDCW~o)bE@KSiU}H`r{()!3{k2hc{&*QkXj&kGd0$dW^$Jk8V+4N`9G z(nAoz6V&DV{N8!D0HUo{<=$!Zd3v!0S&c`()Pz44hdM=jw+(VJKA-YDToYf?$J-Cp zowGzdKK1QYZ`8y*KH-%Ar;dr4;{%-#bMK_mbL};@`;Hk%J1Dpz50%t%e(9Y{yo|FY0F=hV}@^Z`F&8Rx?CS{0G((CS0sx>5%%JkbA zI|r%t>d54W{Rd0xcD7u7ly_#Y372M5O^i)Kcw>m8xps|X}|k|#^2$VZ|e%V zg06OFs5|!e7%^`lFOE?@i)}uK-FLj}Gn4K>q+SI6#|@`U*DEFu7Q#rA&f0r+;at6d zT9gFN`fsgeS@IwkIe-1k30?&kfBcg@d8av@$6}PO`-<-3EsQEF0?khWj@Fua)~zC| z;M_X$i;%n`8AoeHD1J;SQpHwfYNj`zcOzF=sU>N{@3VBLGb6GaIl9YE6=QpE$4Ng+ zlG40{+G`{0SrbmHFsf+4gSf^UNi0pxO>hA(nlEt&_*%>2MwMr2KqRV>28ao?+S!ar zv>EjaO(3GPUx!gWzu%*Ya#Yb$Qb+Vt0czPaR@cn0OzbK12*YEJ0|2dFJ911hx}w|BljgU>&PQ34I%$w*RB%sIU@!1 zpOg|;4fj#-KaWmIHM0!NztS%>we}M`qHozi$>j2sK1k`D{%PJE=D^#NUy0BgNoOw8 zLy_g$aRc9G7(i*psWSct0jAjk$_`S`OJOzIMaV6q<}rE@})E$J@eGF6yfvTx<@t6 zpwNiAAn*@yV43Jnu)>E&Dy3q$b)l9wcR??^wM>~&W$nC_RH#K>qDH7gc?%g)QE8lX z=mojVa9b?KtkqBf)T5D5W)%R+7VyZ`dVIxLgMwYp2!G5>H2-y;rQFJy=BSHo8c}Qg zAkr${vZQQ#&ldvF%=;Wx*6Uaj;$<^b&u>!}=t47(mEw<(ndW9XGD+i67q&!4hDk?F z>s$7UmCE>)ji&4UsET7AAtewB_F4j3DMN}DPi^-Of^;w~rWj~vFSH8Ar#^3Bpa7jh zH?GKX6#+!3+|J8W(ZN^g=Fl2bt(y`DesezQ=`YRr<{W0jam4Vj*yBaqzgH_G`9pQL zMTE#=Rr~lz&E~ZY7+sHC>9m(rkqqTrU~ONRuf1$(p&+^P>_3wm1C~QF*Ucexq@J}~ z28^hmg~Trzk?Efo!PZF#6xml94WMm4fWe;M7!9OtE`o7XWvB$lW{)U1_pYU(r3LB{ zl!z@ju`XbV6-4+H8j34Gt24aJ-|e=7Op%E#5JHcT>D%*-6QJrtGh5ILP6dF2%jJ@G0{=5_i1`ME9+OU+f zw{a_k|EPRoDJMMn3X1UBs8XqhQlF}_Lm&_ES_qD;^V3tzIf4KZ(CqOLRy#BLZ(bg% zYiCycU@CnC^eo)mg(20Ova3A$=s<@&?yGq*e7?>r$oWPX%~ zxA(l$PWeT0_3!AnCyp>?+cN0^STC`ng}B9~Ty!!gcrUKAY5 zIZR(0_tJnDe*N>Z5hx5P*9>FJOF?RJvqG*RlpYI1QkqsU@l3B9y$yi`7Lo@{qKSfRZ4+tr6KpIsMFB z#bV2lU#~Wo#;GKKOWEs76ztuX^O<$t4}RJJQ}3PZJegZwph%g7ygzmF5kgMEbuIAk z-YErkVb<)hM#^jirx>jaA9Qj=YeOE_KQS~h!ePZ|11`deJ&=L9u;IN;dWjtb~Lq?1)$vd)VpM449-E~=-7eSe3= z4lR)3ZiSF;v)*G?031F|o7`2J+HC1mA0Srav7{6lF(K!I(KoVKnty-NO1aIZKzkBevy z8M9fJxC9gDO=m$#a0WY$e3bc3ty_BJ|NXX)lFBb8p(djEF^nj-u+$yKs!ZNZHn3g=)#;Ct=Vs z{s3Af%~rg312HNE*@AK>Ta}#B?Dz<7?bI~LRah=iGcpqW>hudt`s^WCJ+ViW2u!4zEvCY zUdo$13-3GfW)2*!!5`_|e8}eZJLxBR3ZrB?;MijRQ?W3tHM$`7$(66RFtqwmdX@L% zEI76WMY82hX5*Y01n-OleV(Xz!X%7SwRpMB&zN&?A}jDe+D(Ip5WGt}s!hb-1WBg4 zaVkAjn_nPGx=aH~rL)i1v@7MK6!`oIP$B$swnxPF6$DnK^zJP55tZ7tdQ-drGa;y| zp@J3Lqk_m5`JppY`H<&7a$p*_rvGqw^%wgyINezwH@&b|Lq`*@mv)}a#&TMfEQ?f~ zp!^l~KeB-={hMKVnAbS{c>?&N@k3y}n%nR6d?O0YvTMRGh*F23d9<1nIFhzV{K?S; zFIpgUScQeB7XS;BD@4sC&dH>TWGI{w9{)_bC$fWlY6l(B9+Xaf} zzpnk*uMn@;_7p_6x~(8yN7;O|km6faawb)Wegk6%woMl!qn=9V{QYwdB`xCZ4 zSWt%oBw{Lit3X2eT=68u#>IcyJ{RfzYa6BeGc*u1ErB8YU1?QSXs^iB3LPV+6b{2Z zpNh~Has_n2)>~T|UoFVeN`q-W)Y1Lr|1`7(WRYK5m&tau$T<@gyq0y)>!C%AEtn`~kt2 zMF`FOP8~Me6p1;LqN>lIqR%a$@6l7Ag>O2&f$D2BE0PMXAtlE$K$T%y0a=X9K1{5Uu|0 zj9|r9t_pZY3XU^Hjw~o`Dpk8;E+ef-DU)D42}}!BhPJYixghckQe%#ql)G((!7PE` z&5^Xt;3P8=2) z+aJOIYx8gd;E$u#cbpZh&?i^zIw%s*>Q4AiK#0Hb|6_xJ*Gv(bl571YCrCc{Vm3uJ z6B?F_-M^zTn2OSqvd79hlMCL??XkiqU%P$AA9r^^sH@v)L7O;gd{HZ#F!$?dzDc7X zr66CqNo)n<$-ksf6y0`|dn|<;sZNZ>1CnXW zi72In8Pl23A)c{fiIQyxKXh*mPu;}y#0EuTg}Lui@{j^G<68v^(hb&kl!eD>YWsuJ=N7SL z1WelbH_;2JtarZ)VE@dqO3bb&CcQ_p6v$HaXkG)B;qnzp982>F#NC&kz_BsN$ggNd z%qh?MOko!evTI87j_oF>}4H& z!8aKO(pZ`ZK)aa+0T|^^7aQEwg3{~NHHM;<=PgYoN~Arrf>o4fEwhPoLryItiPt&n zzFETHOL93YilEFrNj8ae3?`;HCtgI)^kUo4zF?UM%Al&Z;m>&>fI@|s05)o~fE0bD zCyK>XAYrKV_~lOGcsqgzdj0#WaEz}LWakg}{bnQ>0WCS2|HS$0ubCuhY&jjC?=#^z zbUHXCAF~`l(W%p&!fX5fuP{r+O125sRe;2x4sf;7a0G&SB*9f1>%gKKIB8E@n~@5paL`a$x3_Yp{G0hk9J{1Q%Am=*P z(Jl?6F=LCYiIZD?m0Q{-MKRvxpTj7-pfdu z2yx{cZCiU9^>kz>zS;8sMChJenTMVKYO}GHy7^wGnNRW z@x;Zy27I=n@yL?@XTHtD0iDS|uUABpS29ebr2bj%YJa|s9U(N0O*z&lA!_UNWIP5& zn6O?*k(-z!8KH3qx_^0=hb0(Tn*T%YZ0SFO$1W+M<8+dXuQhU!&2-&&Q%vJie8)nc zaHZfUudd9D-?ySEQHpsM<@5`n?SljX7MXPil%P0^*{O!0ongeI+>!lksnxiKjP4Z8 z_Gc0SeF=hmYMG{EnK5q5RQ2};H}0m~d5xcA3}>@3btF+N&T5{&SGH|ZcTF5c<%V+}2;@^I4SbeFN>b<7To>5|eiBNiF;Mi1=qa!3Y#M{P} zHaGrPC9y?TQb+HHG{pM`YNDRD0fC+=Dm%}bRkzZ^2KHb*`>9Uf_*~_KKI3nt#TvOi zF1d7@6GQWRz7ffmw*g|NzfmW)&xy=Y^k|_wj^$xEIH$cK9!m7d492*Z=JVDi3%*${ zf2Gp#j5g^dxho$X7*n~r9hVFdqluN$1z;$Uc}tTjf=Q}v-G&Asg))USbTu66BL=0* zsmGB!3-!C1Xxg!c<-dXm)pF|N=JeWCMv;@Ps>`Nt-F5@z1Nrqc(~}RRkf;m))?LGd zi|lJ)W%t9SI!?n4+uD9_Q*$_;B)X|tj;Z-GMiENAq#CXA&ATELh(;~dow_7CsPlbG zFXC>x@-KyL5lUBZAYp4)_9_a_fC$D@=w2jBo9k&^M$xG9aOtj)PO#)_{WtQf@9x@6 zGnO-Ny%ED+Nz)ac`$_R*ApY0K9mK2ZR#DRxC+kVsW8j}pqUQeOTRX<0Q<`ld!jpg8 z52Wj|cj_oSzT_KX^5rAC?Ap4Tp;E5{Q*X^Ot{F;D9&}N=SyX30u&VmC$KUIB&9>YL zDyi>lOoLwC)cY?;Mv3Nvm2m3m-Z_%auWYNYr&EQhM*J^XO@ipk7@aNkMfD^kUm=vO zDm0z@uYjsbic3g>rC7Uh>7*K&*X{N+U+Ol5?KFM4 zjljFlxWQ6+mK^LZAbI^0sc`#9`?82}5c57`@aFJE<&B5J6K&2!1cvD!3idML?hWqU zmV=nBo~Z;@8`?A$+7QOjL?Lq1C9Yq!LT=7MC?jV&8Pxe|+TZ+B8h{&M;&@ zHy+G>Vpl7D#F6XKV~6IGOhkDXV+&ADG_%v5j|^4n5G}qd#GCXOlP%p~+fRpxA;;1R z&lbt;L_f65+!dMPj_qCiu|OvE1@Y>QU0qObu`x^RuWcA;g==C>s_4A#W#vQ{)C&@< zWv;6MaIQFt!${}T=HZ!SL6(o*R-7y`!U`DW3dxBUxK7GqP5Lg&b-xWSt{U^cH<^^P zG|VlN8Kiqh*MR2h$P&8<=hM8?YMQIc+g+oZ-NrnfREAsnRHnzh^!0J}8$Sr!pDDhA zm>cP-bkXSaEwE*#okV6dUJbhl7CpKsDKBwVFO^kg9AdL6!|5~ATm)|(%$2ZBd}fCT zeiN`+9VGgbJw&7sLB^{-JGm-hnphlaOFYLE59EV3>?iKHqy@45VhQ-_lFjy<3Q2Dn zDhTG^@>ccO09=KT*>AUr6g~!aaaTof+p_6CF;zuy0!imUTa_|2Ai^5Oxj%LY0a=xs z=7eD1GQm({#6Sv@X7(d2&$MCxnV~qmZty{mGnhiFcVW%(QsgD#zN#|VfWp3*XVRd* zN~_f?Byv@i^L!AB11YI4Mw#mkMG#ned<#0MYX~tSmWwoF=J|D%)*qcVu_N|^`pCRb zk6DgNe~mTD=^OUlVjW*?*T`unu6CDF{&JlaN5NE%Gob!)#c8+G+p0or4-&i0-d3Hs zf3Ied`y`-(0*6N6eQp#VsoJUhqy1qP-ND!6hxyT-uby9FVUpt27#qI$w9n3gW3?N@ zZkj-Lg?6*fAcFwr+G>@2UEzXx6&Ciy`qY4V)kcE{BY9zXSE7;vA$g(8SZTB{d0|J_ zH*@{Ts2Fi?c?B|r){M4UMAp~fNzgrj?PC0;?_K5qp8nkXTyPD)PJde!Q*8pvYe1!poGGuOT1W{U|p0~kj9(n~&r)35et zL_!SPIBZR1QUD}W{HcK&#g`-D91aUK-c3j_d-yxzx$i486&)L>Q@40p&@pYsn&iY zbOE`w(&o)QE0WLO3)Iei0MCqwSjqWP)V+{Eoat*`qp%Lj@#lj-JNwq1{-<4-Bx^`v zX0;PM!aW2fwQEj;tDDmCqk_sdO#Y8CVCKWoY? zNwPRi);RI3BGSA`$FV)2{Jf@{0?8iMn5^_ai~CRM2F02tyE2GDsFS0C^fMaHsU>oO zJz}@UgrME8gQQ1GtuTE#QpV_{4l)dV=yCZ_&tF;hGPE~<0F^D{Z^o~GZS8FOcb1mf z$)1QJ^KG(UP_^~{rB|fFdyyRV8(Ffom`94ow3`0b==xJKE-yYFSwfV*tDxbj%G;U3 z3+lDQaXiw4TOQ)pL)-)NS^~X&xwdC(g3rdB^HiBVd={t>S-^|S%e9GCCQg4cAgh7L zinNF+1#Ng*pA*Wt8~pb3{c_m;XH!zpI?Zs`@1z^-9EClpa(k z<$Y_!1ww49495JDv^Y1AFM8YrEvRL8+boSOU7eP-lhZ8YH)!iKFdnuSVkm9U8#qnH zBOU!!I!|j+1th_TNG@`t=)+TRO7Z?Lr;K-j4#nnNNdjt*xO9;|x8Jv-olvV7*%eZ+ zUq~k^5C+XEovJ>BTep{JJC*yD>r}6vn7Q*zN@0Z`>$K@z_#gfbT^MhDA0lf)Tfg<= zKyE9nEh?;Sm7m}k%)Or;+Qe1l4&jctp5Zu`Vx zzxZZmdOjfnR#MM4SmIy*0^KDC=&LjUc7-+lQAV@Dl`(!bo>_v?n6=?7c;9RnSnkl$ z$#Muc*7Y(8h8JF+N#_?4*wm6tDO8Lj|Hbu%`h*HD$(@Gl_*PgJ`p9wn z8UOX}%cnNK^5^wuf9K*Ba#TGbmi9fOr%pK z12R)`&0k_$RCw7%jR5hj+lAVMU6B;TC(M|PeyP+wpE3v{HbNPj$JoY){F43- z0`(@Wa!Sis@B5Jx=&hlrlUZWNpxXoFQwt6)NyEDFp)l~~U?btF!{zs9Q}iGFpeip) z|8}L;wz$uv{RtcwZ6)GrVy0C|7*(4bYzr3b0cQlNQK|`dRQ0WncVLCwX{DbBI$uPb zd%<<#G}Bp?eUKcl9u?)z7oCLBZt@IH@_Kk_Q`7XY9?QB}iyvsVQ9S&oET(BM#=elv zXfJ-kk?YlR4*nqW^Gk8|hHjh|qEqyRleHR)(}L#;)V<=_Z2Ae+MiBOIa_YLvoyn9xe@9R2!N$;Mb+Y3X9- z_pd7747l*-_M7h_%mJq8M!Y^B_ED(1NQSZBx`j@EmMG5??sxgMLFvgT_^p+4(OpRL z{rFbG)1XRSVNK66p>1WkN`ofFW9%%ZY`3JK*&*QSTG05Lc|>l#@R>2jeF}^CSv*tB zXS;qy!-`oGiqK)xe%eHAfpPf&s>9JRiN2#y`X7gM6FrJEJ0qT+7cu)hVf7Z`u8mxI zsNnBrAC&Rx@photAA^gRbLP!))mnQNrvy8l_A?yzH}M^NNgS|V`s=!HWt0cIN)|^H z+N~bcjs@V`&t8$&4*IP{t|46^J*gBAb!l_@KX0gWjFtylTOjYw_H~;z*G_T{pqQXWSg}&c1vJ{AX0umUp{8$`uZ0E zb3DT}rEfaF7S>+9?)%PhnK-|>2z(lJbp3`u)Ks2oN>SPk=^ORMYFCZYnd3h&c9N|P zuBS3U{n0z<6eQQE#xuzcz#Bp;`_A3MQWWXTBBt@DipQen4WeIc1EyRZd#>}+KPiBY6UxUGRI8BY?|`Pf4~+u314Xf zUNkMK|KQbxZG*F%X#x2@bffbX*^OC8ItGxxRyG0n0Jsfe2G0O8Y6K%|1aksy+QT!C zR16>rwV(teSmEf%wYUL56tUTwRDo2e*z3~9>=2{ToJ*@tN zjmhwcw*-KyFt=ymk1Mx*3h%ehl*}Ux10lb@j3F0pYfd;KOY^=FNOa~=c`Zm74DS4t z>C6d$BMLX~9l1sn`>__(U~Lo7Ol4w^z9*u6rsUgx4`>xH?B zN#O$D*&0ku&0!Q(b=h2HG{RTS!OzW#NY|LQqYLHVl?)AW6|akB<0L3t`qw2;QCAZe<~>_ez{ufvdb9mFSV{$ifJ(Fx=L(2d z@}6}>#bI)b^#L7@Qi4u#*bzmY28vXC7q=5YR7gB2ZbyxUwxgb0*Z5(roF-@be65-K z-*u1*aqVc9Nzb!mB%Xlh_^yr*T;Q`5=CAl#e-T(^2^|JseOO$D(S5KEAP2zPjbK9G zz@0IfN1e5xlMgoVUnAGS1`vWqa8OF7GcEug^ByLN&=DFyOc2t@$ThD4B)JLfY-96) z27tdYf=LdJKoT>LW@U3g z@EapoaL))NJM$=}79?eD^S}#$OBz6m8o^H)nMZcDpa(>P@sVp>1Be9}Ok-*Dfbg87 zT2QyGjToX1R0!|;VDo_JdU^xM?{DBIL=6dCKqXC|eR$3u5&fa5CLUtD(Uu;!!;O$^ zHtwj1C(IC%-Nqdz@q|>aFFya-V?x}{Z#8ir{xfQ;jXMJ3iI801W2=p~l)X)R-S^HE zzI`Gag-=?aUnj2hdd~cb5@$=kX~pZIGmwLYKhjTpju-)cd2jbMqS%p)}e$WRklA}sSLt`>yIxNu-3%gRP9A-j&3;&P0W z_!ovI+Izn;9;Qj>^kp2X-!TSuqvqF}CakV*<2!T(o(6;sWI7lcoc5{1GwR z6ygH6NhE4nO}CZ=L*%7AlZe@}SN4Tta{LJ~EJJcLV{+*`C2XgYB!2e(zV`lp_V>Q_ zD&hi7e)ij^lNpGhpFO*{0IIk^1R~dqNj*RNXkU9rae;nBzU)}h?v7jpW8$=Vcj5xs zr<0~5au-tK0u$>cZJ%N)#^pTU5{#kH=0%AM7$PEv<(gt+YCcmW^QS z!q2{bqoge_#+HI$%!4-1$=AMqtHfyi9kC~KAA%DAMlcpZ764@EwnTsY4gcBau>ntf z;t8N|;VaG7!sa6b1a3kzkD?Hy(g?1zwFw{u{EuA25$-Hu8g1K3#7RQB@b0v>ZRpv* zGh6YLNraq|eFBiCgaEU?ns|flh94gBgg?tap6Nj7uGGY-5cusGxOj))4BoTl zfq@HKmckYUdt}D_cj(UbT^$~{;1Vv(f!+SUW4f^K$Myx=JlGk57Xbj=4uSm95eUM| zJP|0jvw1)P!0{1?27`m*GLJ@UK|A1|DU}GE0_*k+-@{xG+`tZib0P4CkSGE01tXXX zA}0(0To?gs1YhK59>HrtzY(zJ0>Ehz7)LNbQRWe5Ehqp1VFXqg4Ir-(e1Z59HUO@P z(ES{NAQJ2#z>I)3GXRc^!1y=t3Igp;29OAZ8j+V20@euJBZ$Ea4Gv0y6`DwKZ{*nT zXg_!!XeO+=zikPYB%VN56F*WD*RtZz+j2k%p)cP2qvx&ufC()O@9l~#^bqxNM6SI)~NusFP#TmI{CBIh{Qfs z308h6k!*g%QKVM5Y#M}WrGy)mbsA4kydpLP+#yr$w369HU7U=f-!C_)=EADWd5xd# zIj818C)@cZ9?%a6-Stuzcu%uiw|)Phnz{PRa`?x)1~fFQ?9%{1}=(^3|k4rvf(iSU(Lils1cX?9Fp)7}j z-9WX?qly?u##G#ws?pMmUEgugk=by36O&z{e0ANi-T<83;))4TL^9#B@Z_Erd(ZC8 zuc*B`tdrsMt+6A&R5t&8{5dUI)(yC^BRR^3zflBV`868rb)l8i_ zd@~hbUw_@W#g(CjQb=fc+G zubB3Uj}`8iq}L~UO(fBIvUuI8$fqjXVkP?(E{(Xp97$j9Mh|7M@A5P|?xEy(F2v7| zpZiIE<#y9|#U!61zhl2)uICG)h`q&c^+ut3eCxH?fb@&EZ*?F4>8q!otEb_;y3cOQ z=h1dJ#hvrbM(~i)o=-t)dr!i69R9o9wsK<&TNhs8H~b=|ToydySa%G%%i?Ro(zNU4 zl~%#28^wQ-sr5!moE{e_{4~AXZM4tC>RT08N=lC>-5ckTcA09N?N#$MykZJB{57fB;a+0noGvKh^&h>}hA(f-WC^{*@Skj@|48~_zZ&#~yZ5vp zO^zA3qL-B_I(r%13rY7`m=Kg{(L~YJe|5#AgS@ong>C#!B?|FWc@#rVRu^3Pv3a6W zeYXa66Z;|MEPJlEKL4T9+4re`*4*$8=>y&eE?X?Q#UpLWP#pzyGWz4_!qPIgxNu!Ov zqV&3~cw3n7;^*!lV}IQ(WfmH}+w1CkG+R-mu%&N3_p9JKmQn>M54j|Z6BRu!nqg1W zmNTF7iZtW?%BnmjW!!S3thygQ5J|6W8(4T7{+OY;C-vE_JDzMnnPuBPM4`)Dc(%Ma z2pv$&jU>1B%>3nMW8av=eU%X(^-HUoT;rY0IFv*N$-b#PRtdq-s!VEo zlo_YLp!C^AtkWhvo;*O>-;MyRWSXDgn{D9-g^688A$@F`#yeKAK%0z_4b|RJvzIP~ zyZ0Uq4uAYJqE5AJ&E~+#<#JJMPn~LxYkY=v{G+D6R_f$FN{9L}32=FUlyuRIzR+M= zh*kvIEHf3+VleYo2~cdmQqhy5J2N#zEnwp|YGc*y@EArM(48G7z&8j(;Sbf=GkUklm;S4g})|mp31D&6?c+B9C{>v@L?4Mx5#`u)7)48{)Q{eNrx( zPkV83sCMr+m<>69aHSzdN+y{t9lC}G?e_ZC*}D1_Q*nKuWS@6*3lCu>Bptr=vcGLw zznT0zZArs*&tVYn%cfV}g5Rwwan&W;wkoA{YFmxA4ZkE!KWvj$!xmktBe;O03-k)M zmp%CYFqBhkI0wlY`#`hi=h^7jCn;w9IRoY>?XFU1gyNtdT;q&bDo ziCPW|NNl9EMdp)C(&5+Em{8=x%T`8IP7Ma#|XM+^g4N3YXI2`S}f!H@k6Na1<8OGfsWh-LKN_bK*Jw2~SZZ4#(_uyW`T`uAFidkL5H^b8sFKcz#ia%(0 z@Ank1Fu)s>@4ot=;K3mO;T8WKGh;Hd+w#PVp?i!nH41cbz}u;Kn8O;5FBR%H7?a#~~UCY&_63B5}Yj z`O@UH+wYe{^lAal(~;ipNttX2`DFB;Oj}Z^6#VBJuT%I;Z%6_DGu-R69r^g!U2&T2 zHD(E&LQ}F&XnO8q1QLEhcU`H37>Y$|b?$=1tJ72RkTzNVQ#qu#2kwkyblhr!5^cKa zVU!iZ_GltmRn|Z$4$}Me(4X%{HQaTc-y^$vd|s(Nv@44!%Ef=a^>Vv=)qb0vIQI3K zNh|5$$=*M{nL;$Ze%G#CM^c&f#O)&Y4J!5XqXehJ;DiRMBxcXCkQBir_8<7XROABw zZ|rouleKEBn`QF+hB7l0;Q+K977JvV)>BKx%*m?QK2w2}{ZEfy`8~4v&qE4QEj-@^ zuTc2q^Pf|VLlH|RySF|q<0(a447L4kDaZ^SqPR1&+oZjT51ugEBlw&eP>?Ex*rZ(Nvh3#G9T?Z6U9hc;CBOAuKA*(4pD{uk(uOjlj$-k?T9OR zDU2LLo>4Ps3T!~J;ve!w3}z-e8^1ApIf~$$S2Wd=!nl`uck$sV$oWRc1t=@9%MPt& zj%~B*$rBCzb9EGn6^H4^LcQG^6w2qN&!4U(OMa9BW_4sIYkP%o=gy;O=#y;MZgA&Z zg73rhb_ptEU6rGrwX83^AGc+i}|n#JAX6 zTZR2CtUvs#ggJzHA2S+EsbtgF`TnAgQ0Dv?IKqv5dyOR`=`1E=(eSh9>}*LH#fVBK9yYZSO-c@9Uy7GCQZB9zI%IEuPDKdUYG|bay?xCj`GY4s`0Zrb-gt{5@jfn60iE?-2Jvmi=IIKdcTwgG zN#b9$=qIXrS0a~(UL*f`1n{^m!5V!MqzEK>f1HGMOI$KWEbuV!>UO~Ev|p7H->ltFle|trqP}*bx3gZS4tr~6NSfRHZ~k%xD)){Omn8QE zt!eKXmdSSW@%qck4~L-dG6h{>WjxJdJz5YsNKr>T1B%xtHc#A7Ln5dLWB09Ker)A*v06#5v3Q`PRf>3_d!dc)omc0;l59CVeGz_mZieBpYB!>fAo zz;@X9O_)up>(3O%*G$N|>fvPC)`rqI>h{$W0KZIW>6Zq`=uOT{&??kk`FWc}re8ryEE4yQ1NKH;Um3Dc{D3iKHt) zx8y;mM74UdNw;LDOg0-4BrYj&|GCbS)4d*Fv(|=>m@=q{#fw>J-X+OZIQhw$#PP?C zr}O$A9bjM{vXaJ(_sn=N^VV7WfvS!2@5#{lcVGolS3XGu0{~&cwzU z1Lx{^APej#Mvpja2oo$A4fa!m?qcnO*QQYY#F~)&{FLs1(Cy}K>F{-RBc4px5m!oS z($i@o-}29-$XmG(TAIOZbLIM`!E5D|GwN@N9hwnGqtB9}{+By=Lm?E8*EFx`!peI* zr61p*`p2SLM->S$ofc=H;!So?ug-jDMRWd5O~jsK6#C9t<9^XHDMdmylix7JJ7v~X zClDIZQ0CULOCC9!r!1#HATy5R*!#A%fto(Hjk=Kxw1(y2_l1y%9Ww?=tfB!@GQ#;{ zdriw}o2TV-ZUm!Z74_<(=0lwk?mMxf)Xoo_V)#vDp7@{UyF7AAQ864|57gSIdAJGm z=a3wlGYH1up3p_#zptu^iKmTkrH|=-8#Y1n>zR-NPa#L^Ej1ebU$-?LIazHnG}udl zm*bxU$*5l7{8Aur2S!krw8>R{E256{eKT7X61l%Y=Z2L;@52M{FR`TOq)!5bW8iG{ z{Nc9H70tM;rBhT&c(aI`{_(Tjhy9-s;>XcA)j=d*RjQeRn=_xvO0bC8-&alK*13!m zPFvGrkCm0AMSrKJ3R}40Mx6;zARF6vf@_&|B#i1pp1)O_Dydd+l~4~QuRZH!{~6jZ z34TBVMSFW}=|X9z)Z56DJS0?Q5XbW+PNifJMwgoXlGCx@C!w6DW+%_<%f*z`94+Xc zBX?lZ3t;Cs9`-(?i%Fy=#u^YW-Lc2;G@CRGjL4!$YbByj2G7V5D>BQwa+B!8DSHzga?@Iu zeEIM+pH08m$j>jf?Z1-w2u)adSEmgAO8LVvBssmP8ThI1?ZJB0&+J>^i4TB%r&PV2y)`*WGvTPRbH~o#m7WOAU#YaH5yGE(RGG?-0QH zIZkI|*t2zBGT>qVLKhQyD;qWj*uxO<+;Wx%m^VErCjtn{EUkA&i*}txI2+)%ZbfUq zO$tS8oHrX?o`}1y>RTY|{g}*{2FF|?;ZkUlp7#Zbx*v)?zvFQum*yTvss+%`tX5mW| z82zpf{1Iu}M8=7wF89;!7;u%;@o+m(eDO8;{}cx%2bD-Cbb%c3OqyvQLf9E z+$;=^E)+gzlmyqZT$Y~A4F6s}sMlt9X{qpx+HI9jo&y`u_@QWON?l;a{FRkM#b8P` z?z~uwz^GR))JwHVEjw1tB;SUTFc%^?sz;g&nNd6cR>(K4Pp3PvQd%lzU(wHVJhe0y ztyU>pQ831LC0#K?KjV_;Kupc0Q$dm9u-C1qcq1@6%glG=oW%y=|YQ*_AqD*}1j#+!fPys|LRE8P9F}@@6+$DsK-~6|oBE#>De|wAA&-H4_ zg=OqJbIP6&^!rP`kHVwhRe7#r)fOFm!e3ODH^qTsQuJd%?J4?kp!}4?moS5rb-(A) zSWp5KaqhVgF3g$%&IDAAJG7-Yc?YD50->j_Fac{~K@ll+EZ{3yNHlaF8)nR40stPw zf)Z2e-hk(1Az@GhY}h*n6BeK_F-(UZE)PtI0`aGEl7Knoy>nAmWP#aOFd>E-9GEvl z4HgWaA)gZ{7FVkXbzubO%0lv?wpcJ)h65sSr+gMEI7oh;3-}OsC{8aX4NQ+Zq)SyN z0?Ww@X+w|c!2D5%8Vq7)&|?O$Zqy-9s`^VXqr5jbg@zfN9tnE1pt5@PFz-t*76(o0 zOXa(6Nsl{>O;M)<_se>-r>-yqD~VwRvfeDIEA&9(xWkkbbp~*RtWYi#9}DIu53ztQ z#es@aY~nx-DIW=8wDkEh!1gE*A~_3iMINFG^^XNrri`(GX=DxP!AG(N%wT6(Az7e_ zOth*;_DdKiU4MBt!kW0yB^gK#R0zAPT;97Wg_9ASC<_UPx)6c&c>_}Lk-PyRm_}a61nNy>l$>(J0Cu5=s{;|cY6((5V#CZCMsZ-03{K?W zCwcF}6dF44u&j3qlm#0`&yddw^mz%(mGyp;TEGSLA%s=Sdgnk{uwaA?Vn)y;BABwQ z(6cL(IW|mL-kXGaEWuFTTOP{t5@tm&mI*y30?))9YS4?NLyupA^MLT+W-P#e zR+NQgLf=J#s8aQ#Kw_!-ks!>}F*0z0{5&JDJ+{{5|G_!&iD78;VzR(DxG-%7F=gn_ zeooTKj~xQ$Pz?rfsoRgf1grmQ$pYvx379|b5T8C@2^bRzB1)wp0T0W2OGC#Q!B4XD z8o-Ch+63q|7VHHBoD+yhDMWw30ydMKmjjALfrL_zUV?E_oyoz33~+YfKPQy+rbu=E zUzqU!3zYxkeg8AZYfpsQ;=o)O^67yAv9+quYeJ*;l%qG`i^xNyRCO|NguIY46rT_# zPv0H^9VY>c#T|O3thf4;rxviE)3AWCWxXSz8f4&7dGEB8#F+L5d8h*wH}DN1OuKb@ zFyZZgwc3CD?|*8w|4Rf%9TG9LD?m^2-@@LRM1ts3oyow1@(^Wc77=Vr7V-(Ywp_N* z^?zi({~o@7n|%J#C9Yag_Y8(-P*GEtDFFmBNKigP*XRbrwJ_Uk-5OuUUsCglV0}#4 zSWD^cm5Gngig`gR0(47ZjF@~uK}}7~2Yk7o5*~q$nS4PPv9RO!5ajv0Be|_8uEACO z1kZUd=1bXLSs8fVWnblXY+%mFF_;d@I9;Q~@^EN#FJ@1sCC-tt1& zVl4Tg1u2RBUx5zq6G1P$RkFehQkktjg3%5?gGjt(gizXwc8yeE zcxYW}UH>`IZ&4JMmm~StC6%*uSqMf1iUAQC(2e$b50hC2 z052LDjL0CGLYV*B8Uy5StBAXG8_q(jfxnZJ4Pq6kPIt=SD9)#tsE`;TjA`!8Yg4c7u zwJNKOc``*nMc1C1?Blz@0>%KP98wC&d!tjav_~eUnDx9H9=E~j!1TrsVC-+7HkC-PRZiOG62JZxdfgIUVSk}r`EZA?m+rw|^!wer z6GrcBsH$MMc0-~``xVvIkEHrYsm9p$L|e#|8rLWDK6}QzoS&V(CR@tK*|c@e$!Xn+ zE7z>h3OKF0)Ui-kFda%>8VhG>7_E(!c$>&wK+)qA&}w)mN`pMVCh zNj={buU+j@t1`J)&X@N0Q(jb<&~G~%NQ20-XkLo%ngFlMvMbYuMG1oTW&$tW%nDW1 zN^z~o2@k%EM1YEirgs&olWFlf6;~v)BI9hS-Ba=>lvYP0AKsb$xqD@um*T$LJr<+! zOQLOv=dwLIs4|)$@ee_f(vS*Dw#y1`aMIWZ~ zXPf606q_#tP@A(pYs|`6_=|j+Pn*#DsHGaBI%(_?Gx1=|U;k=9c+&Vj)9AeWZ^J@p zVMJL-+K?f*%DiG%c3 z>fd6B%$kBhPWDk{`sqsTI|d&_6^akP7;3BiXFbU)pkta(w|`; zzEF@6%vt1r`t|lSir^V!v3Gb`85}ne!JLazbQD0 z#`ix92M}j^7M)0#cxHNZpIDyvzgQ`QC}Zjln)T_qb3@v-`E_Pg1uP+puIzal!74$- zeb$Qn*|b+1=7-m)7ZH!Dr&RCMOV4iPRv*%4f+;%eRSVlyb3VEo#;Gm`Z2g)$C$_*i zk?`pBUY2lghxYM=9|ju;Pe^ZP%8<(mpCq?gh~=375>YFyP8#1xFn*H=7@g3N_PkDH&W zbDf3W57u^7TXT_b(-6H?=@7JfKJPWrz3cB@ac)di1@EqgmiabCN@cg)r;jt({#+0K+mBZD7C*#tY_wIX(fCxo zW#Te^8m6SqUDEsSf0h6K^+gWMasrX)lZ3ijkg#$l%f6QWCLVue+)Q$B+3q4H7U1Kv zJN`?QpL69UjdD%7VD+U8oqjsyUp+Y#c{v6QIoaC5cMR{RBG(ulA3q52$~-A9p%JSy zu~(xwo_%ietZZL_q%SY8wEh0PAhEMdWlI5i`{A&_=OKva+Xm?;=g_xAFBbiO>3EG9 z2qaL^D{tq5s14Xfr9O{`QYePWIi`(_y`nQZr0($lQ~LMaeE%mX`zzL8n|vyxwuz@3 zeJwL~Rt%N}s3J=o!&shx82!Ej=Z7Ryg+qU?4}NTme8y7u_m~!BK$d|FkpmeQ)+6!V zS0`|Nvp*9b*WYACJ0Y7N_xV{$`ZvaI`iB~}x*_#2uSp;^cv-!;C32JdSSmFU)w@}~ zCYsU_Ux&O4`)OjvL)byKT~?J3sa3o%!t3btJau84R$BWkPp{VlUf=S>yvGRm@lbfoezHe(-oO&Y|6tyal~yaOm<5a$oUAZP z`{Moq&&JZW_i!}4e^Ul;AlH;{Gj?UKLXspub?ar0E(>$cg}edz9Q z@8r*p2V4GRP4E)B5|Z04Hb~r~Oo=*tN$0A5{}+XIP+YjcSs_tjA$>lHir7Y<4xgE< zU;07-ahi{~n(DMPPjGYCVmD*RB}0r{tN0t@5K_WQji)S!a6D+HD4%{kMr$g2bB+)? zl7Gs0Oe*yO5suZ-LqWD1sqVXsOo}PI1W;2%n9fpa(w`5EvFk6l90os7hbGoin?Em$ zT}!Byk=`TU#rLp~g@v^IE)3Qgm{07sQgWxt9Rp$qCnjt0ip*|Z;p5|7UVh9bbi1Fu zZETcjl?;jCdEL@DA^mH2;C+c!8~U^E^%z{E>?oA>la#a{9*IO1Q0xVG?XZ| zPPzMO>+r+ceTbi{+AEg8Y(^CjK)K*B{|;r4f&Lz)EX{iGsEUY(iP2?jqKJ8f{Y z2aeTu(+uO34_}s?^Q@iiN*hn_-jI*S5|K-A(Ys>?;LMl4;j)N{6CmsTR3DSU3L;B( z=Y$Fxt=lRXPp)93#1aLs!-gBH6kV4uzVrcWK0_I=ER9j^y}wroBMDb_nj0m;v@i|w z+oTz*m~JepzDgl~ffBpBLAA|X1`BGenP1M#{0?-qvY(RFhCF22Yh`^Zu2ab)*^YiQ z)a?_3%sroo4oDK Xa5X}vA*RGb&$~PRv~nT!AFBQ@9N@Ww diff --git a/1ano/2semestre/lsd/pratica01/part4/db/EqCmpDemo.quiproj.4510.rdr.flock b/1ano/2semestre/lsd/pratica01/part4/db/EqCmpDemo.quiproj.4510.rdr.flock new file mode 100644 index 0000000..e69de29 diff --git a/1ano/2semestre/lsd/pratica01/part4/db/EqCmpDemo.rtlv.hdb b/1ano/2semestre/lsd/pratica01/part4/db/EqCmpDemo.rtlv.hdb index 9df47e79fb819f6729d3b88fe886691e1aad134e..21b96f5dca49c6b0c60c26c9348c3e31d6c12331 100644 GIT binary patch literal 32953 zcmaI619WCF|1Mg$Q`_#;wmr3N+qT`QZQJeCwr$(CHRql0JNMpo*8i-#`aa3Cf7!_| zNq3Sbdj}N=2&ftc7!U#hSpoi70I{lxqmzZ5Eg=&F9U~nhp^%G(wJ{+(69XY5BR$~9 z!~md5l7!M0&L)H+#umj^{!_%A=YJv~ec1oT|H3x^|8jTWOC5wP?Ul!!UuYtJ zXv%1e(ajakSJU5P1j?pnrf%fv*;~_-wh70jB$@n0>qYY{OL-N=P=Ul0fL}x&RK@!T z<-ZF;--rT=z}d^2EcTZfkH5#=UOQ`zGABN+uG?8|Jv&Y}Z6`TiJ3cR6FTF7_F)w{5 zU;`(A0uIvCb|^+-rRjTQKFMLU8Suu-VcU)%k49q>>vCV6O{d`P0yQ4)|DTkCNI0 zJ0IB{bI^B7MjQwhz)YHZNFjYEe8!NHBsw4#hQ{I{W5;3-i+ARaDPZmuv5w*}lMFIS z!*3{f@JFr$Zz=is{l39NmQHH@C_YbJUV(}Z=5E^vytg59LA+yZ{aUVOpMzYv%|`;{ zaWNq>cg=>2#rphKhj*1Qc3N`}8&K!xD;5fMd9Bwz4VUf2B{b3>`;#F3E0=pyX~`< z|lQE zQNkvfMMqw5(Qcgd0V#P}l#G*9FX4vJ4-thY=egzZWPfiz@l9f!e4*(*CCgz48OKd~ z+-70y@s*ZyzuRKH?27|8IS9LHDwy|^2Zt~Axn$0u^nm9R66ecTd*l+H(c%z~8{+#w zxr03{*6C$?Fd<=5_{aDC)I%ZSNiM})et9`1_(M26Z%vR>ps$o^jx zf){R+ac9Op`o6i*LaXx6WUqO!J!gFQ0~x(W>c>W7d`CjC_Y&~0Yj*;#`ksRlx|^j0 z(tqJ!Un3*YpVlVycs@ZaXC> zGQD#8dObeN8Ti%p7nh9(>wO6LuwJuvU*l6JZ$FIh8SlUsi1|PX2FL8ii58SJl({^$ zuQTWO?ivZorH&hxTBI`%wT`JJG}9qmCcawjq=PoyaeFL$70CRgcuL|eg6i|M@mtYoJ&_kjVjR290_PF#2U_2az_IZmswH%1vMeFGW%~-#BD|vT=?qJ>Jd!)Ev z@`Uy8n z_6N4!Xg6WpR~Ap`PDRYM$;eI3dsHKyF`4L(JvUvQltME6a(193BMoSEiv2Q{8C zi9=P|bR*`5j7#|Y&Dj}4Y^#CK@KZp%3862X68-OStT9mabG0iLeZ*B|pWbih)e$A3 zCx4*|$XKyu@Nzd!3kyrgc%I%|@f`#4Fq0vfwaq?s1R(DON5|qjrYxozj<@OLTsFA| z(IkXEx$_;HkH)Ko=jalIS=WGspEd{Lk}Hiu?cc|Z!LCwnK5EHYLtAHyYh<~#0s&v0uHcUo@{m+~LGf5dU(os>H# zIGEr@DOY?rWvcK%*)n3BM}KQ&a1CFyz8t+K4bi=D#{6tgrVt%-}?8$b1tCLtr-<;al@fvmQh?;N0uK38wfYFHi%YK5*u|AD^)(o6L`b(vC?U^KRx&r z7giS~ka1RTNy`u9u^np`yJIZyZ$d6lW@D~@U$i8=8gk1tJm1KCK^?0ft@No8z`NIY z+G*Cl@yq1I7*3+7t*!F}c!uOQpG0W0Ss(w*-XYIs|D_{wza_iUh_W8=4*s2@m63L< zPbi^?5XKx$gW+3BiNmLMZhzcSU8&(zF}+LvwASo1zfn4dxCGM9bdIGEUcS?^{lTPj+t+RxND zv63L8BxWW%Boh&xR!W~bIgeXR$EI8JI`Y>CWzx5MEZL<)sOSMvhvRj_N`-R`zN#w3X?RS9PlBR6pFpd7pzHjNUp58D|7 zt*wRilRz)|*vg)CkcnhLZFY?XdEC&7PcNY{H?_KlYL1a6X~2;BK*RB%CPE7 zvg=yoV!T=9Prl@zG8=p{FlEhP{1#PGh6An{&2S5^vDQJa)$aOX??m{KkIYOjl(lHh zC-UKVeYU?Z-4P_iT%rLbo6iJ^X*U38D(Zz>%U!XYdTuW_%PqiH;PuZm|J~&FF`D#B zJoRBF)93E4Z9Z~cyV2m=iNZe{X7l3+{7N6-dl_uQt9e}jFl$&Ke~VJWdfFlu&%TjPNc!_%_TvDq+8DzW~-OA8l9fPdZWB-dcT%`ZbAfg|_x zkK6{r!Un_d>YQP}R^@{OfAeT4~V&0N%RZaVKvM0Sz6@Tov1lcEB@Br_4 z_0Se~HjsYfRY)hRm++J_2+u_8+freaE?Z3WM4emf(mH*YkaUrgLT1b#=KAv{z-A-; zl?pRuxX??pYX|3HV6XE2O%u19>LF-{>YJmH;LV#hhGz@sqAB~Ngq)HfFqg%ZCAQ>& z5nxY@&9C|SH20q;o=9Cy;wnsiQ@M+KFeVI$?|1h=I>bcMuUr`}=}0YXExfT6j>OfD zY_eV9+r;KAuR@;;Qs|@!qL|SW&-C{&ufphc5BS#+mN#BY3B!vs@vyAc@^9d0la1?k z$Y}%8KMQ-(2UzsOzW12EVrwtk_Mg{v#WT9_jBmOBPjed`61;aX77WrIlx&v!AQN-g z$;yLBSY;N*r~X=4Z{>)w*4|ibtXq@RxP~^%_M@qgGw%-f;|u{?qv({`AK0#ubUKamv?ZDj8
    ?2A?u?=C&ySeLS0=%a7D+Zgn-lY1EV zJ8SoQ=_xI#<1yb^u!m&R`0m){%g&44W%Q;qyY+si*r$HA9{uPe2hA;O{7W=5@T;qO zzTY4>wrQb2dX%-I|FIZud(-hV3wvTwwQri#yx zHv=dA2-4MOLt4Ed9NL>uI)H2UQT{b$F#vnc>F@+>l^=`mHJTu4!sl~393eS?q6$7F z4Qk5?{FAzu9liZ)t66vhVf`u(4|3h%|3BCjj{)Tm;bh1P<%T#uiwXQHyV@lvEs;fs zymdjVu>m;&Hrvi8M^>Oe52j~r3%9hll(*&3BX^O)@+Et1Lhr9$|$|72j6W>?ytXe%1D7(JZXwzB13hbjRG2U{-LZ2lWd z0Q}SlXlTYvF>S`oG5VC)pEec(I9GE%?8{kimQ}zFea}-P1Dq^?Y$gx!KEQ(yqRsRU zPD7Aquqil8CclwZWy7pUJT5sUlMl1ep393Zi6E;>;?%Low6&Gf9}2!*Xg6IIf;S9r zfNY95;3>_V0`z2jHtIlM~NY-bdWb<>S>R>RVj-Z@2e#}gO z*JTsAjE1IR0#e_y;{jo>2Jfr2c~E&|Rs1lt4d_?P5Tbw(%@#poDqVASIi$Z*W1HWsXL>C{;hc4=Oq)t?-e3m_2q>FJpH&2W z<07i*UIYNK03-pB4nPh7g{Y?Xi+;%DLSiAFII_Mq6o@EeNT+%SZI)dM)gAsc!;Bo} z$WHi2mV9qm?8k@>plqdQ<_=(Jj*fxgVBfSA;Nv_XlT@**jtX0fk&b(>1@cqTy+7pz zv$dvs8@^aWpYhRP%-5Dh27!3URo0~yfU3#aC*vU2VbMUuBN34WunR_aBogm17KDlk z%xA?5iwMk-+CBv$SC1eyf6?>L68pXdghHX);YQ-G)Xo(g45gg5UHIpa52SSKG*X@| zzw%pZGR3G*}1&SD$vREJ$^e)YGp) z!sNH@aZw{+EQ~XHH5tC%>wbxb8g5-N5XQU+SuIL1O$_yFABrhpMwZ-yKCS3FE|6~b zW0jI>U#?Vo`G@b#yU(k#(wog5jZ%}oVjB{(Mz-_2z3q~P%lp}aS=lt^i^jGM(wxsb z4M;nJ8PbdoN2LArfW|K;f@#FbJ;khy)>2#3GFNj3%fj<|bSE6XEI~c(CbO-A;rpt> zOcT5x_79h+Oson7yQerDmsET)_6x?=wZB8g3;kN=B=z>_ z&<(d}&<*wg+@b+!d|v;Y+$*|`oiLQ1F|+A6i$q9OmO_NGMW@0`?AzC=eDh$=(@Sh zFEp+9r-vHb$s=)QHdcEYiL^XYf7;wrAh`cOK)T*mk9tz$bF!b4aVK!a7PEV;L8c3qUFWu>gcw#`W=^HCgU47P8@!psq#F zg%DRdS^WN^@f~uKAZ%o;{~P9ehSl9^wxjf;#gePe$@)!j^d5E={Lv%)C{@+U6cV^{Zpq_?DP!qNa0ncJ&OkL(!PI zr$&%YchP86w+Q2@)m5;FgiolfTq8wU;VYEUmZ*$ zgwdTHkMY3XptV(b3ubpp7|)VXJ8p~CcjvC-77WZ3K-dYYP!q$_x13}sV-%mRl-LmcvSQk@*Wyt;}>@- z+nhhB?X0|yo;Z|sV}<`OQElx#os7E21;F1*{=Fw2)&GnZZ4z{ITX+qQQ~)>4-DpUe($dd#J~tsOR= z38=J_4HW{0#F>tCb}l#ldSAzUlVXdG@(Nbzs-w?d9XQ&>j3{n#^b8oY33}GlMbA)&#v6K~}eW8buV* z^53AzC}^{CDzmyTT+d`s4gVnKANxl)UIC ziKkGnJT^O5E3c?wM!UsVefWpHHhYv5mpP;X<#P)l@AeR31qf4#Sk1ma@l5C0#Ro^+ zvM3}ipuHSM0)%W;10Xu)-)})_H7g0s=ZwDuB3&;av9WKm zi_DVvPL@JLY`i&O7GCYqQ||U?U=IecE`=_(!y2Hh`LaapANF8LGFQF1z}keG%5odS z2TueZN;hIuWiWe7fFQd|u6hzCsC$+n0Q+-K)P$(8%VK%3u`OPVA19^ufKgb0mFEz6_cUu+qXt2W&%;wkt z4{*uefJP%M!SpP{sRvv&1Wbc`T8Ik8WIqL}sR0T!bK1{oW?EwKB~v7Fib-Ng`8260 z3Iz427Zu|_o|3Ub(cEYCp7ID8pxf?Bw964}a=}AR;5Vdf9;vCTi?n)%%#2AvFiCCW zhA;~U1Aln3aN%cqd2*cqyJlDMf@WHzJ`7GC)dj-jW`Nj)Ld7;lB4ZgP7B`O*2?uBt zpnO5}J;5N@z5w0u!D+0EvExo<PGu!`Eo+q5xsd?S}OxfG94({;TdTprU=Q{eFB z!(B#UHufkB8F+kVW6eoPUI;mpIU|~#uMk9^C4>gA?2rK(^F4;)2@eIL;d8Ed7TYCL zn??xE;IxV7Ok5cn9nw^X>di-G%-h z(AZ+g*yB8Y^-)r3uzYS4LY33&&j8Ccq^FE%%vxD;(ER8&ew~lv?}__mlj(55xV%4^t_6gK>lYv!NapjdLZ&Obf*HshvLxJe(U@Pa=&z_87wq z$7k&3bqECvVaX^+2*;BG2eIOQ8}hhAJv!0G3E$|(0!V2?)_no`J1-ic)IN!A0TD+> z-ZqU0t}z>uOgs|FQ=rsfcFEVQpo;oF8W^_4ouCf$`7L{7HgUwNF-__g5Xkh(4m-8? za-+%YXik=a83V$;M)6gbZq$YUqT0-)=xNHO#8|T6RjRQMJr>a-bs(tp3INFeL`H(?eK5e#=OBI9 zquFl*%(v43v-$M^Rv>4WulUxa{MDn!lydeINv01+P&WsAgNJ>CTyA0J8c+9H>CD`e zHU9qP!pW&ATdd>r8FMR^G(vfk%;FTRk<7b*S;h2m`ZQlw-_-hzw6c!EVtC4OmIzM) zLs0H)f3bpA!AaGLfA6OM>i7My;J2(8rFz(lI1da% zDy_u6&TVp_(^+yqu&Jvc*HV6JPEny<-K@|I%>I9Y-HXm{d|n}{eP5BnYWjCvq5MzB z9(q~_PEEktHEAgm1IaiM!$vobN;6*XS`!}sED-}cD;Jn40;Xm4N~3u-3SeqBbh4-h zydcnIUCnQ@tP{4f3A_Sr0IY4*>tcDy9bl$U^_pv8?mo9Nv=!|=CMpw9W_OxyYta=| zt5qpbL4dlLZj@Ir_LBLX2f`u2f^pWq8PJfOpz2V6O_a;+D>i(v;M6O*w_t?Z zpC~oJ|H(mH`PkI$!N);MZP2_>4-I%gN-Wl%BYjO6>L!NwR2UJ%ZPmaLs67V*kw$^)_Sx{ZG5D#_yMCPEL|(Wro$>u1b2xrlCVM$9Z5Ne zroR+IV^9{3>6Kh3or-7p933Qo)Rs)XRl_A6mr5Q%kv0ZeWVz~^BQ9;R7Gm4>_HW|> zrWOzDBwKgJG?k zH;&PIBI?M6eh0x@)xEJaq0T!CJes{rZ9CuA7EEvnBA}b-sjvk_ClzULVUmMduQu#*4XLJ-oJeH za)_QPJK^Pcmh8B_)_o61rlsrh(q->pH#nM#sO@~dFpZSD$yu4g2W&&!`nP)A$I%!~t$S>n zTbKMti%Vc3oHHRAC*G>UQcvFCc=aU*) zu87|2*ZR5yyJ2lY0mfOI?lKSWwMhoo9Cx!uSd2K6#8JBUt7%*hb!2^2~M|-XO<=L&5ax0QyLD$Rdg)Pu2+cx65x1WWcsS z`65{zAiw{hR}&SjknW3j(IJT$;td0Yy@AORH27lwC_t@82g$>rK;}chv{(uN($mIF z0EN&Q;Ub(995|bPn&nu`R5W9e#B5-ern^***uH8JK6U{_w?h=kgY_JykVmsQ98r~s z6O;km6_H~U$;1UVpsM)nXLh}|M!4|?FgTD+nrR$Rtm+(m`;B@^QY8-dSPJEu{$|zK z_;@l`zXjp(|CnRH{}QAz3|};yiWvvtQ;&h8;cW5#_8 zptq~d_9C&3?T4SeJO?IFJE`g!n#~7Q77E`^qwxiYoXA#*Vdu?rXC!l@D0Z_dC;Mw1~MZC;~|ppqYbROi*Y*6TRF04jMp zz?);>HzI8wf|-Nl4L~s?SI!XEy**-aC8+y^#>>!58!jVyao4hf?<{yNNi+fvOdxBr z8ce)A8ZL?z-*%P`zGRF?HSb)(3=d#{2un3F<@Em4I}Zu?vh`}Yk=gZaG|Qh}CD@B- zMLrYvZlPyGqgX-C+$iSNigLH>p(6F`MtuHLWrws)P$s0AP4}?~Zi{yFGoJ|YgYSz; zf1pdx`By+C5$o)+qZyFJoE=0QI`2Q?1|VJkh~GbpIe>)yvjE7c*qP6o?@5C_82@ZP z!lwWXJ7B-i*^AI<4+1bi0t^@c15Ymk3kQ&n;W4<5H+}6=p-$)Rws&Uj<)qne;Tihm zott0tUBd8qHPWAXnCGh&sKRt}jKD(Ir)Hzbl)V8gYzW?qf+c+xYiTyO20C*?$!^TKrK;>-+f~$AJYEabs#COUs?%T934%riI z&3`RDsHDCuWuoKF(NtV+`OvrJeg!X|z_WC8_+fX;MvL^a;~=cEXu#E**I^saX|s+N z{IQG^Q39-Jp@0=_3b3MKQ$pBOk!Oyy7QqIc~<(cmt^J zLG!qfkzId8s~zGRsW63^%-n#C6%CHbV{~{UZ5UxO@cPlK)XhlCPWGO|Zy%+pn3EQH z^v&6s<(dwABX+!;{cpbmg;isr$GxTtx>j?e)JM3R?RP6;p80{ko*#PwuiU>Dt_s(% z^77lTz_43eoS-q{$KcGqGTJn@AF6~4q}}B~1XPi)nj?c!I7XI?K`IZQQmsAqcg9}A)tC>6+*46pJ?(&zIDy7af+;i78>DLYU~ zC&^^jP^8n5Ks52SLn}5>-JW6ClXp3h@MG}RwF>kRf^%EIJ8^6fKgGw>`!`5|#~lU( zJbsrm@X)YZ&oJ~cvp1W9{`g2FyOhePW491;B0&scWCx0$z5FE3-?=N6s5`b7#bU3@ z2|Rk4)WE}Y#}l?)eZ9D#2b`sNG2RTg0()Im+*z0}ERPA?!EeKZTj83weITlyV$13;zMS`Zv9K2 z8@};C;QtK#DdBP95|PCQKn$|@27aS&?jp_NRjO5+OV{ZAF;FpDI)_=|GsF9AHQ%a0 z!=ba#Zgm{ul6CA}mWK8jHh+i_mr7-*lqqyd4p3wc-W3 z-8E>b-tQFwx~Xjn!2Z0y2RpXi;5P% zkYF4o3}#$wk9*V=rV;d!d~>!G`qm;Btm(gp|2S|RfQ)89plZq@hdJ93!WNrx_R(_O z_l^^Km<2J;2NBLk!($i2dd{$bzf(r^)oj>>`C`y|;;h;?V9qi9I}hH?(g{8x;@_h` z?m;^&JAT}IX1#{If_Q6NV+_ItGBpBk^NEejR6i4y1HPJeAJ%IfLfw~s=>&Z4C5-Ze zzQDeE4(0JaN1ZyHsu0`@CNF{-St=2ik_C_|%5@Fn4bg?`~*lJ4PE+Vh{Xe zxl@Ro?-(t346#S#Z;c1@#Jd*a@F&<52kH8DCBp4Ru>C2>j9|_%c~iLOgmw4?Hj1(h zTYV1$b7_Y^s%ag5M1* zYYbv4dBE(=E!72^@168d+wBD%LDBGtF@5entM+!{^R>n{Sk;|st6h37lbzQof)}??tlqx{4QHe+~Y+??!W~6t~rX z<|W?+{FnbRF9D{hO|64o^pUqvFG&xFuUUBYO9wmKAP%D7Hw4>O-6M(HwYzS}s(zzZ zOURY+DxEIRC#6g`30At(&BslZnMYMIgXCFSQ2ynrteuXE9xL0K479C>VW8B5gliEG_&Ut2m4UY;hJ?|8+-@)=_}Xii=yGS2}eHA_xvGZ*QZ*;$w8dJPq| zm2NJ7i)PHlV>fhe@9M(q& z_+IMbwaNqQqW&OPtIAoqsl07S{rhm0=C&yOMz0(6#?L~!V%i@&3a9GnfpgqP{lU}e z^+1s~K!Q=bbIWs6_Zk-2V?PjLY)QK=DF0Uru@QvgU3W85iZ(g~t|&d78A6rcZK$N_ zg1w3ZA%I0M!Vy13tMr4pBnUwhygMT^3J9tnuICS8w+wCB!+98ff0PKXe?vM%Y(b=A z@b*Zd<5Fb`+siGcDR=|B(lOFAeGtMq4jyjs-*}vmc`*7Af|%Kft$ejsVZ9^xEfD#} zb#w4<5nBhRWHNqZLr*tKJpFzo=Pix(e<3m&*|&$wukyP>zmK+ zYr1gaG{9GA(zRIr!DrxC*&Mvz{Wl2xBXbb3A+W0g7zN$O&&K|Sm{_C9PRZVX2#@;g zG<7{MDeZf!JIy#qxUb^a&*g8G1w=8=!4N0l+)QBOm_Bv&OP%wF`QYM4)vFF6#zkmf zZr&afXw>$AhG+Wj9M@uJd%Rbfo4hG;dqYOvq*QZSe7DY}Er=7P|H`Zzn}Lc2k2NM7 zYZ{rxHe@rvxCR7H;(R!KQOLr(6+2J^M5FoVtQ1x7 zZc~NpycyJjh;`TXfOQQk?E>TEX2lB+%OQJ$0sFNY<4p`QSXeER^`WJL6j` zK_^siVmxmNu2)57+^NuXgp6un`J|z+Y7RYK_VoF(=Pw?&QxTvcPH1b>^gcyASCW=i zZ95PiWj`@B)2)zr0mNYgdWb5{W^sC)sM~rBsH@Jw5+FRs_;-C7+py}d zPU)@Q`P`2p*RxW9Q3Zk;rnh8Rqg^4$5c)MrTT`L8SM!m4J*(Cx+yWSJSMWa3+>cGy zv(?wLD{aDhH}F0|+>calr#MscSd}rq!&U4+IPmSZm~kV*S#lYuW31e`xAOTJkJVDx zEW6J!L>p6&!m--*$bSdx1pfTR zr9_uJAgM!X8)_59$lIH-s4rsIC@CDK@=2KuX_voWe2-dO5B~ z>{|rj%j0lN{Z=(a+WZi$g=U}gX=XG?qDr`P`+Qr^nP?=&%+8Gpw|ano zv;q`@gB2$Ugmg`ioVTnF*u?H|go3)@u(yIE|*L~ZGavveO5zu=^*VVc&_fQDRLT7?P} zDh?}$;th*)sM(RVN1oEtaH>N52g> zx-|r60oP7dqb;yP$1x(ct6nh^435dHG?7gHbLUy2OPj4wd2;S4h@^=f-*zWNqBe@* z=yIc0t%_Zt@6$)rWT2@nLh-dGLsAe=s)s*CKh5^uUZO?Rj9pxJ!axxmkimX*lzhu@ z8kDwQO-`j6@kD2C`6O!6ZUeo2#(UUUUJsk!++np&jm#}Xqpox&p3lOyH8gl;V<*vM zwst-*+TH2i?y_%Ou6JVY(e7gSaC=d;T%tvO?X#KMH z`-LMyK&*fK(iXSG{V$Y!_|uBAC&xZ-_rtGcQ@4ZL!H)xBu2TN(gO0(^qpWZD*p{&| zj>ENQh9NI+RCo28#}ThiVTvlZ_k%AZ;wM?Z&JibGykvN(&XJ8@-c*2$GZ4w`N4Poe zf8A#(4+voeaMrrdu9ukdkiuSrjznG7oT<5gpc>G^uZZ4r^wO!6d`TO^mkl_$mTQg* zrqN5gA>{(K-(H$HIIJ09?&U}~%J^jsSg>m}pT*jiBHJtwEaX6~-{RUg@&zAMow+71 z1h;GE|1q|Hm)m!EMxOb!Bxy74u+r&XFmTVdJwI`l4>bVSJ=N!$+4xJ&ixsn0pS$MC zls8K+sL>*Uqe-MQmm>slk;xrop83tTBJq!VQOzxLUzwG=8z^~7>@-?kkgdO$>S(2y zXIjlRBgn2SH2LGtl3}-$1Mg}n%~M`1jZ1&$>SF1FppY`R`FWarX{L{TEKp}wCZ}id zcQJKZXr;{^ew-ywt&B_8^hk92K_YGgK893krZeWkLe}2F(EMTj={m?dG^)XTd&`ouhA|b7;iY>xCb6Mx>=<--+W+y6Y37pq<+YA zV34GMCRKV2JjqUqBclaL4iO9F?I{fT-+5XpeuKbQciAw0j@(9khGcn&2rI&g;O=^A zVSP)H1VHeSps5gv3V<(jqZ^i&!^8I(C2KALBj-09hPFFwUfM8qeMVmk4TvNyAmKMr z!D6V*(*B5b-ZmL0w;uxAiUTLWBXYt@#u-x_LTYH)%fQIWq*ZnzsY@%CXPsvHS^ZH# zMbC!|L?3K{H#`QOh|Kf#SV3Oe2smJnHcU!JJFh)qKY3$g*7sLHL0UMzNRn(3G@~MK zP>)<297~PMk_b=x2vZ3T8AIr8!T|dhX>)SL(_I|=LLFs!4C%Nk3K3pOfTAJZ_@9(u z=d@5!GQ9TR6r@tZlG24l0ADdz_URRfofeyVXC(_AgIvn9T--$i&Z?=(BdzsIj%74=5?JSo@dz=oC!S zU@LYVi-)KnY5?S%j!JT5ci3AGK|Jk)AfzRZ zX_yLeZl1V+iYnzS0_8qd4ooH4Cc3gfD{Fa$r!GDKF|YfTybmHTSSY@}OkP<~0P_9- z8Zw$eH=Gd|uFP1VYzKc9M2X!s+g1<5iN*jK>|pvfgr>HS1E!cR1{H3 zHdK-aSNklhkoR)0SiE!Fawdu5uq5e+mU!D#YdBQZu=-Nzc!`fJzIwGEoN&0Z_Gx9C=kw3ji6wS5s~0 z0VL_rD`D3_neSRIPbf#a|GTFWU(Kwaz7)ISOs?64oiU$9`v>-7`FR5I%9^*}jC~IX z%kH7fAC6@TlZR-{b1OKXa;Y@H(f!WLlvzS$ zg`2jSn+h$-v#8TNH5E?0QAGlxPO@cV0hbACF3rS?wlu<|{FkuO+-EAu&W)XnqaJm# zVQmh*bx!ljK_Kw}%qx-k=pVz65Sh1@&{|x!*$H7DSFxr@7(uGJm&l@v?wsgtQ&iAs z#D#U?s5!SRE@BbZt2pPc`q&PjBXNofvR;!Hg7Gn?@?s(b)rS(5W&#)P!s20jbW=rK z_ZqBF9nj9BbPXNxWfMH?2HJGSO9IyD=J}aA%qrMQbT_K4{MAJ5UU3Ca&yJ zI>#l0M=D>lB*aXfD*I6t58>><(aub*D6^X;wz6qUzK4>7F=?`-Z>?2CTtnw1KVI*qF&pZYEV){Cs9`jbz+<{GKiyj!+=93$Gm{M6xkq* zt8(gW+#pcxS)#NBa&c}VE%q(ZIC>NxfC2_LtxZYuujg zl0Xd{l?1m^4G(o~vNuQB&nx3LMa5Z3K4j$t*KTcklJAQfpF5XE)H679ZU2h0(MlXo?AE`tKjJf>yzDAzWWOSn_>n^(huRU&aC= z>*2)}Jf*Zx<^C~^NRg-VbB7DSc-BZ(0;vb@{_xHIta%p)%mB-srGOhzF5Ia;jG8c& zwhz3rK5O6X&l%S%TJ|c>GM(ONa^T*XIwl3q#XPq86Cdo=z{R-bTkzb_#y#O=19hv{ z0y*LJ(|i`#a{;d)IZ&-z%z5nY!-ZaSuF$4X9Kih`BYC!qde0W(IBbij`r@o%nF0ak zwuR-HnH47m&2xWGk${ibOL8Cd3vB>IOj1SIQu&_+{ zBKBXW4%g8Q=;lHU=jGF-eGUFAD(=aG9~_R#ypA=jpqt{0Su=RCvO;AOXI?G*<&C8d zJX%mEH1~t0PQyZ7PwX>94%BE?ekIfQ4A2agP-m>?50)Z0?0Yb?bX}rnYWY0x$MYcx21>P1yxyJOC74~D3_-#m*KP^uk zA&*Wh2O^zI|B{dAHp5MPCJGMaQu?iv(Y%R0)}7L4^kh!)r&@e$O1!mwI{k)s@GJh* z{%-qq^4BgYcY;6lwC`hwl0Vfi=3;IZczot4_h8-cTkvh+z4Uxwl&Z+j5`1kDUJ|uT z_f=0BI5muJfz>qJrr;xu+(m8$Fzo3=jgd0-=c1!^4W-3y>2 z+RGFf$_h^v04`(c79MV1Nw z6v3@+GZvs@O8-+v_ZtPE1~Ow^s^s#31j%bZUzUHFJ$RcOiT@Gs>Rm?7K5agB(nR6d ze}0|CQ+FMdfipAVt-u?~LD<;w7NPOw{`pDQpZrf5wsV}nsTkVMV*JRxsUGWeRUMGC zHfP};U8M1oFVmqSA3e5ld-t|l-lJ`!CE4kQqy7AY&MI1XaI{LAc!-3gQp6^RePq2I z)h95uG#1leD3LT3Q$(V%Vb+aH12e)BBCzmkakiUM8+Ah;c@|Lw$Nb)s>s0;*t2${W zWhAjB>WbDF@KFlErGiSIF03yUE*8;5-}@Kj2zfFAo|(L^5)J*!h{i>Ci)kuhuxOT}91B+qeA z&u(r>x%Kf>PpWpFH40t}UV(G01y9d{NBcHG6*<>O(K88dp7^8kbEpN3V)82rk^fcO zUkAnUh2erQ5`sg5yGvlu;1US#5(0w-AKcyD0t9EU;1b-1FoZym5IktGVIa5!7&KV0 z?ccq-e{9{mwOe1+M@{uS^Y-cU%6Xsn^mLyd_G_GF_fltUXzJNec4uEBC$L`i?euTE zu~|+e>Gp5CLJ|h=a75lsD1%#h-U9;XBwu8pNem62A?Sd)_ZRD|N8r+XzHuRz*$Oi& zW?F57Itd|pttDRyJuS1kK)V>4#yN`UuWl4^vmXR6-JIUY5zSr)w+nU;W##y0F}$DY zCwJriM`A0ZPHfl(Sc>;1=nKX~F5FZQ5d5gwH@6o6z;MmM8G+KD^+XA*74>+z)E5QyDx(F%=LX<*!2dFP!TqyW&A>>x6k_@8x2ZFPmDfW5!sE*w=^|1$@!S{LXC zgzdMh=E8X5|1kI~jUcsNzyA?jHB}lMMH7BJ7#76 z{XcCxV43ykSh9Yl)hq)@st6C>{=jFMJ-Kk(CmPsQsa`)=$x?8CubTb z)hhi31yP^MXn%5XIn)Nx`8hoqhhDjVi%8Hb6-*C_FT@CwD1cwfvoU}0Ua$s#J#RGym= z{WYJlwi*tAx*e}(`WkOB%NXiDd`?&0wJ<=9y$D|tsJMaJbQhdeCCg30yv6N=GE5g5CeSC`4TVKNHeG(6+7 zQn9t0Een zAE<{#>!6#0=6yY&I_QQ%9AjgvdvALeQg)*cf|0;^&E8N6y?~i6(-mQN-kG-qS0LGn z=;-8b`F_-}DKHHsWpt9Ps^4@-`=oa>AGu}~Ps%|b-)a3f38r^rZKJY^n~LA;Y8;o` zy?e{~kL&9ANszQVeFO1nmxuz9&-uQhU9hgw5}3zeD=X1I67P_wh3v*;No-R6LCNWI zZKVRI-u_C#N%}8?Zse7x<#TEB8cM8TYl0fV6%pW@xq)Cs?Z!7|ddKKmo}m)h+^xLi z%u{fs0(A5KKVjXhV8w)-UyM%$VYA+lHEqkOjJQCeRF3X5fcs9$f%FJAsFbJP|5}pxnZ8c1 zv=%srC4U!sz(W2>M%zF?$(~w=wEeN}LihuZlAOpl&LA7eJ+ZJ~0se?dp^JJpy6uq; znxD(K|M--VAO-^ti0CaJ=hprpHbQ z<$eDNjay=_+Yl2-c)eQj8H3!2Z2ff;Cn8=ZqACcR{N7&mGE&C&@|9Ho_P2L$da!p| zOVD=%oMQj(7~Wys`+b{y{*W#&dHdp_Y-bhgZV`>?(UQrAPCx{$(pvZ9-gy|gBetLV zKm^|a@U33*Rl{sGs1X zo2cyzQB_u!e68h=Z>#Y2t+ai8!6_EoR&n81sZ>n$sAH5gvaXdb=Qtg@`54z$L66`T zW0*RwyHPjNTAFUq%Up1BBdSxA`feaftDC88LFlX|H9TiBJG-**-Q>$)hi~Q9ffc)> z%GcT#SiVaww4bk82~6vFxY<{6xYnJs)JMb=)EAewB4~8NKoxARnfktZ`s!}DTxv)r zXa>5-<*lD8uBrFzF7YZZmz8sJ5ZUcY8VP)`y1-dS72DL5PM`N7xa0?|i?#dY4d3fI z+;B$W00oNK-V@Q++lERa`Pb;xy^bJvVRY{6K@uh3urOy`Y>S_IpZ;0tVw(yW=T|YWi+) z+`!p7GP<{!O9Zb#DOd?a|lO zz6~#tztGr@{M_pE^_i2vnR6}ky|V-~u8X_hRli@{f;zGG>Va_1GOT<@PFzi0cvGOn zT|$cfpTXD2!Ib-Or&kyClLLQ84>{kGEUGZnuf^QFQ4Up?WSCPaOpoKN8k@^YQQ6+D z`4gazJoH*i@9xy3)QBaO%c=M(oXia!r6@&UUn#TKUUXf`E2#z$X|i9+lZQiTJ5I>{ zx+-!femNm5{hm8piRlA0O@@klz!vSMjy&;8BgGQz7ZD$a{yZ#shsU?mk%j#gT| z;dNvJpv-8M<#hiydA#g0rzZnA*{*R(*fR%l`t*-l)q@A@ zH#j;f`=D$+Ic?B&xeoe5pm>MAM?3(G#XGi6Ig2si-X3O?;g?)%l9-du;g3nct{Z>3 zoHgJwKx6B16knf3DBj}4x3;LBNDR6#OQ|)}f2EQKJ(+hOkAJBzrNsLX(w%UrB7Z`f zf3=Q3o}7BO!K}>CAO8ZfQ3(23?bCbUbYy5Fm_N-kit4NB_nwW&t~Qt`t^D`|T!Ii4L>N%`5ZWYo zUdKGG<@>LvB}E}%2VBrNirFkGPX^18Hc!79I4utYplP1Vlm1$A#{Z!mf*#ivdvyG^ zcW(5|q;I+eO^0GC!D);;uu;&)Tx<(F0*{BLHdjD+YpnEb?AGr~)oY6v+F5JX+m!d0i`F#F5b{3D1d$aYkQK)z38`-@JenFp7Q zT8tbB47{=UbL^MAi!cf>J4{+4iG?C(6m(F7)H3!JQLAY~3X9J3GjW`{%Aq9a9Zb1Y_)TpxIQ^=wH>r0` znuFmS&U8SRmXcMHcmfWreo${P9}i5?<=wzN-wP12sAv8wEWq3BFMM3BqUMpb!O)a7 z7?&gBG~N}pKrvBUn%_6`O!@#VIhCg~0;%gQ=k*uPG5KZqPEp2!#x*O6REzYH3}>BQ zhQ8zU-;4eCOJ%CqDy2VIl4P9NuJb~bTYgVfU(C$t)yz_zg6Te_e2BCx(dV1{MsZ8I z)+WT4T|AXNbkGs0+#vn6Lg4F<;GMq0OXE;!52D@L*t@;Om&5yudnDBYfMI&WvcjLe zCdLjIdh1{Rt}VnOynT|7RQBXCJvio`r|EbJ`Qn&yn*ZL`qTPNd31^fd>a#!*NCDT9 zZvg2Gcb>df|K%2}wFqzfwDB^x#4mk4k;$;h46WFj@g~Z06!zXsvmvC%6=B__t z8(3;zybQR@%o3u@upO12yF;z@eLjH6T6T)wJnxR77E@QVU!eQ2rQ~HkiXAP{n=VN~ znX@iF^l1Gu{{mA$Ir8)GowSR1Gzta9@sp`+VG`0@OQ?aZh#;j#dX&-ioMwEy4E7NBX z0^Bj_kz#8Z5C;m8yp-=?eJVVs3)}G{s@5p;m$`L;(Q;2V>n(a(Jo^#}X&2nyb%xR7NHXAQs*+tQNhdm0 zaFe^c739l@&(?Ae1a;`wiS3UKcA1D1XISs=Okw$jn6hzJ^b)Ci6J_uJ#_5zubWTa0 z?cE5m9Gbp1lE~ptAp>0~H)7bRP!(o#E6CWMu<9}5-2a>P@cbeyyj(w^Ot1X)cds-3 zoOwE?p8`Wd9q#VLTYmVRoCcexPzKW(_SF?OwTL2>ymQ=wXA9XRI>B+5&wq({vw{ zN(9X>|LEk2^YqlblnGv?l?8pn91Ui`PJVQXz7LT(+BxjT z`qpLR27h+K$W1kXWU1M_|2#_1)}`y{)EuuXw`ohz37!hq&qK2*yZRSsVLhG{*=9mV z9qaAhWXrS~fHgq|8VI4EIAzux)3mVDN-+@Ct0y9-^^h^mABGD4a|U%~#e0eqfTY*QFQjOF-zCr@x+*v%;o4h+caI$BNw0)e9j!^6g`9f-onkP6JB?INwn7 z3I{_Cjl6Hmqo`Q=YA9kx*!W<4MlHQFo9}KNt3x-obu&wc6khcrH|nCatQ1wLY-_ zB!*V!_td>dE2Q7b58lczv>v&>`o?-FgLBXwRPW-o^nugeran+A3ED6^x)bYWxz+Mf z8gtW%)}FV<$EK)@*J>Q82Npe6%x=)0v>Qiyf#HvKw!su2q(r?7AsBAo3Tv>m{Rpu& z9T|luLS02bNE$GFw;A>-2kOcTLUz=nHrB!DAS8Re%UKjx>WcYremi9g>{~7rr3Z%RG{ejWM=t?1 zW{hYAdZ7j(|3eVlU@Q<4w6Z8Kq}pV?A!ofDU`;cTy8 zox(p$H(6~2@{&%1If@npPrHf|b_q454FylvSTL7)?m2h<4fKD6z+FnsH{zh6ct_X!f0*VnGHqt1IWtu_5}zDj0K~O?X5Tn$!Ub} zgTX>Gps2U?F2#1XokdWT5P)oLZ|OitJ}`W;6&4x^MJ3g{hynd2KvBwIIDac_<;Uoy zY`qILkP$#rJP>lc-o*kyG$7;xpc*(R8jAW@@3IQ0j)kI-00LC=fshPf_;Cv?v>1xQ zu6JpN!S2(bD3y8_SvyK0sXoA0~|vVSS^Q8esT+y-Sa^?X4^rZULwU z(ts8WUj^DtB=h<}&;2-xR)tRQA`^B~HKqLpPVW*Db|*EZ!>u+tG81;20A#aq13Vjx z&QTN&>;+^Nn$p;L0T1Ip&KWt1I&%Yvlule8Q^QQ3nhT_Sv6m&=tzrrAc(tj?tTVd# z$_-QC@7K$%`w!Bpj28rv)LIu=goXn&UgZ6vnNU<%y^Bf^dl5?`ago48Gy)-mRntX+2?TbA_B^ZClYy-yO~|XG)@}epuJNnF_6sR z!{AX%t1~68vsEgQ9KWL`EnL+(9piEb;V7ySI4$V=@$1hZ>EFVtvnij`DWCHxNT5Sd zfJ0EA!@mHBe}N7=XH!2nOYc`8>Ka8 zQ?mgM`_jUjK)>8LabZrl#N&Dl`L@!+_UBVPyQMeo4Egq_Qw9ABzt&0vMTy7p81mH^ z@}bhgbpa0G^Qrpv(m*2OaqmEf`Ln5lF@)le|E=Frn{0;^~{_gpdi${5a zae@ak2nl4V#CTsKN+Qf5`y5wOnp5bs;QJ46AV42D-~BN0|7e8Z132?P%gsk)g!%C3 zB?}l%*$Oio9laz0s*DlBoTd+sm0d~=E{iSO*nTdZ^V_z^{5TP(=*fb-!c8DG>Eu{m zKqF)$5Q}sYlowz=@S`<0VRugR|EXAXr6=ra0kpQ;Kn(skr{E}Re|g%KoUrQzAfeN) z{$x%&;RkIn90jo0+BR4WgbX%9G+NmP^8hw86%2@C3nwp=etlqD>e|a$_ODdKI-=hgtP|`z%dCh9H(l1wE?L4z!6tQi0;YJOH44_6re8}}F{asOn>B|ausTCwbNijhTbksVRM;2kE`s+d3yL;#)1^*skP3b3(GSpijlW)& z!A#9_`yJ}chR|KfT=Z3noR6Tl8y4u-@e!YBwtC+E%u@DJI3pOTVhsPWy$w z%3!%dWFB&xJGdu(B)^{;4ll-YPXw7nIwP0zz~y!B$rFT1`gDRz4W_+(|(@zjW} zv*HSq?14_1Q>q}H5sl3+x>CL7cf={f%HhE5dg#Rw^AIr1TLXQKyV-AvEw|ok*;lT5DgS5k5J=S%RNg1h|L5Aur! zv>!C`JZ|vo_R1d?g(Q!Dy*38pZ4)fr>sRdF2;4-?Gi82)?bE2Xr{rIZ-6F~Pz*0T?#G~&DYQww|9n#=Jmk6W;a!+_8i{7KWffFq^M z48d#qoIeX_bVJS{zNIfRxH7I2YTeD{ieFs}?ft7RG-q*`PE8C+3%QzBzDT}(*XFmm zbaC*SregqsmeaZ(JAc%HAGE{2cQ6T2_L{r%_~d-__9ddRzKdV*$Dd7waB^hF2}=BF zND;eFsV=Sse?+QMd1M=uBpCNle8<04>aSjeb+GAu6#l&X;-Zl)>MOtJ!u`wA+YS)z z0sm4!pAzl5|JF{Obs&9?gDI&rIQ1C=dLdH(T$6TgtvzMPF%t?V20C|#za<_##<$2dp* zgQ=wyFvmSvDV(pmDa6RP9OrYCDLNW|_KT-0Z|f>HLO4K(#AYk+COFi;1rm@>ePq0! zZe1v-Ll%xzEe4Tn3@dv2oUY1CS-n}FGN(sG8DzVupF%lgo3}1S{5Lm}`PP>m3%zi7 z7q`@1jPF=U98G5C4Rr%qDB2k-krdmkr1(Ov6d9v@f&@d%K{i`v_sSQ#O;*n7Bk1)0 z`+G+g8S5GPzf zl~PLd&!n0;pd6ur%9{-%`s|ief-G)xexmZevprp|Vy^5YR;7ZIv-t=UVnVSF`x9&b zJ^ba;oG%Jum}EL!e9K?f%b>LUOU?P>pWMYJf-R03Z`J+xK+B~~KJndnCE}%$U|!Mu zcAaZY#jqd7&PknThMfu)tR>%U&iWMC>c-7JV2DFHHsLi~cb||8YePKOvtF#fdA(RS zw}ZHPcq~%rBUq3Lscx|J>%;Ug`iJ%uiH51px1~Mlc=zM_d+z8<9^EswYqv9f;YCCb zNj@hQpQ0Zn>F+Gi{I9-5|H+z+&`m2_vX|@~Q9XP>iyvH_UdbzrXc}&SF1$iJIic)% zS4w^z8S!KDe9NX!eqX_X>^+Jq@+hTH0k@Q$tedd_@BZ0qn@Zcxf-G6}Uef~m_E9PG zH=64&IX^|qa?rn%8RUDgwy7(VWysp}l+8D{c8#5=82hJIlQsE#v~wk72Nx|~He5r) zi?nq=~jyK3eAcm2KO2<@rGO%tS2O_sZQ)!l~A z31vmJMFvr~1pQqsn$D&-KSoz@L6GOypFM2!cQF0Ha7XUH+ci^$6`<>}CtcF!oPA^M zNbc7?bZcKcuo(6Xs3!YKF%k{lsgZZIZFIae6E#mKdKmRNF|3SsM;9;J=}Rnp$Icj@ zTo1iBAMZV-d785D(!<4eEr1?#=pb_QL6^UoX|+M`cW+ukT|__r=$thl%pkHEYSSgi z{-DKm>W(hGGwFKr53avghxOI4yNn6a3(gMie0}w~i;qk!F;Ip3uhiS^kj~eWS~3nC z*@1@Ke>?wsLQ7jYI8}FLMdxf2>1trLfHQX%OE1Ip;D8m@q>I|AI@X8{3+MiuqQ6JX zrffm#X`OtGA=-W<;$gxNJLv!TwOlq6@80+|C-vdr_PsaXb?g$mKVrA2AUhm=3Rk;j z16Z#Wye+v)FIMsPq0;B%xN`LN>KE6er{wkIOy5;y^rJNW9VLd_mpIa0?`w7JtHFl_ zpTI{`NpYDe*@CMztQR~tzR%^(EJm`52~Q4K*RDUKp16La!5kWL=EU{!yvD}6h;vGf zmQ}458%G;lPgP3@ZyxSm_wp|I7hj?Os9XAbI*1rs{Ek|A(lakSM%V4F-;B~LlC}9F z1<4u}scO!Zp9y^XxDSF6@jXxANb>1@25j9fIzc_2tU*(4NBxrZ6|z1Lz3+F#gKN8r zJF-+hA$zf3ud9^HE>1tWhB)Z?>a?hk?hBz6GFjhbkp>ugT_53e@@qboCH={O#i*_S zSNw08&uEht_M?Z_wd?cjTkn_Gbj{1C=O;sQg`Cxw2*DG?pEXWQx-)l&BhOJCwW_;W zpOgP6io53V(Re6BuT*B)A#jG#@Zs05?X?j!of(54HR0;&chnL7O?MOedw?y`o|27Q zW)X2cU>)TjQ3HLQzxrDLtiAfP_U+GF=%2NFG@Tuxp*xPQinT<=Jp~WO0J49!HBGmR{}!t_@Y!(FfK zY>wmKkD=bTP9zF>L0=E9hCk0i&S5w{y3C!UCDadQ??_z0gUS#2)bAFQ+ zt4$@!Ml>C&FHg7mRn->+eI7*O~{9o<)Itu<@jMq--1Q#;({P?L^0Owvn{EkCldRM{N0|6 zv?LpE;`qOv1^jn&#Q2braP936nKK#c%gLLA+1b8gVfx3)6QqAw#FK1{N%YfVf<0!U z_vB$SX}!^UM8dBRLIQMtl|B0$>a6<0#txmPP2^8`6qo!Z4*A5pJ0&?iZ|zfN;_ia) zH)R&j^qe^eZC^c+;Ht(NTI&vpVcH4leb*exTCwe^tonkH4#Oq4dnOJ~j9Rm=bpEGR zql6H)N}{ezS9dYow3XsRgbZu&u8AL=9q$eqRYT~FfC@IjjLduEvCkE2)p3p^iz|vw zM3leP2rgQ5-xAxtBJ)%rinhThmdd?nRisH2C=C(Ek$+h4wTg==^TUCOI55#k-0+A} zkma^AY@BFkJFdhH5|xgI$?G=w%O!iojBYS_;G{773n2TMZJ2qPQ=oE~v3hGaIZfR3 zUO{?ktQ7;Khmn1fDXpHgy_wQSiFh@kRJH0g?2s)LDm8`Y99b>8AB9X;3Ro%zmPzx~ z6eI{8C8RN$P{o*^u3$X1bB67uuz;koxAPC{T<=shs(DJut{D2#^|OOh=M+$vGDd`j zknOj_4ATZ3*b`o_G~{A%eUqotvYyA4oBuS^bJ!!>pQpp4r1_pFC8IM&-!q>iOak1+ z%M6B@OAn*74z)b7W|;QYkM7{v19fYU5vUox5yM6azD_)LEafWG2)27RGt;I(Ekrrt z93z%i5Y*q7mfZ)w!ATxeunqL9NWUU&)A^wJd^FxT;wHz98m7|fre^E``)WgktNuH0 z*OhGxBA}$v7SQaA*QycAV;8qPrnjulecO^w(f^*wd18*C6<38rZ%j_FeTQm`xj5u@}ACb4f>{xp&ndobm7gI{l5NV9L7&?Eccgfkx z4?OaI-$lr|uG#VY?zCj9E;v&=c3vKrC25`xFVPlnn@wd$)hwW7xa!#}vQ5`&iv4CB zr%7rax331gpq9tTeIqyVqv6WF!Qvz>MVkLx`E{l z+@S0g%XAbt!7oYC9bM~w)~QW z6XjW361fJ&cMNZB!x)s={c6^ zIB-HENrGsb`ey^SrDg7EiuAo1Ktz_n=MLTTO5Ym=C-9Lcz(1>hHfCE=CoQCHzUEN< zOwSWWuI0l+pj|`h5}}CwC?wLB%<*02+b_ifrs-|q8SSt697~w5c(i=@*!JUZCIFTB zWC?zs)qPS_eO&7l?UNK2+SG-aNl+CDTQ;w3E9&IS`ogue%ydZUBT~|`8!-~>_I+me4zN+R;#3=4Qcsn`y*D2yFmYJHc?^7mRepb&SPjGXER=;Ii0(|WCVY{$x-V%`#mNPB}4umd9ZQB}CgpzNMyx~72yMVg@P4s~eyzYWYASuG!}-XZr$MduO|iYY}Y zj08W0GBs5nJ79G1KcT1N(4w@M`aSoV(pQ)uN$*{9(hS}o1~J!>AhgrgeIHsA4o%XJ z=va`Dey262|`fb@BMHJ1uQSzEzZuxT`2a@ zfkoP5T&CiVQ&*$iqi_U zA;GcrnZs`6FrIYOb66Nlr_wORGlUzn6ddo*G8_ZbVFJd@_ z92TwU_aTj*1y&yG!m(_JK0bo9!$V9f`r+_d1qgl^Cc;DTuynp1PT_<}#T+WKbeav@ zks@5>MdODr@ewtOaGhaoPT05qk8w|%G8#^kkIVX$ z#*Dfuk{OaI66@gPQ1(7HIQq7HcXl7zb491~^OO{>;)j!zDPn~Sd&;8*j;|bcY8Eyo z&t?bpdqJ?9Lt!L5I^Y=cVZ3G*V+Jq_G9EetYsNEv3TD^ncY<&k74qOZr?FdV!Nlv8 z;P_H3NqtL@H%9S17zY`#RBPb3FTz=(B zH<_oomMpU)Bo7mRVs>%_qjxf!MmOa9L)NoeVR)vjor3;7M!R${=iK;1Jh+@6I+9H) z-Zj0juM5JOTkL{^pGIgY2a|F6c=!aa;70%yAxkS5ACLTeq|(SzGZo^wOHMr&l2s~Z zU?g84aG~IvGNDmMJ&{KGoTVd-n@0m2w>?Z`c?)4TDyqi;rIA}I!KCXI;lxr*V|}9# zW20Ce%({$9D-jr3y>@nt$S_GWhcTU>DjeH69rgWxb=6NEPI!=sWrYbtb5Y%iFU+{H zWPQnh_`wC=PaBTg$%KqB%V_9t9F}f&hEX;fcrU~hUez^=z-Ya9+kM?wI^zO|8!ZnY zIn9JH{6!9ggiF#P!45lZUkId1Ko;?gf{C^_3KF^~iokV=Kcu2yIq3TiF*Ay($4ts- zOU?`K09!*;UbO%3hm^Ul7Y4C4N;yP>>wu%SM-rPcjQet>9~siNbsIIaV-1F1Hgg-( zfLS&A_91FU(LCtR83R_lFtf$y#su>mN#7+Q&y8|;2oBO?Er%dd&7?3_qhcN$+B7Un zg_p92SwFF9(*v#SA*RjVFs(%_#M8qJ7kWRXoye86hCc9PnK!9R^5Gy`TERzYh+(rY zOk?pW0?Q@ykPfco_w+m?*-{4f_WylI&0yJr=k~U#htIY*AX-LI_2?QIb5>k18Xhfh ztS#^xxje?y*{o6#|I*;LA`zmcr657~eZBq97-x3Pc@d5w)0q0`%QA@T zBA+on$5psVlGTI6f9c%(#27Q1t*&1WB4U(Zk2jWPU}+3{=~7((WGs{13JZo&ubLeX z9lo>z{5Hzt!T-Np|6lVsW!Sn|)R=6ZIjQ$6#L6g@2f|}_w*KVOc{^^VD3=4LDUU3?>4(16vloSIo^T1`RON<`B90#)xkza z(CpHhp8I&~6jst^rhCR;jl{w-_U$bB!{2FEB>N0qO%0lT9*JeU?&USMWf5{V$%&uy zO`3aQ+aR42cRflwbj*zrN|b@8lR<><S%ro8 zz%SLHbu+V2fPdW26a75dx%K1T>mw||)T~1#GNEIc&-nK)-1XvOtEc7jY0e{I9Paho z3Jt$%oJOX3S+j^UUOwKt$25kHG-m0I9ZrZV@piPCITh*{XvnzgI_14e{viuh2u3tLQ~)!OJv69&u?4#ad|BkTkq8q1(#cMIGd~qPey_R z`C3DhhCC--=c-IcIURjuzqTB3ySAvaKMN>h^l1F@Th40ZoP(n=Kw`A-r-ORVH(rcf$e^>8sqnE&Rw`-jA z34hY-)3&0W&##XR6e*Rz{cOcZXrUc0tT~-T{!ZvkYvp;lecgoKQIk~lovwmmO~Z_w zfhT`y?H7J{aJf@OZO%=EoVGmmxc_67yxs+oMQ?+{45TZh7tW_O61= zzbx;omqyc~fk)=@4N1XJ+XR)S0!h>E-7(9161fTbE1hL*$U0Bd;X{36QRFPN4>PXO zoYA|-Pxo83XSK2c)L2ndq_#I`CfDs^?wx))-t4<&q%QliN^OcutJ?k6pYL}m4kWX^ z-Tv9S-Zx9e8~B{n+(!zA24vOgwIs(RA)7RBMUY=w6HP)5lnVJ~L2P!&laZL4xu?!r zRk3niZ^)zwT&F?u>UM942GT zY2H0+53&FHzQ*%Q&qFDOFhl&S=2ORIhQ;2SG9dMi%jrBJsK8{P!lV>%ST0>!IhL{Ajh`P98ejY3a9GRVkgyJ z2h|^zs_)wtRiA+JpRLk73g+jQJgAsNE0ZRu*G9Blhpw(1u3Rjczbr)kbnjjWIUkQP zqA>kQ6@1>%ZMSE1N-{Vv&!~5o^1Q`B!C!x6$L@7TpeSv0USi7CCs@f4IG4#+Z4>+? zjn0oE&OG$7XI*596-GdV*ZSqdjF&MhLBXTlK7r4ZdTlgZS2*NpN8u2+RlrzLzu~ja z{7EA@8u_;bBLy|$U@d9I( zw-YV%Dbe5_zI_pcXUs<+)AsnCc~4be0Rzu#Wj#pW8^elgu>kh-VBmTFIo%Qc-qC(& zS8G?N|5SSvnf7rYHLEHtkp#vDs*V<2$<{CY2;#zQ#kVh_q~joVVw%{<;EFH!CJj%d zk4bRb{o{&AdoD2r{!&u;)HnIy(&-ZW1FERo=tGKSmXf5Y@@$qw^&Cqa5#+g4ZvWx| zX?G90y3nMSy~-TRx=2Oa{ZfE2`Fn+ggObv~uU1YT(mbX!asrJe8i%r_vcYNn9PhM8 ze*kL}KaZ@o@;0M)%*1FWJBPV_(lAr`h=F#WW=_N_GS5!-eaT;vZ-eL42XQcXSiluF z{^qXoGb?IHCH{FoM@kiak_Xy+s~6Q>hy7ovJyp;w<{5b;A9Rk^>J6X(`XQydYnO3 zve@q{62GF=R4fT{*8kHQ`5^IJO{877Al|&bKvA4HU)%|ANYS_KtCPDetH9|Wm0g`A zheR66oG;0*o}RCh<}}Ky&?J?rEMPiOi6*IhY4k7ycNp}Yd`WrNxj8TsWrrV{kh_tH zqL$hOCj`8BUfZ41_WkT|GUcAFjOjBxHmf;WE&f4@=Cp!uM6Lca`t* zT3}{Jo|8Oy)soMR*-aemGg~L`*fJL}Ivzg^&m`O=71$2?Xh*x%GA_c1`x+;F@5xRj z8+L){h(5b48ShuS6Y^>K#2)EicXVJLh!VH1=i7^j>HKv&QcLg7JiVQr3xl%R#CtE7 zXa1N5)?Vd|qVDbX*a#iN&46%Uk=Kg+u?%e=GZ?nK;yi!<@UcT_%*O`0zTGnpxl2$} zav-hAdq)t@Z|O0UYRDGl$tBsN`s)WtEubnxRsTQ^YcS_UoP3zAdz(Cv?3dnL^KgdQ3VJ=;0s0M zKpYjQAOznr1W_SYwm9uBv+q79yq`MjE#@Xa&d=L9uY9_WHl3z;pE}<@d0Q$gFFLL{ z=dRto^nED~*+*~4?#ArUzc|6L#{rI1!nQAhoVR;`!@duUz_lNJ$cF=0EyxqnoP|}y z^dFHKN6;-NKI?-#f~gmFBmP^CT8^vca=6cuG6)7s!XmpKLoe zPjl}j;h%?_NiK{xqh39B=$D;sTk~{7M!q$)yFSos-O5e>i-X0O{>I+E!;A4}L6cM( zeF^<3`$616=%^W4d`2Ao$nO~bP}ocPH?3Qz8~dA)Tk?bWmo#tb$rigmk!$n^O)thi z?@9O$TP$|-uJ}=-f{{{t2JrmJeMhyMOkCCtI>BGWGgp)ivdV7`TR*RR3SI3GiIy%q z^g30%F?KD{t0u>st(~1&K+lgY5W7bJ;^G6p64fS+i1{InCEPJe278`luY0(joym@0 z52&+z;k~T9m2u&F&JAFsP-fZW;OILNhF=Ov;?Bw7>R6+dOyqsSpe@B)LmP0 zzuW_8_7o6(ls&3f!g)EKZ3l9^$Z{I{P=$|*KaJTa@vUb+lDUjHvb`m_$9s)A zejlN}%du@Gc#3q7!F$?cr==g=xBD~1!(^v`l`!_fwlz%j&eF#|7XFr3q&2gJeOLhv0DNM zi5G`+gPvRJgfvILgLuHVzM(T15L`Q*U;BzX@XP*~>INJpKG+=CsGqv624+>S<;rbA*#ae(`DXn1R=&a2AD zJzHek&KPe&fsad(ttp%800{-?KMSmWFo5*f9O8w zr^suRFkc0A5sUY>pr8CN`6?b=f2E`s|Do1#Z5O*67EQeu>h}WgQj_c?Z#j&u?j)(* z>Zd|4#48#0&5Z16TS@DK=NGNiRVNoBfphnwZ)tUjnA&(1!RVsak*#~#*7@-|I( z+(kac!E#kkg6zjQZWIhZYXOOtd|&cr8Ks| zyOyV;N2`SmCz1={>-vReJT{A$LGLMcTvjP;<|)Xyw=z5X<)cm>U%QoJ15nl@zij7r z^Oa(gY>q7nG-j>Ox!dfdFBUJq!3A<}05!6ueDA{OQVsg5e-q|slH?1MHN>6BYDz`d zHm^#oyMSD4%dLGvtBY>NQ5sSe8!xIm$9s*7j>;JFOtkWQu+3V^%57f=jT?il{$HYS!2KmQ^#m-x1JypO2nIExB@4?ryzG{s+@U-pWn=jbF@baq24{Q&tmSHahKEQm(5-2EmFeKs{`fkR_&VsirPD7>=xv~yy zPb?cUESaAry_-z3?;kA~Al$mQ=ONvjc`AAI{l(h&*Pv-*3O=8P zfD^I*|PJRXaRn0PpVmbaM)hZ<4fhK~T*^X|bi6B{o*(1Iw?)*kBN!WVin01#Xp4 zpriGI>ng-O?PgE93^^7mIluzoH09ooe;&CR4|I{ro1!2(97q^cMTYWqWgbTkoLCWm&YN?<6Y}F7m^5V}weCb{(*h;us8+xxMeZQV~wZ7k!lDlg@TBr*= ze1DcS(m}&(x^1vd8T2(K>bDyJ$D2oX&@0G}ZIpMfs%Z=nI)`zUHXia+PtvGB@m zL?U#UgtC_MCaL3Zyv;dt2d=LW0%iW7Y}zkQMsFj9_V3Qdo1IGz?O<-z8@WGhUi{T6@X4qRq0z!dX7c8DZGa2!6*nWlseP5luN}hqiHeOEzhtaSyjY zZ&XB@@FfBos=YcFZ@31fe^q;GWyO5pzs9yhH<_62mg}qIMqk=o_>d+6`qU2Y8acdF zlAya(-W`tlZ(lU=O}J3k8dVNMD1Q{Jz)XQ2y?#h+r&a*eLEPvT|_2VrI=Ishr>-GEqCH@OG zm@n&q57i|%GCtw$GX?o9Lh?Zann=cDJ*5EgvfLe4)%IQ9=xmXz?Bz8psd9pC_teb% zj9gpMh6%}*=DmH{-+OnAJpP=FJ(8&S&^&pM^oJx9a@amk)cGTuN|w>kyc4_wuLwmW z5kwu0Bau(^fKNlRj8PAL>&;rfZ{CsnL6`Gd*V$HnzB*CE)k_Pg9;EbQIGLS58HI z!VbHkeKvjWb}FBw79Q0EBKB#kP-jf}4wJb;X7l1V0eATLmsc`UEI6>V7P{ z?lPydms@M`ZGjb3bpk1b`6mCofaZO2NBlMmWM^Jy&li8$#3sR|KB9RShe&M|{1v*) zcl8=K7)beOO91D1vvu2pH7PLja{ zQ5(him#f}fr*i+mvNx^(;BpRx%b5uI+bN$_nsfGoY+JOU(+MB$`Ls97a_*aXjqsC& z-^Jf(fYUJ_fy>w>*$`Oh(Zl(C{{|*e@tjhX+R=A0ef|r))2Y2-#WHfD3VRt^6?o2L z*5Lk8hQ0GVstoLhW;Ta44OH9kd~o|^j`AS&mXaWKjv}BYlOu(;?D$5CzJ|gm9Q>Lg z7|sbRmmc!!6v-x60Xr0%%gOY{VP4$C>p$4b_16oeXm-OF2KnN6NZ3inCL&XWf(DY9 zi>3$!&F}=PWMGyS#n2v}i#--Heb!4C1v1qV`J@gYV}oLUo_)KF6^pq`>mOhZG1 zf(3;I1OEmW6zmS!tFN3YRFjlRO}2C6^k-}Y@*QD{voxO#h0xcX~xpq2t^8K9O2YDG9^j#K_W=(+hq ze;>Z{t73%4?!(+y?`SuwRxfG`VBLMoVEMxu?Z}$_9*QR&+G3mD=iI;%0LR(d4F={L zcIsaj0a8yz_NcAQzLclS(e*>w>7xclSz#z?@7mnAHn&TB08F#l1eFmaS~8JM2}9s) zBnWa=AiY!w!~#_aOhOprh5Ll*(^9kK9vl(ZBH2MY$U@VL`%tDsO%0TFe2}zoMc)f#g zA(%b`sD}aL%OHW8PLw>T43X zLrc!2`1{1!xn3?X{e!D01#44?J2tppAtksPDCIyY21>p{N{{?;UQ~cerKy!A5^J$J z<4_-teJzJNy~*7JNxHBe5z1oRe!oy#;{X84YhbjZ$cfY9CTWB-mToEMjH?YvP#O(!K#79F(F}$H zPHGB>dCb6dwO~lkm!7d2 zSwYZBMdGVH7TJ=W)bAC7jIM?10^WA6P9jcEd3hJh3gPp``7 z?EAgpHH=!Xb+kR^slI) zx#c_WEGjCeol|#!Fe3}D#z=op&e_c8i5r8eFjiudXurY!wYscg%4;-~#&ZjlM!zCr z-L(=>egWklRzPHWrsP$l_S7!$d>H8Q)_%hoT|PH`6Z3GiGP1t z`&rqBWGxeV%&YED5mb7opArMBHPE*_uE#Q5H^j=K@z?_O4+tdjR)N-?J0QlGL|geG z@X~$T$0ZKG5onG#V9ANcI1mkKDrCke95(gH6NHkDb!Cv1$rGg0HLgFdI@{kBWgEND zj1R|Np=q#^g`&?ZKOYT8$jqdxr50s7JHrKL$PC#P^-wGh$98G!&yx$p{s)6P~f zHgOG#ggI_opx6TgR#f%9`g2FGI#-e!oAl5vLsHa%0Ya20c8xWz~EWSeCG`pU87xll=KB&_1>?y|A(of`o@&py1nuyGTFtvy+U};gaDWR zY}!o&FgV7=qPv)T!{r_MTcdYy4Q0zM6De}(WcvSGu@5Y{S)s9Q);$lV?@qfZ;p5tc z3Gc}|n798#iew+iy(!{g$!e3G#k*tsZrv{BwI>T~s}z{D08HXy*;ED$rk?`K5#Uyj zE#0|NShqhu51u)ix6=XH|DTG-MK5LP4hcva_9l{j0$2kl%O(r3hQn#MIbh3az?SPR zc-keTwqA9rON^jABv3q25k$*i%V`~_HIDQhGu<##X)e0$WeJvW;kkQ|8)_9`(%M@D zOM)YXruQ{(uBVyf+S0fFjv1h7w+lq$mrgu>=shbC(PvvtFW>72)NvS+{|Gk{3t+-$ zg`#J^I}MK3nMvl0>ia$73~4QLk?5Sh>LMHjj1AfD(<7wi1GcF_C1xrwRbdQh(GW)YgIdjC z3#o@sqV#IshoCIxaR=3ee4-FJbgPcgTikL#kMw&Yo&OJ0kmLlWfjhvQeZs2R*j<1r#hh3Ib> z1H=y!rrqIJE)jgt#u~@zQkn>0f0j9bZ8@M=*4P{OqnbMY;Q%{DbAXuV+y=`C5u|a7f%`G z+(lTezHUv0S4#RGskKb4Fj;lp*U{Au$CHczDiAd1BtH zL||_>Y5={#A?6Zf8*QNy0P%QrTyi$q3Ulx`dn|)dK>aZfUxujL?GBAlJhIu0nHNVm z(q13VpqYm(SCsv-57rZjRA}&)S`zzq>BBk0i_hsJ^wc|F-?d|7< zmA?0m+-TB~H#yh`*V@|x#TqD4=!f#^YCo4G zMJx;XF07k|etFF?H14_7S|4)5aivd=Xz~L%?Q12G`EmR2_*li)j3r#GrkfoanY}&@ z^+7;ALjC_OZ8&y!FH|bDl>Ma<3DN1Z{e*dS zj#3zg5ln-akSCsH9y)2E*9BId!8}-)(#YQ{Q?K0;LhebTEtpL#7+B^qa-yCff*Sqw z0d=9e5GJ8I_jN@01O4I5+oQGph?hU%d6Dr5LFbw{^_N?6IZ89w#&J*)1M|mXX#SMi z9H&HLLtExdyflE!=9z#?gfAizBht!vGx#-p%LbDON2LOSd>~N#2a3TY`Xof{njX2c zgUO!#v3$0nHCz0N%y%Gta)Qx;2EKm=KcE5BC#MJWIJ1BXNt4$b5};0hA9GZ_y)!YB zP>T*6e(+|eJ!D+CcKztu*-y#oycShxb`Cpbj_}EyK8<@sqRBj~XL~H(J^-^bf`_(n zdQUG6hN%H2Ts;F^u*PywF9OA%JDG3^!A6aKSh)I7G>k(uve6B!J8w*limcgug+95q z3c~roLqGOS<#1uqbjse{+JGmt^!71nYs)11TAY)K9@tTBlcwO4n;msRIXS?PK`K3W z`HLgBv7&{y$h9a^^a6`y;fGKl4OlRgMi4Aw9Sj<-F)As`FsXofjHuf*v9Ns(C8>k` zplcl`T_R!r)N}5s3l?F0&7UFl%*%L}%SMgIC1U94lg3sM5i7^*6y0>Z!3GMTfmLT* ziWdsmWX6bQ>mwM&dl5PO??SIZ5xc{;y_5D56eE``3EXB&Cz}Q+&Y+aCiAEvKc;V2} zG+V}qrYzCWo>+7(Uvu5>c8qkA{8qPv9IqlI3bP^pC!=Roov&i=B5eF>gV<<`)WjHjC!SnQz5C04os1ZJ9iH4T2dv+J=vq(*Key2mD{y_P zQG({N?f3nPS0YCCXpT8qGm;?mnA$1!=;?t&^Dxyn5y*$)_Hgkk=@X{^5dW#_VUT}j zX0UMlvAYpqtdf*Ry0m&@F5O+B`vj;3ad;X~LpvM;e_2_1o!|fcz6%=gFIde*Kn$U|7B?q8_{F@C-Rw1sNSyacH!R07%uXNz|G~0dk%Z!_(ZMmIs<$Nr^HqU!s z^eNP4DSnV@?Zs)szDVr%uDFDJ+@V1l1n#BaZbXy2G2?*x&?DeB%K;1y@_@ks%;Ehz zi3_uE{oE0&k_%h3_2Ul0>+bsaVb27gca*K^-Lq*npE!HHduY9Uc7FN_&*)0=)V662 zPeGMUo~>z$)hsj@u-ue0u$=9phglwOSe|J^!vW1MYB0`wP}YQM%_29tO1T@}@l0eh zQ4$r~QQI{~_5X_R*9eN&x(ZS86!PxZ7}}|ZeP_q^?cNs`>i@=|A{*kKZs*Ff9ZA1; z``7Wzh{0pF!t6t9t`8Jyfo0P}KEX&OZZ7z3pgbPW$0Zqg_TMXXN&Tu>!Iui|3Hc@o z*kxEonNANx3}ou~6~h6mZZEPLokmqR;?EKOB$AMTv~gU1H$iM%~mAU z8`AdqYZTEjN(vq>0a!gW!o%W(tjC!|{ASs*wnsS>_q{5j>v1LUz(5O6|E>FchsLA) z5%u_h&R?UWLN$tH^ILnlq|$Fz8%vxW8r?HGfT9XUdinQW{ot+byt5KT#tiDRVUGUm?lNh1DzU%wr4?BRkC? zTY%NLpGzTsL|WHf>tuN?{;Vg!GPZp zY|r)~H3CNud>IFI6?Ngk{4e)7+Eh&$NoOWy8CYY)osPJRf&pW7QhImkM}cE?Xr_N@ z%I$$47|kS`j$<__aF-Yh)|l!84FFYJ{lU`(7wf&Y>Gu-uk~`jY34t{qV7JCAOyoA&gs7$|^VtGyZ3 z01q7$M65f{N0d$SZU6=#`M>~#$i|Q6%^ci#MI4VEEH)$sc;<2y9mfIe()_2z#-R0?cmFV8v9@UTDQ;b;Z^^uV%_u5rCuDJZF9r^iJs6=bR0*kIvv>L-O|MF3B^^gt9@I$vZPNX zrS4~e0~@^oBI&}!%rO*|sLm~pfM$CDYp6^WIz4{ugLOv{Rs`w-LyPk{jwJ7HokQ>` z`iI$u%3kl_W2jFw`xcwIwcHF!!ayyJpa%W~TEdQ7(qyFyQ6IeBLp^%?(tH$zweerL z=obr3ECaO%s?-plc!v`em{U=HFiWTJLNHb{JU~yEEVw45C{I`(#;O(qwO4c84d}v; zv)=|w)kUUNC(NBf@%HtO0wappKm~X_=v*ucBq2mBTT_Z~*6tkD@WO85`5ID*skS$= zs4q<0^c1p5ccAP02**B^PN26#Q9Fq`bc1R8&$YgO@)}ZWk?g?QASqb-AK#aHT_iRW z=mS%)2dM(xb@Td&ovZFZwrF!Wp-i&LjvdxU0I)*P8|$25lt{H7OVn~s_@FLndlD7W z{juudojD%W{cR%nfByOG9dxMTr$8?r?TldvRRNy5T=z!~mKc%{tu@eXPvL-JRd3ON z20HA$GIakcN!@c(_`)yd-Q&NN@l@R$gNfmmx8t|j_+$W*(W?Sr)rt6(g^nZPrf2isD-ioh>*~a2o%sx> zx~~_r@qxxmF%V&L*+bs$nF+G`4^0Fum&3w+$!BPk?-Y>T;7X*v0QY-UC{lFa-JiFt z&9IWfpXUP?#fusn{anxOD0BFkAtLQyxer;o3<2%8evgP<4hXq%ol{b;Wk7csz|WU4 zz!-yU#(|QD2|R;AI`QTR)G>U}fMCn!>k~jLpJJ&0GoVp{yK8>*P_*soVaJ>9s_&*D zR8MF9lBFB*T7r@Fl<=&pq%pnt*Sp8K$lk+=<;&>^MS#E26&)>a2pyI-%r=@5K_mBzIq{Fr~P`!tH0x@7-ibDzaAtk}` z77?O(1q7+zBf{1mW1|_)P?Gmg6CHCknM=as!3PEZf$Z(=1v4((s`_k|o zwzk5z@}qLPt8#W7XOZxdu-{W1`#!tzX++%9yO*C9&pfQEpj+1KHghJd!+Cv{F`~a0 zh{Gq#1hI5f0%^F)pfsF;=WE9W-x_K&tqHfHJ`fo{lh>cr-Np|o2+Mq(Wbp^xXc00ohpC!%9qH3b-5Qm#iUzK>PuNSYP5eDJrR$BhH%+KpFOmnt)8bo%}_`Zxs%dvH9nlj5WJ0?fI* zSk}N;8d!J*z?)s83?)r^MZ3f-=q|h_85O^;|MVxujU3MKj>4cAUN?w$cQihPMsX<{ zCr~gYwbMOBYO&lRtrtzbnQ?F!Z)mM*c}?>_Qx!W9Nge-F8R%m?3h;66t2>}RjYmH^ zm&jmF=P)y4FcX6@_r9i5J}7E&P-rQIkPo}IzwgfFgbV4pQ|SIX1Jx5ZVlqx3V9dhZHGKTr>%5@xU@6CB zFne?HQQ07mdFL+(k##Bh174;B*t{eK&y*@Y@T!liv&_YaZbml6@9pM@d^f7YVa~*L??ukB2(rMKnhD#kIOl8`_ov3b@{jkTTHTxEbxFxfQp?slTMz>m!Id8~J?z!cn+|zD;A+^%Bf|SjoUeAb! z>m5hWife6E{_yn;yQTH*@_pfs>MG8d`Rj0preOg^#g&iwA9pOrI5@)#kId#!W6Bedc6(0iapGPjeGm+?I; zfW9h8^ba0=C#?H4)N^EZ#4`_K=fN^bmteuHP7aLvbPwwDovpF(VW;_>wo4JKFz|B7M&=oT`+n3aS=)O<1zwd-<5JcQ8Kv9+@roA7-uLGaWH0v) zd8eh$>aJvSA@mNrLRmB{(RlbXf|vO!GGD-vE29DD6}^p-mOO&yg}y>YM*8_>9g(@p zDzpu$d`CXtTHU77OT9i(!yMGJ@phnV%+@?b%*36+|F0WTdz~g4yN}`{ih2q7Cd1-m zflM!2h78f;)Ln%#hZc_;H1wDGM5E{c_|f#8HHlUD50DR7^jBq2zRJLyBmzyJ=~L(- zG9>-;lYs58HuH@9wHoO{UX<$VZuofVm!d$r`9DPSv8Y6)$i8!AVDGd~Jw;M~bMevp z>GyHZJ_EQ+1y*jjOf(4Jp<-Vn*KWbnsWxCu28Jm0g}gxd=$hbn+XQh|L9QJ0?~Y8@ zVpIw*6zJUDX&fP5=039^5Bj7@zd+Xaw(l69-(lEn1N!EbcHYRJX{*BqdE7oueiMKF z1qsSd*T=h{t2VK-v(rw4+nwPS@acRmu^OU`w;D3*m3!AG#koJIV>W>HVTeU|Wm-wC z0q0MV2ob}X)>Ype>OG?Pah9q;(QcxVh+SoreDFa#Tzh#;e+(TKp?k)M?z#<)KBE7_ zZm2NicJyp{2%NU52YSZ|(QEpXy@&lZw=+cNu$LQ-4?LUi*_asG=4a}WQO%Y3^$V`o zCM1#Z>{8X3HnH_z@W)-~W)-@tHW#BR8pDXz_OpeVxtb93oiT$w>}ODo?N@v9K4c2# zy5?@^=9>x}ZM-U6L<{3yn_6E@PtQGE+jF=7&y~sx_-gG4`L$~ZrRycRV=Z;uQlt+< zl9U@3lE8pSL*~8T+CnsCow)YT3fT9}`noh&i3Wu8()`!;HefNCNJwEB%TR1vRy22O zx6QX}jpAdvw4N*XTS;9F?}Z2Sk9)f1TMe(W|2_Vnd&}|ws5;wq;av`TWOsP9_UkVz z#NNqAT0NVUvBK=e_o4%K+AfO;7fpv8Oz8jPAve!av+2;Wv-wM}-P9ajd)tBZNl+W2 z_ZAvmH~$vnIl}WMu&UqtdCe1wsH4JEYz0CGl4Sq?&GnxuDun z?+84Fyat2K%zzU8sH+KmT04X1ji?$;+9~D=cprZ|0+7aReid9V*B36I{fpe%!;(ni~W^v?yO+KCUrFk!l6LHIpm%RsDLZFm%^5S zJo03h%t@aU(HgaBjck|%#Gspr7*3?bR04Hy$(WmjCCDQ z^OwFIm~I?o85wc1Qt40sfH1alCMRC=J61^;(8$T{{HfGZr}#{>^HvVHrmEv#=i)%r-76` z@&hrsSr98YJ%R9`>$cb1$H2HFp#>{P#mq8L4nMw7*#2=}A8AhWEiX2>$QI?>>d&f9 z7f@Q{@!SY!ZX^#*fgTu})}2+53Hj!|8hDU!RqN-UqSF@~_d-vvx+FOS7xASOZ3Mt$ zuHVO`ex#L+lZBV8I)_LfNCFNmV~Jy_J=&Fap=p4SJPsvqY?y8O>8~GXPkG?ZqR#mp zHFMjOFkA4vBygV{&evDq_$PZt;>-v9ewjsbGYDPs+jp&&bFfINgzo0As4&yENSz-> zexy2gQbvJ|;w;NPeyh=3Z@F-a*8IR=7r&4cKU`)`z7ihosE1!#6{bO=1_gROmmj*s zQ~ka0@9q{T#*!UF(o>%o39^naVI$2(RQ-w$K6w{3nzBB=pM11^|5q9<2`3>s8J zS8z5-(4YOeuEPs@G)3p@zY0hS>)lELi7piPswq?h$NJPxqSHG@@{Z+pj^uVG5O8=^ zp*p=(PULp(;J#nDoYq>;t#$y|+54%J}yGV&tc#w5F_}<0tsEZW5v}ibJcdY>@>rZWaU6bOON*6<32dQ|)^am3T8Vydw z@RwsXO_YJ3E9@aMyKrG{-r^9UQAmEFy*sV?7Bgtro|$j00`4Usav0+XHy#wxS1$a! zQXD3iWfju`8kdrq6rrZxH*WOk^0NjEmyTg9=|!Dla4fA#_v~n&n0HqQRwYX&3{xklTJVtC_XR>wN# z+f+Yi>lBmAY3#qkz+GqS2n?h`#DO+LC{7I_Zk`W{4kUsj9m9nv1e}} z=tx_#!w11$eCoNdc3hn-qKG4Zz$OhT4U^266`?`#S}%2HSphiFpR@uqhK=rlEfJ#d zqRo4&FTV#Zhe)o_hR>DD0JcLUzE=_N;KVko$=YnU;(l51g=4O!uW!uW1KPCA%4Oq| z<3AUGU%Dgl%m-OLpE6a-7Y-ck_t?Zk;dNBtiH3*o?*b+z>ID8S1F^eGC4$FIQyc|~ zS5Q>%7IupU_HuZ8F|!dc$Hs4SA}-RE%#Ev%AOn=$JD6w>zrO3yK4MchYPV)>^HfcF zrPbNwnm7!*`38SaF!#n0sGUKR8!)vz9=nJsXgNb8dGZtAmiAc9q26}tDid{{F!Zk3 z*SUDWLCM`a3}Gr9-L|JyDo>)rFFUY&4Iph`A$95t_Na=X-?!YX&dvR02e}6CS{mej zlP7vIWs8d%N%MbS9J^Jg?$^!7a3zEeW>CCKYH!CSg!V>JYCroEmS||hB!mzl|e_(SZr9V}-(E8xz{-}P|Kk%z-(*G6+ zE_aqR2ym@3hkbFqa4TV(f|uj}I^Q}CYSQbvV=t~T9(v4l8g#5ME`=k9BAi{|J9RwM zp)@qwZpGHxt||pmg)h{q{z+QkEq2u=g+O|qts@ws+}xu9BY?%L&-cmL{m2&}Y0?uu zxe0xGhJsm1BY4i!oc5j7JKt^JW9Z`rnB%>1 z0LEkLECdpO@`HFaP8g>}B1uC(RG>k4Nj^JJXT|Von1)j&jMYPQ#s)J+kE4oWHFHi>xg_ zwYvU?=l(SPH1bIZqhu>gmLYJmArR48O0gP4vzbF!Me5%1Xd|44T@^WEa{=-CBf@2( z)W8(~Rjd|L+$}O8bD6EHk*sQZ`*}ZFWMM*aBwu|_S~KHZXK zpz7Ym%T*x%r(fX`2XoF0#=xxAI3!D&kcLO(254lFTavC3AJ9BMYefUWl18fK5h*$y zDok`g)XB(CHlLpu8PQs&s}K@v!=YBWP~OP6v~)CQrssVU5bu)LguXiStX z$fYsO#&vtGbnR`L6eb?}255rI91=+^*3?wIZ+1Z3NM+6#=;%@sws(q`csL797%B!> z=ou|y57PinKJ+?ZU*IZ?vZ8TRI6bYM$z|7DTNK)uII3JBLR>AUj3r#;x-bXT01LFl zW!16;fH0TLTu}kn;Sa6IAmJ|J!ltSVD!wRf6n8uSOqB$^6!)zxRc*m1 z=Llt|idhx;)l-98j19s$>BR#XEd9Cywai(D1RqG%qHWO>=C1~!VvmT8S-3lz16MU; z7?l=G2ZmXugiR;8fl(&xTrOx=+l6&__k$u?h0C!FcM9=TVr^4OyxDQ>2-2m%#=elO z+Paavgv=(KnqXs8RXUJsb`m)O$s6MNJqM}kMX>7>Uky^FAd_%dKt+|R3aM;64IhE3 zSQAURhn2mgT%aUY9>H&zIiT2fVFNuLKhvv`3;C9a&BjD4 z_Y(!b590lp!I(&DER53z;QrEsMA0s>S(ltk-_P5-LnwwwNUAvXM20|Q*}-i;iSR2J zu?pA!Fc-r0Dlm=XI;wZ$OiEtt^PS%;aq=aJ4wRvDWu!>2>D*}RRCi6Q$$9Rc6@k3y zK9g?0w|tJ4CXEbG1w^pxxqhdytP+-8yS|5(Ws_B!XK85?jYpIfoYSwLHWrS*Qa}a4 zOQ66@3n&s?n==;AfBhx~mu78#yUMmKYf+c4Sm+;q<24deG@Tn2Apa1{LO31YApQI7 z*+~;(;$;(g`52o3-V|$U)cdJ2?cxL8y&top$c~g+j<%#0DI6X4gOt3E%+9HDhf0`~$kbE!qoXD7bMC*xk~JWhLg~Yl`bR-`xa>Xgx~~QDIhu8G^|^m?N+;i4@Ih+RtuEz;?EQYmux~ZZ zuIi!42BS+ppMl#vQHpUTQIJCqZ;RbJQ0i_(QdfXpxO%)P)`9HrGjsUJhnr3p3=z+< z2QZH%2Fyyd=9Tq(`VoQ$D);=()sLRN+02P*_z+y7D%N`Q7W}U5O{%5<4bTeUYH1Ut zt9EYZF9|F+8=q@>6;Kdgoy^6jECSaNG%q>i4H_sCH113-}!3tgR6>Rm4CaW1f!Z2qgmMJv?-N`axREe7XgG(WWycn zhpTV25w3xAErY2x+eXVnJJ$@9+wul^QRD>DYCn|OKWHfUPe3Te^Q^TtYiHx;x`MD1 zLV)o1CH@)B^=OFYJDTgpD)49wnR;U>KqM+d^49Fb_QIVNLoUn}hhEL(L$vP6SF?J~ zlLyy2KAyw9ytdGX$LDQR@*OEAu~}BA57b>CaPn|)zY)F%IHfmNa=!z0AN z0c2^d1CM0hFB242wuQ~U*}z61k-3`pbIcDtM8bDc@8^%xqIWn&eXoG1llFjL)0N3M zR~T>}&^W5>2?6bV3wJ6Cez|i>F|RR-7RNJYGF^v2vRosuE!A%970;y)YZxB2_1G|g~ zyZ_pd9RP*NvfL)Dn4R#6Qz>*>UZ%2swqO>D{9nz4I)H3o&ooNqv&G+o|FxlTlgCm&7s5rlz?^S zl$kwr6~ZkWQnjAneU*SU#YoY~e-Nm|u;q=iT`nwTZhU`!3v;5Z&rG3+uh~-iZ0M0o z>MG%0A^PFFszCWN#wGP0ds}?bpQb0PgQVnB#m6I!{OX(cZt+$_!=I}Bo(fFtjqB2r z5C6=2;a+}s%4(Y0NXQ=JKRQNvQX8E*$aZpm`4W3+eRqn=YX8c-b$U6f$wDN^eb@fv ztoS%0O5m^bEn|$(4!)PKBu-xy`~$`c_Z3dHeLL;m799P{hYwlh;EVm>2>6~$=nHV` zkpO0ai(+ApxcE$b2^bwwX7SP%k;Kc~Ye^YM zYx16PrM{FUr3&1z&QIh#xaIZ+HSU?fQZP39i#a$#AbVT zrI!17d@1hVRJsp~_BU$*R**_B>nzn)4E|L5PD+m1Gh`)TeC!L6UR3{bbZGWiD1+vw zmw~=Gm-$V|zB!Ze$NiVl?moXEfR2wH_-_kT(M52}>nz2X8G@KKtv_46?--Rq)6?TT zD<=zp2?^_8@9O^w-+5RZ(EJ1Nnmv0B0Ty1iQdj^&d0-if>Z%^}5->J)AZv3obv&A;Cq}zYy_!%fr+HEBQ<2kgb##`C>eCe5 z$n!iIEaTnw6W3K81sAjBOSxGHQiMBdy^HT;niDUImcLeIJa9MxF9|TL+DHs}$X4{B zzvAoIM!~~8U{6YOpsxZS+f4l(e$2`}vUI^U^^}xw9|ZegTK6eNtIk}i#kvZ_WrZhW|tem{DcNtf&Oyq35YZB?hd;^iQ=n12&Y8(=?`-Wh#f0q8! zjw3r71AUl6-+|3OT4XrcygesgYnq8i5H6iwdga?BGwHm2tEPrLXckUx$k^Pgi%k}0 zF2w~VR^6U*V;T;s<*cq5$-;a?nwfaXPTOjpc=N<~Oq$@}jI54w3^?oOj7`iHz@>vjm!S{yNfDYC=Bdrs~TUhN%I zk)>j+LICxq@&j7G{eJ{29wJ0ecEUKwG^a9vSxC%8qVt@9of*i;tD54O&KF}pS+dSg zcRYIml4`G1TRNNt&io{sR{2Xd5Ogk9FYr(TX_ikcR=H{b$5sAp4nXn%Ov+!QsXV;> z59HXUL;gqDh0V}F0&%N$jfVHG1#JFVoPE)VT_uw`{~p*2%gfpyQpjrUrKgG21Hdr? zo2*&9TL+RtH4`~=*9FpzVXhFKj00L20U4RyT1J2ym|Ab_Q;@vXF_qK9no^0D3=E;ygHuH(c9(U<#Y z^)=yC+j=w!!u>u!3Xt>98}+js8k>c;PpzoxXV?GkCu|gM=T#Y|no6JZa^>9jem+b* z+N#{nf2SMQ<0G)qyX|y@Y^i3Lx;=gQ7HNO1Hy7!&&$-S!kmT%_>r$JD< z9;zu(c{MrcgmdlR(AL@6;vJdSrTv+D*WxW%v%kX%wCS4D?qIZwz~d4r@f|54c0+b@ zxtTh6*?Xa?&-ne#9=0|I88kfB^W%e+t|q-od-mD+ZLK8JnIm#0`ndIVq+LIPkEpEL zGbxmNd)HTgTdw_W1mAOMwWmTb&Gs&h)34JxIH%m=Tyn(3qf``qrX?~!P}*}Ui*%(& zG7Cm#lntTOBA2M%D62rj)@o3Rc#SA=VteLk3pwF4z+9-hw-I3o5vv`z7jMQbPx(cO z33a{rBC)cQMfkO5&sgWQJS(GB!FZuc4fd~>iOvPRey;N0X&;g~X#8)_c#P3fQF0E; ztnM9T?lfYSNh)Z#$snWBV3fzd_9_~=2&VYZXt5cKTqF|6YEk{WLUOLkt6fhkk;G1U zbwVl;w(%!A1EBA9o!w0~a?n(LK@Oibky>WNz!#(1ohN5~%*7>1t@ygkx8%P!*uD>s z=sdgj;j_5C)wez#|4VEBjGpeU#bntMt1~{=_~X$#YJ9x~cd=K*Hcc_?yWw&>cJ z)GsfP)``IVCtRN|Z{!rX1U^p~N84F^UA~KSx zESxOz?fEfE#371)gh19C!a~U?gP&D87ZUv`t)x=bA1xO*&LhZO)Pk#-n~cK-n}&oZ znglSkt7CdJPQ#qBi{-0Mt?D_x*`nRIU?{wcJi=V%A7x#-Nu&0>l^!MhJUSw! z%AUvDW=mz|o>cUs$0(G3TglD5EjO5}BIG+lVY`V#X=J@5Nt-j!SNdHrWHHy(H8X9WmIxSKyy6!E^@D`=pYjVS68dwq9rpDD3gi-;q6p?ZE2YD*hTQp2; zVPyuKw~p#BEI%BYoR53P=YB%G|DuzkIbNRizLm~^bKk_b-!n0{*={?3$7n{eC)WzGVn77~d{1=x-TN5H8;5+s-$b#JONu6Mr%WQqehpH53o(+3phXhR7jvgi$n{jv z?<&wG>8yfvLF4%4mm@uNt|f~ez26&7sN`V;UsW^Fk>7|$qX+4Vn8waai*0^1t|hF` z^yKrARQiLW!sJF3lCSi$1MCb=Ar%{lCS>mS>KLD8+gMx?zP#@FuXFpFwc}9F+JuXy zZ6oTlFNBG;3HE)GWXPT6mR|-RRHv%EvT~hAD=k-j0#MWHg`>YiVk2GqE*dgNFY;h| z)vV3w(n|v`Caco!TK%M5)4Bu*^mG^NW#}@wX6ENlYx^W%(Q0=`($>7>x)|2)cjkn@ zn0zsd+d=t5lUMuud>N0NXj0}WLNG?=AG2h4CoCnvX8VW+CJ>dv&=N_ z)jl?m@ksoh6EbKfGm?^%!ql?K13KbT^z!9u4Sx(V2t<-$xq5>iKZnMX@u>WMkwU^l zL%>1wY4P%l=$+i2RFHrn_pkcVN{1=oY73yaHM7W`(qws(&tKQqpAP}OcdgUM6%|1- z!T&uaJr^17C95YG&Bb-xZ?Wwq+194U54r6Ae$VW@K=p+?eW4z0%eocP*2y`;F|mBL zr*g6yi)KapUrfXlsB;2jIQ)*PBz?v}tv#aYoYD`R1mx%dCmM=NS*zD{6pm!KskO z?(}^cKm{Q`rha?t@tf-(pXfisEJ*5jCAh-!m0Q|8hp_&o!B^RZDjnfzWIYTyB746@ zUL7N4ax^eo{0QjRkYvHQ?(FA~Qw`at)-r9A3O&ocXk?Rmd78*e@o`V=P|KW=Q5Z8K zPCpJZ9vJnbjg`n*5;A%yu#BNc)oR1fir$|vIJiSKw=KR|F#Ond+70Qg`sAc|9D)LX z8t;hsBt9!;h*H0zNAE`u^HQ*nusp>qg3NB`0IZCL zYvI(M-3vy!&}+s}`zz7sag<)X&7wh!D;n5`1&TL$wYNX`!CS-P0tD4>*y@V*>JXI1 zV2fYG*jk62!Y(Dyf5SStmWHI>hiB3qZ$<|aXNuIbqy&3Je6pCi-sZ}4pqjk25dt<3 zmPd^?nqX&r#sMBi*H(RYLEnj9ckL68n>`Sm^Dqr{m9*)VOzhh9U@l;BHObna?)seXMSz z#BNct&Pi3ew4%cOBQSoFl?1eHcN)vVnV^Vi6)5FaBCz8fg}Yw3&D?0tdxYvNwYSym zK^_uh5Svk=azqnmoF7xQiwK@5PqIAG+*%XYFso0**-Gu(rtZe}UaQ^~ok*a|&NAKk z`f5E6KVB}&d-BIgdMP(eS`z?>;%IY(9>eR`;aWr#ib3<9BY5wFB`Ua_i0sCvdM5k;kj~@g0QJO{M_<;oae6o!yfxZPa^iB)`ecRKB?PMV;|l3k@90b} zB_B@ZIq$5L)|RrW&A_bP;^ADhbq6wRrBKQQ#$ z|2zyS^c&FB=*90PN$^r;c2hPYc>i;b2{vHY_{+AKs70}mDu|R%Bf;@)>i%nxYJ8<# zt!UF5+IMefwL*N9{sM>x3$x_!guzumGs_niL|q&$;VkRdj6Bu_J;}=ixR} zJZ9f~#wXY&3!*C!>vj~ZxcAIQDkbaKzO_NVNMi@EHGVN@$kS44 z3vvHjv50G`VDe+7uxlWJU&Tj}khVhDNw02as+p#x3pJ zcew-t<6tgza|ec4a?F>}vrT$Bl=qsw;prv~i*_{!Iz9Q(vY%e)^)Qb!?Mj^d%;UT? z!Lk!vY*u@RmM--3FV?+}U8a|mT@s%ZROsaAMdw-RpPoud?TUkfA9M|=J5fCDi)IF~ zZo?8jie(J1#cJC4QP{2Q4)=-|M1W^T{yx}kb}7ObIK6cpJ`)E0VhpniGeXC03p+BD z!vF5@7B;NB6uqKCq9WL4->ueC$V8s9=WiRxerrOxsMER3!w%6(hv~E};pGH187xMd zq!A9sdhr{-6LqT-CaosR974Oa1iJS{1&UGwnIuHihq zOiWLi%N08;k{L*F;?VhitTtJ8*#L-+GZ*O^Y*f6=cK?B*j zi1_zJ0bacD91#go^T=<@mJP$FAQx8C?|V&+CkFIGM+TKfmp{4|iizt#93=dX^6)yf zSS98m#lM);9DaksiI`g0%4wbgjJeQ~@3{F(JB;kc4;3o|D`sCA+t^PbGI1SJFcK|@ zxegqHF8;hqnZ4q&^PGciz0s6vz~1z$CcvU7wI3MFI(&W|&a7Y2(b9W9l&u~7$;41d z`!KL@FYT3I2w#Se6y&8M<7xAhYu1}@Q;xtKtgSj1IX9SqwEYGrO&0^ZmT0M?6a2HI zscg$6y_m1 z8$dJffR;lOklZ}PZ3D>k8`yekVuv>cjb$dNtF#TY*bMHC%5%r$hPO3ozG5g1Cv z4WBfJEqnv_#^fRLJV2G?pG@DJGQMFiFHESfF64nJwpp%LXiT9aPx#?<54M>aiFFcs zQ_V}n;~mP$OSJK(dV4TlL)#_z8@^gV|- zIn`}SSA*QO0?4Y)*9+&)N?}Na&du1%TMC0tx@@#v5U~XTcAAs1i$4FQl%B}+yar3h zwLD#0+S73(7>{(u5?Pb)W^(dePzehAsAX(gG6K;6I<^DCk@nFwfdnG0P?F~^ z#0?KMgZ-VHxRN!2@FE4AfcGfeaBQT(kQ6aDJkcB`i|m@bJVaFkh~XXZUYr{)Y68(~ z28X}TLl`!I;7Ea~i7P4-$P5^aumj%Ha>IAcVSNJ=keob3Y6B<`DVm(;PRR{_27`fS zu)ZG?SL7xTDWuHA#FdB%q^Sjra0K3Cal^%sywM3rVjcqC09v&N-t%$8ZA>7?-@xG? z@(|b!pnEe|1a#tx#RS5DEQHkX1vgyJ92W6?A|NUcvD*OZM=HR^4OcLM*nR^)7UvfQbXpS_NBN)->?N1zfX)zfa zXwF$sCL9IdVOt1;LUsTed+~OL_|>Am9_-|cnNpp@()Sjy3{q2869_gKT!yp+Ba%Y$ z;_?t=CXhrhSSBqGvEBe8HG|O)POyJ?{!W^$`;HgB-vG)407J>R;Z^3aX**yjJ~zAw z$pZqVQu7erNXiKqipC9BHi2;bPb*LF0Nu2)!hI((S_X4aOz1iBU--dU+Z8cg%14xZ z35ljUJ%lSaqt5>X#e5GIB$~RF7S>y@>>NmE2W);8Je5vQ-c@8T4-hM_IF>F;bQC1g z!6wqlPprvFbi^f^GNLc$qc3)m7N$I%wfdnXRF=3yB`tiDL8hIrCO1f*!LMpxfqY#i zFe_b>=*Ui_laW|clE^-zWVlwzc{Y1-GJAncPiJ$3oE=YRf9zCpp3h#K&l&|eVA{B@(UvpCU_ifGD*zSu3u z*=9hgWhk9}M>7uTAxywv9an7=Q0A#peW{+qhD2iWt`&PZ(n1c>>yir)%h8Dd8Sek( zZW@v9gozuTY6i3UF#$=)L$D#e5E4?tG43)}3632vdCABZRZkjjn;tJi#MDk$#VM(| zW+n@RY^FzAGLm=6k!}I75ll`x6T)6@Ds=k);VpvENoQz^g8q(-v}7mmP9YI)zY)w$ zI^&L{-faYT)9C#GJHpSa>f;<^Rwj?|3^iEDG%-?0fud>-NviCiQu7`fp{ z7r@{EycghxtC_(dU~o9n<-ThG={Z`T--^4zAV{DgU1LrI=z{|gK0R^ef*WCpuJLN+T8q1FJBa|FVXRgs!NK7hfGNJt=k z(hL&kgA-R;CXiSpl981#!MYl&qf(rT)RM=xB`86);`KK61KZE4Ckr&hFsIsVQ~ z`y|qQ-kVe&(rdnb@2FQuKFOPV)AaLO4r(FKT&+7x|*>J3ot%tWqZ&z_tUPXM`Tz4ciVvWPX zoe#*7x~N7`>59h9XW|+^!^->WZyF*buZM0utTd|a@!F90im<@>XCl56C!jSCH*I# zH-`UaE+<%6KSVr`m?oH_C00?c)9JmM!@BQIOcYew{*%;pWr~h@5e50j+S42-W#2|mu{u(xxu`QqfFY&y_Jxl$ujyw|C_}`o&s^v z#@$9qG-j1yodLHAcc%}#oc=!NC84Qr+xzgIaJ*(2+9jXc;?V5B69(Vl;wfjM`5$}A z;zGRrkyjsdHZK5=Mkd{;$pRRtcl?FNzdhUdss>G)%X+ zKV_oebtSaH-Tg5mev#k2K^gjBsJ4=icArePq*pT#!G#$RihKAOwZ!q)gSO^_li^0- zr;$j)lZky%Hl{ROr5OqD1-GbA?@Ei^?iH!P-MyQV&Sr zR*D@BtNU!k14z*9^%BJOQLX7vxX=xJ7iRoxJfiEO(Yab&|_$t*c`ZeycZ{pKzXOEnZ>D{|1N&g?)M1F)tiyca~YlPP8 zguN6M9DpAut#xUC{*%s5e#oWD8 zt3T;@d&BD$xakM2Lx1O8?pn9&3u5(e;)df5c=tipy7DG-*5>m|bMde>KTYa-96Pt$ zB>%%^EkAIr5ZY$rrUa&#@|kx6ZUwVcYs6{Rwn0H3+uki-Os9U8h@%jL5O3A^|ATY=)bTP8yNdIKhT!TJA_*Vpi>;{}hE+a{YLf8B zf4Nv#53KwA7a7@okB$46CvPCEq|f2K3}p(Zf?D^beMEN@dxv9zAA{btYmy7aU+sx| zMS1ETs^m9kY0tfV-DZM4Q2cA2OK0!0H+p1|n6+YLl3&BiQAubEeD1Wo;`wZzOc-i& z)3qdQXbb!kj-_Ycp@txuxH)n5)MfQ>!b`k?{6mB%*^mkO>OJ%<2Ja=C%7wvBtd+_e zVoe@zqSAKO_zU;%d9N5Gk^`FXjqVXdq^Be~N6ulNK3PU`$@{-O7UD*RmM}BTngS!U zSh~56nCwt0JXGk(pc*;q8et{gI)2Ha-t|YbOo4mNQhpgQgkN+r-*u}OSW=j8tc6BP`7z0#22}{^*AI_;k~a%4 z=`+ZY(K6U5=%l10`uJyK6z@--%>iLAVFkjj%c`@Kb9#yr+s%tAG%~PmBYi`FhKJ}P z|E$QNbIEoDlmD1n|DbN2>l)4Gn6P({E~HAzPelGSYhyW&`)jwuwm&xo$r!TD!N-#; z{!PA#eM5A2$e@sVloY%#vA-R9z3<~@l(*DM+ZMXHBip1dC{W7;Uo%K6rz|gxypA%= zA5K(NJ1wbc8qAzu7s@@j_Q*b9;9JzZ4Zig`#2|xBhk#=mf4C(4EyI0G zH`?>8QH;c!P1wwHB$vw~d^-0#vHcYLEJ*htw!ij_*3msir}H_CHZF#2O7<_RuA>7H zhPdAoB;)E3CrqWr(dL?QVA%Fy8+U4e2#fWoUtN}h=aX!lYP9-i96z-~YE2y8%9F=g zqrF5_Y8qZCn8xipjGl4tfEMtFBEkq0$(zl&!R>d48+xLm^>?HS5=Yln`|w|*j*;~==PLg|J-pviNjd0IwN+DL`bXp8p5erEpTYYXao zFUsI?g)^XyxEi_dB6S(2syFzq2l=uFpX%d{-p)>k!R zg{qS#3u`wM`A$ci`>{so%yJqkb430KX_-5gWVuF`*iCzoWhCf}V#4uhb59e87UO5c z2(>xt)yqHNiZ>{R36uhJM%Tq}Hgf-cDA9d9N68R5%e-gQuQOjM_@v0MV|uZRPD|s# zWkvK=lV-`;5JdVCCb>abcX|n z>G;?O7B|O!Qz5TELj$MOSQ!NPv`xUZAUZE;;$=)eXrNo}q7d1J$=XSbPg`N?p)n(9 z=ar{=a^ySBhZ5AxZQqifJ`wUe(dcq!+qcAmc0!ZAIu%kS<&-HUqdhX@cXV}{eHs;? zC3K2ci*O(La{yB=BNP|25jW-qh;8FOFr#3+h`ifiMS9536G;y|}pL@3wAJj>5PaXQoU@u-A; zM?KXMXos#$)m_=sSKi@2os z&$P1|cmLkLzTYRgkz<>SV8wcLk{|vszPh;?QRvKf8ajhMXSdU_5iv;DCFC8<^v)8l?Ox4yPSVxX1E{e| zpbJ7HD-Se{p=tQ%W!!q%!of8VeNDCQ9)6jT%765W?cDPg_l@E4J;`D7H!)7RzRjOl zU-BU9T8Fdmw>Q+k(RTkm<`z<@s`}UjnY=EX5C01xk}y1$CASk*VQ(vA&;8zXcAx87 z=1z(FvT8?PFQPChyhQd;yZ69h63q<&zu3~Bsnf8QTKmIRQKFp`zRjlDG7Z&r0@yIN ze7jZ}$%Cw#JB?BWSId82jU+K$RzY)l2K}x_NpARFz9WI=GVLK>`C}s^)Tcn3q=(*? zb+-RuLdBGTxASi3k1;o8qgj>&L)CA?BtP`)AKg^3=qbD90jCp0 z1?xZIfFHfU>1FaegJXM8cdHMB?nw<*pHtjV#(%24N6g#P#Du|D7}e;oN5wqesVP3J z%VlJ2Xf?4eN;upDP(E-&vajo!!(p~+YMGCp)^GUZSNk^6S)0j%2$P-x@7jXgc**na$;7LKJ9p|(~ame z;Q3$b|5PFo+Y%7xIO&Xq$tixS#3AKMjAh(nAf$Cvf9;ycNE3rKRFpI05UUW~xyM9! zY*z$vcRnI^J>J)*>7-Ucr?B5Qufjil=+SFVw>XWA= zLE+DW0==eypACHwg5RUv9n!!9h7S|*t#SWePIzzN9BKJWcsJ1hYrh_LbmlOXjXxw= z$qik9z1TvgTsS-Xia>+PUVM2}nl-*gx6*#9(x;NMGF3M9^e z)dFS5*A*b|X)9xQ^e5vJVrK6W#}wLvbk*9zs3*T==5D^NW#8&3Sp&5SpE(hO38w4R z2l?MNZ+qTJG|C14*(vGC;S(IIO8k35dmINLOX~}`hS~Enwf#ZUdo0Y!NY2+kkit=n zp5ore68B|;Zx^rVfvkcVF-fI7BVw93+y8)1?PUk$+NFVxa45;j9nsAleDzlX5koGm z*5D zHL=fhbTUomHR#Oqn8Ndz`mPoyk6HUD0&Vl!h-mG5#`y?nu6w>q6ZF?_o_YFg7u6Q+ zz!dG3DM7@`qL5h=2=yA^7dGG-T>Nqh5Rpq66cy;fuT9=R3<~phCmOO{C&af{VT_!om6eh5+F56 z5kzFth`b0guYLBdTyV~q(Qu|;RV{EFc&5H0mySUXS z?Rlp8xyX!+BbWR-Ex~jLJQx2c5VJ=|=s3jkgF-fTcIPhRMa$-XQSBQZxGH3uE z44=8%5ZaXtD#*GdhUqeur~stm8WaKW_y$b?(ok_wNDO|#)($BQ!8lx9Fqs6xgDxvT z3ZbGe`l^)!O0sxa!0C#R7^nw1*jO2&05u_ng)mtv0S@F7fHqnHHwEOE8o&*C^;aHH zkFnaQ3{ixNzJv)fb*Dq~abfJtmOOxxWDpaynWvo;7MAVFot=&Y6J@T)g#|Fz8Cm z8W2bVGgk~KgiaHKyOR(7n4}Z|q;ZF@v&)zPV@ZbqCYoo;mrZep0A?wyjGa+#W+^TJ zY0_a*R+%m!5D!MB9AE}Tj;ZcA5OX%&OR$D=fIf7Z1&pq^pbNN<~ z%y3?SP|~3;(*Zl!T5&-MAQcay$VL!@akJei!Ccwy=u}rlB+PKGvndWRJRZc9?M?w6 zRfcFl^IyW;6(MO*QCt`qnGfUj#B^d=3OfeXW6mSP4t z5yR}6q*9?rFTu&m0qRh85|{#$R3?-V2ZpH(v4t)tgFa;WlfdYiN^}9;@gS`16;8m4 zGDHg+k_7skHTAy{{U4a)L9*E^e1HNH7zLB1I-n#T^d{T=CHVg`2veYg{A<$#nd zIu>wFJV^RaIGds_JcvoE2%0gN$7l0{30$Qp8V$|Bf$b{?v}cv600LjYl$oVi0Xa#B z6!wBF4Th*3{=M2W$(SRRsqn&fkd(! zDZwJj5MAiPOPB?dB@()EAern&3NV2(L=)=41}0a8WJB{`z}%G~Mo>`_m;)1B8-N!N z!ppX12mFU%Cb%YmMG=w?{h|mdgL3`vVfQE(dQCL9NB14oibuV3a8MzoRk8XLajg;- zHYX>Jf0i2-HeRLr43iR8C7}T=t)RHL`Z(jS!NHi=*jQSsI7x8;bS;Z1b{3z4mPb6O z6q~9?b|!RH?0V{c?@>GK{QLRef^H?brzhF|-EdN0&xoR!yz#kaTo~(N90<*XO>58< zu-R+~W^K^Tk1ESfADkXnwWkEIG;4wFm&jqnhs7Y`1;$XUZYG*20;o0VQ>Pb8U~uRn zI~WU;bx19$9Dqr~-W`{oWj&xeK5hRF;M9y^PM6Or6`!8-+l~{=1&TSu5Y;e=t{$Ig z<^;c65{8k05)O&;nYfe3d#%D-0NAe!*x<2KIfx+&Xn>sr*xjrgga=WFys%{E9?BmV zwc`dHG#i6O%%U4mELkkc=f_r=VJ|1#-}4SZpl8kQU|LWj2o<6wN(5(_9J(6cv10?{ zA7+8D0+dAwq}WUT|F!oZ^6^RX7ZD9(cQ)Yks}6u*i5Nx>Dm;8Csu+Mu!zPuOo?SNh z65wz4{*X*m*Ce(Y`la~=m~@HN95bJtd$;2Xo&!Z(qMbUo9lOy5wU~< zOYi9&?iS4!v5N&PHZy^_mjqyJptwUcQOy8C8WyQoHt4@*Rv0I!v;j*i+t{8Iz|pJ$ z#^up5p|H%a)ybwy)xf#08P6EG2rFTdQVyj8ztldyyr58ef+YgSuk6kN-OZR_IfJTbGkSzedtmDP-0@bu zKBq(eN_Uj)Pfz=+cF6ZO%Qd3PhNeB>KLDUpPSFW7zyxUJN(Me`=gN5z z(glc(_h+#QhwLF=u4ACt^Sw!eXBo=*&fHrF zeSP)swxaEVrDba}@D1&3c-DoKMBjUrOFZT9b`dUSjFe7xgP>+O?lr|0ls3iM$y#!z!Jb%eAZU(KvcJP`(_ZBDCB5$@Gko;!0{hCr@1bl#7B zqe~mpA=c)fxqMg?)lOy)TG7}yJ^PB?ltN^Cvo>>8J*^x0ui!@7>?5q!b~Nf5z45pp zOU%19<3fd4o5tft$#U1GXZ7LLA9p|fA?@kbpM${3mD>GkbOCecvtPolSUXtrs;?M5 zIXtWn1*qMt2%bSVeUB}b={7xU6UxBVUF?|!tLi^ZQiTr!N@8{HbAv|S1p>x@QV|}% z(tcgMBq%gI6eHK+kgM){VgIeFNGmhMoBwJB{XF(T>x5KQr|NW9N%rQw@3mmi&wS~? z0)c~#uP+ONP9}=#w>kRo|CVWmAUYy90u?;QDR-l9A59f@kcxK^Jk;-y(^x8d7*PO=!pKU}nXAymK+%hrb% zQ@26iRp&5}_A56?^CU;8#t{OuBX7B@N$IpIG*t(1pDx7R!m&Mdwo9H{e_!b`3T1Q; z9&cZ-`AR<89^`xIL^NP)L7mtjCU5#f3w@{KPT+PlfkZ1bS!AC1C%b2=k#ja9Kg9nu zMHZrnjI9$j1XEpOo8a~BT@~59U8bqqXA{0*KtXwa4nhsfcLR|blSO&jlD*DVt8A73 zCY^$?Xd}C`vurOb>+F_l7HXN+))G@zn{$N~8{IKxebGpd{KBMA#Y7Uz#I)eRLek)@ z7^adQna0ju`;4O_@KgCCG76Qa+&AFp(4!r}Di#=cB({q@w&ps)jL>r8Rp{;HZRv+8mPPD?QM*X66{&if6 zxol6^&RRT+Kz1!VC9dzvdPw3<+(&~B5rj}b!|JRB;^$MPvgdP-OkVQS`T&=Kc+0U! z8sj*ZH&DaG4BNenw@y;b)DUZjVy5r4xHkPS`O;A~!wm8J;4lIye7qOCFuKkxl3)Ro z;EL)5|MY_^i!1CNxRmcVVIs#gu)5Rao&b>0wXLuc-F%1;{cOQ#%`-~y$N3!WZJU`N zoeQE%)ch^_hW-ZDE9Y{LLh9T^pq3=g_ofBXd%dk0*)1=e0z<)5Q%>*Y%T-_AX4Fm} z)0*#XjABC79u+#MQS_fohtxCusp6ZT9*8{BtW2auZpWUtMzYirX*0b{@IIIJ zr*CAAelnj_?OTG<4saKNd6vfJ3fI)~f|@t+o*cs;>AM3KGjZn|eONMoJ(?3#O#Loi zLFp;Ix(D*^$kQ#+El8(V6#t3*T64;p#H=?m$fQOPEO%r@z#Usyes?8Aqm+7;43?=- zB{vr&SIhC^{Gnr;AB~WIX9gxHEqRLv`GWmgxIOa0jXMF;vLdMskUYJ?nptD9fH}EJ zTUZ;NTnfVw`j?o%Uh-$BX!M?ud`j;f!8HcIrcjlyw6aC!N>{tG-N#9Q-LG(lnxnVI zUFSEdix_Vem;M<1UTqNz;;>P8yI( z@E$9o$;A&4yeMCNHNMr~5}zfKlsb%Vp!E??`^@pDdV4`kizy*dP;){2-A}J}r5m&}tVS+zgzW0sUUaV2IPwf*1{j!MqLZ4fP)|0OXE!XsvhQnEVL`n9#9 z3E>x`LwFa)m|(;gxLx#~e#anR@;T<8L0(@4gmJ}2Puky_WLX(pEt}wjOC`8Js*Xb} z^!%3lD<_C7!a8XGwtH!djk!!&n}cRu!TtVpq@diko57woiflti;d!$#*aeojvi}~2Az6R+dtpOXB#xn1 zq=fVm`P+bkJPR2$aeoIOBP$YrvR8NQPoJy`NgfN3QD`T`6Q2Orz;B_W&n$*Y5l@{L z%l03S$Ba&c&l&z*OtIsg#3`uYH}ir!-NB3IUZ(&=o2TeJ^SE`pyKs*}&=hbkai4~! z+@Q}2+L{*QqyYL%DRh6W6nefCdae}OFNLl~=&zVHyJuN7{{}lgq1T#?D_w)HcpIwY zP=)V}AKI|vdCVAfFzFQdBe0y?=Htt&{o47J-jkuPM~P2QqX5HXL_4v1wl;Xglk5j` znz<%rWygu$2VUv**N4@v>1?>kiMBk9*sq}SY2k`Hf4X+f%1_DU+O^Qm>$a3 z!S9x>gD=y{EWVg2<(iaNhuzRGul8H(Lt`q#?sBg&1M?iuduxNBigC0)fmo9Y!+mAS2sRal&y|DED|oD?ILJwGCH3*6h7{N8k>b~b z6xZr;$iz{QAg-wa8kFP(Z7^m7kK6WrN&}#{nDMF zwbW@Zb%J*5W+&*}Z8wi-Ra^{djHK;6AX%blGts&rk!~gComGKG&`E1odTc=|lnm^yf48WW1=?^Q8K23jm9Fa24R$-3)XZh&*>TBK{ z*tPy(^+(G^YkP|(iv1xc=OBp_NjAof!QG$6JXqk#kAltH?&=NaWp`L>Vp0e}uTU$! zl5122=IGM4(y6j1`|9TK$;m&`apmSe86Dr#QB5b~Gv%BrhAU^(DBd>Lje5Hn6*G~a fn`4waSCbId(chb#-X6+1XWk6{P24ZDc>~M=GXtUH delta 801 zcmV++1K#|_3dIVLM*-ZCNGE^IYZFlr-_-hvf~a6m(u*DxBx$1+^$=@<2x@|+s04{p zJQQgfW2zp#`+xXn_=kA(AR_3&gP+j#_j~V6cHU-pO|!9DC(Q19GxM8y^Rc^`4uW6@ zn6$^S*|^J;+8lK20`B*xBL(H!ZU%eW7}AEGA_!(-unR14W&b@4L$ZJD_riv3NE}0N z$O!2r^0xs6c@{Ei;{FamMm8k=WN+@)pFLR-k}MV=qtH%>Cq4l#gWp0&pJ@!0A)Y!b zmi8Zy$Ba&c&pG~GOtIsg#3?A?H}ir!+rf+OUZDU)o2TeJ^SE`pwQ!F@&=hb!ai4^y z+@a43T1|^{G6MQcE_8o67kWMydM+2blnY&q&|fiYx@T!M{{}lgq1S5FD=mjF1RJK~ zP=)WEAKI|vSRXW5Z1jwDK@!bCriN8>~F2CNpnMX?1^~eBhxZbs%y~4|(fQ zYUQm%NrshKd~rj{H7TnOyP;oR?bg@(&QxYS2>2{e$d6xq&grrOCUH&XNSdL>eW;TB zWQvm{FwgP4v({@DF^=jBh&5?sc*&SDf~Jw-bNS%&1|F*^4ssG)NPPULA;qwt&VH4uoiM7oujcd7#a+Sx{}o4g%puL-H) ztszy_njm=j)4oxN`K)SSt&=ei-G6Uldf?6W^oNRLPm_NfN2H9iRhVP{Sw6PD_L?;Z zy4D|5f2>@z+FLYH><@W(4u)|eNyqpxxck$X2Mav;QLua4UA^JG><(K^ObQ|B6>4Qy za*e9M9DUkWI#c%HzWO1G=M#uMbRMW}$OgN{B;ma8{inq;mgWfJi#T?4d f&oRoKt4R>+=~M=e!7+$ diff --git a/1ano/2semestre/lsd/pratica01/part4/db/EqCmpDemo.rtlv_sg_swap.cdb b/1ano/2semestre/lsd/pratica01/part4/db/EqCmpDemo.rtlv_sg_swap.cdb index b4a15e9f7711d6b902dec542e4e65008c4603a6a..694dfbeb2607cfd9216a2635fc89f2246283287d 100644 GIT binary patch delta 203 zcmaFJ@`z=Ed;Mi*1~5oxgwU-}8pKOtf{0Zxo(b~hKjfhhC&c0%z%XaJ_&kP1tEsm}Pu{}R0 z#0fFJUUF_~b*KMt6QTN({qr=B@7~)~zP?W3_f>~QobL==X2qN7$v40KsMzevD8mQ<^uAB; delta 202 zcmaFF@{nbMd;KM51~5otgwU-}8pKOvf{0Zxo(b~hKj5B}S9TWZf6Sck_DKKd#m^cQ+rvM+yUL%kU&_PeplsWT5>qnq&uW%7Nb4bJkvKowLuqw3lFnmtdr70%Q}&NPizq82>*0y&=#1_qVsmMoaOBQenm}#ZbwXV{t8Xj5ZOV&{f=T|KgfWi16wO>6M^RU&Cz?+Kdn}U(+RXvWm{^w$JI`MNKRC0e9@mFK$ zt6Ytj=$Tzdz;r}?P7I$b!#lqy(;5QS&h^zfa@sxWu%Wp=5ffIUj7GfgHk=y0bpyE(kRUBlRS=236= z7QI+R`}U7U@pBf-XIodJ%DK6|uURO;m2T6{!;NEiyTgI)3UhD%aAZ2UrDr4@LWGTZ zcTc{Ge9bKV0Az2CwFjZwZ}3{G&5znw{R%R-Uz}5}XnXMZ7yAoHU^v&l`%@zTfUC1d z4O(yRN7|1}NTmbdrUIFVkT&m<;C#teyK}Dd4V45ZxwioaH0(`{VkEj=s->MVerC zjl1>|c&jmp#q?Xdb8b8G{Bh1x=lAJ%&kunW z@$!@&zTN(G?u}(SgL&AY9mMPlxU<`9UX*S`aiSD>%aak3O0z}x-VS49rcoxTP`N33d`t@s`}HW@Ee8yiUEK`on6rOgcameY5fRf#}JT0<7TBfsi&69N3Q>f+})A*<|A_Lsn&=`VCcG z3BT8(=NxJ4UUi`xmyb}|-19n5UyQk_>;p4z_AUZjg{Q7{z~*%fsptf5&vz%io4#XN z2)}`3kmy(2B&pAhM?$jhT>4iD^chU|S?z?hY1W5H?VXLQ^(i(Jdb5YoZ7Fh=14Im+ z5EgbSRsC_X=2d=RcPb@GEVkQtS+R(h(zImXjm*Y%v+KzniQAa$&}L%~rEHiEJY7An=qY%Jy8{o|vpzB& z+GGVZ9k=G)pF<``?7K%lxRHCG^w8wXMfzxdz=jhVGVQMV_pUvM?zLtYrjRSAxdin< zJS@HpZ(RZA%*vIf!OU#Z!jnUe@0M2uX_K$LPfxAJR`Ybtq}m~-6E|sHrW02#6YlL0 z!!<~B?AB?`T>KVMej;^R9pKeonx|(#%bK{52M+Qy*8zPEr-zC%xyISqqgw)dVe0t8ACt0&#}eIA7(Cc>vk&jM7lvLz_w6sGHG8U4c7=^i-6xm+ z^d3D-F2cVo!e1}KBO76$co>G`J}@=|59&F+{{p*m&(4I)8@!Qy^9V%rXl`EIY**-B zGf+I53O?93-o3tY4$g)9K8C^)AGs+2pa)`qBmG6f&K>|~uJCt0n!oAMee?M1DAfAR zh=}71>4)M`2vCps6jEgU!}qWuvg&E2k71# z-W*T%xH{9;6&{ids@2{DMlM=P+cYZM1UdnlzQ)5{3!+)d1;J2`fs0h^0{94!+YV!S z-TQ&aTCY*Et2pG;r|Km}hh|(--or12&Is zc5tS41*3v(EqZ{uUexiOuLg$AneNydu|Y%wi9o=`YZ9NiPtTV;Uu;XoZ0v}szs)rZ@XT2c`Dk|6(xt)6 z-&4bLGax;xKZj93qz7XX=?J)sTnl{sBaa6Oz02z9@)6r%#*F3!S-G2p{`j_*O$V(8 zbAhg|S{(yCiX;Q?J9QnGqZY5|a6Kws6z4;|Kb z9r}Q2_x=RPL^`COuBj`MBVX7eU2+(C|wrlVk6*kYVtRVac#imLbhheam==9{>8vHS;6Hecd;|b zO_(KDkKJZxcF$QQ9T(`&2*@s=iXLNongx3f{y@q}bh;pcp8ni$O8wS1$=LA14&r#Q zA>RJX`*Z)+2yZIa-i@Kzc^hOx`sOD@1HPI7>AnC>4ta(H_>tY2*4`|aI1HKo^=f0f ztcJqxo2sR+TkKlqyf+~41qzN_OWztKf!2)&eQHMo>d~HSR(yHi-&<{ITsd-8b)gR} zzPfUk=flWIYT%Tt`eU`bT#L4X_VrjkN;5mRaEX;}%ns2LZ}Qi@k}LQ;o5kQ1M(R=M zp4tek57Y{s)LEf{zSF zlcn7(b~N+-7DGzgmb<(|2QT&)>P(>%ciG(L4{QEBki)pAf|8Sv&mc(;Il**m@9hhq z-r2yi^6`uDb*qlpnr`^j=yT6SIOkJ3|&9Eg&MJr&RDMKbB=nAni_-hZpX~)En!16$MRVgjX!=h@qan? z`%${BK`P+cw>45JEzXuMASJw#F0r!-SXKo*%&_5}uo+5k-j6q4hrm=}&7h8zv}@AS z5IY#5$1+R*b_3h$iXrS_ccwoi*8h4c{4fz68KmbeA5XqanYlB&r0BH7w0nyXSg5w@JZ%lQX<@57R&?{$uZJ zRS(#FY~y+8xcm0@M6FYQ9qJLBH~o3lh<*$F*!M(~>Z5e$QKX%IJs~n^2A%zIZ0!jg?>@$_304uNwK&&3!Y02MrjzV~ zY`>Oh)oce^udiI`(zc~G4!o)EA`>1Y9tT84JdWSDN`HYIDi-_*eK zIsc3K+V1Zb^@=dVg`=s5ZSHqVbrCmfEYL{_RGf9Q5v zQXWS88@rnJ7dS_*!CBj{Z=c+~#CWgy;_;X2ug3z|OHg$VtZFB3KjHlQRcUa2CA9Lg zVG>|sp?^V#B@Ee8vMJ=x>L@~kCm#jJCK$%106Z(&nBmN_sngs-x-YP$ z?&GFVF9TSuKhJ9qSYNBdwzP6t=TvKz$YLFQMz`SSL$(LkYdW9i3x2S&xYv`bTA>Li>Gugzm=v(LMBp|4rN+8aI9HTAqb zLGy#|-61!Az-Yl)Hyu~d9P$EBr{AQ9gY>aRb~db8zdJN@vR<`Tb??`c$H}_|y5J&L zSRHJ(G<(Nr?RM#ut8?eMX|qSjkzqFE_5M8$nN>`v=<5Se7W@}$E0^hwrXdgPa!$nI z7$y@vVR-jM@^cF8_sI33f2c#yFDX2?o~=H%_Rw-Cpg$|%S8Kaf&vhogWMjzeGy)uG z%mthD!-IE_d9-7u6zuBbN{8)&Iqkf~`B?t1?^6B?Z-N9J( zewjgfmIaU0hcT60$sSZ!X6Y-~Bm@4ScoA>+P1_>+#`6MUDZ2}u7k z{A_PQsuOVGLzO;K#pxgPWU`6#?H|E!dLbY6GWstVFE@2LHr&<(6{*7qe7clcn}pAr zS~9|>me}B%Ro(ZD9nv>PYvxghZcnTIFAuE%<_}bmx4ZEgs#Nj@!;)ko&5L1gsa7Bv zCQncl6IkCUuUv>Is_YwMDmV3)tRGmUc$R?a^(7N#o|V}3q3F~{ZDgg^T87nDcZTV& zRy$6wY)81hTKa^vKt#6l!QCL+m8T%XM8g{ zAg`galqV>#q?cr#!Oh^a@m>um&^%1%vPI3jo9capV^yh0DY7rtuQ_G#SSrJS#}V6SU@GpP%QS;kRS0h zr(^713@Z8!VE@Ml+p>S~GiMmyAc^SD91L?y<#UzOAg_0^BqGLY@>cGv86ly+wmTK@ zKYwQQICrc-deTf;n6Gv|dr!NjOUMh9&K#EHlV%Q7b#ad=#7f^O$4a_h70+o(^WRsf z8iMYI%6P;#GI_;++h=7c#Kun1!buV9*})U3%w+=fTi8Pncmba%s|cNwrti6 zI)(fS;$3sCQUbfbhcA9=_`DckJ8Msolc<|;z%7#4H*I6_#G=`*r9&Q!B zNnyVAD(Rdt-n9RHX)Ln2EQ#uBp#%id4zv(=qWOZKNHWAWg<&$TdC7d;)veaYEI`LD z%pxnn{yogRfF@Uq)!9^xUTtG*GmCVBuS_B%-|!NY#JHMHKphf6!hxb9*omKJ@zeE~4+S#z48 zo~^E%*p_vyo6G*&$Dt)M!c&|a^E?%4qedrpN}ea_aGry4CoBg0`oRbVBg>I{#nufX zpdU9g_BHgC%5huWIcaJ+>4)(MKtN$VMRKUGv8ZtUmGe%o=qB+};YAe(jWyTzgdEhL zjA%PgvAR;?a7Sgx-Io2>&uxGNaw#WD69MJ-RmeOtVq(-B=3mgvzSpvJyA&wYh=<#R zk$k`|buiO0bSeZ(E3Z92f9Goc^2y5@{^1*CuXlA8wr_l~P&NF~`efvok;XN@N2KF1fV+z9nxno{@6|{=?^z9l#*ELsG!auU?o+mxB3=L+7^`bA7FFCA~Chq0k} zsV@n5VmW;i37;>N6GMdo6AiC?mmVEBqzPg_~2lUOS{zvT_v2p4%l{h2G>um!e#S-s49phizmf7Pc?XwlM2D`?$hOtevY;8xj}? z6-mkYUXbw#-j|MF#j6B~#P3O_NVTcyaJ_c$bP0@-1Kg>x;-x9n0A_2plYPq##lf${ z3W^L&cfa66qB+Iv;o!#rsevEttetag?8h^!2h`!>No{327Viq#$zzrC*T-~1l<>U5 zn)ye?Wmi1lnMuwMKPJ z9v76&fTv%UHt}(}E+K90m5%lwF#l^4`H^i7tboS;sz{uH8=WX8Domb=k**h+SWV;A zWCT>G;03!NjNeA}RidF!p@08e(FY*yG9z*Zt4o6(#zCQz}Hm#e2fv0PIIz4yYw ztkcZACSh|f{cA9I83vP&*N$Gk+#Td521_kf>_qC55o?jL+6hBescALqV>6`8L1T&p z@cfKf4EDtqY1zi0kcg5?X<6r|2Bk8&it$e`FuTHo8c8xN>d3-}nHAQN-Ic>_i%Wnh ze(lFRC7dQ+g(9M%pWi2CP-5YGpG_l(yjFU+HW4n;aB10jKcOuAl}!mHTpSfH-?wvH zNMB7d?)OEWf=st7k?|pNYQ2z}n(djZgZLAqyPQeAGMra2TaO2_yyx{Xzr0WsibnXS zi^X5QJ0yUxD?~vVMpHw3xa#lp)P(`RZ|Z6fkA+_^BgtXu1QBw4-f&};L^Caab|{lS zUmr`Roun~piJgy8PZmM=l;6abJoWmsC}vfyj5n6f@$~(tXC(>6$%Sao_%sLtN6Bqs z-PQW)WxrM@!&-=Wi`aX4m^66IN8&(P8;PVEI#HOV4j-`$2;QgGh+%9{K12diJ?~^Y10%B_{CXdFm6~^`Jfj?7W%|ANewsPh6Og|R9mW+m++C~RrsJ+ zOWAmoXB%Iu>R4qH9_Gn94HUIz?x*e~^6F#{{P>E+TtTk*zd?^Dh}jA$Q;K+H944Aq zghuHo`mydNt|-?t>;r2NzZ=m@Yy@&O<0ZMdhEHXG;^r9x6F)h5KUO~th}Fg!B#x3{ z=bknlB>iOG^!2J|I?f(I*T99CmQ)o@&Y9wd@J}>JSVzrG?P6w*V`uAx z@=b?#C%!fCz)z|udYpl~>=pS`aSRd_PzKV=%i==%i(nNo1wdh2l+Ej3H8I^)k^}00 zw7#a!I~+_)EYD4mLD|M~D4{5MM;i1cv?0k_x#_hr(mD*mG^ItL=!17si$v_|YIFY^ z<36oaQAyCHJ5$@`*sD`b@&a}T|Km3%Qs~Dv*G`>QeokD#=w*~#~g*fP>Pu!=4 zxUaNX=*e3;HPt7&>^=2tln76CU)jQ}P^7EC4#_9^{(Nq#Gg({SlD(YI_J2R1giiHm8D#xZq))j!aQ4b1 zV`kG2raKFCQo5&%tZimL@%9d%pvfIf{}1A0W7)}RA`{UNzFrPfT*wbCp-ViBU~Iw9 zG2KXPokW-wMU#X&@_&0aS3|YZvF{S?zJjwH`!${r%{p^eml0eDn5C^n)>DaIP^%ES zvzMc(3SEl5b=mWw?|MfWD0FG=rzKw(v&w<`nE~x@H*uUD4O0v|CeEa<-m-)ff?ryy zIrp(7#9vx-6_vzT;6j>MGq0(chbd%mL#{vfGXM5LAK3bc(i?}R;@^`?KO9bu182LB zolS>2aEWUIhlA`z>(bFIi}^FLB>=RK*qZ8A&Sfu2I+3N6{H)(7L~x#>bc`$#%9%yBU{*e7>TXWgreOoB0xu7y z{afUupy4pSzen%fp_U|>i|$u@N#~EeHQf}4hg2)yO@9X-#K>s>9b^(w2zeF0ZNqE9 z^%^Qf%ecHDKdAl%x<`z1m~aFRDA3u2Ni^!@k;^ z`{X~u2U@`T$5XtZiq?@+)8EyFoCo==eU;@1=+icIUIjbzexte+3q;|RgpYfyZ7BRu zPB2Q%Q{!J8=ht>Nqnno&A|_ z{iw~drh~Bb-#<%qr5X+7l#`mrMb#rb?rvWQnF7-7diqGM#FZ%(CXQCfSr0#u$h`n- zs(hTz^OJna62!uK0P%NN#zU6FQ(oh8-y{e-Bl|{R4nfYb;F5tOLMRM^mD=GBg^qdaSr^yXsQJKmFx%0 zSsuqDHKV?q<~^IjU=+aIaqnr&6+XzK!%V{ z4mk`WbX2zdAFsd3d7fi^F{YFC!GlvsyM#jYd+N$O`Pbc%wp)nVI9R7YUp9{>C-$?y zk=-m%7_4keMAfCR^5Pt@)6@wxLBhd{n^0FSCL^jTL@|%w@1{!A&^GIMQ%Q*jY2;A_%;EBc_LVjGkwP80eEGpir%c9Fp|C^(u?CB(zqrnxM3itHw8L?)(+U`1=z zN5s994~}>cLwf#A2Tv_EBOE*Hx!UW@r?fP8oFcuiXRPF+e@?EDfZr>;{I^{B2L8$m;Ae1jfLh}fR+=2vypjy;K5c}uTnkei8A~Ps=BFH*a_PQ4=EMHvhLPDg z;(#w<5Z(rCefKOH@J2*lovtmm|C<;CAS5hLbi(S(yv)9&`9q->Zki83& z6GI=lV7$hhYH%Dan=nSSV>vm2NGdLsTZ-XuV`IkhWyj31J-Vg`)vN?ijkbf{q7*@wr!6%bcLTbT29P^K@C+BMX#`gjkQ_A^<@npJF=}7C+UgpEu zINN&_Yd6tLP#=^RD=aO>hVOv3{*`%s;s~YE7K4~RJwC;9o$8;U5`R?3${WR0nXsz=nNYhBjj8>^m^T{!1iBmf4|xr6P$Y;Q>cDrJHw* z34Puq;R;UVNu5?-A5W7&RbM|FxNk1QM)>lSu|a+s1IM`!gxrW}+i%UPHfGgqVw6$# zLpFt>fwqx||7Ar{A_~XabtQlj$6iRp%F(C8=4h*8_=raD+pk8R@^BdS(}ukq*a#+e zf&fsq=pF+XJ{(wyR0>78ig8#w^K z-EAZ1xzfapmjS|XQvM)+L~{7mdA`@f{DCPZ0?Kv(mf5Q`;EM zZTgIenHckbFo<+D*n1agtfa_y>13>i$ld84to_Jk>4I#E$Q0>8Y!oO>7wK7SR*0`}*f@b> zt2H>geupw8)=w)AeFRLH`R-beQH4qeDvv|AU+VNU$#fub!yVI7HlzdYim}CyXjZf# zjv7jI4_L&g zUt7;gDN7#WQB~K&w(t~ySUl&x(LTEbt#|!uIYO5BX*;uQ?E1vkE>^%9JPp+jSLu7Y z5V0(M>4S1#uFRu`&NmJJikbZj8o4qmhrYL$mTcch*3i=sNvZlURD#-_R7twI8UxLXF=A{bwbAony)_- zrEiy?zWI^*8OA0P9#N2zv_p2KnP+1M?uNb3BMFJv%EK1!05)XBnPL~9H*WOpP&Twa zdt$Ha`a8}w@U$w%oLnV#gRfS6g}bQfGg}s}-z<)ARzS!Amx7gP>MYlU+5w9rD`ma~;Em+7 z!>%g#hM#yAG`%ZPJ=^k`=1P;=3EMr6%rTtHrI-Qc2 z|A_wK`F~DwCUZ9KxsR^e{ljzeRz%|bkD6T zo^OpM`?35#w{Ldn{_3{QN2FT#l|8`-dmlD%0L};^)9O%8;QLnk28^Y0+>PLdrN~$m z!tpeKIPS!l6Y$;x)?pKfH`4^^HxN~a5GkdsYpgVC z`T{Hh>y>VPn*;G((tiB*mBmxW`=4(*^oib`D9*4$ z5wj2DeL5VAx@QZ?xL=W0bDkM!yWG0G|9=c~tIy!fKLHbnukaBKetHyV_`*kd04@jm zphu>+I#20$?8k5sQ%slSzbWQu*dzfWCH4uW1CS%X1TDjO_Yc1!#1kNEJ08zwNF&%F-j&(3=`#7>=xhF*cScH zn6d7&<7fY86~A58C2S0$Gmo_{ckNd|UOiekb_nrcBX}17KluWG^{e@xexbqNBYj^9 zTP_|eUo$vnU4x-)vj7x!z0I~o_DnkNpQAM){YUmt%9+{!LTNvi++W6>hBEI8g9~TH zD}ar`4=_7_`gk@+)`qPy?X0M=zvKUlqMS<@KY0{h2vxN|^7Z&V(N}9+E1f@DzZAve zuzf!s`d~&~2ny@X$Cjop#XV#DZ|73EiiU{gSeitbsodu0j~5@N&EyRTvr7y(UF8Pp zl1e%IDciHKGh9kFXFCm`r62VPomjAzabZ*DI)vBHM}MxR`|*54&PgZaeGagRuub_} z9|tq$eKFFDb@HP5S2lnqvZN(4_gnXlh>=x16wbftIV`7v%i``i(?<4)n6Ky36sSPng9);lxh%YV3|0p36b&SvyB3?t{!A zFL#}PbEFfYLNhBSf7w7rr$zD1bh1mKb3|m_w^ChE6>wYk%rNccBAdFPmpapaHZ|RR z={WLPm=~79G*(`2UXQA=fdloFY3vY`h*_)> z3uVESWA<&vat`HS)%O-(fObGRL0M@uE*)a{YB^6Z-tc0pu`ch5_QVs*@+R#W3~l&Y zeq`yRz_31@D03|F-+fX}R@J1$8ONiPKdO08(?9U>APb}q^GTtNGxbHMWAjS`nuLNa zm?p7dCB#)$kT9DvF8}DreGKJ~oxWmx`vGakS~~;8yq|8B6EGu$e12*fS6PS;oJp%* zc@rC9J%Lj=3q<|WBGE$6dNHt|Li**s|ULA8=E zN~SSV^?d~xd1RINjX@>K2Zll5mRW~m_D$O^dMxrH4SlP1gUvW8H}5!-y~ig<2uuC? zZ%Ay(Z-l8Bd}Z59L5-@GazX*nS;WxFMnnl0R_CRhCi*0^gk!PN!U{E}sjZfa$YIx2 z?6^MqBGu!k5jgX4J4PbANOvzUQhyeai)aSDlabBNs(gmuUBc9J2%tz^3!>ZkHUFt4yquW@Fc$Qzw2w?w=tRTw_^%M+#g4fo{QKnC;;1nh&gfME z=uK2JbNDztGr*1S$hylhLG3wQf?iIhUhm63=-?@Q``f0gl8l>vf09ijIa*!gdwRYmp^N}opS=0;!A@QYnUd|5moppH1NqUE9IxbjpC z&&<=>yMfSW{@3u&T&mKtGCv&=e$3&9v0MntjfxKH>^oK?#8@~KAx+x_v4q~P)g2_1| zdad{WdJUb$MHS{g+c#+)VRr~4-^zRn^L=( zSikc+&HZgLoQ85n`kehBRX4R@kA0D?@{&hPP^`(z!Q0Gh=WRw5aPl-?oA-m(zE)xx z^;IzzG5cGQF$;V$M(lCg`nGX+;|}%Igq4FV3dU721y%>=Jer)_jDfZZU-8_#WW84q zC;ZeS15ICF!hH`p3SK(x($W(Lf;4H+tn4l2fdUaHO~QG`CyN*1&&Jx}#)KP;E$%qR zLN}uye2}sbUt>xG8Oo-JLEVT3M=)ayP-1Es@#;v2+Z@Y0eVk*Oskf2E96TjI{XLQS z1~bK}yWrhCWbf$agX->+BEbWNbo)asJ>Cy8GUW33WHwL7X+0%Az_7=~3iH1nMWf+$ zkc}%%={K+v*rb0+n2GRfIQc(xvL?WR#gT+hkEY0hI`plsB8%og1a!7}lQH>QI|6Zp z6I7IpwutK@^t0k&a-?DIK0Vc97??z37P-E6v4PXHlnFxNMHap#gh$NTCpm)Q2=e9? z>{&5Gmn}9nk(Kii{K=d2Q*93f0unkd(1al>ctkteNLp@e^4+<PO^n|!y1YZAg#;iHrGkZdXxS^EVqbV>1C`TN_S`pEvV|6zFVSiv*CNRw9%M? z2Nk1O3OB`mye2or{3>(rCSK2#=c(zj;p*^wGaGU`dH!M-Np$WVD?u=Ox^%r}VS%|c zj^VVBr)@pEN3xy|UaE)ulUzX9&HVCZY;25;y47BMvJw;NjQ_V8KN2ElLkFwRyT#!sa#pdk@6eft za~)UyT(t3OqqSv(@2PHib|TJxw9<>Rz-b)kfAP77+vCj}OD4P=bk;<5 zRiAxnLNBsYtoqMkbTxeF+QcyUx#r#>F8Grrd8x}noMPp#D=c1oCHUB^pAl2hPIdWG zkqo=_Oow*~mAP{TOec++ZynKosIbdTJ*D=k+p|{IaoTVGwhw-T-7H@olO7_*!iwPg zI$;GFO$EXWXz3S^(f1S%A9=XMF22?7QlCY)b3jfnZ1vK+#kedzGtzy^3igIxX(?fA=ik)9O)bu>T4{;JrA-V>oinK<%PT&Ec_h!21%;|7Km}P=oBv_Av$%wj&)pQ7{eNdIlSzP}*fll$VtelJ+ zpx5T5MC{uHPUFQMA@GeT%5q%zJ6lcJhkwtwvVP!?y)=DH~Mfly7U{pevU zVX0svk1A0d&HZ$(8SR3wbo03)a!s)Vgh%L zyG+P7pra5Y)Z~+^nVL8G*Q)e@CWgHhCj>LY?6Lx;JIHE+rniGmw2Xe|BZ8LuvR9I+ z)610bw8g1*G=W2rY;h6CG98)?fwH=IzyT6C)=KfUEv2@**XOYgk>`y zD6oB>Q#)#Q$uCL4k!v(8tnZWf&!PWWU5*z(B8MrJ4|rAl^E#wl+^@f!{>nN*h@cSR zAbEztC|AWaP6+BHNBZaH_nYPX-^+K=0WtLh2s1UGF&A@Vx58hx2iA1 zIK^~T(@>J0F|b#A%2|04zC`aLI;qrFU0i<5qoV$oC`6vbsd^B-!HeuHcT^NDCp+Fp zdtrl^6)zBIUaI%10iRIW>CvhJ%yE&@D9mxkG9NG{MJ(&SO`&elBsAno#LaYkd?Jlu zuRe4eh9)i_utLx^eGFt{7O>q>T>18xBCj~nNK-C`_>fpC1gCY>Rbx?DS_id0YifGQ z7XwGfa}9Q;A+IXEuDzCVmDY0XSw*Ue|R=MbfV8o!(5q7f!9sK+hYHz zSLMo^K5##$ZPNN`7hOO0p!D!$N8?q+T6Hy+%^CsQ`=z+Jc^yD960s|u{xO?hdmnuV zvl7z*wBH%E`NN}dPk|tx9`!W?ROfZOd-vf_L6|su%2#Gk~MnXFudW)s7j1m4@ zDpp6q;o>@S8zn*cQdBTr$`P-KnHH2aA3ruD-ojiY=o0n8^|xeNpf#=G4-(1%u>l75 zd$(%r@jT7Pr%phI%SzXe9dryU{7l`=k0~NTMdV4uiei+4Pp1s?#}$9OHODczkraLh zDYGA+Fx+EA?~F5r_isiY4Lx_2kq<}y8sgcTB-pm8$_)~i-hWe*bZ!`3lQo6NFGHt;Zwr7I7PP zD2ZKPG4^#RxhD$w|8nc49LFByeHxpHyq_^Yje>?L-64N@q?(`OK!j()Cx>@+`Ap6W z)1W0U&Xr@{Bo<%yO`Q>zl0%sV3s^$t+S)!$gcdNRNAshX6?}yk5Bi9fneBj6@k!;T zOVQe*#rx7_-6Rp^IuP5iGX6uj1{Hl=AAi5FTI|OEimq^2PN-=DW9id|?CgWI0`&{YM=oh3ais)~x zf5P<;waYWZY(1nmTdI{5(U5|^OqXH@8C!JB;gT}1zE)p{;L;)ohIT?4_bSe=~;n?Gq#Jz}`d|qiw50*FJAD|@s8MxbXn?N2B zN_Zfpoq33agG!uY%Wc&{-nY*r%hb)5MI>ZFB@kL3YdFv)sf+mJPY|45>BEIQGh#Ii z8q~18KhdKTKOJDW6I_@6A?zT3;PIR7NVA7DR)c`z0CSu~>D6yGCmQmXvg}vC9F|sP zM{={Qa3M?&C9Qo&9X+rV zrbn({`Y^#>|IFv;=dn0apF_=Anbt}xQUS5=S|5$64mcr0Hl*_Ws-kha8m1?P(^jrY zlGk*Vnrm!nM~pb}z-cF`P+|}q3DuiT3M{Cs=kAs(*Hl3KObF(9Yj!L8%*ogHH2XR<6~gwU=Wb7Q_k#eACxd+8=Mz~c z3`HW5TH=pM0Lt^Liarj#n+orh*$~c^vJpM&d+w-H#>uO5?t8SAvS+FPHT_xrrXuEz zdmsWlo0!>4KM$ZMR@9Sr>7<^)+ZC~e8}64L75GwR-&@a!0L01vHwJZw4ow8s?S{H$fpk>j-(z?h zp?dAY`%SB2=hcRy1P8iUO0#7LA*)j%l{WL^r@r73q7bM@|wuXn(P^2MJ*=D^Jl64XtFJ^!M=u+I^vh~Ci zaSOW^GdO`s-f8ToaU8HiiF;vS z%}Vb!pRhyPB!{& zF{HKNTr2ZzjOyT2=9lX6Z}xf@VF}s$^WCXsCYK3hC3JWa8s35Kwi4G+-MDXUfCs`z zVw?aX%)kPdq1}p0;74j!JeeW?!)zG}wrq~JC3AhO?Gcsm$NVX}&B>xWoJGX?3C9;3 zi+Z+f1bD9n=KMfwB(L(ih&;dWNNaX&!s@6mn{@ELRWJ%%cnZf-+F8Og=krKx;QhOL zb*q(zJtY&W@9G|Xu_J7F**ej%v^M1>rCGXLQHTK*)U$037cvY+_y->U_e#xDA1qa7bMR$AuM3U*??^ zMWYt=l+x2{gH=K}b0n`SVjOSaia~IAgsl(W-iFmF5f=5On4L+R{fibFt#Tlq%YVCp2WW|lFl?UgQ8na-04A0vF*kq;86&B zO77E~5^pq~1|AUx7d3vo&w*V@4&Zew>w`HBsjeyz5bMc)_iuYY2aZO{_}Z0$-*hC< z8{*V(Q|vhVg!w>sKcLcW8P{3U13|GV=Xva_3QV~W(hS_Dqkh9U{%6 zP}ARnXLLxPQBZ?b|K}arWDqik@!QdR1Iq6~qFY+r~(DTQrA?~E;xwkdy zsPaP!_nJ5F!HAtp#!a78q<^tVuX0G+dLzc}Di?!{EcdfOT{=>RRhTo~Q5;cvy6<6H zXXCguhS}&)1xJ+d+@ZmJjFi%ul%$Zg)2)@*F=F3Guv{JWrl%_LA)}{%RU$>!O#i85 z=45eqrs@=f!>Kd;13*EL@8;cr4bMTDJ7TgSV}siyGvl< zK}xz?V5y}frKF{srAwtlN??~-^0)8L_xm~L^E;oCgDp*(Sweuok+dj?bN3e-a#!n7BGGc#! zp~q@7Fl?t&#+7Mt1GPI?h+acixrS1Z%4WdzJKQ#&Gp&V9bBQw3h)-pv@n;5q*`0#t z8Fkt_f%2RE|7Vg}(~1rOnt!6Q`(`4Z`JR<;V_=?#=*`r>9QkQcN+jXSr^#ljDHW51 zFL(L=R2v2U<@d1bjQ-3?zxJOPzW+nU*NIKckUTaLe<>XP)>oPxIvqK2aG=^&mdBfp z@F)dMF5Q{4{Qo6o-`{v)^Z$2_>cMbRjgaa=iL73}Z$O8Zm|8Aa%a?urelAgS@lh4? z0&GJ|q*FFwU(7T=LNs^q{}sXH|DkTF_stvmjET)U{daLoS0v&UXfBE@=b=TJmguD5 zq)P4}TB;Z@N0K=e48_Z+9(O)GFB>#%yQdn2UUY<~`L6th8ECHmm)QgNK#*xHPGiPX z@*mm(R2x#ODW$56z}ICJ45?lB0*cgpu&Z2gmoKUJAVL?O#f#s2p|v&qA#2`Txg#oCO4@V>a--mL9FyVmdtDW+s<& z|KA_a9mK)>qep>O8`wd(=HD^)eT|1{?;EQBRK1Ug^QD>ee+tw+^FPx3Z@!c|H8OyX zr}k&=@+cAEbqP}FbN<19i=feJN*O*8TS#!uW73SJUvsL%Sep?f@8;4A$Mzb4Pm1sv z2P!7(s}WI^96j|4eBQ6CJ9hJ^8xqZ+FIAVE4-n`(ls_L>OOxpD&di#}(o*mYuK9Pb zIQ{&mr96Jrve)CA`_$>ip&%fZAJ8@6L?)OwT5``b-l_*hUB6I~^`)j=h{`Y~yrJcA&;C%NpC{)v-U61&K$99p{^^m?3w*I{K`_-Oj`#ZL& z6<_C*?6tTmy)a$unBBzujR)QCc*Srl2V@*|M)1e8)8OUBT(?B0B}7-=n;!A1ZMN?Y7xrn1Ls;x0dd9-QKda z#nJ$_96QLPEp>Wu1AUyPa0I3HmxzjJ z!`IqAOE2uXRjo6c+x^d5eBlqCHfo8%I*t;zNR5zmVSY57)myd&1Z2UaT82A8pYOeA z7@_tzykrGGVXwyg3Mn#VVTLxycFYWEXF18E`IWXb74vK$eF+&~>tjifFxhIHk&QJY7>Q#x7-* zjWZf0;_VExa?gx-o$0lo|A6>hIa3<1Urx`X_|vz=n)y6yhWnKq2x^+j7RLV>ON zpsj;hYiHw(!y1u}oC=i@vG&yW+-{VG5ggI;psUM*SE?*t=YmNt`T=hR%`PZ@Fa_81 z4ssiM58`#*m8LQN!7EDULPEbflbvKYE&Oibo}9oz25#&k>wF#*;jw=9dyByWiNnjj z3d|MOAS!p4tgr6~4)}J?>{ux41%W9yw;YL0GA0$-XuQs`0b?0?cGbso*IMcmQ&?O= z6e4gAE~L=!oyP(L5KvF4b?w~Ff}rziie{@$YW7=gKTgTrt1T7-r3`6Y(uSVpCyLS~ zo&wNFyI2%Csh6;X$|S9#Um4ZZDdT{Y$$*%h_Q+UhQH$ajXTVMn7t%hf9vu>{3WfVn zF^LQ8;QIwh@xMG@X!v8hi?*WM!xH@-P6M%4b?eJhJNqohLgMY{FcPM5a8}dla=x`o zjMyj36;`iv^N^jPNY7D-Or;AP{pF~sw>#onY$y`Ln`eefHyi^waW-4#uiH8qq&sn_ zLA&V&Yy)e=s*-woHzvh^X3k%&;MZ6g9##2Cuw~=0>gw4AIS#F{GH%n*f?7^idmrK) z*74A%+f@cu=STS_pwnBEXT5`&c+8*yh=ekl?@z8-Ux@Lea>L6HNlmB=Pu((( zrfyj_4)gMOvo?{=Qra0{{kGr2GUAMJzg1EMytP)`KUCs;pPlNFUSZgYDPjf>ENfpz zTBqk66c33D8vwXLWLm_=W^3HCPF@J1E&>t;NxJvMVTiM4l1GDygg)fGWJ=m>+dDKP z0*_%+(Wzan_qxT)+Vi^gEZH?F?^MOpyTsE{u!>#{&Jd8h&p?xkc?eLQHC(3QlAQta3v}I z&Fa?4@Y;H;sPMy5Lm8Q7WMqBsfBofMOr6yuU^w4RrLw@mpr!K{s!lPM>z1=8WDgGgWo zad~$B^iz;R?y!e)C<1XiBHzd~2 zcB?tjjABYZpglhd3QQZsd@$nniNBQ#fqP<(_lLYPb#5GEdcEB|yR252)oT5ZwF=Mv zpQZU<5xF|+9XyZ`E(lTlQ$iMfcM5BM06d}9_6r>6Py_!$6QZl%2JZWEmWcBgm)i0~ zr&pmjwbEQByA{%-)kPYhcXl`5)-w5oBSc0RMRYeId>GG z&{+0u&68d{v**2fR?|UYBPuLQ;ox#=vOyJM!OV)RFkX?~S*%viOzHpEU6nNV{bGH} z#bNZhqw8SiDj?U`mP@-JLf5jwE9&~@ivW1H#w!=`FNUrdxrJ))y`l_#=|)7s0i_6v zDfY|D7gjnEmQV`y|50rp;5bV`y5r9aZA!Vif>d^-EN_>N;e!T#6E}`7!(~lfMkhay z4oyfn|00S@M==nit|*ZXbrMY}LPuW8D|)}ptaqW(z{L02+Wqer9h#hmHhGdxCdHbe zul%VLT+D^o#O1f>PSR3NO$y8L`sj-cP_0@D1hKqr*O^RQCzQvn)EQIoNN3|HCkBY= zJ>-g3qU=kKl%{BH$0VY)=*e>|vvKN0WyhXej~eFqo_QBpgUDe&kl)SA=@kUHWNK)h zL1IzZ6b0YD9fU-dSa*`Z^xHhQvSx6cULUI{nriF3mh*h;Y#0vP*!3TvO>yMG3=bQ) z)mVdwL>SZ2j^7pfG>8}PeF5(~aRWahsuDT6h!Dms%Iico*H?-Yq0=jtPb(f+w)~)W za;p61>C$~-`8<_zt4xI*Jl(rT|FZ>ynX}VTcBvMs3aLG937`lrB(btN-ykaHHmzE* zz|Y`s0?2Ya*gg#Xcg~xNF0oNL3WBa<=LQu(3p2KrAkcKg0lzLiJ%DQW(17csa*4*; zTtMFEBCZ3lS-*kSmwutf(`m_k8Bl|bWus@uPzJZ?8}gF>hTI!!=8pMw+tWrLA9);q zloUyyT=qtz1qFT{gjHpS$)ppronaE&44>|m_iC)J6_@sIV8oKyNa)~<481M1XJO8K zlB_k>&;+_MQ3E)V2^hD1!)x@6Zu|BXqm?6?bce8ksrNrq{wnV;WNu12Mak@2R>CxX zc$|x6Sxp2f7ULmC^c|~HB7?eZ*`~M;VOP!>#~&v}(;EcWW>kMAC@{P9&n6)X@ z9=xF=wjq9xHV%^Bz}2zT)9oH4>dXx~ z`dMP;oOHyEjy<=0)M@k8k?8OWS-dJ6bwRoN44RHgC^NA>KE5iWM)nwM|LBTcQuuhK zCB+P=9I-2RG|HEsFIXQ9B1|KE)HUA>V3szFz5X9eY0R}H_1Z8>W5Cum;}6W;^(>!^ zNx3E16Y;xN$(tpkZFh zlS$qO%Hn~&9C%$OT*y56#vs$w%i#vFdxPmhN^-2`fgy#KtNZJpORkF36M#glm4 z1tOa66a6{RC%}a~vh#6seva;`VS^Z6R**gF6UsE#&PgJlKc}p-VNu}O(B3rwrtJ$& z9@zJM#!Ciqp;WB{UY1xIA@K$>bVG9xA?h^-j$UlVEvduDnRtFSh@67sI ztU)v|A;h`+vk!`)mtf%^twD6?5W%QWn7i>EP(9gu)fdBSmT>L0e9xs^@V?RU1m&UQ zuzdeYx!jX{HuGDd*33H)5_%15FjKf1;)i*t^V;-g`{rv-^4q2Io&vuGOl~SI(U5}{ z`lRSQzwtp@{O?Thd}zXII>!jA4{S3!VH!f(9HYLMSRd}1BYjj+2MQNs|NIu+MR%3W zA%oW_F`n_i1#fJbXMBOyfzcvO`>`Wo>JPAu)!c&jX|g8tWZoUJv*9xfiE{J(XtbY= zolI)v(@Rs3`M^5)u|1dSL3fVYQwnd7BD_ypyvuk3`0IR<(TrqFs_eafr7EK3I&Hav441;JbFcIv62=>YO zL#lrs`#FQAgPN({)WwV}t`JjKz5$@TFSga_cf>9(rwQC=R}KfMB(;Q=SPxkrJk!I4 zFyJw1{hbZGFenzPVF16WIv~>Aca`q>QJszoDSPQ8?YCdt&Rg@6q9J6$VyYBgYCTjH zBX10u;Fk-%?2-lY~84bR4X1I`Nx*w8O?&A zx)(<5syo*kw$gMSjBVXhih(QTD&2wCg5A3Tn$esaquM;%f`!$eR_wFBpeOm396|f# z=l!Ji$L`&mke%=BFjG#+7fmMn=C@-o>l0C+nAX@#(a$YDJG3Y%&|i#na&D^co44<4 zo>Zc6v{jSmlpcj!G$n(y*amCaz1!K(eb)rTk{6<6VH1@jLFo-Wo89k?9WiG$0Z0{8RAn4pfX)}?+)A#2J?643zN ztgLsxD-nhF3rHUOL3QFb>7={Poq@-hO%#5${kRxp4NCW z7Z4@%|mx(AB2AulI2;~)KsSxlHGkY z(s^Ay+JQypQ9@x%^Z$IWKiyzgdvc>mhKTl>tuszJiTgdy-z4&(0H zRo~4z*QU6}xS;VrUgaCw}Z8!<4t9i6kKI1c?vp9aLiKUY_p&`%g+}t2mW;s2W zUfu!}xS-Ky-3J&`-8JRpQm|c5fz*iHQl|y`#NU zis0fBhW=yRPxj0?BZM9MhOHr=e6G*I+BW@N7Xr_SB4oqBw`?NiK=8HRWPMahT8lYUX_v33nK~u$qK`&Q?2^`m9Wz?z7{7&aV%;m?j23K9`Dq z4GNGwDzvo@AzT^5MnO1sN6>2Yt4NCrncGgLT==5g*K0*}9E)(r5*Yg;YtnTYOLwIo zvnujT0&~y+A>3{4E1ZH~JI;B{cm4rRnqKdnlO#0d$Bjybbg}E@(2pW9B+TICq&P9?M2_cd#YKEL7QG`-AQd9 zg6@LJWmgOOs9W}D8Ss^Fr131HETzHoGbK-R$O^3QO}jxvx2eH%6~y0RHh|O!^#i&O_xg5&j7K{>@pyB>XKV-uoQt% zY2KB1tpD3LPxp5?)OjJGnTyb*qujSKng(<^VPA0Wx^p&WCP!55{xpT`ypuu(4=Gb3 z)62SWvoz_qvL+lZj5OO>#{|xx1m*eQO+m(8nFr4>FFIC9tO+@j9Ib|AZNsHs`&R=T zGZ$vzW2f99ys8fh0yt>(sF3>%?K9|5 ztnmH66Nw~)0H;}85j@hyo=nlARxNUSoD?@ZGT=StnhZP@6ZC1~u(`o{h1fvT|3+ag zg?Chfc9#pmYRkA@r>U~{;4~wZQCqz@Z_q|#%!$iLFVUZ=!kkrgH`or7D~Cqviis_R zh3xc(Meu;TvCh@jd;3Z|Vp~)eci{?Lum>h$*bpeU>9nOQ-OpCH=*F!P%D&HpT?B#w zHgaVawnMH94c0X{?JsvXnW@rPE(!O z-L3Hb@@Lvc$TIgEit%0?IAlG%5FjKL(39sl6Xi}~`^^VtA%jeubrI52mKsye$vx12 zr5&%G71T80@{~hkfFPb&W()otwP0tcv159Xw!qp_{IrW|Q*%Rpruj@DaJdS{3f?4mfHPFH7 zAcu?6yjU1y@~aHQgSol2p7J_yU={y(sQi-7gie=9OfB=@WS5e0@)e6@eKD(jsm%Ag&2#Z_$~P2ia?xC<8ZduI(BxZ;l5puS2&H zyzNumZP=DE1N5xK7b7d4xCEKZkOQ76P`)JbyA$pGlREOY@*s|(6|nNHQ87mwu_xUdwO2xc zs+tYD*Izm+=etKwlfIq*k>!CVl|E8PWm4=|yIKRL8PNp`=Rv>%-@cYJhQxSe`DG9> zl8Pnn8}t{qZ*X16g?(%ir>K%=F6HrWWfzJ&dY9ALC!)jVzW}af5THoiWm5jfb*b9x z7bAZUncVVr%<{i$apoa=EzZ+c?{LU8Vz%Jay~K=@%%AeO&?sP?$5Nb@C61@QF=mM2 znxlbVm_a;MSNh&HC%0og79_ayOjGpUk^#dF6Td1WYCs{ay?e+)MJ->O1q0>mQ9b6j ztgb-ETqRYQn(jw)3Y->8J9FdAyZ(UdUZp}{4R%#bN z`#BeT&r+b#?9eI!!4(?q zR`MWC5jUZG0n%qDd>D*>4eE;as=7zQYq2il1ZD-h(R)qZgUZ7Z4;o&=i6K9u_DcSC z9{N>+Wp;l8nDievXHtish+xiIkCjG&ePrmlx9e^jzamVfkfce{uj&7`2Lvo-3}%ut zQTz9Ry<;P6q${mUk2Z^z*~WI6aInBn++I#>2|aVZd{xGcpLbA*8N%6EezO7l^n|;# z`*q^5F^5lqDgF2kM}{c! zmm>BNs3Eg7!5wH{-V9xm*gVKnG9V0GK!DgT5dXxu{)Oq=w#Fs8(JnJf9}P9*IEvVo zDbh}>D~{7%G3QZlteQqfqmjaqL)O7dgA3ubz=5`kc{-9K!KSeT@uxm8x27IfilZk{ zTHmXI7Otn|G14|Z=(rGMxU5E|pq?1M)w#yI{V1YS)X!66Qbn(SrOJem)(lMH~K z`q}w;d=A=vH!ZUu7^G4q&YxUsJrQZB+|~ASYEm!hdz@mDz$NYkZ(L&=p7^bDUzXwP zrD26-S;k&G4X49*_l&pmpenR$ySLN%-0RvqZ?T~WJ8y5 z5e)gMjRI?}1-_uQaIFE_2a#wDWfKzUrCjictWoFZ1|d$i%)hGDt2RQ*0>7q zZv7gAtM9$K(ztss?6Gf{G~MMN*a^_l7V_$ODEKi+WIVE@#eAF0*I}%bk^Uy4M@Fu^ zdnSK;&Ddud55W*zQVY(}I^!r6fJp_Gjb>6Roh2@@hNQ8(;<1ENz{e7%8h`TC%pLB3+sFaBjLtNSdq|H3g)O&4x>#{S%b_% zxUNr+2zY4FBSr&XyVZ;Xpn{eCeq_(!%82wqO}ulEGMTvuv7`SQl)|*HE&Qx1kfYpW z$j{ebZ3bMhdibM565qQeHvk%O57#i&OA~{ZGs4}a^K!$*G8Phd+o=&w`d9h3M3bS# z={@q|Oy5c7=@M(kTT*$2rh2>Wd!9Jt82(XNG;MF*Lzl}8tOAUH9et_#TL#00)kM&7^u>;jwHZpJrt+D#;m$34|8kA|&AZLfL8XbiAo|8pusgV= zH&wkjtN)nvGr_eCzxTx+$asWJuAKB~oEZM4pXTco7_SX%t^O4?g;%n;GRFllIg{wN zyzyS`Bn~}gJ3G*yIfxCCB}siPnsMY=l5!tH_^cVs@8rku{y*&W?!)ndx-A_uezPtp z!|!?8xylq(dYOsWYkp<>EH!)PX`VU0FViylsX48sOg91ZYQ#d;4D10@< zcrfeoO!7-A*|-@RCkbpra3{cVS7feF-j0W%W;N_5T`(84P<=#QkGs)T!ZkAI%n%a` zg7_T=sd}FOiT8HnwOx@s6Dn21DpR7E#J1V1Pt|U{lJhvW1b+NjMsexys6Kcn-{M0y z$s7T;@WHDoJF=l>RzQK@Oc5j^Tg77zgnQZO)d?2Ci}`9T&jb#~oCk2+U0g(a!HeFGRi*(Eb9~ zB&K(SNA5dBt&*sT8zme$xI)m~i7+6H$zOt;*_4x9$qO3}UK&rKp^_l@alE3ExJ17_ zKE3UAcIn#wRSp{DKu)Z<-h?w!mgKiXrSCnh1`T&irmntU^rEP{%2Yb}y`q79bTQ>g z*o!niC{?4hh22rw?58dm7ZO!Qc31Ncn|HZCTG=2#VXOIUl_R zh0fJVobo&>IV23y8&a5CE@IL#+K^D1EhxXF%WR+tkZ&{!@MJOHzv~i#>r{%FYammx_`ALi_$ z%)-8tuk2-uN#~`b{KEALu~?;)$gUnbg6mwyYR>a^qXP_)N}RpRYTBnWC-1qpf!)*XQYmQfq`=eb z*hip#!$j@1qP};pRYoK<9BKu|I_%q|L04vJ*mhMZSp@%{>RK4)$nfvT^JasH#%Bj6 zrDlT@Zo7!fSBtt5g#c|SaNamGXvW9X>Tv|BY5YsL*p;%FZ zY)Dho6=iSfM5ZS$efy^R)3(O82cn&Yyq?{3#hzEL%qVYzfV`6>eG!*%Ue~xhHA-X# z`g8|RS@-T1HDscydl%|>B3$|mI^%fK-I7XFcYmQ|^DAgH53u-Cd_&S-mVHOV~#VMM1APUvgHKnP8UL4 z{saSR9}u@#5WSmgF%h21F85%dP&w`zsb2c)0lt#<(?n10&YRAXWSFcFXPe(TPl=sO znTkn(2`a!)4@5tQo@%CIN0DhR&}vf6D4Y>T%PS9y5uR4M-ocj-S^{bw{Jh2UE(4(} zm;Y9eS3KdZj?0cU9dJ;9z7bFENA+76jGJc0dY}L6{!JnXD-mRy0s^3t&|PpoeBYWr z^?7o}O=G!heWvKKvDT2Z4vu8cfrz=mO=)S~I3YRkTBCJ>VzU&Mp3sY7OJX3JQt6vm zx&AWzp|i4oWFba%*RZvw-+@t~)Oa!emt-xc6T3&-{jV-s4>8RnRUv?pb`13_8(Fu* zCKYi>Sa^2wIBoyQh>4``?TVy!K6tV;rLDN-tNQn5JBbXF$mkEqvd(=a8~iQ%?d@;r z6G&Mg7xMP|N4+hR^CwESAL29W3w>Q8k|!sxkvbx%O6?d-au%PbY3J_`wT)!X8&YRp z9D6r{a@)D>|GE$5i;{Sl*FTrr<+TdDSweIJ!3@GLj%)e=u6V9dXNdQ$ab6hVC#iI~ z4`3ug`^1b#wz9@Kga3v^s2ielO6lsv$cGJRZ$3Mnb+pK_B_%k$wuksC0k3efBgg zbXUC!{t2wRxV!q5{&_{b&-_*eyGeSQL?6+jkiNksWQrOn( z1f2`4!SneFJ$s&L~})6nH-av<&e=jZFuBYvo>|34Ok$;>~|k4f91bS@G_{ zbH2Gt{?V$!#)G4-Y(<~fyy2G>e)y1GEa7UqS#0~eXN9Na_-Wbu z&DMIdRXjsrr*!w^UxA1jQ~QK@Tk`Qx+gMFyM7t(WsxRY9yP96X-GUC4HCh~qh@W2J z{??xT>=b;hvRw9Ef>B#52z3Tr4qp(gagYw9wzS`h`f=>_I(F6hvm_&j+4_9Hi=T2N z#NkN^+Jrc+WN8) zr1JYj_`ZtbZo9*j;a7$_PQ=8GZ&+cc*LN(X!Yit0om0VJqU+EEP)>35H~kE&rx8PvS%sDZAJEC*i%bBxl9)m zlkYc>msCuMf{S9aAq01NFQP!hl)K@5Y|U_$n!91~MfLb%4?uAH;7?dR>pCRc?MIwH zCklQYmGGzfW2B1L1F>*Uo|&lD!|ppN3%yUdM_2#4?nW8m3?MiQD1r}~v!92&?Dliq zKw@lI0=+cIVbsSareqlpondu41&9kBi;s83%~H)0!X10wW;Ri2XH|~Kqy;9zH>}k! z{82C-`gO9pf)*Iqxtw?R6u_$H6#pajyIw6LuFA5zy@&8NG@} zCwbi-de&`cUh5G!Nv+^9v>6Gnk0SIxPRhXruE)|7WDqOPW;Z(8<(?Qk1)kW+C+aS~ z^)JO;eM|tykXr6of?Qd0Y>DXq&3LRH6K#-sCRrMQU*(i>SknHB>5M%S&b(@maMR%Y z1+*iPsnDnNOrSPm=o4()fS&bTL}wV1ZlgEwYvhW3AM7_&5n}PrCbrkl_9o2P?d8(o ztsh34YMh4w& z;{8A;?Y?gY8@t08QC@G3$Bbh`=PSe^FxwLaS*0E@VD7=vA%FRHeQZc-9#>@bXUng~ z4NyaS!YNLsN|$yE{zPtm6~em+&Jr{jSeRDb`ft!k(QZR;!PcCv@s;1~uc4w!w`4&F)M#?2 z!p0kfH)28}SFcwdj~0o+juLj^br zTUN;4bWhuGO&Qq>RY=5{U;R=k%wIAYmVM(QZHZzsgZaD1R{iCDdM&Wu^^jZo99t4L z!nWupRuDN6p7Y}k>58IW2b0ucB?opLnNIFo`fu#w(4WL8i|?6LqugQ`3g?J0%iU(y z$o&jFTf0ZOqTNRJERq9AfU(=p01VGoKIe?8$Cj5#8h~7(?we&{)L;jVR#5XGSj7_b znUp9YB%v*M@qQ@TS0nzg=z0?Yta*_X1wJPBGwmVvv-!LTJ3-l2wB*tTOQ?jrNt&sM z7-if(zW?69XpRrZ_=jPUWKh+qpe{zywB-&GW|8*_87Yj&_>K)kqSogp1R0okR^G|{ zB!9q)ulmtIu}k#sJH1c#AcbM%_(1V=T@qnPyQp6-GK`jG_ps}ZhUjZmVH4}-?B#mL zZ%(0T|35&X>Ep}DA9@-pp%Km=*ebh$bHCY^Sj4Gpx2lFCDh8KR*po+FKlBizmL@(E z&o3^$BEV>RQ?Wn<%&`O}uSI|J>^v7Ye5j*Te24wc@nLgQs97ofc&l{lTQfJAl=WRN zCa;7le7ibf&|nk+Ss+T{A0lji18zv1n{t^FzUwhtHug$}=MqUh)TW)^=#((&>CZX4 zzcIo=w6EHN22I{?icQn$jbXJX%HO@;$U2wUVRZlUZSx}wP_teCVLEHGXJQX0RXhF) zn@Z5ub?K3u#~xfgkS6I#iYs>R!z(1)K(Of5qW?gucFda(-iOBX-eVStv0I7GcO@Yo zCnSR7Nqv8CyH>1fncVa4)u-?A8l{GSE=uf$(C}a=)+tN5R>9Ftr}+(T2u#by*_q~G~lAy#}s%%#NPD5J1Qc>iPNPIGujs&*0&EN7X@ zZkXS8Yp1;A&6wzop?>PR%!K^G^zJrTtahq<22H+H`$lfOQg2t{`|z zMIC!+S)Z$9n}m-~f+OVPQG43$t@^_Eu_k^+u-nQP3kGY@kIM#~E6DilXYK;Rn(#VX zQWWEb#k`K^^-rBH8>>H<#7nXJ1pcyu^hU5WL#Mfp~MxX=uB_zF<)v8KHkoE_v*a!1C?~1Ee-_h_W*=y z%uy}_Q`3K^;41>LLr_eQ28DEo0;y%*R==(r%Ydd>Zy z7$(@0Ws6=YC64&i=#e2;G0=}?Df^#mmiX{b5@)ZeOTykxR2ffQ1BLS_UlJn?TOII? z-6E>gl*6r1pFHkIyXTIl`%}2|0s7-?yPjV>=yha}d;>xT-f{<|$}+a1Nncm~ZUV;Q zW}_4sj^X{XrdD%p;i@98AGG6sweaqKJ6SsPK9#7B zuP-dOQR4$8R=2j{)6WtmWt3cosB;(Sirbuqz+>Bg{*d#SP$;=?&!v%cCH+dN#1$C7 zV{Nl_DL>}{TD)FQVi+a8VrV&QJfdK@dZZ=sr3aCz+1PcBne$HA>IfsJkrQB;!18^Z zHDi|A7W~GkuZZ+;xm-9#RpIr3k7;{Sv~6PUdjZ8_%?D<9wtLI3)+vU%Z`$Up9*?2zS2e$q{_ZkP>HT=71Z_R3>}U;J|20Izh-r*%(9~_X6jJYeLY5;}JHV4HbHNFtn-=|SyT;And96#G zCV8N}DFmnHn=5S^Kr|&F2_J+r#qK{=xSAD`j3w=h3Uf7LzpK%G0UVT3T>m@^BSkk< zod{RDj@?Sz(zQ*V@WCijs;pX8GL89Ysjp-!ij~E1S}FZ3F=lxF`CZo8a1lu^L8)Isn{kIp16W9f{;+Xqq_C!eQV<|9!xOMAlAli*^o!KGO9dpQ^Gv z?e~2dUAmjiR+myQO^HXdfq2il@XZ0q#2*ebW8W1(RcL`3bjg%+h|^RQ;YL1xzct5I z5x^1?1z}xMuzKPE{OAO?8g_7NJMNbMS#+1WrUgSlN)QhgS~GF8150^z*E5Js^FBxU z8L$I#8~`2(Tg`Zg?jSoX=7JZiVCm7e`585!MlU06 zy0pQcc`+|qvLC|A&pL&4!?w{))4#l%==64JeDw!k3rW>HZ#ki-2XgNCF-fN`*k`M3 zI0W~JzbO;-pVdM;vz#*_Xp(jg6@~rm!%tN$JiqY?cX}PXUoqdA%3swH`n1R*+JT2N zBjMx!*VSn-pMLiGV5cwhYSr6vE6@XE#v$$*Oq+bk;f+uT7?}I+0iPa(y=c zr9V9+uw8bu<(Ag}TgTfuW8%Y2=`3bOij<=fQ|2_$W6XbBj!NlqmTZw{ZPxx%a;=eS zORRwiyQcpbnXAIPOO?=A;bciY6F<_iCdm)-| z6iWWcH$SZ3W~+Ofo1wxl$YAS-PDrkpU?W#W*PHJl3FD1aw#C6$!odW1$A8Lx$GaaE zEPM(%Uy4MY7Q@Az5YNOy5{{_qS%U>-x6=BkWO{x|QJ=5s{|cq=ww0gf_I*qh-Fd@1 z{e_tkeSRIV42ZHc@WF6OikHYw*#CorWqCDeT?v0|1tm-Y{nGGT>V18_BJsg$9b=D4 z|K;*SEzg&afi`$jXMYsqEzR{w2rRf8gd#^M?EOalb8xb`dKerFrdTa}-|JT?LtM0+ zNKwh3{TA`|a_!RF{~Zh;=+I}kt8b0J!nFQO==7r&$YpE#m2y>S9Qg0+bRZ*{fx z;`)Usa@%$0=Ymiw6wdHQqd0}ep4*#bqiO%*Qnm>up@8aimt%sxaac-6a$v+fwOS$E zEDHV^ZyM`Zws8V4t}b~YrP2u$S-HG(a9*yvtQ;D~?6Dfwo5bESD1@%%#cxa_{ey_V za@%($>Ixc`97a&P zw)^(Jr`t$)?$>mN+!Jbku_(jiKd<~shU)s*6udSBe^#KD8aB@BD$nh$Rx8@lA&<8b zFSR;P=FNpph{;b#?$U4&MG*#5Z;^oP{#cxd(OICul73%l61D-v0bAsl)LrmfXVkA zR&`Yc^|AY=Pw%@h*gOyXRg7`An~t-kL9fAa{@D~^wzTr0kvU*DZFqv|Qk_V2T|i1qS1*(Fxd?yK@t0s&uc@X;`#bNtH^*AH z0mdPZb7>KJ-&WXtA(GYylV#%V_9zLr9Rc`D_>gzdR}n1Qd1 zN}GKOaPhO?lPgMemSacAIo3k$;h^%CBD5>HeJdr4pgH(`d;4(_y*Ye@rt_gSQp+F~E*U#r z=Q&a7fCj2FEltY+wN0kQ*SbKyEeV5hqtX4XulBO;=9(uF7q5_!gF&_xIPmk2_xX{l2gDe4ghy=Y^&Kz?uI7;wU{fG6Et4zy80WFWHj{bgw1*RwDjfT zA}U)!a2^Q%%rUAz8Ydtd0_PLWNnLtbY$x_kK(S$sg2|Eh@2}+J>-V?oavR|+r&deD3w}(A^>q68f-uxCY-Evjm{>|Ml`gF0pw_RmMMJ`;H?$7%9 zq~GrR$g4?>cmtlGy*>P@I3EAR>a~dFb4D}cH}^Pk&_4X7Y!84=M^Q*S^u=VTWDJQI|sB&qSHS1Lyn-LA-)5VJH z437qFsN}u~etV2t;7ivJ^ zOt ziC8iij$-)i&A;<-_@H<5ZS(!oP?CtX^+a*$iP^ayV5%naMQWF&>Wey73DG0PeURiJ z>=#Q`M(obp@52`+y-kEt#Apv95&wCJ(5l@gp*p-grb15EtLFmf*D@TZ% zgYYi1hCNj3jf2*sx^R11bYpG!XAjCvteHFNUetZ4M%lUsnGC(M;5z?ps7hO7JPDJpm%3^!VGUpY)>0^W=qNj%6r-7Ca_AMZN)t` zPRbhr2G?xa9+TuPpPM-6BZP!z&a69QtWHsW*BS4=mu(HKF_e2`Qkrzkz&h8uH`=cy zsU$dWL|~shdi8k}qsABI9r7er-34D!z}TCe9oc92o3BS(sPFq7*dUU>BiH#*>Y?06 z=WU<9H0@-4nX8XMq&a}U5IC-~bb-_fY)w}6oaOy31`Sy@?I=LJI7{q=GgyXmK<&^b zd3d^FpG;+r7PUgWuPSb<`lY&En@D1!S@C>UN$U55l(e5~pQYrUfGxt3he@An7a+3} z`mL+*jqP@(X`R+8x)!CC%lQ(czkeAYw{dq&o;d9lh3@s*{8mB%<$k4R4yFDPIImLw z=~!XsjR5IUi#my!SUgQaBagF5f|MENQUp$Iu6n+WD@aZC4I*Adae86q@8?8utsmVh zTKVj!f|ORco`yw;)p82Fs6$0-$%FEWOzQ6B@ANt*+QE;nwIfupVyteVvToT4jqRr1 zzd`u4=;GZ$q&g55EXE^4hqvzh){pB8?dmggLecOs^E;1|cd@drIz)2_010eFpihrLVD^ba@Do~Sq2HIVT&iRt@jek+1@^OmI-v)--{zRgwVx-vKW!_kjgUAjfuu!L=TKs13klUaFmiLu`K3o2@h_LW?;(roEJM_Ud&oHuIX z!{vq5w>`)|;q5cZHn-DK+f(B1e zaCbUR#*i7>WU$e{xnGs2sc^MYPMp7x(T)j71(x&~1->CAiUZ?|s6e1fn!z-0;(gp& zvGU=)T;eSqHkkgln1EYXx7~UA%c4crE^pkPdrT@rj zrq0l%zj~9-fb;%h>oKtxutSa9HW_tDVO6gBa^O83t|J>rVcT!#tM#Qek++)k=H{-m z0tw#q*tXo6$VShU`QeY9&C^hsDN$nzavFS5O3<~1U*Q{S=qt357k2_>@V&iI3n2F9 zg_;NEj|GXcxSGi3Pub5;4||KZY+CFE!ReMo3eHX`{O3fdQuWhguFQDxm3^PK`9pal zYG~)XPAE=@@%n#^dTbz?>u37cB+(2w{CI;@p^$i6r|E(EE$m5j%0q)0sb%+fAwTT` z5^UMjswA(?R}^P;_Yag-c6Cd^hp>d2m#Qf7A4144&1|Ai&Ya;fg`0uoazmBb*f%5 zGRFMw%k1t-1N$Qxa1{S-Bh^-LE_06CwhD?ivRSCpTb}XfJDbNPX1?#H&sM8~-T^D6 z0vq<3WhdioI$2Nkr{nt0W6I+mvcD5q--kra{))W~j%b7E{5fg`AxmDz2yd|Dx#ka9 z$18WH#<@%Ec$fgL5RCo1oRGIk9aWUbftS>|*Iv{rSd3nUAb{M4bX#!z>xe3BjE)Zk z)>Q4WbHsgPU&wTl%O#TaPD-k8tmz+fV;bLAB^A;eY~*%w%-QT}sE>9>3e0@()<;@) z`FC-o-G4;0Ay7q{cYw;&cS}ou|O_L#h>hPA;<_kDthxMw)k5M zi#QcakSCwn^(IJKC?nk^&(++%GRi&*$&)LENjQ@v3nhK{v)u*fJnm($Y4)2Oc(eRE zx{qU@pXB_Zy`wLQ<%!^lF-qrin}vdq>O`TL z3B@5=ycdY&xopjFsqs2Svv5~w=IWjwkX)b!fyBa|Gy$x5i4=SVcMK{Ji)}ffKW@}5l$Z$ zqvArFo|Jc<-Fb0q$Mi5hiq^_~cnlokP(<9crIa}wnk&P~@C8WEPaXi|-MO=T&@2?d zHGkst&XCb`!&E6DhTMZmU>Jq@(6mj1ExvTtoLG6RcX!LfEZ_=)>sYJ)PX}xDFO$Q- zPD~E_gc?JW=rq^WYj8F`g_FFS31>J-s>f~^!OH|scgjXtW0u9nk4}9nVB&nntIju- z5FlY1AMi~+%$IcRVd?H5H-+{R;?Wr}-CG)Lt_pi?B{4xzO^&jn#gYy{!P3?c_5Md> z&(GogG=3%}$*>_e_O{*t;k)(X_1?{|{*=Z@sl3%+^g5sNqtr3I+{nPV3%Ot%jg?*z zEw02ekB;-YY)Y>Io)vT9$$DSO760gWKJ?E~x)UzdeV?Q!b4~0BQHx@Iq~`(9N@C)K zE=fDA-5AL3E8-8o7w*a@?$1}t{PJ{*{?Ym&Qymt{r%uu8`5%&jY1xu3Thll5_oy@cg=2$W-T`Gk| z4Yu;1@BP>HFjJTipn(oOyd?pA8r|lJ6x$X)v{Wp?@pqbG8k5Ago(b1x@GVDiAzhNu zg*m;bol!%?_@LS;JL05ixR;C)xs14 zmF<;Wzf>t^TP`VVbrQ$qDG2uZ+*9#mygkTv*)AC(2F0FEb`YN4?E;+KCBDAO4ZXsb zxGzPFtEb8~7YCWxZuG)c$tU033g`SJCP{p#t)X3xIhrk2R%PpFg#T zRc!mF?4oSJ4l{v!5!l(NRk`sP0xr`{uX)eDO_*igX}zoW@*=lu_0Q5zyUj#0VYTx( znIv(E+@qZfLqUWIMoPMTvzjMUhFAWp#e6UDY6-#5ghBq-lr#mQG?3R_lX( zP3cbRQiO)+mJ+(-r(ggd(oScS(LML=CQL0Ha*(mo}Nzda!(}eZ$3Z zXvfos1=6X`Z}b!G>`D2sTm9npc#cBDe%yx&_b?yZj`1;L^k0z;L3so;YsrAIG_EK6 zDBDcW6uKKDlk#CU%4>ec*dB@nt2LAF^WQmTODl2x@+(^Yg0e+?fQYqP5Gy_PaN;5yNJo0|U8+l3 zvT8Y{HRCs$ysy9(lEDJbbX|7F^8n*T|C^cOWY8a#=S(+*ITeviX_vQ>`G!SCv6SlM&*0gQ#BtYm#kbrqc4E;Lz#)sx^v8r%lLj>sDU%vF_+H zaecsoZ=S5i^eNw7M5BF(ec$g}_TQ5q$$d$7Ovc*cl4av0zK-6P*E^ANkol%x*EwMj>G#A>U_FK0IgTW`UA5?$;dxWAFob z4XwIth^2sunj@#ZpEp)jvlLkAkJJn z?Zb1%7%9`p?fWZ*@>Co}Dw~1g|NjcO%7hVX} zg*J-0Y_6epvgvxcob8o8T-?7=0V^h-YGb^x6X@4z7am```zaTCIa7SRbup7Fv-CCIWDg%e z7GC^--gJL;=}8_Av8kiKSd@*nEw+A!>8c#kkgTl}oFCT{?cF<97Dfxl0MrNnsuK+B zpNUh~XeZd>#uI~hLZo)D-S_XLDZB?#}qo*oV;eQa18b*CZv0(jcnVbb~8tDu=9X z^}-GPyi)j^chVg=WpO8#fd3Digrw_dWS48?w6zCMNBgv@R$Lt9ep4q6O4n;5_ugUkDA(y4iIX&z;Ux0^opK$D z`njEYu*Ujyfn+1iF3G}tK4bg{^CR2hz+pEh8F+0ueF@r0AkK5*DI>yyz^{?odH>O% znF(A=(n3CVbRiQ+aZ_f`I`Q&QZPN)*Z`-90zCB*3b9o+++b}R!c92CMTSoUR9zPgX z7GSBySi)G6(y*`QCmoO{ThdBl_p(y3FG-3MgL@*WtI(K?h`2qV&!LzvHs-l2+Ec~M zFhnpOBJk(IOG!D__u}GkgN1t?$bW5p42sv3c-~cnWOR zi$ckho67gQ>enDgr-G~dpKh#ra;+x$1GV6xpDz!6A$2(4G(oCT2FCTJ?bXxrhLY9c z1ys1(9&!XjEuMwIFiVwzvo1JW1s{eh_%N`*cuDH4SM8o*XZdw6@t0ZwO)x5W zX7|kFQQ$Osq-1kxF0Z;rRl`2&Gn!M0XF*ml#VF(pR3go;J-eo}s}lZ{u-o^aUaqWM zr62{r+pCpzsvMrP+ht#+-+B05w(*=qnA=YLuuw49rZo9I`e_7d)MSIv;+EGk++1p* zQ+D)jWKKUx=Q$`tpBrV%tn)%o_|mZJbW~?|(g02{s=#O@c1jK)i#ofsjb69IveWil z5Yj~}D<US(Wuf{-I1=X2m*{tY}G6cmkA<9^0_|IzZ^$?ub4!!5lpXIn!4$cVqM| z)ED)$BnoaAmEa&Ub%u6lUzYy`PJAeu*KPDe;wYExwAHAQQ zpC~QJ7Rsv&Yw!{BYh$MdK8fr3>ofx}I7{pqd4gyHC3uG8SV8mb&y4mb@cOxc`K%Y^ z-)hsHI@a!Lb_Z^;gd1%c&oGT#npEgF#EY9;5`^<`Z}|(E@-C?54-MJ~>%433_h{zP?!$YLa6VU{4`j#4tHte1rFU<)<|ZImG#K(d7lIt&;g3PCZmi16Yh6 zAr|jVApW9SBo3c^iGw72Q38y0KHfbLVkV5Zvua;TeGG_j28fu(Lj|iY_r)+;m-p>h zE{1#cdZ69fm-Yc`myP3l02RCXeG2>--6 zTwU}Y@tI>MerHa<3l$K$sxAFUAZR zXBJJ##zN%jVHWM?jAx(ZQt9olLwfd-yn{AZBbQjZ*vc<&J^CMePxRb>sy1S$Z+Q8x zVD4!5(P5wy2A&+-J!IT>L|Z>tIJLLOqP>0_@B-qZP?4+%@%+x->PsbkwR_N7;C@p| z(Uz@Pm^cCElBz0TSQ;id_G^DZ9OnI2KtyS=pmPofJoPqL3f~^zKnQfbjG+GY7a_1R z;CYMnWk4sk{i0^AtxfFp%y7g1a@!t%47O5<>N#9)oiFF5aIZTfJK!)1ZfB@H5yph! zJ}}me<5TCedPD|76q0-I0L}XiU49bYl<#xaNF)GT6ypE2$mOY~Ladokns z>q2de{YRC0PqgMgV3I15g_LJhN=s&pl@8Z!E6_(}yi#@N{k1oT zUta1D=v*%14g1RCEVLUv%(rmQ10}z|45*3Y3`k2=1&3tMBl{zNpMX#xRw8>y3+gl5AT6fY5FA!G4WQ4A(dD&ZJ-&JgOY=ePvZ9vhe#^P z%=8QF@3G?5cq7P^V0oD{?>R3a&`ZveYy~7hm$GUZ3LmehS0@FD*i*bFj4fh{%2>!M z!!!P2Gu@1GrBkB6$_lTfMuv!CGfj-le{QRH4Swr{n}0EPf8mYdEJ^YoS9heWl!W(& zN!&!Z{uIUnh7uFAPbI{%5IxbTrk<-_Akcb9pGSYxphb`Ei=B-hL&7%o(yGdqzgGz# zyAQ^C_#T%R-wEd`=}Vu~vjtDl7Pv*?C4{$e{}ZZzovZC%=C4i zBNlhyf};w4^e|yLKW;EP@1pVNDekD&;PGR8zt{~Kg@oK>`=zL`#V6^hJ5fCXVT)WRCyX7mTqm>v%ai2+h8{^UHF5CQ^#p5gR zqI8{#SI$Zn0jO|}_kHo`f;{B8{$ciSig^OB!Y7KpE^z-vdDGQ#M zr1ZNGN*NPA_tao@veV@3PxK5fPl>3sgNy^^Q0YSMI`x_tPUumnS%Jay)^Fih29G`T z?bd*(YRpCfO1+n9?@3kM8pQP=3JGwNLU`&A0ydeRk@j-AY6cj4_e@f$#EofPIW4~c z*UP`1m8o*nDr;lWr^Lg)U62t$ozM3{C0zq|FJYYzB)bU6g<7fWBa)!1BY=aX$wAmG zE#a?AInjCHFI{e%K*1<<3?3hgr8jKSXk(TRwjX@=nb=3NYD;(aBDZ4H&4DEBOahtp z08N(n-UOSv-!}s4Qz=+>zv&?(IQe+ao=YsaKSs87^|EkVarV?juDO6CWtsWdqrLUF zFRpCS>)Zrn@sN5+w8u-flahf> z(bB+B$+4X`L!{LV39R;NZS%BoXwrYB^h9&>=k-7ON%sb>>Sg`^5{S6cl&`-tJeojp;pgX8lXRv zo{9)jAup#|uI!%PYl+MBh)TA(LJaImea{1DSCyWX2I1%-4z2h&x)kpPU6UOX%XGbn zrOZXDG2li<&E@XZ*sT0aX9ofmjcpVN^7Kh^pOPa0XsXlJPZQ~Qmw_{q0DYu0(#XH= zJqc^Vae+Iz8E^Vbi*sOx=|_tDR%UlammD)i-&jyGOY@Meiy>IcZ!kth?uAO+YT$_> zZr{kJRX$yq%$h2K1*)7b_%>aBD9EX^;&oHxO36ttup5>t^lM*TzfSQX&Yw?bTWy5wpk%yG7 z8Z1!fNXIukLvDA6cEa>q3J`YP!t&jM>E$V9W|6C=6O%0L;f-Mt&aUpMPCb2cf^ll* zg~XBk;a=D(xlAD`L|u(`HC*)q`O3Co)P1^~D&{MEOnUUlB(o-MxF*(nlQE;*n-!$j z?U?>-7<+vVaQJIFaUp(@U5DLtaX(4cRBmx~XY8_P><`goIW#)=Txrb3WjF_Hu&oZd zIMlA&6d<+mVuFEiOGhE?ZY|c5U7$3lOD(2 zw6T%yIrn*QpGUt#P|l=IHEpEsp&ai~kxnlE@Wr=xD6V>|PtUK#58uK%2kTS52`&mG z|HCUBMZKa}ehbWqepUtJT80SiTs`IeL-vPN)L=TP7qcIEjXOkVUEQUU0U5}P3xXdO z_XCLKslp>ld?6U;{x-Aj5SPUy)j?SCZ1l=Y^Ca^rveaLQROPKEB-NV<=EV21QwI^n zPy>^li3-74XpL(Tx05#HIQ1@U2SR+C@YOQSK33V1-Fb5@(`YH9mNtRiwBeu_x@c(4 zpQ*^Y6+EQV`9~2VT5(qoz7ntSr9@8-;8k>fp3cdq9rcw#@!OzzGl32FA@$^q$UR5; z0%EkV#6h%K^AUOB-rl5yizfN|X|E6ov5LmErA5c()4o`a=E!AI%g8u_7qx#@F;|xP zbLs8)E?c48{@nR|hi!@cm@^YcL$Y3E2G!XLGd+;{>E`xi zl#qFidC}SQf|@l!DPq6&ohM~m#-zQoeF82qATWt@*qEd2xP0qKF-93=L9TzSI{>ve zR*;^WXNc>vg_H89>6>)muETe!DR^9NBl+57r@rp;F{T*$l92C;&T;_QkCK+;U8AmL z9i!#Q!jIj}VfsmLen071A5H(UUanG~zP7k>Ol%(6*uN)Gflg49OZ#hY(jY9GI~*8DRf?cm=Sz^Y~p8?J!}QZ zgt;V{wz$mw-e)iHEc*nkZRJs~!D4LubI1tPdAQ{~cr1LXcQUfNDJBuBcNXn`;z`W1 zMZ>-rmWgD2`cnXC_-xsVvII-XrOcgZ(NC)#(N1vo>Ym3cB31>>&{m1iaYb{)pXwjN zOJmA4+ayO)Pf|k5N?}#{@*0^&E=aa)FyOU>)qhhQGU%7P>~18nLq+VJWBhrre5GZC>|>s1`|l zA>5#Ieq4pK<BAeqfD#l=16_AZtg98%bu~F` z80lZ8O-GE=17Ny`9je0(7dNUd$;U;2-S_aT=~XZJzwC;EjAZ-&`fzp0d+a0Fhg$#N zheIY#M@YUDrf%!W@V^A;k_{8h5_c}}p<|s9@eWKMH@#(_)_81+X<8nO@1gz8ZocX# z^)5`RrUwi0Zn|~?(FUWa4HWl+=f`)$y|d(1uZP_3r(&g#T-eE_qyB!dUNzU)u1Dvm zN}x}qyd?TW6T@mB4KCrOYM#u#6HBgW;SBnZ~X}|{}ozR^>Jau zzG0dp-?8R0^gl{}d6xOVvr9HW7Hc-jS=Q+q5~MipEkFY7)@?EzN*@*;!{S{yKg{l# zeje{F*C6)Q#I1S7EPV5+mKUuN57yK|ud;MDmb~ zxdWWzJcZFDma38zi~D8>bSncn{IS0wBlf?|t72XW7uwZ;8$XzcqqjDy-(CG3{11!R8!Uv{O z-Cz!Woor7pNgSb#%G{?U4+&66U{&jV65A4MLxP57J6v^gXQElRVY+v96+Eu>dfTDJ z;g@3QzJ&RQqv8NS$-ClP&3e)0;Ee94|5#%$->%O!TA z-T=H^w%`?XoV@;@wr^UX$$#>clm2A?w&R=WkToWs#HVQ!$N+Y$t!s}=&OfZ_O~p^O zFC#dTIq77dF_d(2*T*q`;a`S9X~{qVbT=fT2TOvK2as7;6T^KW>jNG3e;+))#=IA)R-|1^iSoN4C$)vBi zD1(+6DSV*-n+ssr9N;vo9q9 z`mRV*Ivlk$wEax-uVp#UZRnuAbu{@w!UQSzUNy%DZcXUY4f`e6vq z%nM#8uqmPS8^I4m*KKo&5{gG$n2$8rJ~_|7E4Bpi>keDw;J5j7kmm`qYp^A6iHU4k zS>l?)VW#zKCrEgvn!a)P?1HQi`2XoY;UXIo4dj`6FNs!(7mV2NzimI71Dt$2z@=nc zDoAG>{CDT1}@^;#^V|V)A0XoJRVj~NArOr zHCBt#$ga z{$Y*0UcmHI&0#3|)82wqTAecO6hpmsfKy1sh62ri7Rk?jcEIXa5GLhA# z-8bY6>zrJovD9pT%~tWFSV{`*?Pz{(K13siRjd~ZxS#Xu5&ia%mzK#{amsWk}{gHxwT&{#Qq-kLnTo+LCjJn>zqT;{LP9a=&QEr}TIoD6ns zm!GK?Bde(V<{anVk#U|e#=@2;c{X!&#jm_xA|So9#+GB)NEdqLW}w zK*xtY;Z@h3Tho_S1G;bZx?{5xIIv>`4Wb&^-ql3F`{q0+yG>3#bQ`p+kbVb0?_!Tp z@XW01+LUFmRwMc8ZmAM4`(3zgvkMowcG~J=Ir{6JO%R05Qvrm`sp+u{B$0UQ950L> zS-AhBM(G7et~Is&><@usLjs&`NhkP0#r)~Jb~vfaRhQ?eap*L6mTJ{W*(Q0%=_m%RX_%Px0_!SaG(I-Kc1-SR%m5}(ya!bAQB=&(};-cH^${7SC@a~Ir&17}~Z9^a!pjl6V8>|B2ht5?lV!2L2+{)zY? zjy!pT%nGzSBH7{yfkO)4%b2qz`hJcEI5=!fB4(pNBC&OuGbQb53gyo_8a*k+FG^*d z!CzU1dXLB?EQWf@8H2kY87e7{3M2fl+=$ImbGQAK(bp zK(Bt9yifE})x}FK+aIh|?5pki;LRy2*PJG_KH00mRaaA{eW=SJl|eWyUI!3G*`{09 zxcBzS8*hL@b?VXjy3FuKJF|5V>5%fPKNWC?+J#JZoIljFhjJDAJX2Ya^=WgTp?#Y> z>bC1d|F}rXckd2H>m%$1+N#hz;;-|yv*>-6=34>W^#N{UPIoS^uSve)DRI}A|5!AD zr9YwmCmK%ESCX#3I$(uZ8Ark@ z!y)l+`DGZiAB8N6jTVOPoSk)3bf&Le6qBfc!MNC0sFXeXtirjIU1#+pNwee?TZ4pi zx|FqG{Se@RdjDznyZxs|wisXl_pBGqIRzfI;>zB2 zBZ7aHejgQ;yVK+gncdDb%}gO@PLuk2XI|5%=mUAUbCh%PQhXXM_OP_MPEwRBGmUw?GyXJ=VL}3&aVY*HEWmJ$0M3RpiRfk*cLpBMK*u&_cwA zk`etZH;a{T%sUkTC@;H~#Gw7=6<_Kv)dq~guyTsKa&vZ(ve0@jT8Zj$P${UTt+@3k z{;-%2WwxfYhvj6CvVmGXU{K2J_q%#{wYk#!#XIbG9rV#zlyaxse7Hyv-(RyKQg(*Z zolHZKmVv4(ozAC0P8&Nx(r9r?<3%A{_CQQAUp2ySYex!zGNy&eda4{9NN}CK=yZMk zFxEKg!pMxY%=a0M&F~KM+UopkwyJn-ON^^lQ!?y<%kY{fVjs=IbIy&brw?$$Aec)JTPA@g2Fhh23AL+4s8$x$cX0BI!Cylj#!Gp7bAgw8fn z7FNQb|%-nclxy z!ma>sQX9Yixr-L51CsO;-jau0IB6a_g*1+ECa9jrz4`bfMv2Cbcc_ZMFss?<-=qtw3_61?dEUYZ$RDDcXg+;fz_EYr}eb5wtU9BJe%SvJ>>A z6^yT#t4m6!*p%17O{goYrbHMoR0D~FRY@Zqa;r?{l=F#O)|CGU(q)MUx4?>{{UW_v zAu&cHZYjQue9s(HXhw^bAd%u(6{-qPfkDy^tH22$SlWhTp@aTdU9yo#jFy2YtlY$o zO%0gd{YQ&k7pJw4zW!CRkRDj#D>m4+<>@!l%6~7uB%YVFU)(sVIb?8|d=l|DYs2%) zZsbz^k0NzpO$f+2@Kv$b4snA0N-HXExHxYLi^$A;{4U>2Ab}&%iyr^SCSjHi|8q5? z@SZ>dW#k&^yM68U$akp7ztn^L9sdG4C7%b_tKO`ZJO>>L>4bg#T!1L%_{OO<*sgWL zsr(<$4l~Vhrn+FWt}u!y7OG8W)zmHOS#5AxC(MNx}Mp?qEk6;l&d5% zGENaHJ$%p&oKQa?px7R6qa#3-?|7i2!c>(ybI5aD{N3Tzv{Ve~W7J>rg`FM3I<;<% zU(wd`WsVlY<6 zeVdb$n`xqOxmxB&D!6W^-QZLYI&n4v4{GSwXwT-Qa~zzJ%e z%ydnr{@U9M3Vofk#lG&WhawLiX`2lYuk=E>5%l(3 z7H(QdKpn2ubOvD$Anvi7%?{?b3ZV$I;Izb%`!J>ypf#q z;jW~ZUz#$nhwKDtTLK@-+%Is!3?6pSYObr**+jt{!4CQa2{WkPbW&GCm>bO2g11K> zUClqiha00`7%lG)kqs?62_Te)TyFvk6@$RWUCY4zt|Vt~RXcPieH6dJo}?2vbc;c(+M#4|J`P28t4so1%8mvlr;D{;brPSwc7W0%b~{h zTy7NUsXUGh8c=ufH@F0qKT2gBqt0*Db}9=Q6dw%DENrNt9uN=?`zYyFXBDkZ;<$|X z{DwwV$hvWR(42HtT$ajHoSx0JI#X3#6K`;E{+ujNI(f`e=6;fT3L$h~48WorK>FnC z+w!Z!sBVMVX$XoaRns3%0F1jgW!X-B&6EeTV*kdik9jS%xV(N4n=-|*;auikPQ`O6 zT7}G2|9sJ1;wauP;yB<5IEeQ%KE4;7a5Txn{e}m@b*UA9ojha)1{vGgd_`c~b9g?J zyd)XN(*q+h8D@;A(+Bg}ho*y7Sux@@neb9eYm0U;c@0M`!#{2vjDDt`mc4NQ6I1?e zUr~+q;)1m1=NZS|;B|K7T4!PHPuJv!A_|I44VxwoW$rJF9%iKQLG_SEO|j*9$~9`N~oFI z0@LiXfYCoS#fQD?9r{Z4IesEgn-(X^*LcE#E3Rqla1kg6tW!hb_g6gk;qxWa6a0=FYi2$ACUe;Xl(aZ$Qp- z|Ji9&hN%@c*sK$8-<_Oa)GwWn(`c_t3JXsNb8hc{t_tLJ;&+^fc7Eq^P3@qn<~u)S zTcqXBpY?=YK6W0b<|wqjo2|ye?!+>xU+dZJ=A_P-W_H(7$vI81S2~STb|?xjD$eoI zS5rT=I9U`QH}NPU)hT(U=CppemyJH9Cphxip<5C=sL&sm);IEpbJw-^S%P3jSqMKQFz(-fJW(9Es zhqkxJu?G_k{vTH0nkc@Z{HerTOw1yIA}Y+FP|yQ`7i zvo9m}yh1FF%7iSroSi>3*q9efG<|Tons@h$fZntU%LMgZTJhC{E0s^u@;>E7D*hFGO*xDV(H-p?#)L`bFQ|pFNM#% zgsTVMzb@mcHG*O}Z24j>l?Ya|vk9XkPr72u)M1?ghfflr1E;+mQrH|4U&3GKwpOYt z_5Q>_G7WnyoD0j5+qkVI$rSGJT|`qlu0f12_G|dBb=6uDc~w@8^QRz9;M)9#dVEwV z^@Q%rWn&5?^spIV6zu95RF^iaQrR;%*N_%%X#~Renh73*XQg%w983D%o``4Q_-L${ zXAcFfTAJbUlmph{931k_T;KCX;kGdc5}*8@FHHBs2cW{}yS%I)(a+$<2?48vyrXxb zJ$FYtOj5?2*p=-n5xH~|;kIQdd*X#;jXDHSPZux#0O&Ga+7_dYTwP*_ z-oRf-RkunNJhmHum@r@;BM6-A&0V;BIu2F z#%gQVzT`8TM!%Q*8$u4AerNjMWstHprhIuv_70-+w8euPOQ-*g!Sc*jzc}S3oNEWj z;0w}N8uP1`8H`R-J9M^=pR-_Q_}o>C0eh2weude`LWs(PjCZ*4+%Gljo+yD<7?qX; z>-(QK9A6`sf1|hwg$+DaaynTSoId$~c%Dk$u8eb&Lq?)5OAZlK_e#UC3% zuH9;g@$)5~gMuAnKfM>YrMf(m#Li4#ZY&366~~pS@d`!7vpRrOTR;hE%!UJQB5P$! zRxS^*XP+QhQroKV{dJv7Lgdy_(}T3oRN?>JdXmV(XFt;D=H)LKD}={;#ZK0JF$Rvg zR~rlbt|vDC-MgR?$Qp}3=o~mJ&0yz9HsbRY7`hIsHU86T)j1ED2T8cv#n!cK@i}Wt zgd2RE+|)2h41o?i7UiWROa$hPKr)hkKT8NoYL~g~YU#@GVddntwJIu~wu`$oQEs|k zH+#5b*$=PxjeQ8S=+~XJ657kp^RyrGXbKwnfcwdQmHp)l%60F}9x+y?$OT2rcwCFZFelcqRFvg(w4G63}}vuO~z{H<#T zwULQCoRCW&_Xg=4vrHx@?OetddY)S(Vq@YR$6+Hh2Kvh^+#a<$!Gc3Q(4=LyabYx$ z5Bj4=l4{?zXYWpDAP3{(n~zZpb^F$SrdoFOn@vL6E#(-Y)WD75*V$Q~l_R;;F!9nR zm&9-1BHX5GB{;qW17$3^=Ns}T*KUFSk&QebZTzV^Spv-FX;G4~4F@tCPOIFw&$Vgx z#3PtwDGs1Re8uy1Yg;rLmm2<8ER?MhKh4Kn703J;x!_xM_N)d+Or^-Xf6QEeB5=sO zht>hx37bLjRpMg&Uw09OwvB6ymgVczi3301h@S1o2S5SUBSrIXpkVCCL}t~7FWcM> zd0u!(!L4?rvz*RwuhB8#-QRAk&qLN71(#O)Fx5LGGL|8`O%E$L! zN}Ksnvay^+Z$Se^miLXH|M`K8U$5;|QA_AvIjFCF$2J)nHY^_eg@n$zh$wtkzG)+9 zI*0-D5+JJIcsgAGq>(Gz3g><(Cx7QXjRimH5>?a>J}fdiHW<~pynb^Nl{itJPm^Db z1yi+C2i2HG@df$t2ZUWcTCiC25?oxNNpsQYp`36b_@%Vfu(!K2`b*~2&LgvzAaOfM z^|!0kEKk|&PS$T>!oRAd#c1kL$?{uCAY6C}uN;~o4w(yv^O?=pLKKAdFfs0ggmcFtGd4#Aajfr|HxxV5v(%u}HOj4w|~^Met=A(b5o+TQ(6!{1#r zIj(=e2L5f)o5q~MVz&5-1dx2;pJ)K*DuG71h2V_+Rz6FcLP}r(_$ZcT0D6dDILc=f zO0Ae#rLMnGbdMoQ$p5!S8RcSn|FNsa&!PSjjI>-{k}x;LjczC3dD(I;q|o%6waagRw+b&oZ8=Jd9Zr|rg{SHaXr8viLIgOu|J)s`N+o2d)!hsD zQdp1@oAT~o_FjA$E$6f*?-sc;1KZ0M!c*%sjn^M@UwXt50f~8YRY_5v%;S4jZ0~2j zJZvh=gb6OgKH~#ia}Vk46AsYuoOu~gDDfGyo<@dvbJOw7Eyr)nj^D&qs~?m!hkLF3 zAt~K|qE67$AUsLLI^zZJGnsFJfsk>7r-9-5J;#$9!(R%j$m+uMl$;GxYWBsINj6_n z%rjRa;-Tvh0P2(aY{4eu*{QBvyXAE-DQnE=y6W~pBdS^@mk#NaTO}uMOHhNX#%g=6 zn82pWlJajQa}z4i>kS4zn_|nQ=I$uA6_` z6eQ47M_y%2aNV1^YT49cBOblXvZX?Feui5n&`{~g^|0T3d6h6AQ!2^>?HApit+CfD z!7ZjDX3XH}*{HSG;r`dqDB{t5mhaLq=y3O_^yFWo*LawVk)IalO+3c`(FWwGzAfzk zc>3z7sJ{1WK~#`#C8d%4&eKl|Ay%j~KOkm1-|&Bph|O1eTNzp~Otzr^He3{6Otg?n!; zN$8q%VDIQ=m6a?x)#k_AT2O(OnzusU{$K@YQxOWTlohPklq&)ZaJO)~ zZ&r%>HCp=Kpv0F6nGat_$0nEtM zsOsnJnTXFb_5ok(+J3)JLXe$%m_MhumZyCfu%o8(3eWu2zkr-HfQtl z19Nr#_H@k$d0bhiD1?lHK)*+o5@{^MWb3Ci|MzhQho*%PrG7raPjZ4PU7JxaeiQaRkkN%8f5qz&JpMEdNhAXz~fG^hxqmba0m5dFz+Z z&e}*j4&?D#>do%pa_3V)2lPFPWoy3n!JanGP@8XmF|-1wvdgg_t;sPw)Vz5Ml@u`3 z!La>e^~2VjY@G}F>*37$5o%BeQp1`P@fOb|$+L+8SFY*V6e$g-}zJi`%^!m0v=O-hoZ}V_&y+svnC3stD}@V6|He{ z|60M6q_<)ouBt?(+c}&0gKG1^F9v03#T~nh)cr;=5Ob4&a~wovK?AJr&14O{Sib=* zQqI6x>0h+7PR5AZmj3#rfi;3o6;;zcLD1tax`)f4`Z;rgPNyb0ZajJH?G?#pV=Ps` z5f&p{XkSl30`|^NFgidy&bo4uTQ{o8)$sjA$ADCRc-cX^xDSS5^_q#(091;f!VCr- zIcOE>F6C%xdFkWJ|Wz{q=saeZrma&9hFe zfUMOM`zkxRh`29u^h;ddLosR7tLwOP^acAA-F_);q|D97|Fn_ihmw#J7 z^teGM-i=Q%>dr0p&s7N~b65suAJM1Aez$Vz<<&^MgG06xw!GYOeVAa6aO*JN zn*kG~947DIF?GlM`!xc1I{U}P53%N)zi+c9=<0~x_aga}g05R7nzoh8eOZ*?{xwT7 zNlyU%T(R%sl68Gopr7iW`AVRyHDRsD{T~-}brtYYjw8opg_8AkLw6^hCCobwlFccQ z`EyBB=F;a^zrk_2QS{b9u}j*1n6uwgFSCv6_zHc9w(ugyH8G%`D1DKrY^=mwR|=cw*-B)n@`Iu;FKCa z@h&RrOr9x7mO|55O`4sM5PaMN9?PR0JzmUa{coylkC?mB*1Bi0}3$i8ZgDha6bxc^$XM4rJfa z+OWx`shIWMsS>q@++gD{z6daKC4_&BtbznL_uXhq010c>;LZ&j6vY#Rt6qwqUEKwX zk{7HgX316An5>f5rPJ#WhshjeF3t<4f!ZY<5jN&bzx6b16}Q#mrdU3<^Ke~T9oM>l zkOw(1Y2i;42wyMG+j~R_cAiN~(n@?fG!=HP@Z87d@NccvuKC2bA>QZr2K4YRR=eRo zKSL6BfJd6DY{^>YJ9t&_q3JXMFTrj2lv%_qqH+212+P`-+p^NXqvX4 zS=zCb{;U*~W9H{0I`Os9J=&VwkiPD3856Eruk*|YsdC5&cphwK1Znoac>_xk0wqyX zd6e5&e-IoZ@%}3K$no}|>h3h#&DKPbma|c7VDcmRr6-y7gJqdIRCZ9#o^`>iFV`Y+ zzxZ|@Y(AYWd*Oz)$#jQgv0&bP!tRTc$8>dcPTZX6oHvl%r~MxU{&___+F6wmlPARS zm3W%}G|xZWpwK1x)? zS@Tq4$wTn!Tb@&8w6g~IFUfhS7FPVgyU{8XrUBLVUwmOz49bt{SmfAi zQFF>+6af;mu&|4SoUdy2;}f5V*XlkU%tjc6+n>73HQ)u=1;z=*UA#22-jQt-3BR_d zIbN}vt`Qk8>&p3%-CtV_Fgou$$IxW@alIW02wr$DCxO(j*oyg;Z6HvmuK=`toaz&M zmMulh9ZZVJOxVFww*4j(cj>yQg#BMx;)g=NuU_3?6@$;SZFjzlPw&2949Q3Ug{lkB zx{Qp%@R?|$I;llHQ@Re@=ES_B3>t7wU5xUb{`7@dXWfN{ecPM;HJGQs8XQbSq>mUT zf?~065-YNLS1sM~epz4d(7;(sz6i&dHJzDA0rbHSWki{w_Sx+jJO7$$N1a34S$C6; zC9UZ1tAtVlvUdmBb$6QJyBj9!duy#2D0Rlc4P$!UK2M(4$F!>FRztYs67Sg38R@ z=O=pabrSCrl7VWLu7!sN(*x*ocYRXWvn0Lu2}VDX=rEqa*JJGUX4$1=+DA_{Pyx<) zCA_G2|FDY=d!>vlSVH0Po%f#E$yhjHpn^U8{ytyQG3-?}UhRzts^1bdJ$Lq;K@O%w7&-P#L}@_L7&1;6FItH_^s zFsNfvDH_1FXGLv4KzmU?2)3Y)kUn<640qFl1<2x*{u@97QfNs#7lsSl&{yCmY2K-L z)7x8?(t6W6T>l&3uV%~z^;|BP-tzDhkBD6i_^z5M;kzYOYglSJVt+i_f@4Wbf1?fQ zZy*b#ZQM#c9rJg|#$}}Oh7Ks&9)A5thw^va&L1~=B^}^`#Jhn9P?#)R8lcu4)L25+ zT6BafBkG};D4Pgw64<^m@d)-#eW2hc=#hmf2W`S1vB^-4iRnhsrIhK{vj6wbknI!R z-Z6D=l~?XR9PtlOxE;P@vLZ^a-v!FDtE5wP`iE(t9we#AHNB|v7V>AM zP5JMVUtBJE{Lf<8zLP61Chj@c#vp+p_1pR=-Cu_LHv3@a(Tr@9ZsG;H0r)YXUBYtL zYQp6+Mdy{D%n!d?5K%Mg6#qkNB8DV`HN#rUC&67WCXky(_II9%?EU_MjrdXp~6Max2O zFvr>X)ow@SM(15lc6ON}rrKbtLsAFL6m@KK3gOh%+K@Fex-zx{uF#8hQM|b)GHk~wuT0F9xdXU!HKD2A>vzI|j^quM6doW)=PlmW=O0?XP%B*6p<@WH|Y!tS3~b ze>y&say{w%SJmtM!gba+z1%ixPu|+yspyZvlr`CAJTq~mTkJ1tP)o9xrA#jwMIT_D zvy>^^u=U*${bMiLvq@D2Z@_LVX_RU+?b#XgRe-o!Gig4n2HMA{jWEvQc})VCti1ko zz5wv7%pgQ(H~YwEIPy$N{MJ%@rV5is_3p|8gLY)Uh}7K?6N8-Y`SJDIL zpVR|ExvXRRc>fF?w{h`G&P+oEi{1F+*ON8o&j$h=G!O2=FxAl`s$NP9&J&7$S zh+*d}DeY*z=c{VaD0!KKw&w+`Y{j1ZDH;hBTuP(2U(TvJyRI;~hXOJ=$rF%ztD1{p z`^9<}|9uhv)4f@LooS!sbb`Ahf9`fx@gK6I(9;4-W!ORTP8m>f$oG*rYfdfv?*Be2<)%(~?dsU#=JIRzBL*H@{v~U&4aZ zO*XWif=x=ru^tvimbzbUZmGv3rVO-cFZ??~K46(2-@l6Jmi5KIP%)qs-Q|pVyiF}z zKNT)@cyqnR{WO@o^{1B-7lf(9l2qq2>6<%ED%mr`JM<#%3eqosBk~St?u79S5Pa_- z(+PLph-ec-tI+Tg(e!i*U%s&FBmRZLndTgHM8p3e;6aC979TPRWO&Q=1hJzjb^Qxg zn)T=HgEdF1e}LFPbiFdShPr3MKoA-=!g`m%x@MN>@?q?p^i+sR0hW57}ocPMNR}qH5E;H z2rKIhiD{niPmFXDCmQW;4Os1~U&)6LZSRz~w5^VhRp?6ol`}HEVYnll&2+e|$^iT) z*P$B`HHLvi;(GJuH9P#+Kek_^s!q3wamf-rgM{Ob#2y%qdx#$jTR3e@o5wAv!&La& zq8-T_cBu_;AwX5%{(!@24RoyGBA8e8a|YvimhGUv5(NM}l4eN6GD#HDIEd-}^r7fj zo4n^x6*H(Fb*w!>DJzoRWfC)&Pt^9e-7a)b4gu#azIih3#2n`|-Rc{?jEg6H+$-k) z1GNqxTHgxjRLAgqPhG`JYQOe)iY)hEYhNw>wYZ*_4k-J-#%h=sGn<#av_`B1iO203 ztuyz5wHiUbU#O(w>7H)vt}j0Mcldg52u|`}5=15Z9N8)GL+2olLiSwjmsa;hK{s1m z*Er9C)$;;LXpNi)mx%=;|O@F^G`Le6H_Kks?=Hlx3zT?$St%uM>=@;+61Jx~Wx=Js4JN`gB@zZZtn$g$nfn%eLJo`^K{1@8? zcjd_AJdOkh7MVTL2?WvD(NjyLBW6(>dIo@`-t>LlYTX4*49%Rxi46iWcfbo5+TQ;b zxkyAnm5EAYWn_>q4q)n=VU*JMbcJn-UyJvx1Qav$jkJgpp^C+?8TwXUzj?>9Z5-H^ zmQKKkd7NhQv#)G7WG{)-WKzq}iC*E)FgFR*sERdM&F0h?S2-koCWjhNS^i+@fg%9MGRa3DyRA$1V*ZwyOB z|8I!jPu<4TKd51)VZcMJ$JbE#_1SvyYcMoyu8-cDc;+6~zxpv$zHqe=B?GQCyZ;gV z-{6-(b$=TCtKr!x8{q(CGMrq)^$&PpKXcP1uk6QQU8u)QlDe&Tqug%4&FDu@t~tsU zU^j7c{+0)Oc}klXG9tVQT?g~YYb$SRzn{!}mge_4MHL)h_v~=|A?sgMhY6xREfl^b zBU{6F;+(l)lU}E?JEBEH-xkrY7vc-UTPk#m9MR*^>^K4R^T~kwpV*;thQM>KmhppE zwPHxIzn_uA8Dpq~lUOZ{AXQJ3!E(cuFli<_`PLQ=X@F+-xQC~5BTqpv{b?Q7Y&Kl+ zu56jP6cXltODydm=wbKwIum_C>F;~{J_SE^)?1Rdl# zZp|DQ$lE7Z{}V{~Dpr)RdG$j|!_I~mbA2Ci>_te@gO5Cx!APwK58YfwNgYCyHh0BX zn3gpNbB7}yUF07Sn&df;s@@W!tS37?GHqmgx@4j0)k~|$mZKcpBDl*7Pu?1JBV5Kj z2N{_nKS7J#q=7}NZL$keCMyG`ucN#au#bb!NrS>~@PL!xTYk00sga3J6JMUODsACi zQYfvvbf`m@qVOR{rblErY3z}Ya{Wb+r52|%V)JB)(%YO=?6 z`pW~ZtGpAldsCOPO%ln`@5osrzOv6AE7V;K`B|Xb6nz8t;t;v>h+ObkA8BV&aDf_Z zjlwhn1!>v`aU_=7qG!A@=0vE^YPBKQ3b3eb&2@u;aD?x}ygdwp<9K3{1)dV3Iq(GYCCe(o7a=$hCUd#A|B@@& zB8AyY6?aaRGpX?;>JyD*Ocuj5C(f$`W^BxXMW`EVJDxJl6&0-_8_kz{b7)nmSV>iF z^Lrm7v$YuRKYl7Sgr%g;uEISwXdTt#C4iB7H*KK;t&5SI3-CywGQGh`QU7^7g)m92 zuBMvv7cAs0-Q_*yZMYD4{Yu-()l-^yG5P)4W$Uw0t@>wTvfUr0?*4|MFD^4U^@;#3 zz(0N@bofleC3DvhBh?%SC_w1-5_S)_E71I(vW=B$5VoQJO&c+5MH9YPr|`1FJ)6E> zmLkJ2^oU;Kv%1Uq$47P)6|9)>O6Zw6CMMBIooqrIL{vd$|Fay;uBhxnDdxD!`=OQw ziKG0oh^F1?LvbdPqElrI7tcMyrS3~$p5Uq4=w&LgLfK~1E{%^dnE<f5GxUzuJC=<=E zTf2gCfA#jRa?ey#W52caGmYTk9^#*R^I?7is8$+?g*7EES<6O**5BqLnBs{i3)Jic z2d8U};r8?|b>s$eQq)pbhM3*|wSl8f=i{=|kNU#Q^=i{rZ}lG5J=5!cN#zAeDwv0d zcWu)4tk86Viy^aK(QXH5SP2yGxKQ@o{&@$5#9cS6jyJhG*^k?D7pr_73H#MBn96dy zbh_s4qw$ai8c?iZQ_smUTW{4z*%JiE=Xj>l?QLo?6ekt7x3cS`@9y;_>JM*H$S0p< z9_nk`;SkOZS;qIL0oy{jO1~vJcZgmoExT2R&+Wtw7ptC|O({QqX(}MzQLAAW9N>xz>p!wP0y$U6K$9i3=iBG%JNLLrENKJig!RrYq~b_|+m^(HOH=Ab05bS)e-2 z82Au+5A+?MFO;V4HTNIQHkl66V-vj1*oDt-ioQg|Lmp z!+Tp(>Iz85vH*qeZ2Qq_t#p=7rZ_p=5UuIQN?w&}MtdB529}OBj#Vecu@f-r^6u5l z>rF^wV+9odO9YonRklXxvF#uH8wR|iC-F&qvQSnW^zX6S+wJJIbvY7p2OhcbA<_%y z@Jzbtc=8wWW$&8=`(Wu44eO~a=dMV{zcCL(x5(5dkbvh%j*RF&vnzAxJnK`nj)yQd zSc!=OlW{taO=i8&K#x3}u+lL4PYQJLg$_AmjL7?Ouf{f!&2fIMGv7>KlBtY()jC7g zsguz|X7`-T^@B}D(z-12twl`GORB2y<#|gOpJG?l6wZ^6!6op^YU-X=U890lES^ld zF@8?C4PfQZIwsYS#PX{9Zd`18D)1}3^c~+b7UZm@21MnrQ*P?1@`e$N_zi!jJ~S)q zG|=VwW_HSQi%-6qOz7#K7DP%T&mS=ZK-~8ZMqHF5^$DXEPs! zUo{h-%+_=1!RNX6nL4tnOOh+bbyqS!Kf-JC3`A_%Ps`wIeOcxD*$z`AGin|YPryN5 z_IX5yx*8^(_*X@L_|+&T_$WdkBaAxvv@rX{*=I)^iuL7CM^v;lvv2b{(m|WO`tp6c zXVqiq#T!b&=q%){Sn|((`ZpDr4PmBi3->4~EcLg+d>YI0*ktlI!U7r%A@x5Qun+v$ zrfn(XW(mqwOPu@(pG$g&F5%Z~;!K!(X>s?UsPlC9M;+RHzx4I82X%3>T^&EbxVBwO zowBV8!zgI9a5*NDwGTvC6UBBq&XQwGlg!&M2KE`&bh4l{@Z`Ug8u44|o__ffU)*yH zlS>aou|6d)9?$|>EJ%ksJKu&2clbj|w0t-D-0fTf)57JTDzj1EW{e6q?VgrsefFXK zRF314F+JKde3>(S0 z)g`GREGn1t*&ZTwmg|gHZH%5wabBPf$@=|TTiXHA=TWwZm$Fe}lOfZp77_E!4bSlL z=9IehOtyS-Pi>06J7^Z!#ZFpS5a+JHKcYSj20_6h*BDQPNC}nQ7rAFfr8DbOdcJG_Yt4D5*)_sPWXa_;I;h> z#@Z~e&hEfhH0~C}{3bgkIwVJTH*DoAj~L?+hF#yKJV6-Sj6DeyVGk3>#=6!>9h{S zUcJ^~MoOKfZGz71EqokkPx-D2(e&GGA+rl%%nTlD#*)9U|33`yen?XnSghft2vM8%1u=m=Cv8vYn=%upniE2t2KSd*V=ETucnE;|Z&qtPk0d zFUJl!vQ`omqVtri4TEpD0M-Al#6|cziII&5a!}1E917%W!y3c$Xgn1CwbGU z;;iMk4-$LHy4<;{BLLki2uqZ?&S%kk6O%&Z9*?JQVcjEJYW17zf2$b-5Nx7_Fow^c z2)@gy>9LgH3InRkJ7;|NCh^kHK>=Q|m-aHChW{*sir8ZPKwE^ztVRrM@B;%sS6 zrGs;qO$oObjHM|kdQw}T%u*V$Y;6zjJ31`1$4Q|a0maeS6XKr&KPGs(t1&&CHRjs5 zTQx(ZaC;-+aRL0#F0v6{X*GYMPlfjvqRpYEUR-15v>sTawJuDri}=9l*?4e0vg5QX zUUGyeC5E0;w5fQ;H#8c_wmbKhGW}O4h1V0;JtU90C_Yp{yU_f|gY9ha;DrFH^Qml% zwb5%az*7VhAjZyYA=I;D9{`t48gMI@uh5_}fow1{O&d+ekK+HN3L2e4a8bq~*i&sR zVm^;A;oJvM`yz< z2$8}sw;X7M+bct=Eo>^13axLSn>I1?b+;u0bi?vcT|_#<9lc4;X{e)LyB+=Re2?zcR8N?0m!I{K9BL#9({o1}h2P-xl`umF+PPI55No$62dgvfLT) zlBSL+@D^M>)cy)NV;iv~JaW>sV_H01mP%r3pZzX8jyNl%Dv7T-qY!BYotb%98!Xrl9V zxR-;~gU?+iTj^Y^OF8`5;=I_U!V8Or66m(;o(ku_e$)N*P0%BEnekEvmc ztnLuz#h6@@yh1q1W^k9g)A3HV1hd6i%l?)lw{`T1P=EFdo$)?ldfu5>+*8mYMnY&T z(V`&^Z4-Y6(-Gk4c>2A1jQiLXo#Jw_QWU`}%s!ZMZpjq}wdgE0JUk1OF)8DEVBU@=;J{^x= zPZ&2}HUovxpG)3IQT>@W08`*W5 z=btEz6Mn5~l6D`I`#B#i8GnbdCYz%&-s7ME!Q2-tbvkUN zP8N}}ivBNLlfhMJ`vJ90sSR!dP^n2VD)Mv{6|x-8XVJ|@Zqs`19k%6{nu342qJ>si&{1(0 zLLNONVSNUgE_n7aCD8uxU=vS#R;n3(-SJ3kR4kdUMEAP^-(oVUoHmOweP6QL20Si^ z!N2fNQyj~+cRBpIGz9x2yBnL1_;^F*z=wyl4xT?KVE0dy{nde3pdaLs&?yYU!&8rD zSy==v%7j#+-wK7QBz7h2f!i~4Q4f1L6uBcsV`Qf(d**b7hJ#P5MNs+Dn;=2MR);;d z_Yj`>;t^6pPm%#bhTTFj?BG_~4`X%n`T{#J2btg9BQbV2wW}|2xQaDxVjpM-WwBa~ zRNy5}BOcSa4sF2u{tLxkr(7%ATFI@8fRCWTolNRS2B z$gM!z-rk@4zGB|?P+LkJk*Qe!-pOEfCz{ah?lTWBw>CM~1F2IDYN2196YMw(hzErg(nk1eu zp)4h)9jG89z|w1XW55I3de{)szmE8OiNb?D8Qa5wXJ(gFF5WXzJ8tKEv}N2}J>$k# ziuc9}T(He8YF8G&nG}|Hj)`EBt$Q0w1O81wQQ^CU7G2FPZC;`8oozVy0ui~|);9z1 zZ%KZ>{T_uLjjR7O=i`}Dh2x6wy!1_gr6bzYa7Fv zt$FATEYAl}a|~^YGD^~Rw zRp7b)B2Fk76NA1vaN5D9X?>!>dy(qzD5nvJC&y2LWG(cKV%Z` z+V7z4L3km}(3u{aaB2^tp<$G+0#Y9XQVtdJ$eQUgsp z)W_yY(Y2d8jzU-grGq#eOCK}of;TdV;V`f~fpz9kMEI^692Wl+t4xH95h1G(J|Dhro4dF`C zk!0KziR5XgOByv9(63EF_<9oMio9`o;GP!4ztgR|KmdyuJp_ze|&2FrS2A4yFi=VH^W9z z=?W$caF_<{L(-~vM7!&|x{OD^II-IHH#T-zLaVy76X4Punl>mn>!t>mebK`=LjI$b zesfBT6{>0;aiZ-WMeyW17hwb~dYJf0pZ>VB`3Wj!;j;)}rajSUIdK98N+>5j_3Aj! zEz5Y}I>!K`g5j}TaxMsAU``VpFcfAUf>+Cy^RaHxHs9q=t#uM$Gc|wR)mZRQoz~$h zf=5&mOUwD=))?%+Sjbg&bLH}~pfn*Hp;RaTE=tYRQ6RtP%%?KIxcz%@qUEOEzF1>` zLBF_a=?W;Un^A;$Amey$tsJ{M>r6JQbQjaBQ%{e{C|W=hw)*Z-dz6js=xW#37D~cPHMzE)CsKa5s~n%Hjm+aZLQH=@DrY*v z5gnSI6*$DpsBA?zdd7wAz+S458S-A={Y?-2uZcuPS-#6t$|jW`&O~N9&~Tf<7_N$_ z0fPIwwa=G!cBn3TUUFzoTT2A>2Djfd(tNnuyo=chRe0M8f7k7I`V#kvQyhp{=z1zE z{kq^H1$3ow?=Ft`#Nk|gZa#P^*|cM5whn@)!r$rz{D^Hmcw|OXawBU~m8JCO?>C2t z6>}{~+GeyL?r(a-GM-5h0WJ&XTTu=*pi5`+AQA!3N%Gid7E3}eZP$Zw>M=V4WzU`d zFKcXu&Z~UOw~%Y+7pL{#2X36dpH{$VPG#m_G&jKQYJ&sUz&ZAjl8Z+mfArOt-YE+I zB=Y~7k^Q?c3~xmQ4=@|s5*sKa_}vHsz}?7)a~>8qhG{a*t7Lx)-0*2<0iJh0KQ{c( zZEc2WcE~J4sQj{S3%@*-HBGbsum+bGth;Q!uo6o==HR=n)B3-zTfC*P9MLLZls~@1 z`9hAR&i2YlHvE|t5lsOnH6lRL6=FZu z>E4;s;=8}=m!k%cNTf5TCdx!Vm!0fnl3H|C8@*CJjvK+;-pq8bs7_Qr+2+I6!ad>C z%P3JZ|11an5wHGZo-9fkzmzkFq=c+c1)FJT!v8py75ZL-_uW5sawci-O=f+$Qs*21qYkvU{x2x(m zH&`B9Qmy3iI9+IV=ZyPDo$Bq_qjIjGaAR;HF(IgJTdwpU>)S~My}}F4#6my^uAksed$qIkj%>yM;MS6@Tr+vN zb7b>}Pv%!z;mZ?&n-d&XoWp!|;qF+Rc!z|Dxsbxp*T2unOu8zByr#AWWtp5AJ9nU- zIWI<(o^M{HqDdG`99@fak-7bs9HE_!*w{&|c_!{*ZrW0L4n$>x+&CR4M>t4ksX7M~ zmlY6KRXE2)iD!P?%YKQELz}3~pzrR;k2AIMPFpu7`iRLgmJsx)9Z4H6^@Ub43x~AsL*^r{EAPdJHuE>9@qb6gH&Sy z>1~!4@R4=t zzc?1I&mlIA2J}|hHxYhazi$2$8Z6|MDD_a zWMRAQ7=tSnn|Z{#`wZfMPw~w9x4f?hx(83;7mCl|nT2&)6BbPKGbyBFl?-NJTaPFY zHCICrmj#~ly|ca(pObpkS#pEBis66IEBZ6^2O$*_5!) z^69nB=XGK3W}-}STZK6x_I&Y{p{vYm8lmht(c7D!za5m{rCVRzk&JXQ^-vwbw7%JTK6+|QIR zK?=U;VV_8RX(UjborMcA$9qjIn!I2?Om-IBMx0L!l|K?Guz)I9RqoN$H`HN*dt1SI z5hr2dIQMhqZl#AWan?`<+sRfYN%ljsXZGwM_C|Vk_7e$)s+?I++z{Y@o0^*66XC_l zI5k>v)$I3rm^vM;{n`JgXPn6@>_*CPzlQaxwF?Ms03_q9OtKZIy-hQxf{%dJv;j2p z)Qq*&=9W3XI-h?11JP-yn<1M@s8NM(k0|vF?Q}28D%8$V=S4;h34;=N?+RRCOu5Yc zUoL^k!VV6@fN%XqXG4Zc%}SQsQJTM|&C;OtxIkSbOTJFdJ%6ah4fD!gw8qx^_;u2M zBmy{68%3ZN^;@(fOs}P0Ap1_9T)9PtN|~l@sDAU8Cd?OQ+VM1a-?n;mJDEK8KcSIL zoq)#dxQXtlnd;TC8g+t6rj{^uV)j&2_y7+t62|CwTWbQ#sS=|U|3Ml!Z3yGRc|T5d zk`O8C$j$fjSu5S78Cx78Q#onuD<5AD;G@j9H@p#1?zg4ZCYYpJ&(=7Vu`#CGAB+yj z%QY8`X9$t1e{`qr?~PT2WLv7Y>JRwv+!c8926q7y;HSibapJ8Jq<*S4ynXj>@s5%( zf!H3B$6j+V-dlNFe1$fM&tozindkI@GZ{{ZI0XH%I!5)xPQAiB_lp!)3a%yrnm{)d z;zZ>ZAf!gmW!;baL6Rm$WGZzYLFMOygRKP;`F4_`MZ5SuRl60@Lu@k)NlF1yc(=5{ zK<)t%_I@EJE>!+JJ7!9nn<=1pIh&(Uj@Tdy)M_aUJ;e}Xn7S%9@RHsi zyo$#?$-gj}&yu#hyLW&5WpxX@j@irya z&IRWgy{h&b)pshqktL%_)24*g_*`0&x1g4!c#^p%_0{+_D^128vd!NNX|a^ta}IN3 zt!|r|LF8kX=Frpyt zja1E>5${N{wz7(QYxlQb06ug)<=N3}st^Wh8}>k$@9-#Yh;-#la+i$oEdjE1&%&FJ z0|!Lw=_-&H18rXa;JOyB?mV+yJb60)+MqChPBa)tze(`Zu{MP<{A>2`x@43}8AH09I(2)+iaKc5R`j^Bd zjf|W>XeeoExRm(!egOI6Xu?fsCW$wgEc-S^Nqaz;Es{A$i>yT2Rf~ar{n_MC2b@LM z0t!}UUf2ZRcdRm-2oh?Oj#cfR5w8WOcb?(eKMybgfdKIvlg9gy7r|i`wOIR~zdxig zqc2w6AQ>4l9sB_{yo{Zp(KPl+Tt2w^|2Tq=OWmkF!cDUBPIyP=-pY*Ie$>WUCVtaX zRj{ig`)frHo{>JbJ8WNlj_+2?6OZah-d*7Uvl~{8=;VGnPp*i zvC=n1l|WUKJ-da=y73*4D$&*p>+%MB;|a+G!q^V38xOk<{`(?~zqs2#w&Zda3OO5f zHNVVU%=HOWFgnrQrNI^`ZHwW}pmn5#8#Gu`Y{G{lu5_L3btH4fztgR;fjfw zs)WTx1t@TT1jxR>TVboKYkIALPEyyNzU=HO5fdM1JBbOstM(LuDmwGB2qhLuCo8Zv ztiM@oIjcIjJyhyhwc_~KT%%T=1eVS~ORyfRxx7!r*}(BQ7w%8bArPdU^VXPcTMI*-j@G_tyeoCAaER2Ws|r*bxQ z?daaIC%+&$IR-Kodls(G{Ql0d6zZo}aVr~kdbBY#F1Bmz*MsF-5zZ75I4BYo|-q2e=AaGVGmNn zPz#}+2*=QF*7Fz95)Ue%ca@kls-P$b!~7ZFr?VdNY^87wZb+NV3#G!P;tB^vzWkY) zLKWxF%@C9lE*)(Giv=A(+pOz+PFsuK%rk(>x4dj*Vau#c?f)-9;IHOJ|V zh!Y&e>A^xj=RrJAVjB1a_?Y4%cM0VASkbXNU4=`xpC#wifWZe@wHc=oAdg_K=2I!n z0*1}|kBM99a?Vt~#29elD|`btDN8@4DYq$Nh3)U$vSD(yk;U1^YIQY#K2!Ut5nz9+3}=kYt93`T81y;LCaG0#P`qJ`#yXA19=+G8*fK2;u#gXtg?wg+)}JLmRp5#^;!4u5J^=#(~qYDIGU2`|0o{vxaicQC5Yfl6R@< zlLI0{3>6+n#eme)9;fhg$_VlMTGP$HYoezg*Z?2dLlr=ZdQBp{U=(EyJ}2si?o(@o zTh;5Cj15Ee4i>^a^$(mBZP;)l@#a7s z9NqVtw1pn!jprX&R1~YfG-RQZ!r5HSk=vI|k_o@*llfBWqBEOHGc4yxKsq<2CiJr{ z0A^<6j-m&+#Xla%v0xTXr-KMqOz~aqa)uqmMR&Y&5N}fWf1p$!yb*$&bet&v@9PEW z1UlYkL+EATSo?wW)@9Sx!wS;5TDf*r`UnfFzqc^k1ccvU#t_)=RnwP%D*=lbGuCr) z1{I^#gb>yEs;b!|R@b^MQYA$ir1z?3q_WF4^TnDzw1FmwhK6Gf7QJL>*B23WQ(14}wyKyAp$KD}OPV$DH@LDsRvJE? zBWj~WlX>5y0asv*f$KlND$!R7rUWa&W!z)gj9w7tzk$qbpSf+<)#IyW4NpkJJ~R;) zwV{=Fn&+9b^pg~fE!fh{r$Kqs%Fp(l>|aYi@K$2WAda#P73A{g0c@?i`21OhVE-}t zl10pMURjL3q2IJp#XOxKD#c{#HNp+g29h{UaID6Gj|{9^WGlJz(@iZmPezDq-Nh$)BME$QYR3@Z+2V`e z*udSh*~a5LqsFvqC6g)LU)_)6(NiIAPm+;;D97*7U^;ek#}Pu-@Ap9A<2fyPi=uW# zi((V=|6}T_!=h;4a6gL>ky4SCZjg`;=>`P>X^{?zU65uBq-*IVl}1V$7FYxXmR#wS zTv$4GrR$8pbDirt{K@RRGdr_0?|VOYJQX5faSb1rCv|ZfL57Q6^EUp^Zy>RGd~5R;8}t%Da$Sef6VrFI~9!le!fJYueZ1O@(R^Y*OyqA zC!ClVP%dGXcchG$RjgpKbw?loP45o9+`()?i_?_GYz6O*c4s1q%zk8q0Sj54)VC6^ zFF#1ei5(2GKFBBukGvMQ0F3Lwg_h1y5X--_0Z->#(3@X zzB9qp(Y^EjLutozdoNxw%}3MYWJRzpe#+o7Mkwo`{C;4>e&eUh8i*eQu)#OT(TvE7V01=c0APu}7=dB_b;QGH;%JT?+xBx<3 z;#GiwPU6NU?X76Zxa{rknb?2Jr)tkTCmo+S6Y=+B_9>@Fz{yWkred*OxpU=iVR&fI z!~GL1JLevF9%A^VJ2SzdO7t|ywtMbR;DGP^!oJSz1O7Gc>t}JEX8mXzchQ_7#(Vds z!Q6T4+;)&BiSAyAxp_zU*LSIJI%^wHEa$qr0Of(>Q6H^ z+Civ#tt)sw6wMXijIGa!s#k9W$+RMQL{2bIH5-Wq!IAyatB^;^pS5T}Ky}`!Vf?;H z?OM5g=RTsgT=&_B%FO5*ReUlR%G(UuZ^KGsKe2zsFk%8wQF#2;rVQO3HgpA7rI zl7S>>_S6-}j^EIqQn_x%>Yw8(w}c7=jy7G%yKYO`31j|X41+((S+i8)jLr8-2{2;f zH!UP{w`>x7B#f+7MXlmYKJ#Hp`)%YR0~AEb6K8Gk5+7I;z!)4AGl{FmgG&HdA}}oD zicQ+?Ef38c!$H!=&}-^SR*TD5#pE)3zX^L;f?E8|wcuutKfZT(}_~?mk}$0h(uiJmOZ4nfsHyT^ zcW;{Zc@nr9jkmr`c@P$J!8zf6ddy-C1Z@+lE#n^Uyr?xQRAOVho(h*fAt1goxiG>V z9D+UF<~6-Pq2KE@od}E*ql=`LNMCVo-@PGZQhRT!0W)0fTegq@uzW-RvHthlJ2b;R z-l{=N{ySMAOW3W$#}$-pV>X><{QJt^#V3AQYwzose!lsYxv|LirZBi`0BeZ#&$JRT~@#F?k*kq;j9H$F(MkqkPv-GD)PL*=_|4{8qGHya{@ zKzC8!>EkXmF;y2jfrk*!+kbL8qI}gP-sgA7;5~1(Uh%utLPrL0u*yBXd%AzB41F-X ztt^^h_nBGy{F0cXIZ1~ zYp4Eg<_3-b(rcZBaO>mfqVI=mLOc%!}k3z#xV%{-b*n3|C?+G zTEFZpK$IN*<*~wMFW8=7>myi=%sZ%R1|+NzmKE2kp0`Gb`cH3ZToEw$^Hy?>uBuI; zLmXKP5Ij}ENzkERt5|BbQ-Uv&{g{~-SavSt8uWCVcW6qyTptntC{q5h3%Eu6 z5SO4n++<=&bJ_xnsQv#S*uycS1?J|Km^F#&-?L&rC`Ds@|J=Ou95KN;T^p&yK%UNaY25}^PPHngH<>^+e_C7SXt*oL*LI2s7{}PW`6)RuX!I1d zDq5{S@MZMGWcG8ZcIbX{M2#bdQa1+qWj2ea1F_{x)o=l%152H zvDZsJap+s^Vf>F!3QBhLt&8z4ChavZN}&IHD|*=;QU48vv4k=800;bww~bldhpqIU zD^785YKo%6+1JBSr^&L+EeS06Sx|Nceef}wEo^JRd!uihq#<&D%SPbM@niXpEp6Sx zAf-qqfzhbmu$&TH!Cr=GslIN;{kR?V)gg+Zy{j~i@6JAJq;6`LS%Kl>@_p4OcF80# z*SBha@veQ7D5`AP4#)6i=Kdn4gKk4#YiIpE@S+OVm7V z)Rg?aJ>$tyaD~t1!fTZqHv;^$0NJzHXP zgjkjl{e9YMcE3Y1GU2y9x66BZZ`#UM4A!Dn_jjv-_7j=8t&Z|a$(C7X1E80js zws0%K?;?JLy$8M(yR>gG-k9zo{i$VV8lwciY)#2-)kGG0o1odIK%d5-hx{P{Oh>HNbd8 zw#J+SdEiKabyjvCyQ5>=x_RYUf!q9e#P)317o-WE=K)qJ#ugGej#Fq9_Ymtx-su%} zgt648Ak9UdMCL|7(v2wf{_HmW6diRcgh^mOZrL94!8e^4u06@Pkq{>ay(W++ao_G< zpWi-}^V{Z8JnI?a>)?TZA<8Rpp0WF9OUz%BKY_xdS$SVdL8mM2Q^cqEtmJ;M27p(}wZ8sw^em6O;fIs4 z#+~(W?Y09Ab=gO6^{=DCR73J;mKGshJ5lX zhb4<6L~rS#qIUc!DsqNDfmI6Wv+Q7vqS>%dxJ1EJF8S^SssGMSaJfG2dvI~Ny68va zq=0Z6{W2ci$KLiri-vyaVF%!H8O;hxubN#0rQWB%8kQVM(7FD=yWyFhD)ni5V7lsx09a@!ZB;-y_nHPUW~e1w5g&de9Ku8se}SW@7b9- zjkz0v3_2(^^`Xk}HACeZ!r>OR&&aTV9;?|4fkuKw2YA_(L(AP)<89HWXIWW9R?_f~BAaJks<-8VsFtwWo(zY8 z3CG6rt3^H=)f(|$6VM8FsujXMxhLApH;`^4RCGm8m^YZMWOlyO6R$(O)KY^%h)oE7 zi_cWzDspGXur1F-#`l8r8lP*5!IS~ZV?r~QZPKAGWL1&{WHdOneK54*Z#Owtyf95J z!#%^0&v*4<+PXo6Rds+=Ob5Gs7a6PZDM6=~r=aqq@571}RSg`yeAtg;R^rhOLJe@N zRS{ucBaLu$L+_={HT2g4)eVt2{*sk~zsR)uV*OQKrZZJh3FlbH|OXhV54RQtb2 z4_LRv`2D)Lc!zuJpRW~Xp(@(xn=uy_s0U|Qp$QJjX+~a&L^lAvK`4H_A4X}Xo|{Fa zHB2YCV)fq2yIi_i3Ht8YC;Bp`)pU`$jk@PCk~H13ueIB4?1X=vGkdymr2iX#=mLKO z(FqYGJ(gVDum>we;E=to&ezcV-OpWIEoY}mdux2y-9xF|fwGp@!mNoKXSPS{E{OT~ z2r*1+i1}9_ZtFhnU?^J=dK(8yh0*%g=ot}dx)cY=AdPVZdAo>Qix3DaW42>JD}Bg^ zdB#jNVcXElyd&P~)N30clln{326QfGbDOQ}cf7l7>vJ_~Ig*$7qvldNh z_uYa^4$H6XQx=sj22$t4D?d(w@`KjI+a~ST6r}RHz#$i}9MwRgaF+dOZA|PG!Q3B`rxw21kK$M_{Y3(8DQw$I?SyRs-(2y^H1QMo z!5{c&w7qXGTDEX7Ws|!EDNZWlC=+6jAebClM?|A*sjF z^H0D`Ok+!wUBV!mk6iJInflB5iwz#Iy@gar6w!a=TG;=LQ*z5Le%Q91vZ-2NDf~x; ztT0O=zIuIp7^r>E1Y1{UZGJVQX5Fz>h0-!l zl2;q7-CsG&Ac0RDCQpThQmJ+xWonskceZg2a=CGY<=Ne;`;mY8Y+A9gLS zKzYEr9n(#rPB?<%2ffn1c`W}t#4-fk0VK?15QIHYb@^mw8$x?(21dGCev4VrpJXqu z&2Oa$yuUNczapUsN3+&qE}*39+le->i5xW^NExJD!r*2pOHtpw%t3zjo`|@CiPve57Qwk^fCoOwv(Y#Vo>6XAcqwxFm{GUGq9kz{R#=9&s-7aW)b}2! zbFi^++`_`1C+16am`fy5rmhOzU;~1=ZA3FBM~K2+rMi~Ruo%a#H&#Y!waHZq#o^UK zP1Dr3TqlesLLk;trM?izi;zNmN~GY=!+zE+)s*w7EZw8kjjN< zpXVQAo5?i+Q+2Kk{eW#x=zrH9JjWRL^z*#~p{EgRuX6na{0@hep%1}lg02o9;9MW3 zwwtAxAld!YtVgFhaOw04g(!yGQt6$*^OQdV$5qyQlY<%@QkO zh-s*(1G3L=oXji**DGmM1941Rr_0Arf{^r8H#LIgSEJaO)J0vFve-`hLw-~Yawe2n zd^RMm{<6oKE>1Cm?WYKW%)CRZ<3k6R$&ZqV?5k6W0zN|z9^oEaNe6mE;YSfE&w6bL zSCP(R!K+vhzn zYet%j&moQ!z3CSe)5ZVdetfm5+xFJvtb-q|F~;?(J^L!ts$H<6(YI%$D-syd8#NB} z)uPjG2&~GP|29a8b?Kh36%mG4obf03?o8Z&Fk`@f*ZIjrMY~^q$kc(hdGN&1BG2gZ zrzciZ39`|Rs}Tg@&_PU<8!Z+BuMfjuuyChnTI~7n4^kRp^X!!^xWH3jmUYi=Gwz;9 z(ExN!h_UK}^a_`os>ncQpRD~g)E3x)OM2THv75T?--G$s-4{;ZatiF1Vhb~-lupB# zYeTYCAy+j=+a3$HwW|!5-K=-2XsS)N^*r(^%&oGYQ{9~RSphY^apjO1lntnH9;V^t zZ@v7Wh1mg_2FqVvd1;MUK)Dqv^EUE)OP9l<(l8@l(A9ov)DRLuuVGFGOc)dOJsljc zquh&QO$FV6JU<*E%9v$M2oZE#zTjxjP?W`tM}NdzOO$srkmdK27;lm#jUC|p#t7<` zjlhQ++@sp49QDaNuL@<%uwKh&f{MQNLruzfR9YpB*5y$}GW;DXh})`xoOE8_Pgo$h z-XHnT3s7$upz04=rGQ`({8=P#$SqcC-4Fft;G#8Nb)qm6CsUFG%b8>qh_>u!-&zk+ zv>!FE5#Lm@>Gi(pd0==dWk=IR+VmU#!E1+J6(Ug7N$uB)*hi_My@5$<}e3Q*d7ra-<=89O}n8<_Q2 zsPcV&5q|GDYmV*+Xa{jeXAtMOO$_)C3@L00nP{aGxWiX+ob|cxe8h#S)X6iXS++hz z;x3zukxKd)f{?*6;gwn%iGx0m_YEuac-YJ*aIHeLt)eL^0TCDqz>$qg+$82!fZ*^0 zqTL_yL5{%lEH=7IX4jgWI@!j)+Qug+@p_ea=-*^ctM0*9w`8U7UB)*7+t;691w~A_ zyy-2os2mFsLK>W5WbsafJ;UbY+X@4XAtaB(1M$&kfpL75B5gX1V6(`A9^bMn*;Co- zu!Jv47ju`C=BfS&U$0+>Rq}UJb1kGxUkXl;%kKlRAln9j)oq#syvyc|;Cj32%et*) zwO4AgiH`NT=hSGe<axa@lMR$%Pa4lgkj$6e$ z0LB36>E`Y#7*c^PMw(2c7IINOZd9US;9Myq3y&pB@ccXrfS zy@^AAuJoK-PU^h+B)7|;8f~WKJ0=?dB&+>lr3CA2?5kO_*B^}XJQV|25AnLj7bj-A z$EuT3AJgp2XQ0xn3|478PA6xGq((;icis2Je98s=dlbhkO?^41SA58SgX*f6$BhUW zB`KfiK%?SsGlh@g9)CKntZ7ptWw$9d46dKtx6U%-8sQAKS8CzFZqllF*bEp0xlL_C zI?#g|*2D?; zf_W;{AVOIrES_0xfc;UJ7SvTH=Pafw_?5}A8g1 zJv-l=ebAfDf$DoqPU+xHaj*mk7N%})Jpb!LZ8)#w+pxxf%?fQ(;jNaO7BQ2QQK&}I zz`DVDFT@T?bTY&TE+T@@^Hz1#S!LeuX8AYpG_e>o(>1g?7@lsPiB(j#U}osrn~C*6 zBYS%~$v#*`cya~#{0OU2oAIiaZL#rSosp%33LtOeK-9DJqLL$y^zb`jv`YVr z%EBoRbiS!Yo3zQeyq0Dwf?jr<<+!-K%Ea|Q1qfSn6YpKx=72>ReZ}o5@-YMWb%~Y41u@39j-If-k{=37P@e56JFY4;H z0{|{UL4c&pMZe)P^bmA5gR{fA<0j#vPu&GaYqlgi?qn0m+M%~~f$2vJ@3Bj!Q5dOq z+&F-vZ1P|YhC+`zuy1SG(%V#N)lNvYh%(mMtu~iA&zfw>;uihi9sXjjhRJz0!d8yZ z*$>dA`tv5|N?cY*q+?&Iiylh8vNgoAGyqc(0iO|C!G683D69o1{$jI@hXVR^*^pD{NPp^A%Xn_840= zpV6bEONre-eyubWyQ`de>}MNy9Mn!!(^`LLcI?aB>gpq(@M+ z6zy(h8L@s*2+A1o-}D=_$A{Fb9Uy(hIURjq%04)#3}t3M=lY)?C``E%^7{Py%W$)) z!yvuMUC}eQ4#O?R8P3DF2G|o|2y)%ZBuF?QRQ>#HrBaEyZ>N~gSX-%(EOQnOAGI(lg2ez)V{+=sW*ikLn0(V4 z7t!5#r0Nz2q1RYY)+Iu!EIX9}9EWjnvmg0T6ie!9Wo}E3C0c5>z^YN+W!jP4urMd2 zN&IY_pAkL8t{>Mx{84T7KteV45%R8t>HES=$iG+Fj*I^Mxw6(JLvaP7AP#5=4y914 zy*HdN2@iZ0q+BXb77&$UY=Ax*e&tZ{yu4A~aEA-VO)bq3W=4)vQe;#?1uDvEqDoO6 zggs*X>ikx$&S=10U37s(GKVccIBww??P%p_{-tvQo&o%H_#~aikN{Y{U)_>Rii(S; zuRqnyZI^J?&DC6B6;6u{0z(9@I1qq~oAdBm zDDRgXnrZx8=onAU2-1FFifd->Fo?yC$)2D)6M|fHP*?dR4i-=e)lSToSm;)4wbzu6 zWG0uiJJD8V&9DDt*rwE&voB_nE~emZVw^#YrkmdBG3G`RAd|GSOZ%D2+5`^UDYV0ZI{~@0>q#!uro*-+$nQ}koC<*pofF_1n zHunp(-9`oZ{B)Vp794$mx!3*Z=6Gu|_y2~dxu3>`ZT-LSC-9kuJ&7J$y7B*yogA}L zh$i`g%qX22H6z~26?Ngt@+vFCzD~r!E;)Ybhg%Dnaw$}{kTDbAdDd#j;Qe?!7ui{Evo;VemS>2v!VKd zg`JlEBbEAmshj%dhBd9)6J~alkU(Y*yj$XIN3KUy@LM1!4h>ufP0GD|Q^fxOM zK|1w9cgaKTrYD?n+Y|A~@4MS}M?6@dwFv!jj?487LTwq`<`rYNEPrq2D*OilCi?-)?G z;__NtPVm@0u_rrEeFG56_V(4Qpu6U%-hZtadQwG#VrkJM7LeWQCOb%c%Nk<5SzgtG z;4Hn7l6?ZtomnFc*}rIKq98?!W5v_VA64d^PyTQV48E2MyBMfja8d>@V$S)CKL#qEsnOPGr z`3e5p(EtEO4=5Qah+Antr(L3G69gk|KC9YZgF2?RVg`qpwdN3yJ^IS)3+Z&QNS@I7 z?q#$Fn1@=c=cH#Gu`e@N)H6)<^%fW$G78QPURjC7vcu*Ni0PLM)0KQ5%Q6S&K&rA> z;$fJJNJqDrrVo9f56c&*WQ~!5cfSI?C?3h6ozkr#Q`2tq-OGKtNx1D&eaK0w3+1F< z#M<5~skp&tQH!k?$Y3o|xgw4Y9CA0rRl{8HWq>LexnR+l{v6C8I$wOs@q2x94o32o zS+7*4FlaBypng99gr6eeQRi;}RndkQR=dp`GfPD_NFJC0W)?3{&5=CxGC!-0s2*UT zr%F7>gyE3|nxU^!`Ebx`4>=3z!%U>ASm9$l{hK)Rq+W95 zd)-3e!}BL6F;SIS?@;!P4qa$RpBEcrbtx!BQl*sxjZnbJ5NdJ=AMVn)m!>rk2I9D5 z)kl2S-F4t|r!Ol8$}VV?r?$@k+Fj|>!@9q7KC}oCkc!x?n}1UJfiHMsGN1tTrl}@0 zsr=e&#*WAyQ?X&mu8U{mt-Qt&VMpXAH19r7K_08nS|*Ez_>Hlg5j!oIQh*%h(35oO zUb>dMzhs;xFKn2dtU|lP_KJ`EKLN@Uu`{yNF=IP1)ug!{1mrGfk%uW~nk;pu@x^r~`Apcp^Zkyklo8~wQaV{xK@KWi0redmrn z#`Ri~=7mUH{gyMvcMwn+Dsb_tP2minwT`=t$o>^}#_6YRTMQ(KBQ|sXl*}(?-`IuO zr$7F_15ylEOcu$9=XNkc9y>;S{pPEP;wfxa2@T~GO$C0+Fq%WXtFMrZX ziE1erl~HoL>g@;48ba7&FL|s~@IuUZZoHOj_m=>Lh#y!c@`sYfZO6&;v)kqt3mVvy zrT2nozcooDi&9}dz6B;o0t)^DOmAH(MB@G>F{)K)!)K(jD04pXpp(~laquPF=g#-D zp2-$X5K~42T-)6U@7Bt|7x|t76=r9xm6#KF*_Dax%x4Byv4A%g)j#wuyj%oVJuX+u zA54xvl<%)MVh=zd6XkxzNjjA^gZHjjYwJuSr&5ry}cEE-w(KztDXz(jCK_PUzu~*Bm>AN!8;}}Jiu%lP6~}U z^>d1idFYh=>heMWH}cqq)tgpk0wu79Jfc=7dd+_q3%l1&4VR^vtl>6lx^_qf9iHXC zy#H75r;;VR8jKkR5ogoWqiay)wYBK39h$ib$`M1b>6a?#m}PrW88?UjSNI1~{R8H| z#ihG_&^Th<*1A3NQ52%J+DSfNOf)1-3c%EU1RZ?BxH0TUNzh5!=r#WtsiQ>%>1H75?F^b5`mXN)x3r6GVNy z*iC;%jLlh&UqP87e`G%6 zHd>H-SvGI#XW6Ex`v~_iY+eh^r}-izhXr`-Btv}`liY`v5{!6zIKn07`RB#P-KBlT zsx!D|>WjAZ7nQ2UJu{(Nejw&j3g7=`$)9IeY;54#=%61{4)D$jemmUUDh>2*ExPhF zwAu0<#^k=9mHD#iz&QR>@BPI=f%#^TJ*r8T_1F8>Je~#XZW^I( z_jp@oMwBtqiZkz%o+$hr&DLY)DWHbA@)E!PGEW1hJRy`VwG;Mos~3f4=hu982EEKW zVEMAlghn%*z#;lG%6_j>DVEPlsrD!JZcKrssaU?_(t(%^t+N@_YOOQ5SXUy34L|T@ zP<;r=hVADeG}b`q%c*(yXMl0@fkMtc6{`Qd)Lucn7bUM6%ypVxXKXKB74w(4O}8Qt zDo4z{{VzcD#gimx#mcqXU&m=XsCk}$)l}9K{ss8vR`r~&p}C;RZ8~Zhz7T?=E1n*& zn>&=XV$At!sOY_SB;G~tVAn2d-;zGz{Ic0f`V#qjlOb|w{F&U1%O#Mb*=5TRs`#3} zbi7ARF(3OVG*DxR$lAVD!;RmL)WXA9ng_SbTJs* z*9^ox)F3*KUzN%2`$A-YCFN+TGD>!;>xbPt)s+M@48~Vu&i**I?VYj&n>S8(4tL~H zRImtS7x^&`d$|5MQd6^;qqA7Rd++G7;%w2McK2d|D|Io!GRGyvmxX-j*kOs85qq4@ z;ePie*gBw!x1m&gaRq~wM#~c z*lcsA3sl6-gzcTCYYosbv0t}D2BNIq(jpHt&-XQPQ!|+H9TwpcS($}Hb_4g+j|w+F z0#M=Ml;eBhjOXkdZ)q#WjnU!S!ufQj0CC?>6W@dW2lh&GF!G^+fg3QL)~yow zFOy>PVPcU7qbL>FPndOUJ*XBH|KWyx6ci}!T@H3ee{&SKxCKh(pi8efWlgPT6F*kT-Whl1Z}z-m6=Y1wSe;>ps1Y0K{2xTKY1os0QI z2Xhvq{(w=;jFx2J!+Tr65$14nmvX80MkHD)7SLhsfnw)`|JH zm>5qNgM@v=gJT=>0$BxdF9gFM!&zkRrmE3;kT&Iy{5{ZPqCGl8rsy1`5<_4DzRUcRCXia`_Q z8jj&@J(R+)F4j4umS~GT%2*v|;8+U==B?mi_+> zDiV8#nrbZOQfSVdt9h!s+QLYSRc@AFU3EsS?_+{pq8r^fLe#kTbo>Cu@i3c&8vfxo zR{`)SW2$Ok9U~)2x9uo5cDK12JDN0F&PA`y_9++)Gwh}VCm?TsB{x-pf*O)Aa?RaxfU#uCeEK45( zGPo%;@zSAwuy(>h{suu>1@^bB9SuS^=t4#!W=lH(Pp}M;jo2EWPFUu^-tlq>bm+Dh zZDR{DGn##&tH()_qeIl+`>HE5IDL1I8a5cj7f62U$75hGnWd$kQtpV>Nh0wMG%Q5& z-1!B^c&iKd_o}l{_e^onz%+JkmTUnZ^g<%`d~W#B#2=o1$G_bjNI>E9Y5bO7TTwKN z4r`ChQZ<0mP<>rY&4}Pf8h+js}1>LONQ+AL5DRVOKFw>u81ljnPDs=UG_TE z{hr=mP#@2EHb)n<`$shgIv5@O_knYH*-V@|V&T)Qv)Bdx6$=RnT=-Kzw)P%O7%QfA zn;C&|>J@S`@F*CfoLyLE7|2NNQ~z&*X*z2Lj@NcPcY*k>^&5n*!P&6?InQ3e;{7f2 zQF|L2_`nhG8@=#k3psmyiE<4IGar`H*~EIcjqXiC6X_}SKg=DN)TqmGdj$fnjv|td z2f3}Rm?h1~2U8}_Ay<}Ur83}9-_TLUoee$aFhq|p7q>4cbz z1gqZJN;oHseJ0e%U$u_ecQ&Ojg^Ccujs3=^tCc&&!pbz>L4*s}g;Yc9Lr#Cmh}E(~ z+&yZa3fHnXnn`1+0oRPE7~V3{dp z1zRPaZWV7EyD#@hQ;hr82Huybf8`lm_&P` ziRFC+)y(&bq!QIuJ*77Zmiun^%%r(^rws7JIqg63S!s99c#|7=$MyeG4)|N=p#rs4 zwM7g8oau6TQDp2DaTJi>iX+~l8ggmmZi(6{m6h?BRw7AP=GCZKd4uWl8BOfnkzm3) z0xV2cp1tB*&P1lICBvB$_&dC;1%HRZGjnuG^6z$IzU7D=hhADe75+OaFeM)p*tqT( z>JZ;-CG~HsQZQtLsx_xDc*a6l%%vtv8F)8CO+IME7UqEUtem-G!#a#%{q7I%BCLfRP@$ z&Yb@KsZ!=EcJ!#8ofo+?lvI$B_1{pnDdfC@9q#>5Z?jQXQnFf)9W5W(@ z`JQk4Gs)xxd|qJpq(6%Ai}gU>J@dVy8*4kbi$Jt#Pj*Fxuif%WU7G&^__2lcD7k5f zViPbq6odBDI_#YP-2Tb}-wt|b>Dn(iUE)`0x1-JqLN zO7>y8@1G*(JwMl$#EL9HuJjH6)s|N)S3rJ4+CsMfW7VW%D=M5J*bYFw{L@Or+MSRe znc9Xe0l^`z$XM518|LGW+ID>dbWq|Y2i4%VfmWL6{luI7y-7VSR*HwD7lY~XOt~ab;#c1<1}FOws;Pa*bCyr6eR#9+SA&r*~PrUo^nZWNTJ(n=5maIgv77C}lQDDCZ|z9-k=b>ZYjgc$ zju`ry8qGtVy?TkSI(|CbtB0qQxGu3(Z)@&VLzPC`$Q_G57kzG{6Fxf@yT~t<;re-! zRBy_1JKMB3psnX$~!EKb?B&s+L{M-C~1YiwJ`Ghm6JXg@zV<>GH z2|*{|0#Eq3pBW7R53bRdxvsO^vs8Wc?W!ZhIqU_2)48vYnjKU33|Oz|?A&9j)znzu z=kJ@07`cVu?4(j>S-2`+@mDG*o$GRP1DdzwwCuDNL(B`7uT72wEUG6NUXAGYxd_;q ztpdCS;{_R7e#w&@eOO98-qouTN{BIW(=jPjxc|_<{z2jP>1jD7X}_iRYTbu%tgTAM zz9lwRU#FL~?c7_pV0Dpgy}R zeeTM|)1m#qW;fBPuVa0=SNArX_3O2g0*>z%B+*s5i>B&ME0vU4B=wKZWtZW$fx@}A zUy@0pe3LGhltCB|yKa93n|R;*2wa)%s(v49>JXDAJ0JQhHD7X(%$`6_{YwG7Y(Wc~ zwSL*$BfH>OGa2DOxbO*-+^=doZmvpEKCKM9kmvBB)!<>GRlqpic=x4d6U=XQsom^`OQfTJ~yk->a6I*gm9cijz1Nt8D&T7b6Mm{S~f|yh%_Z+Vd znoMNKL0?TXEm9Y>=n8bx*%b7d|xO9rk1f#fC_WvpPq zsQiv4V_OQPBGxEakGN{>JIzX8O$P3+ub-QtC6!&Bkh(SLY6dQVk%5m zw%ftShc+nia(!C)P484Wwz}RxS1q!$rH>XBO39T9j+`>;l)pQYY?2KLNS&hh_{hJ0mYT*2dyBw^+Gy)xO?~v!v6a?UiAT>yX#f6B8SlERHGx8PlXV zZ|j1#ysv{fuwcO}tXnEak3{VD3c0jIr*TT%u{OIjI z=mpN-tx3jC8t^M9%+v+D&5D8G%1m&DSYI@p6hPo{C((baCencriu6@k|W9ONMu{{xtx%i{)9U zF+!?s>q`S)f7z^gfvGk{sWv;8+VA-EZna5fsY2Y1rLroz%!zr>*muP_ysh7SncRU} z&9x_4yw)`Cl!(7BQ0hIhS?M2Pk$c>-ZdT+}p0U;LmB^F@id)<_(AUdBjb5l)-cf^t z*(hab+MUSbxmY!`Cx5Tprzt-*>?lqQ{mkYKybS>wyCUoLxM$CxQqr%}=Du?;<xQA@7xoOpIruX1r3s2Xv zg&b^nsgx`y*nwILKZ~)_}xTiC&`!qSyUBGy5uG57Hxa$$2xdxZaj3}q8JsoW6R~Q{Qd@c*)M6U4m0ZI7iJ;&p(di!ecu1_?EIic4-WxCE?^DZ6s zPfQ51?XrIN(4YwZ_I^M3ez#OS^ka_TQ3 z!6>ml&w9}{B_>24GViu;PP202fKAb~cwpGo z#{;I7<4v*sTb(C6fv3?oDkg(^=7C`VX&%z=clG;9HS?CbbPJQim##g_2}0Sdhxdw? z145vT^u>5gy&7;c$G%^qdtZEg(f{teEd9JJ+E~jQEqeNOtdhvBU~u)Pm1Nv0p8^Kj z@WuO*$VckQC85b=rtz-YZ}(QluLS^f;44dJxF=Cvr+9qm>Fp$n1 z+kbJ?`Kg{;*4~pSl4n=069!AR{(! zQS>-s?}rxY*fZRe&#l8eSD(JAXDUtA{CDe=E8DqgFEu`hL?3M({We zts~GZPA*@x-*&+CpBa}|%m&>Qr<53f1{m;5ROOj|p^2N>ztWfIbh2m&q`0WlB+q{d z=G3*_u$$agcND=b!)MIq&vq zA&4vIDXa5ugk<6~W4?d%0x6wFU#)oC&k1>Bf3}L~@f`9E)!e~-Is)g8Ow8ygjxQAh zF$k_Pi6D*Ftx-=O+oPQvxblC<6)o=Fe(Q8nD8LC7%Vb6X zmbIKxf8BJrcj^30Q8x&?*TSEXB+5HL0c}ojZ;gt$ZhieVggqbo%rKnzk&|f3}xV=-;_~Z3N11Sai z8;3=pixlsH6VRt&9ZSb#1fG1aM|}qBV(N2BDl%LhXPOcjq*Rm1E*(y_=gY3OlKb|Wry zpQFO?id{*MUS=BJM9W(m%Rmh5|5T85s?wVnyU!X}ao3D|bDP&gM@KqEVuvMMmGw8K zv|90GUB4W}RZo2S3RseVpY>VyL8$*hnn@Xua#tf`%?*{&O&o0!BL+QO^RC!4{*_Lo)B)%lofoqcNN+@2N zBBJOyZzg)FPBF18u47W8txLLm zIfu7egvQywfoCl++1YsUMXw^zSH1{EXKI1u#MM#Zuy|>q3D6%1@Y=uKOqmz6YP&7V zk(tl?%KLM7_d|u)^<)r$MvS=M#g3UbRtUy%a4YCVE#_O z+8w2r=^38%Pt{|JpQP2VfZNg4&GH?tn4RAY4vgS@$n!lUq=J{BR$~}X$8{bn()IiE z+#`C5^uR>~YPUEG@XhMEMy}#g$&h|^@L2=9oeDkT|IDk`T&IXo_yu%anJHvWK~Z|* z!edm{Ly^%VK#`vT<0U%V33^#!wXRy%qbQ`pRjbWp=v?Fi5F1yNT?)QWK;^jO)ZfkV8j6=~iOX$puvW5tE4aorc^6X8g$@(TEg z5Uw>^klrOyRHsRil41b|POd9KcC^=I=KC=)Mbeeas)ar6$4l$^_yjLv7*Qd_$iv@3 ze}&LqXY74bED-1{0(6;9LGs?U(uktfB(=S(2U^&FGmYk9pny-^CI zk8HSl0m{CXPVc?lcxV3OE#L6tGM9tcul)1C+*se_+mADfr6;2G-Er4Or3j6FiJQs2 zdn^8%tS_b-`WW5h99SzT^_DLe^J_5&+dxc5cgQoX&wr99t=ArwA?5K3D0sFs8C`4d z8eFOB0u%O5s#dKV@71u5NUl5@+8OukgAtPqM1&L8QYUcLe+w(NFdf8NJ79zyvi!_? zi59%ONUvL>#VgDFK{_c>`iYh`kHt>Y_1dyrdCqZg3c zcwg0V%sU9|?5mMcmA6(=ky)JQ{i7n&_2wuig{e7^oONS<(Au^tE@jT0^BcnUM#O&y z%SJX!K1dq zqwUD}_CPU0PS5JrA z6p4C_l50CpvaGxGYR!AC(VVzASmx z)$hJyoaD*6Wud&&+rIZx+rFkQN*7pS>Z$IA`jBIJMh4)-$gN$sdBqnE)Us2}w2SsN z2mRdT|CF{mbXHvIehhn$0H@*nT_7?C+Bf-NN_<^snmZ4B_mJvvtmU6$nF|YG;LjGx zch%-0#^NcE8>#}iuE}Yqf3T;da<~26()@Zy>h;f9>#fPSz!eU7gh%GI=;e-ZkZ`Y! z0QUj^Jg0pZQiRt)Dz-GslO|?-0x2lnnzH)<`gY+Y`Nu^{5^NG+b2T8G!n(ANg&*cC zvoG(a+{z<5jO3c9q}IEpQiD6Gzdq+}+Q=se@Ydb?q*2BLDPXt_NmDE8pP5m)jKz2I zLtG-hGAAkjpf(>IGy;#4)USTcUfB+0isKf)h!9DRY2TL#k^3_#9=7vV^G=Lis2J9@ zC3Arlm9aB%(F%B!^WZr~c?i}(diKin8X_%kJlgkYxO2)%c%{`ZWdNbC2e;ddZaW^3 zFTeSNMKu!UX1Rh_ymQ2hj){{iht;e3u@9%w)NP>>J_pn#o`W0vpMj$sKq1BvQsB}a z%_pTWz9YnQ;*(=u$jQpIgW)lK(9wos-LItECgRjBJaDj)2(?I)CZ;O>9qM?8Asp7Q=krm92H6#wr{KBv zj}-x^;u=T(5ncDGIo}P3Z&|69mM<}|?E&BE))}nt3(IRhZ*i)#`#kw-n*xm-a-@Tt zt>dsAqSH;Jvj zFZFDn0~~}=0e#!0j@eD4qJ@ZP#;$`%-2pf7W`U(gxjyL_Bq6VZB8|@Nx6@oG zCge5@c=`F5(^{!HJW9zOePg-nbDai8!VAMHUM|o+(fasp z_sMXKCm%oA_{v6WDlot{%v1P0bVNG;5qYqCgQdfaA_+WiI{gfpDxxBrWkmhs^9m>h ze$Y;bc;sD2rMf=rzr4-a*8kT)fzqK8i~4uL{#BniTS`M=UX4cEAgnlByw!PZz7!pN zQyJ1#n<&sU!W0hfr*8kVi@Tl7zUn=JRiQ%;C!Wp|tL2whF{$2ReV`Y7l4FC@&HUMe z4wwACEoseFnIH^lz{=3Zik+?=<0L4LVH|k>>@fQ?CO>a{Q`J7?+=IL z*`s1{JE6E(((Fo}W*b$x&HW~N$zlOao8and0<9x1wfTzfT<^$~wW{*_T2-q4pT;s! z(9!iio_T_BO?0vTgnI4rxoj?NG19S|OE_pk{f!yhk3`A$eMWCs|5eu~cmgnW*X*qs zZ4~3J6|rzyf~Ng`vtgXF7Ub}Kc_w>=r}m~_i#{XMJV4_V3G`^E;#SR&svH-qtI&31vtSkJEK5uhAc=~CUz!+aS zF@%4LOykSKpYi4)RyJW?NG$08uu!8KeXUv>)j&;e6QUbN%T;Gy9Qr}Ccdm6yPWd;L zZ`l|+NYAeXACYJ2&e>2u`A9zo4P{$Mj{>q#34^KVddNtwXS5D*2f_DB4W4|4N2Q%v^6>TAvVEpzv!U)koZV1B=@ zky*b>EWr`x<;5nCbkpPB;KqsFYgzK87WuIPz3H*D*<1$LDb<5~)2Vk1H5bE+*&=R3 z51wr>R>KNgqekL@Fh6KtOJAG$vJTO||II^$a z;ms3kJyjl(f4uysrb*Fkq~BO_pyX(vZNs;Gp{Om@QH2O}2M2jA9cPsQtq?rtTl*Tu zVX04g`o-RG@=AIzLd@z;#^76fT6k*_d6$*_@3>c#)~-F!X+8e3+{<(=i~gJ8=Ww#6 zwK(-w{PVMG=-np5g#PSQTtPg>b{tJ?5W}+A2t)0S6XeGVOrr_@5BL@P`#+|gI0jbQ zT2jY2NCu%sE#LCIJeanNX<5lexjXVF53y?4d*ze3rNnv8jUQt4BTQ-x@KOWUp_AK3 zxz{)&_Y{aQ8+cDi<>%qm z0;~UEEnWJ({4}9~J)4R)(OIoj*A~i7vVxvrA-iwtV8#!cvBIy{@CWa?i%537su;96 zeb^6O;~)B(C%pa&CVd`Xc$>i|sfblhX{fm-P4RLUln2MOn};@9YPnRdvbwufozNNyxDi_ z_sJrDgU1`vbpQDFOnb^1O07McM_OzQd^{BML1a5xm$afYnonZ; zx7`6D5M5H#ppNs$2K4Mq*6>Zi2{WYg_LI1=RZik-Fk&n41DGU3C-nIaL3GF|C6KU4 z9GArsF#)li#^7ONsZDL0+P%*oa|I8EtqUZ+j6A;B@R;ZMawX?U`MLq*NCog=KA0az zw&2f0SWO9?w;%Vom5aZo)kdFX@LQ4!yA~Q8#?E6IGyNV1D#XeiLNcH;j1}TdXAYe# z>7O;sUyB~UlaU)%2#O;9DYrw4e+{>EL%M0tr$frY+^a?Z^?!*G@1 zUsx1$IelRuKjU^q)$9TdPhalkB>haq<%3*SgsoflFUhqrQMvYKyZ0IG>0w^TkV=8j>#1&o z=QB|FmO*=P&Q<`=T%X|lc*NT51A$HP*%sxtj`?p@ z2j&egW4TT&Fe&uRlN$5g%)3+nZnb;v!mlkhc0`BqW|A58o#3U*2DD zxF)!X^-0UfYlhSdhEGE_1-5%Y%fFZ+5F6}zYn7QL+65p1+_ZRvV6V|`-ygn@SnBVk z-+h0~m_O|t7mfm7t9lHDtatTqQMselq~(G1qOSrY9z=j$PkD%*`V>RzTLLVpsHJ-f6Wj(ZsJ?A;eRxDbM918K?jLC zSZKN_U~K^mZB?%)Yd-vdiCLS{k|y`~Cy(5?Xtxbp9pTPvkd^O)KUW0zueDsQibo;T z2EXUs)O*qShv^qDQn{*t@y+qzEbaTo4Y6;v zdo?#j3UbE3=S-G89`RF6C#b3pZ3(K5(M>T!<5s5>LA@DL%FH+P}zEk&bk4q(7~5{b1i@ z0VQ~JQ(n_ON{7|=Y`66WmmxL{sX<`MYqpzn`6z~zA_6r)1R%hqP!3-A^F?}jyz%=f z)sZr1Bc>G2(*|FDoftXNe9QS6{~jmnLe2$&=_a<|{6adYt-m07am!p=gF>1j8HP4X@Jeka^AXTd(_3EsKz!QWf>wi*126OFdMp zUBvc_eG}EV}e4r-OqQNc%vqKN>(#dsE zJk=2OTRh#as;YE~oWB3-`&{?I4q*dS}3 ztE=^3$eRk}qnKeM?g)}Mh@4XS>xc+H?=X`A4yIgZhvDjM zbtwn#X^gxHuO9A1JhcTMs{`D`nJ52g4{<-Z*C^@p=Xgk)=_%dy#qP>WaUl_9x+f0G z=M%R~qi3aFDoU@P=8n^CNQste=e@3EjBZ@x-RuUaN}OB~SK}~kXfqMV8@N~+yPX#0 zYeIJGXt)w`Te&;ilx){whn93B<#P4mLtlaJRi90eywA(nBSaFc^)e1lKu6J94Y#%R zWZJ$XYjVc$V*?2<7nQXTRWCpN)7~9`zg6pLGpOH@?YI&XCifR8NsN+a4e8`s91~@R z?E~Vy50@<4-cTBq z7Mlf6^#vP9yxYlXtDd{ZZ7qAk2GN8-gHj#*R%rdYh;}LZ#(Y!^L)CeD7#7Z z{F?_&x*is-97bR$h;a;8l>mEO4L71auoHz7~YSW*nB+8J+Xq7I;{*C=b zoK&~M#kc^5WcPFFtXiMl9HEhgQ+tVnA6(~I?SFN5m_igum-bhm9mH~+g*f9hudf2J zds3dqkB6KHmUompta~83T$`%9%O$N`+LPa%AuM;cOG-mv2h*)*%)4Uu;?3V34z-kU z8-FZkwQh0bl0dtPyb?I{d83Y@82;PY*s2i}cO5Zrfh&$X+{vU@oNWl@cxr#<7H1r? zyGvi4vt;i&dxYYtXa)TRz&P6Apd-K?2`3gSos;l#cd_$@6zSKrs7;hPOiDR(o4r(? zUm&~4PgFpM2I+SwW!=>v*Bfcn{WIoF=2|D&Wa7Y=XRdTBQMVcqmqN}UKig0wF_cd{ zkyByER@yps9xrlcQ`2Lb5apTSs&QRmWY_#u>z1(`#L94{PVdmJ-*fvb0U{Sln6;mL!J}}A@$o$cQ?lTX$u5EdPK%dYJOdR!87lmW zKm6rwI*EBa=Z?=?$ClGMS8-3en{T&;-&kFQe)M}E5k^orOO{Q77CL&L9;e&C3St8n zu6eGi85FY=c-7Np+qKQlt^p%mEkLV?Q@iM43BbZ-z9f|9YUHM_@&4G)Pg;DvSi~>9bRoZ?;Fwo(?Udr#vL79=V`FbS6A-@RLvzp|P z)a*X}3xLchd}My8N{9HuhL-|{D*_j3l?^XHmp>4=Y?$5W6|u}saEc!aa!7WWj(
    =H`y!0R7aSg0}$eigaug zX$t;*J_$DmxM!55L94I3>^wgA+_%nKYfur1P#*`pT@}O{is|+7_$0U3+ev|= zi^jFdQi4NWD>F?K4X@Bj!+&G2Y-h{^0o;4~krtEcvgB`3Fv7>PPq=j&YFXIeoS`f+ za4$OiBNC&Q7W@|^7H}us)|Z#NcmsVDi8$FHhygW$=0(TVZ)QYH`G-uXZl zx6-|LSvQHJAaR=VX*=s4>F#sZd#U-gz+UaIJAg;kSr;-tLA$uPT(=zn>bd6xmsOsx zH5=F5Y+jsR4}Lh=68F7|DU^FH$R>Be+jV_urBI-Ji1r*g2N1{nb&qX%*14*e#J^;v zEqM1eD~#b|X>0McQAL5d-205Gso;<=>e4HdZz)IBRIoR3Kjrh4qh;MB^*JJm(DDb|P zQpFQt0w?w^qMh^v_S9>z91Mwzj8^*doy9G_|K0{g5l#mO<9{K0P(G8pUWwbDm!w~W z7A?erca!c6_CagmmptOnRuujen8il{%3Rj6YpW-?v&W1BEUfl9hM%X!YpGM!L4xEr z9IRe6)nMJ0LfgKBu*uon6lQL-8w8g<&6nuB3vhJIHf&zp_2D&Y@v1`e9fvmT^a)ml zbfnE=QV4V14&oUDmv#mWq%ap63LR0W*Ia>f{aMcscpb&9buFj}{G3XuAix{2Q3YO( z-=k-O9<9NZ#E~#LV=yiocc~-fa>yG;jvfBR;H{pdgm>0|vN3p$^$zXb)2-a+mtZgo zu1Ylgy08A6t42xei1ikiFx#MFD#@9zsAWQFrleSHdUpCaEcu992fae`ML5l2Ekl80 zr-o+F)eM%}Ta^E`Hli91tfH?~tUv5+{+4eZh;%(pKAS1>Lh(hl4syedsGmn^{Sf>Kc^TZEysbUw&Ag=v)-uc;YXV)v|1G=*k3;C^Za z>|;K!T@z#|{^_d1;@0fh?CqzcUl5Th%GBP*J?notO9rz{^^i#5pm|FDXP+Rgi8?hm z$FoRlSLzEThq*j)+=%xlA9I$Li92MH;dAvVJ$zj&7gzicc;ijfsopJZiArl`0JU!R_Hq z>H^t;M7k;Jm|i~+*jvy0S)K6>_2RN|T9~`7xWRJ+w^X^1EXU-0M8gY2 zG3wkJUID|h43n||ec>hqaF!_sy$VgAjM8G~N)a`6h%=CK*H> z_HZ)G=o#s`m?tAJgZVGG(G7-3bI^u;lWn_Mo8v1$LzG_Yx*MF0eb`=Xe!I#T5!a{=`c=aixS3WNUHT{U`{LI2L~_ZwZDgI>!F?Mo70-01ONlg zGZ(jU3D#s(LYwrTKmzlhjZ4_ZE|wA?MT&|f@it`jY@_E_80XzVxl7zl0k)$TJWfYG zPAU~uR9;GD2~RzH@vMk*`Ka`%exrV(!_+h2Sk51vlI73))i#}};y8cUPo{5PBVk+e zTd;fG!e??7QXzXR-B5sLG3r{k?zD;u##yWT!t+F%m+U71<&s--iI}hsO4}KAs;<^A zF4?39qUrP+?xHh97pkB~+IAui`XM+I2j$Xwk-yAp3!O6DQB4o6R_|sPB2nN|a{x|& z60HNevuOOk$pt{aghoggbHmzwA#aYi%3HDz zg+_?Bw76CopvJ!w7I>!JTZkUCK`Re|Yzp(zf9}2^B+jul6ikUSnpUaO%y{lj_7=r6 z&rcK3Nb`$9R{aF~ui6fAN2B3qrDZ}29Vs(?M|Cd-O^`{%q!fTSfa9BRsMax0FAmaqtbch*$M()U$S*AyU(e3; zFeVQhh|?a~Eo4=1g-(n}@4shy?WWxhq1$d4ZzQe}lXG_@_Rkz{Dt%nH&$H7Q4Y7G4%yZ7f7w9^3Sw5Km{C1r(MdY<+zK$8(=+@z+C-w zW7#hCtghSKN>6~+K<=b<@2ZaCwEFbqQpvlfu>Jh+i{UQoG(+R1Vfr7Dwe$^qj_2li zcNzzNn)r|TPIp(Wi`_fLZg2R;$iz+!lGMr=^w2k`YZw__ou}k~x3X&0zqHi=uRBoR z4*k!a=^S{A8Ct#zf>$M;>_J8LjVROESD+5*&dS#QqexSsh6v{mX2c z+`5);!Q@IzILxa$xL5M@zjPc>(X*4GAg8cc&#nL3Q^1j>niYie2#b(+DrEY z>;zMGl%tGP+qzNfc>%KTa~H!DR#T?NDq1%`;BK82U4O(C*Cv|M_5irKl($ta?{UA8 zaXA-}9vjd-clW=GZH(hxP5SMQ2X{4EsZIg|&`d{>j~hoCxLr?n+TSCm$K>7jk?v5d zy?bF@$m%iHMcY~ZRKqpow-{e@GMDo^CwSGZiLY&be&FN2(H-tE8R+AYvYUK;4Yfg0 z3&!?heL<;o>0ZUfzad=0S#_73Y~VwdCCFfGy8Zo1#}_o5Eq8uM zdXaQy{t0t?N+{se-$-7=A-li-m6Dm&S=aBrgHbiHl1VK9Kk6!$UJfbO{R3UTO7yFb z;Odlb*Bh_&x3&KCKz&UoPz3H}E)Ftqv$lAvmS_L!2Z`wN5v~o0rO697cNO+>?7IC- z3?pSgjDAOITcRa9Aqri$h|j#yc~*h~J6#-cQh!|k?eE);C=TANOC6uy{*qR5`-Mg5 zazeP&g16{sVLQYFv|C${OX9xAyC+vo6|$DXuziC!GR`%on|aJ2ZKPInz4hkoqI+ZEGH;8-=4;cDaRC1scduhnmY(ZK_z$gK8wsRsHp$Op zV=DUnF=u^zokLG>F;$jd#yZ*xK4s&Rt%VL)cm67L_)mkrPj4#v3>HogGy878=YGLA zP`*&MDvbu)QQMx^eU2oI0W!wD$Vk;MXd)UA8p4WKo>G6>nd~01bBeCuTr0CYh01II zqB(BC?p6POL%8vOXTL1+M-0Wp1RFH{0atv+@1e6rW=4j>fqt{jg}U?RWQC3i*^nH^ zoHH%@N+1{Gt*=@xYeh-W=bgE z*fDwc@dZ-hq}rwy$G)ZGQuRflxiueXJfE-u1IjxNX=~7n{x++wfruPhJqBoNE8$l3 zi{yBt;XFPT&Z9gMwjV#@bx&7ng5T%H<0UPGv9bdyeNx)fK}XH^2iZGUn7)0VF6^C_ zTGDKth2Zj{`Os<^zRm^Q1IGc`?jGzL`Z>`H&h>1=T{dJBR@Kd^JK+Rt!5UrPA(fRU z75HW-7SA$127_3mirkeJz+!!LsJP2#ke5G%q1`|!V zEIJ@S=I=Po&-iS*5f{?bj-I3Xk~fb*|!Is z@T|IhF&2%f(LYLw-x07j`0XrxF%G(i|dAW_|x6@E^a$5(-U}j*NFWt`ggjk zL-=^T=Te#!3H`eqfIG1n`*Mr%4c}~GwS#z5Q*sOR=@o@`27he_JCi?pZ8T)VU_%d| z7<506J-&KOYFIC8wktZs2;cA*!=ESWNqbLvm@yR@Q!aZ)IAxv~r`j*hw7~?5ZP_~` zM25N!O~g~Nb)}9JIjj05GS8Wjab}%kHBYqpZPIwceZ~+_qEL7K2CYj%*w+C9;p>ep zKO3YbF)%R<_q~c>w&=K1moe>HNGVCUigIuo%g&!;j1BJ7D;=fXwG0R2wXm}Gy5(@7vAh0&rBJ7iV7G$U2b#e6hQPA z3mGAI54?X#EAL-^dj1=XV^+~~sqZ1^C97wLwY>jzavSqAQ;~4>prEQrhmWOLj4VOK zv9o*+hV#Fj+4J*H2X5dYzI{V4 zi4-}!t#Mq5dO4#5EAtN$vHk{;^?q`2Qw$^p(H!Jnph4j9lVh}+0*9)$%&j*O#b4@l z8%x^EGSnE4Orespq?AfV$-k68>X3As3E|lKXL?;%s-mTSgbg=5Q=j0u)IwZ+4JMxi z+6NSgIRv>QXm(4m!ZK%zTEcjMmkKYp6$-yOA2ixb73P9dldWiJ|9jJ|yK^j%x| zI#$HBek<+u#MuXHgU9i&t^KgX;v4hSnqH1SoMiVS0!Lc#tEYTSq3vDm&LG1_Yhw1J zV47VFUxGdV6R`@%@tJhoWA=t6eY8hRo$kW>C9sHn6DjTDL+$ei(hA9h*;F9y{a_YZ z@qOR7s!vC@{vF&;Iam@_kvmjIXYa=(X_J&D!CC;@sX;Z@J@)kesnEly+RdwzuHAYU zaj(1SXUKNWh%@BJ;{ER&+5%sfJ3RLUFWCnWt2kmtmRoSxL zaLtq_A<>-q{?fs%p%7_ogd#xGT3ZaJFE02qb6W3d;F1M7v{Zxi9j~Z3^cl|iJk8)- z9wZ{}p9Kjt__8e~WJ5L?+(Iq~!mFwaI5KCt-% zx86PYYwFE`w@60;=wo^3`v!NtS74Or(XIyqkiE}CnV&{0(Q&wBN+NrfS*g`l_3dYHI|B#$964N3#KrnP$&5XC z@|KzOWHkiDF=^hio&V-47)s9zVWNLr1wRt${OGDCzibMZvnbj*7c9$qoM-84aW;g% z-dD;)OGGL6+pL-nn7W?@3(UEUzS{>gQ6h66omeJt%LW6BT&r9;roZ?qZZDxtTj#*2 z2JyX#+6lj_q{o3F2>jYiLAtyCJ;sy0+aKSk1ZX$dwzd2mMeE6(+)F-GUXVhz2%%TO z>i8F*Bd6jErrLIkJjc)9aNiDM+T683d*xVdfW6f!kasanU*lg7d;Iyd?f1p_w~ZA@ zsjYn*SM3SBHsy)v_8|EZlGS8Kd#J?q-a}k+gE{lM&2M+7I_1k@!#=41wB>aIL)!{V zS?vuhxFFv5mtpmFQfASZ53e>My}?BeSPQJD1G%W`^M*Th>=*e-#a)ox&^hBhM`d-kD^&^UK|MZJqh!)Ucu^Zv8b1rk*nZ9 z_#D9uv?F6dLYU3;oq^9)R3MXu_QDSmZZ}xF#G?OcLnB%KzA4GF!QjhekY^WqelsH3SS;For~0JiS0bk=l)sZy8F)_M$omMY_FzN+C;Wj zfS)sSq+qBaBuVDohk*5&JLi*L2NIMVLbsY=e_EucIHYD$n>mznJvqo*F?sq!Sopoh zr*9;$%pR^n!I&Q+mvT#=1($wWgq|M<5;u!)O)ST=m1nk!_hwr!(<=TjTdf2%&qabp zA+u-Fi~giJXg-tQ)m+=#&^-wsX%&B|L%TtH?J#lh=_mNtLAh0m3k!=K^WND5m#6ma zY3$h+t<53P6El0B<>ppY3yB+Rz)!SG3(N(Es@IJ|#@F25lXnV)CXEvs`}l!9Pj%|i zq)k^~Iu-X^HO!-NDYL_D%c&P;;MD49h7maCN4i+yUny=S){+PR zXrB7J7T=slw?NN;%-j&)YK(uc*>)UK{E6@38azX;Bc0ubUWnk0_2qvGL6sO=TNe|l z0k;*X_^$?9^`#X=(aR06e^4zKA-Mejs`T2b76li_IXV2v|oCwTGlxuMF%(>>q-rm@+;4lHT z??2gTS~oJG&TI#++@872i^}QgyD7AlZTyCj4{;PZKbzKPMCOeYc=o|zKIe&${ikA8 z55HlHU7hHLf;QA`U@s;g{C6q0yXhLo%9$nGTL7AKkL`~&{f457u2^oTM!YP_8c$^B zEC@}X-t;QZtBRph+!$jf2Yjpx#STB?@1kEt2ITqQqG8utCw&k3|6h3MvW_5aux@ppIJ^uA zFSkyJJk|1QaKvqAj0@!cDaCTH5PPcy3)-`#sb?t`O5ZAxz6T7#uIv^o!{7Wv)&Dz` z2GG={i=5e+V-FAqXIS!wW0dCBx*1*FKC665v0(Poc8fkpx)O1B9-kdNZVmbrC~dsq zNw?tz!j+61?jL+zmu{;ue62_DUh}e8ycyrS+U!{esZ(6k{S!-P938U%vh7w9-YS3H z#XdZ)Vr|$}QwBlB!#Vc%P&PfE!0d+NwmJND`UR

    N9f;R6K77%IFf&Drt!%^#PJI z89BIS2-^dLG`2{oNNU9n{>gfy->Vh3MH1v+ zn$e645D^`r`IkFHhz{yV^lEe;7J*jE)fV7=N$7H#;aG&Hi=Le=J??W9sx!mW?Fb4c zdpbAv5tOx8kqJDK?MPtaOMG1@irWe9K|0NkD^19g$DzANM9301F8yUs9t^*M9z~j; z2vI{4j<>wR869tVheOBsdcBq1Y@E41zkrX%S;qJpkhwZ^)`w$Yjb=c^vj>e7(RHm3 zHMtO3<&9WohOU0|kuB9T2iVS{q4q_jMghg7BU9$kO1QqUSHSUiONo%%y4MALOr1k{ z8ibMBoVGbQ$^YH-dPl?MkYYz|!9%I-NVmdS9}(xXocLti8*NOVm4B zKjoO}zb6}G$lk}J#s4T}yi}4Bgm#!r%m`_Y)P6@wN(P&c&xMQa=ahusGi^=3JZ#{N z_Jni)%SjdKd(c|sjh2)nrF>_UFeI(ED7qFPPvI;b0CW)YIdW@{fqFWhv$RBd z$=cM}5WT?@(>pV|u6ZL&ef;ubT*#sbQ-xMStOL4ds@3uGSY&vo?Fx#$9M1RqGkWJZx=c%Rb1uRnk9FD09(wmzcp7Ap;>YnxxZj7L z%VcY#G3ybakvkdl+5QGDv-^9ez07Qbdt)MJSMISDQz0L2=jW+;38u~(%Z?D45-pr_ zw^WutL@tw3Y}4nEkCVPUbE=zt0mn>WSyg{X(7l8+?1o>#^>+IS`|voMfqr$ZEcu=s z!oU2~P52ZL*y0M`OHw}5c;c4bpwwiv(~WjJkDoC>=%yXTljk_uH!i&KN3Id&Me8!| zlCx!C^>+q7|ICg~@9*$z>cE4c`zpL zF8M--*~O3qN4eA5Ngpj`SXH_O*CwVP>p3ouxAUJS}%WbIBWq-3m{`Kw+&GG*TdvHmvPWHPUzLv7M;U31Pv-kZq7iP&nkek zYFvv$C*#xRfB%cHE%7ucB5OIByvjSlvAL1z$_pY(P>L^MFLUQoRQf_i@M<#aeWE)7jTcd>kb-X5s156H85DN!`BLXp!+`o<^^R6Q(Csf<@I3f+9ln|;s2JN=QO`%?nJp8WIg&O1lWJ~BL8C) zZ$_tPO`~(FM^5mSgJS{nlSQdCtTF zu`Y6_y|bjlFbh1;tIOr=`+knOth^*vJ5*C&9kFK3q zen**Pw0-P+n$oQmNvCiomz+8v-(hFp#F=$p5#*3WqWQzgYuNU6ppM!;QOgaECRjy> zH`ZUyb@4tCA~2UC;-iJU&AH`&gNJ^h%QIr3KCE%nsfN?Se7(*L!XmPhxT7kxoinCG+S@fh(- z4@NAk1)D|^7V;@yALOvlcZJ0QR@pmw0$!|>u~tpePG+%s z*4P~tkKY;$o}QlmbhMe@WuBy-$Z`1fqCuI;CsEc%JP%Os2ht+wspN@FV|nFgo) z!QAZnv;gYAyUU7B*(jnZi=i{x=^|w9ZoL~HshHguM|Y(ecnmBHcW@%)3NrS%cDA*p zO;Fy+Lqut@XcAknm3#Za@`SkYUsqrbl+&Ho+hAw3pRyu{zDf zL!S5l!<8wyOLv?r;to( z(;^kOvaHchIk&cLDyR=uoK!E9kas{sgOMNt4`67E$m-ZR(_F#SypYQrvmDO;z6h}l zRLa9JA|Ef=u7gEfvsEYWXzyoI0)+7=a2{l3Lo`}lW#Ii-$0047?f03d*Dp8ywMxD1 z6?&K6tx;_72Zv9#mJGXYVwGlKZsCZ`@|C@w!{b9T^q!wUV%uTS7SERh+keeg+g+vr z_gjl4dD%H3=~6$3@`rpi1uUi2NLb<<_>=%GvB{IJxw06pvM8#oZu$dzlG@8~+!-?6 z3ZLS}&zyt8D5W2Zq&y`F*fG7~NIjUe(m*lA}QNy7G(+2SO27`IyV`0T29#gCaO*A90Mm~W^u@re0%-_|A;frG_WHu3j2 zrehK^r<0mN(|Nmb*8JNC?W3LMtr7BihgF`pbPPMq_X!f9{~F>!)ZD`>(#^MFMN+JS zafiEX?NK8;c zdJ3~6?v1eHOl73`DY~q)WoQ-OPLk-aE~*3bJ-J8k_mvZ0ss7ZLwVyASdX5tJF|SMN zL&rENfuK`p^J_269t{sj;8YZCHfo$}>LVt9bzm?&M2Q-`jZuafjNv!l_xF8&eCu0# ztutqxS?8?N?tAZj?d#g7>xCJ#Q?iSGuqvKV^Dk`ez82ZdYPe}vIH;CqMHB)zb0vNB z9e%3LNt&jo1fSgq50sgC<`-Q%Rgs-=qByd_ICJ5EpJ}x-TOew3BId}kbR?e+W9|vY zpwY7`>}xB~*6Qzy911~gyRcC8$Dr-5F9YWhFmPUQJCA?~L2q=;?J6z$iHL(sHiL7l z2SMzTa>$PpD>94vekhXvvcZnU*O4li z;j{Wz(+=|4PXoaiC_m}b!mVlI3Y5?I2>j(R$BvU_4$@2Lyqlh2ZT97F%*bu5if7(} z1TnjlzG@H3- z>X+6-$&}|u%^c}iE7)B4T<@aaq@6qWo)Dgo_kCf^EQfdKmL?59MKU*l^dp_sVed4* z&a->q7kfZ-2SCnTXiobOq&=mI=c`hWZasr5j$m$kZ~lrO!^3*~%&2oUlcW4VMHk=i zELAB_I|w|8LfF(QnR-&9bOw9|@vK!L)&B~ualNOlm8gserl**E&GLZ=HfDoYxYFPp z?=Dph`d@N+7+mqUzOzOrd<+GikAyg={j z5*@{)c+aSKuihLE1xICr2SHVMrB5t9EMQtwIFI;|qUm0Yce%+|cRe+#UgcDka~*Z5 zs|vUycy0L!iTsH?#f!m6ttswEXU}xI#>@g-`%K4L8eR2|XjgU1))FB2k?V7-&ilLP zMXA#**#KOH6TDDbWj5SuGT2A4j}zVG=7pN)2&z* zmEpOMik*=sOq#hna>3_6vjn*Z=p&7Mr4pHejW%u$mtmGO1C{;UIzcJCZX0hH`O6wF zWj$=Xe6=Y)C96NU4gz>ulcxE?iJuho#NR6(-#>2kyIoOyvJ&uU%syS9=wPxgN(R5s z#x3Z#`={PqhXM5g9t|C;i@Kkm`TzmRi<%76eC&2>eLQNj2CnmHy383q*sA>$QCuDM z`|M{Mb*6-K=Pr04XZI{3PN%+N{-uuF@cJxr&_VS{6nfO53E&J88gq4Q;tWJ8Y=3KD z;)A}2E+4mhaJZQ|C|;^nuH2;7ea^|4q6)^ynFt$6D#d=GyfiUbTAUs;k-9Xjsna0X zGJv^9wky?Vod$SZKU8vlI=#9{c65}wJ4Pa$E_v9_bqz9PasM!z4&fl#eE@Y%(SH8N zGo@Je8%YTeimlU?#9yTqoYuG9yme*||E#)&bk5!M7xs;PD7Pxwb$X}_UCsti(}pxh zRtHQcuaG6aofY_`4mt_;)UeZ2lilHpOibkP@A1P0frPp)x}Y7_`4Xvai;WP44-?lI zxkxE_zkd;UzMa)VK?dm+Z2KXlrh>yyiPSy_e=$J(3PuThe+wPwf084ijbTM|stuM=cX~8x@%e@no2gJj#`yG`9UD<0UW?r-t z+9n(_yxj6!M2uib&jE9!l1v~jlS`mV?o}a)Qt8!2d#HSJvrUFA(5pb5doEyEGVbpT zfG3?rDMTvl?aD6cAKGXO)XhGZkKI_VE_yKbv6ShBMQzOfyDSfsdMSt1OJ)3Y$MhDT zGpzMG{=MmJ4o1_Yv|`EAYIo{R*y0o;@fM*`_t5=qbH=SXwOQ>jq1h~{(ypoG-IFPU zqgvm7)Qdg$-mjiU-6)pBt$QmBSwUD&fB~+pykk(qKw``mQM=NZ;D6)2*c9NKp&9H~ zrM9QR{Z4G@Gbx6<+T`t7O42G9_{Tja$LU7mPbPXoIq+^8me7P|J-vMIP(Ya|VLsY=1sXo1&f(T}XNEZ4;Gi!P^}$<@sDz9RcY56b!6S_Fy3J!MVQN6A zHr$Tu>Xg-85Q(ux;a>h#f54z7CfRmnE5b&6qPD%9-ObxUk#S|+kkd^!3~i2-`eY+B z;Vi$NW5fA_!6z`?ho+XhQbJ0thuO_apJCpavG!V}1$|oD1V^yDF78PSrwG+sZ$+pJ zQNf~9>19}W60K8Fq^kCUbX(mS8ubMc4rJstMfCTgF;5b_pgo(Z_&3d?imXqt>Dj13KVI$QMwKs@n zj3i%&@yoR$mHPB0DiyEagfX4!iyzA55A4oOD+t&47w^ug5nZ)k3eA1KU$24qr532# zzogXsCzjGX{{c|F$m0`D0TI;xf~z0yPbpsc?;NLtrU$BiTbYX3+d_WzEbKvr9BuiF zqgSbEgU6`E#>aQZs0z7EVB{UVW+g3|(EZg@?D5xgFz`ztLFGNXup6cQb|FJ_j+J_( zuycISIx8h+BYv#+WyTQe>6T^0sxQeC`RBb_1&{@dKzELh6wBIjRP>D&2dak2FL}8*rQ&IIHd`9YPi1bMDo;gEW`lbyxN+ozk1ke8Q1FU z$1kJJHM;1kE(G0NK?vW&q?ZhCVqVE^n+-_#)j*hUdPP1litbfVD`X`8llC?RD})jQ zpk;PeSFB3na+L8lq9Z%2%WNJDVQHdNxX|O88@t1zNYi?ofoFPqQyQcqQLWZV!1Zw* zjK||kXqz>+R{0e-RfiJ&X)-sLOSV!O)V{7b&?2FZG#+8~i0pbRRw`B3EC8WbXT!s~ zwYf|n+C1vnyV3iuGIS~fQX{2~f5ZlOfWoXI*5_9fh<3KkDfv->AJpy7XsOI-qpMby z$S3LK?bnU#5Ha?t7s%dEAHvnA^V^|ZI0pwa=%-k{x-8l0E_&6h3ESWdeFJxHP0@nd zi0V&4dJCs<6NM3fvKYNR2N{S0p1DX;p3hTy!x@c{GNJ&gL>*fjD&25piUf$XtcVqm zGJN)MB%SRGBYRT)ve>wQ=UXJJ&-2cDb)%nV#3qy+MyMcjN@h%@KxJcc>Xwr#Tbd-q zPY4=i2QSyLt?q+V;=@l1*6UAwGuk@>q+4yoEk{$Y+a?$9i$0moNEH}!9m2No!LF*> zBHJQ@Oz&c%Y_?s%>GQV9fEVGa6>IQE|C2wjST=T}Ltzqa6f30v`4IZnZhOUz#z9|S zpgN^%k4*EYS}@h*DI9&Ki=!$BO=* zYsl{0pGlbT58g7wU2oCQ!b!Gh7~;smTe>)OaJ|~I`jx#6WyaM-&s^EcB(z2GD+t=( zuxoJi2_n@5+$C_WuWJs7y#6&-_S@UiQOFeyqfgM9JQRPW75!AGrG(=av-sSeWL8fN z$0v$yYkg_jZNLd)lYM8}Qv}~&u+1Dn78zT7-ohzVu$W9RTk{ncHwv>=3~YKjE^8sH ztzo$@BpL9`#WHmwB)IGWj;6(21d6e9WkXvbA?*cB3YjUh)m7ArfXFnJa3(wv(C)$2 zxBdF4#t!b+My)oaKs?!~ue9Q2Y7w^AgSn7YdC1Qwg?Kz&Tr)(vhcL{g;RYg0VX96u z+w=qtbt_~(w+GEonr+_f{-;nFs7?J26?o)L;wuIP#X)=pmiIKsDb60=VC6CB-h=`Z&5L*!9vMu}4$s-Vr4}o*DWL89D3?sDaVsYZ3%$rM6O^fF+Ot4tEcQBMQ@$^=Jn9pnnt4!Xfs~IZG6-Z^ zv=yGW9$OFeGVN^AYIWCf`@TEwe)*0O%4Pb=7uzI5U!yQpo7RpK7O8w>?<(c_+C}Z% zL0g1Z9*s0GBD&Pt#c=swqW)#Uti;LnMcR=`kikytzMQ}-1r=I!?<)|}_n)iijEUo5 zO2N}Ql78LPoz|HrZf1;rnp6-GjlPNJZ|{6kx86R+*0)(1X$QJH{Wf?;xAe|j@%~s` z9qD=E@*@ZC@pL$v%kz&ei2LNCG}3F(v0(;!l$fM4)Z2Ks*V<|Gjn63ona6dE>t3%m zgZ39D`hT2d8rkM5a|(_b**vO@W$ukFe%0SRBDLI zWpPwhC%ECmh*DU$sEO60=uQLPRqei-SSvb&NCAzA@EhXCr-xF0)KjJIqL={kvFRt# zHA*_hZcn`?HM~jETr)tp7trv^BsOon6ApZ;y6*jz;t}A4wL^EsK0TaSQ+w6L_715}XcBLlWBsn|Gr>&tAUbAxoL@8mzv*c~w9;Y30 zKql&bWdhHUOgAF4kVZs6Qh%Hf;{MOk5o&(+!~Lm+fFrds3rpe{Ubg4{F*9PmkVb&! zRq}TlNx*Re+qnJqj}871CF%F>?4Aeg{QX#WYID=f z`b3?L%=$PBNNsAYF{Ji|9;Eh9y6>L(fVuzJt80pfmt6%9+-95B9E-@~4w}|_QBw%a zcNz&m?ryd*uuFDcU~Ni#P~mzaIjG~mrHAD8cfa6UBpzJ<`9;#~Ek=~wqQYXWHA1NNu-W{$Q>=i6!$WCvP&z;$k`ei+uik3$Sv5~N4|j`Ru`yE=;t?^fJ{V>Mx zQccobmBKHYIvM=QT_4E6`Md%aqTpqAg8*S|B_MRGSp`Mc>S_+qOV-KGVP4PDiaf1iAu`Lm zld)2l1$roJobxdOeg*WP{5(Q#oOW$i#IeWDGd=w%iXG<`LxA+5!}Z)?AlxK?u@wPL z8d}Kln8cXLi*D<|>z^+XGTR5(-8>yuFA*6XTo{RO9Ok&(G#%zRBzwM4`5S?(d?b0_ z)8+z>KFupPge=Mh)_y#A_C)<;o2MfBlreWN8;}-Lq)W{@2 zU_>N+j;9m1{-{g+KV8$8>)Z9xW7pu_tH8v6MK@q}lnlAyja2?daM^ zO^ZQ5;M96?-H{s}Ev|kGo-C0d4Z2)=xsrj-DrtfeT=oR#(b9R9S{&3I5*R%-%B8`l zqsmk|Qs;jD{ldWP@_}qK`}0H7&zfKnmL2p;mNV~4v&EtPrp*|*I`k?QvrH~tefk)? z-AD8+^g8|Q2?vn~$pv%rnC$t-y;ap!EBApNl;fvU7IGG%ce_XDec(tV z;Z)%>)bG)>>Zh0luaSr{k(6;qjurvCx=}iGtfg7A*?O4}WV`5gZ&drBOvbrSg@#84 zdE?B(Xt($YvJ6|MmnT8E5TJ!5{;}^#(Th}CXv!eGRPRpTZ>c@6Zl_)0lUX+ubPia&g`bIru#q%6Gj7lS|K-`3;3Ofj$dk z@Eov%wClY$ZO}3anML89_9%u4BC$3p+fu=!sszK4sZ7X$!LAfQO?lT1Zzw#vE2D1~ zkZ@~yAi9KHM7jxW3{_2^P^7m?x7;x!W8=r6gj(k2D(}9~vlX$kA~nktOEKcz@W!h8 zq93}{^O}UPYVVlsaQJ+MW^E5e1?D$k#}c2D6cp@N_=U-CC6am7iKIuDx2t*T!H(SuqBRIJHwWO{NCkD$jqZ9iW}7~!SV)ENpZ~9S0scSkrSxq& zPgzyn+0r(oTe_<=sn6&#MGepTcwHx_w;->VC3s5*ce90fgDMI~v!gAMbrrYp5+B?) z3RUHv&HW`0Es{ybtVPrhcEYcjku!@2u0NXt%~e~-8yWNER^+I^X*2&Xsn&GjKY<{Ph86 z&rJR+wXglVw>t+DJ=k9!EO2kQmmf#Pmduv`##<#h#3!19b?lqC0P_*HeRRFvA=bBA z*WNm(%t<`Vl+uH(PZOC>cBzZ_GV6gOBQDj#K6-Gv=Cy%^Sp;}rTd)dT76{%FU3%s+ z{`fpF2<9D(oU{MGK52wGBjB&UN5(#hQTf`t+e^=2%g+wUp%~DLQ_QizM+|^nD{Bg$ z(;n0~3EDflFz=W$EV|#pBQB_2$LsON-|mf9qq?Q{KTt&3)qkuQu2fD%l*w35`_7)& ztY#C+^4<(;C^YlN$ebEG>BZI!JKSV>f{oD9Ue#aE-ija{UL2EbzD_J4pVl z=meb`JYK+FvM^#6dUifp19W^WliH}dpFP3KP!xIEm+ar~hm_J9Q4*67At^I9M|xM? z08jd68t<6tLBRfcQ{K~;n~RBW_{xIH@3FF~jaOu9I!}L`uxTu5#%!82Q@hW8+b?Q- zarpxF z8!K!Ipv9@R5N{FLTi|ZYpS{q8a))R>{fUtK0v~8|@)_LT97kw~OtiK~OIC!#Xe+x? z85X3SLBnX1R`*^nGyjvU(6sP*frS0pf&KD0uc`C-epVYd7u*y-ArVZ`eWX+|B`7kt zoVsmv3*W^}vTZRJBj(vfv5`aaP!~p2!9Z(J`aG3J$l_phiOA}JN!p+7+8>Dbg2_$W z1RuSK1-Nh-%%r$H6x#@y3Rs2Dul0Px__cRCqvxJC!S0Nem~mPBj^8zeWyxi6+R*VWj5JhTY8fXU&5^T z1etLjEH<(?Yels``P>%53HqC%SdVXLVt&6VXAHL4$(9)&1qY}lc#NeYUoqndoar2V2W{X zYln(c{z6fii5WNNSj&%~FL$N3U6en~Wuj#5U6?k0WUJo}iFjTDluMjKnTL#>D>m;L zv~`;oOAK7mRy!;3EdydmEaHUmx5K|1RQT2UO+_+C<~fp`YL~Zscd8Cij<+%q9hf&S z8VygTAkhpqytSh*Gk6=skYheOYT@XKwf}H|ffogj4+9gHx4fGSS#;~3P^FW7BzXm`I>wAR^p+&UR%ncPct%gl-|ko*xgA`=SjKslFNwZal4KMy4}f_{qZ zk0=v5s6#zfFORF6x`(I68}QDihpk(kUR2`e$BAXCUbW9x_(%ArPKllX==ZPOVkwLG zIuNTIz9%{=OY?`69@V^liU$A{q8!}5)!rlwI zbfq$0C4meG{Bkr*Ue;?97_Onc}+&^^{10R7d}`Pn+_M5;$!| z?M}q3Xm}U1sE19n{&KXTtfbFgqEb?IXWHPd=9J@~$bdQ-5;G&}LL0^QE+!57E%DE$ zIR_raMlxE8u@EWGGX8$*36h&W4+w@gnp$0j<$ay;mMgnl`Rbf@%P-tMn|+UowxYcjU@5Q~-i-CQHQXe)#mLecO77Jue`3qp+dyhoL6@=F?T zZ7B#YD)eBBK}{i$l2ow2=MBO=r9!(4R=U&O7i&oUyg zk@dFU8hRAe`z)3z&~^{1yj3tR)4z$2$|}p$74ylG$Pcu2E(?zpH*>@)v-t^*Ep`QO z>Eqm5njhlsw=^5zuC>hSAqwlLZ~yIWfI(Yi)mY-))+#9Y$i@c4;pP;E1|!WPs0!{o z?E+W&#$|njybZ(9R;@9@3O2}t2#OvOM7`^n@0ZcG3myY?kw=q%9x|@_th?|KrF(Wo zUPS}ZYR^T$&r$DlK6@DF%l)v@9j7F3A^o4PUr@m-svvxVa(T#MScVe7?V5H!pXNZV znsMiP+b4dpl+BKqjYYEtKQSENq)d>&-IsnDHEDjH3B-vM_dGw7`hNHCm3{tIk>kbh z{ce-Prszuce>uOevT);RafKG7@8l7{93Wqbii60R2TrTP*R@B>7dAO!^wqtQH$yemT?D ztgiTg$0iD5RhM~>$~qos$Xn-mpoy|dzmq~J?;#?Mqtjy^xaR}XZN)2Bs`F!#9jIy# zRgIhTDsRZ+&6A#+C8|jE=K@OR`DccS3h&7JW=b|-7QRP%F%!4#ivP>Fcxo@YcX>|O zE~S{335>=`c>jn&QMOzW6fC?0a<$oO$BZs3RK{9Qm6t#Ji_I?$x&}9W$-sG&hKFla zeCx80kc{oqT&#fX8oARod$ED|?@bzX+24jljT_XqXl5U+z^#;qn|RMpF$iix6jSo^ ze(V&FhdiU7U}X)px77r1@QkV0^adN=Hmu}M)@oFc3D--d9q?L?xUWO=i<+kb0k2Dw zazMob$GVfY=KtMTe3|O_mM<%f;-}1LK~4Scw$aB<2?zmkiT8c2o+QobYLZ_ZM7lTj z6eya%a?>P$D<*o|urm2WRfzB`u-^PCmp9ki=bUl*^6`UemYJY;zBZ*9u6BPrK&g~` z8`#zOSzw9^8@7mss4Oz38Fb6rUWN%-kQu_Yy zliUob)4o2!eiHQ!{CPSvVhthw2xCw*q|Q!hw7u%Wk+%eM^N6qP!%7Xqm&mQ*Dx`s~ z79G;@`ul4x94Y+44d02qN+mb)ZKpM7C2G(9)+m+yv+}uE{b&P)Ke6_8cIN<@^+zlM zrNKjlrbgY)LwO~x*y_tB!^&i@1Fi#`of`D{?#0cT$y@dfwQHL(mAa)U;-{l}x;voj zC0~tdLoyxoVloRSu(fRg3XykUFjQ9IL?nMu>BQ}UEA__P={bMN zA4qj%z{TKDNDhcv$QZ9;~N5&#Tf3t9qwo~Y8bV(tCEu8yVnmnxC}GSmIFc>`9^ z6m5rP&RxM`)Z5+@P21Bx8bzZd2?WnBy{~Y=ufPa-hSeT^YDksP!mG1xYD)E5mbR@w zsHUCz&8h@+O|7l*zT9l`2_L13Rd7zWlUZ{(mu~1y) zL6{v%EyqR>{7l|<+4y9yXSBLLT~*o?f6vl*?*m2ZeZSkso8h%WHVdB(M|3|*Tg6M~ z26Z`i*QnXz`-q?J-On%fUYisezq|ZN4rdZ6hr?-jW_kbn&k)xiipuH5PZO#<l%%zwbXSp_=idjV908dT{wu9?!=eU*r3!VjlbM+-HJk>`kL{^!hcJR%WJB@ zqd^9|2dYIcUCad&>Lir&N0kPq#oe#D+%8x;EkH2YIADBmh)$(77w6|Qs$ArJN6h_B zodf@9n4Jo`P<%!Do}ZRr>0a4zy*ExC=Y}cW>;I(wQ+r#6x&*{KW=|4zdd9dY@3ON1 z%yxg*1dmH|!4K3B&Fb&Wt^@r+Cu%YIDz3}9SGR7apQeAy`cjnG3JqjM;xOBoJn7Ck z=Kp;TA5vN+6#F|>ct|D}tb^&($eZ!We6ioVhB)6K-Q)YKSbn;)(LyN@(g!l~`J2(r zkU&SE{D1&ZTRnTMK+~=)&e>iuq?~QjK-@QB`|bIzH56I=aq1#!|I?ZYWZX#44BEA0 zld-s|Xufg_GX7j|UX{O@%+1&4H#cylXoAWE!GgA8f3Ky=P7Oo7GuHTWeaBzm_!%jn zW^xPQ9k~ABlx4s7@XGS&Gw5>M`Gwa{r~(ZB8{RH9Sw)koT#KI3m|W%4CE7Wea zZ-}{;#KdI}$$`E=?FVNwzgcVP3RdMjZ9i-oxP5CsfN_UZTpX?tC_DZmSU!6+W(HG3 zQvzLU>J9%|=Nf|%R;Ac_@S$aMNbh#_<>m^FIhDg^MJ!6g_;}kMNA6Ug@$JRauZb5iUBXkK6XuY=LCuuIft_( zIbZZy(g4~<5oWR??zuX>ObU(>9@BR@a_f_wyM3?&%j2^-D{JU#+_2rxIROX8CQJsO zr2j5frS0l@X|?lmv6%`^(vpCNdV$?9`(RDs;+_hT_fqd!HqA4X&XlQZRI6auyuIgN z=Mpm~3eg7ZuiLAFnFGyyMIUt6BnFRP%%svU;P3B+b#n7MzK+h3*uxLyxCzY9rJ5Yh z_wO1pXLTgPMVb+U1)9zrHpR%D?NTDlJ;VHnb&vD{)*eLk53~ZR{*D+RTpn|g#aC3S zBbDt=YfJ63Qvp@Z%MW_ynw=Lz;i`|C`Aw(-pCjV`Gss6IqYTlJo-=-2f#tA@IhWWK z&0oC#OjVB8FnIp-kZYhN|EInaL{F4(xU`xQZUshyE!rt8mAh;nDwPn9log0-TbbxQ zUbwc_4)9~M(ni8ILaAJQ`FEPd!;gAb?_YZPr&%qhXhh_7k_pEgLzxM$hhV6|-n}*6 z&8ax;pS3?2w0jMM-SynQoC~9FPEg})`l-xw1(2azzXNmc(X3+?J76n1zcI7PPx@Wx zQ$P5YVc6#@wckh-Y{T@jtsIXKWeZM3>4ySfs4&Lh8dX+Bjb3Ks21S;>&dBJ`);(CO z;;;N$!>ujkQGRW9BDoQgVVA~krTuE8$=Bxtd|4hR^B>DUuZDf|>ku{7Bu(=zn{;>g z1@cRT?5qx-)IUyNNgZUH-q?R`j{TC;A7!w&N!}JAD(;LvSSm3m5?Pl$8#o9-&-VbE zFGuD_^tK{DCc_{ltsPA=qs`YKm{2OwM(r9!R)oZoCF&CaS6-E@Uw-I(mI~Kv=<1^Q zo=NSeA*$9HTLQBlCdHbbk5=vrj*ZOfoPp0o6pLRy&4tl*?)|~!bQRp!-d~Mxuy}st zdG)iWOz+_e?72guLD3AQ>g_hp5*Tu{`eP-qxcsxpaY#nhl@tNzA>FfI8-)#@b}KJj zmi6>8Aa!H=?>+D8Ee^WNG@h!zk%A)(LSxmNUQgjx$zCfrF?44gK}vCkZ!sjfe&6On zJo#tv*@Bu+sbI`l(5)7r1<3scKm;G|oOhvO$GQibt&T-IrTJ)LH6H+{A5WN$xc0C? zlbqR_;~7=v`$Chq!>Z;y&ohAO{!c|RmWnf7>*RwrLAkL?d%(CEoYn}d*dcsvci>0M zZBG2(8Siv$UW^|;yvEd2*U7c$6pi>hb4PV}p4_^cH}CtEpk zDk8lZe0FYoYR!7FF}r?i6rZNL<|}(LV-}7<@?#cc-yr!Va5p9n5IQ?HJFN$PZF||t zVq;zovATCyuYrQ+uS4z51AGS(Q|%q9T*(UfI6)g+riBw|8M_5V*)5)T;0bbocmR4@ zC5gcmCL1V?2`pT3d!eD1t?G2wGUFij3_DxX^zy~SU5?D#25fsK_Ft2RI5>J6lOF2D zKeM0xa=D0PyC)9*#+7j68<5RL?Iq1;nP@Y(_cipTPfj|1)i;e$G;{Sw?!Kf~<42_VE;LP-LG;J3s_zEs&hO zTi73wzQHuZR~FRrR#QfM-NkLNJRg7{+Xl_d1LR;o>z8JQ>vOvt_U!-Fu$u?;+s%lT zliO!B;8L$q8-yEOHc?{_UBA_Qm2rl4gDjw}(x9|HiCdbj#2pp;rj9~!p2_zH#(3Mv z^o&jZZz0zGc`z-D3S-rwuc`a;)ySmCv4*i0^_iC`3$6>U(zRCcT*5Ee%OZfWx0T{E zyZS_g_xFXMJ`dfK!GK8due5Gb_E#D5CvMC2C;fpv2=Jg~tf-=By~ ze44vJz$q)~;Z4$9~b1_hQgz~GbNmq=EZ;-Wt zB!6dSwV0gHAFwg2yhW9xd!Lr7e0BOQc+9rDHi6 zW)ZJD(^VK?CM{!SBV$O)DY6ZldiJi;sR1f%8uj@HKePJK|cAZAu4(7A>#JVIeLxkTvf=hot}id?a>BHKi2rr zd_7!^i#ztJ{FU)%bN;VUACW~rZAINQ?v`h9@84|Lc&TsX<1}{NhUKnUJ%wNF>5qJj zxNp6jw8yIpSUt?4Cq_hNf9~_3Coya8i*i}@Ty?YY&eXy_aCe)eTQIl%-uZas&o+!Y z?hDmz-`_)S4p*xMPgG*#O}8MhArJf3pt{jesefw2kp4eyMcfpSzCW$auj`$WR?W;t zZn;HYmy0jalBHV|&~s$K@-Roce&-MCuTnkm{_Iz7WGM4_@&kWpMwGwC*Ot?pIJF;( zs`1hcM5!7yaA88_xVA35OlF|>ap1Pb*N~s@jvACDxh$S}KHa8iT6)V@o?jn(Y+Vlp z52~KUMUd7de(-G$O^x5ihdT1vE_DfJ%g6dIs*N{Uf}0n;xL>~(JZPe`H1m_tiD~>x z8t~J#k1jsc`fW)GYhDb9-hFY=RZMbr*|ha$t%HnxF0iZCJOaCZHxu){iy(B+M88$1 zgMDw;mxZN~Ir{HdXDh=xy^H)Cub@^TfMrGh4A|g1)gr!N0OpTHnC^DI-GB)q5tpnk zxpCN&Xkqt4cI$Sfl}}N@$I`8YWOmMdNq>*AguO-E z>`PZDM!dEi<_FyeVm2-aYgs6#jz=4?200pqLG_a`Blj5i)-zl#EOLi`H$7FTiQ;C^ zz)pW`=KDTV_S4dKfPu(agJ$O@I(ASvKg$FI3KWXg*mQe1ll?RNz6WvphYUKK1rK zUOn@c-1{j;OOR{tku$KUnn{7!%*?(X*^q$J{PF0M{>Usf+C28XS#&BU)lJb^8HRQP z6l2EiHEh%?G2Mh>cg3%(&yCos!m*$B{F7u7@)eUXI>TwhsoX9$wN9b#bP`3e5}f4P~x)@X&}dmxjlZ z`J3sNh8rp5JKk2;bcf{U^6z9IRf)7mv^^;KUcqOlyz&9gCf7eM+*W&fx2u{%H%BS* zEH_4JkGdGPEl;NR_ihTGD&1-WhoaH^wm@!)B*eki1^{f@z_ggSG)Xx+HaicYrji}8fqrV&gzc}BYWjb0=CD~M!FBWmyhp+ zKURJyjj|*~$W^XAA}stcHnQMsO6mCXg8X=Y0jA>{f!5!1na>~ zO&T?8^??EA*K-}%t|errgno*O=JgM{Co1F?ZZ0E%u{O~rN@uS9aGeGu-lcWf^(R#n1j;X$jJ`8Y1b!!hx5J#Vc98h&gHx&1 z!s4Q!D%PmCDa3x>0tlx?a~#ijQuOt2Y$sMPg?~}4!zvV`FyIpiH}%NFzxNUtic}RS z*Rml?dAMmawiYk&2hB{&ADH2mNEEW%)a^Y{RA)Zp$-AltJkY&}`HG9}b7fqAPv$Y9U{3%cS&~&NEn1POB}kDSQ?~}ZjtWp?v`$l-euumeZTMf z3$6oPz?o;Bd+vK?o;k-q53?Z;Ge!+i8%0L?`%#1W@7upGpvnLI&I9?#2Rofnw#xUq zlB1xKhOV=72^}Qj=JgCs#>F6BN4*&U2_H| zO`*rypc(Qr9~>GimV%)z@z%kJ^5Lk`#e?#bjQy1UwfA~Dfc5+LTS>98u?7pGPoj99 zYb~cUbjq`=s^wa|k`^8h_(R|9ScASZ`t{p_*RK4py#d2}(2UH<>{KGk$$h((+Pv%H zgXQ8_hv=^F#zCS1+7HR~Ww?9lFTtclxVhEB>EqC%mr_2sAH`At>!2HT&dbhfp^2!B zb5N{4?gBZ16EJkv8CotOe#%<0=C`Y(bXBHQ#8SiH9Y(p#9yfe~nxN3C|C409o^137 zMpE6KO+q@Ly|DTMqF*&!@aL_gRnZA;UN1g=S^Pm^~vC&CvF|%qh zQym=Eq#o&Hu8@D3Z!u|k$5j7%mzmLOXIYC4b|aW@)yh>EO`Cj?GYA$pG&to-P|!V` zY0Mku0A{2inpH<;iiz9CgT&6x=68CGAm6@l zvW&rR(Gow-z4vNpkA$Q*d~U=9-B=AXdW!ytySRwUjiP7~1Z_H=w6MbjIeC-d3i-V> z8mu!mY(q3L-6>U#JgmIRt~!M5qbnQ;Jh&Bsk9fNeqJN>u*JLhSMAAX0fy)^2!N@8*i^vv_h9O5eBfaMN?r$qbH z!@tND?ie5M9(|9GV1EfTpxU5yTsioRNaap>^xR_G|ze$b@cx&Ju`t|*T8WEe;xswj^yru-_(mamkw4Sr-d z;I6x=QXDmQWB70=bQ76in>Tl4>{fw@~{d}6ho$S9YcORc%Z@I2TiTg((NXfnO#y0^>(8n*_?o=c|- zN)n=TdG*{Ks;(T2cHQ`LABR&o%Xe?A+c>CeT%$GhY>j9q8YZwb^)5RdFcbqx1Otnt zvoMC5e7(dk=0#XL3WR!#KEC6t#vOOO$Fp0jE2KT?+_3YOVY0B1Cq5x2e4~Y>H@=dQ zrVgH+(3B{g8a1lFVYI{-v#hu|PLl`Hhd{LKgDnS+x*A@6pOoiOaJ~ zi}~{lt&Z0VcQmUmQgR{&icJ+&e=>`rP7<^9HzYq<67OEpm|0pB6}u;!8H77u9Vm_t zx6=J=zmkf=09|F2g)Kcdd=L`!{x(tA3!;MY=!$p=by$A&aieoKz7`K$)-N94jg}w8 zqtWc`wwm&NLx$}|pUBn?L=2trPhZzZ+dnbvwz`ED3@*Q`eqBW@&kH%C+`I>nck7S( z0+;JsW;hKMB5M5?ChJq?<|MHG3=Lzp_6W-?SiaW)l8@&d7mOHy%_;GDk3Y;?YQA8z zwal&RP*M0Vtw*~J=JGrn6l*Wb>+SU42UnJELyh$-lW&;-_c<-VS?%g(*4V!obkpR( zB}D9=-n9Le^Fqxb9fUI~>U7-pS;#PEtbw~R`^@g;E>K{XUuSPrVbIsir_X!xh8rThbzMk%tiK?m3v)g9s7+L_r zf3;(tu4OFB*J3n!0~Tu929GVS&6S87T=-$u_^Au%?6fFLn8)8C*M~dMVs2x+yE^% zVD0F^;rD~D0o1I<<#F}&P1~_=i;W z@}V@d7GG&wW7-6yd)FKs7Fwc@QLC$g!9n}9l}DbYHLj+|wjHHML4vP#ZOUaONe^fl*;TX4Ka=}YsbIIVyG|!c^1Wd?9yZ3q6*=_FSlLuVt?Wd{3 zN^jE!o^^QS;R-xz^R+TJQQCLaS-Un`tDleg@?k9yMrEmU{JgjME-|9vAeO{VDBur` z?3bx@re>A$WpS0W3?@Ba_KGRxVTY0-pZqZ=oh$!cw3&zTr{!i}+632Xe*5(q*IHbx ztu~@K69!2E38#W{W%c&I&Dd!!F3rk??rH^XJPR~vS|ZwiC=)a3wZ=|XbzH*j%1zGw zHcyPd+-!~MHShdtbM@>jNlR_C?rGFtt6`&#J)`PyoBi5zn;R7*=&^Im?t3%&*1c_G2{8CFfA_@A#+YtMkM{1E zL~9M<3#pBdRgWk0eX|js_vs?+fQ0O`2P|S2fEKXhwH$F9tg`h>db)&5xwd7>S=rif zK4Sv(PUMR=miU=Ub_T#>(><m@j*nU`V6 z6L0d%GF%9IwhdNMGu+A|<8?!Ic)Hb9RmIDavc!utgC~=A@66bHYChg0s|9H{G;r-c zR8M#+)qbVIu!aw6>Xe&(ub3n&;}+E|cI*JC{NBkF{)*KRG2iVJY#3fXmJV`Aifm@f z(DCfDMcw&;mRMe|yZM?6dWZE<-GKJHq^Fs$$)`W$&63bhUMY8n1vJp|LwBR=@528aNn^Jmu=yh9*4W%}{IkxKdrm-R!Xt z!M)me^h+YcsN%)e!M@U2Wg&14n5s@|z3KSe47e8jaXKxv?K(w0f+Lu#vt7LSpexM& z{p;II6F2b&rzgU}M%KF}9Lds24&`*9 zy)PBCO8zdJoK4*>f{>3|j+wip_~)xK(Ip#GA8XjJI_^(vyLS$FQ1f#hquMsFUhLz! z^hzt(uRamMAsE{C)d|3<#tpwZTkp^JpEurJn~0k}+}=F6+_7J`I^BJ3@)5lF zP}lTxxuERNRf%^9wUdd|nmzn0N5(g$7Cdwh4NS217evzgo0aL+je zkh)dLiKoB5O4)v_op?Gl*K%9E{pfsGYXDm{%f8U@MULLzefVqzt6idt_u&Z=`xI3wFQ_Zh8u2He(+t4$P;6VZoj~Dp170ze; zyI46Tm6$l_(3M&2vG<|qdxHl+>(U0Ahwzu4x?`zwiVx8!^`}AF{3S#Dr0a1|6r^B6 z10Q6G5Ot{ocmEe0FR!dj@IT-ipC(lm=W{h7+EX(9&`N_8>+HG*rG?T87TIWxJ~u^x zJT^dNYf*>nJ~Y&So~lX|g0G`wef0j_Xx#{nK--&W^`q#_%@ zo|+(qlso_S_V&oBg@GPPH+N3Uy$OYG4F#W=v_aWAz0xbn^N3!?PdAeL6Gv`B2Tlaz zULNgNPhz|0WG`j7UaD;6_jkHS_av%)gy#obTm|JR*NMLT_P<vHzZgYjpBH>5 zOvtaB`w?7`B(f8(CbYg^l2q#ZTT;#R7c@Ln%yWRATl~5{NnK7*qJW9@wQ?93{NT-J zGVxM!uK}s`Rrm5xc_E3X&1}|pMoI-NPU6X@C9iGsbV)BaOHXScXM{pP&Hg5jJi>OU z*nWFp#+UWDKALLqKuPqQ{RD3ByP<27KkWrHHwR=pb;>mERQX3W-aT=mi}+spFmrad zHJ^bgJmIYvXI$X%(6@ z*)Kh>c7&FueR0e1=jR3(MMHlZMwp;9j-jl^bQwsX#hwaftxphtA_*w)M=9J+)~0Vx z*-Dk@=QnrPo%YlDtP(}p4u2>@v1LizD^TygVMLS9eAh;doKLZc%%xN=i4%-YY(QH6 zTT_WhM;qJcO9lEjC6Y5)b6h|@>1<6iNaywAD;=aV3`!Ojf_V{O?0x;Ld(V%dURF8d zA%P;5_%l$=qNJn{HExiH0@68Yk;)!@Qm^X}@iS)$X>>1u!yk31Ch>&lmuUu@%m-^g z{6)Uo^4u+HOd)CNNC3bfyB;ml-&viVwJ!I;I#XzqaWU(ngq7NyqtBNIvr-mon2gYa z_Sy49|5x+%hw8t9J7OO2IN#6raSVE>#(qvtLYj@AvFpE?D0|O{Knz`}dXU)pNR&qE z^UB91#C_s2*v}(BnMk3_l<`bV3d{8U2y3VO+V#*}*n3*-{sXK2^1Mhz=?MwGC9d7B zrugXTJ|TuM2_X(Dwg!%^ugGZLF4xd;7*3GnI`miBptl4Yz}rh<^Bc`86U*c)+)i>! zdf`!sl*uCQK#E|KJe)e>LFgJ?Cs;R_=}JBL1iJP)pBX#x?sGQnTWge^C!{&8!6DNA znjf=YY(Cnepi6T8?8;Uz*Jz+Jquz|_I28t$Z@i6)4%SVQCNU%t_QDV253pa79n5N?irt-EA)=Y#3N^H?9iPYwQtEw9 ze&9sFqOUSsr?>Von}_P&FPthhi!?O`iCi-5X!ky0kavuDSNahhdw$mt{%tygce*<7BQP}2s_3I-RCJ1b?+ zY^w)nXyKG`g|c0yYa-S!Mtp)3U#xwab|}5IQL*Xyk6RzJXQWDknb3X*V%2m?9sYvE zl5zm@8N2Hh(bq2Rv|(s%(#=2G3q>~DsXC$(mEU1LUDMyWp&Fpo{u-_Xh%*}mKR#J0 z{22FppI9wV|I|I&K%Y(hwC_sM1cAC)HOjh_s72P zkJL2c+s7W86MwT3Lky3I;@v(txPFuPF1M`ZTp_DY9MzSl>S$dg#uzFjVVEvosfy=d zEqVanHN}Tsyj;h){KVR6ORZG(31?6230(U0hT|bYw%X=Yo?;nprB^x^Tle9qVZHDW zz#8E;U4+Fw2QFf{8%q`_r{9i<4-#3}NYlmbiPj9VeU8OdIN1Cu0lQ|Tw2nyt%0*FAH5f4q+9`&v4)stFh7N7l;bKFU^@J z@p!8FM}4SFO0oZ3D8hsb6v%;`60K$h1h9PINwLx#0r3{4rZ;G*UEOVx6PPBj9kZ)W zD#6fT`9kW3qK`|NJrlKi7PbZ-=E`$a_(Y%h;?pRwG6YI0{R-e!Hi|jop_ROJ*VLrV zdOrt68#uYbj+=y`<#XyMpjaWlME@2ZC)Fndz?~U* zhBJtRRp~78#Zj@TXjBDP2-Cw3O|2+k-4xM39v8RWRjaYZBnh#mDfVay1$r>i&C6`d zYp4f>GJp3FpVJ0mU~aDq#p?4;u_E>NdH)c$di9#|Q4b#+9?HM`ZIcB@=UaHvx86$X{c*@`;Hd>!t^sifaflaH*g=5=bD)wE%@CQv?iXsA?rl_QvT#!kTTnV zM>U4Rz)q#_u?m{h90-E{#ZQ?ajzdyo(I@|90B&0sge^iifKZ`bNOF(UGK{*B9=i(z zy+-(CPP5rB2dODasKJHxMJr1W1!sC5)-$CM0iq*$8L3}8RAHZP)j;=L0L`x?o^Gyh zcH3WAZ!L0Ox`rjr(hCd7c6aIS;!0sk?&8{b#aTW_y0YdmXmk4!*QxFJW0a!uI;#LjdEVM zJm@ne>Y0>uUN`!VkUYQ$A4uY-BY_M^DQ$f)-@T^^T4_(Y+KuA;Q$5fa<4)J}PDK(c zXp*qS3b)FuUuv(I{;=f8QiTk2Y0}r=^ZN97lWZd;)VO74oNQC1iAYZWsn0j{g;GcQ zZA>ESy`eChtLyP(N@&GeZU~KVU8;r1Mo~8AYvSqgH&l(8ANQ~$o&YSfzg9l(H4SPl zGvbrrez5yN)6!+~)F&J0j4I0JZXY;7lRB8##YzC}f82XP(z(g<6t1Xkb~HdE|G}d8 zzXPjqvxoh1CD;9VN4|{!80ZQ+2GGnC$TS%)pWE2FAu53;+UT?>_?^CW6L*$~In!Vm z!sCOPmgo6E_FUO>OxVZ9Zs!#Hl`Xc0CnljkbAnz{Fnr>Zcq*!XCRq+p$v+jXeA1bG zGI2Qj^-z}oGFafrP+>?b5_1y~*8c3<1v<29W74B+n&_(6dY&R}DkP1HYU#d#a zAYeUX9Yz3-l76I0mlq-?ukh3-kL|M1NU+g$Y6fSek)j?ykmv;P!y;RsokhqoGrqfCls)Eu#`SS;X54*7@@WetxBxdfgy656JbB+uL{0aFyI|9s z321WOgg&hK*hwr*lSx%Uag<>G%h6QrMduhs8zlbA1wnKs?93@vrZ!fjsN^h{n>6e= zs<4i6pc7CKEn16`-Q9Os5h7k@DyU>^QisM|s{YvCR zQNnFmWyiZ-_8e|q`rH)ydUnH4t%@hxNDy6U;k$%FoxM}g;PT@W*0$@A){_Y$!;gDi zR(o%>DA5u++Y*Gt5)?oD0r*R@F*yvmuy}ujWMs2SBLeUZK?nt%y)U{)6Nl$Yb37mS zo}lYUtLe9^2}R~OO8Y}36rPH{%gzc}-B<@kailAZ1k07D$H|Hjp#)$4XK93$nEzOT z(9+NX(KVgpCGw}jatNVh{coXs+;c|IO}iSu^?h@w@< zX=M_)s48R)ib9_n3;_zgi&ggAhZg*NNh@Qht?@vK7mW7sv%2dXOqz zE2&I-oetMiqXbdKwHhq<(j#qfhw-4yY6e9*vG$zcD&DN9e~kas1sc>i$BJuOimJJg zLz?aJ>`u$u^v+4|{e1F_rwTBk-ab_rQyjlvbfeFCN!-LR;X~@p@cAQ0K2UF(W2q&> z5?q#SllPiOpy64**?q5(|E5-c%2jm9YjM+r2I?w;5sP0-x>jOz2^6`B_k#Fh&lX#K zlq6`Aw^%N)ua&=?m2|kv>J(wUBpdH2*2W$=RV+Fa9m>z5iGyXR?T&avu=+YrUy%CsZqv!pQz#{6+(~QANJdS&GoRG@?zMKry?nBa}q^=Zx zf3g;$kHsa{k#qHoZ+AR%BX?)c=n4kLAwxaEP&Y+1BNl{qN@`6<{X#JoWPB$E?@QT+ z=9v?aNtv}&t{L+S(A(Gj_Lk!r!8{Yb+F9&8EAG;htP#pLX6Qo54!|CR1uJuAp`dyA zC(uc4x-?QClvZBDulsMuqG>qtIJWm`z)wI)!?PNOk=#+HcKzIj2{u$mKky7=#t*L| zKWrnplz~Y}mxSnQEWDfC5U9quZD)Lm=t_=*<7>zm=wjAKUNyb zG2aF25Bg)ry;kpV3N9*h>L__(wvAE$mQtmV0A}#!H*a;A!aOl8anSA5Pqb6@-aBIM zAN>_#=%027SlCID@k`B(m{~IvsRlIC*7o823QQ;boONKHp>skoPgczN@j zJUMuodBV!fxV8Wq5u@t>GmB1&5}vlSZF|E?f3n_-v@53Y7dA{@{(skqL3Mq93A0%M z1~knNZ2SmOiRo?02;(T0-)VG?Dc}tc5=w51mAsdGOujO!*1qphLGAn+8oRG9^0#N( z=;hAphfw@MsMTId9bCUIiBhPeZ`1lFsOMem%aClqT&|N*j3sPU)*>{A8+9_sK`dMw z$FeK?@!2e(h!oF99H?>Q$A1uDh1e4V{y-6mXaBnI3aCvUEa>(T8nWZ&hNnDX{v`;9ux4oSnM9 z@zaOn*f8;I51+GyKM+YHWBwtK2@wb6|}Cd8MQPox|(eAQ)T!MQ26ANK>*78XT2d^D#^(mwzZWkYWaaQ(iWocnJvOJ zr76%gTaR@f(Fimom{l}`@>NHBSjNG?l^PCEHjNUN z;v+Ol9IxX+c94mb$WY0t;6`e5-C9pR>2hD~dXSl=)#(4-$~=BX{CxBakMTh!0ipi` z5(UjRssQrvFZay}K#@-#7yU|YTHN1)?)E}cb4@bu0b5;R-LD(3r{iSfQhXW4y?-b< zDRGCEAHksV^(0f~A`%KPlk<9>twd|)r!iWrh@1C2Zfj&vg`}r+LwIf8B5aaB{V$u- zsczHaU*2I;#VltRK48ZvB&W8pOz2hR&0J!~CGrkX^0t^cdFwN$<-(X_nK9$X+aSa< z;`9WK=Chs@9O_P3PS)_)vWIXebLmlQF!u}{54WZL9(E15sBUb|I?;_Sg7 zqc2I3;gIc@ddO`MQKl~BYzQ*5Jk>rigzb=skt74>;4~8{$bN4PZCFMzj5Dg2_YdSf z^E<>XJ>Ts>m{d;r?fqL3apSiNJb^w^&ydvt&eH5nuMUHcjSqOAOkBn|LpU_$B z3_nE-Vw#)%GRStHUBBn-SzS%nKnB$u-s!h%qWwZ282vq*_q;u2Ag+~$Q5lA&h)1B2 zObLh2+s^j%OA;s)0fhAE@zVez=CAAn)j=^JUQig~@&h@?zntLWA)Xf3cnl(Go&A~n z5FV(!?ze>}yQU_cdXkBRjsq4GNHs(7DH??+T5nK19+Ld8X?}Ir1TUH z5V`ELAJ*K2-U_8W?|LEp!di6s|C^vyv}xK#N_vlIbS|jTe^%eNtHcM*Td;_{aER(Z z>+5G(5+vt+@#Eg4@H+yU16??z`QjoH-=_$jpdYUf0r6ryX8p1ARgWoqWgNc{LE8C!beKiXBi2yuI&mHVK$^`Vjh zD{opnJSIR)a-WGcL$ykK{}PS|WKTWiH5Y+a5Lx`QiqP9w?GI|6lFmUl7U;zv6tHQ! z{5+(V_{y8Z->-ctPJ%FnIzlWCj(_u@3U;@TgBWG+h}XYiML3deuOA0=oi;%v+R zi!Z1hf?fIS#5^U%K}occAuI zAKr%G>AhmLwwkA%X>oxC=}IuPOnSmBjVsG$2d&IZxvEa*Ats1=G+tb{Q&X=$h5Aql zZK6p5k&wN}K8$z=F0`97B}xQp5LXdTo|2USnkOa_h&^`_BrW~QCv5Ikh!G0Ms0yXms7m7H6`jE%vx1YKN9Qzx zYf$EcOh!!YZO5(Q2GiFc`2G^M`>or?r|ZcN@^B)|q;}*1>WILVt`zLettMK<+&!Xr zwum#eYX-;rIMnw~X+1>@Ai(-0Wa{F7Qef5J9MlL%?YVTRkViip2F-Wc76LgB*oQR^ z8_Jx1$w@qdF8^0tyu6&{&|MybUhM((H)fM_fylzo{+mjjEG7R@*BlbSTo{Z9RKC(J=g~YB8MUm!{Q$QvVNAgQ5Bd2V4na@ zgf!&f5L;hFwExLB_zR+D_#-(Jp#8!hFz-(pkQ`2_`N6pOG9=(HHkF-osczk+IP6Q= zdt*b5z;EAd^8R7~g_xxfL3QqfOrf5BF~H~M1t=3SnF5h~zJz~6==XDL^%CSrdX(c> z{7S9x@$%+)NUfin$(ul#Uja^JgN%l!fS3Zo`SObXV=CuC@oxXw8eC!24w2JbH6Odb z^4|=cND!)xs#px9|FlDJb^7Y6)QHS}{cZlKJlKf&4j!W91 zGgiEZ@3ieIZRJw^h zQ#Il!lK%MoZEE?>rsqQR2T|_wCKq#r}KvEU2IiXq+gjrBwo>Dx*tWKDW^Y$ z*uLUIW`HEU5=G;r>+FHdzY+svpYhgW8HW1h5tqDc@v~qqa|}id4i(cHT|lq)ed3PQ zcmDSGx7ZO_0sPL%6{R}d-z_{c^T8mT(x8BcR6dH%5j}JgKV19nh*K2vAwqKrie#+z z*C4>j+UaC#cvTtaeBsGqrq$z19daqUg^v9G=`kcL2b?$TI>;jgmVh^55%I@2%g%va zen)sQX=bJRHL^p>yb=pbdj<~J93CF0^dIVd0`hduu%Vks^q=rk+cPB<@j8lLt9IAc zx#FkR2u0_Qm9NelDo6?w!+zzx{PO@e!6I)3*^rM%_4#&HX*|3#?m`7waTDA zo}XXb9mrjzv}lB80#F70d20PRJN2F1j$~+*L^M1K*?_vN`4gIti##%{@;e@%3Yj)q zz@E8mh&uN4*c=>8@rtUYA;`F|REl;4D~g+t>0DBh-21Qj)!yZG0vD0gMWe^jHNw&b z2|A=IK1(cpe)ok`XNj7R_^I!{$tvQZI@yh<_jqz#1*Fgc`{>ei6X_j7J|k83qx1{^ z+++}}!pcR4>s9y2pB7~J$!K@QpL)m6?U z{^x)(TUEJEDQ|Uxmu$S&onK~zi_*x0@~pOp^GtSz-w)(rl*+LM=Y4$Dw0d~Z#u$WM zNsbdK%@l!Z;L z$u(-}w2T;myUF2d&!^z^cx095@R3K_`$Y)K7lSad^7Q4u#~wNKq^cL^&q)=8Y{g)L zOI(qzC!M{VEb=z9S?GCVl(Orh9z^Lm72okw9#T;YD3$a zNAv}gjp?|65p{s{E8m$ebjEy|6PC(2A{=-tSza84LjJfB#vA9{%gdjt2TU0BphQs3 z4PdJH(GNUGe>3zp=02)Z0U0jTTpzMmtgEum9F_LRVzh2#?oBY9!vJ^}|t zBNC!wvbSN5eyW_KmYM|;gUorIuq@V-XR4&}9u~{V+Z|S<3>`3y_ zUl_LRDOizC@me_D2k5rgX894!XVV`OMd#pw(D%c?#rfnYoyPG(coEZyJ`$U6@``({ z;}nREB_QZ9h}fxwe}H?}(Ul{8&iD~>@IC5Q?i7H}maPZs;Y z6o3BbnU3LsJqG>$g*0_gMYbtl_9TLuAOtU1I?|UiN$9yW4|`*DoZCu4nD2^A`TW-ravYr#UhH5PB9ydeC6I5;^Ky z(cofAAe?K;XYdP87U4L67Q}PuNdF7rZe4Ce#dtdEBE_&Nf@xk8QD`QJfY1wkC}H8$ zCp$EC$$K5SPf_LaFik`qrT;Fp*MpyK_vuy6Or$P1<)*j55u;#|WR&CTH~|H!8wGOq zEHZI5l1m!Q3Xy}7-(3&p4T(=jUXQDnV&h)ry!iT#xxu9`9l)NBwBEXu!F(~Xdre4- zuW&$R!*unPx0cbhqf`>>fX+B~rXem2*8`7tB08p^;SnS#6sD@dZ7A`&_)7X87*Plx zu+eA-^L!A~pqd!9n5`^*RAih(*+FLYQfgap&WpZ|CXw`$4UMo@xfzY=>Mq=dH#x4{ zl)2h1d%&{p*TDf9*Gal*E6y2gKDk+AAIHn4L@<|)I^sNjY8lghRPr(rJb^-Zm80nS zY&z0S=sm(QBHSuPRwLOD{_=T$$#o#><@dhsVmxy8(L~>AC){KVwEo0d3%s`5S4f~5 z22laAnys@SHYPd|`!#*fk_J2hJ?@Nq)>)2ll1~9iP7sm9)LmG5wN#yH>p_?suZzhz z=`^^7X@?GEcO}*lyYeSU<3o^z_$r4-?DwlTK(IY=fn11acI9D;al@CLW{4yx3i&x? z6!ab#85{gZT_pH=DIK9uA=!w+xK0%lfd%9T!cLCqPeGN&x&vAFY=p405SPUNTsL3| zRp1{p57e%5kOT0oCTH)^1}HlIADQw&jH~Fl_0glx0rnL3+EOBK8Ul4iC(x~N=dj$ zs0C+fxN3{7A3;dV9?$%r-$xoA2ToEGW{vC=^n1CWKW$p|tbd7>uPd>KTZ$CW@8{lm z1gbFE4A9bZMa1J9c%lJsswY_EuB7no z-hPzc>OXPx4`Vvyf)Ed?S!N~t5HLA$U0}yuq#y+B_YwFfvtqKR3f3uPWnL4A+S0m< z7fiAm{x8AjAZUrw83ijLkV4o~-flvH-{FjakW%i}?fCw>*+Yq?ewM8QZ5NXSUZBnl zV%>^qenZ`D+>Yn<3?tN~O1PMlMj7F(%&X$^$vk*OX@{~u{E$J9l@_X^<+?nzDt z^#&qf1w`XvJ)SC~He!;Kg2=q^uXuTayM<61KL*h-THBi` z9om!LQpF>VC8&gZxZAGMXJ75x0GTtffw95@*b2^`+~L_o|4CZOE!%I%w2#%>{#%@- zriA40F9Udv1ReD^l@HtXIcwc(F%2)Wg-bwaVC-%oTxISQ@p8k^XgRkE@IIYJ%dq2F znMV=lb9CL}B-9DzzHT4967gLf>f)sGrnEN{`Mx#POkqCK=`tTt84Q)r0hb77#4Opg zS0?{?@{2--Wd8dd{>#B+ok)pxaaU!OSSvzFLL|glC9e&X&T&k{czBK7n}kZx&A$1a z^|u%n?x{gM+v~JCT8_FO$uiNrka_1F0;S(qmLI~ zb?PF6?;$~xCp4Xay6vh6p^%7a|F`C93_fCVf@Mt1Wud?~tnV1sX(N%a)Y zEu)&_?7VTx&hZM?NJB)di5B6*TZ2K9Qyj{?at3-u1iTpNvy*TTF*7TZ{AY?UPH0Kb1b0<_&xkDSmN>L%N&1GH zA3u}w#WR!-3Bvc`t0+}22%U^F|4>~)X()eXdtOys){x&-?9!<>JN-0@ zpEN94lE;f_S0IxP1ZY7KBkio>P=OZ#f}QV3J4dt&{z?@ovK^1!zsqlxhHVztHi#cz z_`P6v>L>M5ig2t$h6bM5WxE>WPkij;Z5&juG4iww<)0AH-R|K{)Ns36q`noh?Z5&} zPbp*d(Cg1QF_h=)f1(ysS!l6%Ntf~+oZx+mv=Ewryy{^G_!CU~qm7V*7#}_pYMZ9= zDB){Z)89lr6;V^3w$Lggw}XU zxg&=8GxbBO&1AZCbzKai-M?`cl~7NWK0Q&*(s~%rcrHBCOmZdMA+$}m8kX|77su?A7Kn-#Zt1{M*8j#E~Wd*wS-b? zO-#5ph^d)ijjL#EqKP~o%Uxtmd=19YD-KUZ{z^d?)(M%sq9Wi5DBs25sBS_c5O?qs zi};w2`YR)M?|Dxa(S1~2?z}huY&xnT8x(kscwMbA1C@~2MReD(U(6@}`S1Pm^YOuU z3M7#?rH<<1xZd;xlDt%Sm!KapXcqwv+n7S2Pt+!y8);iq5h~`aS$c@#drxvSsP22s zj$Hpu9CMB3#y#g32$POtkCRXc*YR`MYJjy1%SV8Baih(g@;65r{A~=ZX-K-7X9SS9 zamGWu{B~J)7IRH`^hhuJMuyt*AaXdt4Go+xMxy<5dW`~I*W#N_X7MeX5E!#Vut>O% zkgg0j@!s-?ulH2-D*M8eDoz~rgxAh;M+a5iBpW$mGq(U#8+yW> z-%ftyY=!!X*f(Gn`75^6zUlm}myE4Vjqa^hA;Kg$7pC2)mQW;BQ#Ir5BCz`^v$yVy z=yD~~Pv)k`C6kTUP8zHx{6MN@Xa9qCL*9qha7-(>=~eqgW{_)U1k!|0@%c)@x=^D8#PcUK0Eoz4~y^-+MaR(CR=BR`%HgiM9r3W&tp56hee=KEwl$m$BSri6kSN7t z>m5Aq4g^KE!lv8-=!wIxjE{Oi!K;-!lcF|WoYL;r;8xfZ8v>WO(JHinR@hMAr#u4q zG2r5__p0^DPA~46du`9{tUKTg_Kti@u~I-7^KWBTJGyJ|{U&i>b>zg0_PKCh2$vH; z6MOpprVn|gK#asc>rvV>OYEm3-MaLPu&9O|gW)v{47c|Rh)`~X#NHS*k}>V*uN0(C z9qLc4H9BO&pGwDivwy?Q=CQP)PX)XXMHy+}ov9Q`DDsIi&Y09=Xtm$_a!-iAX^~p6 zhR(rh6iUf?U9w-L0eh;^@D9~@L{?jDySbl$9$mgz0#tjP_p0bJs7VkkM+O=bpPnUHK5!CV? z#Ft5*=8P2QuS);V3xM!IOLhwNlR^v<#}0e-83mIU+T*BjisGuJ_Eh%Pe#B`s z*>a{}5=5G)vpWys>5Dio6T3{OS+mfRyD36rY*fp)nda${PN6^E$4)B|n@RuGkkjV+ zZRR1wM}roudOP0fKfb0(5L2jzNJAMH}vF(yR#Z=f=MM@!G;O9>V@nl7FGY*MO?l<1-?7r-w z`t~44KylDAOrj9rDec2%>s2I5g|54K`V6_#y6o(2@rf3)8V;1pR<-#F;S0n+G}K={ zZBM@_x~wDaPeq4eJCCGMAvEOrz9t8F)HtZaPFtEXBG%@4pz?+ki|LdL9B36GvPQtS zQ^yx2;!luS0zFx2trx8PVB;32vSWo$6sG^ewow0kGGq1DNF{5kl;l9`SrC+q<+=4j zXa#{KLaTBJy#=R{?wx_A*Zy0D2->B5*US89dz$MFSzKfL2?8)L&sk=x5c1F}ymq%X z2@&|!ZnC!=cGH;_J-S2X*TvFX=SVk=P}M&?dvkqHqhFCv!U}gtYY=drvwSX3UUONq zP(3xW1;)5(g)8Z$4MRUsSI$bZh#C>S+a|+ay@67b+`jP*nT_4pm7XME&zz}*N*_v8 z6rJE161(&I-t|?w=p7=VY?e0p;^UQ2UqCYC>G&)WjVDdr4T$BFR0uM%)O^O<)<6j+ z0XB$@u#lGWsC!VQG6w%bs)gor?|?k6J2benz6#`zyeP6JTEpx9yfuP zn_Pws9DY&3#3D!wI8+Z=>Vv-HGLiSQewP2xU3~i2@@sy!eBI+Tqv^Wn;jD#(#mzqhRN#9Hhl7 zE3U<>c*WyPAuP2+^3rIjZNlr6M7$=Ek-?gG(kSKfnx5&DH&t|0^h46`F;Q2H#4Qu< z;=3;}U5Wp*QaFK4uYHot$Ml(RR0tH@ne(wZGw_!GN}U}bA@&$^M@WsLr(yqchSxH@#@xlbeA7P5@w!MbocV5>2L*2Dl=iqt7=_`d_7HM8 zR*M(^#R8b1r;lqi`T3v!OsT}^{|L%Jd@X&--z9sB8TOTTGc~z2@BauI>!!*Gyxn8{ zk&dn(B~%`DHyCM%SIt46*ZF?#GTLtSutYjD5Fe%IVOqs>@V^^6pL8*9`M(1#Ue4`KLeGAG=3w) z^l5!*PgXP^^BD|vR8I9jA{a&n-llszU(LWE0n-PSsSIZF2<}o_8d^{Xm{{tvTd@-K||oovg=dxISye@X>Z)R6IhNS}`@ z^$2t2zZYgD^oX^PF6Hegi#VEt_j2(Dm-%rJe)PiukL^wUzfub~VKA3*F@Ci||M-Ys zm%>;*Sv@XKra_%qzpTZp6><>vQ??Y1WiAtS@?u!1IXt>ZhG{+n;7KHgzKqhuJGSap zLI1T*L6bXMDF|0oO?o&XP_=<|g9B(5r@!_{ad0_svzk z8kShVEtctU?i;ymYV;-j;&Eag{4O^>{garD+eKoHxAFj8EVPL@uG)1YL7oiOk0aXZ8O!0|H~+GDF^UOdRXnji#Za}QRwN+!&L zbt6pjz}*~kH`;(se>it`Mp}hL_TUGvtOnbmX)7$mer%`ekzZ%-c}| zX3IMlo3NFD^N_Et@}ssKmbDZv3jovh?7Pt$l~Hl2(VMrU2u@3N62Uye6iw_QO`IWf zpUaaayh2}V*18dC{^@lu>{hV-$A zXdP2Juy((B=9^9#{nQUO;R3N-z|{{gDUKd$Z`uwLx%F_|Qw?!;M`b)!Rs-gE6>4+L zhX)$?Eo`jx@Rik)nldR&y;)$Zjm5X}a^wBG*-If-*ZC&DHmW)$nTx&*ax(qg3b}wI z;?FgUZ+()?8S0E6F;#qlp!IhanB{%>Mb|QGcpQ%I}Gxh#2iBF~G=GbeU#i%~!+9w(S$gLLLtEA#Aa{rjtZS6AtGGVv9C}+?f$l{6FV4SM?+$ zi6k zaA+>PS!CwdLGiAHccY8WYt+Xn1C1EtkNj=NAZ0q3$OPAWtZ(OSIDWS9JV!;o0AC=! z4$C=0Lp>(mnIrp^G-vU`PZl#VMf6cnchUi$bRv2jX9yA(m_kYqGNGF=AM|>iJ18!a z5*h4nUN>K64&g?t1Iq2>d80hC*@4~7kP%+X+^oBlT2EULMvIJ%sZ_o_4^^Bl*o81~ zbE79MOG@C?18(nSSV=lPdNi{{3eX-rK7!`xqAzHRlJ zYEAnv<{iR!ASZDAD&8l9Dtd>M% zg2-@7y-ffsQ+mjtiLc^WYArEE&fS}rll;gC%VMvD^kBEhVhOp?lg^$bG!vxzhDABK z_UM~^GA`-U;8g#DU2;R3%%hKqdw*{DS9cfF_>$MqUecP08ahq$qOuZ<;qxl7f-bPd zvn?HRvjS#6|7L|jDn+@pup00I%>b9^^xJ4p$4Y?WhCQ39zV(-3(BFUSU%lyBq`r%P zVZz(kvS)n|!3f&r3gC#zRqsEYj~MFp`=_Kae&E@aIQzj41z73~|4F#$+iy6L&-ejuYmPmKSU=sb zR}YXtLbSkI_j&l7OSd68X`v&x6V6vcKKPT2?Y7HG*By(Stxn|Z^3^T8aYAoHlBsQI zRy(-Pv@>f)b{VfrCK*X$6~;Fg68Abwhv9ooO&MeO%J;Ze^>JPYPdt17_|@>{$w~g+ z>%Zn8-waYpv+`8evhHY>8vW?jrVZh)VnRLA)6HY29N0o#()gaERs?auI<2rTHLp=KGmyuT>B2m?;SJ>Nvkm<_Buo zRXMVbm<#^#H4lt6QT)Ohn&8S*Hap8ZMys*8G5U*^rH#i1W8gsKf=CQ@s01~F-`OSC zM28DMzFM39GU!b@+-=afDD?8OhZLD0s=eG?lJ2eRw=2wZlSpxUlH-#el<1>X0d{wY@W8sL zo7Azm=HZq-!iX;&ICA;({ep{Xk7hz-FLFfDB3T^uL@8|#8+o@oLWMhVz|E)uT)~^7 z@~9v-mOdTx6Z{}$kIkgEq;BfP7H(M7$U}JXEulLLG%Ij3g+FwZolpN`-$3+%h!!0;>Yl(70bW$%Rzh3pKY9ZS1q*G^0GP=i$S?nS8mr zO*%5uJW9PB`=wt9{^hcdMQjVVWa&-3KWzAEq`DHUnY-6$X$Sd4IeO(KD9BBY{HxU0 z{4%jFO0>3!d{eH%)4|lNrr67&`sXZv=jwrCj4st& z^!nrLoF`&s^rsgXOZ!AyRS>NOv=AH_{HQ{w6i<{MorS=3W#~ z#U)hSSnQ=S5t5+$f<&LnYT~2SD_4?ScD*LC?Nlz#QPpvYY2!FYt$lL%He%IrLW-e% za({mzZ>=gX(T~D({ikDgY2=8hbR2FEO2tHr$z7w{K_14)Sr98+l_7%HSSFiJZ3B`Ncb&02E4 zxlt_oA){sP-d=RT_*)SSq@2=TS;*DUIw{AQ?0G`{uoA7GH*Gd0C}Uj`T0n&a`ZHda?S`2KW7h$25KGUa>?zo)cREOg~|fOsLW@ZOCXg(nJLHI zHFG42SctPTng1AevslRPzcs--$?vG9R!BOk;~6Wp+ii(s_GGyK0udf<@r7k*#m%pg zaY^bA`}a}NbB@M-bmEk^M)M<&Z|fF%*UHjW3Zoczbrx*-50OdzhKqhJ3`HG|Bz(e4 zA!v5t8;!;J3>}Twc<J2XqA*{K+-5 z94;t=IukDOa$Y5`-8}Qo=7d=qQC?*o=m>nIS;2gHJ=yTo@#@hFk8CwPJ&Ha!J)tj( zjI3U{ikR$C1X|1JCfk^u09Vv-EnOj%QW6E{mmJG(311-pLY{cYcL zL-g3IC#Lo>9J7#b1y|VySD3Rh#z~=jopUzU$yHsgl+}ZZk4C=s+s@B@bregjJJYDn zSk5~HTAI|&69<&^MHX@n$!8-me$G8xa{SC>Of1()@Yrz7>)%gqIyzL{SOQZ$6Vvb6 zi){0m*Je{asC9<*m0A7GziolXs&mAOLGgX$wX2NKCbmKQEU49<_n-VY&$N@D?0*>6 z=9kC$k-@X?&u-~K?@iN?HU#I1e4cnuj9DxJvjRK$g4fgRHFu50E$j#8mMDtfCaMm~ z1H~QxC6ya84B46Sc;;}1&B`XheOd{2{~#F8GX6sj0ZxqpgcQFNgIw>L%mT%`tcmK| z+EHW)p6t2+3V)n5ztt$)0iVYob^2B*51U@Mu5@DVHKOuxZj%4ti`JV$XS<#U_EzRyCo9T* z<6IYM;<$=ve(1E2Y>Ca&_mTgs?^_Sa4|g6hsx?2dRaG75UyA&UJKY@>3AbTeD)y3_ zP!IEcF8uqs)hEM9G8+ZQ6DEkuBEXu;G89?_}@dWs0ZcmarQtLY4thPycKQrR4Gj&K!ngT1aXWy71Ncgne@%~| zvunf)LaTS~Nmz)^I2#<)goO+9+;ugaF6WW@=~pA%paS+_F6Suj^sUk90|*W%Bl`MwouPuh%4Lz;Yk>CylEo-8(=?G32OA|vHTUpjc6-IlF zQ|5F>mFPWd(l4X(IUxh6kdwty#o)$r`8~9id7FQSSt+kK_c|k0B`sa}1ZuC5Vm@z) z4DL;lQ_s{YehM>U-3~#eCN#9AM>oE->ivqhOWcA(3HN5QBPlkaZuu%DeZ?8LNg{2|kx~984bM4sj#q@+W4*^!&oFwa zouBjTF)0T(nvShk^WU|v&tppm2e_Frq6^#EMG%D#$!=a)wp@+9+y`b~MP~&AeChFf z>;Zzr={-X3as_dcY^aS#$@qqbfT7E#=1ktPzMdmw;r#?FN@NS9j5*oVj>^Nb5GC$! zsb|JP+LM6Pv1z((Sz(J`*MBmc6-ksDy9;kHi8az6G~B+QF7X=g7mD-w2isyJM9AE` zv(FZ#)e`4<5n*CmDcOeXHMEiK^*i>9UGkd0v*%@mm(-m`#L7OAHJYRV>ci^w>%8?- z2g7}R%jF-->%r$w!h%~2uk1uKA4mES_Xl#&%DsvE;(Q@EUQ50r@F|-?qQglu?_Kyz zz>}1bz`G`GzogG}w48(Y(fghu-Q~6p0 zH!pboV=U#j--y{~Zu;T^XueI~%u-2r1!8#dZ+m)Qkk1;|B^|1=3DNg>dOenEP!y>w zL7khPdR$7IFnkzMc)PL^c&;a;5LDfssG#@$#^_C+~lGBem1QSD=2$KtV?jA1F+?!7c znU&%q_{0=fzM{YLGUAg*8?W(Feqs-`FmZkww1NW9__H9r0;!X`b(t3luf|6Kv1}hm z__QB__;!SJ%suV_y$F@dSLHv->Q?4UA9~31ROjv~_iX@x{0+R6*1 zIQ$BB(GF#dJUROYQO^#JqtU-NqS;2voHks(s%*`7MGX4ayuLg5AP?C`_+4zc6LluI zpEqa9Y`%`o=pm2&ZSg|C7bT)@m3)t;6#7Sah2#Q4gb+13?Ec2*MRi zhUf6LK6E0LPVvSvQ@F=LNF2Sc2agC)Gb#@6%uj)Q}^z zS|C=+k^&&dI?QlW#sW24oaxW<+lLmjdtECo$MOwG(xHZqSuGe>qM<5V_qOBGiRGn# zr*xah4LAuHL6X0d2$_>hyo?sdGADTDIT;W(GScWzGM$Ne%f45+ho4r*jk@RAcF~EM z31dKX5X=mzK6f#1JkTsr^vA1yHj>JP)DMb;0aZ*|6$0yZHnWw}*ozTpC~0^s$t7OI zCKq)2o}A-o?xpE6^cqvDSWryOYVQb2Jofz1@^+E`{79~UBUmA%=9}p*CINY`F*PoMN>W%lqtB>41)i|0_K9cXLCr^_^yC< zuaL}%d~YirQkPVQoT&GC(5<>qakM3u{`FL^@~HlNP-G1|_gW~@a4AVksg&GS|I$sH4_m6xn6t@(k#A;n4VBr;ft}(2a%|3uYr@??R)P@u{mh)JH}4`g@Tn#5eovsngsB(W?)mkZ?XnbFh^+SNEH` zm^u0TK>g;^U+Rv3&z3@KiGi4Idu{7;{aVfi*WR#K^3krBnYB&cBY2J}6UxnB3>lHt z;x3kO#MU%{KSOuZzp1qIIUQo$w`&8odu04U-UNH9L`uXt@lp4*7M}JZSsLLD3KJ3c z)IksEq-A%^GmoIG_OB}c!pQ$o1WS2ChvP*x=C*oGvxSu6#`x_SA%OQD+naFO+}`v2 z{LoH{1)Xc|KVvysi5jMZ_ALSFaeU{I>ltn^p(!~>f01kL*JJwKKOI0In-PkuD$Q*C zDU+qgExyaI#KZQZe1hWe(Nja6_c$6$w3KgNl|@uXTrm|6P+!h5c0uw(?{=sfED<5I z3q)b}l=FK~TJ-4cEueLE*ZX;H;lkej<|qq3(?=X){otTn-Ly7zO5vEEW5}B&al{>d zDbnYja1l>!anA|+Kqzq)mfpYv3jNi4I+w?U*lHV<&#H>|0&&F!-kT~uLoUfTez?E2 z`0MMbK(n2)jL97Rz0bKnqpBH5vLJvgcR6c18=pa@@-m!00zSm$M^^po59zTm#2X0G zaFqq|Z2Y)sb%Eh z+X?Ab@oHRNmYa`eHFVqF9LFAheis1>N={$8lJPKZ!G2Adh7A1cl_~jz`CbCFNpJI| zVuF{tIr6Bqum)GoGn^Z(r}4v(15t7~Cx0!K8CSdmz@f^mSy3+ZEXoT?G1oP9gH)Nb zB@1DXHI&d+?G`9W9Bh72)T2h%+s8RD`a%##uFO{-PMQ+LqO2@Fjk$*t6I*8{5zOVWj( z(+B~)tX4)S)&`i7jM2805lp%&07h!qUn|qIT2(c*AD_4k+i#8^>6z`pOS$0YxiyMO zUvZ%}H^YRfbx`Cg{zEd#v}bubDol!kNhL3cv6OXH9+lF1pdJ~qn-4y0xLaQQ+WK;= zF<~Y43(nKlipLCtJ-6KiX(V(Y6LOtD2{QoVSSCeZUPW7uqx;4lX_Yv!yOVD{pSg)zssc z5Ef;&k?Qz7RK<93BMD+~NL_#r2}K}Mid-@z4UE(Mzpchi(7iUGaZqGm|2o3se*i2z z6Z}LCCy0`AM!X(=%9&TMX$pCI`FEOv;idA^w8wUjQI+FHQMeBU{k>M>GBreVIG%3H z8g|pgdQk?|JVCxQrLnnB4I}IoF)tTvc;G&_gIHc8t8e_UOf**E_XQQEDZQrdc_}#c zMUk)r`iD*qJa97`3gI@pb8MyKhOq%mMd~~Cy`CCJ4b_j4;E84Q77d)JxE)3K79z#) zDI~MTk$6w6!nC$ae?5z0FPAWd+>xfc+&qXy%IJ$$#n*CJO^^}=O0+T zuxCVnxEvO?md0#1$^L-|-?GV1>FR%BOLOYKgM;i& ziiBk%$M{j0q@uULY~6EXh`Qtcc~gO*cZlZzeXcXp5SKR+&v_J-Qc$IFz5;_VD%0~? zu3Odf2E&ec!udFHkV1dz(_?p`$`$v%X#sxYlxlh^O@T+7s~DQvs=ns9WiTth*f?akTy8H@Ga0HpV5>?S?Ol-I z{-g!FzpHm6dMTs&>^LZLZ8y<6865SVSQN>o*WM=X6QiknggcgJ-0w9qr}4IC^)-~k zBQNT!6KL7iZXR&80itbjwq>L^UMl`6YdO@9+7UsgG5m{)$-K1C(hkW*XtZDUks?>; z9$*rQ5Fss^YTEW1tyaD+dntzp;d~lYFxp3`TeR{u8hqHLOk4T_$ekOs(hq zdxK4Ck+OuNcHP=5=(SggDkVs{yHJ%w z7sxIomfL!*VD`-`n$_k@6E68a_I7HyN^l+{x8~)wJO`S4IouTvl=oPby|m(DTw3(w zJR;axcRc*qS(gY0$aR`Z30vwX+}>?tHl8nTWO}P`tu|?7a7iz!2XmkyH6og%W4&&# zFFt500ptLAtrr*?OCYE?PrD4%U$9MoC<1~=rlcc=m?F$4Vj{<)9nVZX> z5fgGWBW3QK%zE@T>Hl0*o^@W*wB@UKTl@o9q{l%>Pkhdo<`8CU|E|&5f&3$H75z;W zD94rY0;x%L=(G6BvxHvztwfC*{l5hVDz~CCt;Q+FgpjtJlP{}z;Q4Xv%P!^Qm%YFSqJQ-nQ4 zg+0{j^Y|JHu@GyD86Ra;ykjO+0|vx(M|+p5JoD2p8<#u5w4sd`VYqqJ=zBj2x)Zm6 z`qGK(FC~Qk%Gt8p+&0*g0+97__k(K#8orRg3@d_@=MUi_H81jhDF)kVCBy;gm<@*{ z&v93O_+i^i(osFI0R22+aaXlS;OD^_6TueAl!EXK-~5N5OMn^HrU)VG!lKfe1Q3u!T9|+wQ z`~COopJF$41TfX@w%rae_K;2T_@Tia?FjpHQIL%{nHl{4g)%YdUBP0}JdWAW!Bat; zD{L>Z2E&x5Ef&wvGp8Bx_4?IQ27;veEAE%3=PcOWf4)=i#rH>A0!RbZ>Q|HyIb zWO(Qu8I2y0rVK{9SV#Zz=_*aH#5~JuZIhpdaBSRcDV@rTO$0xcAbResD8pl$knvAk zO1Kuu4Z6X_i_tu~Q@)vA{aZQTtsRIu7KHyItmG>6NO4}6zX_?Lt#7JkNli&>wvxDW14v!J-!UaseT#a-LWc?5ZLqMOm!dmk zPt=W~oj-W#TkmwAQ5?vwJqXN+CZEJ5B|1<`3mBP%{hYzCg9gQnCE%>>s)|Tz*xMCq zGtq5!+R#vlUI(h!?VRbu^aB?ii_np=0rY$GbT$IXBjaQk`RJIOQ~sg= zWNIkg#riS-X2N!J8Ai|-#)bN`Zrm-g)2yLyflY((N81V3YEmycT{c_Bc)_XY)?J|t zMqBKs5-UV~F0EO&SlA#bG0|D)T96l(+$7D(`Jl?wV7>W7EE>c(x_AP^B+Eaj{@+rK-Lg)EGHhkacO5`{L<@@v6`%w&^=uC5cJ zhCH;TAsTkFo}0PC&EyR2Re)M@Xy3psW$5_|{-u`ZoV<#65_3gZxHrma-)@NZXyvcX zB?~kf$hx|7UiAf=vW!sG*~V)5*fbd-%m}6zS54zxc(jm;VyO3_Q?uB?hS6?|ZOjy> zIk6JK+fp&{*TSYWt*@ZBSuHZXC5gj3d)lez8@z;OBj$!bZY=II0jFghxy9^}awD%_ z?tCjZ;3IeX6>-RnB6}kdiDY{ns$75ew0 zaqzVV9ilM~4h&Af0BXUnihQLxWH%O-9mWDJ!CHChh zU5%YX#ZTX@GuVO^p$%<*$ETk=++VH0!yM|vJ-9DNx`QJZNS7CdrfF!h~&kSc2 zUGr$>Ip=$8uic6I7ZpXCcFH8)k{jnfMI_JKsOCdY+#hZE{^|I*ag{Clt_{m;+!8Wrj73rwE1OP#7^ zI(|_PT&g8*Tz;?_RkBC*ZTXQ>-M4^-1q#IZY#F76+p4bwgj+oy2UMjbtedhjHu7m06@ zNdpl^)7kEABeI?nK~ir*F1`!g5z|e25n}KDQanW*1IuAUulFCF#7MC^X3v0QWLJr% zDfu0`fqKHAZBpT&hwL$$pDZ+30=s}Jo_w)c@+^;iX1!t8{;o~|Y=d^wWv9VF{jEY; z?|gqhHg6ZNgiYv9v|>wycs~JHH!Gp-<(4PgFq1JG&}!F_9P6tjHek|=da5<|PT}m; zZCJLw(wHgkGnN;|l(_khUq`my#{@8edQ?8Vjc^)ls{CDgTKB~LZ>%pHeD?^j9};<_ zuxx9s(lCqgUKX&kTXnLhbRN#G(^^t?8D*Um`HXn!-ukMRN-7YZ`l14t=-aQOLnk4W z=nTK^V)taEPM|N1&tttI+67z$Mf+|=N5L@FXo;3QBj;tgjQ4O6A|szB~Y(GY}tvIW!b zyc;>q0M#YaWT@L*2!m$2M(o}7Jv#2;!+fnvY}yDg#h->K@o0~JZ=p!KPQ<7z`W zYh-92BVQ)D7Nt;goID^kNL=Sq`jFIp|Li$d?S-U+?eClxk-+lc+wyU7$heHHFk5^? zIGkjCsBZ@WUVkBKalg0p{jcq_8t&(Lt;7c#M(uwlcJ3aX64WbpwfN}K2-?QV>WCc_ zZ9@7sxB5iDWop7&US$%&Obx|9S?HpXyJXa#!VPU#Uwkae^xy!XYU5!1(t0t}&&7gM zQ0Nac-;d}sNl1iKmD#1bw`!}$xD2V*l%y&Ny7R26>sQPgOInzH-ZKe0meyw$rqDJlrb57~Bqq#v;QqgBb;NP{7%kNZU+4lOf5QEi6Pg^8E z$ZFklzNMOxd<#;SqPe(v0WC1u&)KxKCy3J&U(!eT?)LqaaaGoGO;E}__Q@|06CE@t z3<#@9_5{Xgdt3|l-b?IFmq6fC51X@oL!SZxkIAq9+FFe1u5UgL#-@8C`uAd4?A>oe zDJ|cZFA(Py)Jn<3`w}G-5MzFqbd`UdHlKE9^MikzySc@LiU08*7o)%?Y)x3hWy>itXIDY^4@$NW_ z_lfrML;i%apHzeI*9?SrrcqqRy_)MPW|8a3lyh&SLW^Umd1WtGnK;+GS|f&%efRq`d4D5Hu?ljCctZxJVSv6HZj zX+zm!N(!Za0=K=uP){1s&J&Ohmem*lvb)>{xMU6m6=m*z2rN*Ow&A2t%3LYJUn%(A zKT{j&u-j?;SGL>8fJPGvrplqdB%6?@c0S)od-3o}w_ zMT|dO`kjK$WKRuim5KxYrimZ3GsIrPqy9dFi?<6a4bK4AuV19fVJrVaSX(*4h|@)Z z0;|uJQ!JBXpNBp`Cdax*UyV~?_}H$7Sy|})R~Lkknvi|W(GTZI3EPYGfFN4BjUALP%#tHKB6ty@1z~krNc_r&DLP1^uaGOwJ0-AA(I1*bo?H6uePMLk% zgLd4gD^ZGsp0L8(N$_%YAL9^zp9&jTm1Okfhsj?iuCO#8P)M`- z^pO?7B}{X743$8zFINYMH=OfZc^^qA9e#njjvG!^v5fF4&D@VXSiz7L)U+&STE zAgMR*oeU#y4t})(PafHNVQHN{BEFcqzni;j@(K`zJNeS@OWKQEh<(9J z38QDA>FIO(?o)*~bs_KAdzUjI>j6G|f*FRiu}T)1rI$4wN>}#t)x?y}!r9lm70JM; z9+1rGo|Bf3D9j5C&ZBJKoGb)PQcq+KQqvrm#*6=mg5M%zn`7p;RmW!3KMDrdynoYY zLu&hkbITU>f}ADoeeVNDzJ-(w$ry;cR%UD@SQmnPD5~_OVxY-un@p=EZzd-o2redp zzuQb)DgI%sS__){UHzl&CD)O=k6l<t7WGS5tXrCZCItwI)*tdOq*V1hXJe0=VueT^a&d2}tUopD%%h?W_&pdP zNbc~hgY;7ACGQ&-&iHA>dc008tr#@8nGHHaZP`(A@d&@;PlcR1^Ha134sD{U>6LJv zWrB2TVDplww5p&qBx(~Np69fIPzpFb9UfT_o9UUdXSH#5oWriDA|^AFu6SHJjDu=< zt>7z=a9&1^#Q5Xqy2i&p{l@#)f+I^%>4-%(=w)kz7}ch!pOet4lSM~+YhJ=gv|p8! zh&~ySzR-bwMLtItN?(ijOUx$Ln@cqhr1nDmg=&?@>0+0K)fIqHfEzVpducf(5BFUb z$XB{J7EtAR*jDO*c~#6auHY}vWrMd<{G7rC7c=@>kA_%^Vt4YI^|`#P0UjoViansU zgq%gzNB|8uy0*rZ-FswmmO|@TlUSf2`O zMP$SD9L5H2fRUPrHW=c@h}gxi%?fb9#1-q4+=ZTOp}}y*8AUU4YoNYWqe-uYN7DvX zu<+OF?G>F093lgEWT7*bFy1j$P`5vUf3DjOF5nVtPdQE);fy^Q_Q;y?bXp%#fA!|? zu_zbR#l%;#fU-->{+;@+De5m7QkLvJnEH#I`3k0*QGoNYl`pkkBnq*Ttn}-V+*Osq zSNa#iqZ4@#9b=CHDXpw?9J#}ab7{2LJmW)?Jg3>$>^{m@?>FmZu_9WKlusXx^@Z+Q zsGL?};nPhv*V?O2-O0J^ahOTu|8pNdG-yH3y5QSmkJD{Ul{%pfsQZ)M2A$ZV%gCQ^4?<1VbQmDi z-j-{-bDJM*W*1%vAv0#EUd_U=C9*6_egf#S>IY<>r&qygKOHP~{&)kt z7{+ybs5^@8H?PI?XBA<7nCa0Nj;-K`SkSbqj9(HQ7T)_J(c95u-cmD<)3th(B5h3^>YZ>)mk@7W-R-^kVw!muLkx zyJ_R?Ii10XiOS&R@YaOIKGRsP$)y|`l#QQt;beoPa1UM6?q*SK(jIfp*d-AVPo!Lz zyXyMhY@9&k;g8)Z;5t#ZRNLVfVU)UennEqrNejNopVrS;CsTpHKlpex%dIus2znGr zfF}(|ch_W+nHj0Z_xfA)Ub-#f&-W$9aMAXtlWe&SoMeVNlm4t!xms`dF4|sdi#avu zTq}1aKKNZo9gI{Rvom`m9k9>Hj;ZV*Jr>B!h_lzrh=5@WGs7NiIXZIwE=z?03fzQsO>sZDt9AJj+}DxG!9*DX^ImD8=$xAS&N z7$o<>4t*M;#c7u#-9g&m*|DM&H*bnyb5&LRy5%m>7NkZcC-36G(-) zSG!wXR}qaAkl*iOy5GfDTPG+OAwm0Z@k+`~q46op{7c4L@dDHEu$ zYGslG^b9$_pC|u7jb2Tx0dMyQUk&opU{4}CSwHRgd*Jn{8H2n;R8N!_W)?lWa4pKJ zA(G(`Vx_yR#%jwCRJlg&vzut$vB2|$HkK9Vn6;A7`6;^k+CuB*o~L^Oc6cf!#%XGl z4KG&mXS*u{s(N3O5tSuSwX;t-{`0+EycY*eRuzEJKjX@_Jos_Jg%xRox%d{vU?+u_ zn$a~yz({Cps{DS+3)c=SpKo!cwa$*!ni&a0#nSNdgR%`L$1TwZVihAP(|J_Z#!+8t z(*HfmmsM94bBh@;T+hJo>0S$GaPPo{%ax}IOMBDq_N+mv;qloRr{A-EtLLG}cVc%^d03%x6mIkU*?tt;*%r%z zImyi2@^Tn9T_~NhRv>kA0?+X-R)tE&>f|+-jdo_^pL{L{c^?Q9YTP>inys+nSvC?l zu(}<%Tz>8N1H1R*&+lRK0UpnW=tr_Uji6%9!Y&P52e2DY_V(}U#Ftg??c?4+(kopo zCm4*{Za^Z0DX0k4`pdqZT~+2|Rnu7bu2^uDQ1g2q$au-Pe%m|$fI$n}1C~g>&9lo& zvOfi8Z4>ivU$(2aCca?040rkT)%%q#AObGe7A2kY<#0gMhSd3XDz}OiH@zJ-&a94<9zp&Ncn4b`8Zew$f6;1a zb{ER=Y;95+YAoT-lrUv!;ewzPdtUwHCF<@e)OdfqM&{I!S>Mw9UR!nhpxp0jg?b+g zemzq#*k44`UNT*LtV#Ecmu9_0Q;_h)>^Q?{@^qdUEx!16sZ$|Y9#%c`Kmq&=;@_cZ zpZre)6fQ1*`4?Gb;eGoajqIUtyM@o5tvPvTeuW%L${B{l+P&qyK=>W>WDcF_hp7Vs zwb@vb97nx#_zPYQ6Zp~7ickdU%BAAaxz3~Q(Pu%<`#}W`)+#bn*?U0?0()1PuZPad zXXh#w^(CzvI%#mBCRIHu1Yjrb)lx4aychvWx`K`!lnHjZLjJOgN2MgPG6Og=uz77SuK`lllOg+I>t`QiVKkAvMc!c;rcgwfV&c(cN`M*zYX-WN9|+q%GWC zqzoqH1ou4uHp8gsic>Mvm6e{q3Q^njKr6hM>E0-Bcn(wDL$9qw|7xK-`Q&hhKT@)} zH?DkB^>Ag7Ih*yR`{Lp<2s(rGqck(;AyiA?S!vHa>KNtKLya$ih>flF(ZMcFRN=Fd zRf$1_c8quIpCTXsQqON$a*R-~-(y$EOvh1!eV-6gtg z5LdHN=O=TXzyLl$3m+7RUG*b3C`f{kN)uCqBb*#K*R;{FeME(o%VVqf;>`t7a^v!S2*OBzOITk^|H=2hmWX%G4tCqM)qh*+ci)wVN8;ID zl|_G_GPqRt4_JJIk9ovw6HjGs#vZ69;e5xeDj*A%{C1P&!w$7@S&?jnL zv2#AFzIU+<0~O7>L=FYtDWJV?{n)c;>HTB;hkYcTZ5+*#_D_>hFVIs(JiUvQo{B0- zd)#LgWGo_KJg>DJ0vgnK)ua30>f(Q*Y_ewMvs54{p?gI7mr~uQqQ7eemL|hf@jmyr zl;_SmI+f0I#KEprl(um)`#Ry(gJI^T;iTAfy?9-8w1>C9fg z2qsP#9)hyH+vCU!28hHG!}ch9HIetEtlH%2_S0l97oY6-_sH}VH%7yo;npua$schv z6!Wc&C-mJ?~$VUF!M6cW-qgErbLhCY*}VRC#lJ4aE2v9G^BhC5dLy^*ynHvD)2T8(Y-X?P+<{`yjsD?8%StV`eg!p&Hm8$w{G?=@!4f|8 zCHlUA8uN)C6hXb@wxqx8zh@4FX0a9i3XkhYX) zF~&D8%5fAZjT04Mt%1W`gyaKnLVP|`&%a3xG(R7Z#EdK>M1N1B#m?DY6bHOn0#>R9 z^_oy z9zGKv{Owzp51<>m40Zx1#56G`n#{Iyfjq@$l7j){`qe9TNXj(#YLWK zXO)LulgTAFK8e0a_u&|nd0&FzHdCiD8l#|Tm1wB5sVHyj@8_te= zdnNJBXvy3*5eJ`hJNlERS*>isfQ?C@V7WcMTS80Rn2Pd1?!&40uc8=f-t&)tw-?Wx?qF}0&& zcBXbePtak+CjP{}PkJ(RscO+~S1tCAFH!aV*X&NOg>i^+?rZ7r3sPtcZe$MO7&%ZG zrFCd$c(Tu+orB=AL4zjBSLL!57H}8MMM;S#H=uf-{AZfkXuj?TUTD%o`$hpM={4cLoEqOMMbQ(-WG8ny}3Cb3_)sIn- z04L^tUY|&o*c{UiX_VPcI#>&J8olOYjR``Xc<*I|B9_Z&&CT9cJ5j1hat~~kJl`*M zJnB#Z@n5zJzs?$c?$R{ua!6DjHY>l9NALCh859?IuPivgmfh%}!##q8OwLE+{f;Ij z*D=zI_3a`g{X&@V`;MRg7xBfm496kkL1y$hzXpFi*ST{G&$&C|?lx7Wo#}F!8e{Ij zg!fT%EW(Vq`6F_=!?_0Q^N6XF1L>Oy=Xfw!$o^LnGpjq=<&&oArZog8BBlEG#NsHQrwd{JAqz%5az* z6ZqyxVhsVy_WbZ9FSB;ph8ZvPyQkuhTN(QIh@J?RZQzFLVb-dBLKq&TrxWy+;9Crv z%DA^?_MfEhh14<`2AIbm)1$nc@0ax=nwur)g-Jk(NUUrSYGaYyDC7@_TD;1s)V0BE zHUd0x64ZCK9@0xVVK-TfH0?>-n-3XIUv`(pf~ZAd;gfid*le#8u`qK?QoT`DV_NYqcyk?SU5Gdn{^>TQSmnzX{q{N-t|YwU5qhtNQ3mT_SuLa%?WEsj! z*VCchY8h`he4P?paZ*xnU_(5;P*_CfcnV%-HZu1gaWY1KSZ}xQ$xjxNl?8dcv#FFW zyZW!*JZKyiE7rix-Pds&zSsJt>Z1JAJITcC3z#c#D$rHu^{>@rY>cy6`Kxq;BGNQZ zYWOPN0#DwkEqQM+u(6PR#i)&l;&YF0*i7V{WpF<>h?&^$?o|XbV z(YPFrb2F*NzG^U?zWie)2r9W?X6iXPR5wT)6rOa@%&5q#vxyFPF+0tWASsPsWpFnF z5k4I#X64+ux4%*=i0NXOSm`&DDrjsT5Uv=gN^q{vnIRtTPDxpEqq2@oO1-S^-6JAcv;h?IP$nifkhm7K1*(;!&SK$|f)co3tz-KmP>iNHFwR0fl{|B~jTYwHE3~P@QpCJU zT36#=xblgqt%F8u1QmHhWRRn}CmA)=cRm+rUYNGYkepv}Rovmf>c@E$`O^^vcEE}V zchMTdO1CJ#A|fo3J{NvyTS>s}eZVKgA;J%?lW}{e$Z7}9PED8KAJu%3*^K~mO@prt z3GQV+=K(VWUmjS>6^yxF5NO6`zj|wIN;A8=-Xn{FQ1eAfWJJXqb&(M1x=?0CNPs2m zb%PSz8OJgSxBKU7=;IvAy>5tNZ^@OcI=d+6WhSp93OkDpE}8P9@vw3H=9Y_comFu< zCckxej98Z76?uuS_%&$to%w`)(BtRPvrOwVn;|hE?ayFtzJuW5GuU?#!)Ad^cP zC!V&@mLl*-sj#IKZj*VypK#FLmCKWkS?2jeTnD;8?852x(V|s`y{%Z)lWgWeBGQ7j zGQ=NYT;jr=?R5m5*-~R>ekn1O+338u4219thpKN*%nIJjnrk>D7lXHX`=$13ebKX` za7N6kPw&;-UtVkf`mCe`+o`wS-rCu?LM1J1p9Ax;>3${nJ#-up*_mI>EgDwv_MB=+BGl*{b52E@I;>gZk^5i=tKQWmk*JfAJm;SztVOg{fJUp z+G3aLkT!3~BtjSTOpZT6g{^qfdxPgirhXpd8X&b#o{9Eq+RR#{J?*9BK$9}MeZbww z_zQQJ>;swll-hmNlXOxKUYWrsWSJ;Ny!F+)lr^$iB%H$D? z1Qz7PFS+H3(ZI%mAP2lEUcHYPw&StZHdMw9meaa;n+YY+14sWe`R2~#n-2Fnfg9NSnEi49v|EqO6K>@aWG9^d_57qBg1p@8Stzje*J$!trP2$&NhGACoL`Cg)YJ* zaB3fxg&WTJxA$niTv@(%d%nr5-yxXp6J0H}DP8(<({CA3!2na*Ns)l5hBn(#;U$$^uQ1Hjt z$o+DNHF@{hq-7dq;5Z|TEh-e#Gjz`|Pfou2F@=EpD&q!KF8%q(iaMDT&j4&U_emtu zY3i$$qU8(9=C%rz(*4SS4M>)15VCxFu#+!xWZh5fzuhP5e=HQvtK@towO~7XBH8Ku ztVd5oln{MDS&_^WwRJOO~-pG$JsX-nE-$7MtY%$&H=E8`d z!NLxfyl|$dxC7~!O|SW+gHy@1kes`lOp^CrA>fjNIX4o&?0d_)1=+uImA1jL`RN<; zQ**#|IgpgYGiMx^w~pwMiI%8zzH^A0rF)uhF+?;#|14(Hqzo%A+c^IEyl&-+YMp;m zxue>tn)8u*dwV@CLPyGIt>JY9Dj>a4!qA5t?W$BC<$LXxxLNL~o4#(M4s+hM40t*Z+I_+Y z+~QTbAWLgINCx$?kWfcdczp9PZu}*Jtg4%3<9vnob7j2a3ophfpI}b7(zVpem~l#i z#3pZR_2>01d8f^aJ4SqAP6Q*tLJ<3L8Q$;RPeQ zO5>;$S-coo-jV%Nd*ix%_(*9@RY3GlJkXV04K-O`ks7a--2V<4>XY0Z^MQ^ldxk`1%gxZ!sb z+?#qOmtrv#ErG!wr}+2vR}gL7y7!y7buMfdD&Rl&CTJScFdb8 zqt>NJmi+fDXfXmQF|lN%%g%|GDn~{|%G5kbhE~!5#+?8>`iw8sORPJC@8MVZn3XQ7 z8puTBw*RcT=UT+1sNxP$0sW>~H9OpswP8|xWP*F`SS%+Y1dlX3L%=o8?2x&6na^aZ z(%_LEP2y|5d~1;&41+#7&(5|4wx8q^S(gpT9(6X<>^z)MZeqMhbYEv)t(m0Wsz!oX zIFyW0<-{&}dOQ>V{dK=oPw+oyXYcpFvYBa63pL59oHwNf3X!Vgd@*>Qw_IAERzv*2 z5Ab+e`ow#4=t+Jc!V<5IZ#OnHN6MWmJoAD4wmXj)v4~p7NZOft{l(vQD6swE80p0( zFKWFEVXyBNZ_{lz4jf#2)qv*1Bn7zzqCSL^#x%z+5%VXN$zYL8!2ZC_e%%5~-Y z{C;RmY~S+l7w#_A4$Jg)Ij5J9*3-2`cvoh$$Nk?b;@6*|rT39H)lF?`_rD-2TfXc( z&pNLA>v`SN!Mc}*_S#Gc88O73k-s(7_Cyx;vu8?yffcGPMh%bOyMDj_nPd&`bz6zq zJ&sck1o+RLob3%Ka>>xT@97uH{Zz1z*M=IUj2eAhW3=XVT0^ZR zs`cWm+2_;S_d7!wPMO(GXxxJ?k^Z}X@RNI%IS!E?)0T{O`Zq*bBtJ$=uOZsLX*C~K z*T$Gotz2ynQuiM*y~Jw#P{&sT!=4|wA`!f-iS(4kCf_?Ody=Ep(^bQ&XU)$hAI7>N zcv<7@>c&jN?@Lt{mSLPhbLTd7M30;0z2R5TDrtsHSPHa3yb*y-ocTu*aDMB zYN#LOEH^5N31!a{MZb@ch-xrNztKEJ$i(d({(0$Sf}Pl>|HkF4pOKU14q?ya;JPcF z;~797e35-}5nCR>x^n3ODGFo)zar>zuzh_WDJM8sbCONY<;kpB^+GFS{?m{*@z8|L z8&ck3L8cAx{bSPN8$#;iW% z)3dQmFQ{*!bX}i25kxqUhTkm^j%LQKTManEo-1k^^H}uR;iZ^lgSjrb=MEkGdWOXxGaZMl@aeTzC+eW%#cqI_e+#bjKLFh!hI zG+6Y}h{`zf<#(d%e|79x)zO^iYWshF>P{Y9Blhk&Q^obz)@Zl8N2f-?AA3a+hTYYV zXvVl(T2s{uG_RNCOA+!12n%?J+gHXUlYsawovVlXEAS1wV%MukPoh}!wRnz!l{xSi z+N5*=Y%}XyW1o*-Pu*ul4CZp&KT+#4eZ<%N)T%4oFCB~X@f11qe4;yI@XB5w$?Gb~ z{|&{Ggm&xgV5@9&zU5BSf|{y?jtHj6Qn6io_*Vb!iJZg0uV6%VrEJOpY`6Tf7Xa1! zGx(SkiY#9`M|kP0$eeDEaU1*y+)|@CZY*rE$)h{fSdSO}gBt-wH7kvLNv5QOK8OlU z&|7;TtG^UbfNj)3Ip{%3yaYY-Arx!N4cTYIaOb+3{+o7_CcQ|D5SAD=2; z@g3=Buf_&R>ml&(?RnBWP?ySU9MbsuQZ;nuMlg5x;YN}1a>7>%n9S5uoU28=Drljp zz~wSc=6AKo3@IaJS!EkN1oLP*EPR|aH)vIo9O}&Q*E9Q1&B%c?=Sq9BTN3;~tZDk* zWMqGq1K)4?wWv3=Z$@f0@ywcO+3&UVmZ0>wvx0b92?Vn)Q~Q$CH|b8`$rY&}h4_*$ z(WUiR3A%#({ZJ!-xaiYeG!tDa=>RFoWb}bQ{V9`RW%&SDGpzZ)^WQABO{-mLlRTt7 zJxqEg=W6(nYhdsjcr@0pEky2BtvzKTmIl9}>Jt%cm%jHg@bl85>rZGVE%igE?x4OF z@68Jw1hHJivfFeqNq8%mJ*)LGyV8qCe{NI`T`$)s$lcYiI3S%AY{<~$sMdj^DQ|FUsL2i42}qB zrsyj;eDZL2(Ju#CW%}S|wk3)s0rPglJX`eR3_=a<;OW7*(k-)-o*9EOyS(F3xl~<1 z{pK;3G)6bHhx?%d@3Ia!}v9dS>J=VK4NMjBv>{LYi3*180eQ!{0}QTAH%%T{R+z ze(&o9u}iNo3iRJNxcn&0pq?X#^zLv-n8Xz-eB@x~5Zw4yShHB$_Fpm0pmaZ5AJ9A;Xt@GEqiB(&MBF-kt!9s_d`6e$q{A?tvsbox>Z3+9WoK!%w7lW^ltl1L| zLalxh(--7N9@ueT%ZWX;*Z|d)*>P{x*2mSu)1X@`&J}i6t!i(a*htywAJ|ZsAGcIo zBkbHXh4Go<-S3k>Sg?Xu=zeWmAPaXh`fC<}vc~B8lXTUZ7oP=tph`e3OV-v|u7kCq zPq4&SjrRc^^XWOVX!cG=?brN6m!)v^4M1lE{6U6&#o?2hD$j7r^I@gGlPu5dO54)* z?RD{V?CHIX!$;L@rD0qp&IG?-QXE_ecJ3H9O~$}G|LTlf?Brzc_x|v`%+vdFB5fGm zB8#N>EsYA}6OPIql|-!mkFBniVGkNR&RnV}fV1}W?8*>LKog15;IKsP1xCAFas!1+ z&-1CxC3jBM&)P&urhJUfEIT%dox*w{%dounkuS$&k}RBca%=|07J>7&hcG`sDut^Y zYI-=C9H-s)pp0L~OOUJk6qC^Ub13=oV%y6*LY&+gyPN}od5jM$DeqaNXT%6Q+L&(C z+hRdSGT$3)Uzs+Piwc7BR7|X7a3?a|26PyLgnyBXYC%Mr2)qdUQ>#2wEV4)bg$(+> zd?bFw$7+3$m6`0F51Jpr6B$cRj|Vv>HgJ+PW|SSbE&Gqsv15D1UAmv6x@_&RO*ki% zyP#;*)^bBi?!aO3Te1D2GF@O|pYCzpL@@m8b6~;x@8k6S@)M#-Z0lzXU^an5xh1MR`h%04;Zr835F?cuT@d8+OR1UGJK7g=hy4CVWA&`!0d$}pHq-eLL zDq+IPg0xtaKSxc)4Jn&$Ss$YgN!NYpC!>hQb_=eYhK^t-ItejB{0>T z-0ncKngR*#$>M9$+vKj%Oe#F13>hDpXaFnl!@qe%bYs-%b_thG-XsSMTau zeJX)SX@Km`EajIz_C{wsV;34KlXq;HlyF8l_oNoZ*9&Vif1du9!=&-$*S~e~MLRzL zTbiz@Q%o!hb#p^#!zNz@uAxa;ptHAd$me3p5*;@m0Ql+vgC@b@S&4|kQ5WL;rBn5A z;AY}D^9+L4yCs~QZG@~l5cFTt!nuFzcp=YXV z<*;+Ps39litNq+ZKh@Y($`}-nd)(;p{2#t(NW@NLD_i>>3bg$lN{Pt;y9SA7r@lc+ z8Tfk$6~PA)f1iQhNh)D@(Flh&*XCy>fRDnupBv&d0p7>^*l=Apm%V=30ej&qBQ384 z@gm_jD(W_U{i7el&jps!24!EQa?J2k_S>#GzuaC;%&i>|6-dMI9^{;pJ38z~q3odf z7eWqiACEq_&Nd9(2T+>R>4?31==At8G1Qw*#Fz8gAB-Cb64*||k_B~*xH2YK$iLdcv+A5CR5B~>pw7j=xLjhZW7RzJhqAjD=nf8}1Q}6$)xoDOf z!@jXq#(53}FF~YpDF0JXRZ48V}?yU;fS?l23>L+>Y3+a{rLu%Oh^e37zz~g%V!r zxb^epKmN?ZE(7cM6ZI_yl)l-joe;hm*a^jujpC!Jk9V4v8mMYj#7BONk5VZbQ z8hyC-s30a3zX$K2y&dE)Ji7a6Y9$OCQHH;>2{$+bj<^V#VO- zk)=Te^ zdA3C!vG8~TPyTD7Xj*=>UmNj#*r{-eKo7BoO=G;eS2ow1L78os8>QCn-&o33DUC4^ zujL=pFuu-KgxK&8bg25scbm0B;}#M&GiJje;9V;Em*1W`uCkototx#VW5E%v%oXZ`|b2rxR~1u1kCcRSW8coBl~$^ zH2gnbFiS!<^sFh&xCm~ow9>mh7az}MeUO$#i|-uR$axO3T=tWD#gg|sbI&>HkX`lA zwp;05q~d;!X);0AI{x1=Y4;4<0>@-8SVcdGu%`QKZ-VXB3=P3~e^>>z_HS|Fn8-+- za5sOHTHkAofgrB6K^M2ZZ|J)yL1xce5=x5vt%bID4FB?fKk(QOY_1YNcUM?<28?#a z?QH&IS&`AlOgocLCn(C-qVbH&4^Z41<81#pka~(G_s*4KU=!H>>BxEZ<=->Q^l20@ z^LplvLmGkgf9F{No>w0LrNDnz%B++I&RL)h)47#uGE4 zZCSYZooOw*joZU`rR8EW)Q%sWP|iZ5tLpjCo(&K%d^Krgv2h%PWTA(pk^YZqdTd=h z$*KyM8sbbA$B;;DxOn9ie1t{}qtq-24`KqwFY7mjkN5*>-YI;2`3cLc4vm_VIY(wh2V<%%R_fC8&b87-iALpqyhhIkJ7jmL+i;KZl zo#dy-RWgVFJB!enSQH&jEMzOM+?OVfD9QUfFwMZ{)j>@3JjO8}MoM*gSpN?0tVin6 zF$99nZyPr^${<2Hs3}9$D1qRTAQ^WGcdOq$M@x)r_DQ{NF7ccgDc*syCo;YXLmrpMNnX^rd z!lR<4Q7Sb}*#xt_MjD2Ar`>ZZ?as#z19}%y$$(Dm^_yYuBP?#5E7*FpZLp_*cq2u- zhTr{~2F4|AG`qB((8lr_;Rm~0L(|FROQhdxKE=$nN5PWo##!VU{4&BkhS))(AeoKE zxuqb=O892{Yk-+_HXT2@afekle*RAR^(z~ysh0y9A7DQV8bRL){vW;!5ax#hxAcHn{r{-x$2O8 z4^7~EIVHjy#Lit*13PEKy z3R~5A3Jw7}rwa=TkVm)CfkkxprbgN@KhN+;e;Dy$StC-gW83QR8bn;(vtcW9xNOTN-Rgir8kaOTj|I1O&juqroMY9_y> zPbsCw`H8!u$2gz;Bd@nly3#jICFrT99!@!D@6n6^igWUBH*)SU;JsE2FKr6GY3!mN=qGvb`-R>;N*{RZG_k-Yjyv&;~1cz?| z^a3%uYl+AQyz$^mpINqi#)g63o5q9LB`+94NQBaVHFI;#Mfa#HdSTj@wVLs=s)5RU zJB6dKXi02*v}Z=fp7V1X#3n01Ap7NP5spx_svx226O$9Nf0I2PH}%_+a|J@OjFpJn z_^JHvKUZOrjo;=2Vj4a7DT(L5fwP@a?&UbGGw(gV?o;2S*YA1Q5;OoDH4?oC;<-Zf z<2V(h%!qsj=6=7UExvgVy%LO68_5uJ8UQI2H5_AJm%p4(NUYLrsMi(#dowS9L;mog zJ@rRsb-XmNi&drKBs(h;#%Yu7!9r94gTil@n^IItDXAJ|!JE1u)R~J&SK;o|grMo; zOPjUkeJeRzZBNQ&Dw7E0Tgkg6D*quUtOolgSLs%5?zv@Yg-yeqbaUsAbrTRSCR&nW+Ij>ClwA;h zpP*Xkn3~&FecyMyvH`LW7$t-N$IMmK(3=nRsq0xW67CrXosF6!k3-dvRv-1gX0My@ z$tS5a@D7ZY=D4bWW@zza1+@b?#xjYP;}l6oY9bAH6x=jUZWJd|Pis8=n{dPIzO;>- zwv)?0(64+#75Rkf=WnEP0)oBJxII}%*hV5%a6DlmK^K#^H~mP{Q#Uv*_{w9iYy)x}5*`koz_H9#kdEJItT^Z+CN{_~~#6cFmsTJ5)jx7-O%0 zpq>88)uag>y9H^DzV_?t-c7O(nehVx<5rcNUcskB!2DSG&HppjH&%>4kdNATW(>5t z#8QWp1x%uefD!WWJF75{;gt2cO(V!kbZ*SLjykBZMm4UsG%<5OIB5QMn3D$owWKd5AXP{LVG-BbsW&s|#9qlt)6u(3-)_xc9{ z(3`>K5$al~;KzhRUmakqO|w@qDup1u1mwD(=mm;St>rJCW@ z4I*0U39v9q{ze1IBlk9;8%j67L0iveZdTL#7*do5AXpDYg0kJ6bw~eLtaSp?S<0xd zp>BLGbSQmDR;bQ9n|3=7NMNFlq+T6&Vr3cD5q>|QzqU3tIF(YB&X0NL1k0tMJD_cT zlG8gv38xrltQh^4xImx;~>rAMJH3xjs-< z5_}IP&*!?;A~--F8NJ}!VdkDIb>dx9h=2o7{pg{HHKMdVrnz@M?1^aMM;qX73!J1d zrF>AL%>J_uG~2oZR{Q}iPft1(`Xf1rl0Ai>Q|DF3ko^T!2lHVXf2|Ilxd>rtFtW?# zq4R21GF-)(Nx%}5ZzzBGHV9A>eG@Mj9poJy6TgU5uGCg#m0agcHm9mxz)eNmq)v*sT|VkqlXjv@i&J28S2-zD+Zm#fy)&I9N+!vt*7$$hbr* z+4OT_k@Zpic=J?s&;Q`R_PVCK8EtXiPjna&^+43i`4Xt@Dq#XHJaJYXQ@%?{X?gZM zZKkK9+O@o^b$ZlGpsLO&Sd0?kRAn)(H7xpfWs4fr6=-yE{S^lpDId9P1ysB`H<}z z#_nw5cgZS&ln*nW138JlSZ~hrNejCBrgt!2!5Kd_FwzQ95Pxfs!ZPBbl%le0s&6M5 zoc$76k;dyu8Y~ydfuf>oRaQwPWkM$4^mPH3uJ}`Tf0Xb0`h=G%IKu(NRd4wnWEWaN z7+&x}uuX)6i-i{FjAtMBki|Z`nq$=Wf^uK0TxP~zOjJTfB@yc%hX7tP`$C_LSX-!&xY-Ni705hklzz@c;h8(;H)oX}h7V>Xu@VwV zrZPA-rf%-0IXb|=8f9h=U)$*j6PC-;O(*^XW)@DHlO9Id&LcKb7lv!lfeh2a(IR)e z-#Og;k399|`Uh(1wYFsQKPE%>nR0VG+P2KzR(R-^#)~;2zTOmbYV!4~$TZ6bLS(gc zGNf{62n|=hfO~p=+Y7p$ydcpoF#H?C_Ma#ClYIy)j5S!Ax!}FGCwk3L1vcMMWS)Hv zuN-$W+(@2?DhVT9a!q_gqy7QU7s4T8TT;^JwXiEH$1RG3fk~ zmfu(1yxLS>cD_y&nrtE-busmYQ@SALCGealg4gYeN1&U@j=a*C&*G~HNlgW*e@S2E zOR@%Y)jsfW%B>v_!SS7lf~5`3o*qq=hNUn*Zp6r*qo0h!$(xY`7eB_SowgU)%CeCi z7yF)07Rk+sc*AN+EDt5M7^RcoOtT#b7*sLklvSJ!!>RUg6o=eM3{F&nJ8ky#pv|4}KJUUoGpE{rfpx9)|4}mK_DXDpka9ZQC`9Ig59O=lO2?ZRv2tBi( z5zO!(Q%AFjKt(&LwRcVILgl!MW@BxD~+i zL#;=XXv6C?8Ks=w^5#tg7ZcDR!x-bo$G?nf7;gQ=zbbXnzx=OWUy>%(@p!3J=MPEi zriEFX^5mqrPk)nvO8`0UriRo@qoSr5@oIKSF2@mWB3m~RO%+_=ecrn9pPG&udXtMn zl-g;1e4!KFyc$7?+s`2P7<@!CO;xMjU` z#%wbWI=|RTC#l30=MWmkr2672G<196hbBqj@29)pq%pZ9zJv=%H^7W&lqCf{(u894 zI569v+qP9egs``*m0B|KEn6gCdubVO4Tj3#aJ08#--5m4~Qpy%n?vQwGLI-)w8tD*EQjef0trrMON~X z;q>gdt)%emi*o#9=aMtV8f;=z?Le&tVU8m1^4IxN@aofgsfB|0kA6kfnvCa4!QP&) zf+Ot_##Rar1A%#PQg166w7=1$>9;Sk2CdO@>Y3l-d&^Y*%b^+M{J(aA*B+}vEb}MI zizLB4=0oBLy}!T`8kevLV@0n$Kqd#HMmWNk7Oh+4a!=vvr=PUHikeGVa6Ic_^? zLun?K>lSqC%?pnmN&*_cJt#!vysiM^3jOA5%U4FA%-|5US56azNl0)uYnn5N@iWE9 z^xjbGsVYXxN8O$@Po`aQ)8L@lHgEme@5K4g6J%NmD3d;ZB6ch8+HbezXTP!XZ>S~^ zF?7DBvqXDP^fhPDO>>_oMtb`>azSkb^$axn{e)F_Bx7Iq+i5!w+y>Dt-Po9fXzm4V zMsM5Ve^|#C%cUv-=H@x`D8PClcrIvF(Thb z&Ppwn1fkqJEDKm1e<4Uaxs=k1&ByQK_oJ})sa{H;D&ujCfSV?g{%GO7X=rkum?G8E zZppSm$GF(0dD_U8Z6cO8TQ)gu<5s~qtnY|yhm6?pwFduyi6TJ6i5@Imtw?9zrz+r< z=IM0t>E0KJ7ju5Gv<%^A1Oppl$dCi>?LEO(Q}k)B`1I9h#KA8ow$az8+i8OP4>slg z)T;kiy#cs-JB4d@B2>P#6s^vZzo~SJ+@>t$M3)Q!=@8!eH%$tn;6=l-Uo5~VUD*3X z57yO%gq0`Jmtdj3UCH#vt_1&sy39e(nLxALAS-jT@{7sh8F>kUZJ`=8+rcz&PTa$T zcB#R|W!`}pVIiSso z6oPO3ZJA!&=N4GCX~iQ0Gr2I1X1%9x*u|dhf7`Z?uXjYn3NvZw|Ml{mFI*Q}RY(Rt z=VSXR0EKQGP2W8$|7%*GzN#Rlb@u(2pXmAiCpZ|PainC~WPB!mWTvoY$Dd>A=zm#z zNWsN_q`&fsfv?RWG4!Gz^X>`jj-qQ9H165`@N43Jds;#k)58zMx>Mm9L#tWQ-YQ## zF>*;9B_Sv5VP?+!lSB7mM}V$IrQ>?War5 zg$c5Shb$entt%G=z*0LL@a59+lmml!Md44b|LP4Tx!>aGpk$LUIZaI4x-PEg2CYAH znq(S20ZNbeV|nN7aUvA5DCQQqII6jte|Dts2CQAX&NhI?QXNKn)3)iT?!l^!8pTNd zu*k$*K>zJI-w7sseNeleK7e*cwGW{AlZ;Txpe|@a&S{Bm+8|oY<}mMBGXe{%Z-STJ z8eS=DJhgHqLTmPN4O{yfIQ4*5_bx5y>JIkMXkF?x`;7tRuIgzk5Oux4_T0mn*ub88 z4Cz@o#txeP5_9VxNaJ-19(?^KcAi$trKQaxze1Mj#mruim~l*PnEVfm0hMx1&#Y%v zi%#GAZ@17H)d??30L=-6jm}nlYy`g`E}f3>X@eTf1*OAu^zqZ#PtCjZ5cMkh;2we% zWlsIN^?M_ofO4d5t^xK%wkd2jb~8kRK^P@h`TD%!j`G_{%@?;+N#M)o}ZEx{x4;sL!aWPf_qI;spB~=J|Ojzjt&2E3EJzVu4 z%B)|3LjrXMf9=iZjs?v{cXg7kMg_!S+zw4IAwHLMuPF6+DfM_L8+j;wIpJwplx_573`SDA&g7o?v9Za=$dyO;gPxW z&YW`G?{1AiLx3n%jDaRh$WXurT|DiiC|s6oG77q(E9T(QpbO#Bdk$$R4&S`U<(Z>( z#K;XhwE7rysJbTZy@o!a55;!M#t2~vcyqqY8dGw@Lj6(K)yn^fdh4jFzV8dvKmm~! z_|S0)2|?i!m+lT}kPAqs#3iJU(n#J5NQZQ{bSOwmH_}`>rJHyB{@!@+pEJe*#@^=) z&fa^?IoFztg8MjQmV)QLu=I^i+rFQ|&homd*2k}y?Wry2@9cUA^0rBoMS(2}JQ0f} z)zmP+cWRVcPs-Bl;yW*C=sL8y$O+SD_77rjTN9w4da!rKmJTj_HQ5_N6fYB?EKp>9X~%{HFqu*- z$qzROCi=k&nm98y_z*Bj=nd&Kf;8YovSYFkJaf{GLAlC+Jw_0^fGlPw{}bD z751)}nqmu1N_6&FQijS<3H;$zL|WhNO4dQ<@j)!hC?oLSn(qdfsz)KC+9PT7r_ml> zxDOZC@{Ut}lEId8HuOu>>`j+Ti40)`&3Hp%y!VGlx5Bybj<_ot_6HAx>ZbVz*5@+P z8+1$Neis_WG*2~$(fz)3{&nfgc)N%d0s(_mh`gXnI|vBDMfSjUlZD|C3;YuxjM z=A)l2e-0pK(41E_L8v473O!l4t_T>Jgdab$tsH9oWl-AsYftI)ahMCs#yie;q7AyN zM~-{ZDLDGP8FZhdNtun*pL-ogK-8y%&U2W)tCM=|K-^3Jhrpcn zxRDgIn1TUDGH4O1WllIzT&iI2oNlpa0@nNDuXOOdy(Snm!T|G!zqNIODG|FBu;Lw*azsS%sQf$K4TO;8Tfl5I0F?Se^ra` z+?qGyk1x6eK_vUy%Co2`tH~nIbDixcni$!Tkpo4qGU^gp#t9~F!614;GIjBBt|9_= zM)W=jG26==gpVcRc3C^LHUl71ISt0*0njz+6fL%qf4BW8O?9*v7sO-V3;oD53!j*s z+68FgTr^V}vl~oNBMq1a)2QT6?V^9p=)`N@Ud1g-A6=c+{+dz6P)ZeQ$T@Kr-#TTF z{^45XnMKs_{@HaZGAFt-bg(qC^C`c`yX%{v3-l%P1l3+XWtiyg;A_?1!X8Z`zzVs+ zw3W6CcM{({>pk#F+m$gft5)u4zFU&Xf)he^gRL*>`s7)TWw~6azF9MRD zwuN`qI}|l)QBIuPk@rp!f1C1;k_SaStwK5#nD3Xb-1NWWZ(|T`2W?+M8OHDRTR)94 zhd4FEcc&xK0vR>4FV66Z^MVvmnf%E)P&87dC0!?r{~gy>`^|>;p>R&#{PeZ7c2HN>1~!yNJ%p4f2Bd7!svn?8~AmeL6Ft zd<2y@<#$zz!M+yGHE>-Hyt=}0B%EpZ7cWsMxrpQESaFsUct{)>rG(L8xumbBmargC za1^Y*4rW7rR41W4Z>i{k*<0&xIR?B#;%-NSD6Wenu&~07j9_AV^|29NL*ebCC!!#c z;cS}?`mL~m^+{|Qx!mtFQq|^`pM|pY{It@J;nH9Y*r(NW3vY_R9K3&6g`(@vE?of_ zLvF(V=Zx>8>j+jQg_gkl>pE{$1@Zq@MsBgWd>OT|_$$aTTqi(2s<8j&%kGmLR80BS zQ97WckFM(_*5c=J0PAtzE=X~g#q1a6a%Y|7fTr|63`t1t$}gt{#J@cnFWje9erB#m z{YC6kM>csH`lA{$92HzNV~~^oNSD#6Y{y_T;69UD^vB39-+;ja;Is`WorTV z?|R5t$r$(_p_rCF52el#)iFJ6XTc~unxz41pGK3Qd(I32KZ{rW?gN?&2dXiepnN<0 z7G3s)1!2OH7oWOfyu`6Nl~LbUS3H{>Q2I@92Q~ zPsfS(Xe1{R_c^h!|4^c@$4!WVeC-fuzR{hODnINL%0M~VeX~)+y4!IYe!DS9KU)?f z+PoNYQu%$-Q`F+OXhSDWvGF;*op2Q0ffB_R3{`7^3pH^qXH%^Gr#+|7GCuu&O~?>qY2cvbd2;cqkR<(=h|2T>S9FO&3Q6Qu4#k7ypm5|-dcU39ET)i9Ojh&yrq9sU?qi^= zl&wkx{1Y`l9e3ZB0$8G>%z~ z==)!}U2{0b`?vHDARqu?gsSZ3EqAK1@Rl)%Js3{ua;31rtE?}M;>Z6 z&WB&;CH>+oZS7Tby;xQZ&1yx3ajCyc2l;dw2Ct zaO*?+UF_m&*JP@6v~z{6^xCt~$Qbp~)VCEmQ<6ORdaPSkrT z+&K4Cd#W2<=nQCqS(PZwy-&KoP*g{Z{x=KE)ds07M5>46IaZ>zYGYrmzWac|a(AqD z203Uz->^jbsr2e~M{w)6>K+MqGH|T2xu=7P+G0{VhTnaE48n$PIStIbt8BpmLec`F z(_Ymp>!e*4k?|^8trt6luboZg#}57Z)NOj?W%8H?D2#ufs@L>LBY%bWwU|DdQUH@+ z<0CnS8xHNp@doI_?1U!?`nq>?xxecn)D62{a*@_oe_F3O0ClA-RpLX3p8U5Qfrg2s zH1E%eR}`;SShWTSAD0Jbv%|DgGU*YAE&WhRJI|u*D>T?0dlXbIdwK97LugV&-Z!$) zI-&$^%E?v}5uf8g3hvY&cm*5K107OWnh!_$5mAFha`g_{AgmA0?^(W$IW}j^LhLmZ zNxUr8q3V!UuuILSUP!YXGzECK)~bwBKLp?b)wcU!-FSB#*Va_|q!z;lxIDToqp*&I zs)WA+Q$AO8YARcLFOuWNR7H~!Y>13s-3(XEY{&p&P@lt)1r<&j(IDSD^Th9i|3eP{ zAjH1mEA;U)!aePzEkoMqV{jzagjQPfb@_tr=LcFiS8uN!obOac0nMTYfVrs9n1O{! zltC=h>x+lS<`|#g2VpkJl$#XtOXy*_9ZxI~>pq`j&O{6eb?pLO!r%g!B(@fue`O)EC)V7Wh@5~?IO#>(Xu9e zs~W6D7gRaL1Ej%L4pA&c;h+`S<%h!jAI9;%4#)!OyuTSd@{PQ1Dy$!?k`zjh%aYIU zJ|ZNuh25|(!6Q+aGgD~q`g@0{`Fenn*U{}br761LO@~}Ol%Ix zx#F+y$?1zSouV9NA3X| z420ROohF0P41*CovHLo%LR<-J`C+NYT5Qy!Fc2rdW&0>S7=~_0R4DI{+pE6f5L0Q+ z^DUSg3SX(-DcZi?DV&2DjVXH>?%QXq)!cwjfw`7@wE!LEb*I1@GVpBzMJom%W!Qjk z!NOLbp=nfaZesMJ=pP{*#eg5`6fwDx2M^s%kfjz1qxK0HELWj;cd`UUn4T#J8suaL zn(??QScSVN#WcnEE+pjq34M!VI!aNR3+^h5o4WqTD$Dyg#5mcTr|cfjvF^qWacH(U z^fhe@q5drtU%z#+Qr;@yJ|fLKylysG5q%9kIOI(JIL&w2+)1dI&UyswwqV~lPK=AS z4xX|wmVJ4&G%EYG^1zzC9Pw zD0tCuUAJJhcRJs3?Nh!w*3vNVeYWE!y5X{5rQ*Hkb~a;`(Q*#xXMZs|$)9ZW6G!Z4 zv43=M;|+Ryz86|zpx}W1p{R;wpi9bk1XPNi2(ksTYeglG=Q&^Z-@#(a*4B!=zV9^k zDg^@|Kb=I_zyb9by^XnHgC2SU3dfvdTVpES9tDmU! z-)c>!24pp>-!O1L7aV!_{BOt9l=HTf+IohXZaP^*&5;k!tuLmNznx#f;`?peWfI+~ zk9FGGB*nVg@>9C_+tv!~>|$WCps8}k&I8g_~?Pp{9*w0At+xSId?TTU(X(5NT) zkBGUYsMz#~>E(+g1n?3n^?Gp?AVy9n0^Ha*{Ty=-KFI@kwzF_=aL9C7)VcPrvHDvV zdnP&bEL(o$jmUz;j|XL!pKm)BX`6#?vWuRjxW&l?orGu1*h;0J``!JGfBrKJzS+nS zpGsg<9Lh2rS^+SJXLuI_2*Na|f{Uw|lV$Ju2`(%eS5DIDU>vRBoa*5hFf2Di9etG$^9xmSDExUs>_-jXlyH zn!;J$Vk2+(Wr@01M*V#y&;P^v2J1R0=3Db`rS%LM10#rb(15EiTIOKt5=M-S?}F!&;*BHOItgBzTKzTtydkjUfzIHmGgB-n_|ZS*G1DGj>}HQB%7yu z>(@a$o_EO|Rt0nC-$o_GN?EEy`fvZUeO!T-X!0EK>ABN)O`n>2=oxG1|2> z3BUz%q#SC9QM7}ID~AU7(XNlvK-g;b`JFL`w#bs7sJ;bo??7<*STB$9ZJ&ev~} z9~Z%)A6nnz5LvHd-dQGbYZG=ZE=ojWnu`OZ=-;U8mDkL4Tg_vEheD`kl*UT)m}{rn zK(6CtmU}?}=WQ7|y*ClURmn!I>vUUwb=HkDok2kI(ZJ2&Da~IO3p4^IXk3mGR&vVq z@JDmr!zB>g3m0V0Y?-D6zJCbrpOE@m8h*ZhnVw!w zusdJg~WY?bxh*(4Griyn?kU7}p$QDtQNArk|G`c@0aiQZ@gstm~QM4S3!V zYI^!n`q(n-QhH)={8T*7?gf8rb8xMy2st9X)>{c}?H-s1mw>1SBZ##YlKi3HXTtx2QuzfTF%!bnwgs!bdJX_UN z`m@Wg&(IzodiG8teAYw#X}8sz!fh2-3eAC)bsFiQeBDm!nXGrws9<)~LPSdXKI(t!Pmn61dIB!s!$=rPr|e`tQw+Zm+I9 zCNU_`$g!qXeAu2&fR_uOi%k~`*!7h7s(n24Evf&xevtj3d={YPgd9t47r76ifhNIt zy!<#l{eo!H0i3l*X0`LG{jA+LTg08s{R+q>9^^Ot#zxaSU@lSK%vi~JnBxSpi@UXY zDf(szMXnz%eE;Cc$MA9B;iIGGXkoR6B?Qps$1v2CioU!WkjJ{v2w*v0ruQrGmYQTq z;Xwf%$N#wwJhI85BrxnR9wlj)V@Zz2FHBdSYD8C_Yd1>! ziT5OEW0knz$fTDe6azC%0O^*@dnMFImt$8)QW`aAG4X&`;G8TRpDCG(VBk7*9T3hk z!GPSPjo7zl=n_ApJkr1A|B^zV5xwx>Sq_p#7fMf+i$HMR67?Bc+*kYIWWKxa*0Iu1 z|KN`%SVxI0vZS;XOv#if1x|G@gzh#(?#_hn!a}USG9}-aq^7(yX1Cr#FJLWOn7b@i z^{gE}Pktfv@^xH7Pob8Pn|$@`1h4m9{$l>oMF;-p9q*Z;i4BKOKmACWB1_@sgD)k*=&ADx(x^!{jBv*y~;*&4(r z_EfpgW-qdA-aL?Y}@%(#4!HmNo;KKCP32QPq2Qak)u%gO(iUA@cHsxGHt4y4-f1TwWW}CUOhQ^5p&= zITbw=&`YDIJ*!#%sK}R&B(leT^fX~Q?lVWvI9HsEVN}khd(O9m%V4KKS*}5$$etHl zjhT`Z`jw3aK~Cb$$#7MOSXq6|hq>7#*BQkvLVK5QyrqaWAoRZOwtjvd^*K0IZ;NP# zqfg;%*Vs9z0zkK8_l%UM=}aLYYV_V0R}|WCLpxKS05skDJY)Y8fc%)?%jJ-4iiq*m zb4m4czAueZT7&|r#oubZATBS7?sUE*CH2zE(&kM`QD~*_NvIv7%Xj%`lqD0&N1ur$ zuxZY)k+u%FkB-oNi1+++hEngoRc2=8DbLT(7{AhIooISvAbamAY|zLK|XDSJ3TM<*Y{BL+BWmUvh1XFr(_`fT|3mWpX}~x?lCmk4hvCRM-($n($dk3lMy70$pjpOTit-B z8z<+DN|GkB+-^#v*X?QNjgjJm0fp;p2(G|4JHH>t?-i;w(N3JXCDzg>>u;SDnoKn~ zL#C2RB{mdQ$G%Id&)bfYT!$&e>(1Iy0Cv8ttF8+&+vWAl_nxXBN{i+scfR!zvTqPA z`{>_Dw#hvb4$jW$kEX(Y==ssL1Zd1!`$-py4gE^ju?DvOky44W>&B*|ALlbjT50aL z0W&@bZ|cy{E1AcVuGqO-=8fyhv?=aLeuDN7s0c=*fM%5NSjjhn5+zdZfrcbAz~oy` zur_})#K&le8NfswxRW5?ErqSsoEL;S( zQcku-r+YMc$zqV`-OSun$Q3Uzr05MfE^ZE~s54?~7*M$ut&4Lp8eeUsnBkf{UZUC| zZP#V*y?RFhf}JAInrZfAiZFm`wW=_%I?mGU7Y4l=`?K zZ<2x%P-kYT;^&z*<}cQk0&RxAh%k86t@T=~GL>C@NN_DdT+)+pe@W?`;fhV)qc-_+ zv<{_DHE<3SeyC{b&#AN|`G`pJ7Wzi1CZKwl=Fz?v(-a9wpVi(l(Yrt9M;M~;m*!e>k?P|p_>Dp>iWu~hrKj41x? z=JVKyd=$LtvU`taRV@WUfz&)9QHt7BboKfsSn8an9_zNx_pm;;WSg5Bd5#JWEKLC5(1P;CyjL71l9(8d3wM;c#dFAU{tyM8dr6ATJu#DQKV>n%`ElLnYf7JsF#!-*ioo( z!UPPM1$W?WtmeQk-gnIEC1DGgMVgNaalmnusT~htYBi%7-8$W@N zx1Jc_g-vmM=IoeTzXgw`C8DGmN&!3Qcm9%moDDzUDT|`fqhDmZ6oRh>W$Om zto=W|Zecg3vCD@HK!bZRENQL%Um$qcGtPr0mFbA}_OxHZ8%0BaCWiYBi`PV^W4%LwCgWdo}uA;q$K>1$UwKWsGQL$<`9S zgu5*sgUjbf(+Z49i^5mC`1QR$^@5)Eypq#qzug#Y#1hfKVF9A~jVpI`h{zdr7P;wf zAHLY`;Q#t>$5^`jmL&?jkGCmbZb#7vwhcG7w9+`K^Yp@g7*{IqG>VH=>LX5(E*LW% z>^P@L9tPOO?2$ZfyJf@0(+NHyc3p+)BzNO=c?j1?6O4edCyACOw~c9_h$I28LE8`( zIha#WFI@&OV|+L6J^j!3a|$G~IeGU|izy#z#|{%L%gjR7S_vg^9BEDjVYtrS{du*G zV2rPT81e|=ee+&>7cVmUMsXvmfcncfk-!dZp(qq*ga`Qj(8C`+Qi;-Az;%H&vFb)$ z0Dq$Y%r}r*_u$2IP7tm!8XlC?OMc=6Hy)7NFa_{Gj>$vR=)Ci0tIy14hf3y9LC4S`KA_nrJ?@uxzRA723h)Z-hKgULk1~?-cD}gaZE#b3ZGO+l{+2{wvb2q}?g1PJ^;mFN zk8N)zdN#&Rqxkyo1dkb{Jd^M2j7>OUt|vqb5w$sm+*DjvcXDBaG3crn+qlbQzX}Q6 z-T;I?8kv z$;O;eVi&Fre|N4iZqi)IQtdRu(Sr*MK>QqvpJQ)=bPAta-Y`ZPex3)w=#9@oS-R0O zaAN3!0bqun^J$Za8%KZ8z+(NpEE zJ^A(hkDy^g8NuL}4dMY4uU7#$z3Ap#6vvT2NJ%bht5U*#Nt+`)NHtob>>xuC2zXPE z7L#1}jb@F#4BXF+1%M?6p|fJRkiRu%H|W-OV=B(fL)V2&1xHrS02Pa@vcZhpG)Hq z`+wwTQkmzXuTqNp)|6P@Xq8E5J+yX6^#&Ot11l2=3}@q{FaL7$YLs!$P77fW*0E5iQmxU72Hu7wZVAT;b67v&U!#-23#!OK0kV@wgB0D5 z6NFoh-Jr9d0l8*L#+!8vFBE6qmi13d=?Xaj9h(#uyj%2vk%b3CZa82d=GB8vC^4?; z20RZ7i>SU{RuP){IFgAfJ~TrC8jiO$xqoJkr$zi;?TB_vz;tqD)0jRpHHo>iaO~vo zvNGIq!ruk?M>sYWWl3$T?Vz%ED95TYIMLIzqWvJHt8oC|%}!1ibOSiWBo8f?sf==M z`CRlTiNRWqo~i7Z-CI(;`vFK&LZT-=^%5d8baRO%=OwF8@xS5Nlo((c)ZM%E_ULlL zN)u9Q%${1CM<5O(NmAvwdrwnmWDfz3Rct83wGN_jGU!682J?j3)8!V^aQYK;%<<}k zZq!<;z9Qxzg5l;l!maa(C#yt4&$-tyBTY4nSUUOTtF@Eeh!I4xVcZARv8xt3UK}@% zephacmaFX0ha+`=u5d6wM#b(8%@*es!M!j!Ze8-QLE>2GMJ)4MCkc1O;6tFkPkI?1 zOMfSf<*+$=YQQb=HQZ4rv`kl_;XUnwwCJx}SCHa+?g$o>p?p^5b*YZ(C4_bTA7dl> z%zMTh1jk!B|P6(!L#p^($9#eA8k|R z9_dacZw#)1EKg|Lo6kzKjvu7yye3*6j$2<~_p}Ipfg0MSH=Rk30Uvk?R!5t1Fv!;>_QwqiOZ-Xedd;HY_vz)v_(GYhQmRy}HBOU|tPN zn8WJsGB#aED@Fz!0{nT-O$Wr3oA_J}@72Pb>@Tb@<8VGSljVZ_5M!QrH<|``uNs%c zc`nH46f%Z4V=(YC?ZF*C73cgldYf4RK2d7FP00qWocz*u5&zu(MUxr^>@&~HS9*{H z@FvKd;2s&}>23cC!6bd;pGDD1X9k&e-asdN4{4AX1hnJdVFFc?znl>(m7EIu{VPVId4gwxl-(iIUI+4($OqbA|tWpWq}oBBa#U`##h`(BEKR4 zi*G$)Bgu@Ov%|Y%EF+Ul)=03BYOYvmw)say^t9{(+~%*6Rb=#YgI#VNgv z-*RUfqVQ&LwbvY(haZ7!v1g@ zoaDUgurp+ia`L}^LO(gNl3Deue828DC9~h+l~cN|nhJFHUiN1-anQN}8okRa$eKNjL0E z0^PNj4mza1tv1)*dsiQgDW_Nzj@Ut9Dtl~`r5|sdE{!#n)NC}SD}O}KxFMXiobTtS zwEvMkv>NVXbRW55+2c|JQ)g&<Ga*wkLA#aoUBAEU_)A5sEhKVuac1lJ@^mhDW5M1~)|gvVUZ$O%b|M@M zA*?)QrKhJTv)eVFz+IRPgLf1&%=j$~!i$wM<03IJ#(88ZqnK=LT`eR>0XsdA4QQ4a zy!oWA%rwxYec#-J`wpmHgBtGe8XET!UY4w9p=aeo_SfVgDP13#`l$9`g{ZONDI3a5 zD;Y=?)z($)wxoZn^Ywwg$U;9I0RpF~^;ZkU7T9wRdW3cQjl~*&nYV~K^v@X9zDjPH z9fML#ZYr>t`suO1qX;fQwq${}HOqTL8om zs7nC?!VjZ=Q1*&Nr4N#!1$fVq~3q@ihT2M*0uSOF{m15qcLW87#7_@~sH|wtRb0 z(0#^T6@C|KdpB4O7Zp-+#KbKvxsy04cHBXLeFDS^q zBhY&uHcL$iYt%%P8KPxTH$uMdJvOcj7?+puwIis*y-&c6i^}hyKV!<}mDo?6f_nR(ffo#J-g0}SPK z25+3GN5s9$iWmDvH+10)MlT#pAV7-DUeq)^8m>FlH_G8T1`6}S&(4E-OqEyNqdgUJ zIS8z1oqfd#{10x zEfO)QlH|5hj$l2*!-Xu-?F-<*&}zeYD6D3_g7-;4S3e;UOTe_VM8eo=i5 zng6yEZ+?M{%mq%ZS=LXU>>_Jo*O^9_;T5GT~B-y$1kKOv2>W} z;Xs-7Omx7YqA0%jqt)-(t*2YMGh65o;uS*-{bzHiB{KWI)Gj#G*Af^_gu~m@&%l`{K{mrQ#XM3KT_e`-Hj{30^Lo2YMktM+$ ziJt;LaXnZOM$vaq|AdZWakvsdEs7TjXkf>$RwUH`1nUN~WYpV7(*XmF=j7GDS~B;g zHbHjPC(=4$Zg`5Vsf(jDr+LY#jKJf?&()J=md)*}ZK{Qib%dpYNFy^wKG<$IsTbtY zxed!}1D-FB_$Ek;bv$x%JLU6M!I8+jjlZCbbxxC6`|eM?Ndq-B`|4pN7Oj2FuF#eE zM|}=ZKEjO8ldhj5pAqRBHvB#17N44TORB(`)FxkGXDtWI3=pAD)$Ao)j<=1g7vY<-+_y#m*L`B1d9}Do#5y0Hwz`6Tp!j)>@F+`n%8KEXUdNf zT_ZSF7+vEl6R*1Y(%sd0lb1DG``u86q;Zqz}vMyNv;lD*Vi*$)nG0;bU z&4m#i8mUPYsDug{YNwP5G>e^@LsKrl?c*ld|JJB=)rmW19&N?lT6v>X9k$MZsumnM zOw4719Y6-e_}I%ePxBsy2xJ}1vPB1Fs?28H5sol_=zK!Km=NGqoI{vo$4HL5voZ>J zdZXJ1?fvSDLeg{CAz@Gh4TChB$p~&z#*L;Ebd~eTf9NEU3*Fgjb~+W#%k*3B^~cJd z*El#*OJ6s}Ku*Tk&NIHDN8VKRUibK}+}guOp#PH5(`|~z=#*=or5thdywY|-wjum^ zWpbIsark{QKx$d?6{R*N^^29rH3+EiyhC-UXr!E1Nx3+l(!?)cT-LLeQ+wlbOkiF?8O?B~ery{Ho8JKb_MFuL79K=IHDp+%-fb`G@&is>^dR`Kj z%^0|9g6_yzPz_zOsF9mtjK%EVp;&s#7~RXV^OmsYFXt6K5`9m)+3b65B=v>{#z4w* zvAfuaR6&=S`D@d8J!fcMw5}l;G%@HqCz1uJ3)A7+T-KHkZgFtI5`&Z@N>*%Y(6byo zBldTeGGipgce`7_Z1zueQgmR2j_^AI+<&htohiaCOWVkq`A@Q_f4ZbMFKPxYGkBTr z$dD}6OEb5c`Wp@EFpvRxY>6>#rOYSc$MTujChWK1;T7t~6vN@;3kK`lBfBL~c?G-$ z-F4Ilw3CA7qRB>xkVIzh+HYGo@^!xJR7hsUOlu|Z=Wc{nYK~GIw{S=Kn(x_QQF>_HvP$cxSop8udSMMgsv+lwSU2410nf9SHZ<1?y+l$fv&wk!dPGh@m&{28ORhk zE&(MYzZk3G<^(bvwer)nWudE|4%3M|4j$Z24+yG z@VT@s&k{$90S$n8HTecHZue6LVk$80BKa(3WY0wUsbxO~IY+b@}_C`OuVa+TqRl@B>%8q6sp!yt^CATO{1!uyNc>)#7boXA5KRl0lk7g6D#cCsc3uW8LGP5zS&BYvYb%@z>30p^ zQB0Y;Jl-Kmlvz-m&5&#K034RgcarW^Fs(578a(SvD2(Ki zZMKC%%U&d_q(ghjwg!Gokhfd>rvOrX)gn8m6;_AUu-?A3 zTOZmFr1g}M!Bc2ha9R1#duuc0uJ5;LO694K*BiNR-nB02e&@deF02&dJ0S96V(K>> zg$P+!-!x$)uuqMU^{RYd?X~KwEtb-QS(tGjenPsw-ZqszMMIL?Xm0qXYCCQ0wBU5X z&^E)+ISj7Sqwr3UY0Au114Kqg+X4=9Nq>9>@H2|g&^_fJsGZ3#vbrc1Losbb`6a5l zd-oLU2!ctY{_j9|iA*DB2|*e$#doPUH6cy{k%Wx}E2H}FO4E8R`@J!;Q|IH@<#qv^ zWop$-@BVAicur)#Zth%9e<6p@I&+ScD&&UgC#J?Y>)ZHi`yQY1)HoYXz9Dk2LLA3}q6#&p{ElcNS5z zj=+nd!JZM{thm8x9jdX)J6?~2%a}o`#YCOQ;Ul=Dy1PcT9piX=$-$2*Jd(OdP*H?r zCqqlFrF!Mr!*j0xlNPf+>ZyslmI@bj=lx4#AcR$~5Y2?v4>F)lB?pW4g|7DS8?)1Z ztp6c(K)Q3HXTr5^mK`CWJ63iW(?8+~j5q2vRK3P15l(WkDMu>GDx#*!_Kg`1_T%7v z4Ka+@6m6XPRwA((&H(@Iek487QI(z-!$TJ>k;p${!rs>X|GlD7kKA45XvEaTDi5C` zQ~i&-?n|R=x{P}F4r5!(3K1-(9;ontctV#n;3lMqrgk;-3E0N)Yn&-qu|MLSq=Pb_K!LiE?74M&iA&qsN*49<%FJmL8gF?h8$l6EAkS|6(3GN2PuR1 ztx{nex;kg*j3S=;iOGhN94>EFfKLE^X#X#_NwRR$oJ@YT+5m~$x0Z3JtG zw1f~z0wPIk{BP+l7;WzmIFw2G52C0DFD-KC855u!o~w$gQ+4y6yZec*-{Q2#YeWW4 zW`jXGH`j*=;}yWJmT42HD{QoY?TSx<+^B6kGsBvC=fSgMF56M5UbiESm+wRGC(DMF z(p$Ju%I|+oHVFA_>9=Iv@EtXF5We7cAOVif|2A?s3U^j!TVVGp>s*c9TY6G+5dLr8 zI=i($(Od847=CuYX_u{z=}+6ipgoVep_~fo5sL``j>Fcwp4rTv2@gUfRCO80K_NsH z_&-_KP)I>&3R~|x33x!sJp$ha&T>Iv!I{7FXFnWBdoA5ALX1s2%uh(6^#K&Vnl{}C zKYQ?&?u9h+Cwm48N5(+p@uCx!DhUqgI9rXuW#++w0hT)Rr0D+30;{5@Zz7mN0kP-E zb<&izTf_%3$aU27MfVW?nBQU@b@MKc;Emb>mPsGpta13)I-;W`U~(@qg0XAbeH{M> z)b3@V-FW#}s%hv1r{j&4*{MiP`tRj&O=rGH%!^l%m>%ZZ`;i<5Ev%&a!Hi;zE}=4c zhgAZNKxwgvcV7)WQE8==!V~=ZA>WOUhgzUC@I6eG@8&fh)Hm6)*t6C0*Yi@}a zxO}^dM6(EnYd>ox%*o%n8<@v}5Wlz>joP_lJD{g>*G(I{N)ez>CE;b+3(G{nzL@TG#;rMYhL4Dy-aMVDiwYp0Y-{|(89uZUck`f^JFEfc@&gD9p zw2n60lXq>$EM8ZeTSn>Dr^r}1dKPH_2E>AzJcfCreo8Mk(q!8tNur6WY?=NauHHH< ziua8hH9$d-lrCvWr9rxplx|RI=_Qw%uXM-Ktu%r((jeWefJlSHvUIacbB5nJ*LB|O z<awfyk+^={<*x_|brP1N;OL%#ZTpbW`Fe%P1I2=!O# z5PTqTJ)$liFOhGjh~b4bVAbqR#Ew)Zrt{hrA!1$77 z^=(NrGW1Zno+#(nlguCJ7P)=%#n;|6{|E$2_7503N|cKoZ|i(?)K0e}b+_F8Kc4)L zwFT~J+x4#Ym6`iGFjPsYkhA7gPUgl*CAdGTUDzxr+*eM8vBmpPjwv{Z4UbOKbTQ`q z9OI4gp9{(XY^Xm7J#K)04!^K?d#u^83edoueVA`si&W8XXRfe$pM9t2|Tc=rOCV4y9tyEnqhn~W&JQJwkFdp2xWVvcjU~%=Bk3La~=Y)KL)B~se@gcgb zt&R6hJH4rPg^$q{1!$Hjsg>{wvoBROmrZ!HKr?cqw@+S-93-IC{|}w>m164&W%lrY z_dWMN#=eJ?$1C1T2@0`!N&}JNee@lJZ(|zIX;#(bPUv-Ue5*TY6C~DvXHGgI%+qB_ z#8^b@!T&h29%FVn<3bC*40INxQ)&p~4hnl797%~Y9;ah577&IrAf3c?WK zn_VE1qf8LLaiJ6mX>~O`C_cFD>}V zJ2V*pW{kB}1y{Zbd=5Y@;yQsKHrO-|7wr0f^K`Al!NODN7rFzoM}PfwmB{d^@+aO* z*9Y88lkKqEVZ`axE#wAouAO2rG6p8^dD3lX=^7PG_q~5X=>%WQoI$MO-vj0Wah79R zFa|P5&ay`7Ka`0+XyeXy(d=dZvo@#8TCRz(PqCFP`c2#Q8Ax~{5!m0Hmn!}f#Gz%G zzRIs``DQ{2+dURj&RrlCmKpx{X>Gh z6<17cx$d=R-q$Cv`}u?}^Wu=fQL>vJoKMWbx@kmG?7;cF$T5=iB1jy#gxDF)%y(dFSK5)Hv`S>tIs}Xc~M^Rn#PjrM@Z?%2LE!z zUgsz#?EG%^I}C(sHJpG%lo)bfm(BtoWX$uvq-l})dI*Mp3e4iA08=p7C8cxu6Z9`Q zD$u%*A(>QPDyYZLss}Bo%U&~QRQ8oilO9hYc<6fDUQ&h+?o~snr4n8m2G8elQ3KH% z0M;>kKfB%wMP<~wo~-(3sEFFOja$LD*Dj!g7%RtXGsrSQ!c6>I;Rsi>R0|(Y8=QT% zn_mj-6PsHjjfO?&n^Z%yRLy>@DXy3Do7o$L(W9@c@Pg!1TcF(5=vS3={9BoJf9w&8Mlr(tn2G?Fk=9W`06epE|@Xo<{Ys( z9+dt#vRrvyE0(H7{`VO4KvjTBWVgaC@lM0FZ-UEz5~v_X*NaHcS4JF@^h7Ygp$GI6 zV%%{Ca9o^Ua+Rt&7ryPZX(=}G%iIojEK&ESnZj<7mQp3<>b~TH)^XDAGynxkn{HkP z*E_)5gpdhm zdm5e=MG4t#WD*@j1$}3)U_k;*;uOz;FAO*%uaI&XgC0IpJFg(A3{_;A@2pL0-;I4v zLdU5y*;Mr_Pl=hs^T|5()rTO8bxDX`o>1LlvGjG{w|Xi#l6gmoj4^&z7s{lgPi zJGWepR0J+6jqJyQM)3^DO13LP0u&HSNNzr8c`U#245vBH&XB)v()SiUFe1O#T&==B zWnuY06xp2YIe+i>4#md_hT((-Ik-)cjkKE>;Os%y0z?rP?O0tpzYuyEQZ1dy*p(W};woIM+#E8hclXaPuk#v_rC_NouS}Wa+N4bV z`0-e^)6YO@?&1Uz3+}8w_#CYXDAQe5yG|RbYbV|ks(M8RXAHIv2+*(568KqcFNnT* zWe$w+ero!q3TT8@RrDu@w1`CQ$LtKtb(cAo%Q`A;yv<(!O84P~&}2QAJPSJV`VA24 z{E)Sg5}J6=vUH7U>gPcfIHeWkxXX93S4du@FN0e9oO~=xCJ_^x3Kjhdvqs%ub2_(& zE&b<&W;PJsJu0nhmvl2_iEEwY*Z#|YjFKU9?%ocp>|U`h0qA~yP2CngXBW}!gLT>R zTg{YSc$rDKC9!B;u8np(ZEk58fFf{;ZhlFl#J70t&g9?wc%;vR%1)7r2$>+2zg#x; zoEJuof+Ko*Yx}&N#(v;v`r3VKr!Il;Xt@2i-ehZAzeKQb5>b>w+?863S6Uqr-4VeN zCY|WenI6)qJM_hRI+l;^Y}$j}G{{(|jUr}Ox873b{Mi(h&;-r$4_6#DK;5Y}mRfHb z8LsOuLe#~`?rT!9*N^x{OYtbvO+?}62PVB%DKvu7*zgE)Ng@$>EH2OgJpnsyrvYXEiB~Mm(A&5=Y}kn0`AJ5UI_I=FJ;i|l^u#8 z#{{KP9jymGD%oDsA>wraj=U+3`W^WwMH(%2BK^Gr!Pk~?P*XN#vRiWj_sH|b`?+&nK4Xpiwba;{bmj5|0L4&`dnQ!}ESpiPseX0oS3>88 z-WEa%XluCFpv5#n7d*&dc1b>+8g(6_{^rLHxlAmxh(6)-jxTdjwzTP(>9cOF%Cu}W zg0g1R9wHM;zzv?}flmU?vtJ}#3dy-tP%V(yW^g+cVwvoPAM6lM+XcJO8QrpXG12Wu zx;qc}Zn6D~kUR00IX66)&X`P?_{cZt01q9P#L1ft+)vK@9NzNq-d6XTFtuDj%Jb@p z8rA_T4xk{>Q=6F1UZrb-#8%^rTCA2ipcroWyQ zV|g1Gt=HJj{V^yLYvWi$(ky4)@_8|C{?0^lS=GcF<*u-{dP~>7N-#DcB)De8^~|*( zL4YBU##ZJ~Ns0fK9Env)NBhW#O*q%K@g|7%2(|%Fsw~5rm2VOQF@R-ON)*0*E>%cl zh+2y>yOg5kWsHW*b~QobrOmFoj(Rp-%GEo)+Vbb3nIr7QXDRyn!JmfENB%4KH&;0 zE8YC5+{x=lniRi-{F403g#j3u6Fe^w|Dv+KQObKTr&9hr1eJ74K6h+Tl0G#%R>qhE@MdpC3l!JdXEODk5?{Mk;(xa>(n!uLgYtCv|N77{!m$Y6hgHR zQ{?b15vOVf&C1U3H=zNo8A-+dSuI*OcNb*$bOHYwL2Y9zBrOZ@p8wy8P8LhN_nwZgq@ zj=d(W`p2%|8#EBoDeypY*s@n*P50~fTdR@jgI&4vxk214&1qIXhI7DheX&4%No>AW zq1*8+%#wmH!(VA^DEJTDoPo0`pm^5m<6r71ku#()xg(1D@0_z_sDm;VGMjn2zB&Lq zWSv@B#GjA8m|$8jSk(!xWc9H&t1E}7Q+S5xqq7S)Dync7mWgV?brN(h6bhC4k~B!L z_o0M=S|>iz5(1)%KY%H_7u*+Y0pv|XLgYnJzVtQkV@M$}Z36GCMeqx5n?JV3G>wV@ zUunTt*}$767IfSVoDk5!7!mtf5ZiF^a_T`pagFiD%abQ@g(AY@F7k0aqgzZATxGnx zVMl@+geNCLgBF_!+~S^?%5V~V=E6-?~bHafA%S-s1C4dD@-!Otqz^|V#3LiP8 z6})Il;frpFaB^64A$JZ^Il z@n`}_0w7T#YRgXr%aW)ahBJwXsoY4~!*?AFauBDzx;ru$@bkkyC86K^HTZZIkBA=m z_}<(1l0g$p4R2~*AJ9WWMoLyg`EK{xZ8A~Zcu{#NtVU+0BT5g;<^STJA6kl zH#Q`5BtVmb z&l6gE%OG#vw_W-EGHHql7mO3_^$ehsEK8o>3nt{v(ksT^32-v)4fmiI2fMP_@03~; z_@#>N)@ya!WzMbEmHDT2M4o@?$8!C%XyO`S+0DGFdni>Ck4!!cCmZHZb-CYj z{6Tk;yfPr{>m_2Q={tx0MqW<`CyCZFQ;@)=L)Oj~`%+lXU=Ov4|s?)t2muKHF1h zm!2}WoeL=5B*o*iZN6Tk4MOjVp$<>p1U|GXjQ$F%5j%y1_CfsBhCC4#Vh*TJ%SuUD_ zvt$SIv5yWutOgIJ@2jRMcoypoxiZ;M2diVYC8Gf+lXTTC*nf5FF#k zc#bW6Gy&Y`C!5<}T>W+bNEV{!r#G?iL}j4W=%X1^f%OE z086TI;YV9ilud=8S-Mgm1H|xx`+s>m)2~abPXnAk59BjV)fs`ZYwE7ydRLR}=JMo} zI-$VIUvgDbBwf`;u1O{Vw7#`S58ZaT^UKSR%!ca}=`Rycl7_4a_mgj)46e*B_$E-F zPNp;of4Q`iG@T{xBGe=k{`d_=C{L+4ktLAzKn=@a78i7ATv(o*4A8!oPGy7uk2bZ& zINb?8nx}@kBLBR-Rr$pZ=-C+}kvBDMt6B2jG!e^_z-R^fFVgLr{|c;{8RjI8ad^9B zt_vQ|&;*xLyKR#Sb~$2C@AEUj&6IANfH+Z}OOXfI1V4;)6 z6xp8wzp$m-PHdOo(%f-Un=|}tDbI_S`!L@!{w1rOXK-b91ri}a3W?(|TKpXZST_Bm zosKG@IkQ~N_{=;LH#i#@e?Z(NUZF@h>L=r^x6vQI(XJDywxLja7<}QeL~s2Wife!zW%BT5SGz-x)_j_}IRbsiIjhp#48zW?ubnd`ikxoY_}jo2$Jg9HUZoBVIUM zukm?>j8~x+$`#|H;Op*#_eyI1wlaAYV{6z!}Arz{2hVm?-A zZa;^nU(q)eiNm&2(AtZ~Z?qR~Gf=wN7bLT8kLk%(f?6&3L^8&|qt==VuPwbOT&>a# z{^>rbHT7jQ2Gdt&h`SnmjndqXu&4>)z7x8V)u9h6?`?_Yq`hw^l=grrfCj|HY-vYcgciY_mW z==~E*ew#~>CxjBcLj^pDr;PE8)R`kGiPs>k5!jkbi|28zMmEv?S4jij#b~Ch^XjD6 z0r(ThVZ!0Wb+l%?0dU+Y*G;F#*Bjwn z>sk{3?QRisCC+OrJlbVT@bHntL9TX`wtA}tWxgslDe}*=0!U!p0oH@6-K0JM3ooCO zTaQtd`(%O`{t)9z7fKnzjA?DW+TTpXeeR-hjKJ(5Y8&M z$C&&y<0CDF*vb;YN(=H$+=vYRI73ar7~`6aK`vFeiSZJ&rwi)Nb&%@uihTM_94@Up z9VB<;O&lj0{&?@}P5rs_a%xcMp?}!C5iqSaS}DbBlctz5eZBC#hx2DbGi@d`C--xk zx(j#|L)tQW+>-&V>w?WATORWjENmXZ5yl|a8Od1iV~{+Mi&FZ#9yg%CzTmi>{R2k3C!({8Cx=EO8!_!l}b` z;!LcPa8-*x!pmV#{=BO>;)WuUk;8ZMw9wbufMuG9RSsX=Q8?xT1sHde@o__EpXVx< zQ3XCynqSL4{wc^Nyp_={e%u7mSTp`hFVYH|5q&pS$b__GLV^WYELu&$cxl{OOp73s zKC|~0Ly@xc41vv0|Ic4&jFIAa228OMV;OR#%&wl8n8$CFTQYKu*i|zTi;VESRs18` z&sfyhv9B|6Mp=)2(Pd34Val93Fy3=U;2-i8chba^l0)ibsn8MyD z-e`ZmQwOCpg$&H2uOqB6vo$a%LF$NJtn|iNQi>A#VFdHdOREg*3*xQj@S!A|ove2z zck)>9zaqJ|v?M12S{9>S^}Myun_eS!Nb@?4*){rl;d=8R{2ya2T5nUJID@;=@TT$y zs6-WptY9=c{7p-I2-sOV4za-#FR?G6;;UVo^(Hm3ORScHqXNEd68tG9tb}Cd$xqpb zUpWngjA0bmgF&Hg%%i=&(!=(Wpt5l`0cYY1|M64NZ0PMJNQ>2O%{7(e5zgumf-{`V zatNVeaz%ENrl_YiT=|6s#k=U|3g>f%TGL1*k8`(w|LQ~Fh>>@}3*tO;hso%-hl|eE zi4y=nfCn^_h`N}tYx1l_$$6Cm00k$%S1R) zs}$#aH8A=Q>3u2l;k8y?XM&sSQX-JnN2sKP4NJ_mDdNG)I*@(5%{1%%{A1=#`Cg}P zQ7@)+J5bH_**7#mi32z}q1&AOxEVHbV{u0o%_)8puV_{2%oX4{Ol>)k%9iK(GQ*?9 zJgP~ZRphEP3oP}g55;R*$F5nRyCRWEv}@Iu=ymh}tpX|DksDgY#dLdXb)0az|Mrzh zq~~6_a+`td^)J!=+;6voZPk`N@XFGs=d&q;0BX7-itatlnQGo_xjyt$GYl< zR42x17D`u!e-izatu(N%o$RB|Uq0|psVrk3q<1LeFBBJ($U1mwqXUtneRqZ*ml1$* z#w3}vojX`3DTuNt&T7v)jvMgL^DMTTJ0M0t@xSf+ItPoR^w`l)6S-;cM))bTIcc22 zfD^33r)Z4RgAv&{A-@Zw655BErTgEL*OFi{%R#;ry2F;08zu&AqlXk|$Wa#q1xeBv0 zVMkRd7HHfA2?v9Pzf$gb`tzfVtTOu-oiRM-5gWIC{G+}0ajHglRlsErzBy1#-R8fY z>$Eq}fXsGd=dCB=wYS>SO0w5UIk~ME77u&NB6f0_83ST}fB!MEHO4O( zZBtO8y4OurA|Tw~yB)A_3vkmT3A)Of#b5sPmHrHgrLQn8B{luhh*!)SQcOIm|8A@w zYsJ;oB+Pf1e%9~#;EF3-88Ps@a;{nw;op2-baUbgt7UaHeaF8;H2OIhxB4S@wXjKs zg$32NP~WAd)nbcmG|$&V14a+((8JgP%#J{o;NUB<1*@ry>(fv6$hfijVazRNHYI4kdUQTA{bC1h_k>1 zLMk(VP+t?&W=axxQ`w0XH|ME<@(_)DgEsC+HIt4~_mIOP z+Um<}c5_fV^SPq__$})tg{Z7BUYL&n)S*OQ`~#;^D6fw|Z>TTJ)b{1#;P+cYt?enq zW+`ctqGQbHr^i?@#@SI*&EGSNkC6#PpZY`Jq~_L(r!KKRWCHMZJdlX`&wAl{f!AY* z(C4z=*B0fAm1D}h-n^wx0pTh1 zMw~?34fFISQXV*Q7^11$BhTBkrrO>uWqcm5B%Yqef{G8WB*^!_BHPw+DB3x5XMI}= zN|D0yxRDb9b2C-$!5#gST_|_f)mAv8Z|eb$LRyGDFrK~1;m$gj(poKcaVRRc)^?c_ zW$mN;Q1LVqrs*qVre&A=NAiFPr-?OQ@!v>=a`8^tsE1{wExkV4>9z=a`r6ijewpn+ zTeF2Rrks#)7Yy1+YXAdgydRGyRJfW0n%p2N;RPEduhgAbP~|5huBn9FTesRZ_&LMs zD*+-9RWw~jyYH#zAF!Cz?|<{xy7Q*OUgac<0}*Q63qC{?<52&DIjrfKWQZcj!SdK-G=J^{AOc zk^vMI+}aeW}D=;j|AxtOT=jV2gbYfA1V%WCuvt0&q$X0b0gX`>i#T$+5T>P zdEUQcL(U^NOuz-r9@L)iR8P7#V$uW4tn1^! zBdqJMWX#@?N-+Jjlm2``sp%Uan|JYK@IsjW%=fxtJS`xbWp5gms6!r#LxRQeR&7914^#w1w&`5T&k3$Bw=A;^l_)%Tejou-}0JXkN?r2 zX7DStd`P=?_?RiZLtJOtgTV->) z<?N$uTpH>X z=a49e=tQ1${Mi$eFuv!KfaBhM+?U~)b;1+RprT=_4rV%qB2OFGEI_rX{+|xh@8EwY zG}Pk(RM#)=4EUNPsdG_b06Yf$e#PpSQ+gW2+}EWt+2~b0bZA0vLP2T8*N6?SP)5vqT*YT4W0x-XM^stfK6zz4u=f_Id^*W> z5T?m3$XpO-Sy4UPCCgUq%(DJsVhkbBB+i%|J9>=gs~o%>Q44l7m8{_E9)91Z2L@F| z@4Fhq)b-uHPsJy_uqMtKnRXl?(EThTMFM6>X6%h&Cm9a>AAJcl(5F>S*hrY{N*fx( zX5PUVJY1(yH^MA(Entu`V%14{o5*D0*Jv}!(5g{)nlR#T?m)l1Y_5ztjYt{XV`F@X zN*a*$1$(2w{z$*r<6hY363F0pi5 zGimUP=?gi$f}nN!tA`Q8eS0K0N^AI|RsH2)>(9PhT{irkz82Y$ujxzWVJN#Cxstjvq{Igu3V4s_l>9iuZ0-1tOcj{ z#-X~#m;T55Da$mOVIFIvQ5&*!BDf<2KzG#f8;lz!Vda7GKfHjsKAcfRjd6$S_pOXN z7MXknI0cr*Zf?^huM@bCtor4xcs+wNWORT9+HapQT(FSeGV(0gG36SA-gkG>KqISc zAn2C^Jgyh#%UldlwPRDx>|iW#aJ5U%2liZ93Ef>c+`*N~;KAiZmBgkaZJ zc_PBv&JZAN!+mv5gBg66n}F9A+5{wup{V7Rm;} zhC%`1xi6^bTVfw#k4N;;A3|@1`9$gto|FqYFkAjv{bAq`*l7t**q@O_}jk$9G^u{$znFu@CMF%zf0~ zIIY#WawM@IFR-Vx`JZ9z%`F8o0oRaX(U0e$U9jS{#Pa`Cm5)LH>`lIBmOzFxaaWsL z>+Q*bE$!;G5?+Hm4+|7zqa_N(O_{q+_;YC$8UMorgG1B-@rUCc>Uk(Rw|7h~!TNT1 zD=T28hUri*DpE=TcESPVH3@7yVh3Yb{@t{JiepPk?JsUQzjQ&%#3JNva%qEnr?ZJo zV#qw#E$u)kSl~FK!)l6K&?Am6qN5K|3>b~;%Q95JHZd7-g zRDPM8BKLoxVn2@4P;J`kvt0)|CJgYG-1|W{*y9T_{!Ir!o_$zu?8SFE^#o^l%YX@c zwuO=?Ky@!U^ z<&|h%7Eg6*(syz>A~rf_>r`hZ>y@t|I(HuyUIM!wnJs_W5Atcu zXSoqB>6b(E6=ey;0%Rho731SZIlFdXv&HH|M7N#0&Xe%%_TAFvcL4R(C&>3nBPgi< zRPwXM_38?6*Zq5tDo=vB&dc zQOtz?c<@Qv2w)0W2HH}F!2Fk%C9*@`zV^9<;iO4}v!6z))2%2V&u+bl{otlO(F}O5 z7JNnTTtw6p`7mdMRxXfLR(KnPX!N3FxT`71_A!~easVlvb(5PVeIMsqHxGZ-?6t7@ zwoO?MuJtT4Y1*}9>kCS`x+ECuwAs*#@F^>p$WXBSt|JG4_-ORuSDJhgQnXqkDcPno zKVb*EMxMMXs-3@Q?Z?syeNBx{Tj+M!noZ56&6zE}XVvWP7mvX%iKEFMZAsl{aSd9a zF7TRj-Y9u@>r(xaKyss?dLO)Z`P@H@(>|3~^vg7weIvI%Vkd78$!1Uv_RYm}@!F!* zoIaz$$N}uM&{^T2TzqN@du5iVrGavlxWm7ts=>SMvmDq806;s3cg3vv=>n)6>|XN}e2=4&VV*eZ zR?>iUye!#zl4dE{)h-7LOBfq;Byd;GBLB5c9+E-jT8m8w^VVn2sfd}P&Z#lLc50bj zGVBnt7uN+X9v_$Ba+b-6<-zUW_Wl9m;H|&^l{6`*4#cicCFbdapzbEsDTWArEASff zP{6Wn$Rg%zE2N?VDNWmbsJi+#F+P{@m}6-AsfkD3`*p0yDYb|V#o(%nP=*z)8XIl- zf0F2LSi|Wm)t7%GKArs?I=Li))WlZ`pPKntYX&l0zqq(jT5J04T&@%F7y9l+S*l`n z#7?NPK9=HX_>_ijbMU1+*gZAB{R;@141rx0txPcf*=Gta*|v;N-QtO#9ZY8fuz%>A z8-p#N_!)i~rEz~03t8{n2AhuLNy(Fdnl+ogHYL0q78mrPX!rp3(bAq0V{XOnxbL&Y zAN$e#W6AAUp~M!8GBZj0$w=*`YC#&X7GH{V6JbCXw_Lza$HGsPz&sKL0Om)Qj2SnJ z66~;dE}AF${|O6PIYD2524Z>nd6fxHkX;hv_KBo9?y!3t$d*uYwQwTsT3S0|zj8`-Yn-NAsy>piMXhp|&9>cU# zQ(QH#-Pfm)Df&(AO9U z3tjFomoce~6toa>y31AJ2UvpZPiAmIXHZ*)gXbQAJzF=ibaq=82RJd|K=-lZ7!HN5 z*bXH0nCN$9Q%(lh$r#cucD_PM)FjtM5!oF`QN+CwBUNbIEB~e)8FlWuVvVne6Q|G^ z+UT9LfhpHr-8nZM-Pb78+>YFt%T`z)%)wk-rn$RLa4<6%2f>w&cgT_*?S^t0+RW`0 zAm&Qn#MDCHN#FTb{~+8e9uPKa+&?R$V%L1jAlh*6Vo)4*EQqQWv%d-}31@AzV^I6;Ns z3nTbRQ@>ne|5?9#@AIABba*+)gI^%sWxOkPs?1D2v*PabCQtwCGJ5UA7%5BFfa_1% zCT1O>f4ox7Af|r)};?Bsue zy}_NS{ww)!zIFM{eWA~HPz4;!qm;5)ofhC#*vO_2ko!$+@K;joxbw<#-|3nfs(7Jb zw*JwKfQMJ!`vrF#|36B|yTYxtTnYZ&+{~I!3fV8a;JoI4=wDkooM&U5_Ju4pg-F*v z6*pE(siYTtFKG5Q@xldXdwC{I8JQCe#L&f~?70#R)rA-{+`_H7$El?T=zDq@7uwbM zTdfCDm5#M->)&C(HHLfnI3<;mm_H@RE6rjq{Sh&O6C zCcouttJY6Jn?*m=(;tZMPjqQ-k2ig?QC;4c*G~>*bIn%z@p|Kvv+Dpw>b#Nsh6V~u!HS#ldc}Mq0m1$NMw83ft;twtGpXgt zh{;_}mJN3<)2QVMnL81<+LGK!$?l`fh(0SOoGV)!ZUxV&LF?EIR0DC|H_h=kFvYOJ zqhgpPU*%J+xNwE*zs(EHOg2Y~vBkXpPS$l7VfyZ~k+u?PO;e5M>f%707)o2lyDfSxj< z-(Z&waTkPFm!~+l;OdIm(v1#>PA(v4D9KPANdU9rm~$gW$k3Q4msTo2bY+3kz(ydv zuz_BlpYIebVOIviv%umBdi_Get1iT&VThNqt-9*K{Pzcmb)TgJwtS_@0atug(l2E@ z?XAfY-lECxP*>`(HP8qkA^@XR|#FBit^9+fb)SnsNh0i=WMbGGo?bZKjPVDd0K?6Q^0UL$9ME{*BqP}`=h!M}-W>Du`9KXnevJ8rZ&j|ud1vzAF$G$!jt?}-rau92) z)VNu{x8u9YSi?=xMtW&i2Vl|SBzxO?AGxbYI9(e2!K8w9?QQyTy9i=Og~3msB-CVB8U@<%2_XK~wm3s>qN@F1Fcs-ED@Lckt)e5y;Blezfq2&yxB)V6{txS!DRorrjPYc(-FC#yDz z<5KEUvY1r&^wy>EK!Dk3$mY_zDj@*=NGA>_M;()1JMnxf`j2(87Xn5&gRxt%5yGW@ znZYN4X_kL`2M$x%bIIPc7S>>;vjv1U=rjSLf?E5}D~!q0hh9eU?2D`*_YvVc{yH|t zC1OsBi&7@({|uzVExHr`g7}lUCTqj)kjEhX8VuHtt6s zd(H@D@C=ojW0?mJn1bh-67O#w)L914Tm1bYq428+V&A-!*Flva8Md_blh(6HAvJB~V=J-45qLoG&40k@QJ;&s;A_J=RZsH( zU%_v4>xVb)X?r1)tKzx~{hdaWLD5xRBq$P2=Hv5t3Gl|PR3 zK0_sPjgnatsA;{1_{Ai?*pa4WO$|_q`JniRwsMjt1vok9%*(oGH;@y=ihVEUsl+A) z`QA*7fTjR_7GYpwK`sZ`U@d0$i3`@cxeksTj8n^b3JVz~>Jl_pU;3B9)q<@^2VoLR zbgv%07K~q3Us6JqtH8iVN}?>|@RgMrl8I`BNW4$bTv;T0cM{M%?BYBFh{3wo=o^?aPTn1Bgj?6{77^vg zDhQ6zXM)N?u%n4)`%6Uz6W16BR~0orXUe1{%|{fKD0$(L5fGp zWoV1b!y=~K1UGs+eAWn=g{$!KCR;779!>@0S|CT5H*)uCtsCf7;67@t`;+l#F~KGE zX>}l1w~F-6quDG?e8PC-KuR2!apK+$rz{?u`h{BstTGb3Zkpy zucV52tu|srf)Du^JIptha23wqeL+|bSuDL~jB|t$rjPn_UIV-SPg^bJs{qD_N)7zC<=!`mw+Bf40e&fT<%jI1bp5GQD!0HBG6ql#^%XqF}7q%>xE0UicqY z9-Qj$dLgq!z4k{In)tjvU(jqU9JrTP-2d%!ABY&$B#lil%aKMJ=G6FiKiSSmF7HT< zuL=2<6>B-xT9i7$Hras%W@f1{=)@qQ>P8510CDe=cYFrc=gbS$JBP znjscRuNv4Y*$P|R-^;ZBDQe|@RoGgCm8x3ZrVLeM?|qhAs9K;}eMqADnIFkPB%z|* zeVDEN*?q!`+b<>Q_`<(Y>70|T7Z~D8RVbmn->iP=91PcbFR|kx$+^{Lai*7XXsDHg zpUYg>cYG1Ide>y0ExNqx5#Awjk-X@r&UAvb|&f&pkl??hj4WrfpB`3kj9E zp_upWsz``21|s=8A~_tgyv2mjaQbCa>VTaUU#4>{IlRQIf@*%OVx$Z}M++Uw{OaqP z-L=^&kLTnrOjhj*!HhDQeNK9GtDUEHlNmg@D@k0k!!+;XY*cA0Y1Q^_xh?Thtz0*s za+A5X42rAp1WEM#fHTA{IMj;nJ9gp1@YZ#;T(<)139SzJPnB%vZ-gv1M*hhbDzuy* zR%>ZoEEB;~um0JnR0FHgu8!Hq+o(ctL2qs-B{<|zgemsk+r^tBBE+x-y0#E?sTzUo zFsJmAU>aUG{A{YJY?H5*R;&C`LSIIKI~!Bw&nsi)gI81TZCYG?$(!2TC#fn>Ot@Dl za^54q)2C36^vve{a&nDn-a<<%N8v)ae}0qu5UsM+UYu@gTbe!eQv+K+&ggsYpW$KN`+)GGh$6bEBgaUfo?yv_jBYLop*9_#80@2nxlE^(;tB zYMV>TUi2kkuOh%T+hpOd5v$5Nm&JKUTAJnw~#& z`=Emp;i1KrQv78(YfM80flWKCGjz(P&FQVWHHj5>BcGJ1I(6G5@~LBjvlQ5tsMkT~ zE4#tF3xcec8q0!BjA0H`DFuJk3g2WV$3x!2ND#n^zKYeYSLsMhl8YZ(_N^WI_`E)t z;BCY4m2$X%{wTSA&SH}OuVJ^c;|y;Rn!&8S+ZL^T#H=eLb8AP^7z{T1!Gp~DBQ%G* z&>{%>+<%A_l2o4!d8m%ixaX=Ybw-cWijm`_`Lcz5D~_J0%Ah5kQ94b( z31}Pga4rZ&xL+3UI}3FOYYV!m%<}%mvT2_ut_Dw1mO01#=Zm6NA|q5v+*zaQPt_>{ zGQH2LuZ|3$p42;C%GGwvks(0fMDd8E6jJUvaJNplBPleWi`P1(fo9bc-M6+cL4`&b6WR9@_pv_J(>(t@q0NifV?r_kbFE z*gNrep?PktH!!gDMclwoV+;E#FfH7zz~6W8>^hcQ3ETUY?4Y|)w#YO+CvsVjDWiKt zzZK~zHuUHl8kkQZXmup3@_YiI{8kv_yScRL{FP__=1$Hob*<~EVY4oJQ~Sv;T^UmQ zHRQS*CbSpYtz2JqaK-ocr^N|h3?7ZTJKRC8IihV2pXZRAKU?yt2{+GOCUBzjHKPiA zP4zsC_k-!NK#D!(a6Q{_$bs9twx@SFJGPyBx$VeHvtf53_K8u)Lq`>WZ0jKAj%Q4i zGi!3wZo>OvfP586WX<}i+dSgb<_%|`lQVjh>%p9h@``cN8s{sI^hB$!NSxJ|;$j3} zNqa9{`wr0#oW((?QLfYpXbMZ03{oN(;r)K-3)T30R3!uX7RE=-?@tGWua~@myN_&j2J%2GsqLZ%vX02F0cjV^r$%}*97*uZOnhk|_ zk%tVO%}c7^tYv*=?Bz@3PXZ*CEeVJ0)oGjudhXsd@m^ool#}~i51&F?5SZ1Ngs}}W z!L!S+WX&?+TmOQFMXoIG!pRQOp9IC_QtB<2^-Y;naB!L?t>|+CWVS)+yvhCk=x z_*fluiLn5)l$Nh(T+S_iq!=%|(L9N*P69&;wJ$v|9fdfnvc?uSI#cwBHL*K7>zDn6b9CNSwXS>qy{Wq1bVu}9wj}fWy#$|tAKBT#P+6P20 zm(Bgc$6aeULDW708qLtAzKVAj%7om=3&txdR}|~sVL&+vX@{>7-Kl#D-`B_k!ZE1J z*RjNT+8b=Y3@%oR?&YsRJ8a%)7jLwfImeXvl^ zu=U?5-3L=QvnD#}jx(SCQf^0if+EFg{Fxg%|GmvfQC#K&M$6oV*29jiskWuw-aJS* zjv&~O;$ZEvO7Cf&TmF@m^H1RV2l+Ne=F81fD&Kh8CBP;kkX5gGAePIL?$FUC`}N6u z+_Sl&)5Z{all7yqXAaO$(51v~N41gRz{VZD55ok$FAS?jyrz;UT*tx5+Fd8dio(X@ zGluLU;l5S`(85#QLEj{OET6@0^!$&Naq$#o!I#v+66e(4&zOuyZ86P;n|(J14~#D! z?YyS+i*w9Dh6J5RI|_q~xjFxG9>?5!e(-rRO)j9{%g+&w{vw#jNo9YgxBh$)&+mB~ ze`=2dB}<&&lDU=fMNhat9FY}t{N7Pc*Q(#_1 z?~9GZDS?@oa^hWA^|f!+m#5c_g(3eBQ(qnr)%%8>N|LRTeNUyuz758ZgoF&iUhc&U4?_ zb>G)jL%Z3V+u@9}?)y&8K%ViZ_G@n@>49Haq$<=fjoM9$$|=de>pQ}zBgWrXG!DE; zQVOekTgzb5XdTt}{mvwEL7An_{^`*O`tOr+SmLQ)RuQ5vCL=YVo?I)Zh!9@>n*HeU zZnsF^q)QuMs#-LlexZE{Xp|Ye>H2xe-j|XoOnYg_?{uW6K=|wFb83}MsJ#9Ul*CbZ zSKUm!Y`hDbLvHlie-$6D$r8edue;R$(^@Os?B?g64$$G@_jbebW75S+n5?xlUi7+o zi{>bB!;oj5lV!@Ty@@d}(-z#i zEHRNFlLw6Kdz!-j4URoc)pPHSp$3^`VhaL3Xq&?l{M2^Z(ocMcBDl6OVsMFrdpl7p zY+8KhgOi_?A$S-CJf%2g&qC=l3xgK@$6+2#qRY1`NAAgGIt>X^nRjiQ=7pqH2H<^l zkv!7ei;>F7k~3hZX8oKO8IV&NvdeTWP_1@+Bk;AHd;UI%D+Y8jS6o#T=M-hAbqUkX zqZag_!Q(5-NdW?^uw8{z*|itO+I@5JWiRh8%(A+GRgM!_HE>1hSup-c{| z?yq^zlGBJfO_B7ZSC$OlEA9E#pvlOCIxou5F8@^S^%&TfU6E;WA!APe8xkh4mAoMe z+(2zct7@nD3&}?NZE}$mT6V+x0n_#KSb50alDN}%#&4%ZMNhuZsMbvpJ1h-Stb$r} zpTwYy3iNKixGPtGMiM$~AGFRs86C;6kFBUHGcJ|-Zy(OysVL$lwTljL%#-U%nL^5sx|j8kv(9bn!3*TR|1*Iz z!7oyscgBaNB9W&`adq1dz4SO*BE+OuvA`NEQPUd&aoBe@svtC7cF9Lc_ z;YPdm6<=}8V_XjBt$d2qyY)kjATRgQ@Nd$LN=|l-4&!j@N|(P?3RHQ*B<3@@*rT2G zfb?>jxWH)q?xuw4mKNC*>z!SFX&kQ^-E14Hyg@A3xhr{i2BCrC%p%<`d6O-$UTyC9 zE;~P4wX1E^-H)SzTNP;er8(t-?pwD7es@LlaCv4Qb5L`Z4k*s`x~O)I;))JXeX&e& zePm(ej+yL*W{baQr-+LLG$lJpzzsOhUdY7JL9QF<8$PmGLApJAMNLw_lEX>ZPn z?jN!M-Hfb=nH331S`aNqPaqV^Jsh~!Mhbt4dzsRm4{k~+W^ zL2i>Xh35mQrc_zeA5JNUVSf(UA+xfHpuA^BmgR!!?`f>WYHo=&yzjZVmk zWLhu>Ixw(i{#)r@Oqlu<1wV_L1|p9w*HPsqz&{EbbH(I#YqC}zi8JPC`3Rq#g{o~9 zO)TQuEz4nfE1TFqsYB3TCM4DwG8>+Y7&Y z|LS=l)OM|}z*{rM1Tt5I8Nuo&$^n4 z%${RgG~yy7(WxK0sWC4}x|J$bSq!|dQKCXlf_h~190&RQ;+6`qmo^I?^$UTi`)a9c zO{7AQXjato_<&xQT5&D;;mdCGrLryI%bAKmOZ|kcI$~YMa~d|4xSGF#uk0o}nTE{u zUkbIW$a5}2%MqdWnICO~fX{lrz6DaYe8Jy5KU#f^Sy~`FX{M0`Q)#-uvl*-R=C?eh z-53+{w8^8pmsATw^#hDq>X;Yf)Qbp>kDhiPGG1UXP_%e>B+uwCmJXJfz82dm^WSoDH?I;yqQK9I$*>v4v&u)&7!N$MG#$bk>5jn%@vFV+GtgyU0e0IGi z-Y4;poXUB?S}8-+VUz>TQ$e}tqZqsC`1gmKVb+&iRHF|WoI{L@{r4oM-9-B2#+IAS zWQQfvB+_;b%#shbrDHO4Nooz#LbeV0OP(`hn-MC4y&eNkHy27HLiVwA3$e|!E9zsS z&`6pgBDD0^CpxL*h1b~fQka)y$)lIgJ9AhGcAGUPU8Evv`$qsiOKN37lUZXQ2G^{A zL0Nb>*eo4$uA_RIKjiSQU&G+*ciVXDe^QP@|Jke2Ics#pd_x#Br|hlx$+H|}E-Q_% zqLmyZrlLT#qtT;tpxr?=6@COuhY}=OG)-{;7qG`x5I+{km>48-(2~EHIPiLeHRBHkgo;F(n0ogRGiP=`9$LS&Z zUNH&!Z}na;`{vxOPUJmy{7@~|9;5OLn-35DzJ$^nAb5v!P(SG4g%Q`wn2Dcn=;i@Y z*3ayQ*<`d9M>nLEf`qt6^@3XF$l(k3ymU>t@Y%Awg*|6>{Y1_CE>l!BOZJl2TTGtD z9NLNvIho^Dl72fgq#cjzP~ijDouXu8w&@Mgfrq*i!xD(nvi0OfqTYOJNV(Ec?~dDl z^?r>pK!`dbpSxfh&qwr)&M&Veo%RUQaNW2Q8>4vAD!3gr!>V;k^-}W7ARrC0Cz3Oh zF-F%KgH6oCL`{$MpiucN`ofXLDE6yQ#T5?$huyX4gOCv2sK_H0LCtHy4N1cy5if1y zy_Mb@e{`!X*pjJy7=cJKZ*E5Ah{N#xgn4odPS z6pTX}6Ry7>%wBB~@0+g^N`Y3L+qajlk^4GHcE=6P*rfh9@Mo~POONh6nU0*0C+R|=@6FJN)baz^|k0P1)z^mj|(~*os@bNX?yzvsMcA>ybws!W1))okl(4=>xOjX@1 z=_}o^>#1mpuoETh-9Zy)qHl=}Uc{AcK%*m(m)GYKXUe_lj~r=z8c9xzyE-|xEW@LC zrJUXJzf`8(jx2#)CI+aoTOmcnoDMAaXYlr%*|9KLp|Ckj>VNI9!ESkHd~m{gbg>jj zdRXVVm+KZy9#BE@^#-#d$6e15xhfHPw&~Bo$9@6E+54UJrCC*?@W#1OvAGsIhn#rT zN%Kl-lzU?Co5?qVOX_>}n(F*HZt^=>5DM8Mg(Cy1cq7Xh93c zT!?*RQ5CP16qq7{(gvMWQgJ+CK^gM9TYfMtb7S-(HShy6FVkvwJ}y6Tcfj#!*JCYj zYGN<$YzDedfu>X!8u7JyZE_z=-0T7GRIN>IfS3X~-;WWy2Lz`<%lQ z=+oSOzZFYCUVnM4$YG*&5=hNt~9t{~<{AT#f z@-y!zZTl+z*F!!B2r^ zTOJ$h;vWXuJ8gyOa-lITD2^SGUdAXbyIxXwYPXE4eaMNPNKn(RDo&e^$ORr~xWoQU zIbIsX7NAL`Wx!_jnA1(Vm#5lhHGQ`>(&VG9WGI)d$GhB18~ock5F|8Etp^j1yl0_Z zQp+c4O{nsyXM~UZ>Av~z*qok=^-NO6wRQ7`f_aXsFR?F08QTgCp*W;w>aPt{j4uX$ z3k83_fy!T4Qtx_dW_ImNPK4fBa_WEi-1&!lr~64+=K%udLChJET-Bvh!4uW!tJ9y& zmItHzLYC@Mf3API8IxqnaLDaGVA<Sh}U%U&#oLOsOs{b-B2qxSX%4$Q$HD~{u*Vg8C) z{6B{Ix9DVkSP<=n@<_L-F&aWT`)1) z7pzx|*3!i1uYvszl^h?LYicwWoW+Pn1~tL+cdx!4*tS9xE!9!kns? z`(ZKlVX7fMBQtw3R4*Ji=e*`_R#{>%9o66ZmEVaLK~A^{Wy>Xf@z$#F@|(f0dIK9JpcL({ zuUSB#qVPckUg_8v7TCD%?yKeX@=z@+)Dj92UeyVc3;!REpc<+oR zd?a@#46ZY}C#dCyfHstz?52^lp@({3P|7*{e64JuAg7>;EU>`Ydu}T8)&|E^v&}+tGz?ZV z27Rf#9^bO%ikn)MQ~2s1UuX35W8GOiecEQ}N&Oz&UX~KPo}`6h>JGpj-dGkGrzGgF zjt)>RZCZjV0NpOH{@Q|&^{Mmdo+DB~Ok}7VAtVH7?nAqVFwBzL7Ec7P10!>pmJRs% z+Q~Ny@%$p89_)?S!w`)<q>v$0llseN^C$3i;`;g^?{NZ z7XgM$ANAu#31S#;l)!U918+G%SClp=>vXTTb0_`P#h>Sr@pGwiukOiV^&-P8ILD_1 z?xyJzdv#EGVz0Otb--xth~r=Tzn*FUEDX!y+(rpr)wZy7t_Zs+$gxOb@Rc_O#vWIrsvZF>>;&}% zzR9`9Y0=}l6vQ0%z23&HxlngGsg2~j7S!9lseK!?8KixBj4$ze+j4b?WO=mJTMXs$ z;1EfKOTyqF%ggC!BGyCnLBLbk6SCBWudlKzsGI@s=&Bt$)O_jIum?oof;9Q*Uh(zl zx_e*ssz_xAkg@)uqV&GgFQEW`yJ)wcLKqC8vpl)F=#9EP|6MslSvPE5UU+J{Pkq3< z6ZcepkA(@VQ@Pry^~64-d!p(tt^?L+WPaSq=$;)IA#>r(i~4g*gtCXb#H#Gf_gckJ zuY@X~v6SwC&N^<7+X|h#-icj*vS~fE$jdZzr;Y5s%9S=qXQOMMm<)MxBpC+VAKzEQJb(yO{Z7FwE1BckScK*_ z;UHKt!Q?DGI~-T3-DyzZ;i;;prSUhSsyp<$ezJLEe&|*4*st)0+0LRGTJybqjRaTmL0 zcZ#l3#l=RwO9faGs*7;pKx+jHb2WjEuo&RDxMjejfv`%mqo>!uP?kw z260_b+6c3rVtV{v49*`aN^pGS2PSIo*c+L;El&$G`mS zd<=qmK1MaHF>j=m0XF2OXTHOx^Do0iY0o>2ubC;AAQtLve8VgFO~er|GFW;wwJGpN z^0d$6iqKwf{v<>{<)ZtG5Q-wg;!}5!0}yzjj=8FY8T%Qd9wDPc6yr&sDEkE&F4ieA zyuK0I=?9oqlh~$`978Pe*W(~p_d^=6XRYGr>?60Sn+JpMh9ykO+mB!s_S~_LFL}5) za5Gy)nAaD90`+jATw{sPxGJ!P5%3frxr-hu4pT_BkAuft*G{~GoqyEeGv;n60>VKA z0SDYaz;wBPV7qn{P(jq6eA;)WpcWh>kZF0VVUY>PxifL}6f1huaQrB41yywB6B#VS zH8ly09NF1J{1^+yYor1DVj(*nlXWfZe|5+Gj!uzYuBOs^z%`Qou>Xg`qqntUxqLDX zYOWOlXehT(C`oEPRW0|7&qqM?)tznrlGXg2ANGOU&vT0HwaA`tLF|dT*xGH)EkIg& zE!JPX^UshE^$NeZ!(d5Ich%I%jo`!5OEV89ZFTU4r0|(V$BXiIWBYlC1-43XshmW=;M-W7 zo`-*%J>NYwOx$L4;P)8K4Q>z96Eq7FktiAM2a(;@Ra+!u9#KJ~%p2((ANBU%f|nNz z5Z>u*k82vvm-ylUv*mkar;xJ#>m7CfkW}x?5$VckAI_=Jhjk;85y5KNVDwb#Fkg{{ z_2#4jFD%s}s?#Ngfr0jLT|!_OB-L6q69$qby{5o1XojnG;d3 z(Jyq8ZrUvz`QeOFV#&u;z9TwkRpi-@5Wzh^?Kb3zC&XEBqB-h&jL#{*r_DCiN1s-# z9+cRPMur0LnIM*3QIfwy0xlm`T=TA$WseM{+H0P+(Ra5A^hwo2TVoNjt0CwoyZ)#M zrFXLNzeCIV8JdutaGng?I{}As=7Qx0_F9Q`#IBtu<=R!DBy+T0Nb~2glW5{#DL3_BRCkGN79c^vy7`@&04+D6g{Zdq<6x1Qn?L zoc6!312-q+o7_1%JS|@rh?GAbMxVFm_P~GDO*J6b8?P#Qn;7Dq^5{$X&>6r8v0?qW zcq4OkE$3Bf=Gwk8pM`#_mRqn6^`4oq^J%7Za?-hgt5s(PS{LSIYa8|^`b7y#1xF)C z|CkQqk10X0%w4w=7w>(^M|#xzNJ&GNn*6wV2Q4wJ zj~3d@j}QJzaZ|h!N|N|c!CJr-B;eRhtH^|N!-aKn=}3qc(%zVh-8Z!RgVGJ=bHMrT zK`H7}hrJKLEP)}z@$L*&#Gzx?|Neex`L88x+EOI+ds^YIehet$FwnWd_)EnvX&uxg zZTuiaUo;hRUfC->)T zGY#H9$#YU$pfX?Q!2Lu!KLEpjAcOc=_48G86#0@yOz7J>D&(+Z*H>pcjN(qDQfim0 z0`!_|=B3m>!ITR^%d)#w<**U=GY@K>xWt8(TDL3v-3QfjFBhk!iUlK!Kd?LDLBLEr{0AqXOHl3`5fx z_X(Q7YVBB>#%P~n^Cb1|ad5Pvw4Yja2|q;K?QYt_gS1HIk|w!)5e?x(tI$JFn(e0t z&^?W3atIM>GVC+PjwW#1zV^dnp^K*92@ z=w|-gP~aVr^aXysl6BDOy=*U6=Jmsda1Jyd0zVOlwZVV14Ai%h?LQJ+|8xuDv~yRC z*+zd|v?$CfCeeRv86B4on{i|CIX+TC?#1&?&)o5$%oON)$hXJ7Q*bkZ$={xXP({Oe zEl{zD7ZxsY2$wwF<+vFTGIYo{$Q#)G<4VZ(4FT~$8h0aj)TNf|M#Wc> z*aLEPia+9a%sD5o06Z7*ikuaE#B1$2Kecg<(#_EHsvYvDPf9VIxz_3rq8TN@0G)5q zjws|h)6IE+kKP%IB%c`D&w?Wr^k{xzndak&_Kk%PJ5#|k{`JK%Rn1mZ4L@+%lnpWu zUbmj8kMfA3p3q7r_xvZEX*S}BFf7X$r^xD~g3Jp4E@X5Sq9Pwh5~5$b=MpuI%V=6C z`D#!aW)SrniASR1PNty zptY&syRz*`t1sw3y zbW~i}@fC{+dtgFH%qyr+in6nF399?%u_9W`!qElAj5>>I*ueD!54Fkt@2K{CQZT2@%J`Mp4eE(?W_;B}?i7b^`6|-e zayUe3K;zzn2{h$AeWMkzUQ3(x{{`v#1%D%dzm&e%LahGJd*|6}I8`+m|JO~Vv6tCoCKcf=v>BSXBKI0Pyf!?P!d>HT2+oWM48!i=}4`v?pXmkbyrcUhno`a4#Q%)Vs;*lXyi z#NnQu)bA;dp21K2R=y+}7H=CZ#2+ZtcH^SS;oO zb80aA4zqRw1Uaxv58tx&WVFcb1fYc1cl#LLN(^!LA9mV&J{D&ax*hk+%N%m@> z^ZZ1+^D#6WNhf&d3Ne&hv^AhuTBm_64*sZ8DUKwADKb0XiI~2y7VKe2JlCcwp=w%5 zinTFeSL`Q$=@P6(50K*XWaRfs!hb-1Ra79*?;cA);G) zdt>hM;DYD__8lqhgFB4Vreq_0j~z?pD$wD4wn^;7T{&e z*q=OG-rAb>D@?Y$Lqn*g!{7b)=xSv)CW3C3y1f1|AJPd=k{WEtv z^MKRzu<)g=b-cbyF&6VrP6=YH@-1&8lMk-AE-l0ldF}tZ3Q%~l#+6MxwP1t(EAN#b zHPXdhnkbM6cJ4* z{G6EH8jz~s4P32CVxvBH_+=>iI^*g_xawYzsMKZff0YZdBI1~botzTUs<<)HloL~M zzV1P8A~$>BNBibWV|CqoA9l2){+E=dF@wKg!Xn^-cN>h*b^^;gUFqvPvZ%o0>Gq!E zE>X?|w5zuLtWQmpN}mw_D>->I?h+@7ll?%~)4KjAATE4xvE5BH9e=7Lt9QZnUjNY3 z?!al5aB4?GoOci&C%OnPvPY=Vg8%d;9kjgWT07S?WJ0{v#w$TRK5G?&@oSrq49KvD zUCg|J`EU}evAe9~OTR`b{b+6S--v{%u+1cg>@`{)U-ZzLaAcLgvZ@)sFnH&(*U1ul zKUmoZNZ4T(H4)iykFR)$It;N*JYIs`>7%{4m5aT1bQQDBPJ^{gTnlKnuat|FMYGji zS6D*X#F=j4xvp?e$JTY$K# zMP+vEYKC$1#pe6%GRD%qpFWK)X+KD#N0}|e;cj6xI=y&2xE;`J%Pt;nqNXk$@C2Ws zw1y81qe>nDV|uWu_V!WH_KxQw%H^F@RT0zC^Dx^?4D{M`UFQyaq~+v0zZ~ZVewOFJ zGq|Js=#pUmDkqOJoLj-O-Z&vLF%`<0TEW+?1F6^%DK$`PT4+iD|98B(wf)SEa-yV= ze?WF!sZ!uv4%RDhd^6r4Z`QTwCCZ{O82WJ`??9*22v;XidP(fUB6w#?-`3?V>H<;N z?7Kr~wiCIs&EY1H`|_X+Js~Bpa(DwEd`_~mv3FrP2Zp%re3ux8hRYbShlD$_UG{Be zX;&c_exi6cOZLtO-?wnaIvQlk&c*?g$1@Hnk~#N%vGxVc7|RA3sKtzcl1^Tb4p;`wy0mV1-{U)g*2gDr<^WZxjP!2TQFg zy)CMnwvI+S_iDg9#yjepuR#?)`LdgG_teofEYQ}CZ_``ggm9d$hj7IBJ0UsD&U^1+ zf#jHbe9^Pes(svyQzB03VgX!7c{cg#xuh5ySf0o*39po(D$DhnG?n}~%}VUubh?qn zX^)tz`j3D+mC^+5*Y^W5lH`+>^I)YYLKQhZ;ws4;7}6lA=)W4Kp;d3@F*c7R+<#aS zB|E*zEiu@<(^k0{If20=BfD=}>^M=M@RvBD;C`-H{)zJNm!8uK0Ig6+0GRE!qFH)r zY5QA&de@GWo=&7J>wT`wwsi(C9c5s-HJGP3!_LpRz&@7$7y~SAS_>u#ilJ)58?IQh zLv%j3WTNdhI8HIsB-#ILPy5GdthYw6quqL-tm8@Q%N7ybAjcMPp+n?0#;5ZaH4FZ< zJvb!y5_^Ee=FepScDw3k?&QBHGsmc~^p0->>!h-_pk)7u!D!vdrpXG9C0`(oKoVi_ znM+L+bU^z(j3&g|n&&_h2&xoW46z}nYz;&@AD+fRsmigJdoRaq z-*I;>=aYosJ1lCc7KJ6Dwq1+i^cBiWs`>y9i~3^bhoU1tIjC?X6p$T)*5ZxW7L}T8`No^wk%l6~KIn z@HF)JX5V}<^M4y#bYRziR06CwHumY2n(04RUdffvDS8&9&{z4pXGag7wFTTr#a0Kd zUf6H0**X=(L>Fj1)4K7y>9iG2bW^?(lk{;^L!WPl50Yko(pVAxpZ*<;GEH1HMLYBh z#P4ZWB7^_T>huq7Lt-~SmaZ^u2fVro3*2-3zPNpz9>3_b=6<6!^bm+!I98A9|EtlK za|0#5FsRhOn>LsWq?6bQQ{rb)?b)SSH`#jqZ&f8-2w(*`1B0Eg|ao?$}_ zHU(!Rg4L(PZpdBY3~=mxJIHQxF+DB;R5_xCz2elSp_@`+bcXo%w9WRxQ?Gi%*tx@7 zp`?I={6ps=x#b)D_$SWxRVOSLbvYk_L!Z{1rDl08g(oH3&4J^8J4j=2qA3g`D%c+9 zH}QrTyxyNvZ(E?$#gYKfS0XW)1jlpKPNotKlZPEP{oc)wrVV4;j@DOg>_twm!vDQP z^aZh*^)S4q0hT6v8xJ+VeXU>awfC)yZ*7K8%Hclcjy3DLiH%^+{o zlvGFrIMTlXd&m-Fvioa45pPlI39#n7{zC_~wtF;-N}1OxNNK_iweF62AzZHZqI2NI zI3!fDH*J(z-Z|qjTgYep!+$FfJ=_EB|6H7`{=LiA^~_{RA7o~CdELMZvmAMTHAx{#_*;#XKsI8_W>l7o4kiEBSoKRRn!Xgg8pUU2viNw%A&=^ zr^uABGtS?Prc+5~WH05KilK)QVU9^*EA_Zgnn*8tKsDp09aX|LK<>zOs-~;g0)h)m zeUef!Rm5|M{`%OxbQsFH_VT5YV1qN_&gwJ&)N!uIfSfl()x+}TScIs;RG?L0!N7UH zt>*gXs?e8Xy;^}UD};_9rIK#(?{W>YpkE#Z9(@@1ZEo5UzS+}N6@=tj^RL{0)=`(Z z%hJLGYd^3qV~7!Cn4Y8l*@BZU_`?QnhlkWGw1|9XL4m)=P$ZKTE2~1n&aP2Qen4v~ zw%W|q04`?61bHZq@_sw#ubS1Zv3#MS@OI%hvf!aMbWj!aBIfYM=kVHjkZ2f9M|<N}>EJGhZoso#-tGp5JF&qvGVkx!B3h9ttj$TBMvgH*a%T_)mq{*_Y}7?ga@ zan2f)fX0P-iS2E4Q{S@C3O7!%yG)&;N7xs0Q>w)-ZCr7PdDO5FYl?$z>#-76O>w}_ zqWzrSoo}JH5>*cJ0d`vG&oDJ8EBwx$R>in3Co`UF$EpFdcr)nxi$PPiu)!v+P?LyU zC33Vd7vlmvKW!J~yu-JvUGinY{FqX`Gle+fTVJTs`fxO{au8Hnp;8|vxc+$@cBQ-b zz^;aj@xq(qJw3VXiTNIhVeymGqQHV5Xrn4JY-#{@svvYSms(Ia!7eJe(dbk;=F!=Z z6kmq48l>La_f{2H<6SDr0Ry&EBORDk zi>*$z<4Ke|cJtAj?Sm=MVg&!+bWZ+*Ru(o?XYD!VWi>2RjEccWOt7B&X5{Sws73d zh1sU7z0viDK^6rRaH0vo zFLcoIWmUjaIEbeuHMjSEWQUXIevQV5KL7R2u-fn3K$-f5Tq$6fw}w`yZ#PUaJk0v6 z267U&nGcO$9;&~K7u#sOwM!L|MEp8>YT~u?CS(MtZn-CP&o;4^Vmge(N!xv0Mdgcq z|99u$Nl#j`TsilL=p%GO=<3o|3+}*h2fu>CjRNClZ{b<9kBQ;C`(Y$_?h2cUymdvV zUiKze)5?NkF7N@~eJh_sCoKfVl`Rz!mSL7X-F(9DC-JZ)o4ic(o}47wPR$aG#;P7^ z?_@aB_6>meqvh@EJCR*Gkt@)~p|dFEE;)sxI@Kfn|BX2Q*N=ZDxJt46hSEE^R)x*r z{vH*rf7(4{R<^DV1FaA`cAv+Ff~&m**A1%4jsUCHfL6Q&mvdjqir6@#;Kjs`;bNGl zjZAOW(y+M=D3O{-{nNq{I;^GrRz1FErdK(sTF0Gs( zhVpz#-mCiriH%Vy?F>31>F92fF2&VfU)ZlVSi(A=ATSVuI_mLjB*SLj^FN9Vop&(^ z5c+o_c09iiO1YFoX4Oa3xoBpIZ62(vmw`zlc(WSlc_0d6TvGTNY2yiiA-om)0fUp;Lb8_-YgoVTiD%5w0GRJ4b4%v`Np8iaCH0s`}t#f3Q2vM*$*Ib@%=DNK+v z)jLg5*mWa#`T@J=BL7_>dE6hVl|nxpRV<;AVL)X^JlL;jWP<7$HC^uA&HKE zpG74Y{ZYsHdgFyQ%43XT24@xbcpQ?eKFl2kzUxpA{P1#`Ia&!KT#_c*1t@aGC~*4l zU1lc?o{6-kdGpiWHsY^!-QT;#lsP2IMZ2niF%f}9^KbYB_J0VGp1;T9P0UqUl_24W zI_YAQpc6pe&B2AWj;o4t7L2_R*KoWGR4?S15I;v`>v_Crlf6NG+9oSrmt>!rJ|C+o zTYVvTzfLNk@P7!4t^5h*_1Ru%{2}=bYrRZ|%^i$$r*HQ5rFXPu(F9&Qe4taXqosk1Qcs%B=ZY>Z7rnGTWj|7FQ14}DhY8EYePl8fJ9 zt>gZ`7zO5jTfp@I1%=@SbqALVb|NlnND;53`h5ke2nP5VA@Z2`r0v6Xs%abYAu;v> zQQX0`VC+YlzE#n`K2KKaK~wGZMTy1hU6%IA1+7LcS6ciM6t4KWh0+B(=%9Wf$jl3O zWcCd_=Pbx^0W`W3WP9$o$W7Fin|$pdCHX7#D?a>idEw8HAu+O~Q{HU@l4sa6{rCnx?p;$htaX*WVFgI=JyFMN8 zKa?f?xj5oygr|wodX;3=Lv|JsqG#uSELQI@+?O}9JAWgT*D-yU>7UkfW&M0Kxq&o0zyxPF`Y=L(Jvs+ux6CS(N`+3iYzE z!v!%%5%3sH+F44qAo7`gqvuAQw-*ZF%+!6Pzjlur&XR?!Rb_Ec^>f}D_u%?2w{rXt zc2SOa@s^5XjJMSUMPGMVbbFcPkp!Gq6|1`5ddLoncbqsuazvxYc6hH*Sy=1ORmI$? z#Ga*#ci<#22C+DCjB4la$MWbi?r&y4-n#7N;sCU>OgILA_-Rh?@qk8ybt(bfI1xkk zhxWFycqNk1{5-sdcrpE0;+T)P6(ZfLh?2&%bmm;@$GW{Pirf%u3obr5!rg=nnbb*q@VrD$M0h z=L^&@hx7^!vaBJ|B%NIrI`u~aCS|VtL_n%Y)3nk=emXdUq)U`;(=zOb<}_r$fiID1h89^xpU-0B=BDdU)l5ZV z+%A{@LMl+d|Leh=IT3LSkV=vK;AEAd7^N_KyRD1c{Y3*v3BhtL3HTBVxruq*S;S@r zwbALTmtB~->I0Ja-vqtXY$k7~`@_s`=dZtmVTY zf2HxpiSOm}N03=ya=7r>YrV8zg0RrApP{o0g>~dEkG{-8oHdfY@OiVW%F}sd)aoh* zLuzsbjX-5b<@|o8{FnA-AKj~2II_RSK1aBn?e1UqaqU~lMY_~kK_}{AivMkHn>4{k z0Zp3Y!M(-l-2%^$|9ee_-d~%CowN8^%vR^r63Yy9zg_f#Mv1l#?EvAT6{}e6$BpIC zK+u>my(6h*WVsbR4T67tqtTOAjnf*h=>B1HMVl#-Rh+qSm`=gZc5Uou;09aW$%5{@ zYfxID3y9JWlq$hQaHT)7?S*~4nZ2mjV^#v@bp&ppVSzc*_@ursp(wlaq$QF{s*`XF z(TWjtUq7MIzkRp+g41!E^<~fswn~{Vq0!Abz}fr#1ksn1F!IIRr>n$rdc969OJ zuuU!TK2MRan`d;WQs-$ecreh|mqACDNyW2boy^OyQ+a^;GSUcRwS=K8)<-c5mC(0= zyJ-Y-e4gntoDgXVwC9;_7^IKoaZOMV?3OCVcly@{Ygm-A1FrF)VcKWvyWH1BZQhLk zbWpg+u)j1p7KR5I#VSj-l0?{n_vHF2o%gwZUkn5CBoA-GjiTkQS2E7hWvhl*m+5lI zo0wJh`U-ozBvm^iTZeeJ&G@RBDZp#v5|JC2qCO_Rq6y=;+_XE^WircAd#kPkZ8En0 z0_=$qh^bRTemQ*MMBqH&c#NtXi_YeKqe;EpKVu;OXN3vJ?&p@9ty)Z2e^ccmUT91d z_%SN!oEJQaG(9SH_cnTp+8mhySRwenL#I^om9|j0**f@H2!{P{p!6^oKvBe-(7ugK z5S%s<@4VK-IL{N1ex|-fzxJ)hg^dVMEwO+5sdTiSh4YZgFel0pmi1Tlw5lL1;bR3k zG2!=jzvPiK@S@C>h$U%v6i7isnugcKP9Zz9}y3Z~Z+o+k76#%w7HUh?Q3d_6wWHr!{$XHlK&!4XQOPyIvn0G($Rx8+ zp}gN?LEO1P=RnKqu>?FauIF%>@Pb}{f}rI}CBnbg?q_%X1{wfstw=C=Nj<{B4GH@a`=JPjI>Q(qJnv^zNLMam*t55n)PkZaxub{v@*avd-Gu^+hP z_-Zfa58WaQGj>b;2@oh~DZitKEpbzOPk_R)*;H(ibewegsC$w)1*!?f|JXN6`tV>Z zc$dG+_rM*YMzBMGzW+Gjx2jUijR)!pg~ z4##jJDrPELX?b|4+d_`!|HpPQan~ZL@4G0HPit_=#O?R6lfmfgPxe;l81I`$PYRbV z*kr{-gavpt4m*Lj`}876`qC?Dqu1~8Wr;B%1#bZdI%uF6l1xC>^I}BI&e{9q7(DXH z>Aq`+%}xZhetsUoRQI-Q=#YjZdOz&TA%p z+~fx2y1BlP=7zxZlaUy&$M}=Bkd+#~&&QmVcJ)?SC&0~D2J=Z}k{oNm?W&54x>>%` zz*8a}-^SkhfGZteT^DbkCO^FqVe_DLEvMGxK?8-~3)&WTkN7--k#0VYbMJsip4;7Q zMkA$RRVG!er`N#K&C{i>f87LYK*!L0H&V8r4t+W)g8ckK#^-0%iY#>r6jC^GtJ?Cd z&A)5Wen!*06uT9Dt*||fS6QZC$j<_SrcMjfL>!zIdBKvotd(UWvr@b>{-Lb>(39oX ziZ%tBRfO#FiP*O5u%x|}LAqGn;KBbF?kXBg-)8K5{uX$UjXE(%2mWifY2DdD+G}G8 ziX$E0JkLDGGxvR8 z_j%sudEM#Y;}o&t+P%Zxm^LH`rqy;dTn zM+H@kM>Q~+fxd^23cTZ5^m832XF$*LzCTXwQ4i5VENJ#$pEp$eB~XGVOK#je9uizx($}dF>~eHvD^R?nW)g!U5~`s{=GKrzfWgCT0ZURxu7yefuoe8 zdYLVM*a{{|$aG(;agCL0_w0ez+P97om;$(OsM;uK{e8y2cGMFhs7VEIQNq+65k;#}xmHm~^VlFb+ zS+RsXv8)0}Sf-P;)ekHZ#ech67xeh*AbyKnrsU$a!0RGZ+o-e~TWC1S61n|YihbPj zz<(z$A0l{|W-e`C8`paMZ=lsFMd<52l#dF>_N&TuJ~|{E znE`H@`@Al5aP>WbcaL=0G`(3Pt|?;pI-}oc#vn;`vlTd4&755AyU{6ck8;um z8trGU9V6c#HQk=z8{F~zyuo^uau20cc{y^lzg20s(ZMcYuhGW-_-(|s7X{D$EuHwH zcJ83D=>@>~_kjf;%(#`K+Q7$uqrPU-R>|>;ifW}TsJHd&F&U$7RCh|b33ZKD1)6o> z_e`#9N(ckKNtXo{eXEH|@r=`gEKZ0_*}>>h6_N92kn2_L#4h6MA64&K1$OEM%DHoG z6S~ACWh}Yi^}DD{v-XImCQNc+$L3hY=fL_3n|kjO$kcy+_y@cQFF-jrEvUN)AaXVx z(_8jd9(hvKS78;%+bywmSJzR?=YEd}WGdDwA>c>Uy~0WF&lb7InwWU@K$}s)?F+kS z+fHC&8F&jEB|dD}+RWiFDoaW>Mx0S*w%JgNk-yPlwQb?|&E_CX4_4tIfIU>201UWEfsv0T4;C0m<@@c^iu$#Mj-=f#l?Ba|%)+k+p((#WCx>$b zwzV=%DLJ4R^f^nPloMa#X^&D@170zH*n%o%LA8_Agw^Pb%5JdQgh+LtT8*oDBaTQ( zKg_vK?NzoCAHE|Zh#zx5766iWZ4+Ei3ArKU?`C0eZ0NAH zl4sGeY(wd7zp=3{+_S8;Uf3`~^3#wD#XIqqgnQ?*W#>_qp9i~8%*n-v(ID^7Nbbpd z&5^X=S{`G9EY+Bp6 zrP8QNQ5xH%tNg4&O(B#MAyS9f&XIE}<1U?iE;>iC1!nuaT7O@NRNkW5$O7}+NglVo zXDLbYn{j}sz=0Vym77xX>|7T}Kk)6*-7ZfQY0d~38hN3GZC0#~AiQPlC&E{Hxs4BW z6|@LTx3Y)|Xuq*_+a&c@xHq^S&Zh}mr7IaM{-D|=_?=_aw@=9}JC^B!M zeY#~Xd4)LpTUi=tLDIpFIHOmaLc+7DPV4jULAR$wSP6)f#vj&aA08*{(d+8CuWZr6 zfLDq&M3;?dQ}!7NLPt_W?GM&v{0Y6+ihz*??^s0cdp)c$HznZ>2jO~6P}=cr&Az-~ z>xQwtwhyg(#n}NLU4TO`*sD=l!W%8e9vgKZLlT{940zo7WTRejb%qTrU|_UqHR7oA z^0#NCf2uy#1*{PY+n!uok=)bDx$l(}lN@1W{(FVWJ_gvrSVfUmYzJCXUpZci0W{xj z$L;w?B*$FY3r7-*HEF~fgvJOXCq>)wT`#n^e_0jA+g}tUe`Tpnw_P3CnA-3~j=a0W z`*UI4K^3Juz`vfZ{SJ0GrExmUF~XFo_B|!+kg1B?@MmyyJ)%NW%sQ7xBY5O2$24#K z1ntPWdHKX4f7FLX9ySf7F2NLEp#2s1qir7w?O2CThmRV{yCZT~eVxP3x8jrD-p=%s z>st?|V!ugZbi-Rrp%}rbBsH3(ty8d|U(Bifs)AFoaZAQb;NE54f(7<6R&NCCyUbBX|OT!F8 z9}Yu3S1``^=Bq#YCQn7ewBYTqHH>i&)NVawhwred9T{?gN&R29{paC%5C(aeM#sAz zS4;O!?z{s61W(TaKh-X@eZ;pSg*sGi1jB^bTR30!(R5R6#2bO30aCK;bXF{bHi=k> z1&5(Dl?m-VbM~x2#+Araej1NlN?0Sh4cyLHYrhtE82p`e^LP|~U8eR}lp@ZY^ zAm1d*cOdJ*!tJR?^SE6G4+nK}arI`uXR^*dQE)V9hD(rm{c^hTK{3NKwR8{uBV#bmPY%@GdvGy zPw=ol?o~&V2sz#9EGHvd2Y2UR_PXets!yGBUA=Epv7{m84{^oo#yE#wYmmoOgx&%Vw;Ng2MavF@qnvLan>E@MS>d`v=uGR z$x%2s&nfEs7>b3a(zQALFo%=&DTa2c?k|Cb76Du2S$)6R#d2JF`4D2hzVB<2^I=A9 za^F{m)_A?@y{}%DT|w7#L3p0WEB<)^*LSqK{X-y{U1jDdK1^`C#`eYmKIilgUp)pz zX9*W@AmN#*!S4T&V`&|d!RfOk)wizD-&}hSl<647Dkaxt5=^!}>9&Ti=r|^gd1>*()iLY9o!x84V&ph6C$|tMlnc4#CG|yOesG zVrkvPJVKdKe>czFl?}rjh^_UEwU;b#Icf7o<05JBLzW}bY-7(+A)9h_Jp+bTI(1R0 z=d)O^D_{NubHr<7;NH;sd(1_cZtZYlm0ABNKgHzZ%YD)vHmG`+F{hWIv*PI{xw=1T zbGy&V6it@qdYY{s31V)iPTs_-vn%R%K9fng207a#U1uReXirI&|2NlCRo>15Uik=1 z``uktOrK2a;~pxJXg9oxm0^!3@9l)qs&Bf6y>@MR)QRtH24*q^DG%77s$Eq-cDG65 z5w~R>yT^6lKk~mHpRl#AEl-rbg}#ZThuogqZT^^uzlkpG{?R2!xa16D3%*zEL7wJm9f^ji=Q+kuFWpOjN?i5hWpSUQ#okXA5P9KfzOMsA^q@h9UntLi|zLX3J4fRd-LgOsD;I>&a|> zhs=syQGIM)mf)1<*hmLo#3fae+O7nU;cY~+JrQtoOkZ^P;77V(dkP#IcG&a$-0wf% zVj@(#=(e>jiR$9$&TfaxUK-AZ27>b0eVmtkF2+3;3}=C@7SBD(eDBg2F$UpYuHGMPv_V(}A^h}rx?N)@E6N7B?!#2WneVwrj*I_PZ?!;Fi za*T-{BJDUm1agzEa{ah9BYvu40b!qDf8*|KG@8*hb#PuC8u~b+4h?M_D;RHxivYK% z{oAs(^E-@fec89Db21LgM*eIF}EVbUZXr9-{HfGOlUZMl0@P znvD9S*DFW2%+2%J+kN)K^Qv8|o#G;EH=?a~3z4-q>R6d=6VY^Vl@U&(!%{35;V~xY47V8GIESpe+9&!POlNTGk8uFi*&{k`p|DHZD|uWb@niR4N7+ zkXRY$n`hXXSH#&0MNV>;M7;-x*`1)nRZFu^9CbJRBea}o9y-3q2RnKW}4G}}sc&T4tNvV{oMO|rl$ZxHa^z530Y z-BTBaw(8QHDDqed@T}oCiTR3M%a6?=R_UuE&R0;mQ7|swX+4fBho_KGzfqUg*n++Dh1Fk(tn%hj+U z$`*V_E*tt0k!ceesWmTv*K*u18siH*MV{}Dl7hS`Jt3}5dmMc7FNtwFSmnP=hBkAw z%!KTw7Aj)k_q&5QX~j}rT~;WM6}7%u=yxC+RCLp-F;$DMhXn%ayMr`Ga#$ev(i0*Y z`s7%Xed!R!tuju5rf~$?4^x=iZn&fpG47Psml>KH>_1T;eU}j@gF3fS0x9m2sblom z+*yHedxQBj$iHPLc)29sL9E#3X@b!Xxs@Hr#hqQrZn&g|7 zBOwfE7V+4?U)RFGR~YdmFTkuc)e27?qd$O8jScWx$p1jDtaCw5l%KdGpNzvK^DTr> z)w)PRUaD4$nx!GPsrDL2#>5@vA+ofv@o^^c>VWGuE!?5@Zrw*->c|M>MLDB{tdSv# z6z%;FWBqDz?#GbZ1`^eZ!)BZ_En}}jtBk@oPf;X7_PpgwJ$HuFcv7S2b#GZCzar;|=U)!N< zyCfRyhm{F}?eqMTjwZ&``AzBNNUlhh?10$aRByEAQhrOi?(h7T_g4N**{Np!O*RJp zP1Crb9ZRHT81ngL#r-p$LVI3w?Hev%X((q+JA>pINc@)*k^qOx{gR_4%5b+pxOl7s zYTu^t?amCB)4iP;=HKRznF9nGB--^a`H1y;_iFwOYUa7w&XSdXkn>BmpouH&UM5w7 zj0`-U%XY|(Crdg$bBF&tVijU6SpGOS&E}QFEB^-1NN3KfXB9r6tHJh0xMeUs9W4mX zGxU<}K#De{0#0rhX(>*um?hPLkT24oBC=(jo4>^4jW0aaiTM0W%8}Qw-G9wBH+mE9 zH;p7l31pou;Q(6_l6Th5csX?V)iAFNsWS7uCFz>QDFO1ON+>^tb7ccr^#Xm_lFXPm z29ojC#p!l{%d{T%L(YDI;G|vZQ}tkHgRgg4Y-$$(>3&G7+5Yk?ObcD9;eFH`@pF_m zvy@W*qqY9L_M%`RD9hd;HRl=R3>+NkZwo@J!aUz9BQy9ytL^$L-&wmsA`+|0U)bMp$PTG}t7Lr_LE41i@0Z zp$DJh_|GU`esyc>g;Kz&)@&rtnwVwKDU1H1^s+>$cXd()wwKk?X=B5ke0v0=vw{Zt z>w4GYy)-%ZW*3UmGcVIRjAl4??Y4E4bXfq7(%m~TvUrJ-9_`uhK&6M#TZ zphN5EHvf1hPfl8u)7Ax;acxi{UH8AvcK19!fk+{@;4Z>r;$d1vV|hi8|E5HT zOk~gY8LCIxn|nWC_c58uSBsG#tL{KkISAkJam+w(j*)@C9ukHzr2SJ)s zCqHw$T5tc$pnGx?Zxrygr``*7-`8-z9^YATm0raq^nIb|Z?qk$a>gutiY`dJ>SE&- z`AqwK?0^0y_wV#8+UL)uYcKX$<7;@LQYHi0G<(NL;%9*BLO}hnrFWw5_TiPs;r|{= zS>3c3yx=9`OgY9|{t9q>K@sQBhqV zGF{zVhhG8qk}vw?+G?WeCzGki_>j)TMhNJADIq@w9)M2b$td9Q{j-;w4C0gx~y zC=+%YbXkN7@pPULl|x@y;P4-v`e|Rhbin zKts6G6iXY~yWErh;1ry<&X6%GiyF5UeX34}u-0XWU_srMJh*20;D2O%b7D=wa><;+hPzO}kfF|hbWQGF#W1^j=If~r|3e#p;)T7AQxSjh=Z zB3l^>+TKpB0Cr_Q_`z=5S^Px_FW2pN7kJjA8F&AiJ2Jz3+roh743S_mXRoz?cVD9P zy_I-uo59z)=Bvw21|{m}JGq%)V%=6yVvtW@&71u7@1K8q{K7wrxb3I|0v&f~gQdIt zG@;y-CT5#-V#W(279c@w;o5pE=s&dtY z^0|*_|4W41&hwYN%$z%{@S1uKm|@JF{Q;yL=TQ#iuzbf!%Q+9-#lJJKRyHnpy~f<5 zPno^Ls>5pZFHns*FZ~iHVerx4lgW+7t6}8K1%&J>{`11B!@eUWKH{F_h+hduCtJY~d z-#Hcb86#i*<$OD(-un02y&V2P#Vjl5t6NAwpn*}f(;GHBH(ljogY&JK>l0w`9D#2@ z+lpjOoM)~R`2qU;8)G@u8?^!~IS;+uIz%sFENYVzL3XtTmoVD30SsmVJ>5;dh?8&3valQv^q36&c2_UW7iA0e8ZQ$F* z>xApz4q37B!MhAU)#bxW^b*_E6Sx@DY}^B?vjB{~h5ik2MS;bo?>l$~4yzn8KW?2p zD665?XdkdR#T zMEYOrJB~RvdnY?WXL$M%zeww60Kqei7zjZ3JZp2&4F84uS!gI3V!Sa|Dk9$3m3pJ} z%HUOmqIjtL0u?Kl*>hECtr;G8>xg@Zph`8(=ktxit=G7WiA2=Abj z^ihNg`OWEYKKiW+vbsf{av@v@?pEWGU#y_(s2wp7I@Z?~@+$Wznt`2$FL|*6&ZI=| zeUX_sp$~pUmu5W{+c(zoG(XLu=J4R00>zN%mAZRf_f$}m0V`_iJ=l>)podLvf~{rW zIqH^6rQkBWZ7-tyA3zv+2aGb`q32#l;SPg0g^+yLQKzckQk(a3M}K>#!wmaF?TRz6PzX)0V;qSzN`CcIx zqU(QhQW>wx-VYL1Ws}Hg?JNKG7m){wwkd3Y(9q!3J@qpHP%vg2!GVY`1ntvSNGzRw zw@cq{Cd->^#QELBcJBNbUkHt;UHb=TVk`Vl6ZbV|=tWzr+UBh`Dh#Q$Zu@AW5M)q! z)^S#+_1pC<(#l^Ih2JuBL?YS_jDJB~SQjS`Qi2jbe|QE<0M3WfvPC9+@^!NXm-(Am8V~|5MRKh_*MfiFQY&FJ?j`t6 zded?pwAxV}7-~LS2Me_V0jRP_Goj(w&BtL48{s+fb|o~o>i5lf zCEuH&w?a=JSV+1}F>|mm!d3Orq{FCXMTwc5CHRl@r6@%6JjWgKg)PqQWv$}`nrz>1dQm``qAl$R zD|o2EsLdl7r4(R2$P_()E~?-TZVwd@np-p}ut=KiL!qypByekB23YcS1jzLO>tEVI z3s(-NcVFRO3|_YHxuVG3!1_|{B)7u=~_T7S?Ltv*_4Iv}%R=&j7#efetTaQBlz$m#-yJ2k33|PmC%s5^ZdPR>H3$lE;%3elB~CkvuN+ zwwp8bb}#v=^l?}9eFMru(!15u7XMPLbr=@gWb|a(9JdishVK%=lR>Q23M9^oqG!6x z^uulMwAUCm*T`c_!T5(b%Z{n*!JnP?vxBew^;^-2Pbz_G$)jNQF|!vnTVqCD{gxGy z$P3zP$7MlPz*~y3I0@xB{;O3*KyCQ(&HRZ)i%i$dReaEP{XVorBp=d)cxlsSe2A$_ zVYR>7H2E~|YO7f7RN%H+Jgk4?H7+vpl+eL`WXUQ%pxu~VuUQ|Ae>6l1zD8hne#}rK zb~~B=*=@Sf6mEvH%Y@lv8j4^|*cEMR1rqGV(No>PUv^qy#Xt5l^xOo@vUWJ3N_4Ne z+(cal!tkvUDlSnpdfTl#@dJLG496=b5EJ}kQeaXiqE{DNL z`IoL6%OE57_;W=PE%GdExO`4!72xXvb=0vC+A}sNe-~ZrHX>i88YC z7Im|_!0gMBFNYj9`I3*u_-}frr!Wxof?&P&2l82c&4uQ1ynlLA?Q(%nj~~jA&)dK# zY@9gUV%h24;Wv|7u|F~*{R`g6wCo_W8D@v~wV(v4*03CBSD9~E5$B?V2hmY$2o!q$ zmyrLnoq$Ot`_jrbDZB#5vckYf1xI_bf8}!=LL{|?mrw(4hniqTbTmdj1N=2<P`?SASe!I*!dqs!2o7YYu_eVTNRzFwZL`*cei`STsVdou8Vp9ORRo?8pztw>J@{A(sB1mi z0#HaQT65i$?Xxb@p<;a>b}S4 z$>YS?CF$?-*qe9#sNcwLjs-1do!;HM4j*>cT*DcAfDhGd-SjDGVuzmCuwFdS&6s`Q zEu61sacq$TF1O;U_5kUkoUz>1ZtUM9-Zdz^3~wDB!E=|NUTdqYSNFOs>{jxx?N?y1 zwnDjE>Sc)t+N}cjjsaawW{w(b$e4rQWG{{k)^`@00B7l2?2$Q_3CgNV_k+2x!kH`y zr}8&IR$^Nx<@A-Z-#jHsgBS;22;RBg-Gj)giWc7BYTbm-WkYt6GjX zGMEcz9h=i-xLa`stwtBUPRdbYqXG7VH-D~fG&iZgfAqsgx>=@_gN^LzY_T|%;fMH| zK(lpT7QqHOFH77WURH>+)#;oy^fK-sTx+~*H@!rcw> zvV1M8KE?}P^>p2cu82QMY=W#_>ulg4g9U~Wof=j z85@bRYIBzvEUH*CFQn)E+ELtII~v+pQqFmv%^jojs4| z`qe9;e}rEEFHsH;KU3z(yN2PlKMRqeouZtt&P9%HMBZC@Y9K6v8kKb+x*Eui$?PSJ zb$Q>)vpXiNEBm9LgO9HP@mMR5FLXWv@vhE+hhzlMCF-(%X8c|C(Zf2*c%()%Tu33i z_GdR%q~>e9vFMu2###Ao&1%JWQ!R3fD0G&i@UG;11Xb$OTYS@nKDrfiPPsFlE}JX# z(vGrM{Zz6d?V?4*%vE*HVjhaqlPZ*DmH6iH9ltph{KK zD$mBYN82J`NeQTQ+KrSYX39m~L-sHVv!@3lHSFj*Af8CP%-_>1h^ej-S=G`9;V!s~ zTUF}LQ8I4^nEEQ#COCES57a6oatRj$BCK=Ev&>5vlePwYZT{Qhfw?*Sx_Fbbo{*$M z(u9uBFIBf&`%w$(gs6A!+w}W++d}r(^KZ5Ttn(LJ?!6F{ zL~1AroCvj=u^LKc`{S^n*iG^sxsy-7{O83I=d$$h;UPvYt!zGiZGJZj8l7DLOw>na z&g07aO$YCAZ~1*1#l2Q&WJ1pWQBbLfn+lhmE&A(diO7bKmlbKe^#ZLm=c(WF_^P(A z>Er^4kK@lh_4b1n`eOueC+wFF^U`Phc?8_UmY? z%`OwWW<`UUp9kIN>v&&Dr$SWg)ScOlzy9WL4eq~Zq`brqOJ8DFg^gg=`7hkx)d@zt zLonSS`@~i5h*@G%X*aB@Mijzdgl9N9eKQ4irw+Ow6)>Fu>@{m-khRIM2XcLu$88cv zqPt+z__HCURNN`y&3rTpH)M7YfKgwDeSs6&+k2t4_k*$oBcjnk0rJZ%=@B<9$ z6g5jf)bHsa_&3()i4i2Z;NI*SalC8b59P-7SM*DP)6r;C7Fy!;&P5-^fcpWeJe^C4 zVfS;XXEfY@!GkMfg9Lv=Y1b;f`h|nm9_y~nu_ zDI(W-M}ATlr$DIQPRGrTNKNltAP-`WZqV~>V~5$GhYKsaRURA6uE4JTJ=GhL0*iV< zlUBdc`UJc8(L7^TF4D@4cJMYsSs!~Z!+hT)o~Y5=yFCk)e<(qA>Y6s0)!HBLS`a1FQ|ot%8i~ z+2>m(y1zrfL*$WD-iKbZg%+b{n!tQX^78ZPqkv*vwDg-z>QIiwf7C7hbiv+*$~U`} zdX-V+_{tD?(4^pqkLLVSZy!!2l>0B#>Wi%a0*^)}abbt{4NR;Yfu4sGhe=hY?~fvG z(T?iCEc4};cL4Y?!Hyly0q<+;1clR#M>gG#XTx{k1MxMOJz6tDw6ufxr=QHE*>!Cn z{uIFhCmPeEZow&Zqget_O7cMs=ck1Ys49n0{{qv!aT^W3`XR+f>W6}xx+tKMAXn4M z1G;t0Gr#<~>6)fk<8S1Ty80>ZwyEYCi|v^o?SN0UUYishHIh)VzRJ*6gu!dm+av6w>j$q6+dmu8Eb*!J$J%Q)b?b0u4FNS)NxgW#TP5tp*Mn={hIiVBj!wa! zzK)z^49`V}#?a^RR0BAWEI%)S_#qiOG4aKYWh?N-i5QtN zS+@caXi7g0F>0z$*>6S=5V27Kj}_F#vxpzR?D!`|@zO78JEcI1`oJ6&E4mp&F9TD5 zSZmM}chTvB-1M-|1TKps+k|C|&8Vtc0=sBSa%NwsvLDfUcMv%P zDt7Dz8C?nA%m1$F|E@WAh)-ZbeJ9`*`{bW`51}D&&{~>`C{6AAtc$7ai~^0Sk*nM< z+X46soqzsuhDbLG$dmm})2LlQC~*jE>6HWt>Hk%2x$ih)SuY;)970CA19F@uTkg3` zi_VUaQr`o>_2UOev4;T#P1KE%p!jcGpcuxK6i2a@!|q)QdF=d~QE7(ae-K6A?n?>s zLOZ4tQ8$SU-Bg=Oopm2!NG14xMm){%|F~ig9>`Yz=?Uds772w8yxUD-poPMyJ+Kpr zv$EchZr|CSv)CylziZ8?be#eL$^anoAgy`W+vbn**Bz1U)I)5dyhY`~YD8(IX{}(p z5;+E>Sh|4NmVIM{`wk=ywk{z4hFWUPxQol7K)9y8Y_)96Ve;Ojwd32p$tZirb5x!k z*%ilrL{tVqaNLRD&l(CS$%Ja#%JCeOI4Q*opoz@1W1KxEIm6<+0EKo`v1yN-MX zNoMJfO_1Iip!@5fn6dYXA*^e$o~e@_A2$u?2<0nK`H+7#W8kI}A!_Gw;+;$H{Kwj} zH|C52eWz~ifxC&rYhbY?f(|9>{BTd$qY=5vOpJN3x|`4%7R6CAVKSj5<2 z@^lXKx7gZx6#EotsnryVUq*q0lQlSAVH@3zzl%Pt+;@Hvf9U5bhTEzA*=DdK?8V`G zVahi0Q(94D-{n<8Y5#P~oQO*Qq){tJ;GRk&XH~Yoqx@OhukKYK0c=B6tTRr&V_hhlB>-{bO}~nil2IHG{*1b$(F2it4BBcW%baU*i2SN(e<$m zn<2xC0jm=rt50qmhS^|Jg$rh!cdBkP#`{#JC&~!l^!&hwC77uZ!{%jvYFVzSWH!_T z%8Gv88T?PqBXsro8RNoW*q96@O3i2JEW*+0lcfBx1<+bxALu&U$T}O`E`hf|^-=B0 zXdkyCQcYwO3Rwb)o=<`cDhZ|vTh$Tk-(@XoMyL(H-7`y$Mj;7$DEKc%eA{^E`sHjH z=w(?rXmcoql(OQgyyA+bf1&L^sTo*xZQm;0)VMo2=*MRu(_}=^`XtaDrn@)pkvjM# zv%wrFqgi(!cOQqR9q(nLef}WeKaU>*ON^+1a4YM-4HhccFW7f9-9aRXDC^fH7$@i) z#@9yuc*>^AgBI_UIY~bIEcy-I0Da9#lT(2ieX%p{r0PSo$dB`!!E&`iY<#D1Vx7hL z3qGBqg#suwK8}c1$u-!Ud=W8g>d1qEKTLTA}Ow3ylrSVryFY`J2h?azF{ zHd6I-J%7GA&R-P$-BqQPmLH)hU*0sw9U!$~(lN$NUF1VW-b(}* zIYdfiC-XexDHNDb3{R2>uojM2C^%vvEY~Rp4x?L6ajc>h0@W;W9K)m*SZPu^E z(@r|GRafrqfag``1!CormRQYP_V^Co`CoByJmq;^YR*;m*+pCb*R^Azg24Qy`iUze zj=z?v2?ulFV7n5x8@Ja+*55!PAAw59CNjunCXW!le5UDQBa2v-uBtkJ#~<7Dvb*{9d0!@>x<` z_=`=bs=s8M`S;ZV6c2m~gP|u~!jy&3m|p}Fr=fV=XTW6kip z)Kh|GFOW~yuCqn3qZ?v+l#h5jPnFo4)h~ICpobI(0`q-j-6Vo}Qt`nw{TuNLu!kkn z&#~mYx9I`2k>SmPv&f=%xA9HCOC?gHKhCAJe7(#!Yj2Y{fTR;dP+&>xKcj4|qZYw}H+kQOK zl5m(rK(=iA3quW-Ej`TpusqqAVx=T9RYqqs#=cIdU+CW`+lIpvtBxg4M~sq6{^gJD zV_OStTDo;v?+@_(qMHhpX=tEO@u$$JD*Z&#*?~Tm#C)9*nTQo0MZSOdF0X%0_D%5J zKiV)j@-4KLstLY?E=%o(iw`^gVW{fF+)4W)fR{u0j^8J&Iu&kx4?_ z(v%gV*^17Zd49h4D(d~&)#O&ncK|`FFck^}sOcrvtx0R6UIm^$+W-P0waGOZHXDqwi23aiu;f z2t6?jG^|ZiqE@2z$OpfQ*LNlwmacGpEX-o=x%trU`LF)1WI48Hs#3q_;CD7R7LTje zIAv(+OizV>{x?bjFFdRU6GT-wh0Uv~wZ`NyI(>2yns&=O)!0QlrS`meaepNF<|u={#1lVhpLCC{_93c zwJVFE-#^s(Pl%MO24^DD)e&RVd4mlrok=D0w%8@<-0I>cPswTEd8bw2jcEVFh8^pH zg1+MYqJwS@@wo>_((C0FUqNN(lRdJ?!&l4vxvk*uc~KcD{Ka?nq2G1W^wRW_pr--v z+|B*NGVG(-KMQKbf+1>l(XC`#EIRHAcKY@joWvNR?>gDCCBt)0=e!G6>rH3p z2EhWbE>#)6o`0lAdMFO3_~xQIU;Kl4gqCt5UJT}_Rb;dM$LroRj+3@p*1z(lf7>TT QIVi*Nj?hcU6GW!}2k8Nvj{pDw diff --git a/1ano/2semestre/lsd/pratica01/part4/db/EqCmpDemo.tiscmp.slow_1200mv_0c.ddb b/1ano/2semestre/lsd/pratica01/part4/db/EqCmpDemo.tiscmp.slow_1200mv_0c.ddb index d4a09340200bcd1460bf15324e62982bfaebd11e..29483f5a867e04137757123e6bb1ed19e182a268 100644 GIT binary patch delta 114620 zcmb5UWmH>T*Dj2^JH;vPlv3Q?o#GVN;!<=2g`&mXg1bWt#fuh~LeW5x;!=tP0)#KU z@8>v3H0k zA(-7v3MrvC$RG5ihX`%M5c9(oa_p1Us3{cRNb6V;YWI_BOZOwoXI)3KmM~&XV{shN zb9=qN{XwjiY>;(*j@!vb8-ui6jLSwhpmN;cMr(1o3?>tAmC^dKJyXr%wb}A! z9_CBrANsQ;X*pqerX*R8l1j-}J1OnBOBHo8GvgXuHIYq|@jFk%(Oav~{A3C5o-~z^y>%MZ3D~DL@QY&Q@O2QzG6FlMD;ZIddaWC8>5m4Xw+~m<$dnY4|3AA$+Z#%`kn%XBYI7n&^am$s9vR9(-NAhn6i zr6Ax?xKk_^U@E<6(5=?w$~aOex06cYnm9F2bC2LuGiW&db^O(<-~mvn?%43k|8DmM z5t6>$?M}qmkk$S<`}MmJHgPoR4x;`h||I_@`y=Qp}(~l_ogQWK95<=@Bsdadm zF>AjE@H)6D>k{S~Pe`Eopd94oSLgr{&mf;;uii&jxvEbIb{=nS*<4)#IboN?rR!cfgBiZ-7P@-8LtoZ{0!D@$ zBgH5@SIpHWMtX<{@^B+YDZZd1y+qOt#8|p-p4IQuM&D;a%q8x{eqK-GSy_IRy6u#@IV0@BbS!b7iu@~$jO`Akg$cj{QBiE6cAQJru zP<>9i0j-&N5Sx}sp}jyayCP^fv}UrTOdh?qAl@CpKU^f%i>j?OviD*W7b7l}$+6(^ z-gOCZJ0Ko8#eL0xA#4&|`>nxq#e;g-sQIHm*LI5%DtFw(2KDYpps#5%Rg5w|L*sAu zyy+e!{^>24fth`B{hdGeKCcM0uzx-17wR?9dr z973PA1lsewX;=^iqPlPWm;$$&y2)Yo8n4nRK9Wo6|0r?k106jl+aZ^_KhDuA z@RqCG&`2K4-@F<09R-%pLTVs@zodtQ`xAY}<2wsKJ)6R5GqKl=XD68=pgzcIp#|7x zsy(>Ja33Mo5Wz4Y`j;?~?m@tdNAy zUgl|FbEOmZ@Dn%CWy#wG@O1%ZeoxYVeW89&uT##xyC%;)D9qma-o3bOxqAug z(K=uH)jrp_q{UkT34q##hzm`wdI3K&M;#!*^qJ83+4;m7C>i{uvhJQ={=}~aIe+ZE zTsvF3AF4Z{YiaRrz2C%!Nq(H!%&JsfVYPcWpSmR<+iM3`UI2DC-yiQaUcmY$ZtqXI zJ0Z>Bmz+UbhBsp?kM6DaQ!V$Kwvfw~drJpMo&%(B{H&c%YjE~rV0t42ycYVWhEpx$ z4;QaxZF-LCvq>B`*1bC)f5hQ6ryoy0vNl@fXr(lllBfiFuegmWw3UCIrU@}*K=M<& z0e`ME@w;HXIAFh3_1z5zD=(AowbfK?@;Fr_*IHKF`J-lf8J89}`)d{l<9oDlHRBLF zsIK8z1$CCj1{8?@^A6eEJ?yNv9wm!IT<;N9K^t(hiqE=#UB3GI$A^~g)<9P|${8WN zuzmX76N8z+Q>l@1(Bo1qSDhMr56|(M#W58r)eDZ(HK2Et-|$DT%w(QBZ%%EY@%)G9 zZ0=fd$Gb1L?1B$BtRf&Ud#|Vh3M{NcO)btiB{Df{@x@20Zfq=i_qXrzB!Vt-v(8&? zMb6iQ`1hDFqBzbaoW`~X`&ys2wX{yUZ@cb!r~XWrbpQTHS4B9IRVZWE1o2y^^0R!% z^grvm%?CD5J8nK}+EP)P`X`azE1LAg(@5+ShAA6MAM4BTOVIaqn5UA% zFSd#K6qNhk`_IPRf4t+#-J5py;vpdeH{tm%U?6aIo4Jzi)4PYTM{-ch?_@t(TamkO zZ^@o~c4){qZ{`Bvw;ubQivR9$7;}rKTYm!@26Z-n>9~lJXz8}oN4vfw>b(CGDiuFI z#BOC(w^(;Z&I>0h`?kAe#PutF0R|2s^ytl&I8W9 z=g|1vp8>Ft-)(h|%R!r;4t@i@L37Sj`8@|oqrJ}uf92Oc>BLNc)%I=0g^aIC6ih8z zhD*|>MI7hOAz&s>|E;N>tpM`e9Go4sq`R*s#v#?PHIKPX>|YNvM0J)KJAYECv))RW zk%j)=k}a&XxH!n^7btglP4`Ks1r_KIgtcyQo7{iF=5eGA9ow4nw*V|IKL~^Yvv)%LK~w~_m)HIgi` z#xmSKj>G5{FT1w@Yr)p=a=KOUA=Ia#pHrmR z4*TM@hD2VuNQLeLf=@S6ORuyZ#y?G+(%u@4TrQD!LVNv((DPhe@3!}(@E>OOr0_yz zGTVPR58KqibMF>k2ypA``=ylI-g`E*SH1~-bGf+o?m%L(s zxTl_j0*nkdH&yrguDvHmHty#3DjFqf?;yBR>ks{h?vvwN=`+4B=}v=p$#bPbk4~r1 zfy=SI2GA{FOxq;ChA;A`W=}BM?1!s4*tvJh&mqV{j`f#^EWo*Vejc*2In!PEPV&xR z>6Lr%#p_%MaBDM_<_2}mV_gM~P_qQd-J!5OL8^M!Uaw<_eZc-4ZxG3;6!-XAn27J? zIxAHAj2LVh0G{ddJi1WG*iNoipLO(J?mo@p z`hgyHE40v4qq)y?PrxzHW zPBtI9Ii)TW_H-J9WG+GX=L_rolB^T=Z(^sj?oh=mK)?y^)YBd8*Ho=VsDbx1EuH71 z_WXxy;Lm5v*1N^2_)ULf@3kGt)rYa2*Y>{}?xdT0cm`W13m=c_-N19gC(oy59)6bX zv7Vsk@rFL~8;9&a{9qpkKLr}J-hEGcxw+yj`1Dw>iJl}rwDQB|vy}B*Z>4y^+_1a& zySajiSJMw!E>dU70W8;>(LXxdzMx%iR@^O%I|IK49YP!W`$928L`of}3|?(EC|GZN zx*=})bi_bs>VNUv#qIO$0I0tC7KSV|ePiyFlNF`EL5)L(Ro&g<1B#9!Boj>7Y@95-}7M{0E z?W*vo(^csO%iZCLRqVN)KZ`XHATX550XP!HUHV$@~fjk z*1UJ;+EAsnsF6pwf%%B2_7ypfod(Aihcf348A??Q=hY%QcHekd8hLTuTQhLdD<7~a zA3+ReL$YAGF~u<3w&WVij!W#ufb*5xGf%h|KSq(GaKX$1n#&R+1VJ2xMT^^N#DM?2 z$t@MhSjETtx$N&T!QOGhq|%c52tl`O3T@*n44qrjmS*jTmiyRLrazGSkkF~TE03S0 z-!o~){Kl(+^29r_4PGUjT$(0MatHDW`u_RcnS!M)U5ofEzj)~v%{IgH%^ZQ}Hz-#@Yu`IzaO!K3jZ6?9>$-^B* zCzg08PuO`gKcs}ySz$wj)NwQxjYt+d%IAFz&a>(a)JNgdd)GaVZ`!668K}K`@IZ1yAxIq?RDBxfWJ z?(QY-e?RV>-*^AI)RKa=EfxBV*Fv(N(&ZAR9?Ks5V6aiW@w0fp{601B%HgR3KeO(g z2XgWIfEh8z&Bwy7(TA)8ALxZr-%Z);9xUvBg+}0)%Ra9~+vS`Rodl=@9dV8PG-Dcz z7rSz1m6Cjq(&$olKAx?iY#CrFy65_dE0T{YPjHurj72NgtjqB38C#f1q6X+ z308IQNPC$4r&(9B2PjFn)E|26i4PGGROLC~orLd>VoQw`9+Az|YSwsf;t8^OnSM($ zzT!f*YVuqv8Jb|XzA6Ho9LIze8qmxU)6)i1=Izw_eRoZ^YxhJJYIfMsjEbwZoky5` zqtKv-J6C3LmS)Gn9Q4i~V?Wo(`k2LG)XjAa>g9xH6=X5~oM$b2!OpEOp1|K$pcca0 zSE)wfp^Gnnih!Ad@Un5@{BRy~(o3KdQ#B$|0A!Z=#fd{;gwg@nMhOC8n16hHE3+%N zaxYBAVx4~^ORz%`%N++3zCksJGK^xm){b(!-*hb>#mroBEru`(AYP(k>wskki>28= z&8A#z#!Jg+W1o5)uq`#2B{n8sR%6jrGm8#FR5E8RVgE^gp%gf?Q$u@@q&swtF=u1aq zM_-Wz+1I~W6h~!iCyKG^u?l+7hn~r_pEBCbnkRnqb~(qycgd3DZZkP>)Rtx5!Nu_v z!64ycv0tlo|1?X17uqk6r=g9lp^T6~KlH(7wFhb#g$LhC(drjtq9h#5iRYKWh0-we zKQ|#=MJydvvf8Mjqgzxc=E35;^)pS;t)EBCCu{7z)+pxiK{cnLiTWWFRWmD~TD z`7pKDQyE@n<#ndoa=5ycgI;n0uB0zAbzDK;?fPfU`n$(Bu4A!+gklelXoEZ`D~*;X ze}-%mM43G&A_|!Y!ITFy*T44-B_;H*$0f=t{%OBYX%7p6*Z}K z)p}zbz1%I#4d9L_c|*@si`#EU2d6j`beDjw6v zz15S37$49C_BmWMa-~Xi@!NSo$jzHAlr}_39k-6SO4wF)${D-Ojw?!brEE-UBhtkx zn0CkTHruS(dh;0N*Q7lZjQ29C)wAE)OJpr$qz5BC2pP*rh0E`F2OzuJoP02MS=QL+eOeaBHh5%Qn`^Aac{$4(=+2|taGGCQs3*jdXG`s zVtx~+&%i7x8@A0<5!|0FbN1SMWxlwdWF!4fsiv(_ScUVHm`la8sLdJHE)`$uGB-Ti zGSFbInBy2o_CULyq$`j1KHU}7f$TH=ij8E%!FgGkr1V(BHD)RpI3{K)hhSovHgTbj zAEgWPCiPQwu$syoE(Q{2C+MNj{>&s1W>LkWA-nmA8Wv5&dk9y-BV<^mVH|a;~IY)=E>Mx zQK5&BoOGw}s|wfx%CJV-D8nb*p=G*g0+NW7;~8D+-H1+wIl3>8ggn(urDMy2gaP!$mU2tB)K% z;si{DH3=C>a^JET$;!)qhEm74+-iwD@X)qp@%Qs2zWsHW=&-4e=OlscgAQ87)~bQ1$Ewy<4kR1PXx#15J{xN2NKClRgD6LH{T`ifQtc%x4|OO6|} z#bf@{)y?M|0hrMoH>6?1`9N$(yo*@T2sb2Ad#=ud8Tf@xOmh$)Q+a(e8}kfmN~y*WtfzNbIncg>g}(?btZgL9LKj6v^|4xnMzNQlzMWTh^$@2 z7}ZLk=lZ*4wZ%#L7)gUKuPSvynpuOy%VVoWS#m9-9BVn`JC(H94rzPVFnGjU=N_pP z0oSMJ7`5WjM8%uJa;^06uEFY2aW;oM$ha1Q`U_J)N51YuZ>hy;m({aCaHnSzZ>5@e z*5MD0hE(iB(IQf2t}z7TQbgy2@Cbab>m6bE7jptdpCpTRH#6Rv;&aE=rUbapKW+Vy z7{Hxlgt|$Rx}<^mWTTvmvt5Zga+`pTw$;eOtbhO58H%j)fp_G>tWu3^5*)Bs)n{{Q zFAivX&gR63`B~dZtY5HC{r0zqJ`|RI^RtwRIHC>NF!ee7t`&e&#kyGPFHue#qGS^l ztCQ4=tm(%}0N15d6q~?nALBWTbVt?VEdfEJfR{)Jii&%K_ zGblBK;8jY^Y^fXg;D?4Or_XW4xg?He0{uVW+@bu6c%Y3u?a$fQi}R3^VK%BMp82XL z2SVO5Uzn{ecCUT^EKu-DladdYA!e-XrH!;$S77(N?*~ZrC?{sZEfdr*oyDvqTiJ4i zNY(jZaaPPl*Lg-JH6IaJn044Bp6Q~xyr5(4?&?;aZR|vaC;RkM^bgK?(FJ91%6Pr|MH2=dIp^`iv9?zGES7c zXRMF~m(DcLNkBiRwz{Fr>>F6J})F9!h2BIz&vzp(Y)*zZ-3ABNGnVHFSr7)j^^4w$x1+b z@A>|Ii+2mOBqd#OyFU1M@x)sXH*86$GpT*xdI2}Q;#j z%HbQC5!kEaO33L&{*55zKM~w%TP|JN$!M^Fvx-C?SHcQWYAZNy02fsg2@Y9URK&Bu z==V<|+&DdM;0_)D&OsdwI0Z}3!_vT*aQf|IRKxQpincPU_`hQQQsw_(%1#>}-1mP- z{D0%{HFbz7=hZvA%^AuA5$I>XxsQdVGxQX!Y`<$ATF;cG7D_7ti^?K+A|rwZEDipD zyoDpIY;d3>5qN#DW>QSs6A0(l-mWMEOWHinrWNm%;a!lhT2-8LWo#1%M+^9MSqBNB z=qgqfpHj7QarB?p2Wh&vBkpg-!T)C%I*{UqSFM9@`8g% z<&O5D;4cZe`3vbqpx7#!2=~rQ3>mkd9e0#ny7pa(ujo2eI4IR$ja+oIp1L_Lbp z|2TM!A;g=cf@o867RfX{ywl!fti6^&0;C}!^0ORhQuNun*V*u;npwlIm;Epha$O|Z zc)cmf@t~X9CPDNddc!k*&>LB!j5w0<(N2)GnARm)vL?oFbMjd7K&8df3Y)Kh=_8|q zpF2*PuLd^5(F|+URMm`VVN_}l5wY*4n4ViS0z-6}J6XFYg7hu6bwXGM$ySLOpw=uQ zHya3dO2$wrI#4N|ICwh@)7&|{mKFFCYi#XN4 zVNv`=c8?Y=!D28OfM?L9BZN87KzoyTygKGEUMsn zxKWG1`V-e&o@;lP*q4>dlY68m)f?lD_7jW*RXIOE8lI^fFhJ2#4cev?H=YJw8pUx7 zY1tGOSh4KIRY~Nl0?>TkF)QGV+LH3?wA5r*`D-$b^h47G`_Wn0tF@9{dCTg`mg&tD z328D=GZFEl6zjwateKTxMG0W%rPR6Lrn*_s7Bh5Ms$8hXomR|-kj2HM@v6ng9D6HP z$|!!ziyUBuFC$7ABxTO2!$_?e&{>qnrBUNaD_X`;8xw;wfa9H4PJG3(C(qkQ9Bc_w zn(!pqFfLe~FgHpP{w70;WeC=fNd|304n8WQ!Ow|$;u+S(Zlm%l;JDb-XU~Y4MN-&E z>tC{optSO^Zs)Ee$$ij{1SOBq+q~kCB}#@RBy@ZaT1tR<%6iwX@N0V=XpZuszCq1# z<#k|DLU8Z{EQwOll&Y2`ZJ;?>qfDkCdiL};A5q$7c&qEev7(BIlM%`~EXj8v%I@gX z;viiQUfERUs+-bf9{w^sx=K-ORvbqZVkX})RyO?{T|9j`$Nn$iZ`lUV^R8K9pF6Mw zg$s1?VkRT|+Fk`YtOSk8TGcp&5h0T>IFb{kQm(%NbOvUW@h0bqWPVB3+A5xBUt6?j zKfu(H2R=rpIO%lfZ!eN;jWlYeunO!pmSwL;7F~IKeUGAD+cX{Aju8)IRKt-QOo;Rh z)+XqQA0kt*L@UGG45qFoQT!lx{=5#7iooW?1}!~9bXh>1sHzK>r%lyE{geFlv6H?} zDNxJG+a!x;o97%Rey>86=DM-r4GcEeoW@R*D0{l58;>3fA!@n_bF! z=Z#tBazG^W$4)KnDzbjM8w`$sZojJudf0ERg>fC_26}%qNs@0Am79 zbTuFU}oSx^k4Ly1l0cPbdUB(yR%3k>#4xBk2t=Ole2>?X*2h zn9al5+D}7wY_oyaTB0zMVpIZw`#1UQY_-367Niil3i{!j4deWk$8Brr?-#Xna7hL8 zcqd%grFF1a|HSw$-KWT8j4J^B+i$k>R?Ih_!Bj~bY9%7pY*j!B43h-;D<~@!ipO}Z z6();>&h(k>#vi{Dvb7PUVu_!MHV&s7iIua@6H~6`g4EaSvG?bpBphI2!ebbw+y~C2 zKf8RplT3yAB5zeoL@FVvV(937o)`nP6ArWN(a$Ql?a}cq)hk-cyWZfqwSu7}AZa9KB0m^6m|C`vM zIxNn$l7pEEpcByjBTy#Jjmb2J&!6h5@WIJCSVH*+d|Nn0Wx}Zb5z6}iD!B%Rxx?Cn zy?X#tNlf}Xy#%J>u#0l0S!XbrI|z#%^fz>!(L94>(d63MtxF0rO{jC-#&fB}=OS zBCQs{^(EVFQEE(^Y$FQF`u23fZ8?r&dqO�#F#JgjCl_DhiK>iMHCpY?(_wJ0^G42S%V``2PW>*3!7|~ zk)`*xJ(4oTB^Y{e8bVozH}P%&aFT2}Rb@&TZl-Lx9vmJg^lL4QxUz<;#02+ytZN_I z#rW(xD)4iAofE${HmEy-VWq&9JY^%WRB@s96Uq}q8zg)oXbk4~3Cfy7 zi;jntTDQ>l#&_UixQjB~&W>#}j^b7~#+J)B$qJu8J2Fw`CEY z_Va#T6`4$CX&8t;{3HufI!`EZ;Xh4pWpQ<%hQgUWq`l%x`sAYF5A&^FC{ztuaZKhm zqN{ix5tDGl@d@(Xh5}=fEof!%&HKOP-BK?CM%k?^yR;9l9`TC5z_Pl68HNHqMdOiQbvAUf2$+_FO${A z`8f+})9RTgNpNbka$-u-|DfQ5V^YALJpY*XEe%=qVAC^hIZ302ySx@aB3KPq3V^V@ z;ZT)z{TKOuhN1$>dUIh^O zQJALKI(XVc-Y>z~=mY}jjfI9t$P^%2CC22>(Pij=t!<#nhc)0JLFx;WaNod*ANhWf zNhC%@KqO4WJDZuIivsHibZ}2&c%=G05z30k6ONo}N)m!k;WRL@?Nm(!Zx1BBv`o0^ zTFEcn9ozW?;aC@~9T2Cx{q42b8Je5t1fr(^AL&RbM3mw4U#V5V0MyKBm9Ukg z&h>vQswIU<%mf7QOo)^j;m#?w{HG5rNEPEz642LS46xPyZ5q|)wEq%)id0VKFQwnn z-`aP0L^0YMTx(HC9h%cCLA1Pr|8@=EMXAGt{5L^B*(^J4C6duOi3uxM>VkgpvKjey zN(@NlTF|q|BR{InRv_2y`@{xy`M!9VBO-JBTKB{)LyF!CUEo(zdeZ`_m;Gobk<1kj zktxaJhJuO2<{MFu>^Np^fJA{S>gs;@2t*P@uHESw$2Sz^2g1ZU?S*s0QcOMS;-E(} zh8sxu@J~h_w@UGnnjmaZ;f&-&s1I~$KSg$po3D^DiNOoqNTEO)bBKg;<_%^lHGLtU zU?<#Lcsh(B8i-PhcvobpKg0b?SkE-`+uR%EXb=sRJP@`C-FG|HGBdH#N z2rwuXWPa)twk_r7P!Ijnl+FLs=K;=cDPHDJWRXW8;SLl$WjCFx$CqWX?fT-}2HX9H zw*N=fRC(Fg#E4P002e$U4DTe;VImkvYtetZ{Vx69q>~=eDbc^7H`?OV5VJOn%6O}D zwQmQO^6b;bv0aox#DZ6;*#D+4kdm+UZ~j60STVt+Ovu?GNEC(lo1P*FAVk=UIaIker)YCtmGB31hpq8}+Mvrn+#C*+lBxHoDy z)180%>j9~&O2KH^82)UmQ4VMrX6LyCkMVMly7o6w3Q+Ie;m)l-Zn0(sAM(o~!J&|v z4+MCyU(t2E~v}Gz6>&JR36(V zmII`82!>w!$-xhPvrThOeDK; zTo&P5RWsqJ(kskbj)D^zP{{mC;-#0Vp<}?DmH9F?0zL(~Hc3H$&e&a7vYpdNXsAS8 z0b9ys7(%74I?`0m80@l({mvW7EHJFD@%fmJ20_P$Zn0wN?z06x3yFrZ`*=3^4qDbk zKL4bLaT}Vi(jCW|74oa@#w%LwwlgbznN|5Sovz_Vx=I7IpFyN7xjDhwl;7CdmIUav z0X|r6%O>v?CmV!ZWC&WFKr~xQ`RQzaUr4KSn5pYm`N&mSYl|^`k+sjV6-fSGiGx$9 z$IkL~Z&Egy6aV7?p|)^KhTt_;5~ZWW2hS$-KWN+K|Z_;aFU;ASU*4L>_Y_OrHBvW^O=0~)%|GcIa0 zy)BP75xdLvGlaJJDqE zptJO7wQ!IBvvX{$jG;FYh+Y{txt?eL05Pu08thkPJJ3>2V_0`J&_+~#0u<|Rc?dpp z5kk|$V-dL0F5!8*uQ`3vTSfrtY1%NpvCxfTKuM)Bi&4ME(e|rs`mReli^D%Mnxb0V zGAJP+d^L84@MUE?E z^}6^eHxjD3NtLSjZE8(fkHYM*44Wk|LRN!mZ%ga*4dZHg<{)ixZhTE~R>_~D34ira zdeBEkfj)-T&=w;@`Y{;Xn29(zSD^OISDo`}E}{RH(TbXmB=V~g02hDqb`l3qX0)^# ze0_ex{WTXC1&IJ#04>ZQB&%K${beoO_&uZa-C5Qpv?=%@fh=z}W~v1r3enVI()ZVN z$586jf2?%fZfnO#&mh4zz>GtTDC2N)Cw$>aF}o})_%-1qZ%aDqF+tLprrxY$EzFjp z30;qu<8gKs{Wf9GmTB^9TEz=)M4q&T7kr2oX{Z9ZhzDs!0=0<5Y3eVz;Uj@B-4T=0 zj$U?A6S0G&oBfKSo{k8ejGF0DcKjnD9uBHvkxDO>(!L7HBPyk#y{bWEPHTRZg(#k; zBD9Q%*UBi&gM=8{sv~NSg!n71QTz+$VvHm6KhG!AJSBtx?V%6?ij7Nl1KS_&QbyC| z+44yOY0KVw2&&uHHdY1Sxa#!Oh(re!t9r>AG<9btcDy=epEs5ZBSe7`4T^KT4**15 zz4~MS)yba-C&gaQ!Iu{mEF`5V+k8qk_K1NstuVIyL^98qdZepcR?H}{MA%c2c3%LK z$Gh%ingBZh*O85nz5^ex!xPOvxcHaSC@c8PpoO}E$5X&Qupp`^%bqD~4_*%tGAnht zhKO>;GBC?4jmhkBS{q~;D#T0wB))0sqldkS>wkeQ{`sPbZrFANA*rs4PoW5cnIjk@ z<-S_~pCXaC%(%Ua!Nb@vlQUHNu4U%+rgJ3_@j&!`^?Bq2bz341Cf&_|kX#gplys?( zsWG`GeiY^Vd0R`tw!}Yk+cpNq-q_IwTak@*)l?KNTm<~@t#8z)-%84ORb2f&rZB*F zRYY-*gmFb4S8Ilp9eE>=h119)MN9Tb=+{xsVz|KR+7K(aRAeMTMEIj%ch$(dT?0U` zo2z^C(Bkp!AWhSW23I=lXQ`OQ>gd;_5Rp`* zeKM#o!@JlYaSpS6%mz>f7vP6ndITh^J9i3%J zO>&mH8tf;FBn{fcg2>OlD-lGomLH4IuVZ!=9=}NeqlVoEgk0(9bJ8>|QY&n-p%wge zV4@j@);2?_XLaW`;o29jsgsL}jzyy7ZVoxY2wMIhKNzA-Bm7*kRzlDC)=!Q^_;PSd z8uT1Xg^%~vus12K^~c-~qFz8{y7(JOCQ{&H3B`=%*9M$l4t+#n#|PfOKYF>dIv;x@ zq=s)WhRe~7emLNERE#gV5h|sDQB@#L&#!KxeCg{Fr>D{be17aB{CcJcVB`U_TaEy+rL{&PczhyDlH$o~)`I-s<3Hc+p;A%;q zjdFs4Y9KWwo&(oo3DuwAWHtZvARS7%ziS}_a% zbfy%Gm++Un@KRci`8^VD&rhdtS+%q4-kx(NGI8YeH ziumpN(I8m$7~bktotXj%T3DU#TZ!D&S_A(<_1B)a!X) z;J|14E?g?k(v;4~gm4@wN@y)MbN9+tX(@D6X$f{pX7t{xvGbwbtFQDw`v5BPm9~k{ z?jU~!r6pW7ZX|_~)ZFWT**K8QIMcJM(RO!Tq${``9m|L#Mjm$`$?yRoMq4Gal=yhJ%U1k4QAw*FOna7 z;qemr4&jz}uf~@s9c}+j>;qDjF1gq5)XLDX|3}W3*WbVRNWJnK3QuJ(F6@82V^ zZQkfXzW}$TN^9}4yZBzez*wm7I}pNwF7LHB8-k6b1gI4YI0i?}`U8>iz4zb!0qB`~ zXQA_Jpwg!Evg_aIgJy3Rvg52&d+zx4#j_ojgXDs&$ZR39Y*hX1P^hX0ZN zg?+9Ae-IVLath)drb#rF;)cVPMRvB0FUVQ3(MAC{?v1(;!cq8BFZ?2MBq2Yl>Kt)t zAA#w80463iw1byj9Q%=O94R$tPXjHmT#{7Lptw%}r%rLx8fhS~zRI2d*jt)20;UKr zjjMoJcsf)co+C30mGc5e(;Jg7v*bcJ3YAuu&Nkgqywjj>rCVm*iM>z0)yvLx!>6AU zF7AgJq6EgH;2r7xQl6Y@T-~gDcJ~Q-Ye*NU2&a9xH1IqI$G5;9i|K23F$}M*XOb+N*!i9yQ%!MNYWq?7+QZY(b_+P7>3|E#@q;n7wuQ01sAG53E?{B z+-`G>z`>Dbz|cF2N4fEc3>kz=f!bV&EPxpPDGizo;qRgPB1A`e3g3}kl#97ZN{Q3C z*CMAEV-*8Ch%scF#QXIH9Kd zMyKuv9fg(im-@5FkCZhjYyG+Ge=A6Iw#{PYya-vQ!TvHO|7hGrz2sE_?WVP!BBUCH z3C=c$1^(e2#M48}yjsb{gwJ0}`g{`?VIM+t;Aq^!(U{%TC4h4d9tZV8sQ&xbdsuDH zM(EdONpv62CI}FVf)`lGp=9kAN?K_3nll{QV*o;aR`RGpe?aLgQCgVfY-XPe4_`D- z({tq2pgB=-X5aSZj~Ko8{Uq1+tR9qbNFBcYg|w*sUq~aN`ly2)&|5US_>w}M8Q(Y_ z*l(JP%T#MTrN4o>w)i(NlB9nF1HdCQ2Z{No94`OL&#q~-$0E`DVEGIGlQbN z8M)Jknqt{bMLb5r8HG2fH7nX;Jof~zg^^RIN8DI76d`!MDh42q*HqWl3zg&gGNb+- zDT{eg2Y$tdw2dN&=!1lStf!AT_DlKMu9gu2B&E#~gmS7V^r&s0lEvX3z8TyXm*r>o} zkX`>?td$3u%Je6XkK4DPt!pKR5WIdXiXBs7f+Ial<%LRJ5Y~=PA4G`)=O9EsB;FW= z-1&~;)$2+3PIrEw6vExs=a7X@vz&}rKllmH=$M|QupYDNPdv(b&|@Oj1C5m2@weZX z4Arq2GtSzN#lA&L`u_LzU6g$fHrk!Fz6jwuKg|2k(H9d}OqJ-~cFl17rhG`q-C1`K z)H}lXjPigM7lpsjrobBoSDQWGVI$e-qFbsjgb%tdVkfA50$`})%$**R15R+YI zexEy8p1ptuqOVr_``~U*=P@RVI=e_4O}%_IF7%2;4s2>^Yj~*hN!2+d2xJGf(K}lE z6!;vmUb}YpCa|)Tw~BKBgkr^7lSDZS@n&N=Wr6Q1c&8UqQ8wFTs*-Ao>x#CGf1_J$ zi8dxt3fKV#5_+rr{TgA9%3o6hKL_c2z!D((hNB7*c%Ke9(ohog27I_&rPUhjA8!oS ze&i=Uu5um8ypuS3&JU$-S{2RPR*h4UT4hy+W=qPrQ&%1R9?Hh|oDxF)Ic0Sfgw0*O z6!#CKO$e9SnLMgiHhYg2)AU-Th*So6 z9xgWpyD?y`8l0&zMvsrH{gG0RL5_Y?&Q^mY=TQ3prsSjNesG7}5+2zBb(yqs=!i+v6hnk??UO9c$}n;ajo zg@nGYx-U^O;FbFg?QqoV!6`1UJPHgzdJI;GSFP&tp|7zJrS6`wO5pvJh4q(jIcTD#fz^R4r#j_U2a|k9vjOXrMSrcp_-=W?S!GdUp{bO|b)^eg9F(a)zJP0b z_5n;DSbYrNkLdHtiR<%5LwS5CUP&Jla9Du*7DBEG#6M**&^q%1ix#;n_cV;xyagh& zB8J(+10sGDMwmSd0pch0aOcDioK&xL;r2;Y!M%iQ0;*wl4(bQu8()$9o#CPnRQ5fQ zWM+sldx|32&C~v|Wz>HO?zd=C^o`2?Ut@BKdKRwVE#ud~Rn}y6(k@-U`yUa&e`PG~ z$nvtuEbT1qH>n?6Hj=pO?I$mA$7KM5wtp@E=3DK}PHkDD4+zE{F(a1U z%m2j{5EWn!tiF6#g$_3t9>w&(cm0pEM}cOWN&X{|s}p!+9eP2O+cH|BNyHePXM}+= zn0lRthCTW{3ztWCO$(de*r#dJI}Ef}WjCqPvjJuYsLTiA4g2d@74s|iYchJ)yuOwa zZSd*;QF2Bc^;i^0xh-BCSbcxg*|^i%WHE(TrVP+XJ#An+d{fo4lPowP}c zBk9X(=1I{#MVIg3H)zDdBSD$wuf_s&G>rO6(0)u{Z~lw;f3F_}il3nWW!)lt-f>{J z0E-Xp`I~UDzj41RyA%#DI`%9kPltd0y)6EW5^m#lkb@QPfjBMPQFs75Y4Pu6u3rqv zUBd~`Nqe4vX`bZY^#76e-a$=v(YvTpq)8Ky-lYT-1cLO?dy_6*dJySNAP}U3^bP`{ zMLH-|I)YLIgf1XOz|d=Gq27Gw%$a*ugM_djbK+cxz7uD?|I`d(b_uUsK2p&2ke?Yf&MX3+%S+iM)_AQV++ z(bfvP6bmd{ZtTV(Q9Ev}2V}VX)?vA~e?L{Nmkb6q^sZYHoi#x7|}PeU(*?-ilhe!13(l*cKbtNC?{(CCkh zo7V+6dC8M61u3@Nnc0P%W1mAwEZ!mhXpetbqjot&U_vSKYHly2Va^OF$F+C}9+IxX z)on=<*#3vLxi=e@Ld*$su3iGBme~}vs`Lw7KX7t!A2s-H*eCr=T=uzm9VbN)QPZb@ z@CKo|+JW&uads>*&Sqh#;4suo7>YRzl@R6_StwzI?9f4Ws3P;8fuSH?@bYu;*i)b? zNp2ExZXe#LZd*d32*eCI2RXx)Cq4iqEO-u5~7C8V*~3@ z18IqJmBUa3VJJWtsw@m;8iwKzbM%+@t||0@3yQM4&?YxFti=>Nl}zt&cLyAt!oMqtpqrzX4cp3<~Cs`dEWYezf3`1g(kjHvbO zh??IP2;uHHGd@hIej-FCCP`@JCXm;rFOMleq+fbhkR6&Zg=5_tB_XTOw=jigYb1@U zw(qUev=*xy>)ds@{#wKUZkzzAG_=TS^0ch)t~~Xs6ez8!+`lcJ4-_$>z0`i)cplZ> zXT_qqwX?}7)95!|$`ZzpBW@t$|4OhkB+cW@5s_A$f_zz3(`kmhQx8I0vy%4TWdEQ; z3vuLd=0M{ySux(+O2)+gwM{FZR2=)B$BK&~4(Et=g;Cx2Pi^fH6E0E*%wvMZXAcSH z?$%4%;^()2WRq)L?t3xyrr(d6$4So-tNsI}Ouno;PEEE&1pN}1&eIPbOFRD&KM60M z&c<_Tc$;n*$8lUKh@1sxI2F5i9O^3-{LeW8C}}xZ}q0q#t);cW`_u1euy?^ z;`iBeobaP2=88Aq-p`@b?z3PHIO@Ooob9l}3H1I{j@1ZT5!#&+yhmSTgK!yw9XT|g zLw95cz#a6XgMCVVGBvv3coFlqFp<%Ag#o`faC{Lv-8P?SCv#pz_fw$#gJOMMaF&hb zZAKyc1vj5>v|0+#oNz4F(N5mGLk-hezAMG?kC8)pcILA*Nu)GzLJ9m{5d2*LoUuE# z&Pm#G4xUt`-b3ER+~`Sr4wuTrxoa}TElS|Pht-O_;lB}$o(_{4Sh@3a9a!V^xy&gg3pL%7& zpH|b%F29T>v|fuD95cUOckR<(UDS;Gla>ZqRdv|g?mLStr7w`4?LQIcdhB`N%Eh)A zng1)f;lC}^NUGmY|?%wIG@wURNyj1AVMPW?CGHgNvrrt=((0JU(kE%dRm!8~d3_jJ*p z%QPpqZG&|Q`rP~W>B5fv9Ql4y7a-Q$Iy`Mb2m`9JV?UX?W~(vQV36d8D~pAu;J7Hc zFgx6)APkU>Q|41331EF9elPG2zoy@tC11Zg0bCtiQz-AsI|0bhk>~4GIuCgBGv~># zK}euZAH2FV(@l>&47%Pxz7z>Ky%K0}W6l*+_7z3^>7dq-1Qqrh@cc{C1K54_A$Crr zZr(Gt89AVEDU+C3aGtbk4;VD9eO43s0`20SEk;cAjgoTmr&PKU#l#@Bi?zzG4W3Gg zO$bv>PR(uGQOEJ4p}M67SNzdAyir}eQ3ZJ_yWcOZ6TtD9CTLH zLleVT;}Q8~>GGs-NIZK%pZ+WhY&|}Y2$G!?=XsS(NBaSWYU$1P#n(0hnZi&1zPo?! zY?$rEE8FZ`TPKGmllSgi^#LdD9 zyZxe#p$&VC*3xI`-P-N%gKd(44)R5HdHVn6U?=pp=r?Z4vT!U@06-jX6)nWga!86k z1siF)FAXhz#3RIF0>_*}jJy04HE`uA@T9bd)bzzc-^>{v-@lRf`+k^rB!-EoL@>dR z1s;;z6>N~gOOOV9rJeaZC!ovpCg@#+cZnqq7kg1t-4oz(TVV`2&3M>)gZP>q;-i+F z2^2|(rk6+8KVQQ7JWV|8sPe~<7O$f>2L>(;C0aeoe^=zV!N-E#ehWlf{`M=a;rn;0 z8);V-lcecnIF)xT-@w{QXqJK4Ubxja8ngCUAPu3SUU~x92$v@7oI(m1*Z9ffOdl-Z z6Hz9wDa=qKi>N!)ohHD4~wk_kQ7}FmNjTD zw&~LG6HUj|rR+0{h{bm99jZJXTEGBJt{@DKuY;uee_p@u|DdYjr9D`7P(4Id`**oa zQ$nfK1IG*}H>!1#G{O(o#_WL^G#C3#9@I(gJCDoO?1PbQhr4#di!M z?i9htwcw|(9)T|*)we;w_3_7`{*LtXnBL3~(E<8fA^C4M;5T@nC-7JaH(#$o2&g}g zHNoPlnY6-E4UHz;EJo{A@rjnVRR_O(r`!h-nZ$GPPdF{>25u4HokliYOo8mrv&+6; zQ?Lpb(~k{$!31efJ4qx4fZGzh@ z^MTzyiKnTidpm;q|G+eVt7E!&GeER4PY9C-vhOM3SEh5sl@BLoA~Q6z6^LX?-*ajF zI^;;eM1tqEIU+Nq*RC@y z;eMxb`hq4_rhbGeg%Ekq{DQR-oB^dG_ANng@AkyG%%&ieC}!#ysKOrX)2!p}pC z`0a!wh${EM+l&T|tXRLZe$|z4nY-g!!2?$vpiaA6>VWXSkcrXnp#)K;QOlTA|F|B& z0YIzbB+*yu+uSG*qg0;V_#Zl^4CRn$Q~@;cL2JY%S(L8bzDkPjYal3Z1M zQk@y^?847cvE6pg2%8DUh<7Va*>~{oOW%w41un(CbKA)>zm$xWkDlQlKmwxV`aKLvyK)uXOMJ5G5V|s{e!UDffg(D%CA)>hbFp$HiGA<<5*6U))74U9 zmmi{@}4e&DmbK$3b$4Pm$-d1^x}>$%8?8lPCE&6nn39P^>_nRPr`~14=-KCP*oEB@eM-mv~(fz z$U*(>L*kjbkp=S2g2zND&YG&;5^x)<*hOhA`#BQMC6AnBf`>o=Xxkln8c`#MF*?Sg z8&Y(J10~GaoO}OH0ea4^EeFbC(A*1==Uy2LIq1^hRPZ&Rc%LAyt$s}BHww7nk!CkH9ZPL@9F3K z=Uh+)(Es+3zmk6e4TL^gnLNSJp>g$ga*C%F0~YnXIQSFKu2*-6C1mM>vD-s=xALTq zTQ$_DUbd@(%x9C!{g0NVt7Yy)pW3Y*iqSVz@n-a>A|VmWDUrVUystae(&Mo6@?)#a zULkwUvHlNM{w{_!d9^{a~!`*GMz&>$>HY25(_ritf-k!gRSTwxujUtddps%}C~(H8hA z*-4OH7d!XA`ZL(_I@G3e_-4c_^|acY$Lsg_&*TD}{ixfn8e+Wrq>)+kQ`ySJwTw!Z z?w?TK)!TV1p}~j_0`+0TByW_9=7Yw#|eRv4~ zR`p;;6e(eSc(BuX&98rrfe5NWx%F6ViXf|Aa#9Hu&iS+pgQRqmNLbIx#IsoLd5%z0=zXD4s4Tu5J0GFBPkQOiv!5Pp1D1LT(JB>1+`K?mb zkmyIw{{R)}_qFQgIipwlib;7O} z&#S(LA78H13D66kIfdYTg{xDHnv|m}RJvQNe&raS9B;shwT%QbIC1)murF$!Lt}{U z5u_46IOPIMz$Sz`iALw!_IDM9^BPEqHIj)9yyj0_k>(h^b7ThlcaG2X!3y z@x0H;=-YhvV-uhkS90;MB9mjhNIl=Wrx!Uh+q_k9^!T?5262HqXbA%rkNN4lq)ELcM2f1`y(&;K#AE3Hl40c}X?SS>-y2FtGu1$lzVouf?W&VD|Cl1J;SWnm&n>#t6 zn!FsK)!-e1+?xUQ=cTIS=|Nw{Rd>07U14Y8R(fit>~hBbjh9Q=v>9uIB zY_qSp^!Kev*nO96kdx+N&&tbK(kzB>HjE2TQ=Qx!#Pjh5%F;rbsexWeo~?zgMn|T6 zU>!=}98p?}Jr`74fn}lcJ%Py_*(uY>e6#kC6AP}(4s+ySTQeo_sNBRTYflhNO~8Y0 z!Gm#AA!jE3<5Bp*rGk8$@~yP~u~-0H`H4!eU5H?#8UI4ypXC4|=$OT1x%*T>L*A#t zT=pE16)?o2|=!vUB9LaHCAhjgKFX{ES^Ubwu z;tmc~^+CIR3Cc~CHQMWq&ss+h$?=YaP=NWeYY%{X{Du(9D4jHbl9_a?kNSgmNL+(L z$Z%=KiZ+ym?%zW!A64npg{2izrrklTSBHRB#yfN0^^*fyV57+7xP%Cfor;m+)BMhSCA z3J5BwqIixsG%I)=Tyx1a*u}@h8&Cxj_`=@2CGw*&iH>@1d=infiD<=|68Do^j_IeE z_OBLo?UG|Y)ZCNbTuaiUF82@A8KL3BwAI(SHQkRW3fU%rgOM*ktK{+Q zZ{k&+T-)<(E_`ZkZS$jKxPuk@y?ND5BPaCUWXHVhSYsjE8U^|8*U}fkgEz?eg7(F& z{`+S(eK!iHzjiC$^A@FJ28wB9Y10lJX>pwN(+IK0MwJaJB<7Sk86Nbhf5_s;ZVUM} z%JyFdOnqlbQQDTSSkbMJyNeGCSAunkz6(-yL}_(bXxS*U=$Z7rhr#re$@I6xzB)rN zz=9O_snu@%BD^go){wPy9TIW4&Bk*q8t;n=(48i}xF^eQuhKk8X_$%pNdTvKJ)F%G zNa|@1FnR$s&I4HhB*KwVN~PoXDS~j54J=gSd?WYoA!NfH;91+=*0$z->lWoO%n?x8 zs5#sr?zEVKnIo|v=fw5ZetL6X)A2TO{H+7o?l5<+^iYu=eBTHhI{tDOXD7ZxC~G5) z7|aN*17l%PWVXcEtfmt2t$vE!x@Op;!!NHz=5!9f7n}a36J~r!8o)Mj&n$u(i|)IZ za@+?r&QN%PET_-Fh9(17-=t^VGGQnb^@#unzs(D~7-QYlK547Q+wtOk7u6FWqJ`64 z_3o)of5+|LorU+7S;&;5+8B}jpU6+{8~#vmEoIFIDpTJGvQa^_u?KN}SCTRDY)E*u z=bIhXY5i^im-Q8E=WH1z%^dO%+N*tyG)?(y62=nRH|KrXqmj*_S%TxG8Hc2IgvHX| z7Y=D;)U@3{ZGg+SDJ!F&Bz>*jMN_}@hl5xqKEzFYnKzg|qe*#kXhTQU-7ey|9asd# zO8;EPOXevYDL53sA(11Pgz^u}qT8msl_c9PTJfvgbr%9%D+iJFcZ6BhUt=}kPYIeW z&^G4^hs zX*vHDVYyA#;ousbeR35Sf~#Mq&yZM$&RGAa0grO+-fI)JK7MThhy4Xg5EN)a%zwrD zGaAe6agHNDa#w%ST;0)7ce(iseoni8EhIQV-~u5t;MDy++4@W?+bbG8m(THUlLNo| z&0`QDn6~B^f0PsW5#yUce~HF=q+6(7g%G+fj639Fk&(5s{H`pS}xTsjrvV>`My|gb*)%`euO-`!1+Ih~6fjj%F#f^r;)MAU57LeTpT- z1UG;^lmOBOv5D1KgDt7y6r+pZD0sDu*o8edy?vjGUQJhTclbGlEyXmCw782;JPt5*v~#Qb${na~6{eZcyMv-paMS=3 zusQC#cX9#s;{&WClA3r>?(QkO;4WQ%IUGuWo#Xyl@BNJqnSMxU(J8 zA-`>_UJ`4?UGGNw1x@!$ySmHzBJ$b}9537g`Y+BOV`QMwe8&dm^3=WQv?{wSSH`YD z+v;1~ZM!$`3^Dc(kOO$iF5UOomA9`7L(tA(-7yh5UIzq|JUZk=i6WE|%;4BU7*NSC z36Lwplwjr;U>m-^mwN)22r9_xMIm06M(6&Grjp`HR043?i1}n*hEJKNw1nF3j`#Ah zIm;6O36yGnbCJ_9L4tjJ;Mc?+C-}%Qeu#;*0#b;cWl_Wff%=(fCrW3t!i(uetP?jp z-OGZB2DCb!?8uV7_KtC-8A{_9A>Js0{nK&dX*QN zbO}M%gbW=QC?y^d<2WXZ;qe$pd@lC3beaheH2IqRh<)@tfJi_&$ZI3`8aUgfyvKPL z`$`eQU?)I(t#2J8ZoUXncvCqS{4)#X;*vSPP*U8sW$3>_AaMi9VSKcL9l>fDPK_e} z8a-l}xb#aV)wrm~`qNTEtS;6p^D|KrNQ1f9`2GBeG5#|hAo(kVm;1!F#@`#|-;*$C z3^qw{kI_G*g40-_E>rk6Dg>)6Q!qHU%Im>J+36{I0jbL+71|>|-psE52u7 z4k+wANMfOF8d;!K^`)n}H?G@7eia-`(3pU#(Ja)vmNMoD;|1@Gtzq&EfQ@IZ8ZrDs9Ik0-Bjen2NyzvAmt zq$qSp(=|<-xtT;qeMyfY&q?s}fOz1frzNLe)ntkQXe@F>$|Zkf6yqEH5)lvDpVC@zSCtWW^f-T2`Y~&*%x8cgM(cH6BWuj% z>HSZGGJ!uBVHZm|yobRyt}}K9cI;8Shh?Q5bs>|Xv|(xUOYOR)5jJ#yki~@7xDIN( z(qcdVdPLc`b9iGO-E-3KCFjLdHvc8Y%u)jY=I%&?oykJbwDNr)=k@9FUxW8riq~D3 z0B;@V?2yR~oDJ7|j)tGWe;)=<`uuD}KJdY*o)DKF#HgH9lyR7;=}h zf!j*S&6|3m6Jm*F;j-Uvg0x!aKW1_jrwffl_#dDDv33A%i2;h~T_-^lHM$8Bpx&G= zNgz~U8Yx$*PUR1F?^0B zx_X~&9-~{3Z2qj;tv9_THsp{eVWsR;`vl^T|3t1={W`C_dn-QsjbQk~rrrKjq)KpV zqF4m5eNuu=AhzufMg!KLO&THn?GA{*&}_`h4|+!@JGtQpyrMcm_(722gj@11{zc4m z*wFNahTVNX=%+dKOC1*ENoxNF)aRT)O;cQpNb#-Bw`4PwONJI=d(&#lCx>p{fVz4{ zWGiWo zUfExCdw;whBv2l9Z!IQc8DrIkEHw$C$pAa-o70dN;AJR}KKQlDlLEHOJ#AnJ`6T@e zFV~r6-{t3bq~7G7WSARi22VG&D_}*#;>nBUzA$nLHhIf5zpp1R!L{AC_t7C`+>;(I zLy_^xvK*=N3yats`~Ld(%Nj6H6e0Tju_ZhC?Oo8c^=vIGTzt%e0zO#BSvPt3DQaG~ z5$D>D$pX5VHCbXEr=w#8{soOQzDb^+q{*vEfbvf*$fVWC?Gx%0=#q>LVtwr0ut9R1 zKC?_|bjSIng?-$YY~5(I5hX*~d0-_jMvD`=-`EWVQ-!%~9}+2EAYyLSO7}+a0swZk zG(bUWAS)G+fH1d?B-bGf6&>cNuG1GIs&%6SomvRSBlRQ6kyvnZ&G64&@Cd867-2E2@&@Uan)k~eOMBIy~d}XP8b?UqY zbeJZ#^GgJ83F-crImT!e;8>|SXS+i2VKtl#f${p)w}z!Tq$09`Rp9-=MmJ)I5Vn(} znp=lP)oFg%b;(rN*yUx@RP1y)&54X?0&~4=NJZ4V6 zNy;Yi#zk#2tzU^)Znq3Y%kG(;pm}@LSJ`9#j_QoE=Xct_VfRm(l~0^&Qlp@EeR<$( z_Y$iwhqbYHZR$wKGX{LpDMpyTsxQ22rV$GVZnJjPSTI#jmX6u|a%Sn#7_|bGwR>RC z{qY$++>*E!X|;emWFQwEbd`XWt}%^i0%=zeR<(}4l7{zfzW%|pJfgg<^MKWk>{-Iv zs{SRBNtQ4|gl1D^w$8>Fs!~+(f1`0j_!f1fx8^)j1yf-cq zzznF=Jl*I=_kE)26kU?~6l@~@NOD^Y0@b`du`lDc9y>M8x-^cviICRlmdLyjuC(%K zqJ>_>U`j(gBgSJz5@zwAA)VyT?i((ck5Z|B-HVdrD;qvzBvJ)J3vUMH)t}%QrpwCX ztMhTN(LbEPeat4$Lag>0FE(yaW6VDkk4S^;3BIA6d~*EBj3+Ko!|<<9N2zKFn_65$wPWAj`m$U$t3Q?ptts}EAq<*zzVM6)` z`E?9%B}Bl3H`I;0dBsInUL>ILrqzZ35>QL?YJ)Wih+J+2+R+k{`fYaQBn=p~)jD;U z^;-A4SieGdL?){?Gv=jBIf}v0oX6j;Cq*m}th$6F&iaVy-?}>7#D_CI>{ZpNj&`Tb zuqNj5v42sWR~FK-?+2+1^4_ByUz(j)3+0X+bG8xoK2(XVPk z5-6Pasy@&<=W=C)0nqLPNAJDtUmziys6xu#zdfQu`yxR`84&ekq@)bsdjI|iP(p#Ze=)14!L6sI76b<;4z99 zN(2N_Oi0Ha@|oRKYXrkfAnoIQp3g}1p0&^dLm?zDYJnO$|M;HmmiKFVh$Y(?B$zs}`rqwvsy zK2CY9=MEVos=sR&QW{N4gxt-28;lxPk!Q6L0E}NugqAZ?;{^;$b z{?~;*A1hI{tb7hRCmCJN7R?G()?#7pO^2}4IG^YG_j9G<^&=G>RL=UHG`5>jJNb%H zZ~T9ZvkUiAVo$%x<)k#?$r$S(KSe_|sp^wKKfNDqEA8UPC>fRq#XhCHgVU4|j5R+R zZuDe5AiDb5vcTRYb?P3!ms_n56AT5}1|E?oQU#OVG;mxxu^%Ok-5_LU7a6|Y1<7ys zZQphl#ybVf^J)AmHKzLqdS(Vt{&KQ2{TRx6N%4Y2yt_(hU$er#OXzfb{fZm-^CahR-A zjc3$tNo=w9way;DC>#wWC@xXjd3%+8S_i+;FPB$&R95V+R;DVTd17h*VR8kr(4D)E zJhI{?He#CBL}ERji9N+$6E@Gckvo$}_SFpi&Bw?CB%LD@UkYsdl1|Q(Vci<#b@24G zPJqO-T(>GOZYQ`xbMRr2;qZ5wqm+R;5B>YW+Ty+o7TH}~SRF2GR}QxSQCE7Ur8wZ@ z_`pZLX1c}d!kl!N=%X{c%tXW1-z>xU1%fOqi4~v=$+@!PZoI|zvt+2<=ld)1MF7nupQ>!YR<&8Ehiwud)+R=!O0T(llHc-@aSg)pXpAwTSc z!b^gTGQ>U4K{GsiC~*x-{e(wK4&~77U{L8!X>#0J&M3nDLAxXFq9Db>AA#+(hk51? zH)D~nxEDlL2lov_%eA2Bae4W+y)b+~(uZTxq28d?%QUq+wfb6|yv-ijMSScWkdbAe z$gsIHWml_<(lvMYXxumH?ui+hI&6)MdW*=x9@NU5e@TX8R4oj7wa3bqRMsg z_nlBsBF9>9xM!Zg zBjlktovaiAQ!BGWjQZ(^L~jh=6?q5kR!CsMbk!?27B*a=e#bDs^n=6Aq09GMEneCb ztKs{IvR`N&u;I$dq7CSGZ4l00Z%fTM$?e-Kx=nF(QSrsl)NtC`>ZQ~VhKM-O149@1 zrCtCx$#r@3C{#r*xw*9Y~p1WCcTH#hQwg8QN;_6$3BAq9`a4$CxgS@Zml z_5L%v2BxhBnxhE=f_!ATPgE#RIOORif(X*J^UO<>FSwgdi#7Z`nUy6|M_j{mpSi+3 zU1fe;nm!TQ6$&SuN@ZLp`e2gexMrNnd8$N)YCoYvXmYjT3mW|tb9OPJ&86P5#@-7{ zXAhQi7}pqqyfHomQu^g@xNGV^kSoIR~99tFAq6I~^XW+@0)H@-JfF15) zqZ1Ap^h)*GUIXO*!1VW*vt<@`ovWV`Jv0GO&F~WMO%2oQkJT`oF-Ta6G_Yb{X(ex6 z%;h%V@bF!vK2P|<;Q)@0a-ohA{Xb2cQyLRPicxA*#>+z*jpYY2d`*SkCi(VGkz!Y! zyIqlsHJ(YoPVTbQrlKBI82YMv zeQ+Cl@^pGXX6UqgeU*+@&kubUgN$t{{k^TnUcrc3a{%oSn&>}7R|TYlx@ z??V7gKthwmwv$lrRqJV-Uy3Wt+te%0rYz#J+fOt&@Kd>g7ejVIcfE8D*M z(8(m~%0B}0=45LDvdM|Ze^dgcJ@d8(imcPXuE{LdlaIhp1Khs5zRQY#-!XHzG`U^` z3=T?*X&BGpVl7S@x*-`5PO53mS&`b*PD~OfjarvJn-?~V8I!0x6)Av;{G^RAWmGbe zJ1DP~?yfI>cAq^44OP2*A=jAs8kC!^0d-6m@*fKb1yPuJE45jhB=%JYw@E0S%RjEq zrP9L_9m-AiNU!IFJ#3Q}QDR4kMP%A3SA~P1PZ1Vv|Fp;|wn{koqbYth%Qi%*#Cj_v z>zs!A#BYlCVloB;=6cFLgYGs=te7zrOQcpZriHD~w=oZb3n+u7>0#bh@hO-UsI+`~ z*2y>TFwL>5FXl7qp7!HO$>B;TVJ7L!sB7F(dUbH$fM-mI0OWLx9dQLRQMjY_;A<0= z%O84Y+TKS2Zkx$hw5qjn_y#-alb$g}Q>gpV7$TA$5l+OZCmRe2 zjP$5hCal6nsgz>1`v(4Hoq#W)H!`m&N9jH6%Q`olFr3GxO14IaK!B5}HotfQwZhBX z&HIHJ53k>E!XC%jVz;%y{mD|iTS_0dEn`>b^0Tw*wCHeo1H)iB7m>5SFi4#LrTAH* z8j=bVDC=23ICZZ+%p9J`$F7)cEudNV&P4HVavWqXAbP7w9hHDuhF((qrMiFiVXf}5 zF690GDix~(J085n*?sS`?XtH==+~Mi80fiy@Z!KH8m^7g7q`ouKkD(*`r>zFS1Wlr3oUku~+yyj{Lda(E#R{M_j0l^56eFIn;E^y1+a_C7_Aek;U~EP(?& zX3(os>MV)q31edL&1|yK?Fa$nKWYDh$v+| zAStUp#%KIQe>PEnO(Vv${!k});#IRE>6(9_XiNwA(HMX9EZG5x)X!+es@2NmaBjfd zOa8mQNuDkVjqX>MIa`FQOfXvEDluv1?88vw;ftaSTgios(i^BquK2Eeh$T6NrE0m= zOsS;E3f+x}+-?NZvn#n6EtDXMYmU@6i zKnb-J11flYqWP7-fNbO@A4$NmfAqGZLoCuPAEOg+&p>T+rDuxk0|CDn+4kOs4zQa& zzl;W3Cxbw0Sx{4=*KM!}eaxq1+O=9X&9QKTU^3$RwtQ|MqpzCAKKOhn2}NdyoQ&(T zVi`vO=Z~Furf9Gz@1axJreIM0DMVSpa3fMhmB?n6cFV$8Sqf`xQg~|>2KPwQXIF{< znlQh4s*NVkwte>v>M_&97ZP|52?DTB3I$JoWxSzTf!dJP2zx$-`L?&>4FmX+Gd^-ti_-DmPNb-#5aCfo^WIt*kCFPA*8+l z>(2z5P%eM0wCl1vRl^2qV(oW=HaZMWJ|oKdPU5|Kn|@ao3@7PZbL@c*4&I?anVtjE zc~ibi)#Z1JHC#bQxMQhqQ5G}k$xRA2eMX*`k)88ILB`ilU1jG-WaSnv;>ww_epNTz zXbj#KS*YDou^~L6U2%T8;_tLnK?v8NGpsh0#1}Au|4IswrORxot_E>T>6k;&jvS4Wgf+H5n)K7++q46XvF{HwdsTkx8E7cvlr4SL1?(TUp!h4&ONVrCL)DvA4v8;f z?`=|3?our4rB;|;{4iBgZ)u|~VBKFf$~yzrKYPXT>bxRwS8+;DS~t_W;YUo-eVs`k zy=$d>N;PYSK=24{y6CHkq~7-Mz5GYOrYrr}Xf!?BQpRYSXV;4kXX8`6jsyXoXTyD% zLER##Yw6<`Thj?l8;VjSu{eu9qC+hwvkDbT&ldOq$ z$0gVSSbjmmSF*s!p)qcGVJ|*jMkFv+W?6T(-m418BOaKIwF>p$p)b5Q^#x#VCWzMASydG#U!n|aq3LLPEL#7^jdI15 zOwQOf$>tLd((VTVb!=dWvoNV|ygd7r-rxgQ{D07UnI}0CI_(&-Np_~vq-jXuyd2Cm z4c|>!dB^DCtopufh=AOC*29R@li;fp-JG(4SbndVJu~_pSX=?l_;t12h4e&g56`UK z(ipj=?9ZY+her?(?SIztFyByJ#$dNcAagnF^}VMlntW1JPZAeF6&QPsq6!13HSdZ> zkvcCsV(JmhvLSBz`O;&^CF>FT`t7r~21WY!TLYH}hUJH)vb@v9xIXXF@*)MvOzAmB z*o~|HB~LKJ+C|dlBblM$Va6x(&pf^a9+)L^meCO8#l0ef#JJ%Ow&2iAP(Ao@{&%To zQ?4+Og6#tLS?wiTZU;7N7Td_mugwk$SG)eIG00kED8RbbIyYaH)L}SmYxVeMN(DwQ z4-5Y|zTq?_JP^!D0B(N07Slzx?kyF0MWE|xTR^Y_BagmP)p3K8o+V8)vI}mU`hDe} zm8Ge{M#B4{Ro0vr55?2!pxIf(%h?O-S^m)H{TjB@^|jtGFnAz&>vhd|P z_}BiM4=L|`fxgCF#{Wy`|cMg44Ip>X(mbP~P^KIXL+=S|sX{)m)5`T^`t%f@UGj6)Ty!Jk#c>AVOt}+av#%V z$V@`=+|Xr*V8RYykj+G6cj@KhNmrAs8U8mAeLGGqyzy+VT`S_;c5dB$xD5=xdO zqa0b&uR|p}fNzRu>(&C?I)+{n2mBJ@-}r0l!BQykm#F>a)|6w$_vST?|2Vr13Eus0 z$mSH$oi(9f6Q!+o{4HmduOkQPY}>pE(R{JP>?Z>8_JI3WD#)J~QJVS9l z+5%N!XMG6I$7-|ASOiZH{OVCK^c9&QVltwdtLo7XDF;1Al^x=YzT7`}QYu=_oLPK* zugOUcHvV9Seig=?>NBHsMrnFwkgAzAaqqK9;mE4%sU~*+hu{jrHJFMfOa<}j!m0ko zb-709-ey7Q#}tkldu3=F?*J86EXtWgy|3pm^U4dZ(h6sW zJOW&uYnDg<{z4vYIlZ1cYo;A?E7DC02rp!xP)3g3)OJ{JTn*lBoGic;vuW``uIDPI zq^B5`Bj=KFu_Dl(>%U1M{U7I67w_l+ApeMYTZCrcpRZzg`3~K;NQEk}*wMIZ&$yU8 zY2j`^+M;%AA+)cIWirK(Yk1LSvS3nq{fk5J7ljOP8za^ads{{BRm! zcL^m!N=)>BUPlI3lKnu=G3 zp$?7DDIs8&c8$EHaA@wUnvvqa2K!7LE)X0eWpwzaV00iSsAi)T%5({IXA=0dbsgKF zn9XUVypk!a*=~%tScji?%ywJxAf;1Q;qc(WEf67*+i&og@GXjphmYyj1N++N|peI>ZmoBxqlz^x*5YY$LjDvX)%1-{-rtsR2S8 zbJMd*W}u{sU;d1}z8E26F1VUhokAb@ zcIIvdg+p}XE(()(R56A=g45AeW%tag=Un92Oa4Nwp7P08rJdMxshN!wP}`~pfQ9os zPc*T>NbTt|(WGk&9>zr+Kr?Z(9Wn{AUozf;)^7Q~b>16iT5Io_goeQlpsHo|AtyVY zZ?|3FIzx1~oBd~gz1^mHabk#8K-)|P*lfoAle~6sZyuj=4}&*o?q_Lcfr?8%?TSEu zG7nC*L`kLv>})!_MeeaP2C@e(&Fx87e9LI~k_fSno=qO18Rb5*kVIDq_q~Wdg>Nn= z&kX;tTk=ca9YwQ}ahzyYtXF(|vc`z8$?r78?5rd(nvI8-u`46{gh=UzD3Nv4@kOOTh6 z{*NyQ^cfy#RYYtv+aMwXC@rQ^a8aGr>E#POgm1Q++2&!7!79I((rKBwa8Vqe6fJUW zuox3fx5O{aPgcBXvDAhks!Q2xalT6_>Q}}IJXp*kSX?}~d9!d=d4#2~haW(jSLS=q z6;%e$L%PH!g!fU`w>BZu>3@oj<0$?rkwh3fw4@c(5jB7xJq z3Y1JaolUHG22HhBh4MD%9kY7HH*4mW$Oaf-@PD4kLGh-WcKk|dzxp!(A=H)0593N4 z5$jYL>lAw`i*B?7-jUrW*|X3~uxvATOI0zy;-?r4ui}u$>Bb!Pa&O7^Wb8YxStBV6 zFTAUd=?RW+9{)slQjC9N4aFQiJ%)I1HKaD^e5}-#?qw(rl8+$k4fFQ?Q|PB>WnHojrfo|F#4^?9GXyn9z=O*VdEh0($T9e&K= zYrtbF#_eN_&ig_ky;22QiEMK?#!^0BhHew>{J~NW%ZgVB^4z@L)-n(qU<`$-2MJb0APD{V z7wOX`WP%$JYQOs|ZZiodEg(?l(_4N-o^FD!eqFk+PGhf+ zZiI>*Cdw&1?6N?67AA@y6|;m$4)Au$_%#P7CX4e`!zlt5^Zc7k3l7>3yn@J%vnXCU zx>86k`V3pKt)QKz^^{6encgUq-(RE&e1gG30G!y-e%xJB{3}Li&aO+du6N@~J=tBc z`tX6KktDrVrvK*aW7a&QpC?F=7Y%H!yrbLeFE-b5jZ&C&iAIf15Slv{$PxaiNd9&U z+c1D56(W{#lKv(YCt`0%hqPH;#$0-j5pnzT$)0YKf!CqjJ=8vTAXn6Mv&4;Orc!MR zKpMpU47Fj@j(6v}$Kp&hC3npVFu&jaPiHv4X`IXnC2dCY|=v}Ojr%>=^ zx7&|CTMLj z!?cUQi@$~x$ta=AOef6`YuGv@6$rMUz21ovIPuB@<=(eel-U!WIqA}g!@6Z0lTETy zm=?b6y+fDim-#c(i+u2zX@R#5dEYp{1X@2+wDxty7)>1QeQ*j1w)VAZs^0?w?sQAo zxEsVA5N1oBMN#O9QXoDWBb>lBkD%pDt1{+i^Chu;Y#YRJY;(31IVhIR{dk90j}4c` z^p}`CUZCv@rAnI~b$4{tD(dzhkg}}(=1cZ#{uPck#xXH^NeY2=ka@`X^I9Tg0UNXZ z!+5}fFE>sAWp)1JN@qp35;$&_l|wJ0Y7IpFjOFH&DNq5Y#f1dw6nrBx(ZY8MRF9G+k=b?w5e2DCH@meC>jes& zOiiloa~13QnpB{K?)+6Tfw$9^>s!94(XimB-YNn&cK$TBK(6V&ip=` zp8*zg9ZZ3ZAHcCE;gtz~#J`|zR-_u}>SN>0h*`StJtyOZHv8{}dGRQ;6K)CDFd zk|{hFs&n&6_kaT}_X_ZAqcvtb+Uz%Yr%f;ZGpinUmo3T<57p$d!Y9ihePKFkO`Wi7 zTl+>HxMJ!`RqxpfW8FI)QXejZUOLeBpSOn>O%a+KTmm~uB*qXA6CwSbC*QfDe`Iu@ zOq3+x@-9lvLSHmPNW^(vsK^GhgK5|UuDSRamC*G@wo>Fds-mUs)0$I&Kqt`Vk$Pl?ZT_}HY?@Dz=;3fef!%7`Y!wb6rH1IQ}Ws-3x5ozbg(A*Soct@ zdv1C3fHn)XffRjZNh-Mxf}LV44-N&Z>cEAXO*npDTOVC)<69q%)2t2U$XDct)Ylw* zKVgqopVpnw^B&;;Mh)ry^i~?zH-8vcFkoxb(I*AH8@heo45@u3>^O5!m>7h;r4gbX z1ld#+3O@=B>~lCY&po`+tt}t;6dqP&EVowyq(+`z+bNvJL;ELBg1D1TRdfBRCs3~8 zC$~v%{3!HDSD()}UU!5dY?_DVMXT=Sq_0wkH4}dtQ(d-7m6~|;wZWaE!Siv3Z~Bo& zg>}+$JSu8M)DAZ;M(&K2`J8BEYW(1Nn?df2&xB;|zT+%P9pHhFz)E;PnD^H^S(jtr z638nQzLVZasJM6#;~ymK7aS6tddSFrMNG&d`WE2o=oBIe{4PK4uu9^TeI(Imb!36T za>)RHQvBmLabp6lEuoezGIj%7pA;JM*q?hKM7ad1?1U4Qg9aU)+}u9T_}=5}@x!{f ze&n{PX^~yGk0g*x#DODmbzuTrR}ug&c~wyBBex|E%E_Y9KIaeu521_85639(P>M74 z&svfm8kbeh^QQ%>@zAjxZH-@bKygBhi#O6|qbD%xHg&_t7~TAD z5Af6Bd0+i}Yh}{*#4eEQn|W~=(UtiWHY_U?85~mgO(C`1^TSk(R3W>CGjJw+oF%S^ z9s_^*%PK7=BeqGj)GB!-rQ5#^)cp*eH{C`6(f(E@HucUK|9Dt?CynxdZwjZ>bBW|Nj&FP7!a(b)>%8?7t$n1yIA-^ylL zs$)-%DV!4I#vySweX(g1fEzi|+D1IyAd&!4GIzrGAJLt^Wra$;;@=C04x=71_*;c= zLr-~{1xih@$j-g*H`AxdGodMp2 zB})WGkD{0E3g}B9jY5v4tIVvw}MTj8VPCd6P+E3{3OYm@- z%1F;fKL1Y=odyO$wy;-mAIRCpw%!r@MK2E;m&Pm^|7+xLiH#_5HgrSY3-EjJeaSi= zoPUZ=FIJ9$FqQzkN;^a0{HJ-`<7V>`p!DFI$9tOm*%QY$4X&2$o1D>`vTIoD@@C+2 ze_wpS)SG;nf~IhTAmo_Wmt(u!(^-B2BY*=q2E9hdeAN9FPvJx|AL;-! z;Y7}SRJ>8{xVI({IH|x!yT7hj|H-SFH*LM2w=aCIlC6ZT;hX=|;T9RKlE$z-eksi}!_x0h1NF=>&_iNL1D&lBIU}WnP z&S)Y16L?$)S2c9;Nd0+X_^KZZlj` zozyrhyga(?5i6WwGnjeJEb^_cG1)mniX0^nD)w&Dygvo{AC7IVpiGsYday9i__6sMba)3PzNgXUQr710>P?4teG^5RaFjrsu=Hc!G7G*C4o*p=n zsJPyb1kSFvYQd5}ZqUA3n;GCtyUze&<;B@;KWWfUbR z^*rzaWnRUB!A%9D4(68;QYOOhpnh$ht@f*R%y3*V<32kkDCR-_QDor5RxF?vPu6ZK zMWd;x=;6SSG4TsVJ^TOW?I>F!_H&G5qQs{8s2s?DU!>mKx;u=Od>9_JtfFOT%geV; zeN_|7#U(|C7+)jP2|syJ7I*BjhClF$F?yT$c$GJgMp*BjB<*P!hcq{tG45p2rBzAI zsl1;36_tS7rWd%67!wdd7_|Y(EK%aHt4xhf_wSZ!YGD9xiUJXpH$=hbJ{@m!GPC*O zur;xyM-J&s)q$U4`M;(y05pX;=K>s6p2NSfE3A_U9fFEKF-YC(;eVpovxuYeYO_09 zGFVyxA~SUGbD{J}z)Mc#QHf^p#9x*k)9}}KtHNQ|!i<527oGRs8Ng`mgoCU|`^-Me zBI?=N>(|(0w2w?pWl!_k@FPB^pX8i$yB9L!LZn^$peJl`z)kd7^@$tAK>4Sb9k%0u z1+A^IS9|>z4~Xcr$VAc`oQYm#?BcR%)=U$td6)oG%%GVeDERS3&2fo|ty11_POOSv z5#{i(gg6Wy9BZ2q=kSPy(uTA7mgJ~g`mf_E!s8l49R}ZZgWO2u7nP+srOyJ+dcQU; z()YC!jIg5t$7!tOEY)Lex`*$%zdX~YYA11-n+%H@^CiLXXI}Jk{@LjSzrzLgWr&a@oKJA+X>&ES#{?&eA zP5`BS3C*OSRUa?pZvYOiI(uFW2+D1pED!QtTF|q@h~PYg5w+o0ZB$G+f%bzIw&~3G z#1%e7ukQ0D@92lWCrElP%zb$ouFGy(Fh|cih9-ptF(>EKr?sWh6s26MUAhVf(Kv)4 z$Rz<~Z$K-7!}9|!IVu}FAEt>IgHpQ@Rn*^Dd;1N%g^K`sV>EN zdBQPyK{OOvC1)v!czWHkd}}%;guT=L8TcwhJSLJ;)cR~Y{su*=SQ>vs?tu{RpVQZ@ z!u`!4tvm)*v`vy-DYQ5GY%lqQw31RH6#0CRp2h|J7874-h9}BLphoD1XM?sEN1u+4 zoI2K+v4Bhc+jHIaH23t5VC(kSij~ixXWa1Y%rCF7_9ODL1aEy8c2IGApBqvNw7y_s zx-dc;^H_7j#d;VBQ9Rhum(N$I;qEG*2pBgBd#IOr;THbJSA9=?tCuLm6<{o!R|kcD z4>e~?2V98@v}nojc7_WUx3bK6_vloSjH1892<3<90xB5i=~&Y=-%I=wA(3^y!21 zSd%y~apE z|3+w8aP2ckL|U*moKw90?~HM3r!pT#Gy4#htFIF`)ON=Vzt~M9tvnwE=u>mguD%F7 z=)lQ)hHN}7YnJEr$5G=bJ`8N>Q+BmebRPC=I+|i=dqICU_CDy> zXb6<2cjEaqIte2e=j|LXS4_~B3@1)8_9p%r2wT~{OX#^aED5b57Wh4yJss=POPsrk zH=@s5`%^IA6Z?MOZ(C#v5W;a~%DMalh|zt(h&=_Wp?BCN7|1Y3St|vq1S;0frss<% zkHk;1e$LX&h0KoY5NvQnWce9`OqCaNC|5E2SXu#RS_j_-<-E(>c3a?YTnr2gvyX+T zNYDI%j_Y7#6`gbZ-Qoh}E=m*|u${a!gGo+QJ~FrctlUPTh|iEU0o<;RBZ z!Mm$53$9o4$2E5zLAJMV`winoh82G)#*}dLWJF5#X9141xnp!_DHgwJ5=g4tRd~_w z!$i&5WF*#()=Na+RKiwL8N^b5WVg_0xVT8B{mC!2qW>YBt!tl0wdTjXqrdInSB4r4 ze7QI_`5pMRi*US8at~sYbFVh}J@*ra=hz z8-eG}v*J72vcOFFF(r7i1y50mcozC-pcvYZEnPAx40CWHKPDKdnz2hBvOZyMRwzor(={LficTQYI5|y)Zc>pLy z%+C`2EYr=#1hjYc-SXMBbGY@IXg=eO{1Zs&P!deOA$hjo=R%Zo{JQn~mQk(m>yhR% zQ>S#vj;3fO@YB}5hVZ5fEi8VYg=+{HK~%0t;F#z@x(#@+%)NKxOED-GyP)Ec@(zp$ zV&-=C<%!X46?7FwfU!6v@(_nfuwY~f58(2|?YsFb>*1I{5_A?82F8ibncEvTzjA;> znZG>*fZWf&MsAzM|LR+PL#}npn+T!-olQ7T(|rt8a-PIH(V(SyCHT8p`CYP`l4nu5 z4}(IkcXlLy5ZW|JqvBR3KR7o4tdh-M6>QNLKMS+Lk+_pM5ltYK~n+(FE!X(9576QpqVp(i$nXqF#!~v zOq+EL`cfm3>yIw3m~1k4xx^Zy7}C!ENPkgmM9tfj3E?2-Lh9HRo{}>;!nRQ6&Gfcp zA=|-8T8ST(7<)#ME>TxGNnW@17C6zmDPV~-@{*iMxMHkN4wib}DX!4scl$2smN+DS zgI`yqS$C6+ik97Ta6p>->rbaK%ZKe94{Y^srxRBzxTvkQh!AL4ZGh^=SP?VS@`*cYh3YGFl#h2pT!ENy%Dvu8SEPOd^2d{Cdb}HxTT73VT-9>me z(zQ0TXeD~bGV8tRr%8rdcK!(ov%h+h9Iab5np3u8)k)T0#J=3C^&kzBAoIoD$jJb#n4mgNg?UnI7e_AN0_&S?&a!{!3)6UBqxI5+1P zbwwoP6M>nbFVfo{t;dLem~Pz~PRr3i zc&#hiVzl|+HR#+72ld}XqiA>vvlCdO0VI|HwqrA zeY&474b)FFgREdmw4w^2N27krrU!+hU}4#^wt%^mZ|vf=T}qs1w%J(;%}r@AZQ&d|5juPSwc6*0o& zSea|@`Q@NjXNi6TD6gQ-H0R>mn;d8kE(5lH4I~zE4o&b zrX(k9vYLhBK*TJFi!1}?sj3yd^gZr@R?82F3Wc)Df6NrKJ1l4^I`k#i_V}4fq=m!I z5Pr~`#6I)^oI4y|lg4Mp2;K}4V@N88S7tgpCS^fjl&(`g)fW|Y%Tul4u6Cx9@Fg!W z3tj#vW4CaCm9KHcfqMJz%lrPaRT0dI#<_iOr!$72A(an+&c_E9J#pU-V+G&pz+FRu zV5*#<+8pVXe){8)oa$}H`KDq{K*slzIgyQL zh?B(ym64Pce&EsauB-HU`XU}=X1#__Uw~9jnD1xnWm{<6w*2u^YmOD|Me5L&OTvJN z`d0!s@warP0Cik2o|Pq5p?s1Dh*yxQ<73J?4g38Lxl0<_V9JVeb9u=39exMfE%uxB zJ%u7?VJ!$L7i05dvlfO}uKx^oa0|!HPaD-1X7c~Pkcw%`;A@w9u>0$}ntz*19NKtv9`kUJ zT#-n#-UNnZdVfp3naWbFDpdR)ZIcheOt-n0e>I=*3{w#Q%geCTuYthZG-O-wX-<41 z0yyVm`vDAzC$LVRnV`qky8}NyNyByH#eWfan2BEmRN>4=x_^AY_<+^K?oVLU#rzvj za=Khg9F?Se=Wb+8u?F1*GaIu*nf0`Q2c{-bUTt=r*`@UE3rg~9Pb z0P{;Oi_o#{cvvp#DpO?dXYPIVdE#%M`+!VMKKG7MV>nFHVa}N%LyM-x0!sU)7ssrD&YX{6BhFGxDNp3%7XG zHW=uZ?^xnyp?3rIv}Y#bd|04rk54EsCXdtD>1S_~owBQqz7H$%y!r}g?bH&!`?ze= z+x~;%zEv~AI72M|Eu0&5nkP~9>r*oF^XuuG*QTxxcrFw^JL9}fVV9=46pbzGGa@Lv z*BRf5Z=31&G4n*T-b6DE0yC%TBiwU>0lQ$2JP(pv)t|bmk_W2^mE{$h91PK@{+#Th z1@U*YHcKQXzgU*XI|u>2sD*CONbg7`ucA&WoM@aCO1-9TLh)II44|Ml0kMlD7iYk3 zSd?iSjdQG|sKE=MszS9mEwuxncSUavrZ-G|*1ux9?=M+=Xy!-D#Q1c7&v0{v?&KE| zbPFmRzf`?xPS7D6sVrs+xLr>W1fTiEQ=M)}OHfT7ydS;=Ec!-Ki4Dm1>Xq!1bR(q? zp*c{B=%&Z)vVT0(y1wGJ}qAF|+hP40nbQ#SL4Qzb|9M#eO`{vodbe zby=J4@r=IA8u91$5BR8F4uTUNmZ{2YWpXQG=K1F`a|WV2E#&|)-2hZ-QWJD!&Ud}7 z3+a0RCZzzceKo_Lt=5$qy4UhqrZ)0)|NSk*2!E39J`0W)4?gV6RD-EAdAf=;u8xcJ z$`YKGxj$)Vr;HYt45C9k&mL-a;?)^kkSySrs~pRN{_I{%%T}sZYcO6D%Vf>k#-oy= zb2F&@CUjTQwD5LV`Y=oRJ$X3Ro-OlN(i7*wZ0k!Pc%Zri!n`W^P5AT%+7|`0$N%G8 zI-!JmRK9J@om{R)gA&13@_MA;W$_~(PmZrH!h*yIrBI>UT&L4O(OI3?x9i@`Fl@Li z&&>S5dr88iL@6dcv?-Pz)^Ts^T%Uu9mOp#WVa|#ZlkWbJI~51UE%pa7bFeoqYjbe# zO(HMwspniVyP0bu3n>F?NxRorY|{5x1C(uhse4<0C;C5B8G4@M3sbjmmCmcyW0t>& z|5|(dH}{K01#iw;>HsSkwXVyLwuNZW5er32!3zAUyqem*2!575kb*Luon6xIAD}EU zm&fL%rbV|Nr&{c|?pzfoJo;S12GQ(5U6cZjyE(X3D1+VT3f3k_vQ1y+-Y-+j$okqyMOu&S!(e$Z^)s%^#zpv{QT&4rPWuUN(mciOiW0h={N6r;1<6bF1Kbra_^VuSy-Eindt z^Y*gruh_3XjY{Lqciajw9mLJDKuk^CgXngMAh}cwA7iXf6eO!Iydv&f6~t4qf|=s> zGI%7*QTG_RJ_0CF7Aztve$KCoJ zJ(`Z*CiwoQV?`uC+JJnJrBuBiN%-Ol?9g-5@YTWk3Q%tHZM341av&A`O!<8vS;;M) zB}b2g*Vf(KMF}46K|#G8z#LkiX`4=(QQkW8 z(Kz52o?w3&+`LR~*bv*YwGy(tsJS(PJm{qr>jpki4PN9 zq1ax{*H&StavWX2+p&*RAW&BU}RSd?H2IX*GoA*upZd*(lq$;e` z=HyQkZDQbm3-x=<1nN6tZh2ifbM9?fhWwkyAr01i2ic~ZaYZKSy3JPZ0_i>w@+2Al zVgUO$WvncDGm*>^-Z=v3NYev=toB$I^CxsyDJ1Zrt=(6H$7ZesW?EsEeOL}m(4e06L4U?IEQL`5!Gk_G4Sv7Gbtt*vYBt6YD)Lu5b@y2(29U zA7{bPJ&*^k@VxXOdSMN(`NJ|$CH*c(6nMbynL~rjDWePURVaHL1eyo1mp(vTqL35eU6c6#ue)t5i ztWaN(#56{pRB`C%45K{5qa2j{mhTN-`NwJ$)NN%b$81tDwtEtZA)0pf#2T-meFUgp zHgY?P10zA2>h*q5$ZBKC2_IWz7|cNEc-LzDz2trlU|oC!q>QZ&E-O~@qe~B+@{n5j z$XxyHKHLA6Ha{S%-E&c~a%t7c{yAr-1D=%g42Dzk%pd9HhpR6R+*E>a^6`<@5E{1! zg#FRE``ZI=1QGe?d{}-$`k>wB2#4r!<}9d$^V=cuDEx2_I{E73{c&)67NLAAEoz`9 zRAqcExzYyoX+#ZWRQaFT7AZJ*rzd^P34_7+K<=*WAcUkZFcH5@hmE|(bxSjMPRo{z z#7LfNF`$RhfU2rHQ22$UZWDAIykMvw?a+VVTmPl>rod8h2>Mv-IBJQnNt9F9*!qv2 zJtPEM##%D{9oay=UUgpzK{oh5t_%@L84djXmGt2#-eoYd(Ued%A=qfDP`4(dbJrH* zC~r#)ewXPtbtJzYH5TX|-%Bh1b8>=Z_UPjJzMB^}86iDfPz;Mnu__8h8`1{O5+;!J z#6du?{2r9drINy^z#flIn`NACuLr5zyuJ7K@LA$#uyB;WV~AmSuf79Qpx~|B19U?e zH~vwT*4T(utVu84es8x@#CxP>z(%;$JSe$RjWtqKabkRc!8Rz@5f2{<@{=dhjH0 zc?VoZ6C4VkGXgffI zIA*k99WYq4Fn?>G)EZW#!h&U{EO6D6JtDabDv#qzEQ>7j(7%62L6U=h<46GQM{;ou zCJwMRBH>GGwJrZWIvaRT1`E0#x}>ZmuT3YS7hR`^3#2M)+Fvy(q)T#a$Q<6a-RuA9 zdrfMQWT7*~)kW9GCy(bN$8sUOOvh@3H229J_<6Rf{jY$S{ZPGLq0_4lp{=W52}cPs z<3SD`j3=HB8|ea+8+8^@wnlo5Fn>~eF88PZI%Co)-i4cGSNE+|p!7bOku>RlS>}feTmN7NrS9r}_M19nmpxaSlvQ%e#bqVD z&THhY7`W)mX>1r0ccUD13SnObf)NSoeO3RS;f*pviiGl=dPB?umrv>GjX-R=tJc@T!mF61OBB znZ5R(5YK|L&e;#ymG-97%#{Mnlx^K@b|I8EZIQrS>bGXGB4cUPiQJ`w_%X$l#OKNn zrDh+N?OSh6^tRR6$UZB_G*T?Ro}wj`q8+spxJG^KC#B-%*)klRrDxr& zF^K8Zs(wDdDwVLmOuF=gEA>a*2V$c^u~#Ah-qv@@Uv0WChKr`(_fi%PcurOrmN!9p zvr`nOXtm_%Y-zh@^`v7pVQE-|rEco21(6y%HF~F>#Xc2&!8XUcHAymjIEat^*^}6W zsJ+&c@BFAY1NwrQu0%sN2u2oHMt%Wpa{S=O_lWSnsXy^(_rWF zgFw5^7`2JJcxPrcP2x^ASENz>_rNWw=U(vCFvhX^HJUOb+`=Gy&V=-CM)o?9BB7>; zctRMQ0HVTz7j%dN6Tnx8dK~qu`#_0mGYcTJ@5Diu2|t2}ouL4N&bmde@{=d2VxMqq(}HbyXDIT^ z8r-1gQy%`>-QFbNl|#2L{mgIDJ#(wSKUGs#;$G4RR~Hsi$>ElOW^QOl#6P5ZarVsa z@?M5Bj~CupAU zIO=iiv8(9V;JNN20?k{_Wqcvm6mTRD5m6@1faR$1%17*SE;jCNf z5ue8VeSaucJ>-+6+mKqh{t&~$cofWBl1|JFHOA8C5Fn6VrflPVk+EwvX&}zHR`~}M zq-fXy=J2Hp2kNvn9`ezJlY!EhDdJi;mJ`rN1quN~fxP})8=|A&K}5gpG+ZBT?7$0u z!`CT;^xD{l_iUy2p6kTcy&qBc2_x~|q}*$(hLz=y<3_aXSH!p~bcTM}NI#w^-*yfa zt4o;67_%mrKfmXiTzk&3v9dq1*@>TTszL^Eqh^jPuZ8>7#gY^exI~cHdid06ypvWl z)4u^RU)(^uN10Yo2`Waj>?m5ca(nJl6UNqI@I{n!5;)}JunGB&+|6e5jdCLOfZ*1@ z>Js7eWZdMmMWGoDMosk7eUnI}``F@)RH8KJagLDhd&VLA4?TbhLsEDh=gy(vPc7DmM<@wbS3(4tL-%~FWS1uVMHGfTNh(8{adk!*o zJHwX*Sr0E{aV4KONWF9~mbH_mb69M6tFM3&>F>esM$u-cLl=7<0oeU>q8DZ-lylw; zxN!BbiZ}>&nx)_;aJETvzG(=`dyUM-io3yR3B&d(9Xq?mo631EPM6B68KPo}?NEWO z8@atmdL0NI&ghpCLlV~}+^e;fQ2HL`w+Q|}5Rvt0nBr`rCB`+z1E;n{f)j=Ms?u{m zKggQXR;&590OuSazxu@J(g=MJ(i30#3Ac-(^K116_!qYS$x`iy?)32e&YIT)#d#iN zs&X35wDxx7H4+Nsie@Q6p-9S3`oUIoP^7`@G&Mx?S9Hx%3#;5(>9Ng0{D8uUPa!>N z0ik{kLN4GDK64?g%99hsiYI}De;GTRt{d_h2^a!VzGzV&-b6D zKQrm3!jC#FeO@-4n`e|1TGw{*K+>ny^Mh$q@Y{^_#=Rh@^eJ+ZUcujIy{$U=i@Xo@ zu=3uJ=s93+rOn`Z%se9o@lW)q6s4 zji@+q>-R3!h*qemb^xHHkn0KhP_`Yh!?dgho<49=2B-jY%9 z`t##LY^R~kD3LTJIaK^BrRy^ydqf^g@X@>0Tb@&fn4W83;iUJ48yb5 znRkBRWcqmCu8kv2Kk}@7^gK#68svYUdAJ6OrKNTo8N^IAbndyLW=M{irJX+tJ_qwX6{jowhBp0Q&%g^SkB?V zTt)QH$?dXNA9#|hg?#4uq^Yh^UllmlM_e7AJebgzdRRLSQXt1k zA|Br!rs7M~=v2&7phV`bm)Wk^^Hs6OP@#uUC8}8CT}gvVDe^$cKuNzRqe$SD2ZZKn z8~sxl5&i8{R=>9a{h-oy?y8)?(_g5xvwQ7s9-5X$+mYqlV_0l+^tQk8^m=cwMIXki z3o*N8uGgjbMdjM4ns@P!EdH_N0IgCI$-ZzL$P6nh*pPE8T`x#iN3jqi?Wk)$QQFgtnJQ2To@QJ|0;}&cE4?Ls) zu?A&9H~NJ%_>Yketc3DBmX90sFGY8jAC~>|gNZXn1oGh0A=oL~Tf?`!gG#syFtH2d z-x3ui9%|95=aD2gn*2+aM`9~IR#xkdCPY|6Ee7ZzzGY%e(U&#)iB#{(d5YQnh4lww ztX8xOW!ln==81n9ss}$O;|cVLsc=i4PjPa6BBPsUy6)Muy$=pS;3I(64+sdTDlrMV z^y_m_X{ee{ypRMZq1LdL&tpa;_0ndq3>Mzf-pC#ANW6L&FcjNJ1SKDQ2$+VaZ+Vh^ zeM~yP`$}Ev%YYKX9BYeO0rMfmv#2hu++A&FE8J_Njn)mqTnJFO&DGZk;qCNaUL6O@ z6AfMsWBIAQ`uU+_wyGHL7bP;N#5bDx>fR#p)j$+djzKA)LSd zP2u}Hz6}JM;l!C@je)!q4}i+cD>5DZqtCJ05@lMHGJN@5>!qxT65>ItCXw0kzW_dH!M&$c9RQni_?S1Dz z3sr0o*=>ta^YE=$2r)egYk!>U7mt&7-&42i474_GZDy<^p9io39*lIjv|64qvX!sx zy6IL0VlUx8)hj0^N;~g}q^%zxgJP-6Qi?8#kxC~X;+3{1LWNt=sty18nzMAzgLm^P z1SNoNFxnF;hKj~@$XaH0da=or+gO^N+A;i==u(qF6!uZVxrt8a2lMKaRj4_y+}N88 zQPUES^i!`LaQ+tX5HF@5$2HLPHbtz1Q`{LZ!cu_L;p*JEw84aH+4cRp3t~z#bW;qqnk{HHtjhg{~BD3APOmWw z4?Mo-AM}iu&6}slqT@Ui>seps70Yj=-wz^jyM+~&M}9-bgJYAYNX{OTlTazfC zPiI<%#~|dWI#%UV8$451z~F;-Qd@e-_VvV|aY5B{xilczS(b+%;yafO<3}M^fZ%dYMCVY z{99pN*uC2HYXg#-Cn~*6_Y;&$hIs2{KB=M)9$UVA3~|a>dS`%0Pj&7w`(#Qi^&LOW zW3ZIJlW}g8rQT*UFj5%co-Mkgw{^KRJ{e`sWK{A}=(3s0V&g=-9s$SW+7751XmF|k zp-7QXy=P_p#rYZc(>#tQ9=jjW*u$F&06uch_^mhuR**fd_MbAAf}d%NTcCAvRqis- zl;RKU;J0dsw}Dx@CS&yOq}x=#0)ZKJjyp$#>z4R&{VrJOzi!p&gx%6z1;B6L_dROo zXh1r-2DGg0Hg`n%O}Gf#oLm+`_?>|imJgOw?Zf5YzNq|tnnSoE6%!EPGw=NL@b2Av ztsNGc*Tl_QyTkX{g$nZSxDTD|c}rwDD?{Sk_O=;d+mP9O zc#`ZR`3w20t9a3>E+j_OE04weqH>tX>POmdHjL zpXIdq#M3Rj zFG6iz=UaH2-mX9fqL-n1xuNA1%I}~rQ19dY*&e>Wn)`n{m6JSC?_JR^-Zk?VDh180 zJVm+`9X+DHMA*>@N29m?`?K`O=haP5;Ke<(chjPG=SCaJuxm3LwL@(OfSa_4Z*U?- z!wTS)_1stCN%K@4>;qAgP^3HM0yiU)NAe(+3z3>w5j&FCka}+|@LyLcr3hIOhNF!( z#0;#mGrdMX?bOLDoKeO&ZPDLnB1&=&-A%%CFN;e}DS<$RLkvO@)(Ei`9k7$UEMH{SR5#-^6r;O{#pAxOfCgmK( z6-$nv&vGsw1{9D#`jp=B%*ml}>}h+zTYXp_%O|pI4%;PnqQl*VO>H=F*Vd&G0fQO* zc^KH)*nz`SYI}v>ff*(75KoJB<J$!%PuJBsdJ3iy!zUr5jy6lAn&+1wv-j!A!j>)03MVWt|7Au3_5V_0Wg$~@ST@*d^y_rC+{mN8MK^^f?0wOKid>f$`41w)4xnX6@3W$pJ#VAb5}5R`6k``ncWybo6(?m zu;7u{4k96eI8)>myI~tnwZS+>h6o=Eo0~}oqTr7U$5>g*Hv1UvA+FINt}@1{## zpXv>vgyGq_66PZf{Y_XON_+LAn0P z)k+<-`7DwBu|_sQ&qniO2i;@v^y^7%8%ihMOR>!AG0Y)N@fqz8vWH4}F)klFS^Y78 z4ANmZQeXt~ZH!GMWE9`PAi^(uLNH%OaVuHc?82eT%$%{=(qqo3pLEuStF73m-VVrp z(;@Fx<)}uN%`XLTTx4B7?eFeW;!Aj4RgfKR?9m~*Z8#d80y$BSgFnMURO1xRFAOzd zk>~htwrZ5Sj=!TldjcYT%S)$AWcnrJp*ihq|bTzzu(Ad5YVdij}(bI)m&Ng!uXp!`T#s&cz( zB>nYNwx{OKkLfk&>#G8V$@%{y>n+2g>fSd{y1S)AL|Q>)sG+;NL3C)4?$~svlt>In zcY~x7!cc>vfS}Sfgml;0@9%%E^W}Wmvx#fitl4|5=Z@!IR*B{qa8WLO!-|w(EH-rl z8|bqP_n+*5OMw0%ERECV#TKV>TFuIG+^>dZ)3AI|Oe9zMsVlnj@2SEkoJ{Z}M1a?F zFuTeUh5JI1xg|zB@0P5tnKalq2B~!X%;LmQHBS+(Pu|O&ssFJX?PIs?W^)`A-A&Z_ zi&cPs%(2uL+~2V^vEK#qr1I1o9CmeB#wKFH#E4uO9PQlSgZfJ6!FUD==Hb91>7qY1 z>jLgOXBBUz%PrDrGUNYhOvG=G${^k@lFJ^=XX?oDNPvd8ndh3|a%(+9cZLrAM~2hP z3!RF|E0J%kCj%~B_8`%!m1)8+cEIN2hA&2Jc1aixg=FoX^&Lv){lO_PdwS~dZ*R*MvqT9RvZ;i8#DgR@BoaZlu{HhuxLZb>di;_suOiE)RIu1%u-`a);Wk`oQ=yUdK0@3iw@~WQhSb>H%9#D0eG8sR>G>j%C#AYJ3}}iPPV9>isw5TL@CMZma{!+`y6<-Otu0& z*ACkUu&Wo(o0bSJRWj=d3$eKYDp}bI$+h5t2kQ>VxjU`}DI|Jls1-Iuu@qL~)NMfqzPF~Nu`7)$CGQ=`2n1b6aPdkS`C?$rs*;by0E=mS04AKiIA!f_s8h6?XE zX;r~k&;I!B#xE5NhU*-6y7ATDw*)w9KT8}>-m+cIK8QRT>RmCQwj}4{OmHii=-MsU zgHV6>i$rGiG>mkFW|`@!Vm|^{V_S<7f_bhjKRTU$@02POKaRZOIIeqe7D9aZzl0=3 zT0#(HpZ!Y|i@M4H-IsAiUfsd8A6a+p!&C7vOv%{~#^cB8(xg_L#c%YwVYSwMXMiS7 zd~Y=M3SCWoybjNucLlq+^!A@!==u^~u)z-@Kl-?Pp^$sZ!bSyPy3T6*kE<3^Z`kQq zJ^HnG#EbklFrk{VPSH3c+Ea>;V%Bm3#0J!NSbS213n%tykN?$Zd%qsg4PXM9zK+N0 zZ=Dci4On$*viL@S2-C2$!XIx*$}rFu-5Z%sY{P$tPqBk6&-cHHCBQ$hz_@MU_FsD$ zw%rw~UCs6#j5h{wGx+X|Jz_53fHIPmE$iq$eG&go(2X8);yDFuuId%{_koBi$$V`v zx{axlXWEK917<{4N4oe4pH)2qv{XL4x^*GGC;vz$y@jD*g^_S^5q|w5)?(}J5#>eO zKi_k*;naVj@S8^STShP*5--iLUmAQanTeX;r(z;@MgfhfAK`(t=@#;v^NL0!Y=L^P zEV3cpVK7k~&C~T;tjd;~gWgz5Fi%?aH9~bPoKzTz=~0g~Jt&F!E-)WH|1IVW)eaY1 zV7?;jWeJYE$?Z6rk^@^p{}BZ|@49zvfd?==?BU#B1t9c4zPz6&zx6^GoJ}>$!ovgo z3VJMF0iiy;)^PL(i}?&-mhf6d!s+Mj;O)aJ@2byR^7?aU7r}_}Xly4E)WKC+P)Qze z9Rz(*R`tKv9?)BqhU3iqhMJ$EkmRq{PUgy|Z}dqVsS1gzzM1)DRaP=CsgsP#n}2Y? z$wf+M)JgwKdhvbH6is-7X%H!vNrl!OKky?NGb_T7MKgh;PR(>L-T9a1b<^k@;u&4k z{65*oya;1kmf~jue7i4fTriZ^=kTvM*Dl{Z>WrbjgDgLDV@t?{Oht7bHQUi_s=IIu zo`X^!e0qYcBQe15kZlvRlWmTqbk(V!YE9jLZ+oy!`Z^y&T|lz2EB@4aA?Mu*3TU`9 zc5|4nWO!ZWlF-a&MNG!N|93K^@?e$I5ZDJ$@QyG*$;&keJhy82_oy&(;_qC0j##BD z+UStDqbjOhR1W;n{`;;cP1g6W%qJ4P^rfG({{+8Y^rw35qJ9M`97OhKQ6)Ojr*rp- zlsmBdT%!x8UxSmGHKtuzJ=g%`8|%n!qP`l=_q_ho=ZKew|J+?aeoI{0!%efq0?`8< zt0)?E;j53qV2?<^@9FTgOpWU>Z8M5W*}{wqLT#K}CM_?2a`*hHSF8HE>w~w(kbYQc z554k!{BSqED$B>e>pA~HhY(U$WBj3v`TI!kT?4~Ot`VC)nx1Q;Ltv+6xhc25Mi4FK z#IaNv+8p_V>cmOfh#$^RaozMGsHDT>j$^1NRhY-^q$P#z!{b=iltz3AG_h*Mt5f*V zW)FkT*HHeCdD!Oad7&CCQs|)L*X~`%0)-IsChG4yyG-sv-7}FFa7}gS5EE+Zw8 zFoAR#f%Gu19{Tpr(FNB*f=#bU3pxYNbm9j4Vtz!DHpL9hSW&VG0lWPi#)&LR6E$o? z2dcVPX6aWiE7>lh5Iyu`TGsO8WaEi*HKZZ}k}ua`#B_O=p!vc($Ua!sED}t9z8n0+ z{M}3_7cx?{JtOn=)*{Yo^U-4x_3DSIMZYjhJu2t9+V?sz&Pps>-H4}8V-g?RHlRO` z#K6H?v7#Z1QYU%M8hIZ>N*RGgoF`0i;WZ*jG4#d%9yYW4Zu+ z8u3-(tUat`4QG@-mSX(~2H(QXw>dYB**hsG)3=QD#=5DctJJ%GHgE5h!2NrxicOON zbvF|1P&B-ASAo<#EPQ)E?Kv2>|A>Zi9-4_S&datABr5eNlV28n&|vxQ#b1-o^_?!p z_}ha8w)fc6zoO3&6jxrCekESf7%Bgl6{nYIj;j##;o54kI#p+RX}pSyI$_hQbTcr zqb)iL#cZBxhQWK~nO_uS1u#rNpQi7;-_hVahO3VPN=;L=aVL?x(s^kiR?6pBi95l- zd9LyO$zh}R9DQunoDbW5R80Vt{M#y-m=n&3Jw?vn*HK=Xa$S90ep-Su{hjHgI6!{p z>_^E5h%&CN>LoKoXWFw^9sRC;X^)p+$% z%lH!x{MCgOCwie$ZNZlKdHefv9%rXV$@tyLtPVV-5cwXnx)T0?ZXkhZqYgI zk>{JH_m#$xM6H|~{j7pzh5OPH5r7qOY|w&kw|grJ;---wgtBY7a=oe>J%*QgFJ-t3 z9AovSdP^5f7l>U{d^;smjXQkGH(_SQ}+ue;K-kUkoS=rQ3cFeof^ju#Ty zWoD2zg9ws;h(UD7Y3N^nem3y3tidr>j_IP@@_SiKoJ-k)ADhQ;86p`5zvH$#nDr>M zgf_6>l&3)7J z!o+I*wb~DL1ki4D{Wh@o-L1XtF&vU==!f>$RGXx#_J%}<=Z(}yz^n^zxMzmUQq`(r zX^w2)3ooA`0M{c__dvRC2FGc|=4v+;2IsBPh}-QYy>X+SQ*v0xQq%uuY;2Dzt@@WF z6L96R9{W4`GbXnO6Dol$QRyu~zJ9_D_lskU)g=FbFk;2VH@f?=?DpKPF-hl3JEbyZ z-CxP=>ro*>Lm$&0fX6p{icQN8A z^&w!S!q@XFArIy$puNnbh^kJ6>dGiDitrZ)V6Kc1EJ;_<1#=KxO zbI#^#DCuTwxBh5M?;A-vWW{My-oFutE=*jVWuhCxiHEv-z`7ix%){y(tN&KN`z^k4 zWWKh+?UEDzKxZ;5_&S&*oNkNa=?;wLiY*-yd+WD)ix=@UvFcUR`@o+Jk*6rzm(QIi zmQLjYSD%?OI0nw7g`V9k(BIYLwuxN-73iAv_uuoi;Y{4RpuJu*z(2&k29DHrM(#Ou zj{x44!^?c*?4=YwfT--IFG_f;&1l$Lqo<`!dy!HOUk1gd(mu8SYLue=1?9D4M&e2y zEJ5dh^pM~SEFBIj_Ku7Y8m^l^O6N8Yrm=e^3QPdag1*ecU-P2q5$Bj8Xspe-SR~}L zmbw4&Bgp^$0XOMf zI_cZ@vTrnzwx(N*diIT@Eb^2Hk3>dc^)sNtl-saDx5$B}?3X&-Tptr>k>P=cjT{W> zt59Mcp*oQw=SnqpV==)%uga%z3bec_vhIy}aST38g9xCW*!S)FisVwuFWeI;XXn%V zA8ks~ODzKC*H?vK>ABBNq!LA1HK_u5^mev_Qr@s+PJ$!N?%CDPu~?pd40!2h0>Hsc>VjoDI3TJ0NgTEeCEI3WXPx1 zAHAOb-`(!_zq>t;Tl2F9s_*5>tz*>fy{4Mq<{$Nj9|ilu4}8*N1Z)zzUDnU!y5QO< zOp62Q8hiUi6{WYc8_FyjDk;a(Cqyz`oQwM-?c(Lx z#V2|#&R{c%H+L+gN^Nl|LW=LdVWuhlBf2j2=4?A+Q5gp^Pp=fI4HLie;(5+XHcJj~ z3_$UojQ`trNmILQg>+RQdV&z?;Z@)a+JkhQk z$`kolsGJQ4paAKUednjolbt0hPNiX2-H{?L3cVhlOYcLpTdO~h%SX!&ie-8W(X=We$=~%-LGNeUs>C(> z&56DN3u)xJov_rLLD%>f%x&qMcMmR$H`;m3Dol4I(CvGDFd!blTNKJoL6Y^tSbpp+ za<|b}QHZS_PDn5>QQURzJ^R<(s-)0djcnxGO$p;@#-dw))rlq%2)tB=MvDle90&t`_zW|3m0cC)&@FRI%y@J4i(Q&p6)c7=kTNi zwnU>MlsgYq2hWVar(pz5&+2X(fx50~=l&p#>Arh3; zo7|91YvO$tv6{^H!!*ij(jNouPMd%$Q_*|hMr}3PcLgl6X<7Imd@Og*%7l4iUqUM?Q3c(yZ*_>dsG8AVhS~wogXm)81#Fpx5r@3Xn(vmO@N_H*uMJS97)wtWY z89I-Scvx`}6rFxih2cIvt-(*mjuyVMoH+$IcIDtk?k%SViXhDK1|SpmH{_Lc_9VK` zk$z9DsC8!ps1R-~4`_3*$AEpXr7@Kvpk7b&DK<$-VEyKW0L*Rm_Vu;Zo=mL|1tENW7l z?zY}ev>xsx#8hkV{uNz?LCM04Rc40;ZqwYNEBv)#bW& zLw!LQ(4A4My)#$6$>$l^uKoS$?mD2-BVmTAB0VcYL@zKiRMv?ny&DXtG`ph!=UUX(P{p)?}xERX;S@gckC2|{!{BcY8Z+Dp6iu?;k`5Bu#Y{2>b5 z*WVF1^OUE>(HiC28FN>DjXIW!Ru^VjB+Pir`O^~2zq2?!4R6}B?-6?^NYnMd>u;8m zF0i+VhXTgIn|P0XAN@KmU%tvqWPW6KSfD077pc&&oIzm*!2DBRr6up%5KJSYGt09W z+6~xmaCjUq)ZAGii4LBc*pZSRJM*Qx!_{oP-l8@Mb3d}2*ja|{$Csten0GFiAb4ML zHQc^=Y0ktw0UHT4r>Yn3+bP$atQq#G8yC9XEBx1-?o)Qi<> zTMvVpvm&5nR3|AT|JQ8*yl>L{C}E_v-7+8NQ2K$3ZzmVrj@eZ$=vX!&D_0^fzC*-Sl2BjQFth3)?K2i>^gJ!5^w7!8W zEz(y~2`>|w`>18Ka@73&)j4PylS#4Ip?~^4X}Y*MwEZm~RavC43lrNRx^ZeZVpVqV zH6T6Ws31+tgyeR_@MX?;n%!%e4uFQ!c)E7#H zAJ{$-oi=7$iqb5;F{)jula7tM1U_Pf8OU*R}RVMX#+P>Str816$QG=7mi(*5B*cCEV)qTkDs#ww>$Dq$w>@_eCx^GqG}qh?%qj=?Bp- zG#v%nWRXPT<_#{!*Su|Xt|iqK;mjXCBSy|if)%V3XoBFvxvMRXrB zVQM#QS=GJYGi2)xY9e?^B0<7vy}<;ojqggP!y2Y$JnnL^kEOyvs~c$e)O6O08c|x3 z`aK+{s#&r81M0u!Q#$IDVx>UG$Y?J4u5-8-=TW?``(1Hwp3XIq#k=CZ?JPZAB@;}iyZ8<% zG1OC^`|H{Yi|k`e#F*CwhGq@8&-N1+UN?r!K|gp%7|k>(MPb%(d@5l0{;@tooV(b{ z*h|TAF00MGL(s0BhVLcS%K2AbArgVe^ZU0EJH(@5J~bebejJiI-FHbc({>3b zgv_B9<9yw`Su-5>En+a|N{y4uRX8L`ux744w4A%^^_+GX9(KWPwPcu61WIyPq`wGP$ zQP24<))*|W1OrK7Y)HJ|u71`<@!@WiyOa1}C#(rW7=d+{J`#70uQ+G}W^5}wqEP-z znEadEhR1#_-}N+iw*bcM|FHX%4k|@TOAj^80&qt;T5P&jc)HR9dT1rqx&(F70q&Ei3faN%<5dlKz1d1FALXV{i^@UH;YisC@x>A z=2#vwiQ6mWCw={6#Fkpc?vE9by}-<%Te-;}OKJk8nFk30?q3fQF%p{F=t~ak@4uzA z;5TrA*oUiZTrNZO_%W$0=i{AN=82Nm*7^?z{&E>k#{$6U`GezR#e*NwZ`LnR+msl2 zcW}Qcq0P(1Hb=(2`~gVLM@iotbIPOC7`!zXx%@|4lN`&h@*{B*B~GqHzK(Odi&X2f zmP{~gNEIrrOh5=*YNzyE?L+v}xPGcY_I6SxqGo=~oNQ~VD^OqDO&;IuNVdLp(VT+Fljj5w9>j-Ne<+mM!P zb4+O#`4C2CewdrTGvbR(?y=x4v4pBS3Tn~{={t6(O``Ie`B9V)exjafDIypw|J7Nj znic061ei^&^peeV=`pc&CO_%FWxiv&I)SxOHqJ?=X+*+Rue@HD>`k^aPdcIM?0o48 z5YF~j-^{Q~o^b0A0y~_iEbrL()3QZ5q)3i#JDtMF(t$;I=wP3KF@0)YKIkQ)SvDJC zFSNxz{vZ)H0h>e`V0&s-a=q{rM4jvz)fZ@7|E_`i;#@t~wULacq$jb1__A@O*}qkC z^iY@$kW)>b3bn~p}fZu<>Af*YY&yXKaI&D?WgVa?p4d_r=3B8cj>tKb1rOf$XT`WMkz?(?Wy|gy>rW>yXtR7@bJw z^loNNtZa~Q6%&Caf;JM#&LtjUmAsRS6)wO`v}Le*;;M+oOfpCtaGKFg_M>bVW$*iQ zcKJy6ZX#s?%I=ksc1DL65|%#t9@pao4s_jH_A0a06n4~D(tZ@^=DC)mZZ#BA`j{fHx$ ztvYS&7Ksa)yGyH$=8Vdo^K<~Cj*@&j_3kY_H?d_tgD}>&aAt0{fBtf4Lvs9E> z&Z>AdqvWfHWX1{1m?rq?M?NC%q`{pH9G>tU~WkDo5g1YN&poZFxj?`3m)X zHHjnEXSt&&G)D4&Ilm%KS3=_(U?E@nFZ1Qo#MWz+v1<|-(*U2s_p2?Dn@p0_h~r{W zzOuhy>=Rd26}{Mpo{crxK(&z{U1T*5wiq6AbglMDD_HheGTxzT%ffFEd&?YhR)tzy z*{+*>%@Jcea<3n89>*qO78GgA@7|O}%}{iSk4P&g|50fEWWDNIuaRALaviURF1tk? zw=7(f>RXi{f8BT6wzE=Esb*OQM!T&ZG ziKSn*6d}an^1#^iuKNve`RR^g90$q%n=I;v(|_U%dM*KNru6k+{G|&Th>sBC?dR?5 z6{?J#OD@3gn$zq_ZtBwSw(Ye;fEJ^`!}|&X`*ktEDV!otgsFXji@tdZF}kR_qLO&n zH7L*e+WNCPpVW(4F;MM~{?RWTH}ZM5)-Zb0#6X^xW7$uyB{_8B^JT1qPYr$^z4KL^ z6S*cXo`_YG2LUef_VlJ zGX`T97D6GZjb%Qt?Lv>#MCLM}hL4*I0)RgIOhf6QouAJVx#e%C=ojfyZl;E)U^G^n zuOLl7r?V!X(zJk!nI#I@nSPn2IvRwKC91Y@E>z}m9H|eh@tO_MmJ5t$B+jO1tRNRu zWqe0b*#%ib2BR@;KX;k*s_z zTqaL06`j{()V|6FNhi2Dba)`F{m5W69_LmesX1rMGvd1od}`Qu7^jam8Pcru(j#XuKl%vB4 zemq+?8#twyBUf=2jF)kI>S5Se&K&k>bl>$q^>YHYq zMS88)m^gE4dNg~J&j0U9-8+w382uE-o2}kI^ilKN5ere{1m;letcciKT8f$UrH|m| zEA=2Z^XoL+XP5arLzhbmRYjbZ9)4c^Y_e?oekoIg7$&p|62eAz5UHXm3Q1y^h>KLbv-T3+gFc_70WrVS$oD3LkH&*n&Y_} zz0&yqtz_5adzQ|8dmO+1Do>c-%e9S3{YSz?F4~de%#Z(v)`8rt3ZM06Vg>M_CLletWNR7}TZ5`wr@NJ2ZuY`?Wyqf9lVR8v zk4H7dbn%nFaCZ^J)0?Jax}Z&A0+>zqnGX-JIaAnvz>cIed~L#WpacKn2x7IHYy32G!e@{$R!r1(e3L>XxsW*ln2cURS#8^^|cQd4RpMtLQ1Xm~6Zy8D-M zHn@LX^PPQ+ba{TiJNAI+Q@Jhz7IV#eQaDr&-q^9VOmV{Ciz7}qNvm%vI>%8nwOuTx z)DISnli*f(UX!gkmj~prSBKXs*7S)}rlnJ=Z;k-5$jeuEmH5d#B#Ed6<|A%|e@)e! za=ppUbU{Z^1!D3}1@(3TSgs6bGgDTYxf5TPfi#g&G;8ty6xw=NJ3jT4r!ub zrQh*4k@LsPBuMdrm*bu)M})C2UgS9a()!~uCGnRq{>81L%0*@$7)zy??qdbY!`fGQ zdhWn$)pyhRhq2g_ZvrjdzMM-sOg&J-;(HSIdYV0BU|2eueOx}+tf7X*C2%Y9PXCH#v17Bprl+W%~8nO5=oT+Xgr9a6TF>b%_xx60^ zF!}rBIG4m8!Xi`Lg6&}ZVm(0bE?3oMU}wOB zl15WolIcgu28DW7;***i`)<5~D?$HEFW?939g~NJJz5{IF!xpA_*~X?RPUb~37~!) z?CIY&rsI0r!)v&G&e?%~QvLbVed4*`=BzJH^EI|57{55K^Ee&!82y&Gt|kVFeJ1g& znsSOxp3j28f!a@LC{3T_sD$;$us@`-=1{^IoS3|<`)Sy)6$^_wTy}pt!Z3HJO?9Mf z2ze+ARnEnDes^B(Z2hKcqSWIm1KNbCU*Uk0srM|~XoB<2cC}gnQRfMHXIy1)W>Ehl z7%?I2on-i&i{$&)fzY$m0+m8{tSo#uNih8*o!moa9!i=Dq5cOFDhW3&UiHrgu`DaS zYjI&-v|tgu>c=*M3+1j;26u#GWw2sc<@5|1_CRTNKXBKkfC?7pgyjGbgca%HLaLvp zB=FeO(2#xWf*&(0J6EABOiPvb*x=)}jfx;S`~Z!=c%?DR#EKj&!IzwG2&wQuw*@ci z5l9X@xbetB6{atU@AIb(`*#(L^r3jsCx7_LC5C-9uIL0lG^fbJOdW&DB%f z0{PG3O*L$GtNwJ`lR%@$Fnj|q5L=5wG=tA-eD^O1fN2#BHQyl|>E|R_+A)`z$8bG( zjt1a;0xwE264k~F8b63{r>p5Z~+q}~` z<4#5}SS%+~_a>}=)r`I-h2=I1Izk#GS6D#?QvO3iBUf-Fp(J{D$V@ieukbK0#~A~i zSwgIsQCK~|h^bXn`cS!-K1%(JI(~}r%$`)~tRtTgO$1R9zaz<&J7D^O70!-;$CIWe zn#Y`)rmaDXbA~X`d8GwMTuH*V#i_6GX(Jg`A0~M-le}6YSaD-@QIiJG@D{i)V!hDY zEbR2P(0>cS2b&+uuq7IcGWlh7UUgjGW#tH9qSFG4I~O%u!36wlH5Y~*eWraEhApwC zYyD?4rZYm?<*5zj(DYv8^=zF%xAm4zn7pKnh|AYA(x~i2WL(AZH{)C{DEm@HA^Okc zBj)}W>kG>Q=UoE<0W*(%YRO?!j?FU$eX6)^sz(jK3)oxKCyfF0m+ z0|~)J*)(1=Gl@s=no;v?V;+atL3fgGz}cbfTAI@BF8)(@z2o#CY}~pxSg{Uo*^xo- zI$lla@fz*uh*apO_+a~kNo;tAYeRKb}Mrg`MS&LQNTFMbJORz?z7+D zpYHz~&t7F%7@y1GuY@cq}TqZsw~-bWZYh|IP`pxv{Lo^3{v#>_QU|QeISYpo!DsE)`;PG_uWmpULGAr6g ziA-#$@Pbv}2W>S$Lf15u_uF|pWLJBOo)23uSEd0CN~*Yhc(6&sADV>^7)SkiJe`AY zgky3=`H)At>k1Xm>3te0GlSUbp&L!hwA-Sf5Dd$hc0Ki3{wybHhq?n#o~zS|5sBf^ zB(~rk;~qzeASlnL#DjViv?>|~b5OhPXdz6iDw|4uiq^4yxF~L^h&~e0={fXWGkFQD zmK}lcsYc7K^Rk9P_ktX09CTdtwj5;~^cTR3(wt=f+;0~UB3wYOo2=e4zp@IG5lg2m zDqT^;kVBgtq5C)_=bLlp^t*K*hC7a7=fMe6LDOrq@F^lwAr)<~&DSd0=@ZgFHOxQD zL+Fzzf)oKgl790;4Hr9N9(NJrhL!GdefBq^d6uCEPw_0g2MIgv%C5U+q_BCXZv^1| zS2%ro^)FR}CKajp+Oulgs>hulByHBfXRdUNOXWJ74cli#iT;f*UqD2HQ`;alefeqp z7yFojuKRq7*%X<4vLx`Y$E_Jxl(fw zcI)^SPhQ!w=|E;23L7<5`fY3nkia@Vubo)nsACt%=1x%dX%s%Quy&{^CI0y*eo9*-J-A7F-^_=e*0MgcYB98Ew|kUae*FEpn}{MV-*D z4stvWs3^u3`{yI}Qu9%NSHeRY}Aa>dEU#u&Ur4N(fM9t(2GY_L*WQNthf?EOmh-c3c^)Ht{0xw(?t&p(Vp@(x~^!8MZM;qf@fYIcs$fW z2Pp6wmDH^Nj}YgAF<=08G;6a*lTlvDa$Wh)jq%7BmwzD0uT%w1yQY*pROD|Ka&*g6 z-blnOi9{+4y|&h|7jSn{c@7FjGLN8{|R|` z@A4_HFAo8W$zWMXRGrh79XS@KO|3mLjBpU0Hb7%ZCnZNxMJxhzU@-WB9kHxyQyg#3 zONxsQig^CO^bw;~O?Y11iJuURecTDA-8)Dbq1q87fhputp;&n1o9*eVrsESSo5Fxz zQJ$AVx^|oLI?ys2*7PaA)Js#T#*B@|m~u-P1UQ^@_DRbFlo+hhvGOjTb(fdXQt+mG z|5FRzvZ`d|0zyb=)c*_yYqIy*1&bm-1Ma9f+_m0+_%Zy&LvK~uI}tlyX_av>bCCv; zxJO&dGZ1iSm^)TBH|sI}u!RR%CSpb^!?c^L$1%FmwF+LPS?f!kZcf|!>MX;5iIqRN9?*6N~J6*(r z=2!0NQ}uPpW*)$1?$LM-Edjca9)W3Ah!1bGlgO!1klfGMxeUjskh88=SD8?2stIup zQr|aYoL_Kq3H;-XUHu|UPp`?{m5vN^uzl?YCqDJktp_&q-0U1t=jyIFLyL77aRL@{ z@(9owo%pv04Y~$Dy^bKjtyGvLGGJ$MUcs(N&v{x}u7+1SgG_$dH(Qy+Cqert&GY z_aA(!Le+EF2+;+AY*)Oel`&T_`eIsY@IhCSBKl2+x5Pan`FSn1@!WCdGi-ywgJrb& zE8=S*{pg0o+$hxbiveb6f?F*qju_Db??apY(yu4_RK%S_!@;0A6~v=`=%_CqP^0mE zxtBy9b2HMJ82wc-S2!S8Z`v0FQ8Pg%rj(vIO@|no5HKP7hu+^A@|~Xj?aW8v+62%y zwmuCKyY2wXDcdSMQ$QDe9z9pvlF;h{K5{CXWGt_nQ^%Z!Su@l5u-00bk|)1N9J)jQ z@6CTf!&s>juQKG-cBj~~U-)~fsA|WCCHBIZ-(~#L@%peC%Z>ao>iG||w^I=M1v3SE zTkmw`k=K7079xPhPiu)Q_8bJ5RZpC6L{4x% zNU($+acw5IDm73f<(64z#`?!CO@S4jZU-2gws*m-2Q0-P?yJ zr*_+PI0a66o8!M_WaNLZQzwH+F zF6Fa!Q?7d$a#es14DyXbl%CXMmvyvE@Jhfdzg9B5te|Z(nc_q|Utvi@n3HdBhkjVhs9I3qMv(s z%E#nJY#+fY&5mfP()bj1j09{8xXK~c%C-aC29X;~z1sM}^kRu)QTvACuftxQJ9?j! zJ*GwFbhr_grejuW`15DsFaO!_qRqmWCJojzD;$gR)7{~tvmJ;Rd0q2!Vl&YF)#di`2^|61F>UJ!<%7VRI`@VxX4-2%|($g(iRuhe0ktm^Cehaz+7 zY-%%;5^o27C6$^C)h7A~Co@&`Co@4?+}BjS28 zBv)`Vtv(|iSFT?iYae4-Jv_>H4p9)`K#F2Pxm{E7Hp2Yl50`*oukQTk&di?xMfnM% zb2KRTB(L_D1$t(1znW%m8RCy&%e^I;_)A7SLuj!_@inz^?zxTPS@<>f%t-siEPP&G z`>26jDYkzXToKbaR9Ygn8Yb_?qD)@@{+CJ=N@P|a1Y)&8<%pEpnwAoiGz_Ii&@7vv8}NLka}&7_d&bp z23<}%*;ekMb02CYOL`cF)8@fbRgD^GTCf`z;0r7#mFif81$qo&>3afALU4YM+4KmA(y zIQvt127+dtpDqY(_}iD$`P?2`@|lX+#&l!crEllPVMHf1?!fu0CX?)*jr>>1AjUUX z%RJ3k?Up9#hnb(u-5j0pQD%fp0iz5 zoHX6{q}jxRMX1c!j>lb8!a7~uCHBRDs~59zBQ|PqjiB${nFsRPFO1b|Tf(0z1eX*c z-pv)aSF|K334kiy3d?J?Zr%9(plmTi4&@&?+y5uiNe>yG4kkg^h!%ur|6&_s$>@o* zA3kay+$8VZH2pt=%adJ;vS#~O$*esui*{je&|KcjMdjuQ>pzS#70X&7-ekDR;Bt{E zB=ghwA<`{01TYGSKX4JzC6Psv! zuzJ;#Orlub-srI?7N;PPnGY*@xUbZR11Fxmu_!Uwu77cAwgc=2Ph^~oukS(p8DFEl z`(ei53DMl6KjeJ>j+U|!`lHYhTM2nC9Vy1KsLoR#f1k!;l?R_NmQP%@Y5JWq{(wdJ zgNH!jmj|-v<8%iF+G-7x+9ZzauiqIK!=~0fu;<9LFy!zX<-X$e@p#%HI@#xVsb8F$ z;B7ofpG$kV6?lln)^=|X;dO#0^A&W8Z~aeb9KZSrVg;ZN7sSWA8@Vl3&8Nv2@JG!G zX@%3&V&&0JGhuJU*Q7934Sj*1UwdVPX?f;MFNBcUek%XrWQk7tvjXjq;$CMdNVZO2zsl z3arefA_4~ZVKd-bqxX8{DC*9^qQ8B|XOs6Izs2C^Z{+2YPJ$6VX_tqeF!tSvo3+@h zTjGU}i*Qf2Qi017E8>q|{g)@4$@$7{KjD^`{m1U<3y>Di7aYY8T$jHNyz|s3QfHrj8RZ_|gBp zGdy7pJ>PX{9W)8?pGt)o-S1E%k(kDZs`%aXY=3A;7C0?U?Z1ioHDxCjeCcE$inxj- z5yhL+fUeNv5h8*-wH2<3Qy$0o_wajtM+q@IQVd4`#@rXXm>&d8&%r8-e zXRq0S5?Dy#257t`=9P)*Nva)_c!PXgXbkZNs z+D-C&w|40((oNL1`_^^%aeo}{k=I^Nkk{@2OArS%41EX$0m-EKz}=coa@@inkU z6*Xddw%b8v9pXOgb~PutQeq~CqgcGaf0??^^-q=b;eTA2yc?MP;)&#UyxvGF=VtnU zNV@8{DBiCt3W6XlAYIZR-6hi9-7TPW*L+2~J0ztWX(Xk4fu$s*Vd-3IsdxOn|G<72 zc6N65+2`JK?z!ids{i&wF0@lHE#X>c)1o(%232>Odn(J10w{a)PE5b#VMDfe$qn8d zb52Z9*;^qI_-Jt8L+aw7jQ-yW)2^bXw>7hqHLDs+0PL$##n6vXJ*u??r~9;>#%;~KCi z6rTses1n#UX=zn6W#c7;VdxCre6WD{yXR`+ePiaR!hdW^GAf3_oLfI6#t`!_)5d;E z*#QFFWQI+#WyRbc2`?c0A}o8e`|lj$pH9=4$X|usR>}yNzSFPyx%ZlFx$x;fXK&a> z|EJ`0-*<{_8y}g@WH#Dg%je2#jWSz(WO7LlZMiUu|5CAz^U_@GsRaEiUfBAW2fb#z z@W-bglkKD+jUveyjeTP0+K*Ouqq=({k5~c*H>M9PUpbW31E%Gn?GpHBtaf7$Ko&wc|ouF+De3v0=Y?znaTDt z9TV(WN+>STji{vMq7s$Gu(bfr%|H9i1I7R6Ensk1oVYEEx-_HNl z$Vj?BX?NJYpb~OQrV7K^diAe9UH;q(vqB1j0}t@wD96UJ%{kk8)1ty~V71Z9TOs-i zc0u5wk zvs4b6#sMe${9v8AT<2)NUa5&Y4=ilqf32^MNGLmfF2H1r$Mbnh)#?_|eJMtBl6P`0 zE#>j1=ne&0e@i`t7mmA-;Op1K!0agh1Dp}P$sy)Y(cc>HCog$_q7%eaE@N@xsPL9^ zrTyHHZ=WzU1L$L*fOXv}tU`2JvP^lTF&W7_Lc-zi)=LWK@2MJbkVIb>kAPyuV6H zYq^)>8}va`>XJBeo~&o#A_2up=tM;e6>rOk?jx(B(md^iQBJ82)x^CE?#d!Vk*y6g zD1Pf+5W~W~)d2PGi0`Gw0as`OtrTcJJJ)EoM8?g7AU0ojx(2eyFxvUD`e#BfJ|ez0 z*iUL#k0n>iCSqbk^=3eFolUQj*n-pXua^468T5UAyc@ACS8@YQilntz`(`h85QOHm zSBQ{ic98nwR_^%V<;n-_rSWcMWVxRF!XH)_Pk&WVTJfUy-=eGsbeBcOum-q|yBL!- z4TzU*qhwOm^W0<$pFiP*d=i9dpO-sZqDvnoaK;J0UQkmobr`hE z*jZONAdAUq_hE#cncv;$%BXY2*4f<(q{PyoWoe#&%wKB>APdS##G~qPW)py*rvJwM z8gSaV_A?t-6Qt&}rv|A(*~u=Fz+Vn>WK*0$9)L`Rd~N~MoHDCytCxNdPl(&&L5>Xr zWao6W&)?>5^OPGyoX)}8AY`g2Zz%pK0=@nwd$P2}vz2ZA=XS1Soetd)r5r++$)ru) z?i=s1NP6gjR!ES9=cpLpkMNggE;gtAy5L+zuc~UAhGw ze(;YiMqG3%gy@0Ys)p8-ZgP^`JsLs+qs#&oTj@elp!s3m0fpLk3nCR=Xd$JFNtliy zk!^_d!-B`-C&%39j{e?nx-Ex8-#+YSMcbzxs#9PXCcF^9!gbk0sZ)s;s}4{B@>~ld zKgG^7R&5HGA(tA7U94*hSJOCr{y^A^t`hDQara}UF3Pg$vP)^_P%Gx}d6KdcpG9`0gpX(q3F`YEMZoOIX)K2B?&dM#{ z;1~;MFLG@*hfv#o1Zn*b-~)0bs3IfcO}e2a{<(>h-Shby0hM)pm&$Rp$e?;@#sm$- z=!r!}#2hDMmV)1NH$iNZ7g<~P!fm(iFB?M)8_MuYBgtS!k-TAVk#f-W-ZddK&poR* z--zW=?j|H&SA*%@PcZbr#vuCx##{PbY`&x_tLI;oQ$)>YQrSN#81xa!_SjGzHMgya zyfN$~belj~uHLFi(Ok2C?8SNbFW7g~`^%rRGz2vg1(HGEk4Bkk4syNvVNmE*4Op6>kax2zOK)0#)=o&;CW-UVhq5;7`P z3|X-)_J85KVM3?3R1)Q-woWb-EhLn5=>7uy*&hV>@qz`pgRhxv$ZEDXV(dyOJMz}| z2r||WKM1hkZpljZf6B_05g;%#2;igFk1JJjBFT{zC~&rM_!&xu0b)dgJ-0=X8QYHq zLA@5}0j)Uja*zLoGzLk-mIPkrBncgbRT64KBQbHNgBP9ywsxS33$5mqsi)0YOJ>{! zVA$&w(EdiN88DMZN{hty+<_@gffk7b`9^HuRoW+7Bom-Iaq#}O2Yr}|AK!|Jx8nsS z?6MDr8k?uv`|B%J9G#dGl^AxlShJQ)gI?Z*{YT57UMi8}jc?S&OkZ-qaH+*K&PG{4 z2z|Z4xpfIr>Ko?p@MBe}s!Ua!ttDh1=Eg)D^*aaCd|yfl@0=dkjD_)?Gy7_xXAh0N zW3LPrI>4zseAkJtLRLnDx0wPp-xoLDqomEwt>iq~xKDRpDJHM{r|(ICW?ikQ{Kw-e zG|p3|R_DYKYzVI}&&SO2iOxrhD>sOSDuz8%McM47t?`^V`>v+c>ofW$Ml!b0`*xWw zk7O2I%7bs=)M6jD8eZBGcV-=2PjI_W@h%Dmcm~McQl-)rHz(S@SMgV&o45yO1=3Bp zfI35Rx3X=V1c9D+MWn;rzf9rR_O;cJ!Nkyc2Xx=J4gAgc5S!#6Qyt0!R|-`G`IfkD zE0-^Cz+w+4pD(0o|B1yTQdmE`xX z=mAqVPW@GaglZ0x`=0$GiH~+8-&mUOkF4q*<@tj9_q~f6T#BE+(ZU?JQP_iNGl)4W zFlwy?4G6Enxj&gjSWr^NZN&$^!~_n%J#)g{vz=_P8JgR*ot&AQ>=9^4#HyV?TDULC zmC!V-befu8EYcW{87X1|FlKtoMrI5!8UNq;~pL$;Q)t9ni(KxZo)Ty|O|2rk;Pl}9$9V$I;=juR)61a z@P4kD6;RQ$5`~`DfHqk+)Dm3JtqAS}rKT~O1=Gbqm90BYoL_fD?b|E%-%Fz*=(VS2 zbq%A`h36^A5mj<9Gu_RLEc8$D<-NRv75lLxIH?{~jiS24I@xNk27ue-${&*Mbg5LL zMQmIICjTj1ixsqAc#WH?e9Br~i_1{hs%Gwf4ZF35ho^>XBe*7QL zhM)N+CKXe50pu%4$^ovg7I30I2R#RcC?akbQ5hq*Jawv*`t!!>*GD6*d`myo{*o1z zz<=P{ICh5nTNdc33THrIC=eQU+N`RIXmc$Qh&|TXudJIxRUcdHqWysfCmy$pmER0S zf9onOa<^TT$Z5_m@>CVN=xa7}G_G1FP{f2nMGEtV@(pHM69F5_M{ZZlw+>fges%!y9cOsD%LMAnbc3RIXxA&F%;V%=lJxpvIL}J?=Ulhr< zD=s?~Q}q8~7pl~qbD#=Q-A@07eDmKxK1+qdRpq*2Bl7M>pHBe-D2e=&*Vr4`%B{Say&4Ek<)uH%M?FNjbnLC+>!SB>`}Z#?9vnQV#9L(a=rbEbgg_Gvas%s)q13 zR?k8r&vJytnS9UJ(+K}`_YXMFPzv#qsZ&kB2Ij0Oji1sJ3~C;|y|02=He1-UWxHK4 zmWguL1%UStof?BKS>KE)8TH=>7-0e?xZfdhbN=X{Gnv)5EH|*;%JM>6VRf9>zmLLS z<73|;Ih@hBkSQcb(;9?Zf8EKtf=7wK6j83pIt~MOt}N+y}g3z_Q8&j z1tUu*`L4~NzXqdqgM6va1Sc|f!9nB2ErX&PA-;>FLRn_E{>%f!bp-O_g&(=fzo5A? z0_q*#odabAjF#3{O2E>7{9UON736d66FnJRUDzvX9`mtu{xx-$ey}DI7lFv~j{#T} z@~?SZxV-=jMZ0XylD1;ItQ*6E}QJqhqsq-HaGK^#W z^3=GIx|_H^-Ya!{MG)&0K~|G7AHwyYKqx;hj33YHjT3Rm1$kcv?-pRC$23Z7!Aah4 zI51ywKss51(M_5AKDZ;qvYYOx>3_+-3e}zS_gIal_TU>MrMmPB)*f@r8ahZE?GWP% z7rHG)*aS&uy1NO7XVJR2dp%Qebg!LIWHYNGleh5jKQwL2Cjk%B8~PQ63;h2p!y+zN zpU6i*9w?S3Ej-+KRn}7vRRBdUbZ)@_g`$#K8$} z6@LKsFn^0yt>oqHDd3%RrPuT?N4MPZX9g3G*|_gY{eWTycFj;E0EDAp_2nP7Zp53j z2s){_oWK$YNUtt{Ap==xlFysw&6~T+$z0h1k{tQl61 zZN*Vu0$OV_5(3JDhT)o+T56Kg)hI!$n5803=T^ne$m~G07>bJ;jJ@nUdc1JS_ zi_{flJju5I^U1m462wQW(0!W{3BNs9!t7jl)uy>ll~TH9#(dc`mHBg(Z9C4&Xjf*V z1R*+Ep??t#S#kROFz{wg@(;;t7q+(AXB1Rq*A|omF5wpqEH|%5g?R~`S^pb^`=etW zquYK{1xo6>8XXe0Ps7lW&jvWVF86FzW^;ZYDR>9)vt2QOn?!jNb=-IhC!qS(`f*G& zNoY$P?%^i>g{+ZrW0MTs_H?f}ZO_IwNvy_3<*$*40Wqwe+5F|NP^yCihSjg3^@L}? ze*asox;R_6ePOnPA}xqRW!n8gLreeOtYQvPq{<%!>E&^_R0T2m1`QfI+iQ`-o;|M!WS)Vn-L!va(*jpg;Dg_ zot`VL^!>lA&01Nfp?!#((IiR-op=^(etRkS&8j1dYLp2%(dF&zF!3Puc=9auGXbVA z8D;2Ocq769%}D?tH0j2nAEvefT5ZMBW_L-%7ggl13Y>rr9HQ!96mbqazy70X3Mwa_ zghqsAxAZoii?uGAr*3DF{^Bzsm2JRVDl#IzFF0Z{F zPu*o@y{`G`IDKIZ^59j{B(tqHy_22ENCaQa)`-1a&3@%ULzdd*-BtM5rU`37xooTN zUbU#gt35`9F8uIT)Fz(RJbY==?M;=l|C`3dCKw7X4=LE~#hGtkd$yqk zt}PGX{}-14k+5o<%~U1zFQ3q*5YTU32uJEQz}gKT2U zE~d0gT>U1gt=}<+Q?nFZHsVHIql5v25Mx!gvy%jEuQI|YB-@NdS&HkI`W#oZZNa`~ zzE67(s1((017T$I;V0A^zo?2<0JEsh`zg*NgUI~le$LjX!w=gN4rUOt-?CeunREHa zPFy&%RHv>TZ1XpAtSa|k2DrS<<=wq&L_5<@>okqh!o&w5s7TF-2iF%X981ab>vqsmh)()~YaXd2uwrJER@*2P&Vf3ys0bA?uYYgSD)vCy$rzm?}c$Xtr$e_wE|Pg-}5+2szt@D6Iv zLyW!6)qb^6(G~Q^S%(@^_Z?Vnj6D1NXG+W}Hbr~xKrrIPPcjdZ2h%R zVS?ni{}D0x)%{-fjBAKLh?4Kz?|jzS5X91o{J^ zFGd-k{ z2>|cMj52QgGV%MncMn+mU%QRWu!|>#Gs=e$^0Ua#W2@;(2|l%ZktM9!l7d$SI*%nc zLFM(0nbV>V@&a0D`V@65G{ua|*%L!4%ie;@a6?<>c8(!w>J-vnVg8rIAjtKT&&7y{ z)a4Km?K9r0*{X0G(lwRpY~|m(Xv4t(a7}Kvo}Fuh9sonS6Aan>)TRMfdY!F~Kg|`I znF=$D+Z85`wkp~cL$1}o5oze_Ix?6(P~F-uH~OU4IEn;;?4_A$_4i&HHYtQ_W0Q<& z_1xU<=g+2x%u@P4aH*OvE!6suq+pF*uz52 zb@bl-h~q_eU9`?9K(Tta!A&+;q??jjsVYxC=z`@LkZ1J^?!tRGe^5Og1q)tLXjGt> zFH9Lx>z!xzWJE$-wkn!Xcy$~jT{fH;S__T3490Ia(`Ff$vbjA|f5@T|#>p+PTiFHq zFS5N~8dep{^saKWAYaZzo&{JFWNEu%f0MKtLWf~CN_fBAU7t}vAoV+h*bgsCR4mC} zJUNQIwZhEbm?iRI=r(r67_yvF8kcnohZK!KF|4wwz?@%6?XKcFb&=c8sWuu7d){ct zPtgIZUdFU(MvyMHNPbUz$Z&x4)s-CruOm!h(F-SdUauv&Q)-lj02rCks6JLS%^%cC zkx{(Pu465+-|~UeA?zj@ie#Z_pKzR|TAXgZd%E>lu_tA>q>k?PKc>=_8pE3(J)2EmgD8nE|_0$eYMJd<6BU?Ffk{iT=!-TFV5?;Nyy7XE9Gbtnm& zOi!W%=~=ZClu5QZV91awR#K|`cuc1^Id)EO?Ex-8Lu!Z88r9pzv8>YAO=_3iKW-J% zm4wN1J9_z`K zT$wD}q~>-l&bG1(rt?&WhUG(KNUw~~QKCyE=BDXdG8Q%9WDc0bwTD=?GN;6cg@S$= zO)CYid#KXmVl1}V7*=fOgp}(#8{6QWp7?jC&EC~lSdql)HZ2RLHXBJpWtj|@&mCiA zERHEsy(o;FZq4M_O$;Ts5@@|gTesNaMu%Z@IOa|Z4F51vM{{~@g659xLYk+?o_pR5Q+Hb>W}&g18)5}hyNf~%%GpaisbC6m8e=K&E2-#al=I{mSX=^$P! zz$Dlz2H0RLaDII5VuLwf;+?JF@u=SZ4=;2gf#jrrS{u%LLjl6oqL!{;3j|xw|4yMp zBPLGs|EHLNM5_UTZzN>SQq=|S&^$}UK3&>k&KG$HxUo7Bo90$Ce8_!|TqH%*v(i(m z*HnJ5zwD(g>~+hU#D>l2OmZ8XBMLlA#2dkEm*p7pBK32X>vC*g>OI`7lGDSC5Bz%r z8Wgl&dED2})sU_>zP&cMJ{ZUhVI90Y7$9;8cP7s>`&Y8X@N4n4&Qd7U|zp_KY&jDvWv3|qQ zXOU{or(0Uu)9jncb0V7p$Ycu7Yzuns_FSSZw8+eeATkF>?0{<)LT~{7BbZxZS@eCl zAAdB~-h2%IXhy$d2?D#lGn4SfZz;r?9Qs8496O8jOxytxA217}BOoJKxr!5%3az~C zMx1svU247bej^4Hd7F0<04ubw;fy{D)DNv^Karmwa(}KFv(*$|qPBvm>pbsjLU??s z^JEYMkA9)qYS81DivdtB`K})|(+vF7-tS(MGyX3Dvv+{s=_I#vFLu5VyL+wEaDo+A z_tyGx?Gpa5nBjWdg#62>NM)T8ZM)~nzp9GCcpzCBJbXFEIQLr(m%Kf^>tzB zN8E_$GN^noUHe*|z`x0f3M!=-Kx*}AoX`!eW;GLPZco|HE?FYy-?9emF5q19XnZQ|>9Z8qSAxfWQKg&c-Osr&ZVM5EOdwfn`+2Qxd= z`Tu?W$tj+e$pm=SS?*w~oxodOKO9;^jV6KwqL-Aob$2;h%GX(%AKUOwa6hC#i6wGn zyaT%<**deqf)~&A6<*zXMB#-|kdd+vY|>A0wRL!7j&nj0k;5G3z0OfCuCe071IRyB z6Iz9*Xzs%@qh^$-x)Y6;}dyj<*Z+YGbek=6^Sx3)&1X}+=T(|C}pM+MHSIgm1 zm#__=Vm1g-3=3RK?JiWtfD;3^y!L6pD^mOJB-SqloVVDf=`VR8p6o>!eFD+fN?I8D z`=Ms%m5BKEKbCzq;YO8mKD@KQpZ?su&asGOY6EU8mSQjUgoY?=_zddvogJ+Pjs6Hq zZI%B9+hxjR`U+|QRo;Bd7nBJHjXP`I8~p`pd$A;VYx>66qUzsDhDG~dOBf_iL;N{qWm-FclnrHt<=kOuj7qH~i={N=5+y@XB z8f!foeH2hF1%%G1jr(*1dpjfo6D-vwO7DqP5s}Otq!{-^S7uObDpDE``|{*eP-zsZ zcbM0CZ-7Pe{*N$LTLALi@E%@b!`;pI;s zM!Y3ZD|(9!YjH|=I`zNb90HC(^fN*8bjw?`CO#Z$kd6I_&&GEMY_IoaRB=W=ojU$< zXsy_tZQs^O3?XMr$e~kS0K6|n-UBjcxiR%((sSPoAD0y#-B4~Pyo)H)#93f1n_Qnx zWY2Ox)!ynq!XGW=t;)DZt{u8hbHBcKwFNl6CJwKAszuGgu~C`xXS)Ff*O)BS`~{Dx z0UyD>!jNB`oIhksY?t&so}u>I|#tm%R& z=*GX}!s5i(qXz zS@bWJS)Qx{b&GuR8Mzhn)f4LPHW_5^7z%0XOx z+|KvCd~PA|`S{ z2ga7DT7QoWv)<%R~f4UK6B6WA8`AFlu9KIl%? zX%u4GdVRHR|0Sl=sH$oztpe1Ife2(Esx+`Ap~G?F%36dSNdEIb=6^5Kk4k-<8tv(6 zv1|XHYwu#Y2mTNoOw zd3}_zZr9Xu+>I_@D8-)1B$nFO2J>(|yacZ%ikmVFOopEe(npuQofi{{YPwEMB-K+?)@mYC(9*@TGPKPHODzV>hASmnLv(Z{%O9*q zv0vmS#}3tHl_z9qH7(=8<@X4g4ZZnBY|B3X;sL#SDiF99XWv1M@{oa)2SExO>%$AJ zrW-x|IJ*QBs&A9~V2+zJlcg8b|sQD_Z-twQr0(U!3UkCGXt8hH*xujb+!&wRbRp#*-Q7V9s zJPraQt6Qd;N(+#QcNIDEP)gaAy001@nlND4Fb78fn>6O9& z3G+E&EYqCoDaR+L!0#Rd-y{O1UAi(q-@LZvk`C!8L4xb?YyeR5{DXe$Jrq~-fBJ(J zS)n)>TZy_72nd)9Y)E4_*!Yv0ftG$V*}{Gf2ay)*(MYge`e*Zfx5DRy z6@CM5cqg?fr=%8d{wxZ^Fr>>)wV9ig%T7n=y={jKMI8QGH*OB(xk@E6gXtm1az$xlf=Tr23L9I^W7`?_3b zXL?t|gAjl1&byxd>9zl93r!0*O!&0m6Je>vpwVWB-2=W}S%+h{UbF4g3a}_8{eE|T zymW~R0dRPYJVU>GZFs%Mcuq;l_Od=mA^1*3HLq@4fEj3!33#i1d2rS665{z7;z>2f z#8^kE|2bJ3_(n10;pgwqzG1!s1-kaXQ(OmLA3z9l=Tqv2dVLN@7&kY-_ZF!wEu(*( zXWCrSq}S!B3TD&)-T_d;6*-7@c~Ct=NLqBS&oO75p?eT|`B?9am>^>_V%;$TOr}6P z6yE*J())Qbg~rZBfJ9sNFYI1RpWK)_Ols^n*`1H`nr^+% z_5*qohoJ5vq!cQhwSwC%s8D|I$F(bE7pbT{f-P|eTu4Ij1t{aGLEki@Ay*Utjc^Hq z>)F=HK6J?3;XoK7uxm)%eHwq~_CF*@HBw9Lo14gZXyz!tqI(Sv#f`pOxu#!z8(-N4 ztdfrU95V>Emg{_XH`z;=$9lk$?}~(b$P0|di(SM` z9d=y!D=L#zvd54I0|TuU!W z6=bB6^XX<;QyG~{zuvgP1oK=bk}2&K@R@VYXUSgShfB4TwZ3@8hfp``ZS z+Ml^#Y4#>LLuRK_3)v}9P%cp$=$;L=dMF^Fu#YcM&o~{-GQn4{_s>GD{;v=&MGoCp z+uT%bAqloTBO6IkOmO=AQDC)Hj}*4&LSF|TVdH*Rv{y!zH~Q-|0;=h2!Yeolls4t* z9eNHvEZJ%@H|B+*ZXSZqx9XrnAEU~xp%Er0aA>*AR_}d*mM@R1kwKkuTDgvp?tZ;K z+p+Q1>_#hDyLQ;00uhg2dcV-9v8Z92+}gL1tUAGPcc18b{44lE<$R16>?V%b7l`an zU3@sgt<|es2L)efHEnfgXhlGu6z?|lrc!2mz44uc3qr?YkXV*mY*gb>2(+ddq}kat za^Zy->Q3)qa((OEDfn~)z>mbhAeiD)2lvuO&v1X_3&$RJ<9j62OyIx!NbuCHX?`< zmCRS<-zt$_%W@uW^!$yOI^w63bsw%d<{8~{UF2z4mf8zDt2Y&pABp4Mi^N&dsXyjY zI~wcP$0j4>v3SD?pIu{=)u~*?sCRyHiH#erx-2s!(3&CJntVnd1WARh9*0u&^nN|mSYkc+(g7Q8o5T#en%v@ z|6mo{^%W(4^=&A9LF9dSI&J6=Ig%a2SKFj%5CVBq6;-I5Xpm>o$3cVe9}N~N$*H$4 z-{~)PuHD}swo1M8EKEPZQ^K^6JQ8NwXq5t(QlURx0J&DuW)~k1`w>OW{`_Qt_Yyfu zTNeuQ>Ff-0t=wnb@3Lp0oqmasY5B^TYUyv^uC|+#^eX6?O7`NJrz({4ta!%4t;i~s zOR~lF6%k)FqMGpxkDU@Z%CI;L6J33auRZ12j^!#>1yuh$N;}qyu6>|&wg0MJKII<$ zIhvPKA1Fe!S;OT=a^>Bdv@Y&BUb%h#n2U{1QoN_OV^Cu&KO1E&MmxnEm3;aj6?V@t z^-}Y}mX=vpF*xiUg8CQ7r}O~42M*=1I;fsN+|XnHGE8AkA~N}udcTyDT#=xw zgSu0J*X=r^MuT_|KET$gK*027Z&L_Qv)3E73+OnTNPNQ>D(|$d$fmf}SIAVdsZxIA zPdCgmD=$~-FjD52RGc+H@_kFXM^9754d5jfWg?D|e({|q#fv&bEz`^8`@E36k4fQ& z+7A0s7IKy+;o$>Hy6r}%L`ckViwG*Ox#;C0$MC`SyY0-x?gt?3HJ%1 z7y!Myr!d>};8BB8OxNLy519;x2O3*Hj>9~cwtq;!iY6tTo(Ib)MgpMmBSyV-s0rRmHodB-E-Nza)a z3JFViSBsL3ok3!KX5N~c@aHF`r6&L2xl4v%QlGi_jja%|swHs!_gG2W)kCX16=+;~ zNVS4xYpyU+-kBu?kXdKHnHqbb3ZoMd*Ui8Cl0!u~MMbpdCXw%BlJF@-mTqq>_s^`V zTu=M=8wQ^D*~<7ep|GTLvh*=c`ggp_wn%mv^Kv_;qYPTgUr-d^nM3P&HBJ_86ZK$p z7tg8P`i*RwtyX4Q+)b29YppbPFaW%X_!a#u#OpfRV?!DD9dInzYRULnOH(*(fIr25^DKbK)M zIqo$V=N(heg?088w@^a9?7Mb@zD`cvjmC6@kc#raNE?1xr=muVc4G20ASw%^7roAm z5VzXbbn!{Nb40nPu3_WIA*?O`l00(&DHPcgWGMS`y7a35yANd86NS;!=OZVK^Y~Xjy>=e`)La4PD3e+^GUzfG0Hcwo;SCpAWSLO>Z2H&DMAP>;X+Hbc_C(+N z1RydiXchr;S1uT~t(<2DBG|Tb<^K{X%G}Sm*2!5I3vx{Xj~Sn$?SztlT}@ZvS4^$- zF>4fp?vV!D5yMJuVk2Tu+f8KZ+8=c7A{o|Etp?_+f2gMppze$;%0AStZ(_IQCm2~Y zdH{Nl+^m^wzL$2#drW=cT3RbS&Q#~M$Z!zOJ&KdhIFTPQDhvkp1fBl6@fq{aKX6B2 zWgL5|dYKhE3s-li&`c$-We8x_lRbW;9B2; zM2M1$cSD%=xE|=6an7YHmKk9imr5-j%NG&(w*wAnieFIUF=>niq^6UM!&kw7De(ub zdeUO=SUH_qCcwSp-;u^!v0oE-)06)mAJ_NI$f5lG~A5Rq8R4h9Ed~zQFL}ly%aMmFEBf4X4t-NxXH_iCi@qGw`{0V|Wb-v~xjR zx(ANF@6T*k${N^8+Hy3-D`rmMf2@G*cKKJ+Zrm#>ixvgJp^e!`{(gyfWUnx%>$Ah? zcU1y$jnAzC030c^g!(ppR?}me3T^9$OjtA#HM7!*)Xi-q4sa^KnRy&F@I_aRAxto12| zX_3w%sVK?(*-SEsmfKBA^*Ihchg^)W-!>;*Zf_@EeNQ-Dw~$W<7)&_JDiu{5MI&ZL z1UfOk>B4K^JevdH_o%QEmiRqZsT4>+co$kf%5UgPk*nOzFUpUUVP&k)on^mE75Jg0 zcKJK_e3ZTxOSMpW6Pl5%Mr&Nq#qr~QMLuI%d%j_;AgNtrB#HJ%lXQ7SN%ATclj`EJ zb@slFj*zr>XQiP?&Nw2+=Ip?rc`8`k8*VFjcmj_zDgIgCr!?%okWlXj(?x@o|s8HP-gknj3aD!^wna;F`Oy*E7@pBxOU+VDNe{7VRP|_9UqXwFy9s&$#YIWs$ z3Y+6FReQ2B3zJs9tsS@|d`>ujC#UuNrj=uQa6Tl10L#U~uyaT^9R+M|9rT$?P2TDAm@@r3DzLml^aC^?*;d=%ksLk?X*{Qf7@T zD}tx=F+&mNo(1JHfTDEa3(ZZ^2<;~pt=hK-ckEiekZ3ub-_v@2kuT!6bybh8i>40^ z#_-ID5Y?5HV|ZdI9oQ*dUt`7tZC^PHld==;#EIwd`aNZ~zwi^fqv(k`N8!YUy}iAf z8ayNCjE5?kG$KFdtA)KfrL)WFT!yR+&}s29^dq` zr8fqk)z0gEKY5#v7C#kZLGE32OreI>6BmDu8JprAf%j^rB?B`@UK+W zyC3f1zG(^>Ky~U!?yrG3p*1&)P+oSYN%#JEEs$|VTQ#q2P_5i(bR$MO+jf0Y8;fW3 zc;;6)U-kWX9;kD-B)yk{N+bN%0TNg}9^?}|68hFbPG*y!uC5PmxzrCYvC~_2usQ1d zh5Uh3Wek!W>9SMOI#zC-$i}8mRv3ng#fc}4I4cpm^`j@8mbe>8#a`!<$2YI7hQzHBBbyhy!Y$#u$7g-h2$+WW$d-=O4lGfTv@LrDr9no~xc6ibC_Q z&ysq9bHA|~SPRK0uRc_oI6uv{Z;d759I3fZp_bcMI&P};#p4H(LU!_>PnK+HnX8uM zzOl8;nRObWIHHVxP|t$s70&F1J8&*;R@$TR_dpTb>N)tY_m}O1_beVSPvJRGiqc-j z@(UY%(tZI$w2L3uf2mg0pSMqZpL}=zNBF10QU3b=H%B0;>BfM{(6cr1 zq^BQGWLY6mJ|7ncU*aB-#R6ofQOQsF zcg=j!SJ=o_*i;V}M@HR5%S{Q56i7$Ec3E_~DFh9{a9?2{s8(m|Yp6wvtRsB-&!wI+ z0Xh6hXs7;P$nG@nn94eE&H~HbizugCr!w+I>h9^R%Tg@0;i=n4M!O})swQ5*R z?G`R8_`R5#V+lU(8&fVxee^iuwqrkW4iC{CFs?rRU(76+!|fk@G? zE#F}xm-A1vnrT(JIu4AOm8|*!hQT^i3-%vbP7P-M(yBfhjllH0rq)EhTnMlqW@9t= z{-9hB_PDn(R$DoR@Wq^soZQ6LSOX_^+!1xP8cxzHlSjYjClxK_`--X0hjt!(fF=U( z9iAOORv0TY_JT~ZuIC|ZN?yQz3(Vd}Vv{!I*qgsKm+_=nlV?NtP(yU^LE!3dHA1~X zSo(0M(rAh>WbsE}fMo)Z|Anzrk>{4k$1{H)Yx0+}*RCNf*2n2x*?&4eCG>q9D_&KY z49pirm$JCqC*z*npsqvCBUFb3Q}xm#%P%oR(2> zF7CbsOE9U$5+u)k-eiOMjUBKs#wRo1byq+SUNpv-saT)CYWSETMQ|4!s&OA3nqb43 zy|Sa#C4EldL%s689QE2UGZtvjKC$GU*TtO<9J_uQ>w^n z=2L`V4llOC+E0N7ajneee700*%g;80yYI$7NkXr3l^?@a?Ju1CyQKJYY{Fg~4chUWUqII54M~n&VToRke-M|?g;W2$yioa)f#V9VsH7{gI zd3#I(@(ZI7MSFvfUNJncZ)yQCGS1^PpfO;P?)?o$2}Tg%&uP-!%P&cBS3gFa*G`(Z zC0aY~;-m~7{GRpvF!CDyhM04@h`Zcf%B2hSGd);pTUESWCsAJ&4e^%qNucjrUSF5WW z{OAu7Unc#Kd{B>SWxh837QQe2`G^Ft1i$bMHM_B1EjL9sYo8(BlX`66%|gukTKMX| z`obA|HIc?Tkgd7VsA+VX{8MW{6BrxZu4I?aISP1SzN$!552pxVg`Oj?+fLTKUKJX8 z|L2-bH0euY)q3A~qpL5mJa;*tSJmrVLj3|szt8W#PEj-;7<>vHUX`QG5um)4eH`lv zki41MT4P7~=3mdt*~h!OpS1nAXC2eW+2|&p2D=aDoU-?2W@EdzC8D*X!W}@uJmue? zOJj$Tku(`^0XFs?1j4r(Urv276 z6d{w##+T_Z+U3`*6WBg%Y}|MBME!hIEraT8kf~ik#p6v5e|`*h9ydje`x4WroLpo)=PFU*JC|!-^1-iRqZMG==fgQDc^D?* zm;W!YAUN|&dzY4-0mhYwJnDTIm!5C>G)>%NH4#lrSR140B-#^L$gX)I+cr|(3W@GO z)w2xF{-H!_>9j{+{K7F5lbt>8{Lt6s?*TjX&(Dn?RhwNaD+NY?oll47%m&7tjcv(&xr}i)rY~r&DJb~mhd}MS>NNqo zriITP-~+2`sD{|x!f=XSHlwyGKTD$pDTM*lG8x^Z(L5V-o63 z1w^E*t95eC8y>JJW;hIArWWDv23c(HV1^mU#;1R_vBsao87eoRiExd+&3u?q=uKDcW<~At@H3eKw23uKlp3G^ARQsEi_#O4`r)GPV>}$y3 z3xM>PGC2+CnfzGeyl$Pe8mtOaA7F|+cQ{86Wg%0n;tMtcUU2+va(_i$! zj#)do(ghRfK6O8iB_LlYpUqlj$jQ#IARh=QASy}&{zba7h=l%OY%pfZitXAkyG_A4^sv1hR z_NOF2=`Zx#-m_OKa7(mEja;$Ei}4Nk#-tL&-z<`9Oa)wDI+Hch60E7%@4hhZJ%Rn) z--}6R#$61Y4oua@0mx*9b*UgD@Z3u-c2h`NuQinfP|(bG-U`*pz0?q{TskoHO@0yk zm4YGA2E63U%IeOD5&&8%585RxU0Z51Q^7@DBSn^_UJ_caG4w^dlkZI!K+opN{kW%* z9^vd*W~-ZV zU#P=-kGFze;%DGo_zJz3@tHHmGDCXavA6H?zhs7LRjkkAx zNqD-HUs$`Z&0U|^Y9BlMlvumbsFr$-=9rq*f2tRGF~R1Q$&bNH{5d~R9nlz4@jgI> z+sR<-M+O%UO8Ju5}=S^V` z`2rQ?FAZ3pffSGbo}7EhZftOI!+Xwmq3wxG|_gSM>h=2K#K8GTt0R{{B)Dj{}p z@%h$oi=oNA)9j>2wl1PQM+;8|ZeeGthtksak0;mi&|~)@nF|PQYayqt=A)4*9@xGfe&eN|)YIGTThc%9mupt zk8blgzR5()P3&;{L?{VKEZw~&8r~Gg$T{%15KYAh~?-cCTRnax>RbM)qwy2f?%8z@S6G zm?%2S{rH|gyJ-%SnKzTs!>l1abI)JYf$kM< z{n*R@${FhDbKmb49Utw@8h;LoVPSKt{SBpltAUm)wYoMXeA5TW{qb5oSvNZSD4?|v zcS4Taz4GDHV^mv*DNlj3#6EEOWaU=CCz#xFE*F!pG`*u|CadJ}AC|?unkOXt5t-}um;0v&J)DvV zMV5Kojn56|1eOX_ij^E{7=Yr2=3RD40g1yz_sjDazYyoM&hB z#d3{0#S0vma+TQyJ_}|wUMpe^wMhiET4p7f8ybBWy{qD1O{*q2AJtDYd4j-sB#fxQ zq6_#;oK3Ww*6ha+L5R`r9@)Q?d#AZGe(|igyLyD6O7xVZM5fj79c%6p#F5Biyx0)` z1=QmKV7yj|VD#RS<%++U_*W`@+y8o7?xp;JCi8fwMcfh z-Fxru-c$;>3ub&fkNSu*oqXU6El>KSR=zW+7RybMb~x)Y&A=q=yq%RAT%&W<3TU5; zO>x%O=G$6ZskUWWJB={NthD$`v6;I%@(5xD2(AS=215nGIx~UsVZFRnj5Uqd&y7we z2R+7pYJog7@hkF=WHJ@1{a4Tv^P_D+&Muf)e+;pG2jacsOpsEuR2m&w+pC)6wW^x> zR72ogCdxzF?jAQ5Z1Gz>Yin=WrO3gD4=75Oh`FY?)41>j>qEvEX5PD-^L%@Ss-R_9D$Bqq+eKpAJ{WiNrkvlxFfykIyWF$8gBxJeomE9k@MpH!QxJ(e4= z+L7U4u>6eQwGSr~w#M-cO4j`hIDqzfS5=wNtxm!!KCs|Q4KXm_?huN<$!GoMYQJd8 zqoc~B?!#Yy55Hk!ZXFD@K%Q!<#R=S|l*?{_aJ+2^ZDR2493T#xXq=~X z@*W%)gXip{zP0u9GgbM=HnxCEyC^PDF@qUT>@h`TOFXxu6fgmh8)Tj=Bi2DPG}-lpgB_WwDYk7PiY$d~sSg+pIVqnx%7M{IU`h@Bx1LX3=~eRp$&`CN+ur_Kx;_ zbb;c>`F=;to$1uCB~lrm@gg4rC*L{FVAQb}S>hXQDQ?c32G{|$Z)X0GY-0K*+>?M( zsdEjqigZv6(fkI@MQ**MVrJ57k|7&>nJt=Ug~Zj&3!=p{`^ zD_sCzc2hNgi}jYmedCim4%;qrIL8ffekq)nmO@phGX{;5BwN+$@C9sQeBGizx_ZPI=-E;4#Z*bUNr$h*&!(Q~lwdx%u9-n^tzJR7LCsmMYqmD$O2bz+w3 zhG_=X0xoASJzU~t$-LKU5PiJN(-kj~(ZS`Jjqhon&fb7Ry!=wNDwg;|eh)SltIeKW zyEXUWfH&81WkfD|yf_6b_^;mBni3JaU^@NfXN8NPWg=|xePk$9%uA&Wx6iIuIk!2M zIbVNtYP>7genz_-Lb@Hk8AeLv+sIc3{U z^K{Ck|Dr4zJKs_p`vv{ckCVkk<pUa`;vP6Unx;AcJE&-XNlj+STm?T>sN; zz=xW(@%C)t%S=caA--l{nrVBB{9u8f{u@K`SyH(iIY^+jkJo=33U@;@N@pd;ew3HH zwXgJIKm4VWV@v6T)DC@Ro!lqMr?~!CY9;*dZmwu#Z1E2Rr_i^_!>^FU4H5Ux8G57G zG!>X>^a=J8<(eJeql8o6B=RM1$ri){&?A*+TYKcYqR4V^b)>O=4YJ60R8OL}ibDiN1vF8+$eI|qZ_c1=OG z3IZ<;z6l_G9^RUr?+-}&_qpZ5*T2hrxk1I&h>i3*;whhn&gFV0e7CGE`6fXu6hwni ztFz0v3;dGw*5n1=!fi3sz?t!!Kea}z+K#cSMW?V>L=(p^r+MCoJ85D&cs7gpsvvgW zRNLy@dCByn+?mydeR=*7#jDkF5z7mJfJYOcYhLQL5@YH(Pko)iB_Bx5nc=a{+iyRQ z@~BEQSHNvtI=*guAbySCHLQz}xPNB^%zEr1piq@KtH$cC#H|p#Ae^Kb(~@DA#IB_@ zB*NT@e092X>y1s*^n8D$(w|`4_zK%R8tGtJhNB)n9vfq@&4Ac3z~(O4y>r)9yxaW^ z!^WTi?ndWJ_qareaU+nls?^E<3MCeOyO68j&hMn&?$qGCa7CkKFa7OSQ|RG-PrLC8 z2)&qKKX>n?H^q%6nnH?zY>h5qtZi?^<=@X(TJw@<#+G3_ID z`07L#g9|HRW~abq)c+SW`|J&Nx>z<=M;hm#A~}KOPoK9-J@Y^oa3wKcM%xxq-xNEp zG_?{_^76-Ip%Goo%U^lO^g+|;Mdm+?_DHbxqRcghkxlmMsMm%z=|KgmrT8&Tb(_dC zrmMI&-AqOdhcmi-&`^BZm|e2n%a{rFu0?rz0uqRZ>BAuOpisR zr?mF`T=fGIXA94_t~(u(u3qY9omwOet#foua|rLB2#@#lU50)*2z@n)9W#bJQ_;{F zq$>xkT=m!QbV}=JDy&mzBjw>Dg@z+AMP2dZTj6u2GJ{x&9Yl2Ychjlz{V(X6waYa0 z`ght0kC>AK64pqvwriCB_ls}3Y?T{iM}C-^Z44D}4CN_D+&`AKwGj1{vkemUrL&C@ zKelG-@fmPoK6D=4G>=wlv}?uxoI1X`9q9xFTB3#6f+F_oJ(yV*l5D&3AP zSNtxrp8mDQu2l(35LT#fk4FR(yJ z(F>#6tFqfVPEDRXOsxk$?JE8PW^04LR?A8#9|OId0_Bpg{oAV{;g!Gj(kIh+D~)CX zB%Sv`c@hDnyRDRHrcx-{HNe1u@{|OaY;|n$FqbV_)BAqeWk0N&s?~c+8p(PszaH_r zJC?%h3<~+>^>vfkbfP+7EF>iK*j4`maJN-%JXyGbb-yDy9_sp(FZ&zcX4LftVYO~W zy0wF;YyMrMs75RC;hRW7TSJ}lMgd6x{wh_Z>yns5Yc!Oh%o3uN6`wr)SglP#N9pxu ztaWU&&g>fiAD_*Ar$vD|@%5Gq zStqj~D{eWfVgqXC0o7=VERqr!dY9SGIM

    OR?#;jYJ>C$8@JRaaYA#s|p2%0#y+Y;tz!r4fh)U^I`pwrg z$_<5QBi@;PX{_KE2($EIaOUU7d*E<|ygxA6h|t&mU~n~>r6J!ADKyx*P?+|{lj;rt z4;XL}cL$|D5SB|oZlvS@UhDCy3QuQQwpq6)tHGCP_#Zkj-rq-Y-h=HjO@+tinmb#S zeuS|f?(VY_l3aX<*_CI0EPH5ucBNS9@{(YE=6g8hZiE(UCfY|gkc&p0vte#>lO_rg zUe_$7(l-qX^E1E)TZ{CdwwwKEV*uTtPn4V_nq}5?S%a;#RzaimDCHHIBKMVysIg7?oZeb8gx2Owg_Z^iq? zlPg~aZ0=WLXB_~-in^b&<_iBB&aNj>M(Wq zF%C|Pz7{Lf9$j80cTTpZ)sve%ho5{&XbCnVv_g*#7Z)>?4TH zj@p~tp}uKpa~H-gS990G?Ml7TDO#JG5|AN*{=)Y~M&B6bwZ=i*PVj*BOusT~zaM=@ z2YNsJ^kt{?PJ#K1G9f`5(lhIXwgh&a&+3;PNQJ@K-%*4QQV8DKve(kVbx)+3dXZzY_H&PmR zz1#Qgg(}8;22Cgm_GpLyGzDO{4OKJCKAtj-?stI3`@fnv;CMC81s_`0_NSR}l%NNL zoZQ+Nwq0Ce$VYllEKfoU^yY*l4{}L0$I43AvClQ!Kk>hGx6!AS4pvQ691X}%vm06< zs;=RHSPW#8$8)Qit}54nTRy^Fw^Bwv#i_AMMKev5Kd-`#834$ZERAz#JQieVg<`SQ znB>vPbNP?)-_t4)2dK62<7@#p24}_$l{eLqbmD>VSv#xL#U*oe&DpWZ_`&C90hRSH z1J7akf)WL-*Kk7lVpSbs7>KfL_vsU<4o9HxdmyyOm6>Y4o~&rip~$Jb!4P0`UR>Ta zxk-vW>_03%J}emgRj)D%Sij|7dz^)O8^)LLFmK?`i?lAffflKJhTBZ%59H5N+tU7< z*g}5!`)b?pnETtWu0N^RTa{nU=yLz3}!{knQ<2U>+eoF-A@KGE< z*80be!*`xJXawwD8+6_G6=s&MnJ+s`>P0gwB^z90OC-4%K7_+Vo)6Dos@7?f%qam!Yr@lAL zKk@D6e}abL+|pn|RdmC`(USelnSjt)Q}EF=XWQIV)W9!D;Z*imYG^%@*!+%Gie6<@ zMJ1y3=3BNS$|bH#X|dHxZob7__+(rG>Wzn6-skNsEG` zcQ-i^g!`Kk2m*umXXUZ;AbdgG4(Kl42C_@m%5DT-Uq)TsSI^;pa!{NL+@AsiK}CMP zoW(S-c2`I%@{*VS=w&a%+A+p33ZhXb*|wqv-8CoJ5J_O35tRB$^^u_dwIxoqtPK?K z)`g-TNGdCLNmF?!>QzC4+m!h0Y{vN7=V~^Ntmdk@V?AqOza~diH{^p18Yic>!S!>< zI}|8deOuLclp_C{q70lM(#f<4IW8lAeXKs)K#K_qI-h8rfwgK34CHFDcwebiHt`d; zuee=k1-V0fuTmE|*Z{jxUOUx{zr5iyrU2G z2!8eb$=hJ~+VUvl#$GF{cb$(gVM~0?j~f2xU`vi~6aTxTZ4X@X9ec)!U8p~9Ts*<^ zq(mvv0R@1*pd~AEeDS2xXu|8U^}pnT8*6}QW2?uOy99uX?4VMUL0u|@}L{ogIDtbWeT^@{D=pNr1%A`&CBgN>STgx33pfCU?Lxq!Acz zEIyoT;K3*3EL!ccR{|)p$`Fj*WivPR=FqTD?Tc&-f3GzBRz87U{_ic0QJ|*#QMs&S z2%y3DA57z;x`GY4ZSF!NiV14|9x1295ULudjL=IT8e{%b<-dsj^Y!=S0lP}!vC$1y zY_`Dpk8iJx^}2Tk5Ro3)uMYuMK6s3Agwn&EFJW;euDYG>#;$^$JNdepm{lptI?U~UxcdB7ab9Y# zeDs0_N;q2YuB%12y9tBr;7&>pPyOT&E++4R^hx=MAaj2}Xpt>S{wq_Jf^WA+ZW=O} zoZVUR3v>Q*qoNd(a~J0l4J z=wS^bn^qUnDH&F`Ht85D!~~Vv<)IbtmL)_^NQIq`GkYr;^6jd4v$-_BP@%@GO{p3g zHw_8t74iDyn8~Ttn}p{I$Q6m2We)ds{s+;$-h+On^DZiUN7~%~5`exJ$bs)Y+)j^% zIF2`V9cBKJ{zB-wc(eLn7kT$nK8>lFgc^zCAV!vc+rCa-<;PfEgv1BN+cLw2u8bER zq!Y$%8h|7D0ID9XLAi2#z!0-M9jWP9XyLe-mdNKwXJ|kes#WFoNo5S@OL=VOIGEC` zC8G4if7)9%*22jasN3^!w*Sl=+x0!jO$eZFF_fk^QIr?lk>_D*(5I)#6kU3C*Hx+e zm!NBt-SiE4O_>4C)rTrQ(BV(8S6!((@)}7ZIb}N7C$^_1ro5mCSz>0OtG4=*?e*8VGw( zic+Jq(5V&+tEfczgvGta33vJ$;CMPcBKZ0{kClRD%im&En@r@E8GlA*w;b`=dby}@ z&}fNeJFYh!NQP(Xb{#!+M6D)BxqeJtr0woul`pY_04!54Rx`B*2+a3$XEe`Wq4s%p z^usECphCks5Dd-puP(AvhO%95%10rGEJ^;vGyyC1t7>%*NFP93{2(K~gh!%sX;PH{ z3hs#@eDHX6YFxmvq4#$?mXeVKQ~mgQJid zi?={^FYVmx>P*@>>uS2N?NYAXjk+W|d$D=D=m0ZKo945$@zv)AlLp;7HBn2$zg7^>f^aU5!D=5w*1 z%UxBrzD9g~T+{F+fE}e+^lawjvDTt(Xtx2P$mXnKVM;{$(OKfK2soj+eVB>CHxL+I z7t+W>U*;|6I=tMqW-^H5qczEtdOp~N`yv{%ig};m9Dm!X`ICrh0tKcGA27Z7gul~X zITCcn*dkZ8I2}=-$8e~g@edN#bfWk+hk?4tv>3^X04}O?Nl%UV`Z`1fR*;>9XMC+a zIyJzlNr4WU=fc<1RHj9jXWQ>~0_p4FPJZjz>t6pRjjfk8t^R?-KK>G8Ui_p|@UeGC z`r2{2ofb>^-Fbt~?<--U!=IF&bpPp*D*}O2U(iBsHhi*citkvDY#M!FAlx;TfDBf7 z$<@z{)97SjIxW!Kjqv@giCD%PXIDy;uiDSm&8RH=T4-EY*q+^!wA*c(s|SK+sfNx~ z^qlPX^TvNM&Um*SYV^g?-Pir(mfKB>`OOgX5pE(-^9LFhTtsyN4phFtL>$<}?8z#d zb&a%%8ff&NyidnnB$J~2`_+~ABZ9CG<|7nQ*P_YBU4L>hq@T=MjXIV#rUY%i&`V+w z^)5E1yr4no2G26{t$;1hKfushiNlt**$~h(z|(2zbi=L0uP)n;61z>8ec6ph?aEf| z_A`^e8^~hGe`D)j8>+XQ{Oa6P&bLOR61A01v;8x=MV>vr{LWU8AfHv(>iOwVDM}Mbcc=x}Y)0cB^jqQMh<%Kum|5*`uU93n+NAbP{&do}s#shfp z8YoEqNmT!h1Ec$M2vhA-Y02_ZSXIuWhZ8Z0Iop^)3)0}kTZEqd#}U|}r@L{_tYc#; zbIp-h!0uf1`3KPpPGeO~3hWkSY>@#UEgqCYN}W1>{CZRskOHz!@qU@}Ezae>Z9UPe z0P6LDFAE}fh%7k&yd%Y}S$NFYge=P9*CF>Ea?TOD4hnszDc8&qbp>ak2#5W@M@W{( zdTKsoso8TE6{GH>3yL%HsC4-qnGLRB%3trLQN?$CaB9H;=nH}%x}Z~i`QJFsB1YwL zCd%5#nQvRqY40rRiiT!u*`ZJ7Zz@R%{YvYWgG(&dd}Z!;M&r(I6gj`wXj45`SX(~2 z9_$=s`ZOr}h17bkV}m&%i#y)WaCDdCCY2Z1h?{t3`5j_4okMj`!^4l}}_4o_T_r1!Ceui(8g_M<<=KU%BH`}fKn*UV3 zHE<{)>mJNDGBn@G?t0kfyBDvM;c~PQshqC=n2z{d84x=@u=wy_!tk4I$PPU^0I}jR{m#egWwko z^9hq&mx4-m5TmVVUei_BOx!9q1)uK*9FK-S`i1XZe~v9Umq73r))MT~k;fhCGIT)Rv+V1Ho?5gDF>4Wb(}=VQXjMhEYyOSx7`pN z|EOxQb@!Q}Z%^3~wW8uZAyz9IGg5!yH03G7=Eo|Kp_zjzckiB)PoDfRmY@UI!S)G%|{sBsdC|Nx4*~2 zT-IAceJmejQu;;tSFZQGJtHE%56=P9VZQZ`{xfz3A2QGRP2ymB7n=xT|DI!3%iL~H zZloa>@n@VNXh$~9G7@(>eD){drT=OFjNj}gNw^IvU`c16imJ;4&164$iWmFvl$ifm ze4s!WcguB7`H8orje=2^tAE4dX)shSQwX8i3k#OU(NGy5?u2S*{|;{MZ~&Hlv|NT- zAvf_S(?7Q(5J$~*y#3SDSqp2&${?pTGZt$E3!K^Ebw*QMgGGJI*eF6?#FOa5M;?V( zobD(kSEjhhS|irA47RM#g6o`p`pr}-;He2|Qt0oiXC}FNWk&0um`z)Exy|1WZMEY< zc!@IbXKS0l^0~VC?^jjqfINJI`_oL;bvr*uquuHJcXrs$y!A9C$duPPCM-8H7sCEk z2~awk|7EO9bG@ee=18Mv8xtq^1FE6={1eDV>P2o@9c8&y$Oe}->y9>fw8V3$!xdLt zKjws1?Af93RB7Bz3Z7gB?Z64I)OHPW;wrgGulrG)pAa?(Mp#86^WReidMB{kb39~$Db-)M)Z+zTJORKb0xjbeS|bWdux+eMK&j`0kvq`;{5x z;CLH%d~~!xJibKa>nE-^mJV*qylPzHQ(7;nXr&n);3PZyrPMp@8UP~?LbKOgcnDW( zCL7b{BdNFn)aGFqwApCH-Nk1%=+us)U`2YFWA)P<{c$nwkhlD>%gjdDYt5wGkW`-S zNAtxm-;1m}%VYl$EU!B^*WOSZ@Mx#(2LD;eA&#%^M_5G#=4`%$B@3>yolgBeX(QRI z@XWFvnwi=Nfd#2%!H4p5b4kXzLql$&NU_(a^~Fh6ykE+J~V5a?@7rhTnB^IptzB9Gr=x zM;B0|DZ`#x&_uyMoeJT-RMceDq0}v}Y2%fBQ{;9Z zSXu|>KxhNJf20iO{eGykc5r1j$!qk%B$ z-?_o*JUQ5wxrkmem5JXM!`%>8a#_&bI=kgO`{Ph6_lHj|k^sU(gu#|-qTl%ee;@|d zb6U)WJUOvIpKOtbNSSelssMfd*aa&B9GtI_-PT%LGRSe$LS?7)9!=(C_t6p3R>{9p zdB=sn)A0_Ay-QFY7>5<#V6w@g+CE9ArQ9%Izj3blTbg2j{wpic(ecr7cPkClAcw!b z+|1m|>6^$vf$UUDNq_UA1n~9dz9RUT_un)^Z)EChsqw+eZM5-Mn!TzB_J=vJAafRI zP{fkkk3HO6?V($3G-NO5ARR5tj?O9Z2bZ02!07E-8O0H8FZXb~{hxb}uM}F(IV)%J z@9y==R79>`td$I*RWsu&oP5oWc27md@x{D_wqzq<`Ac(<^|`wM(amBAUx%}(qskI< z3zfBjF)rS%T4nl5gQ5vRDW9@+?@#qJ7kQ{ncmxFTFqL>re^3~m-)}OKsY|pi73`Od zt>R^$`&`w+)&*CL35v9{VD(M1bCRPc@OC#z07kCQI3yc*FJ?i+m-K^NK-fKhZEcr5 zf7ix?`zy?|Lqs6CF|#pqFC<<};4kR=;MYH!+r2|Ldn;mOH0d?;OR9>_HyajQq+KdVpDSxU?d9~U}p=cygwNnAHE zC0AVC10?1xqC4OpP-W2=KEJM&(q0Kk?@L|hR*8J$d}07|r{x_q3lj>&*7CWkjlCtd zDyBH$Gf9n-!A-c{eJp^x+wCii*h%9HZSpF{Yv4rdrMcM{h-~AN$Ybm>}Un##nW)Ue`;==UtY%K$bK!P zWE@nM3sVY3n8A-9Oi2w>>nGIQDIH;4&8dy`6SRW5i#o!c!4ZppbB>vFc^e#omTtu! z?oL1cDDMy`F-@6EWjE)CTmd$U$kUKSbp_=!>>;b%rQ!-otRb*wr!5i1_KGH`6D)W3r4?3w&_N9+Mb8Gm2gel zUtCQWg>{>Q`#q0QA<=EmFdV>ZiCNvkFXX4)=bD&QBOHa6^wkcy=X$j}N7z-X8?J@Y zj$U|*T8ri;8!#8<)(c6y3}lM#81sM{ELKX$;fd`}^DsR7=}Zh3RBM`{a*I)3^(I0r zRU_9OFv9D~A80U7yL!Y^K{NxI$hd;0v=5d!X){?nnQS{A8?>SW0zkp8DWXK&m&>-~ zzWleUBzC2csw6h0^eQ;ubb zHU9QiL(3ZdeqP<~cP?1BK^i4-U&ip-W<|1QX*irx{1BGo)Ld#ea-*TiZi3E2TV~KC z&JS6N0@GR;R+rGqnRUwA88Q0thSxq?eIB;`3nMA$3h9=->k4!aPBVV|$l9F_j>Z3m zJSf6g7apntWf$xgqp?IK@UqZD+@;B3U^x3Bdh3UT_Qc)=BZL`jyi~_ijGAGStVF0D zhZ(%XQR%rsgSh$=zr44Ik`0&nr`;KG-`KcG-z8ArkWXCf_@Ql?n0$EEhpp?D2%kqg zFgCycu|}?P-R?qzQ!M*aJpNX;MLlibmUap*XxlHAMP0zmbdf6@@%A0~=>4ChCj0+r<(nk8pn z9A*M~8`$PIwB@=PXJw8#ue}kE<4zRyvF28DpMA#OvXMYnzV+iY?r)h2f0LX{!#xb> zKS+i>iud{f{iPdju%(PpYks3bOxe;Cc(bWzkoyk^sir)@xL61tKO(G8Fvu*q>J1I$3{+L^2_xwST0flP zv=P^_m_j1+Zg1S0UU0anLA6D@&ayqdr;^!Oc5Bb)WPOgFNAK?W%&Sw!%eG3Q^42n= ztXo?Ny$$CKIDu0p*V_TR>?pEgdbmYWCwBvnZTyG%{4hO3SDy=ZBsxr`_#)MN*#L`_ zPVSz7&)>dIcJ*-mwPy-20LgT`gE68kqo2Zrr~KgEgPc?t-`B2LJ>h)OexnFi-Ww&3 zUZt-r;;G3T#>CZ`+v(Tjr@`0aL>_!@YFIqFai`nWdey~7;DpC+r2buP(BAy~bo+mt zx}-aEZcxU->GnJJI--zWb9Fc0%u=9*YQ@R|FPcMrJz;ZEAOAzH}(nHJC;hRK^gbb?PQ>XgWwN)403V|AI=&#pBlWDzp4m&;|(q5G<)pfS+Xa=a)CTd!=1|vv)%K-dm;x+P- z(N(gqC-LeW5_g!?M!DNm4tW%aY&ZZX+t%;%zY{n+SJyc)oK0r{zp$aXaV*!3c$&VA zJmIs&IyF(#D(P3_S$u!lMc(jjwhMTV@ew`=2+9y-%o>)PzLRf(ynA+K(I(T58v)O< zZk%;q7)CW_doryem6aMNfJzAQ_y2|mB`hOMY}ZsnqBNP;9rAPod}q^hDh5t-f?2** zJKoWcPmj3ZsfG?5t+aaCj_LW=$!Vh(1(4e4e)jY9ijj{n-r`8TLa0(OJUwj&-rN}0 zATJe)7xK0^@KRSeC?3d^TOC60uh}RAwWoJR8V8ZX1F~Mff_*Rc&~E4rpVe-^W*0BB zU*DE!oyx*=Gca$Y?uM1L?{bzz@(`otz;GJjC})r^#m=w-@*+=NsLQdKf6wv>}$C|9*0IpTZJ=m_@v z7`7+{`zPK01ekw#MMWts~_7|&vcoH?C5-hN1Qz&^LuEa6c@l6}5xJtF=4)AwgDHvdSQMMQ6wsAPH^Fj-p z{={2`?<4Wg@6{)1ZYkhj!mdSjuaGP~H>^D1S5AH!9)|uxCMYLh8yY!KTCcC!z;kqH zFCO~fIo3|zf6p7@rW=qgC1{&Pb7c|;KoKi)v8{_EpY27-qTkct|6R{H7Xz(>K+QMm$0x z?O6eefNbOXl*lLD;^-#Q+4G^vinirNb!*U+Z;3~mb5k2FDaDR-<5;(Qf5%#N3(qZ6 z8oAJROg$R|`~H%}0DZo=D*TjQ+o+`N1h}aujxX|>?S-#E`9lV>SU#jER=u|rV15^$ zJ$V_o$&;3vN+k@Ou}Izh{i*GzEDfA7A_INHTc+#&hbA+~%kxa(qcHbiqHpJKnbKYx?iLx~(5IQ@-}HxV7e7A}3&+a~ zgRlJaH}x{~z#eCOLd@e`q^K8Ids-!pYMHR?B2;4745F^kOB!7!Od6QIU>zZaZs@R7 zZj>@GyM||xPqVAMVcheZuF@!Q&1G^rgC`a8fH=@>9#12N4m|UpXa1?GCR&m^!7S^@ zxSeM71I*Kdy%tDe>{tcU<{H8G_5{NMhaY?-`hdvIL(HcK_dz)&o(_iHIYO?U-QRCE z#M+@koepZG?jLh@8o$Abb-GGiV0OJn^KL+xTN&zJr>_Z4wiDMn7(?6De0j~cVeOOW ziYj$ex^KeSH0LpS)1uass7St} zK9qY5?o(1KdkgC^|3UGcQvqHguFcH2BrHrJ9HfrxpMov^Yei3UXf;JonIM0;M7&Bn zpXi>pv>?NO`lGK8V=G$mT|2AQN^Vv145$AHj7O~#5%_4u7st7uzWAmZ)(4pnUz0gH z7eYdw4gV1g^j?DL!_j&ph>q=T0^=V(?IoZkbAvkN_vFem7(u1G1^7A4{yV&y2RpsY zxH+Qr6(p^OL=aDp@+PTYh^tY6@66omvPk8B0_&Xq)E7RpWm+#{xOCchH*_}(o(O(N zO!*_wr@1VU#Y%?=sSL5LA0HVHK52V3LEVt8+nV9$_GX4zkv($9pw0J_fEn`dj@rtL7nEL zkw2cq@C0mbGS+M8%oV}$fALv(&cb^i=?ULrA(df0qtpLu^;eMB=c%;|=!jlV-=L>QU3Ih+Hs}Y>PLnwq!MdFfs-VsbFZ29xF)uIvR8xb)}qL9!%T z00&rh6NA!j%+kvPC0<1uh3l~ws~CsxBKt2G-rD{E<;}$=lPly_L**TB-^X*x%-EZu z(@Z5YKNotq(`FKLtsFR8(rRw6^5b0M9$O?&Kie|n{HWGQoO+Z6f2DSKaGoN5h1{L<%BAZNi7fRgN*;T^)kDH-|?`^fuN)_wdMwR<}0_wQU@ z?;?N|;vIeFr6x#_3%NKI9v{eQw#=vssg;e4Y<6+2I*uPvUOqk)MDh>eb-H*WPQUK* zkY_?neqmweGD?|OJ;{qrQ@=q>+ZZ>tkb_?Y=fm*aAFcfdnJ%1Wk)F+lTlD~(GZ)<* zDYJ-QO7f}*V{)Kg(AzHf-}RjMEUA?&>B?)ZU#&e}d3)MrJN7!#LhmduDl%3wz>%|> z5*+@&7)ooOF4%0ZRxXhnRROC1{YiPL9gsF1PO*Mvr-2WMA(hj_zy~KbdTke+wkv9^ zR($#`PPJ3s5a-(|Zv^0s|L4BWsk+U6q3t~DzPK%NcAxz!XUUQjP(b!&to&8uS%|m6 zqMwoSDs_+Nfen{n1jpxd%oZuTnqz&77_;O+!FATXfFNV>9ZqWwduu?f*#bwu;abZ+_`N_N2%IeBc}h?)VqK){lEX?l?vrl zgmOwJaxBN3L#UjRoN^{4hdFKy+ba=8Qpx#LgvvRY!)8LrX-Jska+o>n5Mwhle(Ur8 zUf2JB-Pg74+VcIF{s$YMp4?0hpF6%=ij(VG$FJN*8X5bePGW~N_!gz@trs~Ab?Oj9( z-1$~WjE+gc*#bJ3LB;%(|K`BFo}%bH%eDPJs#3iGmTQVbeA(8>M+e!glP>Nc(C}zO zYTO_i-;fVC*7|GlmXpO3iOoR6c0()11=$Et(gyEbb()_rP)Yszk9g&Jb@taOwzsU) zF5*FFL+&C-9yiZM{yu<`?PHKBXhoNG65kGukpzPH;Jorheu4f@A+!xXiY~`$cgT#a zqS)Zh2p%NQ9DH!K>MewryZ(e~wRn(_|8QJ=FJ$(`#?PJieG-{EW12Dj$3E;{mB%Qf zmA!?#8i5IXtjMt?*Zr|jit0wN_BJ!AjsCNSU#KI?;l+Rnc8YB%m?YgQv1qu{`Wp27 zI59Vg^|l6f3K?IcC&X5Hi`;5^Gh4NvojuDQ@0nmblSoEbyCl-BtY=e+H7O?kHzd;@ z6qlq!ojWfHBI>;wP9n0sASZoSEYAi;^Foe$0=e>FG0$B4kBQ*Z7x3#>=yr4xusFXa=6_g?!&8!)Th*sqJ7`L{ze ze>F-8u*n6PClE+4;C!G{r_#m1cV56nV(Tg9DbMK3G{5!?2jaPQnmv(CSEf~c8N4+=KI5W7X1D*>TP1AQNf&m&_d0HAYW7Ezcbh574SestqbP(;LINC zReMm`T=2O-^G-5wHqg5>;ck@ePWG%o67zXiz6CU}5?Wz8Cpi+ba9Mn*ohwYwb4nH= z*9mi3nCB5H9_8ZA%%sQ((O<}gQXc}U_}XVnZ!%{ck2Rzv7&GrPDqs#pU)jcHO*!o; zzZ<7Bgz0j}8j=&74M#c#(HkCa==UF!V`5t_>~m;j)i?k`xrH_B+H{=T@Gl+8;9IuTc3*|55r@)takZ>c1Kc@ zo(cALlHM(BZ<3xNb~Q=Q2rJN8Dxh)iD%#J7w^OnxBtV|V**<1NJlIZrm4OrVg=kK* z=L%}%{P>^>tVBG1hVr#8VS(w$el1u>((6s@J@Uax@WD+d|87_ zr&4Y{s!vaU)NHAY8c`JrzQs#d@RXOezZYDi|E-`44}I6eT=qT_ ziT6CC1k&vt`7Cpnp`h2bu>`HLEw27r(9+;lb|=czue4!Vs>tZ){KTl<(%`IC z{KU6CHjHtCQlx=reW&ZKuPL7{W{XSLLjz2%pMAfLt- zuD07dy!4qzouT_4^ZWXW5SROKdg}6YY0{SZUXEofeg$#q3`Dmi)6Z6-WDZjr!XDtY zkv2jNPBk04>wZhzxMPDv4dRR*&Sp-Qut(a7AkWS93G4Vg=Jxf2&*8Vo3xY=3`ubNY zSw@GIEewneeLtwyB%06;I3IuQ#V?Cc@&rDeB=-rC_wqvcFC$c*MH{j8R?!z^$Z<)$ zA3|(}oa3&Pci)cJ`#fhaO$_ba*cdPesV6ZXS~<PAtH$+LE zY^LAIZ_dd-sr|iT!vL3h!k;bKzY4s+zks^(ZIrm-fjL|;%)H*L=spDDgbsPXYJ;t34F;9KeW?kI;WPRCc8isE%PU4B5-~FlR19kp1a?*b+)(8rsuO| zDp&}&j@f@ONHKSu(um@U;dr#u>Z_e*Ma_7BBhESz0^@6z)T+?2hEm{{GDwPpnVPk~ zbT}yhUH}oaA24NSqgR-4N1UoG*er;@0WXXbY1*u~81jEt&3L<0&?XQw_~x{Ofn{Ty!3bX!DcT-HgZ6Ov0hsm_D>uo9G3M#&8-MG-y8i<9F}bmFf-i zSuGLh&g0nvsafR*0TNqzHtM{@id|GuMs+&oQ?JhXV)orr%B$gO*T6fN00!bIcut)t z*tMstgFLv)$zyKy{g2rr$kBtGv=UELsr)MJKWS^(!q$fS;--M z)Q4ntSzn@SJPSEBT(U8HX=sp4Iplttl_1ahUxNpia^%7|U>sg+rF{khD_I>BAp7_S z8+v~eYNKu-@Fn}k=x^PCZ&liOIHGs$9J0;9k@?A&8f+$IKHIv)oHKHC>0$58O(2%VMf{X+mH{2`pdd~CaL#uhOe>Z{`EEDx6V z%)Jbj@wBonyS&(!{w0%W;{7$n3@HFkPv{#-q*yOUkdT?;;X@N_S}_l$y)$P!6bPd9^ zE-rQGAM_FH8qfQ?X&6I?`)U&PM={Dh&e6Tj4fA^MeDWq(ei4SFb3}d0 z>3GGe=FaJlBCVBC>;Kh%;9mpKMbE!4X)BvIa~cDl+EP=Z7}hh}oLBnEwx1*sN10?b2lN8|Bjg;Z3)g+=9>D$yTBzi6Qdc~WTVQ?nth z_}y<en{ za2cC@wI=WNqUjf~^=RJZ!r20j@dColXd^UVdiNr!BYTn8Q?czzj+buq_1Z{org3_R zJ5U-zC&WkJtWCc>Etphkg*~qoDs?ZA>sf9Q_DsB!p8YxEE#8J>o~iN~nIN~Qa+_(h z@ZJrC*K($5>zj$(=?~{{JV@(~SXzQpc0Nt|8Y!A)NMH)MEXCg`?~ly`tRL_tM5P&j zPw3+aeA|1;V@eKu+%s1mVOlEx1L-Q60jzTXZf0|ckr2%jh!QV|0HW5*_;Sl-mIa8b z6Lw?4s#F&-rfXjulIq{MF2qM~Is0=fKByS`MTj1nqVuXfWhey7s4@yI{B%+?mBto> zII~?bS5itsbo?7pG}jlscb8l@|A;Kap<<}3OEIUOQth}LPEg22DCD8_&r~~rOR3r@ z1bWJ-K6I2V%QzY(9?mlXv98S<)BzTS9-#} z3yMQ!_Rusf-|Lz_M6-JrQop7Z$T_9YFA4Mk``|XH(MyoK?(1TSWv==G@N@McU&5Kad$_vom@io5rSk1$YLC%l5evlu%Jw34D9>tS+XUWC@mh zRLRt)$e_d6nG8%aW9Ph2c$67InjnpJVg?ulnQ3h*^;qe2j8YVdQ04BEa@M(?aS+L4 za~J1(+VnG;#81NiGHvntqM62Ua@OXNDbUm^4P!6S7Xw7^=?CL*1;38a+HigQHPxYw z*yEl$huN6rbKpDpY#_?(JeOD*78CDUj17)=t-{K-E7=8Fw=3BPg4&ht1{$>UW%6E- z#feT-JoG`|?||wV{iShz;6b0vIA)N^37bDVZ2djp|JQydsGs{GVJW&BrrUCpgFOtv zD9;+)x0C#Cwf*&{(!0U&+CKMg-+g(yAP?XviLWmT7$eJww#WU_3>p9=N(!m$`ty_M z@4-9l7~n(+MIUQp;f?>oL>YS(3!g!wb^HtAa&`MAD-*Lk?yV8-;y>40{{V8`nd2;v z@sq9hsDv=de~}c9?esv6z&9(fX@;3mQ=qDc z^gB?;0)nc)tr<&iI|{ceiee&lad*3Mxm@AwQ(Sjj1cBqf+YkK-<l*za1Mtiep^C(-=i^f zj&8d9U9BHGF!>#uMN)LHPWTg;LExa!S$ZXdU|Hh(lS3utk^UJ$`_a)ZsfzCkO z_&YY5CM1_!X|7%U!d-m06RLQaP%jW@P}Zle)tbMPxSr5gUl8D}5!_<+Eyn>*o>!9` z7+U|?JtRPuxnI8^`X~sqlbljcE`LKQZ=>DPk!n1>MvSo^DO!bubF3Gx_zt!=79lb^ z)y3Bwz?hi`;27P6SM$}cDJSNhdVEU%@IXw;xBY;R7-KzBFUA;STr<-s{)l|$bDwmk z@g0uUb)AU+{@Z|@DqFhlU}g56{(nVD&VjF~HI>QqaI^nS|H*>hk2YazAcBN21xWtIe@*-_U`zXlBq~cKNz{SC$10n{VU;e z=Mtx-Mp##YQ+E8q_e7V$;*auDyfn_dQM zdA6QBYS#EB%He8PiV5EE%;5LfJHI#(@jEZjM%Y~-iQ7q^n|`^|?YFJ~#1Q&=_QA1+ z`h>o|1p}dA4PN@8l7`o%|4}+E&j;S>)W1L+Nouji0{Hm4X4bO}R?$+lR_Bc6g1#yC z%YS(CUg|Grs}bbOb~f!y@?xNRr`tJVcoOT)FXr1)$kk_4wjFvFS@~Pr%I*5qb3j4q zrv1<+++>bTp5s4(NbnjIL?n5&e%RFby=nC!Br%{-jC<;KZ8x`S&aBBw(8m>a5it#z z7UL3Q`{)ekB+VGD1XZm_Scj$#hY0?YFmiG`o7d)EZc$WgS&*IPSD$|TPosITeaxPC z={CsRlHzy_Qjx%RRpEUe*+6w*nkSvd7SG9~gw=3m4?5g_>OiBGg>shHiXF^eX8 zj2|+RJ8D;!#ije@_UHCbM4Pu8T3@?=XrKs(IoWb}4ll!GTQ(`q-hH~>uyDd@K|&^b zqrrB0>clCWRWaxv&}@j~E8hm&(-g^mTK8I8F8j1&gn#?L)|HKWe(y6-A-|`=Gi>8q zzQ&!C@LKV+Jb)1})@61@R=%X4m!sC6@(*)O;mcUc78+dsi_Y*#SPY7+`HF22lr2c_ zM29+6SQ4jho#Ag*3oK99R*$gu*jo7$$_J?*Iyf=0&7=Qqonh58wG@@h^(th6d&=&bJ zKSpn*>DJ?l+j>=HjZ+V&?JkoA&(O~tYp6?L58Jr@350bzEi{dyvx2{=;MX6d>uyYj zT})!$Ggzql6uM4zcyXLzKNiE|KhO3v(U5X>Ts~MaHFmgH-}>DkhtnPZW-ig&gcjvh zK&#Z6<8FnGUzovdXhoF|G^xh!|46|vuOvqsctX*MRM}MPqL3wnYj0{K)c9%12x=&$ zI7BR|KP=1?$-j0yx zh3M1|mnL1co@~)-xZ9|xCQdKb-Kz^f-UJG&4y`WRR8XQfpqR}m<9Yi(>~C$BgDHj+ zDu`SLG^BWo#bDfG)pYwE}df5BDiX{t=Aup}FOAWFOKteqqvS3_7>;ansj!_Ho{Zs~wf~ zqNs*#D@16bjP5sOnvsSyQEALG^*Qrg%YY8U6gv2T`+59idSfW~vc| zJ@@qGRmVHJ-l1v%f>#nUb8NvOo>Yl7iDzA}t>e;htX1X8wZ2L&*N0pt%%&8q~DhmA`x#Tn!Eh5P6G$*Vi(J>?%Y%5XV5v3aS^w`yg$ z>*_7sa4xPLdPZ~XTKTLd@)T3T)7@5qvRkA})JQ^R16@A-W0)?RYsKc8eKpFT#NFR@ zZHv51+*mxmCJeG0R@{h4_!=283vx;rIBzZTC$x3h<=1A)eWI9#RDYe#raEZab!y|@ znX+zK@JY{75s}euY6Qg>tJ&y2sq68ctSz4lp_I|EH>aLcKC0w8yL)G^Kai}(uLrx+ zcAfR(iRzYmaDmAvsq3$6KE3$416kL=t6M36`NFn$p{KFFj>2VHYg?WbNYL{E(U zReeqW*Ip*{(lE5|0yJf#9_m)0C!?H-YBDbfZbKycPAWRSsxJMu!#|;@Ukpw_K0kV? zaAGfTO%-ytvD!b1ayKg6KT3f@>aU)X4YqLx5+)8juDAj_Kgd4%MBn-9{jZj7zxs}6 zHt2T*T=hLpP(9jPuuxH{rkA+v2ToSyR-N^kAZsLbO~_*HAE_vS_^!rHJ`5$PLc!gB zvM#DY`_m4{AXubM5Pt?|0)7Qp_>|JW_g3W9xWkO;38NEYM=Va&jC?n+xZ$=GeVE4< zi1Z)_h`@YaWv(NTFjCR}1SP^HURgZmRGSR>xJWzAi1X8fw0iznC1?31bcnQzam0kv ziprasxuk4m@drbU_JH>blN;C19Yh)|Gz+lH3`MB#c!Sb2Cd_KuKvv^jJDY!cldlV^ z`+m;;LrAJT;*uvDPiB{Rd+r9gXVHK~2R?mpqf`I0{6+EY!L1>IoU6EgXBmjz@A2Lt zPA7g=@}yfv+}V+Ol@6?iemM>2bJ?YUg4;cVfx6JRV*mN7r7tpI5p{)jNNg81Fe?9? zH!^#FveS(WhfDLkYnf*48ig1b6e6!fB3h~%-NpwrBB(w1$Xb>0@86Rx+)pvhouW^$ zcYMaLWNdn*8RoAyT6W>jY`D=_)^UhTcW7F*?FXwd9&r<#S0z#5iOzbF)5*~U0(2%q3S>iKH#B7P{WakX&|#k zD|~Erzbf-h%RzB~r-(Iwn+e_=Sc35*aLh|za!Ks{to){&hsX70&8ttZ8Nmh&dcVmR zyXWvMpz*A)q0yI6?g@WbD)B|pmztq1?Spb$Z8Yq(rFV9|^AFZf+B142IX@-Ngub)> zc+v0Fa|xqJ8*(Pxo-H?O1W>Ml`4Y8F`C5QA%NRaQZz%eP||GU z_mT~C?s00?M8~dfr$ACc8Fm2C@kk>{H#)k!u*@-4qQy2)i12#)6BzTF3LA``CW+e+ zm<50a8C*NCg`uxwRPzk{{6;+Vo3q3Zc@k<+UkGE*p$a<8KjMdnkiYnZ4KDqNwr!PW z%Y!TzdaQ*(+WP*e5_JP6_*{uU{lkPN8b=BlV2^2?Xyc%bG<>(u$`9>4OEsO3AHm-l zI%B5Y4nsMV5;5C##o0O$=*o50B?A}&FkTy#E~pPL6O#P+mkKe;I!oeetxMQCvze!X)p*S(wiM2Lw>=%dQ`PNgz{bA-x4 zVLes7LFJ)wnaKcH(JG9{D7`X$Oq>VJufCd9OUqhxkrf9j{g`7Off zYK-Ylg3@vv6m6#qp|vCokbq1m`ZSuek-|EH=DcqpqFf*+vg9;_Ut%D$gvzZK;lcR!;va*{v!R*dV?lBeh4yt8JGq zz{l@#k#$IDrl{Bq#2q8hGZBZU57J4g_ckrED@VcM@+)E-k>lAEiBJ|lnu!5aVJj|s zTbq5`UwVOZG_hA{-{@~kI2yvozKSs@{j>%MrrTW#;0)WXZ^?xtg4gRJ^X;wVvB$_i zG5x#uuJ6y>;6ohlBB+8g;z*yc*OCJ0SG3)?KDw*lkE}Crsi#&_X^#;*jIqFQv8wQt zBD503yim3EuN6A3k6agq9yGvBGSGU14f^T84n>s2lsU2VkLk)JBg2OovkXbB8qeN{Sw+z8X!?l6nisF&Axl&@=Q6vial6D#eYHXK5QwF&ueiK%a{28Z@Hs!SpgR9x@*u_{ zYeYex87szRA46;TD6?HBwo(UbCDr9F*Ma`jZCaDyy9$Zx3M*JUW<^xppJd$Z3YEy( z+P~IvK)GA;Hn8XZD&<164&odON-p zL7B#{U`ni}N6@SqyYJ)+#A6|pV*CZ&q1{W*?cjAOk+yt=qJ?86wgPt#+Ysy&ad#Xvk z*z9u10(D7Zb$&_nhnV{T(6lwS^ZU`88l;>b!pnAU)SW~0gV(aJRefx#Am#68mAi_Y zQ!cFD7r8J?gZw6RsV#MOSKwiM2Lt>D?_vx^+o>%HtfiR9bK76iCudC=rRAYGRs;?{ zt(hs(_yTyi1$D`FE^AU|xEveEyvfRcp7>39;GAZR>aYnjtSEEZ=SIj4BF`QaWZ_=~|#<(KFDee=szR^AKf~uyXejxlk z&P|3TtSz}8m&&MfH7)uigR^YAQ8N^EG# zuEO%2vCJmztTY)d^RHH~!XHjFmkGjhTi6WFYk!lst*Id{8nyfSQ8`rT2O`vC-eAj^ zzCCO)aQ?*pi+$g>`)d3~yE1$7QS;BNuZ%a8=E8op#O%G^P5WOY=OOeL!%6QQhmQb% zZPYe!)osn3E0co<7F=rN1{PjM!SLR4uSk0$OH7|XGp;`d&0{aWnkl%3`1gxxK5tU1 zbp7$Sm~P8IL<=`dHcP0%nAC_YVmZAH9hF`~Kh(!4;TvkUt+irgef7>C|MFhB&;-s+ zcpE=RQs~v{!N1*%Eu0#*Rc}xx03+0vC%EAk&1QS9s_TUt<}Q~II;|HTtZYkBb_ip_!w!Z8p@4hWVd8+J~D&DqqW+`ksw4?Zu z-qt6*ZF(+zNQ#7qqs`=y~w$SigZS(5B+dVd;z8I{c*Zvz?jU) z?fq8yP~7MK_j__xtP`wPlmkp@&#T@pF`!FmO+j4l*6r4_J<)abuV2hZueTH$heIX5 zhWDQtMckXgWT(R1fUU>6Q=Xo=66d0WB;nm7@w|t`&R4AJ#i?7nP=CxA?AiPco*)=v zkiyk3*m=oBVQFJXDwr7WE~%fba|?*4%mue=Uo5>WF&SfJ6Rc!{8H_YoH>~peb?Q$! z@?rkt0Sj7=)AsGofGt=^YQ0G5V$NbtISKr`^Z9>S4$v$~Z+uXlXPi#)24CGslzg98 zBp(}eL1E+lJ24;j3DUcPs+H?7o|mtCZ+`k)SXlL~2->%I(s>RNVz>MpyX^%KM;wD^ zu2fFtG=arxs1IkXJmOBjq|{2R36C_-e9t(6;J_a6EM_xfjMl)Ou4KkG+E4_J{(eJV zby#k|4-j#mh#(o#U2z$-FH;LKN+Y|AG|X@h{^-kC3QNLHZ|Mu8$9F^>V#U16+Huh@ zPxV#b;n#Z>6G1X(M_)U*!pQv!$y{}7&D_s7&+nsh`3GfhTJh5QXZQ5AJ}}*UL|1LA zFGT9LQiBEc>;P>+w;1j}K=4uf;`I5Kt}xJJ(8Jz`8_0hiil+}|ec zcK~(!!v2MQ-@AJzN(9LHYsq#UAM@|59-ep6%ngq2&P_1*1kpi+%- z`~U~|NsVo+#04Vj``#_A|Mxu;$4x;tq3C4ALV;g$Y^Xuo^3}%ND%Q2JAQ__IcQ7D; z;K6cv8h_ur;pl=)sljJQ|EQ;51fqUo)O%9r^-+{x^|y1)_ulUq->#dZ$sgyhvM7!ov9uxs%xoNJFS{mTAcP#g(J9YVZ-JNJ98 znKo}O(8)4q4ri2)4|q1Ao|>w)@a(=_3u=7xP>R(QwpQ@bZ_n%!uFUzd=B!y+YjGBL zw?67qs=-Z)?yT5&6S;$lKb;ufX3Sif9EnX=cfK06_zVSULLQ*YnoHhD9ras*J0RLefEZ`&7F4Co>NM%5 zCi>4j+eVwJ=qbkX%LlgGf4srK2xoR*Jb22Uxu zNp2Ed^_oLU7CHFf;bevDx#o|j`ERuP z2F1ev+F21SO=J>VYBf8McB8HGhH+*q*$xL6#1ofo_jK-yXz|4qG#wt-H(Jv_@^TBW z?UCz0^T z#?njVUv0e{2rIr#Sc%fJ-FDS&m}1Gikaz@t;YRIgq^x}0Ba!YowdkmLVGm_DD9$coJ*L(dY|KHK4S>k z)FSk3i9&A8sr}gbgQIkHdC5C0$ZulyEvQg$@n7AxyncJhXB)D!FEr;Z${s&)Iz_zH z$)T+(`5yH?#_jdC(=M^uEHt!EfBSu-m1~%BSO*<2lizC9%5?|N9JVa?81Ip?hq)*3 zdPbQkC8vIDzQ-@P1w9}$`aqi|^2zS~^TZ0+1^BvNG5W_A7;}%Q9zD|ou=gx9HcH!~Y(@eWe(T_BDzjv2%**ME zK@NK7d>Pf^H?#@ls0w@MPKdcvBKmm)cr%%%d{#RdgQgeL%14;C$%SljNU!%jtEXWn z-=zsa-wdCx77IVw4sjftM$1hspV$u)60F+EJJ@o5<-aJ75V%qK)K}1Q@wwV+z#T9K zJOO?Ag@1rBSzhG=2QgZXw^TQ8Ea6*r9ki8~QSYfn*xn8I>=o1yYEKqmqR#H8Z@&32 zb{*JO;4a&Li@H9KupC;hME^Mo1U&|gOXFx!?e}n>c1*|DMPfD2bh>y`GF_8p^efrc zDrP}cBUJck`DZuFtw$z`Nvo-=_@R4isV-&l``0&2{udYhbgb;q_gc`ycwA*ob?<8E z$C%@I=WFhO31~cYqvuA&atcouWAKajXShW2M&e|e0s9S|4K4*=%4j#FgtxAcFp{OA z!VWF3Pt((1o+*<1(XjdIW9rA$cc2sNlh;Pp8J6{La@PN-6iKK`VocqcmE=HUzP7)^ z?WtNu*f0-gE_TJxXrG_#=5mgWj7j~#BRp~hj{gVudKswz delta 114872 zcma&M1yq!6_bx7>q=0~gAl)4*-6E-UcZVq53=fTjboUU_-Q6J4-5?+^bThy(@E_mz z`_AvI^*`&Z0}B|QS+nnJU;EnEzVBz|rVy>X5G_Ut=p9FT^7pG2?VmsY{3@UR_nHUN zAqXpjPCC~2x{7TaMOt5iNPFTbjzzk(;bu<_GHWKZ7vOC6DG@V z7WQ+?{uf3NW-8#4f4mU_z7hA?L{Y|*Fg~X=vXFMS{Gj>8Rm*++Qt^*{4N%I$%foX% z{PX9}vcoKafs}gY3Z)ktG~r~Q)v1!$NLeKk&67}?+Z-=ua9VG0 zn0okv@9z7%26r)XVLaD+%z#neJvsx{vHWVsNZEo%*e#0t^EYx17^HVj6q7r!t67Pe z9TqrMgC#%wP*-Q?+5V`d+W_e3o&d>7?3K`{shZ{FIlU;27Q^E>5%~kSWsM;RtQv4z z@6^BUnezH#(=NuwuD7<9EfA0SpR~Plex?^SP#K@$ z8T3_W32Xb6p5e)3!d$DjO?yL~U5nw@NvpV|m{^}B+Tw@pm1Z9TeIWIMQ{k;uJDn9r zE8C*s7~HIQ#5q1gr|v1;ghYZ$&i-%`M&!KMuP=6|{$zBeF2V&DVHw`^>!?~g zK0d{tI#`ENhkfaElAOm`6L0AYK$rgFn!d(k#7Wnai;7uoKY*)nx%tiX-@mRz1r`k% z;2Jg1=0nW_3wu`fRwFY${4&<444z#|X(CD|#{=R*m{e zrlJn-SbKe4`$_)Fkffdm@2rqzMeDYwQKL)fM|IR4pbb_^A@U^;4Z40rTjy*s5-31C z1{xl-P!;i*Bd@JD+XXo-YOKSEFX-jPCWjAZ(zD7_E@`|^Y@&4ze(9lqj7ycFa~2)? z%H?|!$hq=;N%8!F*7i1ar;^^{vAymvJckWRKMyO+v5UO1EU<{?WQTQ3)i9<%Z@(V6 z@_o|g;kDj}WnU1BST9_4Qk^LFS7=|+a zYLBgaDm%a~C|Jao#Nrd>y-{9}F%1*YUroPZ06d@1A6AcS-(?uqI=@{$|LFGJk)zT* zFUO8eqe(Q}8G}VB)NB=f&{+RkNTS_wQF(cbNQ7jDj4LVJvb&WP;Q38H?DI8-EE@h=nC;I|ro^K54qCuUinH~yS@G1#l6C+LWz3N>nBSSS z;|EA(j6It;%ChG06|^VSU)?c6QtVyQ?XMB&T8yP`Rn(V#66U$Q>&iJB4p>GOL8dcHgY}YWwA4=t%2M%BFi$w!lgw85?mUq_mT1xP2eST$VD(2>=g` z(^)*weLYC(Piu4Yzytw%x4xyVPA|wr8`|U7k6f~V_nz3NWvAn}nKh}Odo9*)^R5Pf znPU(O46PH&=e3=Ok)czfX7Az053uaE6>}?XWsJ>!PIMo>&3fk1m~pU?xLPy9C;TL} zxxKS-r?n&ZuzCz0^in}uTLkGIXga+0u9VVl&k3A0@LeRRAu8WDeml+yn=Ct|&a440 ztL63VE3}+JbO$-yj>@SPO*@>Q(>_Q}E4OkFl6#)mw2rsaoUFSVl^ltFQ_{g6LK;X$K@Mh~B zV=F+{%2>w?-#GOB>h?H(=v#jH06z3RI(+Cp^vyhcpj?EvEW%M1;a-dI^;+0fEeuu* z1BQ-IhL8Dv9zQZnfzqDyrgdVz>f|tbX7nz5pcA?WZ@GVWcXhWXeJQHcSr)x(R@1PO zUcX(v2p3s|e^`XCF2aGW8d&Ey*!S8?F{{0Y_H}pPWw;k*b|PF&)6LNBQKoyn@az_L zuFeb#ihML6eWY2uZ-Bk&81ZcZI^_l)aY=#Bc4??+L%O8}kevuJb$e_*^sPO7Xk3Kf zA3pFc!gm(om9;ST5jAd?wyIipBWOc*+x{=r0^#A|c@~QwK-@FlKyQ=_pBACy&GI(~ zzya&YGIexz?m=NBsnOj#U3RMc^XsAXm|xzXaWOp9*sFyJK8RGTa1)53Uq`r~5 zAKG~Nvv%H>A7HNJob9N2m$03=W=8hQqr>xwFdDU0rPti&M{W-IVW**miGlo{tIqnh z?t0NejEc?rwMbNT&GmEBH6N`Z{j12hcjTWuy;b%33Nbjh6reZ+ZG~qA>pu@J@h_Io zo#vt16=kBQ;bJ|lnn%9^=N$2o|71(urbe1&M-iLg;hp}=!96*0~$|MdB3^YPj=jNy9uIf z1X1lSHIg@Z43S0Y3wJ!$5@olIb{`4?2JE+1Q?@O2PcPeABXKmS0UT(G$=U$$>+M3q- z42f9CbzGF#X}Zv1-S6|)73)VoUVjxSkmiI8CLf<^L*K#s%9V6odSS%uciW>foVreH z4G}T(J}{|514o5djqK$4a*D~5rGYe=w*Z}hsI*}1M~v}Hp9qTAMqYdZCel}{Qc$V3Qv|Tn+(Kzn5I?~Oz$6BlTgipkI;}PYb1jMw3 zN;zkNXr0SwNdYnCfU8nxeZ#b^n)1-$ca)x0F}uvkqE4?)755fj%7j?u367E)wftT@ zB`Sw+Ht)W}g)NwjLdyhOJx6uN$L}`wjG8*DM3Z=D0q-oz?AXm$Lt0<&uj+=eF1?2C zT?dWcfAQG=q{Vk4bP%@_(93C40l>Qkd34-vX4kPSJolo$mr-_H^&hzLKaSuYogPYw z*7mAcb&8Rhk%8=Ms#ciUlA5A8*$?uZCeOs@vByJT8U@o8L6^lhV$ zs)=e%XVhN20F1sq{9$fDwM<#qDTXY{{MVnJyo@ZgbmS z+TwRj*oQ(p?)f>fnaPSUU6bK?+dhsh7;q`@Ig;I~$ zDee;~-<bIC+Gn4k+aKfXXJ0gv7LpMaUY434 z8Gun%h&0^RDY#o#xL(JOeiv>1$S^!z$#(5N>=VN7WZ>nv)`->K0e6jVaZ!!By0s!- z6W)1hZ*zPO4@_HMJE{L7?0;pE4R~GrobLp3Zcl67Y`0tcVb_}rhLxGqQ~}yF5D;7%#QCHhto&1L2b8Lnx(fVCk`zxecixV z14TQ0BjQ@*HY|d}<3b|ht5JjFPN)7AbLa?f(IbaGEO#eu21wsAyZD)in|0lfOnFyu z2|CPutF`FU`fkW$P%wIUt^^HmYVXG_uV37}@a@>y^u}G_Uv@9BFeFm-bmop>%0K`0 zYgMo3LUE91D1M##$G%=^KKU9km=eIv{ZY)5Z6IBOSqb!H~PHK(5(s)$n)DSR6&8^>$}e?Th6B~q~j`^^v=zs)yrxUx8+od3?NnK)x16n*KA zO1O3%f?n6!1zH_;H2B`)Gk8!QXDMN1;GnNCL$CRTgXCA5de3Z(<{>+}@(V3UDK1gR zQBXWFxa^DmYBIRxn4JW@4^<2JnKs21X-epr{UzSfIk&OuReSu03|eXM^HcE+?&CO8 z#zP9TZz93y6Z@IxZP(jwx>!IU&0?LS*ehq2NxQ5`f6o%+pP`t>xRl^;ngT-a`N$y= z2iGZzzMnQeFD$4(ok(gzMkBk(VeL@4MEyq9(^|YF#P)-li_d;sv~&9>z$IGNKQlA5 zyx+n$nLGRB`Pjt4g|~3wCK=Y0TAkyPUS7=~>C|UCxu7iXE?!)N4&z(rY|sSGaJKhv z39Kyl^XkF&aGoV3!MC4cbtGqa&v*0oSWY1=PdYyJtwdB5z4Wxw%z4fDN*0}+aO!yp zk9EE#(dAa%No{H=mDHD1O@OFKWF(AZZ82p?hO6mzo;0BQ-%28%(3q%mPb&RW0kBY|&5S1vmZm9689jb1BxPEbj+@eCvAk zU5G7l&wX)`8JPnB`LM)KN%r@WNG&(dK+nBff{+-m#Ak3~@G!(L_V2SeGP~IL^3rqY zWce?`EK<{d@R(&dGJL9nhE2>atP{N#O=yocGocwM=0N3+ie1QsM4K5YYglVotKw=v z8XiYAy)ukbM~S>Rc?h_Ss_5p#HyU0D}YaaY!l8G6D=KJXjr?Ma(UWIt6=YWJm+F33bq9+ zG$=JI%t}T_NoL36id=z34kGpHCxkO(h9ygf!9*zsyXzQFT~7& z$4>9F^Z;)q5A9ZRTkx!;OdviBsl9R0utbhAH}|q3s5E%M;S`0z$vQySS`yh9mHHj| z^zm#Xi_3)3We(;?D&zOjI~#O=gQ6o*OqNXGkcfz4UTDH^ia0P`RkXVsm%|fm>v4Y9 zd0ds-V`_j|A^Q-fx(;Ub;4Br)a*j}z%Sctg+EqXuY?UBc8mx)lZT< zWOoyo`Fk0woJg1b4K*I+`xGJ~-NvK;Ui50wwO(yd`{(I8UC5p-*fm*-y-wGw*OB48*TXMHtea8YCQiRw_>Kdy_Y$)yMW;~bIE|am ziSH!?%vlm=gyFNk(3Ic;%_MAa^U6#jotlX=D?H!Cq%@{F(iE1#ffBNV zyfb@Up`^ahD))ZZ>$=rk%TdnpIp(P9WWDm~(h@m&9462v4OT0fQ< zSR<6ixW6AKV+J}BO;sa60X-Z?6=T#z(=pz*JN4+{0gfp%7-MWRp?~nuWG^nz=Y}>$ z%R&uKMh+x^4%8JtUwUbhaunuStG}~G_WE!jp?lTHrQhqd=YeKUaB1zg zTx*%c@KdMq^zgXHNSh-})#H@77)BwV>2UDP*KoWqC_s0CMQU;Zk4Z!7m9QzT@;S!o zy7^NiZmyxng5F0{;zO`vlVtbrgz|j;PqC#$`Y^t+`$wLDeU-Nnf&20DvU@hsIHbc& z4NndI6NJ^BN|o`QgdW5xebNnQk~Zg(9ny5LG{K4!*`fXR@JW6j zCE-vvn`*ILR6^A&JE!XDuxic-xbM~zb*)Qe5mUZ$E~3jkgXZ)}V}2L6iYg?f$o&&t z$YN^l1ctfr=(sp?r~%}MK>&f{YCV$~l;4c=4yZKn-K9(aO+e=_p6UNUZDQb~j5im) zBeJ89u;5d|kS@5%zyLV^5Q9?^KN%*wHGwk6HKaZjvohgI_bdE3V%Q{Mv9o%W029a&xp} zjaKL;CSWg;tkZazYo_*I*v@r~$_3A53~*>*6lg$Fb|;Fz`b?cj_#=SVbFgW;D1bx|`w{)D8?2kpfE495?cy~$wfQKGT-!e>M z42=n%(2$zbS{(ewfs-W*v#9o0lR6>VvxPWcX-ch=?k;9>^&tKU3bza%W)e$*h#S2Z zE^iF|Ff*}!S@g;avf>ne7E~BQ@l#n@>cqhsC;>AvaTI7Tx|M?MS(SDfJd2FQKl5He zULV^+gzgweQeNHR@Gk`gXf@pNe}WLV6nvg2$97trjLrV+>-$;@AWjO zr$oFY<)Dz&4-Olt-;dY1OktD~+S|GP!!Q% zQZ=b{B*s<(^kVS%3sot~VoZ_!x$j?B>*^&Nzuq19{_aB$sQQJdxso!+$ce-PPKGB= z0XiR{dO*ReJ96Q-mjZZe($Cvp-8BdnfdMV4lLVw`ynqx%dERGrwWWis238)~UTtw* z-;{FgrEPlX!^18u*ueQAhR@q;849k+>4r$fpM$PH`aAaQVuugeV?Lo}U|NA&2GF6I za-C+MQYtI$^O;S0-s$n-JcTl|4ZTYcrGig$D!yp&=gbdTgA8k{hKqJa&e{(MZUGG$ z9A~OhoumgUvDtZT+yfB(xQNRPB(?Bo&(p+zHZx+x9op|9ua zxZ`OkH>l@gt65FPpEqU?*bo24c@UzF5K8GdMf=xPaS=o@!M{a=hi1yjC@ETq(TioW zX%WRzed(nhZ76crpjjld?ha6XKs;*KJ~q_n}ZjndR@*O5YFVeBhtSqMX0xH=onRUe{vVW z@Lw^O$W$Uq#yXRy6}`sXyQ0t7XReyIA#@nL#sc74VQ8ovy?LQ2E!_Z{B21gX3I42p%bO3t%{&2S_@x=+C@~weFE%$Kh>_qo$p(* zo;h4JB=-^HF7?|I_012VXuLnbv#9j!YF3Me1M3TLdVXBDxfyx1nxdPZlJY_)is^UX zV26u~6hbbB{ZF!+`og|g=}+qo?AkxSfMDK&{%&_TT|`DezhAUFWeeB+IAe6MF)G?@C2Ban__aZgbr}& z%-7-NKKQ6EMBbHc=`by_a;rewdAHrr@tkDuEFH2mOFOi9UCS?(%#*DVILQD5$5!&wnL_`@4?kGq4TW>qr}DM<&bYg%61X& zp*p8wRmIgW`)BbH^AeC`w(BQP`qj7a=L4bd8JYdlD5XTpxpmgzwiY&LUQ_C{e!Fb# zq-Gly-Vf}z_7pxeb)bs`KT)nBl^p^$Imgq|Zg**oVsv`qiS810jL{SM;!}~~eARMk zQsE-C`B`0h2Sf1}a6(k0LW#P+YraHWfvmECUBDWWmQyT%ir0;)$5U0N9MXkl);C%| z_1T^LVDG{UatQHnS35DP@$`KH2Fs#yL_^oGDc^Q3m8{RJHIX>*`JKy$ZxO~@t9dP1 z$PD-rF6TIo)0pX~3>+6@S9yr^n=7e$={~{6`8M`ZE*CL+!N_02_Bvk2eNxeHfwFXA zct{byz!JCx-mhXP=tnqK{vk&unR#RjN2VKF5lfV_dHE=oS4j_AVv^n-IzBLa)+oAY zpOJdVxgJ6%L!yo%*cL|Q61j)T1P47Q;>Uk{Ux6`0!z6ul@6fM}Bbg>>&x0fJ>oS3UXC| zVnZ@-JgpyZD<_6rAg#JxHaC1^+$^qKDTYwK>I3r9ZnFBEc(CLIhU^p7l}fA&@BBs- zt1KzzCSt^8m*QYOz`YY07hKP9|C}|M3EV-g!;|zm9OR_C9$Jk@qMwp z@$FrytI4Q8{RMgM+G`Kn&B0u=yy9U<;dpge7(>`!tC%*d=+G=}!g8FlHF)yeN4z+7 zn0$dBNE-vaKkJwbCC@O!9kzCr{|sT@vNAL9Q8S6?pHd;su$1wxv-jk7{>1N{;G#7l zrz8a4pU_lGPbMST^zc#2ums0$Ou*0&+UcIizY2dS^6q-&+RelAnJPB)kGj9GxkD#n zqQMBEu6u0G|KQCKiWW?QFeik{w zuYts?i!Mq)r}3J zav%>XNZmk!xu4BX`Bb;^L2l59wGMby0$wzZ(| zDD8fBj@pucriDqutk~I@Be(v3HXgqJ!xK`9ZO}WUkp}OrNpHC~GT2YAlxD>IO{s1! zR8`j{@*gmiWq}q6UZ4D1JZAet!{h$4J8KdAbTjOBRqZ zny!kO{B+n>$s!NQNbQT~E&PaxN%H(ZG1b%DX2cwgG00<9a*G}?Vq{a&445ais`F=MJW1J;Ap_mZZ>mEND7ie!gG_He!?%)bhcWJiZOZcZZ z2d00h(DP@+!eWr+5fq@4%t-wH7cO~UhQJs3Tmw_4A|UqxgVm?NMg~rRmfVFj#2(&} z9rDI8JfyHdo_rx3d%Ew&E2eCoQ8taQPA}yZsFPq6;4DK&X1-FQ$w&18pPqLtqjIUFDCVCI!+uPjz>GBX`aF`Fdt}+I2KN|f$4i@iU9o4|F^)v ze-Mw9>}PZNPPK|h9cTZ!`RDcf*)AVG{r08XRl8C`XTMU?w(y}8E#^cy@4T1e(Wz95 zxr9$3b4PrYLdX!kn+h^1MDA6_fZjP2tBo3BP zdRQKlDRcgV+#8^?2ncwQgvPYW-_Og$pfSfN%Od=8X8o^ZCt5L5!sGDtNWS-;4}8PE zQd(NgSY^voy3`fw+S-ezC!Q|9V%UdV^opty<)QD4XC>&I5)->`dZX z?AaG1aMoC%*Nb@9JnufX@YN_H>Br|?xiH4p4wv^Bn$$zLWl(=%U3xIKX(Z$!>^QqB@pQM~k1P`S^#0kF zBA8_rfd-X96V;?YC$QuCx}%Ep-RHWGGkcwSMzW~Ls97E*j|A56M?VY_qz4XWpV;cp zEP?(?EF@M8Ul^@y<$B(R;fX5}OG&^9u9R{}(En+TD#J(JuZN>YaNAjay6n84C$;sCOfYMP;cm z^8Bw-g+sFtTcT@o`u^j!3>74S+Jjr|t|<&VthmhnR5?CB-gtVDh-}g|MjSa+F4x;T zSoLICL~I~cjOrtuBqmcaAW3Ds@(k=k)RvUVyb^8!$$X~RhrtvK}P+rkB za50XA*#v_Vf%O5ycn`JVsod!1NWP(yWsNyND2*^5xl^R-0YB1@a}Y)U1caMqkt<6+ zMyd7r+Npj4m<`|reiJytzgqc_)Ku_IBft*YYb5_Qe9I4tx68^x2*002h}Uy>mzeSn zL08Ocga^m=jRoE@nuJ!rlyf7pauf6T4>PV(iY6*9k929S+)j9?svSoLvZ#<>R1WL= zw+l~*u9PJCwLiuMrtrq2r0|9UI`}ma;GBoBj&+lQiZ@3H7JlRNQ#DIZLj7VH+Ssy^ zSR7L@r8fTcW4VhEH!QWh2f05sRW$$lTY#v<-rt*m)yjK_rT|alsF?_RRT3cbKm9f*W9H&zZ#hCF3!olva)3s;u8t4eAxW`x)6p0;HR>Oi^jF%Le~`Tybx{S`qS@; zi8S5^oxd`ZJvyI&iBpuvSy%iWL`6UkN;h9`Fe@QJRENZSbcv{+C@|g%g5%4`FDj`MGUXdT;hMm=YP$pSDnrO?jH|Y=hS@6UugB4`mPp4%~1za zRJMj9v~ja!!V)QS;woup^2X)yJyc0M8}3;=fsDRx%r!=6j{kRBu4o+pYM@%w$w+;3 zosr6h7;!aqNdE05BvE_^xV3Jp}T;wa94>&35N*`3^JPr7AWtz zJO)kJgcfsAw9jKy2Tv+LA}nssYQ2Y8_X%RK0sozB{~c`5ok&c`1BS^2%;BUxzY-1P zLi1lO1*vHMPnRj9`OA1t8UE8}J9W%qZejl^_~}Di!i+9n$^QsxI)-6Q^{h@k3`H;2 zB#p!fH}2~0-_d@@2u$Ahg#V{~w`Ac2$VfX>=ysHGy)nG;2#q2pd!53+y9JZr$~Q;f zbY5yUNKa-byFT{8CAniX9#NT;l@VGuLX7=t04pkGXOS30;r1)0#T3)aq5x>5+ z$}p{A^$F<7`t)vrb5ZEv7fR#9MD`pxCiQ?Z3wbF7g#pq5Z8A4G;g+C2F!s6IDU9Ay zdmAK}+JilTH;)G`eg#PK-$?!F$SMuN%l}f@rPV;kLj^JWfcO{@DS2GX9f8Kl^ym{E zG~U!o!P&zEqg){+f(Y@Ela$XJ#q^V5VVI16=p-HY)=Hl+q6>&c2WR-?9tY8n{pctp zaw)X8D0M9~qJex8qYZo8r(|i2n$WXDKu3*oGlFFQH7d`_qjF@Ve{3{?UsuYx z));<`U*GbwktfO~xeE)R>L?>a+~nAQmN>}_vIfmqj z4eKuhc9jilnYR;fy1TVDdzi@!qKypp%yI7yZ zTMuSa;Jv;$Fgbc3X8sJ7KiCxCdQ9;;d;Q~3Ho@;H0L2{iRxZjmpzA6L^X)78`TUTx zeMkD$Y$eAGQ~W8KP4knNFXnd@H#LNt4_U41lZEtq=G*i8L?vqAF*WBu6rE<#aE7RZ zBP|G*!-rI9^G;vGrs4Rz{%>%D%l0EG%P`D3-Q!+=zaRD? zmlbPyjEEm_vvCP?@zHy;GRR-+{(Er# zeSy0V`7{Z~U|xKeO%;Z>UzYFV{LR6aqS-mF1CO5p&5ttzb2*wfSJ?Oi=AtBA`~$s4 z1}XW3O_c+QVsNiNMx0rrC>oVOq-VSC>lh$J>UXm^KIgrp1yR z*vIH*yX zZ%Yu|@G=ci^J@%*HMun&OE~ZBR#yoq#-O6QhVMMtBI;jgH2PqZQo3Yf;Y(~@^RkoMyDc}tP-;*;L6 zBd+wlu}8{|fAh8#i75W`?JFd$c&vBtk(A<<-<2S-$HU$wBl*O~^DQ7T)Y|cLAR|T8 zrVAP%BkjiD3O8fC{bo&%*!*Wn#*$zfTj%>pniH=a`}kP%c^*#^)C{{2A3&13Y^} zNP@S5hPJ{VDAX}=p0^eM6>6)4qn6x6%G*9BIibKCr{J6*Yx!hYjfu!KY~;_cps8s# zEZz_uEij&1mWrng*P;;~Kq_sV`jleXV354R2SKXf@KJ219Iu4Q{B39ut478S^3e3zDW9W|;jpN--wyGk~swqxi|;M6$XXruW-m z)|vOCegl^;-fzh5dEubD2UP3|YMZ+wQTZBDf(U2j(d^#JO!9?GFy4^L$y7hsmWiU( zsbBITR(BOaqho@2jcvq$?&SHprVaAIiT=D#JQ@}zCFprhgT+xt9r!19ze(bcV09#( zZ2c*7t*Z~R2jZNWc!CT34C|Q&F0`sxrEV81QGW~Gk^AJWEQc-Kk^7nFG|K*-7_6rD z!b+RWErO3)hdzeb_|?{3^GO_^aNzzNn4!$P5)f|=nOyIYTB`4S3?)3mbB!$G!i;#O`~2n8=#46SH658 zEP)XLUGV|OdQD~u`)aW~MM`g5L^a-}xn{G3A`xE|2RJuS@TeU`VE3+*et3P=_QGWl z;;ft<-l8(D=4uyFiMOxzf@5FpdxcWL$%86pWVfi=yQ+{4M(fuTDO7~V!QVS%g52gl z2a5&oi)vKxwI~(9)Kghz$n>sctUx%mHa=KlfW$f+LlyxPTFf*3E(e;QXU{#mV|n+& zR~-tz64q$KLLrCCX+w+A@m338t%6wKYew?^+#^=uwFTa?kWnhaF`&0g9#rChISVS$ z=X3ohk_HI~YoqliF9@-M1hg2H?%$kCndw;w?nYu-lwKb97z0(em|&PX>bGOH zJ<-__p=A-ZjB=C1cI(%v#5({Y2Sn96p~PcU;wrn^$R>srN_mxtdW~IzuqO5PrN$v= z?Dwdvh4?WL(Zpjli}=*PaWsx+T{u>wpJ$p$5$hmOrC%DHM0(7#lA~WCuz#qiGxz%bn>lxY*UKm3BkBL(+Rf6k*+bERmV1J!RS+HaAmJ31q4&z`xNi~9!5 zZG^-^b()A7cC=Ru(F>hl(C6V2(>Z zhSvC*|L~0WH!M~PR?&c*V55{yHm3Mh8M5x{jogt>gy(d|c7dbc0a{cAqKF=u;Jqro zjBKgp;~m{bC~Pt4zCC5vIZT<#+mLVVccO(o*x-tVsja$fqc(f9}6sSDs= zoR?nnm45Io7wwo0&6@n1!o)f{jg&!QDzY4xeNiXM7tXrS-ma3hL)0^wY$;H55n}g5 zitr5nBBexHfSa>(Zo2udT_YRiRWAG^6~wayDZ6m$@uQS3Lq}8i8G;;T^qzz}8h;UT zll7{#!=MKe5YCm=&ws2zP4;(=s76%Ko%-Zu*DFkirmZBXw1Dv=sOD>e(q# zdYkv90%D(OR^Mr2G!vTj;x8MQjf8(SSFH3jzEE`b_hHAQZDpg8L)kcz0r?>aK+u>~ z_$xLg*(lg*VOBoJ*M4@_FT@%kK==A!FkZBq_bNGc=LEYKjCJ_a@7k~qM@ep#-HkTj zM3hUqeGxPq511a2vY>H{Fakge>(cjUBcA7NQs^}xdSQ1Q8e)(30bGsSj+^W zsNPe8x+pu%OEFCNI}_(tuZRGa57~kCsGMo1Z-*bMGnyb6z>d`EZ@Pi<_KQj+ zuKA&a&C%AiD4NB`pIlVp=*zW3?f~A;z7H1XifC50LyJ*(ZbLZ?7fcNG-HfvPaY_?H zp||Q{rqpN*ObJM8#SOWX?L*4YIpS0eulMC~y6bCJsSgVYcW&itvf`{WEY0m}#m89t z;irre3t{_a&o1GL;c~4*sYACBy!CY5d|&YP^K6+|i#&+#{k59L4$KA=bpVa=1UGC4 z0%I}Ns{E`Y!vt_oBq~qf6nungn^ITiS&)6!Mq9(+G*{I*E2TOx(kIB0UTxtUeJ2|! zidNPzmeUkxIu(t$pDFr7X@U%`L{OM}M@s+VBa(+R34BdbVZT22A4O}Tx?y6F+g>+T z3SPwoi06%i|6YDV2LwJ`3l&=efqbEpC+v0k2!nxYA~yNb6YH|Sm1{devl#oQytZ@Q zxtk@f=GHe5qPxa!Ezx`YG-~k-<{0$`&2I5uzkiAabnjcC%Fw_gC7+g^6qY7nUn=(E zM`RH7-%Kb@e0oE{N{tF$q#XjMNx}vMN!)xtY*Z1*B6Sb}{UisrJvnbe#YsN()hnmu zh=I;D^pAE;7cT3v0ewD5_*^N##UbrO~9tl@FK}_{XWxlq>=wbO1`@O#~sT!F+ z*_W@Z%ND94gI zru)8=IA|x%BwGqc1t>lw+Mi!%IkhhDU#sq(;R)9#Q&U{N zi9Dk}+-}`NGtyOW9^0xAQ|Ek*v$mT6DOggdM1yEv@$>~|sdHikO+no+oJN9X=RvvJ z=^p?)pD>EqE02Xd+PUoBDvRDCDM-QC7GgR7p8A@7dZhdd5B^U-{Ktw6OAOt-)on-w zc_Rf~DBPb}|3%SrNqmP{l3bEJJ;{L8J_EXPP(JVyMywQK0u46Y_YyutL*#@zI}Gl? zdL8n7LNF*?3(DzgB!a4RWbrL@Nx`;0+49;qR2Hsah2s+S(z4ni>h&{CNlsS^Tp?LR za=2b;`0Xh7>Jl;nJ;HHE;$p(IqxcOyYKdwc(`n)m(QA+FA%^a>A!CMNK zMPpm9XB-MIJieicJU8>f_}|wy80j%}*%PMtJpJuE=VYE>bf}1+DO?~@z>UNey?w@0 z|7wa_xrsrgozBlCn~9upTHNaI$`rU);{VMAnDP8CB?<=72e7lT6lL$lZe({`PAOrC z+^!?C#JtGAsr>qzikG1XWzwDZ*Z*cRV~CF~7>(7QgPJ*bm7&+IF6a#iS<4?59G8Y( z%l&ti8BlH&B|=2P>IkY0ptC||l1CP3SS!Wt0QOl9W9A?Rs=+@&5D>id=eH(*rr>)Q zADmSYyHMbs8Qx~g>&iIF)d zr2YF7LZN6Pd%~ZnARR3eSn^EzoY+!UAu6GF$ra0?{`GUzA=(=^_+G^q9_U$P{}b;2 z5BtL7AMz301OG#QP|sBlmy0EdYZ0*5BGTm*T!`u4e#sl)Oy>I`hu1e`E_P#IDz48q z@+a4q@w|g9LNXoh6BN|{kGHRkiYjc|6$BBG29X>}QhKBvy1NAlks3hhaA05~4U*Cb z%uv#xq%?@qFmy_HNerFeyyvX%*Ezr6AA8NLz1Ga$Pwe};uDiAeSI}GhKYBq6;%_m% zAD#tQ-f$6jY1BgEB{JsWUgyeR>>e-*zgHRmMmX(LkW{C5c5R*Xqt7%Qcv8Y3`~x6f zw#-f7jY`#dVdimRDl9C9BO$Np5-xk0x=*mBwA(YB1~WAT-sAXgKQz#{ho6o~gk0fz z}V|VIQl$YMqeaahIl?W@1Tef=plGZd&Z%D*R8&GII&7`#&n(O z*_)sa$Tx(p2WZT`!0Q5PbKc>nD{cJactod6!lejq=9zhoIq6sl;IKKWV-6qO|NHr$ z@BhsM_-|h9|8p(izdG9g_XdFf(sT={7=3KVsr!w3{QX$CWINeXZujtkPyGb2mrU}m z_U=#}${;uQaGv*fA4+GQcWU11?Yg>7wKUw#3w|O7xtaz+N@Y*OC$Vr!20>BD?TtT=2EFT~f7P9a-XbRB>N2bU z({y*@GBw{q3D&UxuxsUXn-m5(d=ew!bl4{mS+n1$?J@0lK;j2~yZ9K*M=O1pv24nG zeQUe`K7eX9NlwNHu2i$cAsx~*RU~gIts#dhMd68Og9))O2MCM#+6+4B6~F;ewVMiD zM8b>{&I49tAgA-RCzsFAF%ey9V+iU==K(awFFohIkYjq@wM$pFxLSY%KSLOIkV-C_ zhq~*y`LHlYs>>iJrv%&Jh`x}%Dog#(rh@l%XE3B;WxDfUZyA1}>GcFClpH)D!6u4l zeEdraSB$y9$^xS?CFE>I$xWXA98YUV%s%?fHMx+D#$pzGbA14VjDZJYe$!k&Mhe=^ z{cZGudN+u^4;7T=paPU2W3QVW*t9#PtOujb&#;Cj7rqp_7Kg!PTmy7mEsUAY^c<ID>;Q1A(7mPmr;TJNPeXrsLf$NZE9YJ{IrPna==JE$gG zz1#NFZT825O{r(G`p4}X6#*~Wtb@}~n-L^Gh3u^t4rMakoop0inC1+O7$9)aO#w{%SdD^V%Obc=l$IZ z6015LHTdTRdbg{UEa0+NhD=6( zgagMRn+80L_iJezZ2{ztb|vFG3EIg~yHz3;>SxA4vW3<}Xw5`zizWDt%f=3N8-CQJ zg@^rgzcs%3tzLfkQp~jYMAcmqPB{CB8qvG`gtr56 zG|_?})-U2;deeXyF?%_i0@qE`>lUbu$iSBfkIoyn`R{zzcZ-Yi(b3|L4BaiAmx?uz zrKMQ_Ff&LMl$-~lW%1k0W98G1rD5s`o2JV}e>wDMH)<`&^`c>I&%(oXOHi=U1%z&+ zK@zPn5Tkdj+=$Fyg&Y5#nO#f_(b$HroPH9l^m)k6>H!vwU{v7IA<4~))LivIld$EBq zo>(#o?>wj^K`40_SK?Vs#5b#o2&s?O7A$r%=lFwvS#~}N6cxiqk}o{GXV{1`Vobz|3WFypOhEwUIvFb=DE!MFP0#6!`buqQGGJAm81 zP+4i)Q}Eh?LV+^{*B3j&4wKRMFQBm$TmYq)zj8iYKEJ2YH_@JsnM4yzMmtAHTR~{L zes%IwrL+81)CnoBBv_gqpw!M3av;gK3w+dZ1oO`KnzW!ZDG!7FKsM+N?{Pp|nV@G; zP+B|j+WFMsG>|f8JjraO+G7GhWi)2Pl|^*Ty2%RjK^K^yzRT7c?=NE-L{_96;tJ|K zTzg#TmV;sJ@45EX-N+hqlh)o3;F~mFyMi~BYmGNoS2JhD<$0N7{;DT}4fPhZ;zKiS zi$k5lYefe-ex-^@RiP*EZ05dGUYvblIbUM~UFg#IUAg)*JA`q>)&2mawD9FK{odgB zcw5;EuDx(5$uGNVW6_jxK<>=0Pfe(N+;lT9t^+cl<#N4=dccyIH1o4}^rU#XQa^hy4+;0Xh7c@Uqi z<`17xcpKlbQ(ls)Buznd`VW=D`Jon6_y&*c7$G98LCQsvO%dRc_^?8GEa&^{@o^|mf5(R`rnZs-TtxI-qF|IuMKP@hLJ}jU zpZhFbyWinwQmyd_?~bf1{4A$QAk<>fcCuU^om^BAmY$j_r`nA-{&6S&Mx5LBNs1Qp zAla{!d6xGxAKn4`U{O;^&Dl~B67l}z{Mzrf0sBN~J7#7P7P*X%;a?uJ(5AoJ?{|0= z-3|p0Xy?AHuC=7j7F}SvtbNl|j%+X)zA+en&kB9_gl@wLv%%ZQ#IzxlrqU7~iYaVK z0v35jo#{{Sun^;KOuOO)e|x;{n_YF!Oc4G=g2orr0+9l%*au@bM$f9Vk;Q!+rAZL8 zeM_bo0)67;Zjr$f0`S38a48N#o_l!D^3yj0MCw^2ilC!V6mA-X%E3mI@(ef24WEPw zYcYI(phe-ExJlu^f*yh#ZKq0oLA?X z`2SIWnla&Ot>eGb9I&NNA^SV!ZQlD7i2C!$xN(H@Y-j_GQH_;RLTXSu*FP?e88+=C zaL)sf6A806J4e6$&cd3B3vajL+7|FjlC-%_ML`+hmeZ^np|6xFpJ^$z9-h&aKc zk1lD2ilwH$yIKpxY%*!cX@P#6!Fvj@rY!n{EWoNwV3s(s0x>g>%hPKem~>99tdm)X zI)C;iE%VPsUS=U@EQfm%vHf%z0``x8f2u815EGq!u0u|4dhIAnQArqep>y;?4Dy*3 z(na5nk@A=T zD*8;=mWOs@*Tz^r{r>69f}lt6bpM?*V3Sa0F5^*vDzti3TW_t=jIxXN$!D7if$t1u zNG;R;^KVQFRwUp+KF9E${=YKN^Wr%yJchAn=w!I_PX60eseD)@5*CVVU{ZZpF@Uk+ z45w3Ru*9v;=StPz1!X!nt@ovKX#l%J!42CQWy-n}C-I@JIQ%Fg`A(L@1WrJ6z|EtF z8GZT8LksM_Jtvay%{<7@lKFW^y1Zf>xl?s^S42gG9#o+yn}0cqxEn=+NQbE>7u2ug zXRNbV{>j68BJ9LiQOWKG|0uW&wcNxVU6nXjJd*mI$A%fL!L9TiI~Qel1|}~drKyEh zqlIHk%q*r7c9U_Yf)1VUPKxvU2;lt%z!8QfuUqKJ{Tu0t79FhH&qy+*ckRd&m6 zAHLRG(j!6}q{jQ_nor(|t&9mIii1c$*^Op%t-;FU?Ja(XZzOU4neA5gknMzLKy^rh z5;-PQ!eHUZORL}MQn{JJXu*vIvtL?$=ZeKA4KhhGfl^&J^_&wZpwUQ&D58R|%4;ueu_u01;JySqc+(U-2jXP&_v^+(^!^Uuk_ zS44HX;9otduskU!*DuwbK%@tOlQ9biudnrFJ?fAqaSN@XUbnA&Q(XoYe@`!yzhKU` zh7XZH3(#$LnKe)P0>Ew5;1%O()(Ym-_(Ot&`M1W$1ixA@GB|4uTw@)&2I!dHF%DZ! zc1em8c_`b=M2r9Iu$^}POFyA#U#wW{p;!!16l^GSO)@ey`!rOCplO(Czij;Y#TvD^ zo4}Fj#BYiR-kKxNr4m&xXPPGi``FpeD<8o1>^(Y!-xq5%05V9o>fr@kstc8&WBS8> z1#=K}rgesDlMeJiGk4q~$U{TePAfbD_F!o8PKbL#03d7ecsCwAxxl7&tCDmT&*_!G zdCJR8)hFx{jWEgc^%KoGc+C*&3|4*eW}G^@CU1K`>szb!sawjkfLv{{T}dO5{bhhX z|EKEwV)v&kK)~iZ4Hx*Dq>%Wd(oCv`*EOZ0kgM2QGr(>~a&q%@n_<_!m+r~tXXh?l zDS-i@lcCgReH<+}Z7a28pR&2g7H7!J^fJBQM%Pl&i(^S}+ri^_^@xMF7vM=}%1bsP z51+L-6G`P^DHf<}Np>gBJXqs~LEV}-W`W7z8q#?J@c-HGqWa*|(Vaf_*Xui&!CdJ> z1;6WNzwi^=?PB73Q*_Rzs@ti6-3W&-E=KMY1!`} z3rlA}2JJ?}$mK$8tk)HT0|e5g(cQwp(J%&cLTR?@A3FTye;vYg^ZSEKnqO`UzXVK6 zBy*d6>zmbimcg{5G$8&_tGJt5u=@hK*wfwTqe`_&)f@%o2u71BWH<*&a~MVo<&Tmx*7EZWUi`RSxr zkjyDum-}0K4c^+>_u#OaE_K|^w6Ip;7to^P$1&Vo^?{ruTMX~$+?>-2mAPW26dpR1 zs?V^D8al1k&kt z={8zh2eP|fkb9Z4?)ch+yESJOb@#0|KD;Bko{xwVL`3iH0-(p0u@vUF^QC)62; z6FLt3T%rK@-wv2@uQfb(1X88+XSY3|3pf(f0~Ihl-hVazx_7_166W`IBIK$GGTWpmkHifQRS_*Xbk2&MQn63K*IHUAxQBRsxO^QN& z>`8QwXGwvbauuV!d=y(W{N{tu<>EwnBLe)gwlLS8?!x2f2Kl5YZD`?6qh-=;B2TVU zW5p1@Ov*4-hki1pPB1#(U)=@~18dsKs#TS9Rdg*_V7>CB*4=rlyThcrQ=U66k~^-N zJKnEYYzr&anp*`DW3+tNe4z10*`y+Qcfw^1?;Eunq$?RxF`Cg?9svP4beF|!o-3V6wk~~Iy4aKV zVFrn3-0}SSZdDhdClrQ-aO65ZnWbjItYxBgvcE(+0I);r$ia)$;A_H+i!8aFe0qY* z3o-Um^$vi3X~_nn+vCAYF+4Gn$qlt&f-dkt1301YBo_saOd!TKUgZxARnYN8gp%SA z&CW7jXe+zT*;4NuV`bAk{u{gX!v?uSn0wO7mOredpvMEMw@FuITp2kdEECWSAdw?sq;C-VO#;@IJ_Df@H=faE) z>dKAcUym}-#Cj>FJ?r!N(laux{3E5OT8sIB+nY$eW{xo~uOdz_3?47aZY6y|b9s*> z#7*W>S#KzkLH_;z`5)26LYvQoO!~|9asyDt;F@z9tB~*cvf8aBMhg#H=y=7Oy_h>E0;1aw-+$_|_P}o#&ri-itX3%QR4krXG(Vsycp9mP_gSx!y5J0@ z?lxCmQ0Zs)Ngq>9u8_#F@ga^^byE~9Sx}^7urpf3XT89+^UJKEvC*dZ!pEyT26xvn zO+PKM(FVl1n_DM3P;3wtoTxmy*MsBf1#TmS*HMDqvi4*}OuC&{kv*5S-6xj(a(5eGbnQS{H5<7(}d zGU*~M_Yz%%I`E`O+q=^^>=FMu3!25hbf+2Xi>xVnf{`TpOM{nOLj;#xiADjUx3ITK zc&>G4PT7}oQG&`kZI|$^wn7E+zD9|11=YjiNy|dT%$UoE1u{`W5bCG^X?5UmnFiUp zsXwwO>HyI0PxYk_rfC*a;??x0xhVFG)fa`vw%DrkbzTK8N^I1yKo*ha79p_%+DpBu zdb)kHU{I2rYo?ps;42RTn++YM;0!W`!Q8(F?gZrw)FGzIi)+`mMZCv8Rt*+DUYXmR z8u`|9N?b%obMuKJcaM^<2La=a1F`PXD5bM3;hMlVf)1z+7Ei_Euo)gT!AFcl91=qp zLndj*+Jbt{(Vk@qFr?LfTHZ}kScCe>Q1$}by5s#6-n-wlBUeZ(kr>QA<|i;%KkwD* ztEK+n;kC~KzImAI{aU1rN=ER#@y?sVBemHy%XK&=FSRp-1E+_T7vR0sd(URod82po zhpzZNXHL>3M=n(xDc7?gX3((CyQfS!f~a1|e7JgtXwfgdLS64P>??tFKUh=zL&$Ho z6?vy&PTU$ngoWNPz>HA6PVJG4O)s=H_}8iWF`OsuIrE=ErWqptpOkmzr1_0W@;ajA}LcA zD$g>ydEb*d%5#h%-EJ?C#}6RK^+{q==H}){4FRH^LbeG~fZ^s|c6hYJgj%I^_oF?RTHEga#C=AdjeIgMIXi(wz!@%tl_kf07;(7$z0J zbstOPaUHyTPsC7tW;5&fSiDVk{8S~e3`z?a)!Z_UoO!Wec*k5qJu5)SVZCtY>h+;6 zTYXFAqw?=`?{`~&L@^Z6ILfFwv`j)Se8U*VBNnQ)sLX@w)FwMA_Ttpqu^7W~jt(lp z1B|%^19;8shgP#uvsy>=rJ3vj*H!}?a&OxEQ|(9l&L07fbQ#U|eIgn2ztt$)PuB}`>7?%2m_Mo&%6XJm&&9Rb zIMB~WZnO@_SsC&yyP02|%xPiNLYI9T6xEStJgV-E@oL{N|LUF<#$*x|{&>2`dZG^% z{rt2DHB0Un^`1N;c zXFLF?@kUx^Qu&izqfZvALd&mzY*p$jTGI1g?dr&)n^f$a?rGe<9hi*%rDUnwJTP9g zbcqxzj0f2P^DI}pvYsA{na=OkGJ3JNx(;_p&Q*S#U5*ETlyfb3J7Z*n0yO01IdVJf zlvGv^UH>$0KD5AWEww0&)1@bwVTZusV?l30nK{R=@C`L9#rxn3*R&qzH5!TG5HB}; zGjlG77-`y=^<01HjrEJSF(@m?HT*XcaUuBn&J_EN=J_{r`AgKFJMggfb6>V1VG-;C zZ54tKfd%dKntQrrTH$+k0J`GS)^gn3#}y zx196N%xs@%149WbCyxRtoz@+1!y60_hX2yez+ABAHiGYE!pUWDGW9Q-4a(TR<9#MK z>znnNCqr*@5E&-ps-(>pmRHSw0WY%p57BI<;AjUlMK?sYo(>fQMJ-m}UG~Oa`%$+L zfao=iUipuIkhD>9g|F24ai@;`ybsBuawt@JvQE&507HgOKwTk>cW$zOsnG#6=Zew} zaBx|c_Qte!j-Oyk=@P}cA^{9?`{`;pxaRXeuXObe$5;KiB&o;inUBO+3arl9X{Fo< z{FTM4b{OZHlWOgO_3S69j%k|ij2K5~eY6-IdIGBDkcMVmM6m_n>1MM}NA0W#$comi z^pro?W9R+$WlJ~SD!}V6IL|%sBaPK&^5&Uh=KWWm0kj|8Fg}z@3XO|U^ z4XtBQXg4?T^<&y*8fzhCd2Y~FbFDJPr?@!5ZY6N>={geHHDJsN`#a}g`Ad+ZGUQ78 z;W+)fH;Tt=eNV6nV!MRt406BxCh^{pC->u`R ztV5F`AnKGIFVKir7W?uf9gKj4Ka%AAq*)`N}c^0_dvAo~bR^&375>+TBiPEd8 z*jFen&AW3<6IDErFEghs|GJ$NGQ42?_|;#|V~S{T^Q~nsU0?)=iIp0*I9HB~nYG>j zoYxo~36xHGL8qzh6$`ec^9er8h>XSn8ZeAK-o~%(1<`V^v&Qp#dJ~2PK(RTGC{l?XY3hbO(vn0y!D`2HqpZXs>y_SzD zD6sW=-A?fjQu(BFeZ2)^X@_>gj^4e0^Bj7=1fnV1_PflqEC{gmbekkiHpX6G3z=#? zNqO{F*KZ_JpeOi2Mr_tIkgFDu7 z-92^UZx>ZRM-pOF&Hi{-yTTEz(CC3Fx0{H_ukVTTJL;kcA>4#AIFYe}?B zeYL^GKG#5^@9c@Rjl@`Pp=I-Co^xXwqASfPsM6P(bH!bK>)6k(-&{hXvaUZALtJf# zy3EKhviAcE?G?Y%FJWnJ2@i#~81iJ=Q2)S1r4PVCG+S38G)6mS@E4B1$bjZ=4(%RZ zawAczK1s#4^Te(L{VR4@YZEp;({jGQ*0`mol15po>^}O(nS)$jMZqc&^I(N1afEs< zpHJ&(p`e{Svv!#@k%ty8%$xN1FZ)`$v26BWh*UKL=!6N|cX-wuiWl}!FWNz|=gl?b zC#sXsQ%9*_!6Nh;2n zo9B)2fnZJt(O1~K#NADTyW~Rw7)~j!mUF+!w((7OJrPR=G|cIQkfB{Kd={Mbh8QWh za!c(`_XU4z2YN=%#i1bKGN6nz=z@vR0*VAgeJa0JaS<(%T?eufd9(%jtxnGX{c@`S z?M!({TLgxue}QQ3?A5_PpCgy#iB)u_@)^pco@DG+PT%J&7Ds~rnz}Qyu93vq)SXQh znIGRnL^OD4HHkc)6UrO+$hX}yo~G8)DR9LKQ!8*C@Aj0QT<2PyQIxmeJ;R#<&I(Sp zQgajasZ5WsMxsE1ZyJNDYmZ!PO`GhisEQamdePz?G%yI!pe2kzPq!p5{3L#g5%F1L zgc7~&+A1YyJz9|~5sv~NPibAN2a7QOOu0)C@I*yV$0_!;YQ(1sZE(w?zd^tT)bdDdc=J6^7zSmp8hvTJlSq!o}_Krk4NgGf!l+pSLu0B)7{sD3X-{_swg z@tWFtl~*fG2L6Hq%2U40p1ZWN2;9(~x=vSIocQnfNf}=Jw*Ot0#RK**r){v>$5S{4 zYru2AOG0#(@ZmOXX$Mmi0vAS^h<5{Hk$f7ms`(fPNB*K=zBVFEOJAv6z-}`lj1f&~ z#(+R=axH}>h0PcNhcgnnIo%kyG)gIBMu0H4K5DW;d-t2p#x)Dc;;f~jpF+!VgQx`W zR&<=DWR^;dDf6QK*fjI?Dh9^OS#N(lZDV~p8m(ARwmx=_{ED3B0l_L{0uCJgtVDhD zF0cLFniig&R@FKYTvGtu{id+KmFK_i;7Zd^dkbq#X|DhhkKFyX&%WucP3%{@k7UtD zP)y#13;a&Fgy*#UTWwzBR-2jfagSy4RxHVn{+gjQe#K)j`F$bQU0fxKb6?Lj^lX$- zB^z7f@0d%zQaLhf?SIE8y1~T&34aVZvfxwm!M=Fr@xXx+FZGx9&&DLH>|!yVssN&D zA;6Kkg_X5;*jYhEr71RO;T?cf^w9r?tiY41=gUu24nLCs~b z{T~_3n?+LMgjYBDAXM->1@w&848N@dZbBr9VPsn_bC#OBlsGjQQn&bJMyhJL3$7de zZI?y5FYO++klMa4Vt8MP<|a_wQ0jciv(8a1L?u^nqpA2!Eq6e!Q!(-hj7lh}=k=Fv zsU~U~A)A4CK?BZQ8}5UvN=%UXV8+iMG56|K%Xv$sAL8~>3I!YToot?3utxx|V%5#E zghxzt+^H$)wYkk_MMh)wBJ{n+?D>W_m4@x}jrR8*UMVz%eRLb%gbv%YTqu7q=9$+E z5&`OOkdhL-YoZ&*B`!xw+)Kk-O%?9Y+tiGvR25rxbto(+3j`=1f(FC>W zAuIqYYeRBwVW(sVyoF+!s-lc#F1Qk$O0c|0^zgh&JUOlh5f$sE#o5;VM z`aUPo@CG}!c|=}vhqxUky~GL9=5EP-gQTo6De&>#A=0<#vyW(d=%3saDnd6YJwO*W zt7Me)>*pmdZ`a^~hQDtNq&o;ASu@O$J!3YqDT~N^vxO$z5^lLx_5hR;|J)3Iq0RvR z<0V#h$F&@kaI>3CA0W8Yu3C7Yz05$7td}v=ZSue#?pcfE=yYH$Jx`9jZz)TtQlFw< zAB|ktu6H+_v#qc`e3pReG;SkSdRcBpRP|8j_JOPG+Lj9POB5S4Vzs}K*iGl>u5y9! zZjmQ3&F#xD=dvCB5jvogGk9+>)2 znbfJAg+Lr({7AiAOR4IuneJZbmdI>HlM<}z?~H}Bhl?j2Mcgi>l83F6;`t*Yo-48O z_n!-!b>_zTHZDJ@-RrBrq#4>d=BaOScnB7wkmvL1Ob3J3E2@Aswz_n6lb`H0xjdsD zGsS7rxcebLSKqr}?1{`saSg*1qLpaDmUNRM&_n$76??lZBpiFF?blaqwEA!*<5Z^* z3g5b{Wr?)q(JeX-Cr)tj@xHv7-?e@OV&Ni_+UC}0)t6ECe)r;Sr#%`O*Y%M`HxEmf zhT*a&TjSWgs~X5&$dZrHA{KL(q+;S8^PGIDQema&5e{XR055TRslKEoO}3J7YAP7N zWRSJr&|fR~@q0!zTBzrz#@1BHR$`zB>C#CTUN?(d47x3+nU%Q0XP7bPuii#w-BLB) zxEH!zY*k)o>d(um(esxqVpX37wr*T|Y&RA|Mh!-u2YLYR5g}2&s~!RIr;9Pnjsc!) z=(boz5x5Bc)ngA;SZmxP1=}L?0iHe|KJyK7JQT%RtX`_Ye}?c4O)J~DM~Nk|3!`l? zS9^6un(QFK56U;rw@$cfWB-$2uC<<}lDJb&Dk*AkKri&WkuZQbK`{2grR5}jWRXO% z=Z1@nG|&cSCft^n@`=}~kquN)2yIM-3NBlky4fwgcS35=oi8sSwc(`wphR6-7kraq;^P`1_Dp9?zaX|f2~`}$#&bQlOUN3i-dh2Q&P)w4YV9Xb^pod z8tU6{S85F&ihd#)AqYh8(vGzzscq27-%C}v0D42&(L3|QQ@7uA9`{t|Lzb{S<6cqf?Y#IT4xTjs+g zp_EVjEl%~`y^lYX*2cz_`zpiJcdd$TQ8A3;cChTWRGgUTAT(F!PeKb$7MrZA;-e0W zuK*PTmciHHGD+;;Ml)hL4nLjSwn4G(=UnMPSL-;{v-Ew?zz8Epa;j26vJ$nf*&4zLlyyPGT?A0 z&Zd%T{=L0|xjmi4l@FU9AnygKPSysZu`leq1M|iUHkBXd3Jpl};B8MFI_wg~*4_3^ zzoxlCtGCb4xN2c#!YE?hjs0!-WiQvN9G8mZ4N7)8=}(K1w*xmm9Rpq!k9SiDA8ZOQ zA$A|&Y2(FQJyu215=Hb0+{0g}0F_(SZ>Q!1t0k7`3B?v4WFoMLyHFKa%FfC(@5oh;DE&ZtcgjgiJEND^6){viHL?y}|-g=5J1*7>OYZ_~ga3dW5I$VhF4w8a4 zd5HATCSLm?qTv%NHB+HNso;P*c-uO@yuDOC*w`&4^dD^!x)}Nr`R?P!3f+o^Ozp>| z7kMsNdLwl*FYSNn22b#90F`V!hU%dPYeqeqzhH%jT;+%U#(#26U-!K;Q4E!WKeCI>qxsNSc+Q-6H=Fk6iU;N9l=3q41O2EKSd z=Iati%Hh94zZBKnR?soh;89R^D?1s7WslXN%a}3lom+`IE%mzle&AChSDYxjf1Zfd zNT9=!8-2Vka23VF9brd+R!cj_f9?{P9dA0^P^wi`{fq8)!E2E>Mw;{Q$ys(>2hNY` zg;OA$^!Am>h|Go|5R#NcQvITcGoQkt$s$p|cUEU94+XnjbXz;=!_ie+z0tT@baRdV z%qa|>sZ}lFO14Z)@<^l|A{dhl7m$9|?C2k&TQUWls`z6*Y=E7EWh z<(>Ee;bGz)WmOn*(dPZq-}iG7zl=f){rl9igf()_l*Mf?KyNheX~|!{nK#5MFd#nm z=&zEmlw0Ojc#dTv)I6T?dh`+027n~ z69gRdRK~(1{ynVB<{manIe`a4P@f^$(Wp!QKRq{qOEy!V`sK8E$MemXqvbpKVpW^U zJ+dsY4xT<@v^>^mG+WK`s+fW_6~uRw)XpEl!`wz9Mm0~vG=PWCM)RfYb+60%Y%x&p zFC>wpC#asRCYgYP-Zbp>&8c!q5HvZCU?V z9R>#AcjQG88^mH&V;0BNHwhOn*ngDxWF%FWeWRk+CSZOxhz9l@#l+vgkbR^mIME$F zDjD9>@J8>Exyv8Hxa9cr!Z(Fq#A!QF1J|;bwsl_F?*I_P&8`{oOv z@WFF`$`Uboc&I_f6%d?vlqsM8k}SJW;Q$}47Lh`X_Ar!N^H|m|c)>DXjd5XCC;cRU zm$}!ZZ&?$wuM>QcWkJNkpYaLpm{S{k{fqc0VVL`;$Qn|$@8v+${r8hdm)KF4#{ujT z1Y+Hrp#wWa+ISm_vOMce!)k&I`+j=B9gSut5mTEpc0>iML8YT!R=NxR9S!nSj+mU6 ziY4)qQ0~o!)(h%^Y7Y7aHWQC+ZB@16QM|2GlREB@-G;>DJS~C*m}qVsNAL~(UQ}VH z5FmN-NkvlXHTQvLj*)$<@bIFeDo)(AW4 zpFPsx?!{W@PoADH=p|W%d{CKlA-*}?-WMwKPf@UcI?5(?>vzS_N|Sz-?-H!t%%&85 z*E36(JP58=mI{`NA|&JE zFqM&XL@|AadJk_NGPUIL%e*fmUf&guZ?)yL6!XZWVcq%8RGPaVJTyQeb2;jR`Wrrg z4ry+mjvr;{OU=AzFaMcIGGc3WKqF2~f4pJ=OXx5D4mZ?k4QouQEDr(-zDQypjURzd z4cEEyznb5t3UI^-H?Ff33)3$bc}V(KJcg;A^pmz!Rf)@FFupwx+j~KE!|GC-Gx_D! z1(v;usC>l^Y}?~8X>kn2Z(A?F?o=Yq&vl5sbr93OpQ1r*|GP<(fahyDI((RRm!um% zr7@D4o&M!FR?tRW%T)v5$wI#mZ#mZ0hU&SH_SSJJ68GJl(=M!q?(Kbb9cNW4$m780 zg`L`CjXEt3Jt{Wi^hy5H9Gnzn_VnKXw`2h>eQv#^>Wcg+emsFE4|k)6=Oo51zi}{n zQ9nMHOQc|b3w1AXw&u&#%0X}KT1zyNbj!|7-%mMlv=sw!QVKxJI%DG9LfoABLkCIr zWV9e?Gycr_jrhdtrB##R1dtw`CE2PyW5gki)srn_a}N{V37gFQdVijLmc<->D+1=7 z56#>7GZo+2Q~6iN?2;V8#lCc8bk_zgr#ai4*&O|q;%|Qs-%ph;M~h4#RVL%qQd`$Q z_tSpe!dsq~J<|e$O>cuE!_hQM^T~sWZmm4c4n`;7=9mgjw!Y5G9)ckzr$9#Pk}uW& z=(kmEP4pNuD1S90;XDe`1ic9Pf3W#wi@TDEEdP}KS zwg!(E@~>V|#cUpFs(G}YSk-4oY3luh5tFO>Y`E?`xlhy#Aplqjx$`jj4r(a#a~rXH z4GObN?Iw%e!jL#UF{;>dik8O*_V_NXLI*6(ZB2^a`{Svr9cT3Y zuKDP|ST#`avms2dz4R_`I4bt;1_C_0pACg@X7ru#Pc@=rd41;22-aM$>FLS^Ig_q* ziK}>CT$I)~(2{lA<>X{WGM2UN_cLkdr-Z0iZkfwokuK6F9)>&vsC~O_aOsmiS<9Qt zdzuHTu!ZY&+=v&Cy-FX#d%Q``Le%yER?h^5I|vbZMawc@>GnMMK)8NyPM$8V)pRL3 z%1oahHx5UN%ODuO;5sWR?igZCs_wrFV3v6gItcl4?0Rb4*%W%ny;w3;fQ>w0#73)m%r&AWj3d>HMAXAaCSr_7Eot>fDkP=9zVI%@pIJURTr=XmZz zPv6A#P<{ZHhNYj`sB}GkgaOgSF^;d`_R76F!?1R*r~B%{JuCb*f><2qLnS_k(=}_5 z(eca+*KfZ6Ok-IKsqiwRM2Il(irpjnkZNS?LC4v$fBWWJq%RH=2^L$$1ye>dgsMey zEj6nm%`aHx`ny`s2OVx>f}_8?dlTK3e71u8Sa*O!9x57%%4xShu69UYZB2LxR_1h5 zunawFFqgXxE))|);hmzccg&-cVgnH-F+pesdf=jlNji{w>gX(NO_RiJ0(2; zda{rZ0X0s4yZR`2|=qf&indYXpy$a=8gz@;n?Go9*lk2>iRusr}cZ4 z0T3H5A*&pymWlu1(tkL9%P$ZuATv8IeT|hIi>V?|`7Eenf9D(6b5pkj$_+=}!FZch z1rY@BMwAi%X#>sd0*Fv4Oc2lwJV2|SjFbOsP)*YT=$x|cB=`G-yRt8v_G%`{;nmc7=9S;3M( zY`$y6%eZt@nwq^SDfrmR!c;AD!9h=@{;0ncw*n5Pp&C+%t1gQeqTKu9Sn#xp_)$su zCj#4jRVvgyuEFo`3+ z)j5l`SzM0OB;2t2U0c%jJAAEudXA8o#F(K(@5QZ&mCYv%A*#JhAB>Vu>Ymzyi)SyC zjT=4+&5>Mt4fxz!R)z`B8em@5Wg?C7eZ}Wm5j(W)DD~{xz!wsgPAP5To$^nepFP^H zD?NPE`P0zSH=8=q^dQMExuJa1gWKUYG~mIuhYGLKgp5c0R_3r8P07^o1}*WhYz}YV z&CF9Y$5V=b;vk0*``&yfy53KZjwD-XZANhPEC>WKgf5;L!qKeQ*96FaSKpA(uH$G3 z%C^Z3&~{(77sm}yF!Cjx2f5>+$Aw;@Y(FQ_%blo1VaJ8o)ysryl;BNY_$gdA(}a4j zbvDaJxX$>qmhrQgs)tdj`_EQP_7^wqhc}R&kiDWZB7W=eZsGMn&;IeEw_%gb!Lz2~ zHX@cRnq^*huJ5;gZ?Ay~p+Twope?2}^oW1KJFVweQOx2BSHc}<#-`J)y^m)WP(FmD zF)>l!vfwCd4I`+{A7$R>)AAu(j2}flaLijhN36ab+*!`C>wHDb?JK|ZqDdfxoTCMq ztIy@sS01NmQfb`e9w!Jqaxbi}?W>-BAj`n!Fr)I)5~OT&oO{9e?2u!(8>sNn{7_&446p@rLk zStu;+AN{Xp-(2?ypt&Dsh^hr!{6Y}fNU>9tEKl60TE$|X*kAJYR=|V);TNx2B+60- zp(%^dd`MLdW_Ic=`Q#g{64tZx%)|fDjyd9Fh9gjZ$bYS(CG2FI<8PG|0}?V3#O8ugHYo!!{96Tt|JQUQ=j&?}@5D9v=s1{Yh!v~QpCtI$74qS`1}wd;+I#3e?|WoKD&kXDkAZF({R%9 z*00j9HkuN#7&`NApugkqcTt=y+qT7 zkZ!0Lj?}NAMk@^4&*&c5OQ`@NGByWs!%dPh(!^-3g4>7Hu2aFjFNc!%KN#mZH<4?b z`?oTf3XvBrZ9eWu8BiHCDu+__bi9&9h%`aU$sOOD2;#v52*?7S)Yv>iKCh-Z#9+)D zS;X!q40hH%UYA=~T#j6{6D^$&-q#1dqMBq8$?X;OxS3I|sTIK;(x3;@i}dd_v|Jx# zs$<8NeS4HC|4>WO5<8RPp_WTi7+K5{(2PCX@0|Usq^XvDQy~{(Z{3z)?_Q3&+s0ZO z3xdm+wWl{A-fv)k7(BcGrrn-1dey>UPG^-sn2WJJT$Q<3Tt;CN&s` z)s#XpAVnU*ho$aj0Bvgz-=g`H_Wr=%6fggtQ^)W`N@Z${LCv2LH*=bOd-BWCDRoIA zY*j73;<`MwiWqn9$8!&vC3%7BjLU`ghPmeRj`qT!rx|5B4$j);~E0 zAl>&d$dgd57l^?X_B6HP-Xd>o?&Nh7G2QU4Vd!qIVjq37`*u51?|OZ-AdYi; zv~KXKl&zIuD2Yz6Z_$`dp0AZd@g{PKZNnmf_DdNl(mfhS8aNAmYulg zFB67A>V3QNPJh3EWsc+T(nl2$#Kf3W2oU^o3X4+l60;xfKSf-M+WGNC!cC41hZ=+m61$-;~B{yoh((Z4=cjmA`c z`#Y4#>BP3*w~HfQiZ2z0mYUnsd$!qp+BmoTdbK)JiWmblyYTm+(5mU5?0@3qsDxzP z#-m~ITzC4QdFb5!%EwQK#*AaMp#AR2HE%;tO(+>42(sp+iOs&r@axnHA7oZ&*>@M$ zYqE`f30Y;zMudM1c(5XV_D}#c!;BXqo}GeJa3k)h!3wsIM9*Jwm8|jxU4lb#g%ybgO~V7 zCAl%M`GR9lE_#q=mhISr)J~%JMq{ZO_b~m&@jPMFJ}{PK)NdX*C0xE-;6X&TZ<-6} z+fD|`d%AvHjUS^7+Vq>)l+>wz!QdXR65Ge?>r>#uv1D-aHE`_1(1*YLu~5q;ip}%7 z8GG{chW&5anZe4g$9TsM^_I?NJT`x%`ID=FQIU)Atsf7p7O0w;19LqoS_E~-mj8#N zvkZ&kYr{C*jYvp$iy#e4OLw`X2nd2mgXGX4k|HIsq?CY?()b5ycS$J;sRg8$+NIv{ z{ldkEy=HgLnVIvP=l2OXpO1#vVDauus%}k1wHIwPE$j3B=Cl!ZFZ*0fhN5ynHE<$G?g5 ziOzCENy*Voi^iS$@Ax5s2^+5KMjt~d3bVeZgtj-Iz92GN3Xoyb5Bm};wcr~u%Jgy< zp)GobzNdc4g2hM~gyB|xjW_f+Gd0JaFWIZ4wb#`1_Ss8~75dKKHA~B;!Hb7ss%aXh z!JDS?rrl#To_O_j4*=m>*O{2oLdoho`a=9AD~l_92>2@cTzN`tik5LZHgH5JA}p0y zg=@E^_!!T3tN)+y1i?can3G z{i%3ESU+-+;(fJ0#t=W$a}_9f8XKIb!#@rA6scSr)Du7-1CbxHRnsU@h0g@);uRI= zW`0iM4u%%KCdfJEV7R*uW1^Z(x9JMb%!^L z#c}!5@O)X9AOMlcGznfvk63s6WIn!^&2+;%DVU=Kro0 zHPSZH60`6jLQFbw(O13Otgk;ZL41T!`2D_r=GK^^CdBPTTa!C?`$R`cZEd;>{+jK_T$Me^g79GHlyw0*77{b@V?oz%&lB1cZ$EgM z@nz6mp%)LaJ}EFk=n0|q_K@cm1!GDzYTbtqgqtCA??(*d%__kaJvdTFGZIkD6<+aH zEq>yUW3&SwE=(FZGp;m#`Oeko;Ix!#eq9>+hpGfw#yRSJB>Y}`zsthJmddFs9Gy_Q zTgJ+D(p7)fs_G;e_@$}D^bGMKNhj{tI~Qq`bflXpUwVykP|w#}hlVxL?1tAkm3H`0 z7&cUsWab$r=krq0${|57BO7o@rAV4)jdc>dxt=0_Bnd+ zU8#X{Oq<3)La4rY(r#R#XOG*4?=g9^f3eI*z581s`4Z@!z0?nXxoYsEaRQS=C<7KDUo#b=yWZMl(b8Rq@%#JpPxL|?IU(eFSbyqr(Qs9%5SvN8hC)rKGHK8Lfob80=FA^7r?Kkb`#cSlt zXv7^UPb@l{BhcwbE1*36H+mR_dm8PBq?$LBxlCick~qEz^Ina<$%T+w8vPAzl|2te zP7=Bff}ePidtmwD_52L^-sF;iE(C^KolK|l-Z$%!_gntxAm&}uC#KQS?)}{!{EYCv zUSxcPgyGt5zB3@z8-#NiO5nBj>d|~FqnFqIqH_dFG_q0@NQT(qK28Jo4i}LyC5q55 zN^Um)rR$Ca+Ah`win>QEoNAu6{Q9g9Os^LiGXH5_dgW6f^zo6gKoi(TZ9J{uZmlW# zIaQ#Rvng5rrHiv5+A?D}`#3iT6s0)#JfW0cF9;x4xbOuW<2ra7lxr(3(W`devDf7s zZ$cNm@d4oe&WKuuiiI{6IF=>Sx-6U897`D5Te{sK=-E0r+Jc@nf7wVJ>?oafUgs7j zfiP1c9<=@WY=*HuUI&D{p#@LSexkHfPwn@pA8XM`U`?ExW!jla5fde-l$l}9k;8j( z1eIC1)zVnzq4&kWx8Hf~9kQ{zKPZ~4_`){@PzClTgj_C;q2B8Z4iV4}DWrZaM&iE_ zhr-ep-@7@Fdnx_9kkL~rcVbZ2S&VXdZN15TjFHQyAaST%mYInMAyYWR$=_#30~Haw zwaT&H+c!$pk-LspQCUm}_zm}Qp>iFFjQM6tqkSwhr2Jc(WH$qJdAqAg!X7V)nG#Tc z*Zi9=%XgPLD>mf3)N_~}2%U*LQv)h^1`8dlAmgYgL3r9NF zYxBDE1z`($vxY2ya+$ZFN%ebQe{F%9*-JD|KjlH!A>!Z(TQbZ9cBtFO6EB8fr}LxW zSW0=Md?fM=7_ZP*pxti7-bPW66OLwED7C@I*a)W@;B0{=0N>i zMbbtKm)H}AZK&H8|CHp$$o3CNgS#~)a+#%oTP1C;_ff+&$b?gxHJ8C}fFL_7=qWZl%Td*5tSn_uz%_yK7=Qap-Suu@#7@l{!bAEaasEwy-&D{$X=j{unLOv}P z^!2JuqsQIw?fZ>TQ|Ry-tE&F9EIa7X&E>^g8%zrIX?PkzHtjqpc{oJ5RSyDBbevay z#O60@-DKj_?3nvTYh&B3eP#*KB2@c#-l`eR?|y@5h)SrL)$zUmt|v}=)VyM?G*wco8k& zQTSiQu&K;!{C1L==QrW4Wh?xvSQ@^+$9)nhMTikA0KXivILyl1X1RGUWL2Wo$=6_J zBief6$d!E^FUQp1I`ZKCgK8L_pgb^sEQg8i7LY^c=epGVId_)vM$(qhZXD*1eBmQ} zi@@Rh(CkOI60s(#Og0>6^7kM^3rV9E8f zwhFESI&CtkejGbljPXW~^Ee?st3J*TvP+ineK}A8DiVP}e;e83YdNcroTgAl*j%dq z<440Uy$WePU70?lnwZCU(mvF&+mKN=jHa}tERP6!-dj~k&paUuzKpgq4%EexYwv=@ z7gOCLC0a9vW-7&%kN!OSZ}@{Y)$c()Omg%-ATCaGZJLg!no#);tKr>{(QxwV-0q9p z=e_ADo8ABq1S!}jIJ>Zqileioym{bV@UH3ahPlFQe2{q%!-uq$nDaR-TUw`K;@T25 z10Ka;kokKz<*PYkUXW`^8sK23RY2_jpOQ(NQP7Cy3*oZ%9~@)#TIk6Qs$3P0`O-iN zfN?E$BOMG7VPZSEH)}#I!gyNc3WMrG#69^G9tvWDjvP#HZP>OLl;~`+kGY^$+j>nd z5oS$rJst|*CfiPGVU4ha`$Tf1-M&Q#PVIIZlfv#2C7w20+$I$e_9C6UOW~qsJ>{?> z^`gj(91!oZG3Y}EaumMprr8bJx={gsT~Z-Nq(?B~UWLYXi9TUD_&l!PG$XV|6)vd; z4GD)_T-t1NeYpQN$HMSGImWpn5!HkOcmLID4HTX3aH zcxXMW{dSLY>S~70FpS?5o1?J2vm(@sxoR*X6~1^}7Mk$eaf7bLTVG1`23nqr$h-Bw+xTz$*2Ql{@=zR zQ7$7UM|y1!3I%HZlW^w!@?30#Vg^;I>nThJ4q?cfPx;iHDt;c7+8ebZ({l~y>aXC= z!|)9)Il3_|4Nushu2t4wumo zQ;MqX1s6~)C=_Ur*Exzy3cy!t4f*tnCse`zdhlq5y^(Uo+RmvJIPoW})Ro-F?dmfy(aZLw7e(=E=%Q=>|3 zA9*qtrMG(VOisEHPSLW%h(mvxHl~>Xtn^Rzt9z(~doz_AoM=kfI&~%lv6l1#X`5N z)?LE5p+Wn(Uz^O-QBC-jy><_C*@e{WZegv#H(3YEMH1%#;vr7Cc(?qJ>@2&xqd*(Q zW6y0eZ9{@|m6M2!kH(T8{}c%~sm|d+xCGpdnkG_rBwAij4}E4}VCkifnaG4E%Of2mgL*+1U^C+Q2YK zSB(~gK^e!es?45Svv^ZAJe}Y7y2VP7+dog%l4V}^yp$12l7M4nEeqX<@XW*ypu4Qwhi<5m>f=-) z=m1(zW}eTdju_#Zo#es#x@#7z3HrZ&2)tM~6PqpEbIe?J9n>kBa;6Bcd1cnKYkP*M z3kXZu|21@DJTWE4Bq1Bc*Q3uhJL|G9W&F|1s*NeXiD}+M>nNybEOBVpAjc3v+#$a?ok;O2Pn(oG&8I zB%LmR+7>HK<~46f79R6_k05&BM$NB!6(IUzP=L8=Ri3$UU^QL}%h4>z-ysibm$(dK zjQ|O(A<3Wvvs3db4PW?}JA+PKDT?T^EM0mXvq;scEl2#^>!~NmO?SvRl6xE}RD}5W z_ARI&lVWo=CP(>gxo316%+#jt))pZiD#L7*VKY&F&?#DWb;<~c$2E##9H|bBySR+I zoPl@+3l82zq0_I{KQUQuN(DFjRi=028reKf+}Gm?Xo6?By!##!Ql9Ir9j8_7{8<)*g$m&%l$>f!=j4M}<;}};^^w$^jXki>p6eIIJR=> z>Bnr?O6XKyaz4#wcXw5NU@y*csb`Ne@?jhKrpb@*Gh%a;dU_g9G#KOo#|L{q++*3JY#1zuN%A+umGdN6!uTKtFa_*ge zCVF#z+M}9Di6XSxmUu5zZq~q?aa(k45+=#$6nv2ytfsU}UNWV27q_=f{MFm05)hPQ zHyVa07W+Ty@6c^z2l*W8Vshxj^AeR5iT;W>6C-0jSBh-6;LR>$yEd*?mE_lA9RA|Id$f4@+FrOV56EYD2f_wz>TcgUhSC zSAs!;!0fOW94oBO2b>oJa9$$L5r?sM{u8Odu}T;O8>5F62B!`-+&|l?y+I}4LkSu> zH(LiO;3mavTles`c9k$bgCF)3C-;xvwn-ct*?mGxepj*(Jq7f)U;?c)81M9Ash8qm z%S)=Tm-FCM+*C@(714)9T<`42d?R#dl|${W&b)SS?%|VZ^ZU#ZfBC+wK$opZ5*HG~ z-=e&`bJDDDFyoP#L-0nlU?}hb2<~8nANJQ!5u43QAc>e}MzD#S0M&OKOCy-}|@f8{%uV z-e4UN!hNTi_eNz}(;+4u)T>DoQj@JDSyGL)GZc+$eZYzCO(|QfnIHFD$`x}{dN`d{ zkA!l2(5-CR?W2gK4Pk>vZ3bK9)O4J_Bg1k^dH=ah+R}I3@(mST*R%COO?kVssPcDY zoi7rH%5@caJB&7{c5-8GnlP9RSsBS2wWb+-=Wr-7zpoKjLrfCxkeW$=4s#hs8{`=v z>p9Y-zzr5%0ppfja$Ve2oSe#&hJl4><8F_4<7{XSFWK6G#V!)~Ji%En+wD*x6d zN4VJTbEgs3mpeZVg8Vm3XOPb~^M!&1n?!S9U?HiH_8DCsn$Ys0uon{_%IIYIT|l-6 zcR8hE>)V$xHzGThwLTp6froTY3<-?AeZ;BM@#I(! zcA>+&WoE7j=t;iv3W(BrYP>KfOE-~R+-#G+_QnJ%6`rom=Wa3Aq4 z12E57{Tge6P+tFf^WE`5#Goivsz3@KDhK}N7-T5IlO)sUQ@<(%=Z3ud`s0~oG_1eo z_=Rz6^sU}7dw7Lq^lGq8|3t1vA@2_)xk)Y-)yR|ig z$fW@6(6CD*lvzgRi2k2~V=93*=g-UJp3QPq)%~(U3M+5ViDl?^I)V&-d@jY6joEfF z(!61=gcFdj8_1S+WdGSOa#)Gi|M}~+($Laska2l9O>$~VsNe$Es+cF2mtmr#i7qg7 z%n_IXp_`aD-nH9wo;=IuiyjlKPZk_>rrznCqVb9Tw^O>0*I|I&0U->igb-GF||fZ=VAcM1jMCw^RCNKt;wbN)Vt0nNixqox$q-?z+{T4yp;whBvRcKvTE zKfey?hx19;>EvIGwq$|rV8%JF^8vAU4L7V`WnJ=BzB6CY=TCRr4NN@Del6B7s>3!593>?5pS6rnqr4d%U}?rR*`-GJ6jDXV{dN|$1F zbLM+@ndXZzR(DMQFCUFTRe*Hk!9RgMHsIafHOoM3t`h4nakG0D&V%jQLA4;ES@R!l zvQ}2#{UDt(RKkeOGQltLPNwJPzh($@J9Z?Lyt)}qCk8A;b;Kt3?E zG&DQkoAS-l=$HO^{K^A-W?Qa$vyXBo4(CDMB<4d{H~6O$h1MYBp5S*ska!-)D*!vc z8}MNpzYy1YF9g8<+Zf`+S(h zGqV^;S*M@U<<=|*L?UcJjr(ZU1GoSm{#!hVDe@bOx&q8ahw5-<1}8fWMcSpeYN;Gn zrCmUb1N=%EdhRXsGi1|r!YJX<3ww`U8TEHjkip2)>Bn{cV&sO^z+$#a7M_p+4g?ea zXSj0VY2@DqHfmnC$nYdetK*Qgl&+svYQf@=;Dsl|vMj*m?+qfbEi7d*#^^@;Lb$>$ zcA<=I(`Lf3|4bHdW!pKE-$;?P#$JhL!Lb3O$0RC?Ow1zfa-b7Q_wx=*V2w^lRAgdvAP0#C_N$g)9Zqrsf09L5E2YWO%=Yjy>Wsh#+T zKvdiEDg)-Lhf!nx=6ViS6U3_k$JcB3V;siU%DpEJqd$12m}> zb;3Rc-c?GUmbOXsJMQCUD)H{y^d){ecq{6t5A%!y!tWQ3HWbRO4lx~#7uIhxZy(PI zPd9xVNp&UXk0$MHJn<1Y3`+X5^GSUXk6h?K)(o~fCP3b(7E4jArnduq9htbja`gDl zwKun&562%xc~11Q*$TsWBVodK?*^;0ok|Ok*(|zFFBEVoi~r~b68_3v89BH0;Ck1S zemF@Is&*~XKVTWDGy5zn^uB?Nk9LEfG>v{@#U!DYrKzBLhH4Hn^YA_5d9Q31Dd*DF z*RtI!pyF8KfO5We5Z7?_yEKMSm0VzPxQqeC{K2$Pb>|oGybvyG<{a(-lRbD67bS{6tGBVSN`DNtOu^%WWy&8(keuoA3 zSZ?s9%!rRMWHg?$9LL_F$L{|uQNGs-7xn@3o}v`VXjxS1;-&@?E!DIg!p*h`rv@*J z=qRJ$9k}X&#m7#ee%d`0F&llmH0 z6R^Gt3Lz!>S7`Elhp_hVvp28-t5Y65@9d)>CeHWsH*=&l#HnYuDUH{!@Gh!wSmlu`UOXeh(%==?OAr77WBZz~pkPx2Wbi%!b+fzE4i% zk2|Ijg=8m9;K{OM)P0Lont1kx*|R}s5xKa;b|=>Avw0|UW!buj7C?QeQ2BG@gI@c} z&Y6-5!6tCSZ=jL=pZpNd_nNVI-b5gdWbkZSN!Dd6(#YqLm6L_nkpyb}*;Ss){a*rA zaP^0MP`SXKwhy8qX>k`UFMhwfqWY8h*T!F#OYS^KeC3|ox=tqp`ZrF1B3{5*z>{%< zXW5WiAE6uo8}qD2K7~cXo;ZLw5A;#>)+wc?*~UlO%179?MnWb6=1rwn#a00F0Eci} zl7M8ha77vncDrI;2~6{RPk6IVdz1pz@`dxZzwlh~4etg;x4^XOyGsr^i-@4lBV_qh zN)5rTNiQ!SjDKNrWEyxRFmFo#xD&JaRJT7pJrU#UEFxE1c6u}Qrc}wY<#Y4v$c4i% z<9)LJh>@D@@gfI%m^dNgJzqFL!MGM3H7K}?@PFkN@mg_z4#gJew}bE>b4;pKn*I8= z^0kZOIZ`gA5cnK+5QguW-C9QDLwK6ssnp3s{YM~M0>{8J^gQopvp4x*-vNJV7~Bb( z<%teN@%Mbb)tQ(_(7?#S7x<|n)a-OSbIG6L5P`jp620N#_20wA6W6uR9Pax^ z?cXrCU;j>hlq{1fx3&EI)jINdQV`j$`MOcC20Mkj7e+ikT`t=<+3e$oHt#3UV5@R9 zzS!svA^BLlWVgkB7coFZe1e$MM|`!+N#Fj~7*wru{C`Wt&oL8V{~A`1qTHDMAm8Jo zJzU=nVf`cXN$l(wJ<@bWLxw{s zrCk=VhZeN#&6>Nv8`BL5x?YudJ%SmGcJujK{U*_rH(G&ecPx=L6V2_+-AS|Mm9o_~ zxpY3sNK|9B0q6zHEh6c^v?53y!gW27Hlz6&Mn2^|<%cl2=Z{O`E*a49^@@_J5Q=Yb zT}EBZ_Sl>WjH{@*6X@mmNcw!wo(lCamXVk4Mzp`P>nCzD7mypcZhZ2ktK_Xp-|G;~ zS2$)MQF0f26e@k!Nho7af?2(!uiLw9J^hwdc(+>vJQBBjS&ZN;LTrkh&XZLxT)Lbf z7bH?e!V=)5cA3kdQ4n<2tjeFgr10O6P_B7rxv5!j?>`0OZWJ?jJO2hf^}*R!TB9XO zw4~^tA)aOq=^0Rw54$D7jAh;iGRs0)Wd=j}7D&A?w=b_>A#XCS=dCNDZ z^kx7Ww4FehMym3+u+r%BopP#wwzYm+`C+SSKOk5b($PI_EDC?T_t)`O%ri;yu9Eb6b6C+lr6NXEb5yfkFbz zwm;(gsWE8KAP%myqwpM5A5`i2mHX%B-S+8Gw?co%=sO#MzeLa|bJhE#7_C&Q>9nu$ ziQ6{gF7D$q*HF#pa?vh}bW>qsbWe`CX#^Mw7oyw6;1RxIy zv$^j>Whq0mPZB1M#D~)9+lFmLK2@Z?MlBUdO#EJjRTRa$4N!Hd%jNMPNQ2_>@Ag=n z^gZsz$Zy+dK96hUmfOx9#w&__qaX3Xc`V^ZM_?{%T#zjIm!~Z+LmNK5Trkvr!V8~h--hQcj;C?$# zQL@P&vHkkbzuq$V6p`+Gz=?`f&0H57WT6(q`1f*HNqW8b6~3Nth>uDaoV;O({bEo} ziJ~n+RW%r<@cl?wZMvweuI<_5jszMeG1YPMM6+dW0%-INh2Tc7{zWhq&T+UmM9OR-h{7muahU zSQSsv2Xp)#Q)}3jGOP`!tmGz|&h&Lk$(}yFTVB;)wk^r1jQUnafTZ}7l-tv4Aea*bOZe5G>gaC)ahWm!nI!`l#zNAf3_;NrnwZ0gnwO{Xu|UBSCF=>RwGs=`61RXd_WR>n7mQ z*fKS0bmk{^v3MCDA`X}P1XaENx;V8i4CNFmbqb!(27jQ)X)Z@6J(UOkrmi99kyAcB zUV&lc$(by_{a-%bXdiPBIVIn8XU#bHMh`G?`gY$#6jsoAfICP3yCB06O@oFb@HkGS z3GIB?C)jCc8fj-?tJgshd*u1$VzEB$!b1zxPGL+k>oOA+k*LMU5o`HmN|2}d7I5{T zm+zd8Y9DLURBsWcYecAr{fW+_`Nf&!+?83E1A>j2WvpG*eGe2yZG!IdPLG z59`dYiB>yDGlbh20G3FS!O&E~Y7usdubxPzq6JMSau8MET?*-AHleQj9?ESjW6+v0 z)q40hBZzMF2Y8sbRQ{B>>Mmh#8eAuA@pXs_8(S*4z+F5mPIU-&gQC%nLa5<@W+0^~ zN8Z2k5=oQL_vX1)Yv6#?rs`r%G^eJLpu0)M+^D_3co@*c)(~@g#yENlWqD4vXv`NB zH!|ZB#1kP*5*kh+)1DEBn{1fP81e79gXUCT#tE&%%WuO*KEOf~8Z`^h%Wz>h{z&9N zK1uwFhei5K%nttcWCb4<-z}!jsg&kYCS@a8&0fqZV#)SXZ@9_yVC8dRpU@X!uvhbm z(xxJHfD28ZB5hbZU+#1H;`-Fh)J-^eh5}TMJ$OTttj~NTg+i0m?!<<8m19d=J@FtF zI5T`$nwBsS2rh`o0KaN2{!!vV-`GJX?XTinmsGJy#*$x zTz`U=mG}s{`LBFc`MV?bqdSq&bs+y;F^Dk7nbE&oO+PDKYV$){a%&)B>O%_fi(1%3?qym^rxcr+vnGh;=%y& zMQj(gJ0FO;d*o;5sJO9j11|2)Y47K6_0xFZMCg<&rH7OaM=W>G>A5X4ni;(Yjz=yc zZSTlJa}J5As*xh{<4z7&Uc8!VHGhN`IKz*UDlESzLamR!2hpcuv0XF@x-q>F|r@4fH*v&_<9k7zZ$)6QGrEq-ui6iN=3O@M0pFl!wWFLKc9Sd z$TPsvf_;DsC5(c&;KC=c&|t6(k=Kzvio2-&UL_p-k|1mP%T-~P)43$&SdZH?Fz5jb zP2+k=-5Uh8t0Ta#W_vdSowUWBSW?o-2RfO#Y(BNdKzs;x11+vAy6r4X4kUZuPx<-~sO&ZVxAgCIjB2a9v$QN!L;G z)RoBNWS%5hkbRdG#(Pw6de3)9GVc-I%M%;Pztg+>B{~&=Q`*@N6GH+hi`RL!K?#kE zd9RA#?}>w_4kp2Sv^T%2SlkQk?{x+%Y`_I0Bv^f1M0&qpV^S1^M?0TNeIVV+!*wbe zWu&6S&*!lF=OaMiF_&~;m%QdbJ5JY(tp=(^Yidq^)|LHtKKG_~n02(urpPb$jmeJs zI*4x(Kp6q6|L&rGoyeCzIdL+3ovq6E11UT7-P=SZJCtI$T_zdvgq9PoW$d8)M!=Q0 z#u98ZoT7!^gv+`PUz>XAboc?rh696#r*2dnf+ttuEWd^+@wmRME*>?+S#Y?{N8CCL1nx2pArBncExRG!QIxno{gt5<~BbcZn^h6 z23Kfv(1{4HfDT?o{&M#C$F(xCf-_hz?N#2EI*Y{*z0%tm72#ONMh=2uHz6vp5NwwR z-zUm`%b%n#aYqSnRT^qo{X}BK-bqBoMVYK_hh>=EpLkfb>?)?mRx&T1X6yj7i!-rK zUjgJ{%r8P0l)biOO^V|Z8Z$+k^}%*2y7LF3NSTha!{DtnX!#mj=P100@Ci08@e+Kg zgu5||)yBf!O4JY5L&qw^Q#9iCQZ^1Uy0}i>5!YD38o}$RhpUY(AbNEq?izV{7c5!e zLeL?qW#`Yl)g~@p{yu{ow`|dRF&>u!Xgshx7%qFb-s&Ss)P185h5YjX{RA?*I=UgK0+vrwH+srN>V zQbh`H19#|>DP`2Ib>9Zv^n8EYkvz> z)2U8%j}C~`4QYSddAo%%^Xv3;%9hC{5Zt2W$yW5=Wn&{oklxMK#i8CeEwj8%%j+jG zBnZNm>7iXC9PGFwHX9{O9Tvo@OwYn9@T9QItkm_dcdKHeI1V^b%z=b~fE74@G z2~bRQo(xwd{331eVmnC;X8^o%S}8&WgCQH@6O5B~Kb^Hb88&tf%YVYwsaW`zskZ#Z z3;fGI^+P$fx#U%1<$M$Tmn{vZ38U&rHkxU^nEnn?3$l$_U1x0_jPybBlbh$ zTeaq|sC~Q)Tv0376GA0%89}cuEy5W#)EI}@>2dI2>I;T{U7s{3|91NathH##keF-D zltZS2)OltC)e0^A`fzyQRQ6o@x$k#ZD6`VVl;>Q|&eP=8n(<{}*j5s&IhnE)DDj4% zV2UbNYyMIDbP~Bijzlx{cwHBp6w{f>AI6dwDYuoTDQc(A#QmUMJ#Qp~rB8;SSqNl( z8#1i>7y+SGDu*Q>r-Z)5?t5-6ul=Www+0r(nsv_~(Pp;|lccv9vM<>;nCt!+&fz9P zHE=Fv9LrkB2*sK{x}&wC*Ti3RW(I2`x(S?Tv+h{)v}?!#_IKN@lbuF&|9arR=G{rW zLDGmQ^wggZjB;QcIeD&Pp7fBs z5Z8lZzk8&_FRTi+(*xY_Zu2eOjr?3B0aTL^D*k}u>G#fX@BsFGdSqLg!EUzw((1C< zP}{usXtaKb1Mx(6JxxRM@2xBvmNc(>)uDnIi(ZF;Uq4hkP<}b#L1c!Q|cA=!q^7FE-HP$^}N4*!%y0r^1$dBag ziXGm|vsypdI_S2F&zy6wL>_)hmVHBc$O3EQl3b~8ZHtwWT9_3}ZI?nxOvJk%nzT5z zCsV>Xo{z}6NxO<%C3)AE0t8yK^c!YlzW+`A$vwJ$Z$Z0SnJmj@jccy@nyBGb{L_lP zA^P6ZzFf*Ir`-9=eIJ$ZF28hg3~+0xp~ic~_y2O8+@vk?X)b?h?BTU;g))=!#ad zW94n^EYxe&13k}mid_-?U9}fW*@hekYPmC5X#dcYr)vIsg7Or_7-m?$VGq*2>(A}Z z#WkNxS;Ox`w5N_u4^pXKx(QYv8g70Xyoa{eO|Aq9#{xxFu>JGrXG9a5G&V^HzC`s>v$gY z&y(I@@$HiO>U=8xS53{{`W}m$P_Ut6^xI_ntq{IM3>fjv z4i~ZMw-vF+iWw4he{7i*p03ks8GWNESWL1XQhG=ZyrU2j2=m-nz6=%=h^{K5s`UhB zSGK-p{!#QhKe9=?eOh@@2ZoGMpNp<3JPM;Vn@EPVvzr`(d|F|SS>K;zla;8UbzvFtcgCL5J7x5wZm05&w@@!<{ z2QnY7Q?w!04)S07j6^c2D@whG_SKAm(vw)GwL-D0sJPbXxQfU)%Xegk8IwltZ%tqw zv1KtuarIi(O&g&yu5VaeWvCx3%;paHn=p;2UKjnj5qaE?$~>p&^77FE%(i1|wiB^9 z7ATy4;u#L$Vu@2GX+C7}%2{uG9~4*Qpkdu7Jg&HD%Y`UNl*$N%fkt__GS6)WGMf*D z8hBQ9Ykz|7{wL?Q#COVYDliJQ3w2hIeLWeLwR~)tV8Nhp)yUiFDnX0hfTVqI2Kf*6E&E0Nq^jcuTnLP}hAu{|BU_L@ruoEhY z+HyA=p~ZK=HxSXR1qMqp$Am&~8R5^Vx{pd%c` zgRRP~_T+m!;lrms1F{n8H;ip{mU#5AQ7ZMz8i5MV zU~Z$~1iMweGWpI-GfsJuepAhGEpmZSpM=j|>7ZZ0o?qUe*mB($w!Np#pH;&nzA2xR8c0gvsQyjQlsN=h#J=NKIMlp3G-l@ zrfJ#$hI31fNxKAQ%>m^flgylkLQkPy7H@Q)-{u_|3rYI#9xf^)b@2;*>neX*PYQtA zBeECz?j<~T``*wyXfFbm-42LWJP)UmSpfwQ#rrZ6JxX3#=>6jR&bK7fQq38Y*+FC+ z_G8L%w1^k`Ql-SRT+CddKDy<++&# zuNDAsR>_s8dCt)@9mtb%?;B_E;+P!+sSv4-ke~D=v&n=_@wwwIAf#ZLh3%=pP$@Vm zxhLyVk{Lm=^8ObMWY=9EL=U;qqUb$R=ZYtK_BubVv6`4I$e2>Ltlw8zSQU%Kx{4Q^ zS*Da4KUH;8j+`Qxj59QSP(|2q||P= za>a}>lv$oq9R&fDN8cwRzqMB^zShvihm5&=s`L#@h~xXfO=<+HR#JN>Qrm@ z@;B?WsJ0x{)o$!F*2Dm#Zn_c>U@CKYzC~4nAYg`ClgkVeEY{l5{Rb{lx;)4^19ptv z>eN3z4VL)Y(2PV9YRKepQ%e=(sUs&e#Qt~aVflEfs?%?dKQb}xpT*yGJyl=PnT*v~ zD-GBI3V1hPX}3w`H^0eDi?%irsK_5V87*eHQF-73wPCxiA2k26;^Dn%Ll*x^3Zc zmw@kPb(lwjHuGWO<83s{8Hm6$Bl!HX4Ic26FVnfI-@DR|99aq$yFz^uuglK&J6Q`Y z!k}B>D1{d7aV1CKa0<4^TpCz*4&C=Kw6sy2{Z}j`^Xfr*rsJLUPj2={K%67lEQ7yr z0EMMM=K2UUUPgas3`tjn~E4#vgT{K@;j5?%Y| zc_FN*f*@I6!!1~zTDN032iwbdwQD`H(1rcYzlU3e_6=(Ad#P;xDw>dn2aS(IbyJ5U zo=|uBlqf2{rcOBVDj?Jfkx*a+kaG>s2il$U(>_dQY7)Oy zg&JrLGU#t)BX?O)PXU7>^nbd6fWb4ni}S#pSDnWg+nO3l*lhUNMLZvnuGs0rEJS@L zk0&dQIDf*HnKh~^I9)+*fckBLNqLlhXp@EQs|DEE93(qb%qWe;Bh>5z7i}+e;Wd5N zS$PuPynh$5=1tjoV13v=4~$sS*>$S&g-jrYAn<~p6uk=h^TyyiqoK6-r%)&T7>e3* zCn}@_zftTE@djM zzIpPRI4k(B8X(!8HhHo&#@^?e6UFtg=;q{&Z3l?n{LKW~X&n{$Va=kE45W23M~eAe8K+ zdK_x=#a#Y|!Q7JxPRvwd8OFvyo#|v-t7Q7|1N@uye}Jv(BiVvO!g@PGyDnzG0XpB{ zk>U#Nmv2PhoFP=jWy#s_$?IvzeFdR|OfsY4u4<14&at75y$N%MSmN1w_M^_`k6n6q z0=gGJsq`h@@=z}2r{=3aypfVETSUJ`5(?%L6Kp;g`C^9RK#pCzMVR>!8+kB#Ii>i0 zX<9@FUH~ID<2Dxf6&3y{#I(|#=xlD#+i`>KLBkzb`1RAbyRH+}raLftXH_SPuc{x_ z(yFD2d|E1=i-MU=v6G9dj}4!dA2QIQ;pGp+;!m%Rd_BqJYi}JO*Jaa9g=7sv%1?H$ ze|9+Smm0h{NvgP+;=94%Rzl^tw6SfF)i9K&Vu>7jix;43<}jBuPC|T z3EN1$r{sy1Z;z+d+LqmjMNt^M)u9bk8nB>~eh-g6hyt>RA3Z6%I!_n}L!hG=7u31v zlzJ%Ie(#^oO`IBDWK@mLxvs;6`rT#CHP~V<(?1i*xDaTyntE5fzV^FFt+eHPmxW&} zxqbI{#*6Aik3c-%7waUba^3<=F(Bf;=-j`oa0P3InWH-j2Rks$=ulN42OnS`naS`G z%}^1=ug+{r)EfppvH4Pb@9Q%wf0Hn-P}hM{k!*pi@!_%{FKnFdE~QIAT0mr|p+mYm1PN)7E-wvAcYH{tQ$RovkPsNc0R{o- zX6PDf$anm{zx7-1A9JVHoO|Z3bN7Dsv!A^WoO{wXEfU2DAIF+njY-CQfVf}mwJ9tp zJJ3WMskPly{H|KWjdOWV{P8l{B$1qB-+7IZzi@=V=2$_zZfAMoS=O7G0&lh5@3ZS7 zZ*D1Nrx&c^7to5txbzJxl0vXp)d_6Cp!AMEY=BgtKB}#+z0FIw{Xg=4HSVwAs%cn$ z8y2)8?3@uzWnsLKlY_A+0TRf&8X~N^g5x=#U~Wm$$_tm%HIu0pL4Ycqe$qSBSItpG z>yvkJXXt+pM*sZ7e!D3SjN>839Nl$xLbP=oPTER3OYp_=Rq(59t4Y5Sa7Hd^uil)9 zDRH5&e{4m<0g|jTt`+-{4}Up;m}MR}%|+FR{Tki|BQs_|RVF|dyeflvJIJp)4N)MI zHyofLZQ{9MyN3TkRcO}{Jg^2TjoBOiNiOOPx!B;k!WkU*PX9LjQU^YU6rEr}^t*Pz z(Q&J^vP}5Jk2!oj@WnCBuH(bNAdCxek6d+V$++CDl=|*>edd4Qg&7~_m2Ca(v;P9( zJ?niJO#NW^>>P8=N$ET%9W=uO(3Mh3Guat_3k>KJK4tY!H-r!jek;*{ht-85ThuPh)@nWPcSk&6o%MXE&98sm4} zJ28w4gshT}wCAAMuc=7t_ao+CBX%6V+FGStM6#%_b8QMEM#7uNK4U@WHh41y533+u zaxe8xz4<+le#^8)P=6mHSeisl4iO2=;HEpzasdCK*BKPzrqq=XgxN0+r%rED(SNdDZ8I(tIFdDU;->^(IBp zV`+ze{lv((#%Lh;Z_TbL#$zj1?gnWO7V^-TyQ8DhMM<0DRY_14nGtK> z(vR~V^Y|!U2BAng_zQw&wuHXB{@0s;vjGUh7c51X;{Bg@lONVDrMc=)g3=9fQ@6pN z-Dt1|57w^R>)B$RJr!wAILR|8NouGRhNEfYf6vcJ%bF;2GyAG|f*719t#R-WV*hTU zd(bsk4O<#tyXuJj8i|&o3Kn>&l8t#cSCryn9f+k*zZMdmF*+6OdB^i2n`mf-bImH77!{uhO ztO+KQaj!8!|zqj-vE-D?shlw4b8vO2GmC{ixp^C4c4Z{EwUn;Yh4s09aq%GE?&v$gU32u!su*>-s5M)vC z^W>n~pZXxi|B+;e)IzTvuqR_y6V1_^_^#n*C!~Ypeyhx^1gGBUvYQ;m0RVHQwl~E0 zh(^ZCdfy#zB(=HQIl5{m{V_1Uwwm}6%@p&_oBJA`$`X&n3aiZ=JC|at^d}z{wJX%O z+amkysAz;Q$6#-<&WD{s9|2aB02I>o{5JK&`TnSA>5+uwcrnF!`$B`5MHBpnNycJc z)oQohk4;`eV&Ao!Oj{tDIVOfX!{=s%1kq6^$m6sIvZAI#DtfojH*>&{R~ReSSJkHN`s#5UQY-yN2$ z&E!&<^m^PqmTZZxljjyM+RMhY0{<-~i0!8pM38nUdki94yAz8Z8|b22`YRATmY6Nt z{KDEcn6`DiD(*v(L(F%}vET?&A^#iTK}g2$kDKLZ|Dem{`g_5*e9%|5I~vce#pIE& z?u>kG;P7XVLb~!2nYz7xhW)8?7@5jNm+XRk#&xP_^ zC{Pv*W_>HDRhf=-G+U~%5fsy}ci3$`qgj=W%&!#G;-*#fz?m9iS?K@KPyA6{Fs4~X z){jzk7_JkxNMsNY@$u}mv}w~#9ammYco!4w^tu=)P;OaJiq=jG^m~n?#|s?!QCkUB zl3cWkm7aR$%+SI`cg*=L6o|V_v92ya`AZhrdg?f?=KJ8?nX2kM?WFz?%zz92)oCDX z$N{IJ8xI7nNM%AoNVN3FYVJ*1LRwXKhMbQQmu)Xz#RW1u8Z2)+GAg`$O(Mr&L+Kep zqu&qbaTFd4BrX1QZ>HEr*qZg~J_j6x(S$19c;?Z^r;O4T0DKxl^+0SFQ{48|@w=fji!rh4vKaoEd9%u0~(Q_*pys&WmEL}EU@@r0Gnbte*K!Unb z@yWYhccLukhwYG@BEpnU^_bPD%x1>cq%y_lku%L;A^5b$ z_Il1mcC0uIUG95R7>g>2ElcD>Oyp-gif2!SLTb}&u&FZNaH`GoK99hE5s^Iwbm?1G z1n=FGgfRKz|CkyVsVdhqHoo$?@?n8c4ub`WK>Y{JM00R{*76RVUA#+EA)T^wucq;B zD*e{+DTTaO0PHpyIU$=keXCvY->US|UD;L)`ho}_XH)2NN*^P6$dkl?WVRDI(L!(u z+{}nwyF`en;qzlV!A^#5hsT=~juxB<^` zL21cz$zk78i*z5>g^0MKUrvjw7|gGxFK7xf7hqTJ-kqUD^F&a?;(i*+k) zjYBtqwNCKk8P90suD4kV$NPG=KaKC~>Jl*3bP~DlIQQ1`=~yJ-aUel8&`@lX)@Ex8 zukZbsY$j|9qcqhcJru{T$bJ|c94qxsf*0{BCsc=RT4*{~ZBr&Y^)bP=NRS*JI|IC8 zz3mJ?K!{Bv!w*Cn?%=65MfIX2`VIT?cldxY;(5%XUN{k)7i%tFt@Q#Q{w~@l)b}{w zDlEdAotYTKU8fXy@+D(Lol7TWj;Ta%Mx_NyflW zK+Y`@J9vda&<*$SxA&cH0}kyw^^r(9D=p~-z!$5Fj^ygw7r(W4>0Zk@pexvK2gJge zdHU8*Q~=JkW^sTYB(wX0vrN+asBE@s>@pX~Vz%!pSKwY!K{ornDwAxO{iEJ6Rd6UG z$S+g=*|YfB>s|OC-m_dZ^4{y|JT<_vQFnA}apKjJTt?>vp2Qmh=q$7GYQmeF5Oz=Z zP2*p&j&=v+H#oj6l`J)>q%jY~smD<{<>!D+l$+Yh1WB~Sk>-!j>cr%8YAwg|>j~*(Op2f==QzB<(CHNABYbfsN9EYNWr)+-PeZj^Ze|l~n~B0Xa8bE%@6~7D>eFw< z^Kcx^-Wfj1%fP^|oe++U@@ydO(Y5Y;={!gjIX_TLN|U(A0IHz6(to$9^o#cN3_zp*^k(SuJgE{? z_Z>0q;m>LAa5evUcBsYFtwx*@`=jQi=_lLX$LwU1Cb~ddNISQ*gy&2FI1cf91lLIJ z#qHfoPnzCBIm_FGvz1SW2*Y(L(Z{(g+i<;aGdi1abl3#le249$RMU9pS&>Ri=$LV5 zS2H5x!F*{?gR_%_7q|?5A+uPZ_UeFfqt_E$lf2|3V>HDG3d3;xYyIZI0=j{^B+uqW_J94?3e)?sf`QKnS6yS)(6Ry96i}P zj{`MT%(vr;1CMoI2OGW+&(m+;k*tq_x_p{H&v{yzevoXsYs@&hrGP$f4jQlUafEiA zOK8r45#wiH?u4ZBVWAn~&4@j~Ua;#jvC5ojg%c}-p1bSyf@at%nxf*5bBw6RgvA_0 zlYU|=90M@pur%GT>J(7?!GVGGBm56Y^G7`HOQomZ;LVkZF`~~Fj446m*!v6#duIul zM`16|e(({#3rnadllMTNu0H3x=Mh3}t84ic!x8~w==rgAxd7v7Zb{DST1fHRs6~cK zIyu3p&n@UpJ~B_528-~{Kux6E>*4cdV!~ph7*s(1(3F0;9rJ-4CKEI;?^nw}%wc;E z%1*k3<4A{{!2EUZm)U!)* zqULeaU&zedSOje=*Fbx?v&lopr&^(G7Hy8(MYT(>N3YPqsog(U%j2`WyQo8C#rHNA zK*h(~U+q3*{I+eppx5?3#3q2UPc}J&1SY})LL>k9$mVO;o%J>#84cxN)J8k#*xtJh zqEmsA50Hg);SBm?SBm*kR$ZZQ@UcqYt5xp$9!7q+po2k-hXE4y$993g?WrnfA>+2p zV^_6xlegNlbf|N6xbDJ(XqrPrmQ5RB8Zd{4e`O zAfz6;2Z3v`T`|6OZ=3^>YaR+#y-n{s9xE*dszK1v&MP+H&%WKm*uK?+UL!N4sNu`| zi!}0)X)6VFGWB@u{usD!`v~HPAbl^XcE$UPR`NSf0puFPS-(~Pt)TG}BKq#sJI_~v zeernkBKCmo*-8t0)Uxfcdkfr-$&7lub_yaWyA8&Juam>>8Pxo@7yqx#e&l-;P@77B zzSPE=uiLE%*XENF8NlxyhF^rhjtsGUH$7nQQ)XhC94Pk z1EidXF3*J$T_h{c!A*Bdy>zcWFN4}W#yYvordKwcFV|p$nkMJsq7b!Rm86%wu_~H^ z$`Z{!_6)EBFL!42*s7=Rd60Jn>?RNLnnZAWRLgk_mDrc2MkPT61N($nbE=04J}2ME z=46pRtjuNKOd>)aEPo+E!1J`o0b822F&~sWh7#hPseCqz^z>uTr^ky8p8iXB4d0I{ zA6JJ|%NRw~hZ+rkza=bEy z?Rb+}79^&0oT+r&vXpfH&Z{r*9kMg|T>QP-DP19rB|RIrv+5}$5Sn_AbgE8{l?!gp zEp*{ovR{=uFB*jaua564G)YU7KHxIHf2<1qk}8AkL90Dv7iZO!?BsWxVU}p{G2bg- zn=#qr>&Pqpyp;T&1N3e{Bg>>@KWA z!`5PNTuw_n{%PSE0+19aWT2WEr&Ab3oT0@*R19dI6Hq&6TB^q9Xe$q-DDh6%fv7*3 zXF5NzcxxBm6%a*dR!jUTpkzzPZ8IuGqTl)5zK-4rA97u&}R~FKSx_+2R{7HY14pXv&tB`LFmx`GCQjLCD1UyG3L#MetMXS9b!3|ua zDl!_o2O}kk<4(>&aQ75?!+s&`wHFW~m7K873>K;Nq^j262GI7@{fOF(a$b^UcG)1c z9dUFlT$V)HAYyW)RS$U}tsIE~PgEcLCn8-z55w>Y?%S~wCY$1+05gHx82w$MIgKq4 zcR|7egDi(I@I`uIn8lsAhlrxC#05VMEJ8(}<`w4bQ{Ymqyk4!wJwOx6E+(yuy@_VZ zc9l3G^0huGYg|#>>c{mLt3*>)Mb*~L>$)KW9k)mjf@0tSg;ai_X%2h!`@b&6>yOhj9cIZ{)03FiEeN>jIa&W3Q z2*;QK<-^v#Du0F$=Z<(OerNJkLJsXc*P<+1HY$d-vr zG|N26M@csfo%?0Sx!o$N^3mrg@9>RRQ8dTpO`XxI33zGb&g?;u{3T^ zK#pG*Sz<*sd9lzWx~53WF`GdG zZWsa!09?Z9=}q4Tr;XKm!(T zL~|VHtl|quI#;1)hkGp@Ox>TdJV08%YVgb^q?PF5%T~#UmGDTk{L^zz=~;usck5UX zp=bAQs2iT2sZ-0J<@Y7JKg8M2FYAb`&_UOxFX#9W5A|f|0h}_ zU1m@CrKpw~KtlKGEDP}UQmiMn=5^Cd*@Ewk|IBNKNJb`?QHh _n?y8Lo$NyWQOi zz?I!+=bf^h_3uSt(VbEm^c!wSgN=8;hHZ5_AVZH9dhd#_m8aA8@PU*J7D6)5A|l*l zX*3nP?S!ZC@Ti4p33+W@acwFN6dxi?~dkY^>MJfK@ za1)8y8=`pQi`3ylpg6bb3+b(inl9XiY3ttAUC83gmWSx7;v#)uP|T|EM8BEel1V_a zX=6;=0|VRo<3|E4Bc#S9dO_D77qTG& zr5!UGJjmYmQAhX6hEKH$64qt-*7~An*gT1(#QF4dY#Fh8z<5altbo1gQMLhH>uh{v zn5ao`Enk()ea=$fkTYTYOwDje-XC`YY5~Eb0wvy&GI^stD?>EZMnux#MJOn~7{t`Z zZgTvZ18FQ7qe8Zrb(gC-xg3^MvnF=VTFup; z8@mY2D;sJ9n9?tS)Z|0P>$uJ+zDrg|;a8@76CVvAyOyA6y zuqiM3sHfgn#{MskXDb>5maN`9=SeQ-gBwQSS|`AUs{0eQaO_k;%e++JF%15~6=_js zgl)`#GnpI+v2FwxQOvUx%c5o0XU#1y;HM}Hl%H4YG}U(Tq`X#P{(?!YXCJR2y7bR% z0R+1oeUc@$wSV6tUsV5w09qrW-gszQY7L`Q#aMPGx9iW-x;39C>YJr?CSBQ^2#vzj zfvj$oRS`|mz4Gj=XS8~iG5ZNucr;hW6UGkuXNe{lsy`^(yu84-$PFwop zHbIO0fxd+&g%TYb)GLrDzaNUr5DkN0VJTue2@D`;Grh&S&4BHw- zJDxg<4WbrH=EVid`Z(-1#)B^wL-NmB0mkoJX)tw#PDR^Am5l_W*Zh|LZIfxlH}Clf z>{RZ_&GpOvvbH@@6g&SjS8~-OI%?te&S72qxF_xumD6j4dKBxF?O%z#)H*i`PD z9Dh@Mwuq$`UqutkN;5%rOfEJxz+wSetQQ`O5Wkb6^158_z+V?h^AJz|Qx5vfJZmg8$Vr-n2F~ol`-x-%zFJVH z8&z0QV&8}7wQs0OV&H1y6{84SYEmVRXM3?sV_$5o^&gJ|G}2qn1c1}aN2lKvkN!jp zEInZRq!NCaxG($fpVC8hD-Mwoxf z)?alt_#&&ED>f1=mDrc-MdM&f-s+uk`gX8n@px&n#|%96m1b z5mmm=)tCDkB*+q%3{>?HZbX8WNpaTN+rSPK0Wp!f5|%uzgQWQI=MiHyb0g+taKZ0E zloB2aphqQ;6f|EYa|moh-ul-gPGkXY0K=75*Lvsoz#tdIEJBzY8pK>vCnU-&Ic}-d z;4m#cybtBVJD%vENep=dermtAt}*kZ>ez(}>_|~0sPSc8=PP39v)l$^tcrjcY+6 z_!Xo{E(-z|fwPT1N`{Tu{T?&Ge(zSv_3}OQE=pHaU!ZX#SySYPgXGq=k@`qUN8$kT zW93S7bXRurQk)6Yc%3-@!6rkAJ>EeZ&3`qa;vT}fpF|i!ha?JQ+@=i2#kk(7)flNT zZt>Xn`MKU;DMJ;=lXk(3-k8pE!2xjaVEfeaH07u7Ojkk)cR5}@sw?99V8!z@-BqMc zBLe90(BP-vf{NFF!V*KmN|~AN#LL(tXLl`l@FriBnv3$(4lYaDmN$ z^-jB|q8Tvyj2cv2AX3jrU9Qjp=kK8|Eh1W zXSHkNuSUK=<_Cg#9nUN-h!Iwx=0C5i;HZxi2Z_aRdO5AflVKrmRaIOMP3ULedJ1Q& z#&jif=2o7{Y_T1{J8hasSGc4GG&GCku?xru32Rwb(>F32YBpXtKJ007)?~Sd5KVPK zi~QQi`#o5F=dJguNKrky4v?5VUNNS$p8Voz6k1%g zSqG$ky1}PkPSEQKoN=#5v@{j&@QAMRkEgENS+Awu+v~7G&-`pwm5;Z|{+&(I3j&VSPUp22yn4cydz?1Wq=V6(ahwyO>3M!AXl_QKgcwR~>@)X~ zCo5z20ljk~-*ENK6k|Wng!mjVTv#%oDh)^UQ5Zpz+mRHr>$Ma1-jBGqjH2ZkBe=0N zDr%CtGCfn=M?>iY>jXvIT@w0o>Qg5pu7U?pWO%7jCZsx@97&JwCCqU5 zbLVCaD_s;-C9ZwLVaA!8yt2@;vvKlBg;C(;g9O?Az3Sr-Nm3xf)F#D3-`)fnT~#5e zBp+`L$}-mioug=GORqK#*gFmNf zeo8X}o5Fp)MJ)m(d%jFKhrg>HDvcvuHN{D!nedbx@6s2LT!c+J4<;VYzbjIUxYLPm z3|=C`tCju((NaGofFLXnQTqUf++Kpc!)iJ#$xsMemA$hS;ai$4AwO}V@{2Zsl`z)p z;W$0>h7;f-`$IV>EOIhwh&~+C$@{zRPsb$ZgW`)&_h-;!eIiI7dcLw0d{2RpyU{1^ zcTj!RfrsQWdsuS)q)p#p&MTc*WlC-55cDJ6Li`DfuQ)j*D z3d*xUdpTX}cHrG-(f4o5){DEC2gJTDfHoT>@q{Bm!42I*qd_Om1-@=HWf)lKH$(_x z)rKm?iR0PmUw|xIY-AbEYB=J>3Yy^)IK3%NaWUOCy%vf=2*qv_4|C4(_%3=fs5VT7yzQcy(o(({SoQ6HKQgAVs|AXyxcCz%+%RWX_L#e3^-LIj_a;RF%!isg@Z=>a$B z?%rtA|AS&&W(vRR2#CW(Y8U_inSNiqR!aKu+1^wT3ak)hKH%iyVRotGU!3GM*Vi-f ztw2zlt+87DDHsmX>!T+c6xwSF8KVSWJ+AD`tAwioa z*Cq2|GlVl8yHg-ZpX9uJhv+#AjqIAiJ>F%#D9t7L!4dm5Kk&tDiz%ttxL?kr88`A^ zD>+CM0-TQw6E|_Kxyq4kBLw>bNAybIIqEv zh_zDh8@E60ZOysA^&G9{0^c@yXMzmzcXiT_{^e;h?o9#{q!F~(O)o}oI5TAs&e{uC z6!1nKA-+t1_WW#eC<4E4U-WeGQ)nDQJ8m3s?W487U}aMJTA1}Vj-Dib*7@bk&b`4* zU5$c*1;>~9m~-(Na!!zkHb@GVX8e8KOVWkHvGwVr?-pQZ%^5l&b3DdxHAGo@CDfcU z2iI%TK7QL0s(jigvbc5bY_yb&+Q3>M$pOR_)$TB_em5T19}*B++JJ(Q;-%x<`s;u< z&1vtA?pr!T2AZiB>2=DKs=D%|Ts2X+a`)#YGEv1%J^s0l6nl(Jh@XMHdsX9(-aTlH z+S!h~P5=}4A9L5ilF^lT2Rfm3<2p)q7>$$!?c*)*jj|4)+L2FKRNF{;4M`vS2tI?I z7_%!FdX`8^2L0pbq=K)b?qR2r7QIC3!m!kp6!KPX zxvY!+YU>XeWxF-4-Kj{Q?2Zy6NDztLiM@86_&Tr^&X03Ynhzz7A`;b zM5{=xsp>)mxgGD+yh4Ra{<{YCd1{+`ciktu(j_JucDWX8=s5JB?qnh?zjdNc`dvUJ+tH>)YFgy6sxG^{D=bnAMUTIx>^x>Y>vajFY-|hy&%nKb64?VBgKjhyn=2f-*3a)*vWIP z%?|vzeDa)3Ryu8?>s^dF(qSw;SZCqJ@VG0>SL14|f0)B}we zzlq75sdUjrsb5fk9Ot`mBvrmh&L>0@g}~$XFt~DiO!HWZI3U&^Nt5HvW6n)eHo)cC zq?qWuK*KLLlCT{KY9#)XNQT#szj-qfM2zK9BKs@P9oU{?9cJM6wWpW~h;Ubgxn+;r zm{Js{4AlIm<(t`#Y`eKP%+v2^grvR`jEjcoolCp*BJDs|31K2F)5pf+NGdaVqvIO) z(B_ci8VAzmL52Mqk!t%w4Q6Z2P`=;>2!BZoumXAVemEu|%=z!9eP(?ACk5o%TO|#` z@DRlhs?wO1^c&I$A?=X-^AF@(@Vd#2;?SSB~3wInN`n{r8eNGsx>AzrXI%v0^9|!za)PJ z=*@nMm+`)|LInkyzBi`CuXh=4Mh+;h<4$hww10EFN|2m*D;4@|h++|a60Cie<)|}G zq2{XOjshbOua{9|VrM*yaqBlfVeo898()LL1WD`~L-GDv{%YUw8X6#w^yQ5h%PebQ~OI_Rb)#;m)vd07Dx#Roqxa9e~ z*Q7B#AJR`%`P0XSjt|XBCGTXSWgQtT!6d`c$}~9nw)BHuaU~nO;VeD*3>82~6+^|} zIaWRwVV?ePIa)M?p1#oZ)7|wGys{4*j?L$?g7<1r%Z4Ax&XK{fH^~rnDh!^her52c z#y`*SQhzO4&cWD}Iz>5eY{*N|54DAiOb zGl*he%Va(*b!skXqB7I@K!z4906hYe>|UGd`sI7#>G#%@r4`@m{r*me_|$ekZ0N+e zp*a%v$e}6vKPL<+Z|MpVEy}PWpJz$mU2OWD@9XARwkIE?{k)0~7A)_UsA8LYBF{rv zPt^XpRFJZk=<6BRgBbJ7LWqVyur5w`b!vLo)8Uj1hiwW9!LW3go7K7R>Q8wohuwbE z3nBHV;zZ(jH1XbeXIy7dq7cfe$Rr$h6PWjrZwN}G#vDo0AFc#VgT*b6^IBIkpDqe zZ<$|Og~@=WQx=t~Bx=a6%?g=(7MeZbk^xKG^rc^--)OPfuFd8Pt?O~j2#ApnuS8)SFd8aS9)70&emR4ZmBVKFo#j4u=umt zp=WdqHzW16hQ7&ck$&Gic^qYgF7fQ#3#lVxZfb=dT-@uwBz4~<>^@}Scd|`%o<*6& z#MkC;Y@SlgB}j#jV%73t5meCqrfkLM2Gwf(%>|@v2KZD2#Wk<+LSh2@jE5;>_KE88 zA}<$%ofm84OFpftRASOI8rb(HJ8eGNAP8QfR2P**aG<} z1GkDHd7cl-Apud(j$eLb8R`-kQtU1l)qYx+;p!D~-H9rVldeb_rC1YEZ}?2r`5#MB zF#w!Xs|n0)^HkF757OF?!LBN|&h$pOR~IvVJ!!Uu+^{6A+Ho+ua`$q|>@neiZ6e!( zq7^=G*KXDc3X~@D0$3R8l%-ivIAJj4#SovS$AM~w0ezU*yJH#w z>z2R4i$Fv2S1gR$R9N;xup~MR@^LOE49Fe$?kkn;S#PMCEwW93TJ0fp;%qXz9O_qd z&qFne{PA)fB9meb^A>x$nOC`vp190X3M`i=_z2*0&#p_481>dzPKIy0Cb zRuG=fNrchCi_(bwr~KdMj(MIb1PkrnaPOE{!PiqoyS2y;mGe+k#+guGuIvOo(4R}U zd26bmW6rleQkMAZzE06L-fHtSGhhntuzuG?^iTgbr;n11zkwYtL&Jy`oKoT41z++D zC=8a~q-?14RPAzZ^n-xn94l*9Q@(nZU5oU|YNNOT(O<{|B3ga?L0b0jCsAmsYinc+ z9#kMu7<+_|hsU{H3O&A_eL0ZOOu7alwMZQQ!}18xI;Pv}eE38T;?nr-^mY}*eahT1 zfT6|im1LuKjF+!;NoYj9CUu>su?2J4|GxDYjK$!ILkeea;xe7K4_`v7(0T#!s47Id ztT+4B7j)DrSMNEG2LAn^*J-vyX#ftO0_TwGDV&o!lx-Aut;E-wEwGPywvHP_dk=8B zd>_FBxlS)Z=mZE4G=efX-lLml{`|VHF`R8nfG$i=XPO!6%iH8EdM+F+|0i}f-6<;c z;^qx9quV6AfdHKv7$o;pJ~hn7_H*d}$4YPFH1XW-;Zx`8uDO8Abr^602Xz>5>7Yv) zM;cpH&^c$4fcL6uCdZ80D56wPf_srQ!^c0b7yt8g@l$Hg{>c8oq(7 zH#pHE1&Kxg-EbPtuT2uE%Z$Fl>v`?u7wo|$tH{}gvz)C!h)(fDBr-JSP%lWxVAN*9 z%2Xlg$wQuR)d%ftS3-0FAk__}V2DA+=!$UB;@tG!n5tavQ_-)R&((rqsBdTyr zZ28Guh4mEu<*5ZXtYO#E921D{;DEJ#yT-&qXA)h$JoNFp=|cNNeA|WA(LH&L?fKXp z{`0YAz%~1l?z7~mapVb39_w+xqNW&#DBLNm{O}I zVFF6bg+jBai7mkUCf-l_o;2Mr#`K50OV?5{|Kp)z0H?AgMpH|LQokzIH?H>3+_fpr`F`Le9pKFqg;b>3$7duYk^SVN~A99 z&)^q$8;cuwL8AH8Sv)L}A#py-Eyd@4S%LQO^lwjn`?t?O)9fR$#9!TEQkbfbE=OmQ zI&k=G01pG)bB=Ob-kfuALj9)kh!MD?T~T_4U6Be-kX>6Zh64w zgVu)Z$?rOGu`_Uij)8*D#hHd->0ACQ0VsVWU#gp7zW|h6La{04<@=NebX=@|vGPq& zW#Fqm5rP%1rf#{%73+Ly^#7$>fa5*=0{{+6tgQ_AXLiJ#lWmE~+vDsC=xygA;k~53 zG#~$$Zy~=ktQD{D@vi5hVmM&N<%tSs;yzT8E(@0CM%_Cn)0+Fz!sXEj4y59{V1{^_}$jpCr znJlqN*ov6E&GE3%Xwt#mnLokYq_0U_r58(BrN2czl8tTyWHo$!r@9w&Aen5T?KaCc z-Hpa4R;k^K&+WfMA}riip#Hon-74RfywA7ey=H(WQh+e7wn0f2v2`*HIhRDH9apr&)t?gcSCG~{Ehq+G>w&^l074-y z81mcKE>6!Ek<_6a#`iCs_1H1w$%5ASRC^re{d;JJ;7A@U3nL{J7bs&_K(hDo8ZW8< zH{CKB-u#xRG}c`P1K}$1x$4Kq$alDbU!5)Hfd1`%9;Bl9D_38OvEvv9tn`*VX${!` zLs@bwu3Y1xE%3J?9H=~Rz&=TsosbnZ965q3u@MH%quIpw-~QHPj>^vcKDW>(zJ=5O zWMMmI)xIV#oAvNE`wmXLipZ+{7M!(O_I-OO$!)H` zec#9kZHcFYcHHg#$Kr43(fY)^lv$<1tXY3sgB^ISv?DLeucB;GdO#-a>#zs?ouIxk zoupj`PnDdLEJFOgnw6h%r~+AaSJG|3*X$kkt~F=1NWNl&vf;h!IN2sHX-s+?>5RZCceHRtn~oN%}0t9U<4K zp3j^7`&9$Zp^7@Tk^fS!h5DQP%xw9lSf^lZ&lg}71LAR=Ze8Un9*?l*(0VZ zfYalni^NA)x#fsP+&4tT8bt-e<}|vY&cyVAZYRWU05;@>2f8CbN)vBCYz`%yQQg&0 z+v*xN7pSCp+G28UA8$H;u!xGH`)`}D3&Zb-RwjPF2)cZ;{N7z)hydDW*y>Z)NE!OE zkZI)kp$l95zy+&*kZ(DLe={Pp{gQ{-Kz^8p6D`ak|mh?84Wt- zKm1%Ff1eKV&u~Un!#|x~Kv}28R{wtzj+^7;ktj$-s&|z}4t42%QYDIrlefnx^(#AO zzSc0?V!OcHi_&dnn1*X5`{{fCo=>30XCakLP|r`rmClg?`T*t&XEOdlOh>EuH$wdV(od%&7_Nj8c}U`SlUp7VzDwGD zc&I5Lk)yLP`dPuxr(1j4a8N_S9ZV&mXg4<#Av*o)|5FICmp#FbYfZz0p=8A7zCo?p zjdz5R1A0M*VR4I^DIXe6=Bo*t8iHW#L%SW??J|q^6`S^**ihhWfzbF21sV!aeneKu z`jq66BteM{7)V!LZ#ic}6x~aXcfHSy8$A7(zDH=Q{hh{Jd+?kP*d39G?{1H8u{gkj zF1;ZeWA^zj_ucQNl-u;8`b}dwzqEbqCcXvt;BHi63 zB@)tIM*->X25E-w29*>Ll$379p&N$$j=%4@_db_D&YXu6v**O#@4Mc$)(+i7k`J9) z2D$0N)C`qwU1s9Jy13Q#0U2TS0}D-J=5xZa{aSCX7{l#FGQwbSZ)a@d-|9XBgHq32 zsCFuOiRet8T3a=VW`!9pzX%4bu>>iu*%&r?hJRZ$$@OAM&q3}*F?OVOIQ^WKu{UFpW4(OwvO{`3eh%ZnMOZ-AqutGeH{ z`Vo4wAXN}M!ZF3&NpY$+Oh6U>I`-)bJ&me1SZqRfF5*LC?`UGkRPx@q970#<4O0H0 zDk=|IEGr(6t`Aa9gpACsubVTS@3l@tUXARU-QRFF5BOAv1>L7DfIR;lDCM1ep8VXg zaHa6mI`dv+o38Y;1^L_|OwnSnT@Lv{_e7N$7z@$0^r5IB;9jVWDu4A)S$%!~WT~Aw zGlJXGM9n#d_gW3aC3;sCK6KxgR||#HF#lSuf$e&ijry*yhqQ|I9i7BVagu&f6_aadeI8k#L1 zQak-Q^^2dKxV`~`q2I5M^O*GGXrdoO9}jPy?wb1{mZ{p|xoM})N1rFuA!~b|}hN8I-6MKF6M+ zFXF8@(k_-bUbM9|zY9~$$%FqUL@tMfTP{@yt zS+GY-Xs)Q~@xI9Z=HjJW>2+bqv`DJ4Cg7&CR^?!6&DI4{P}5N`$q_FNAAp%p@xz^e zI|F#Z5fC0kD@Ek1Vu2Yb<5j$pcMut6to0s=c11X5V!^(iYYQ@o^VpgCHW*|Ob!Owq z#dy0uq;cw5FXITP!266=|0?y;to_A6i?t(0^?&(>2pqXr3!PB^F>L+h|6wv7$Va`h z&=-tn7Q>H8RdbwLbt-^g>Tu?StBfah332V%k8Zj2Z68`ECWmY~acR8*ABiY?S9V;& zD;||1kH;sPfq5H((Jq$(9!Qzvo%l1Ko{N}A;*8==T@3T5GeZf7`2nNQ)=NeBeCCLV zkef?OytGUYo^V-H50kxqzQohG8q_y=h-csDW#LHLr-flZF!s5yApzE zs>wW>+4*Vrep~m22oN=&r|7*TatJesF&5IDcJFG6X4x_sB*kW|R@m0a#6G${E-Gk5 zyQ_=)tZ?Bv8~{nY?f4}0tIx~b1s^G?j>V2;{tjr>{#5h4NK{V>BtSqd>6KSa+)aqX zt53Mu5Vk~`nG=9<`4H7XEf=gpG5kkIK#)AEaJFMP)=Z?nlK;EhWwM_*(cPU&c~XQ! zmeSQ$`Yvn5=Oa&WMe-*r-`H`cO`fq3B{u%{*o27aMiP%k`Ljpw`>W4EV!OlxD9`2xl4P$xz2+MLgHod?qSR z`-BgYxJXfUlVd}X8{cAmuJX&DXI#I$(Tg@*rwRk+r2@>XHAIDdd@An{V&u3k5iA9v zoGipWDtZUO;`Ngxrv}_|=$2{2J~4;K5M9MTiVWs<6IYIbka2G&Sgg-Fh&37iRpSKksSU!?r9q#TX;%3NYV^{Vi*YQg{`c`G*;Gt?iwaGuTu(obKaA3euX z?dTc%6}2MDXPpP)Nc}j%qBN-aij%r*QuFyIcO^3g0*cmn>0jDfPm76bkcSXYK%a&> z>=j~)7Jkd9E3^W(8{uNyCRB+hW#mjDYcDr4!hpVtK2b5`8o7ZA@fU=DhfaAj!@iyz z=MOJHm8dowbL5&w5uD)o*e6m-KtS3cp=m+Xi%&*4r`F0y(QLXxDk}i{{sq?`TT@7B)bNs6Cs(x8$n$}n7eMoXSCMOtF>v=+Ak>NE~F=6BO2-? zgRofLb%y}GIoWVi#*l0L8q;BeFo6cOIt!&%&Ba~+;`M_qPW8&rOb+K}Lc`wjD01+r z(fmNU*}WODl=tB;jD-e5^Gf+acHAJ>erO*zK=qIG|SbnCYz5gAqly%f{@8)`*I4X$Iv??QoFeMnWX*#li4iLccDE-(v~*nEuV>LG%k1;rM+u4HYB$6i2$FOxXK@7;PfE}$R-+=EU)iPqFGaVw`CVuoQ&YL*P zA_B_X34y`q6$`Kf0&e|ZHWJq#0E@Y|zgA4PcYZO4bmjJ#_bpDFLRO-l6M!Z3u3Zvj znK`wxma*x@?+N^+;jTe-;7Z&RI3q#ei?Ql@bl=)mflm$r6lKSe!n5z5%YFkj6bh{O z2iWyvGJ7NhY9$h%+H+7rB?TXB+zFB^_hFsr!^U$c-H=GShA_XnCb#|p{Bco<~5g;s-s~{|d8!Eyddd2#VqIP>D7O*R)?k-r*B+Ojje=W?8 zyTvRskdrSZO!!tS@D-C`JXFP*%TzIPgejN-Y2XZ zLa!Y-DZ58FnQl*y#7eWrf_$#0yg zlvfivK1k4%cj@t(FYUtiNlpj9%3GiC_{m%`7ta3Fe2(Cf%2TT@CY^p*NH2%T;N+FL zG#FJmP#PBn&!Ex3i-v;<>%^ZrDSw-0i zJ7*WT4kr?D=XXBWDKh$0KF&yP_U8VM92|FfdxBkB=**WxtWTDJnj==~{)YZ@z0OxIo504 zi~4`#TyMx7j7?vzwseBfx=$S%*6eZLnx`!JT51NP{tq5OEjW#G+Q1_!`RcP5e}ZhmgJO;ufCK zIH%CwFZ?qQIVr1F#7*{C-6lBn)E?7lxh6+`Qc~X6Wjn1mS7o+$IIPZqvNq)vNXH3= zewkNcy>Hmh8%6&j{zl`Ek|S5D8QGMHs{-dp6WQmoBB2f zP#+}|mC?5ZRa^^n+Uv;W9!LBmVvo~z+o6L9)rX7JZ)*qW!T<;~;F2A_n^gUz{WqChk-t8qbP{)n^g7V?Tbd(Vr)j*jUL$yXk(l{M)kT zWlh*7jtgor?&?c&=O>DAaZju+Z;C`f`A3+6H9i4a0p5CMw)waY=u#9IJl=5_)#0o^ z>p36x+1@E3Z*oX3qaA^F8XIdQaRAOhh9ex^1-?Tyd|{d=!j*>ouooMRlH0kdsZjDO z;MdsCTac3DHQEprm^RAm88OTq0%5&R>x%PNn%`5y3h==2Rgg-QZ7%m@{0TtrY_~i{ zR1e7u1fZj*b0b#2hZ$*0Wji+iE*W3s8{Oy}oRLJqr~Pau3h>@?>O0ew3MExR&-jct z(LtI7iv&2DLel*Rta|^}nHko8B7me??clA^lSS85vCTJzInUu0MB~F^cOP@vEJJ3o z*mt4A2eIHBtmF-Y&ck7;z^ye@!X5Dp^J|&iT*DLFZ~{2;=@v~O^b(7Rg4IEF4 zpeCqOW%=!Pmpl&r4`%-1O{eE>dowy%?l~iz7lguPTaA35UPh>lMfZ10fx{+($ZY3r z01piJ%i1}=&`-K|)ScW3K|7_!&o6N^(#&0X!yxLZB$1f`6d9R z`0UfPT6>5~3j?Nip+P{H3rzqAH4`Py^Vdif&ut<~h(Sq|Z4-gx?=y6r+v54pli#IUgF%%x2q{iE^?6zh=isccE z3}T55YHUr{kP_r-zT6G)e`uFHV_*|ZL-cKg>r5j4Wv4Ew*w(n5Jl;|`UkCvKb#cc0 z=3QZ%aO7|SX}#$0;;!H`I@b?Uj2o-wKoCj5C5a{-2O)*F-6LB#>{sBlL`X z<4i4>LRIH|wm%Pi$!d^YsypM_WbNM-dZ)FtNp%}o1E4b}dlGLkvkM@pFK`Y!N6O~fW8m!56#u@qvZ6jk(h>a%0sDj21s3z8Wam8xX#4csR&q90Cg|B;?ZXnAK3aJQ(R7PNn_1S5qm!U3(oleboQ_(Zyj}P_tFN7ayNvtC_O1mH$qD2ya#HgT&|W zg-$b*&-QW5bQ@M9(OYDAD(wfLAEbz*2VQy3Bg)tQ;&fpV*1Bn|h|SdfUVFc{9{A0$ zc;=^C$mQe&ePuPS@laotQ6^6rz*nn{lvA^vJ2GWyK)S8dM(3#%=YgiZu8N*kx;=k? z{3X0jkYvNi%L+;SweaBpBxVx_W~YBln&xfKv1afj{s)49Vu^4ZC11rBaG0YTUB@Oy z+laLa+MAllfl7Q@Og+AFGTK)My9k{R(p1^5+7Ee8NAEKInLLMqzk54C(1NhNX8(`~ z!T8#gD)-dSai&1CpE+5^o<^iFcQy-@_B5KkJ?7OOQ2Wi5l(VIry)PYtByd3CAjU(1mNf2DkUv?X_$vvK0fDIAcLPS%7sJ*DBjnx--D>=mL}O07Z&W>l*em47aUMy zM7i)E*U3S!NE9c*DFefeAAWWNRr;W#`)tT^N^kCx4F0UCrA=9=|)obb+ zZe%fw)_XxZ6T{YkA`)%gokTOr`aB7wr&W*+(f$mbp?xCE`XRI8#g^8H7(jaz2r&I} z=P?Y|SP{uDk7Lg5l}#wAEL;^nQn0I+(EOl`v)}W{XOjm~R5kI4G9o6wWw7xS(y?HX zww*)X&TTwKOXm)bMS&To?=I?Y#ixm_QiJGyv3B4I zHCC)G>e6O(+i|C`8}PA_P9JMPVeBe}Z1hw*-D~6Hf!bdLii-7l-<2%?x zr?zpCTe;%d_|KG=H%Dr$LpMj`8KSsYqvl=aT93;W?n}Wp%kyj0^?H#8-hqAl8eJvO z7&!a2dd2dy*4H3`3QfDYmPzd^9E@_TRc5|xo?ApXn7KT0WsCE#7X>zj`+XM(bE0#Z zhj<;ksF6|sd~W>BBcte;YuAb>^BJ26vSu@)& zWqIhGh;cU=OrpX(+E}Yg4Xd`YH}CQ`mQ|l(Fw?(YuORJO9lSsiVnfr2zfkRViN6Va zc!d24sgpMg5d*iueSj6r3ET;L7}}{5J@BznWuHFYKZJwiHqlf`f~WiO{NWk)oav@? zoJ0Q=&WTBa){$hLtog&=3@=Vu&BG0nWx%W86z0ieiv^18xKby`cdpt<#%U?^hAw3{4M|OU16nb5yyjm*@4MV>!7Ld>ufxWZD^a|&VFd-E#^Ps+9IHQPl_MU zq@u$Zax5oN{u_TqqcWjuj*|=38kmcLo144grtoMRHWQSC`)oM(ipTf%X!}%tG*}zO z%PyYr)^U2cP{p|uhaNq=@kiLM#y0>Av)7O@!w-7CvFq14mzS?e-bh=~eQYd6zOOES zQS6w%&Gddr*3tkMccDFuZZY}sm^w{eV`-C(v~Tv?BC|4g1N2Sh3{Qy_D{|$kj0jP7 z@?+hA+dPRVsjm6BCwwacinFuPn!O>K8ZlC|*(k6HA``wpL%1kHlUCadZ`N@pAl%sHE zLKu5e*xWCnD?@@Q{P)Ep#tMD#;)z_D5^aIt-1fPMMdI@0HsRKT(iNexyEG!;WutRIJ2Wp#&`aB#Qu}O;XLxtspK@~e%e$USjGUBLKXsmv zZW({WCI_ci*{%7H3$ewWlCEFWb;kNnVNk+qmadzsknGw0sz#+{$Rka1cg7`%$ddLu zg7Wq{h7}pB$TG0*gDY!dN9H}&^*7woXyqU3GbHctA=FhKTYY&tV?n)*RHDG2rA z>szim)G64simvs2mHksB`8mAl7XtqTOVo{+V5?N6{FIF0#Z_FX%7dQ2ASR?6C6zO* z`CK#Uihb%j|8Tl$enK;nt&N0{*d^(no2sw!TOq|0vN8kgBsruTT&LcrGlpB0+_dJ) z+2;u9h|d68ZGhVo1e^c&)U8T)1(@ove!du^xv9_}o{~j^NmXsL5}4Pi?n=q`+wyNO zE2`LAlWT)g0t62i#K-i7sJ`ic)~e&y|7np(1ae=DjElJSL2tEf`wy`_Ce_uhWog}) z`^`_qJ$s2CdQ$GNz7cN6pM3QXeOhn(Xm*{vIX%JF>=7QKE+ig68zB~VJLN(^5r1@l zLK?R@S*fHvTUH#mDU8|)F=#Nk(MdNGK%xTjcKw#0?b@xjj(DVP#xagM%L{jE81FnO zykV5`qJa$k>iQj+`a)#Vy<}LgT@@_a*1QPN5)~e06RzB%LJ1#EARH&R4>`v8)mM>v zP9HV*VkW6MM6XqS=W*GGXP8W8ppyGkEx2=wC}F5DGb4TnCs#SOgm_p~3XJ3V^-s1%f7t zGON%iO>^@!zrmBJfeILP9HrQZ=+bi+aQ~k8*H>lr$b@{P{~kEXjDt03>H85v$85K% zPuxJEx%{TvU@0H*;Req%2jQb4YXbOvs=_TU6Og@47@uJl0xaZ7yq zi(zBo(n>9K-}egKxK4W#OzA-y)BBBXv+b{E>6}k+ofyqP1o9H}?6aqivGz(;0dEnf zx7rWm8YXyA3a68As~kPR>y14shF#qnAW%$PTTOfV7q`pC^fZlmYs=jsqONrx|6g0X zTQlktwRddi8{YbiiVB$R-3rv$VWmFldOCp`Vc$V)=qGE0u_*6ozuBx;{x zT}|`=ixLkJ=*l}iZDc7O!h@;OGN2|mKZl<&7v6BX?wpV>;^AY(&)wui&sHi@C!B-k zViIVuy>xUTBpOux{{s4ebRHoj!sjIn_ipKmLP%6}^MpwQDRXmB=wRkVn2aZ*>ukJq z1bkA2cQA#lA?!fi<5ha35E4CGauu|E^u{GuGH#A+5GH8oS3gK5m7nqlN;pzH`*kU& zM$po3jJ+nh>r48q5E3WwbP{bM@)|%2m%ongvVHF0vVvf6eFuSvQu;~TQeuNPr#C2y zwHgh%B4sq*-qrO=vhNz8amiz_J|x===dPUd^@gkVewacqPkv>EP&3^KnV&iS3JqBA zrOKQCeqb#umM{(q>Q!{c5Tv2nNK7-fR!I-#P#0cIZkilTVW3gaKspY&_Nti>-ghsl zJ!h+;=xU(yMV&Z!9@7-fIK+y#TWeVOtg3JC2XxGqz&TSf;M#vAM}*U$ue~23_?&dW zSZ@8!AIulr9{G<}#G+bNO!I4)U*~geUC{fy916ZwYo&b@N!tG}7jRSv=uvCP;4edV z7=GwN`#}c$cQ_+>c#ZmrXzuP^k9@J*ZJxX0VW0lbmz#o_d_fZsSUF(z{dXF_r{HNclsstnIVqxT zDo64M0`;5S(Zss=!Enn9Rw9R#x3$1Wii`1A4^%zKp?mfBhIB5>dQ@V%^>cy+Hpb?4;$l0Gd z($`wFed%6$=}5G9#m<|YA$W7IWbbf(n>y_qzBqkm-X`yc}uaGb2K*&U8R;fEj$!@ zow^LiIlRPXfVGR|rmw4uT%mgj57@XqYfBN2hEw7Dl@RWVwesT@V2qZ}x*B^n{IR*Z zjPGmFF+yzM&OS>D=ymESSF5aK4)d-VDTGV8?y7-_Dy7H;MKzN9>!x2-D-^!7;^U^zo| zE;yPSPjPQ$942J*1kr$Q@X8ez48yJKHT9~G=3;As55q-<;8l0HRgs^a_;&iX*D2rw zHI?9WEx9?EdhuTTy9pI!5r~(g5BM-*jKx;V+8p_l?xp~vt3jm6+FQ)Uwm_`~euFA= zAu1Ht+X8uL=nC)v^{fU~0UwF*?td;|J4QLiJ&D5d^d&;pY{d~J1N&^gPwcjccG>>` z)eLXB@El?UP!P|hf|L>l${~^=#Yf6IOWvP;hk*t|aOORnM=oo|jkADU zVWhxMs8G3;s38gvzv@!ab$Jj1Bl@l&XQl9pz~i2^fT&_gU8{wG_Ar-~s;TQwpeF`> ziQz$aF8Hlu6feI%frtH|5l6L`YX&evHFYC%L>j5N_6zZCywU$xx%1@4kT=fHa0-OMGgHx3A9tvRkB46BwI%yv$tG( z@fjLVEiHn88gt@!Bf1;rcCPdhd*B{ZwDFKQHyjm8vGKXD{6WPRRu3@T-x?**>Zz58 zjjZ#cf`ZP)Yh>n{MGm}so{|HWBNK(mqnV80N_V1l-$bhv?^oUz=P$t8wm8Dzb5ixU z1oLDFyT(cdp2!*Esis8aax;L{=AGBRWsiphm6xlOS8SuM;Ku8)S%Bq;gJd<=y_~5rvGbGJPkf)C5q30N zb}0QxKBNXWP#qDo-Dkb5Bv?2A?+g%~i8#c(DQo zo*U8~5w&+={vmg4LxsT_2!260I}{A;kInytC`x{GjF_&d&@$pPs3Ntj54kxl6Xd8` zNS8EyB;CGkrr6G9+uO?F8&P@GE}!Ww73(rf6z!Y6JD>3^XkG8p8RZoxM7#PF^T>aR zHjww#;xT&#jZlDK{6t+(iF(?=qeK$;{a=yKxsuU~cxaAhz@bk>Raq0X0m@ux-8OhK z;SvOiF9za9j&)5l(G9N>F`HlS_AB2W^AlRW1apn#pk1_wqQV6#@w&zgy_r4?#7l(w z%-XQFR|AF`pYx3KV5P1N95hk2I%di7hnjoD@n&aei6$n zNLEfJ@^x%34@uE3S_L^lO0684DmSpFa5U{!hwtLSq<_f%wOh(ep*eQ~uOGHY2sPIy z)Z29Dka|^=m;B)?4Qf#qz9nsNhaa&m{_cl&fje5x6Q2FB7^nzfG5P4`owA+eDo7C? zW1~PHt=lW`vB=7;Tr%o5E7b2eZ>NgiHj&#lH@XT$!xt=P{x^*P@o7{fd|*hzbgO75c!shUaIIW9GOBeDbZlrD)$y@NXxs6nkG6(@u_B$5J5S(>)XiO} z<2B=kJAfrMULxLxh1i|xibvhFrF=76uW3Mfm6|u|r zEsQlVJ>UYBAuz}9rLRES)kvI8v|xkzFW-z>Gu0kHcMzdRqQBl1q%{Nch!?#cM+c)b z3uOO)N|CN{??UgtoOdwlBL%S3%bH0E8&3&cy)Mj>#d&ya24)a8lPCGOs}zri5qBY8 znqAy~lP8@F)3gN}Os*Z6PDcyWm3m9EVBSyT4n<_m&yvw$SL>rJ4S!dye!4Rjisst0 zn#Ixjy~EsJk0`^dRpz4(k7zr8D)K6(A_E%eLj*?qjGSTBifugpqX2&S<)OsmK)!l` z$+4tQW(eX=x!h4CrM!l2xMf_Xb`W-<(-NRik)W)k)}A<$MvV$#rA+)6^-onewljha zcn0GS-fdsV+`u+_;&Ml|J=7?Q;He-Vu`EtjT`Ua{gy6)E~UuGnAZyl3L z`j&`ldmJky8Xo~XL%C4r;{GCPU+QwrLFOE}drgPTj7!HLANuW5T{K%wwj#3v;bBG) zi%^HJ{>1}Tof3>fqr#unbz_=y!tc#%*k}xI7MleQch9zHOt%Pbdb>s>>Q^>wo7o9^1ih=Q}&DBDas_Gxz6 zaQOn!#u#2QMi`(P+qk8Q#H|(0e-x~Sn6&IGt`tf-i#_mHIr_T&*V;e+FHJaZN#Z>= z+^p=)3z7SbdlWZc+(;N3U;VII{@!J~H~B8&G6v7M_$qc5quY6SZVX2^_I)$Pw}GA` z_rJKj6t8f;dsFe15Q$fn^=6_j&@?dLuvitFH8opAysBIk5%ZC96dd0TcK@}LWzHyN`_u+#({zR&oMz5Ia1Mw4o1I$au z8$4HbJWerg*O*-fd!Yj_I41 zA2y2y-s)*Yx~#$@by_IYx3TBSvFV{;gJ!?ZkM~Yu^@}3QUeZU$HURd&LfW0;~+c(ZSw1|9#<4s9zOiX&6)a#+cEPGVvdzaHhdvgaBAR86@1)KRg zawjBTf|gSkEQ?YkUk-M#pV=?htpG%7kC`Q(qX(AuCfIL^%&O%A`BNobDSC$UiO6y^ z_xWF7ExPpCD})>70rIE&B?sG1hfEyV`vb_&BDZHUj38f zwiE&<7YAH!ON9#u&XrE{o6%qj-+d_t_JlxhzQj2CoLqF6()al^7pwxaqChkle}A|> zW=EmX+Qi1g`@)3;nhPH8q7IRX(oj5<_ArjYz$5=;XAFf|K=LShTl^}SLR*(zRkZee z*daV9zSvyN4*L6rIjP!IAnTzy{WvOazU?nj=31s48-;wtknIHY_N(Ld^V4$XJ3*sO z;VAfGM3g5ay6b9QW?*mf_|LSn7Uf(04g8_DsDO{+$?{7Uv3j;Pvl&3ZVFlCE%&JT- zqgoVS{(+QH;CTzlUe^b~l3&95g$-5aIy8OlP&Z_dtP_w|!S*WiY*dgt-iGJ;@BX-w z-D|RT8OaVcyN1K+uyC?1QXQr{k+QjrBr^jARh0wt+hp- zjWgcLHaqC+mQ&Bs?KX;`Xy?X;_ScOopzu7!Oaq*tQ~=7!Le#l@^7V2)MTzt8`ZwWQ z@OVS#$fx|n#FfKpasy;efwt4fPlDfTh3dRhU=(*~3)19~_VKJ0Mj?R^+UPp+<%dgd z$*=)l)hR90q$OPS!rN0K*7@ez;}ZEUE7E!GD6S6>O-l9pKU$r=5_Ck-g8y7`*FE)L zQGEeYNGeNB$ns~(#k=CHIn`E`_Jud!584`zn1dkBkwJez4tvd@@1FfamsIKRxc~yp z$M~M*@X6K+KJ~PR6(!o_@zv5IsH2@QJ3iq%b}<(|$ODyrpD#w>MI2qO{O@Cl{%{-K zE$@`lqJZBrm|I;OM4*$Fbpq} zapYupAUk~=nnMIPZW~<&1ooLsfxgJVlPJ}3aB6&wqXDD2WxqNX(>6;@#jRYb>50#4 zg;dW5=a^I3X!igUr)qUf{y-`fwAPng)&1F!uHKL=9)qfpwt0w|)pN1UzMS^jH3@(r z*Oe`048g;OXy-h$U}L-?>NcFzDs%olH%n4tTL69%?HQjp3*Ha~f?%MmxpCj&5j9^I z)pknq4E_z#{k`$bLHM5aD+**=2m6=3P&)o`$zst-(NK5%i(6CWgIYFz;#x)-ZaH1!7!}Q`BIG-YT>6BVG?yZe2ec?b?rmt%_jE)7jrr#y)a6a)zvXrJaHICn9O6Ymf&H#@k~*)q9pK&A-62a zpu#j71@&OmnYmt1QA=%#R=3bN^YxGS85Tc;|756%nKz`JcNP;lGqxgMImPC%|w_^L53Koi32*e;<>b&#V?*) zh8v44ESr*g7plbj==O=kst^L!^^j>zE73Hzpib$4RQth0e)AH(ICz|KKq{LS3+Q;o zP#SiE71X&2jvhgeNv!Y}*!MCjjbF6OB(s_KUse+ffgTP} z+TmX0i%&G25WNm6n&wkKY9z>Hoep#5cA_^bCc&&z>htidaPMjh#KLQ*KMiwz5fn}D zRAxi=>RnkkU%e$IKK{=K>ctJ)$S^MrymuA-`j_8i{MBtECYa$Eiu>WQ2P!HPYesCW z6RL{c02U*i*HC!HpEb^ijv0L&oR{XbsWOZC%`NpMA?RoJ8~MM$*_M-h1jX}HU|+5C_#hQ_ zqAwLjyZrbbjkvzp+p`;pqbKK8C;Cl{XZMQ=WfG%hI_&UuGM<(8D8mLq$&?vvAd)8l z2Eq@I$@Giz=pBrK+un;(TZ{VEgNvV*b>f?%?_~4vZ}jbSRZGJ8XS#Z#vTB0!TorJk zWWF-?FuP?STLZF<5XhYlk=RBYF>WimA8moGw$nr@CTmGqpPs7Z069jj^W#wc=s!X` z3SC6n=0mJM41D$JWmxh04^I7O$|jG64W zoS4Ea0b^*!3=;cdz&;@|-|}RDOPOUyeDFS3G$-z8+)5>%PW;jc38DgD`Z0{BgAhJ! zJ2GKVEJjI`_up=hJg9UZcTk!LJni6b6<6KILzE4(8q7$RIk2fhth)8o90ArxrQM$Q zd>dtH$)EA}MsOn^&T3_>{(AxQol*^AQ5f|S=@^%|f3vA8yvsV%x=e(SGonRea<1F_ z;4q$^A-f&KiZn|vl{T~kt<#HKIMn^*3={Dl*eh;}iw~Q472MU;Y1LrusLYTA(n9|7}DSsExtDTMt zLjF(_K_JHTqcVbXATW(?o(@x6l(@d8Z31uV7b2koca_vNpRp7OyXD{&)N-<8Y#^{9 z+Fq|mKb7IjyUD~(R7kWuZ0w(YU-Ag5otXE?sHDz+*y$0KlX79AHMNc|s0C2R zH;qXuibt3C**zMuD~im}PIWT$B=_Snr;tIZGBkoR8D@uN7S2sY@$v189nOgvQdY%S z-8*)((tLH2^p$+w7^YhkJTFpC_n5a87rU#pmq(P!&?eG7qvdh)yhr4jqE72LM$D7W zx25sTB)AjtZW%2~HP8C-9=IY?^MD+8b-Z_v#o%nMMGw>c?D1^S`}=*FWJUQVy4e`y zWC=q!RA;q zIZexAWrya<=VKl-R#ujsz@ODJCOm%x11RWfO}U} zUD$v}LWxd3AA_4SYKQp+wVXo(@uMy6SpymCqjV(4oOEX1R{#+nZ|wu<>vw_AY(!Rp zkDrYuGA(|*@F883mi|cfnD`6yXh}sMl+{;r*K5`34c8Y4%=ODOSP|8A!+YTr zB<880;)@Q=(dNjsm#em4{Jb9}W;KIHC4=J6J7lNsjnV)o$B=x54h4YwPJJ^#?>lL% znI@SP=8yMX0eWc}L5`gn4?399{y(dhg_57V??a!@)Z!fv&`Hm1R%s|R;VEsgXq=Ci>{@v0S)u*)tJYuuYo4#OZi{6~3f-J`SUhQiwu?#p~%M(&6= z5iVUemYNT8q=j)*Q{PaN-A@%CSo6B&$vh;3+zf(%c!VdVzEwMuVh4-#`DqME0HY>k zO;3;2ORn}WFPZ08YK4&V;%ApZRoiZ7{#DX*ym~g;dLuZ6s5bfwP8q+&O8FhIn`Cp( zCIR`Wc-WX=7G$m@^C|kxIl4#(Ys@AATl!FGW=xekG|`xE7hp z*kExsiW;zI28+J)3X)sIF-xe6h!!Ur`D5XU>0!jW_!PnO7NC){BK zh-rIooZ`#JR%=7b;mH>K)gJ#tbt zThr58*=32-E$-rgI8P$X@tIfgXWtJI1+OS>aMcWkoM(}@F^?RlNXqWwj;@U^uWDW; zvyeMn=xt#xV+CM#3#7?7lF`LGuH@Sq1D|ZwRs-U8}7b8wb;&Myyn&c_3C^)&_ z2ZT;i9?4zdZ!LR7^;~0h>dd)H#s#)k1Q8sl;dZjJ>o>`M@hUf;Fxbj}PabuIr}`k9 zms`;B%)@Dl(r>oiYK2^ht$@%`Lod&m{QGYDi6<-l?u%S9n|`r}PeMg%l=WiUM}S@m z43iVvpY1AlRPaSz z+xWXPUq#dd92>*_UnmLyqi@yNSDZs!=n!;%?$5jWA#_RQuL}=PDBrSv5t;mmg`D*) zo0}bY+_PqxvwHJnmQyO8d`l`fd<1wV`s6nFIm(B))Eklyhv|MaOA}kX814k2(T|qK zImy47M!pU12=N{gD3aLph-IJkw@Apq$*++5?;cnzG+v}w`IZPS`ivr|&Td}3U?>?0 zI)x-tMO?Lh02hH_)>8luiFNcjGg9=U)Zhdlf~uKIy-R)(ZN5JW_+hy;&uAt#fUJ(LY$FZ~ z1elE9Xl>0O&edA>`jLMAJ2^zh8BZL9!Z~_e9kL%BxH`!{Kl_JFjl(>3YE&Uhv$@&9 zX1H~jjB>$>A3f%>O?B=KzsiFg*-1ZQSn|}C=#cz-851hNNV1h(9Z6e*(935yBEoVW z4%z%pOz2Ak39nRj?u$yt-elUnteFIm1-`D98>{!^G}`^~ii{Suuwq^)uWD8)N{`5l zsoi(}RoBbg^kK!%T0f9+SKG$2fcsAA*m%bC+nHzAt{o=NgnS#JK_2yKmeIRQRj#NH zRj%{SKJpeCO`6WU$Ja`D#P_Uur?a|)S%G1<+0{05hyGe=dPB125k1_r;><@tg3DL? zIrGuM*XA*-&4FKP=Zq3p2ar!?1G~9He<=nc1>#)3i_yFt4e5DO>>VgeFUZZzsJ7=X zHah0aOX@8Kq>YDwmi5A34hAGqN(-Kh;vWiVQS)L>NqR{0v| zNq@ati9!Bk`q&wvNl$ppN>5ze&fzXK&LXyd7no>kWdKVCt-jR|} z1e9I`0s$g5lt8EnAp{=3_vX$1ap%s?Ju|y|_U1iH`sxK5)_pSoCb44TAHdSuwQnBMn+i0B{#6C;?uF$-gXWc< zvTqjcvZ}cR$f4=t&v>$=NrP|z=@F_EObmaDbsMu81wuCyug<_Ea$0j1@86v`9=TMS zlg81l6`_YSdZ%i>dii&0BeI3c!Rg$M5*q+=&K%GDPu(ldGAqo7rP*8W_S2{zze(l4 zP8~c!*(w9#Eg;?T{o~bP8m+Y3z5ydYf1k9vhJNNB!c1WrTYh?h5+i`K$yDa8BBw6{ zgKOPYLg6>JzOa2k4z5*O2aKH1)NRxe=THI%cSn9|9w-beet_LSHF{YK&2OapHfHSi z{!|Eh<7>|V-G0$rk@Qlal}~h)FV{TV1$63BUhBe8VcQ6r^%7y}Vw^u00Z0xys&A1i zaj6kR*q)bBwAJ2kx0wXE7?SXs%N;h%xEJesct`I4!nJY` z-_srGj=&>RQ%a03pNE__)uHFqxfaf)5g+2;p+g@H@EPO4u#lWbFCmYoQq zp#Ff`RFe3U`Ow;@f*udtsAe+z)2HS)!I43F0Y-EUSC-!^e_i?c+N$>`EOu`Nz)dUZqJ}EJ-NrzfMF;BQSo(86|LN7`Hx0^wyt#JLk3k^TjzV$!O1kd9>o-L5P zgN;DhpSv@{1%Z~z{dS4x9xb)cQlUj%!^O|P`AX<`#O)XF96DQHQ%q~HA<0z)E`+3( z`OOPI~$+lqHd@sDkNQRe3W2%+ER1; zz$1e18g4cmyym1=smXZ3!*_wdbs;RasB8Tq#uQ`^hb|G8Vcr&$_=j_&Evjl`g*aJ} zu~~d%AR{Cmx#}?f{A-Z|8fwAhFtzKrn zddO8eel`cwRnBE?WP3YZd(S1-YlOUHI#k=Zs#6GRm!Yfhg!jkHlGwZTb}T6Zt*%hdH4!KdrCesPLiS^a%B?>Aq~FWawN5q zSl<@qV!dx^6E4j_>r@^_HyBaJ@&_+xqi8;D_xD3X3Cnf%%jFnxL=mB+_{4c|+6*YV zVpmhm{j}Wc;L|4Hq+)XrA|$llv8>aD(n99x<7i6DV!C`h*;-1w<6!2?Vy_T zU6GGd4qXPYjF#XB$b|Hd?^dI3u#Gvxkyq`W*lBUDaS=C6h z;3?7GqJAUpFiRxC>d9n*8o^LNS5+tGa^+$~s={yYarc0TRDWoXgD_s;+9 z^9bUA^c$0*R64Yx{OS7zd%9Zhn~fX`j(OJ>n8Xb0Gz0hxW$xy~%df?NgH8IbWcp;W z*Yn@jn6YSfy4$}KD9^R(V^G=H@OyjD^PYO$mA-J|+MjI;KM5(G3RDlCT+C#K)x!h9 z&G8e3AZmM{;Va~ha1CO5B2R<}#l+d^Dg6a}!DInMsC%+rHne}-*r#I{^+d%t^zV%4 zjiH&sxvlEKo!Zf2VO4KWrJY*xaY{#tK_xvlf-`PMzB4BuZkkBwzfMPuJe|vbbmCmNLIhAlfbNgD>6381M7Ly)c0l^A!r<7qWeKxiT3B#$X^-tjqh zvfTRDsg)nq$@e>F5a%h-GaP&h2(7dvVA8Qq(ObIMs-r5wFGE{5G&h6*-xntWSf|kL z!1((7Ya2Ir(E_yVNAv?8Us>;sL*!W>xu!am+giNe6s+1RE+SC@09^vG*)%Jre%r5$QKKk6Mj3Fwij9?q7CQL2E(Lg(JJ?-ALmPeg}aFf#tVS`(xm1)9b@zn5Aojeh1y>DgbzKrzO zz^JcND$wP0*nC)NSR3*3&)J7$$N0|7OUqig^7ncgwhzgw6&$){xcVBd>tNP_(BQ`< zeLkb}M=?aNd6dQn!<>eOSMF9-rS=k01MSD0t`y(kYpOQyu6euwz`6R*!ngmWg(*lO z^Q4)*%Svz4R?QrmFJI(i#>wg}UclIZ;^G?h_N(@o{x*kO&06nILVdtMX_p{M=MHq_ zjA=1KB>6`*BEjbpJPNv#xvO4vYNxMfv-6}Leph}3IXJB2#~ih1cy8&a^UlRZHlb4K zP=}L4BlGp{5GugIxkX|0OstvojTFaMm-|sbrH+50@LOqld7(RO;sv;f!|#Vb_qu7cSEaTDTe#=XLgK=!Rdf$8)vYquSJ=UBEsa(^?4Th#Rp)h z3kJx=eC5Z}bLO<;q{-p=<_2;ImAyjqgl+_+yRXoE5HJV|UM?pAkhS>p>P_}rf~I#S z!^~FMHABevokDLEdQ{3$+kJNo!|kxaX(-o=cYyK+A<05&ogMPy6!Z7i?YYxgk;4VQm73_{6ZkT!E(Gx|sAuje@pm zKmj4uRIi_L%0#t+E+@onT78A;0+WLnxyaj|49Lcxs{I8&j$-Vk_C41V!KjP9R-TBZ z{rHn$J+tN~!5WwBO81TxU*Pqc1D?PjybH1TeJNGGWy6fkb?3B7*N_~m#O>vZ@?qJn?41IU*TLZCSx>k+KO73YnB#xlwcyG@CYOJcIMJaA_`RIV6edKtBZ~I`W2wxNBb7+Q;|lZutY$8;$%k zg+^Jh35x#oihB(4@HBNh@yg?4!_$Y88K-Tx?3S6-+%!-P1X`{k13l$)MJ4l#lJDQG ztA+es=Ojf<&2XPPLIKVtdE?AA414(lhs-z>94Yq5r3AWvz^3?X;O^Rfe}#sG?ul29JnqRI!2dk=bK74^czUS-pL&8pb~nA*l4x|2E+Dfz3j*CinPW2=raTr^SW| zcP)aV&I}w~#fvgHZ0Gl|LW6jZSeyS?h|z-hhnZ46`lX7$)r4}mwsR$n>6cPo{)Txn z#ef@M&xzVq)<&u_1~`Dz`=C||fD#*ELSr{nz|)s=hQ zzLEu;=eX0Mrvw*}V%|GZa6sL7OT$}1Wm{-S31>r>%Zb)CWr=|w%GQmy?%g`s{471B zeI+_{upHi$%*RDU^U#J|U=!&wh2aY>zC66rQ052}c=L({2s_w{1w=R+xHLO*vb}um zUI(vj&iU^?_6FiV2VwDmI!BSXxdrR)y@C_{zKDP&+0s!Ty7iTWaLY$9Us0hx?%w$z zufB}VUasV2VRwsJ)hD5vtRDRD0dFULF_xzH+ zW!=Ad8?q+9mgvg(o5B9Be0cb2`$&MR*$7#eEbxqxaP%@Lkl>2S?ev~qTySc!wl<0N zJ7;fKSYME8=A z?yqU>8PIzCu+l9@)mMCLgQ-~KSjc0vR4n8>SI^3@=;TQgpYxx6bERbQHR-$ZsYN86 z8WNh2mK+#=5#xLqi@y9_L}ozUOyDmG5D~mpmGndBqN;9n7duTD@e>{PAH}(jtAJ~K z@m_aT<6tNCNi|F~+OOjCgIx*-cW;?Q6Ydh4UJP3dsWM<3=t{VGH_@y|&L6TfQM~tN zva5c!edm^R{GXsMtSijk46J|c-j(R;U!B^x%RM0?rysUNrOiYC0{j=t5va@gfKulS z1<5_MtMJ12%sh0`HjNRaOUh}7XlC69jF zL}nMWhkoevEaF=Ay%`AYHnx;-w+ils+4zP>Lb*&94PT=pJpaseZKyKEm#O`%A!2*!F~-#lkxUjI66aE^CJtT> ze$U!^+#Ipt;NKEm1yR`Mh%EoX)krvbgag8h9$D00k=u%KYpUkC-g-{E>1erulJI>w zv8ON&D7Q`DYg>OrvlBE;4fGmZy3$9}%)d5BEo@LXO>GUkF%Rg{Jwd|i)kJE~zEDx6 zhyVN&VxGWYmsM?#u7*52^spE`m2dgX)LigHcIgaR$E!W1Y<5UjwvKLfz1X20+E8-( zpn7}C?~B)aUqu$qb?pY=c4BxYXyg4=tK_`J?92PX?li3quK4K+4%~ZeQq?!1Nem08 zrtqI+hd1oe!SAXbOGo zj4x3%$P^JD(|KR3#=bch;(9o)qxmhGUvBJ`Gygd9QQcY27$OYl+%`YYE%&~hlv4Mq zR&d;T7923}TY6-4)H>9KW~;KvZ2K@}i{k+D2(ljo^NFaWBPG$-D9& zQS0X*2KTi@4JDfymaQ9G6V=d5bOHy1JWrOZr45e%@s}}jYg)QNz6FGF9PI3IkdjMP zs6!T~s_%{nF0uT2T$AJNC3LI-6^>XzyhOLk9rbvcd`8R`&tb#^?EPmspFqo-yq&7w z0)a|4Cw#!1YGgN1q}~n;|B2Y8_gk;@pVO_EXkC3qY%W@ds839tTpbc@mMB_rf4S|l zUcg+Izpmiadsb285OR5S+_hiqYs_n^WxsV#v(y+ycYTUsy#V@QQkiidTDXZffCUso z{Jt>Tr>YkYv zxUyF~sY!zlF*o^3@d`FtW$$32J5z~5e1nCOfx>$Py4vstLeK`Isu=h~RStVgW>rNf z-BL68()|Yp5<3H^m5Zc*{BZhtnuH}JQjRDdl52F%dG?ThT-&8An8x?4VAVqp8Esas zaIMy@I-#KvtZggw=5bZ4LX1daYO1lDoHz4B?2CNzN&#%XIAre5ZIeO8;%W6iPZd{u z0hfwT@o%<-g0+eFt@xxaT}l<8MNp?`Oks!5nc^6Je9dgyV(LD{H54&B6xSHwr$>i?p z9G5lpH)09?JM4`10|A;6#^%YVokxiR)WAr4W9DnV@GfK4yH{ud`YtJJ59{t7{i#E62ZI>@5j*-vTrsoFyCT=r$!qmE@-V&nz3HMR_z9L^BY$=Py()6fvWbxK=) zqmeu70)-*P!D+4T;JD{2>(4baOs7-=+N`qf(~c zwEcJQ3izGOxki6g%3Hg0bPbsP8$X=BC8&jL(CqEMjdK*u5o8`fefDqC3(HHg%Rv6k zwfj7s&_H)QTq@S}Jcg|$c7)~=t)KG4b-7PftrXV2k%fO)$0gWw=tH%(`AvhZzaZzl zf_0T_JdLG#S(3a1B*vG&;??nglZp;mU6or|9oQfvRF)J035Y~m5deWpmG%JVsv_g> z2u1ss$&K>CZwlI2u6sr++NYmAects0L{fZ~*76O+E3!(FgcInFvPlTIAs0vu(Wut5 zv?+>Je7hy+Q!Z^2($F)_fFo;r&EoEP^C$_tSQ70q93Y3GbPqVDAG%%)X^*+IccZ2e zLQHADs}v{FETZX=3hXS=PTE2bjBSObT99G&+wl#2MbwF@J32u&YvCGKn-8YcjdB{U zZ*G1~sASV2!3~b3dp_&IcRBl-+8~QPZTf{TWIEqP%DOQJ%ZM$^kwD=$%}_gS)@Cld zZ7%sb%kPQUP)<)j6h|;!XaqrkCx}uk^C3r?d6}$z4|<#dIJO-&>W8?TC)Gyi28cZr zMj6s&Nf}NBUI=hR2n~I}ZIwa=&4a4dTY=x8;G}CGTj)N`Zf(}iv?N<65Sfb_QGLw; z(>f7yip>PNfY`tyq^lxP)Q|G~l)MxGQpDhXAczl3rXy6!kzQx7W>2q>aTHn}Qgy^> zW5F@510Z$d^>=bQ(mO*{fGb}>K3f8h6&w+bPZn#a!a zbuj}CVXS4f;AX<5b^jTSbUDAG%_y&i$#n`3EfE=AgP9^dY#O@yQ`N}7*Ink;H|(#9 zScvx142n{|e$x;S6~j9^n#J9s9eSdJ)PSx5)RW(C*jyFy873ULDX)cc+){}m1ismh zs6B$u-5L9*ToAJk1e1`*&4$XP7sq6im7KhlO{s)ohXQ8*4_Kdr8_EXMt9?7Am#721 z1#DwW!9r`Un?~DH)&m6ElTWxQ7q!0_--wnaRCs3YJ~TT}aR%GGgetYXyZztEdkrAL zTleJA|L?`_V6{@L=MEF~HaAbE)Xv(z*HkpRJo_S9t;p;-BIT0-ogKnHQ$_6?;!C&n zt?+n794C%*ye%%9gg;q4Z*pC<69T8nBb7hYklK3pHm2}<#quk0Imy!uM30@O!EQ+YPibqKJk!P z|5NmZ6sV=-htGVvGW^+C#$(WlV;CzU)I!&8DIQ^O+oy`iJGY;|{>Ca{1kfXyu-)vo zBhTba*xA=0-c7}#7?-zdsjG5((RJ1zWsbmRAWB1B>u#ehu!i|`?8%!D_?jp=U+vr4 z3h3oFddr5Ffp5+IjC|8R&wlOi<%SHkqcFZ-mUt$?nr?+Mu+&>X8}uto`?Z#meOnub zcmHx+Xy(@#dDUwX8VPF1$LWKk%zvta=CKby{hZk6P%k7}u-?Gs2%d^&8e2W?-X288 zc$bgSU?)G{18#s2aaPe7h3yZK2^JoVonGc1I-T1C3@-5c|Dv+KETrDW6JjOv&K*rH z=uTJr66PstKa%un(PR@J)Qg5f>xw@_|^ zWj7;W51S3>S{=ByAJIm)aYeV4KOInA6|^0x@%A+ZlvIW3mfm$oeR~j6%NFe$@uuT6 z$~y?F3;ImD)G5WeaM6P^5O22Jr|5vNgs3w9t{1okAYpBw`i00@Dvpc0FGGA@Xj1J8lv(@fW ze~6x92U;SkB-vY%stRHum0WvSU%7_1?lotBdpaNXx^OuwO7-F5t%NQHO0}c@jexg~ z!9Iya-Zx36-CC$xT`NK65-5FJvA|luTeW|oYa)|g^&zyKgWtoxmU(AA%rsEkP?L8- zysEsasq@mntOlHu8}%NW$`X&tiT=&XIOp(r=K_Fycpx#KxQ-cBzz*fZgbuU*$b2Am zWx`xme|1rJ-W1S1wUN-I+}w|oW88A81FL_pbYrr4!o)8-ROrD(qr!f~v_%Kp{JgKG z6{~%AnHYC1ZfPp!zDpsd{jao;`Vjk<{Ov5~Fu4s0UV8Oc{x#vU)*IJy@GJ|E@jo(N z1yR6g59T4S4&0s(Jm+pm=0!8TwP~8E=Jsiv^sWkfri6ZQBk;BZMl3+m0V5i~Dm=v5zAo5dD9CViw^ zX-%K$t}c8_cXJPmD;@IrIdt)rpwD^So$N{VjK!>?%*&IB8>8 zGV&x3ztA3(K%}7s>DwCA!ry8WFUimGFN|!r=WG7$QOLU5S->pFhYKe&$qam#*ao<| z@qGU9-Y^zd&G;<(7!qVzd!CbJ@`G<)VDZVfVai^&ga_(8fbm0x)X|p74Kr28&z6(uvn)2Nxwsy!t3i5m0!3%} zcq)Wdx};*@4#~9#(W2ngs%ef;Afiev1qPPHYJP{mWF*r$^t&}_vNc3IGPBT9R#DFoA)fjgZ?(Q*d(&gB%iEQ^H3l#UWJt!57ReyktF2LaQ2Q)V&#%}2o|g%=tEb=n z+u)i067erU(Mde?kr@(?{qQGvsZ)zPxm4}CV>UVWLj<0#`qM^3g1s*MJY_QM&g+wN z@l>qJ*C458*T1>7i#DzR&d_mW+fr^~|LRC6#{_xHKRkz@3x5FQj~c$!LR|qH$gW+9 z9xO?pR%fY@g?>tpndUdU+27SK*9F30{VtloZ=F%%Pg1MzzSD^84Z03H;tg=IpQ~ij z&Ei@Mm+QdN`|SG0s&UgAR6hfR;Af^}BxLa|$o*AVDNcxkLO3+n8=36qyib4+{4mix z=&x}9?Bi+g-~bpM+^TXhTa2M0H;G8Yr+O0I*igpGK8j^)v$gov9bhsz}zL z|0!$d%uuye%O$9m=3q8N5PJa5NR;__FaO*$=&dG1#@}`>L+R%bUkb{tQ9`-dM-V}0 zvG<-x5-q#E39V6>TXeS2YOI?QSso5ObXX(4W4yLG|JzAQE7oC)hikHjNgmpa+@<@_ zdYHZpyxg~_^)D=2)m(CBa=3o)eZ#owjBz#4LyR;IZ-@|hdpI3XB))NgGUwcJJ^1M- zaJ^1-rh)s+f9;n+Va|zh>%b!0fBY9~s-#Ka?DAHhHwUW8vN$m!q*G#+`5Y6~m2emT zU-up{J1nu&CWLZ>wuR;B^S-);taKjw?|ZBBQkaPJt}la|Tjd==EdFmNqjjc#;HRSZ zGEn`zdmm02%Q!Yiw-xV#@2)5QgOGSjE2w{t^;;;6hm+X+8z`;)EOo{&51ikVMN>SX z5`}OsPOA|O^Z-xhdbhza(R;N#Y;|1>`&IVm<~fF4QQT>()}o(o`nX8#sQe3xr%&NN zKpyU&Lg!A1jWaiYCa;kGJ2Nt_0f7_udP+Zi_+wG)^H{LV3^4u-3mA;p_l!EV{wpv_ zy`!^M8b-4%EsEWAGpMjAnqul0G&Q7gy6UbRy!U3Acw@`z6egXE8u(sxVoOCw=;Typ3V?=mX?CJf z-Mq0|*#C~bxUFfVU(^ZYQP73fH`HtYF4{92Lc+`MG6!*`6ME?#JPR0)8S@OQavi7M zKDjTBzNarTnXC!VRreE2*rdodkNy0n8As^lLQ`Z1phd!=jTEOy`@K8BaojJTjhNf* z9_OKu-m^IQ3^xC_>JJdwJrJ?6K~-i zyOG*x5$@j~?b>_=lY0N~Y};2`K1G&@gXOwzOEP~_%TASdeG=O9bzE*QYv1{^q-GlO zO0JB6f2(z4qJA4^NRHn=pc1<8*hHgicbgC2^X_5}ck?Zo8{B#JRG$XXzPJ9g($GgV z_&=8*i^pajjk2)|C!+9j6{;yhcHG66EgmK!FN4jRQV!i8nO}9k2$BgkwhaDP+E=-g zqiZ936Mvhz4-rmOwYOAppC+}|zZ|x08RcH0=?Oc~#t+uY(u6JoZ&q?jel1i?daGK@ z%Eoq_y01e7`7f<+iFMAuXuaIOjNl+)!=;{bZZbg-;Tw-6Z%nN@-qTW{DMt>`k*S3_ zR|bcmR?PtSnH8* zS<6%`PLULtTEl?HuGY3XWsa0D02*0pMQ|5uzOK|lWR`|HZGJOGog5-?D3%kALY5!f zF80T6mV}I*y_8x)-3H}u8(i@DMWK|F+{4bAx(-rVtW3Gml(A8dlL5ss`U0(7t;kQt_x zO}8O0-_Ts%x;+W{^AP|S@sTtQ1b-@3VH!icgb1X1UkQ ziPH3ZMzd0u`y=np@=AZBoAQ~0%Q%n7LDs`VnMQ7ZNbS7M`lrCu329wv8TCoeXI|rP zL8Dk8(AIkN<>hAd9L7>24^#JLI0v&C0x-KnXKpXx7I3yv%R|`k5HD+v;qbQ}eD83! z1$IK+X*>;{Wt#t(&~an9S6gRFFa|D4aRVm!$v2F?Im6$=`2B#7pp)&7prJ&qzINIT z@{Mm8bvq~wx)2fW4Z3<1=$@jU=gOR}5+7{V7$9tajICVeld1(yaYb0T#(YyY)*^WU=cIoN~ zpMTg#Sn;MG9( z*RZ?8QkIo4WrE%@llk$2giNyXzUZVir7S0qk{DRvOSPThae8e6HpF1s8;XPPZI`kN zoV-22gX%;h%ZnF)li3cIYy@O0o$8#-ErQb{|9nLTOTw_LM7R?5nU1C=sa!cL3nP(L zn1_*AF&?J%^zy3p%PJmB4%_B?i;*bxMdV;CGiytqif3a1cfvI5%O~s7-1;w52R8ihwWb?DO73}PWr7%E6g?v=TO^PlX5|%+ zSIQnAPGzQ1d=y>A1w;;NPd9P)WaXz^(p0{(3LK38zvGLmX|2PD7rKvzAgXD(U6AsH zfYhV(Vn+>mJz2~?6aK28+8)|+sJ+oUcs&>?xI9{HrV_C_#+Nb7=E^u@drpeFL%tI* z^d88|8cUHvAa$2;w)q4SarScvt!* zq!X-*(^%f?UWr?eq!yeh+=k8st4Z4eyQiDfMloud=M{7>!~8GM(=5W&Jn21h=S#GE zTGKbSQ*F+$@YQi7LFsax;F>v%P_P>bj7yLGNC{PJLv>d>daYQX?-&Juo6{!A%42@{ zW6WV+N5f9%v8|{KxDPcy9r2GUN#w?*Ii4&o!F-o0Ns0s*SdV-n8Hv<5>!xJ=?_GX% zt{e|*m9iOzzUflf2#i3?+iG4JZdBO(ibUL7(zuBmU#nf)vyX1wJ59m@nlsJ`=e1$x zCMQ}4%&s&2^|yeX|0f1Q+v!%w7YDM76|%ktf07V$f&|BwZ4UmYESR_d0(B9wg{kux zlty5l&+mHb2D31`Kh<8EZY;Un(dZ&Ff0Jhzscwh`my>T2loQFG&-b^2V@dUyu+hd_ zJ(&DeOh5ZDvLHUl!$bzys4ws7B3~2$_{KvF&V^keNADyr%RZB|+26WL#;jGl4mM)e zFr2TD)KsKo8Ma~c#CQ;?%iGR91{R^2ocbqu$#(ns-UW&lOO|k6+0*%Fy5IE@81jT zrgd3xY;hROBnQR9YXf{ii7qdm#PvG0nJVA9l0 zA%4Tuffp}+53T1uB(*JUhy3QYQQCgSQfHNG86e-TP~d!{J!h)0Yb} zJ%ZaOkqfiI_Q1!@o7a~>GW%J-(&;tt*!%W5EzFx=BU*IXSzaSG@!r)}f9X>|!$r)? zJorfT4rW<}lcT-ckp<|e$gOg8aU|rws0XuW6heGcns@`V1E*Mnyo0rPgSdmWNQ0sS zZ`|TLwThIhHsl6Nd`M@sSOBlXO_FgZ(n`2(Q)G6M2iU&Z3AV%sbhk6FS`a^tT}jVL zI)XAJ%F$%$F1~57V6Zi>7LAlFM%SO)Y3R5}$#S$%WcgQgS7dnwIwG>X08JCg^gq!J z<{qpLsv_qZ6n>8L44p%4!W|En?h31G2SJc(#&?y*tbEuHpL=IcE|ah0T{}Nmd)#vn z77G9_J7}8YzwzN^x<~X}zT0hha^F{Nyo284ax?s`^V=}KzWtVd`1_zgLF<5`EY#Eh8xdY68z$E>$u3EnF3Y`rE{2-z}aijdTtEo z7+^nCkbB4p732VLckPKsE6rdTA~i2eH3~r5Q1O`cgTcL8ST({26x90piguWn2r1jS zm3Mv7S4Vl@kRo^Rl~@NH%~q*nB!^^;Igu2DBDUpm)Jn%%ILRpIhPuh$sjBj8x7sTP zduY0AJ_=szpkq?BS(&}Ov4nAJ%A&{IuilOHtVDZ8dVWDOoljW&epQo`2;Y!9pgrn! z*Tc7auM?ub&@k$4eIr`=%2<{Gx*^s_J;2l>J38VzIMJ~zLNXs6$L^)w{iW^R1{(SV zU&-!e(|vj$oyJaKbLbZ*vODmK5N$ibPw>W_V2gpZm=G>%nPj+)?Yx0ci7{er>${`t9>1QdpjhYU#qiYjK|Y?;_|ougTT-uyhT7Q3=*XwzE#VRiSyN=wH7T$&um0XkLj0EMX9W@YMq z*GR-!;k~e2*XDPQ!>kSIj^p&U&twOz69Q4+&`<_j?P_5Lc^jZp&XMgLt`b$Nu<|}~ zt71Xwjt8t;>OT*ldti#`^=pUjbZ9)`Crt9&f?eT(Mk%^KlF3}65-=+E7I$m1>L1Fv zhu!=pp*QXmx%F*fpz%T-PXXG7U8)kPL5wtgfxr0J6CO(6m-NBeHdZc5_8)C$^#4HZ zChj37<{)t~BEarYdQJ^h6|#BtInw{$b{l)3Q@59phefxS;J_ry-Xu>D#G$FDEp~DH z3pyn-3{u@sk9l0(&tPjOyNz<**%x=U^Mvx2a2EI+=cyQ@3>J)XYvN_%o$+!r(k14z z9kJD*h6=}7QlLeA@6}|wM$?e5S=B@J(Hml`Sejga03sIo((ij~b?<8003F!wHN~sQ zGnlhJ>rYy)->dQB9`o5@*AO+Z6@;^#mHN``_@@-drA_m@XPFr#>^YUjcMwD4Kdr{W zju1cR?o*oZuX3SMDgF{{kJC0D24t$O1ot5`dzGJ@Uu3@T>IcH|LVj>l`wqXdygI!5 z&16Tj13;gp?$npxpk#&~xJ7gADv((8Ubf!wBs}fC0;!s0=4P}ElIs44wMYMnO~!beb$MOe$@MSI~W{<;xO)~0kqPx8$N&us}I z0K-Q|aOIvt6$_lHdh=P$bX$waH-?N9vj6nD+o?$993sGIvY!yLUn)#O9AIdmDE#OJ3{syR`lWqZK%TGu{l0&(?J z`?-As-G$>cht>YbHp}R?f)nt&s_4kZH!H zi*=o?+n0j1Y8UR?wuU1qzn2g{l4#owEv`@le2%YRQ22x7wzJz!Ww66TgeMLq(#5l) z`TPD6HT=U)VVx{D@^kz3|M0ljus9hEu&drVH0e#p^8e5oeBIaY;O}+s0ezjDMmzgN zIei|b9G5cf`;7?QBZk%vaJL+ss?=s&Ki-^E?V`Hm(FX(vc$Gkh4}4Y68HWUW!zw%D z!!EN$f<^|l()RFmvg)>J`|31;b&kVYxgc4N!P2cP+;DUA2T?rdJeDF|fV0g2@>f(N zWNhTl`OXRFUx?rU9 zslQz+w=Yv&S6-dE)Cl;}q(EqVQsq{{K~1E0`Up+f*GGOG=mg&y)2}toj>32y8mf`C zTggw$+~Ft!HB+{CeVy;E*yu0`F?gOG6_g82Cs400@FiJ%1vnec=|I0V3N;ix2WRUZ zg**@nm1`Ue7NJy4p99_x`i=ARh94IWKTN^>Nq0J$y?0qvxHWdaV`Jd)Qt))lpIP?M zuodB~LeL%lxuG!)3%G`-fJ|QktU&;ceE3mf{vLcxzDagiTa7{#dN2tKK-7n+rXZ(- z$I$xEvamMKfSdb?aW3AyZEE^%{PqG}{Bk?ww_8`8FGSbs>D`$RSe3*ig{@Y=Zj9b=LT}Aomdu}Q?bKiUQ;SqJ=JPM4PkwPu?nXGbQ1*wK&U*^7#uYb4nN_wX`hb|< zmD7^OoO~*S+L2VFY64rpS4TSWXeCa-@C%xRYU6s71d?fS@f7ataczRox0qMAhE4{Q zc&EK;YNMyrpC|u_;@x}OcAHz=vfpCn+K4nWabeL$ET-7``IWo6jCvL&ZASpxV|PN4 z?@TXZ87}a)KbwUoMY+oPxfJt@#GHvs_#d>gMM8_FP zoJIQf&o^y9*saUq>Xuti@^Po{UI_?h6?5_8}=uTH<6 zulI4(4rnyk*t1cMvfaY&6~J`92*=tzA!khCD>KG-exrQ9p_s-FXlqV3#gD@^!v-gY z#jXgj+i=DpCqKAXG=TdzQ3&Q=@$%R9{CHAJj8UtT9@z+E&ELkh6pzEqwEevE20c)q z#t(7s4GsPHG5j>;7*;X|bS=AoqzPrJ8K0TLcOx6t+~d+olo>~Q>#^gFDgVKd^|8?A zqfGY+LP;#C{2zRNhN6MD1GS9Px_5nKMqo{+R6UO>(uJJQ7}i`}xF=dlRwP&~2+%!B z^m4Y^mtL(_!XHA*`ix>z8x<)y-DPkB3zQGbmut-BbK6Fi1Oe6)%C{S3td>qfTD7Uc zrhBxjxTz^k6sWEl>b8PA8{QG5fvhIesa>XCg0%;jpF>`aQ7o&b&FRd!!R9H|`uR_`zQs~mL&}9;5IP>wwDc4RW_Kbb_>E#^Z)q{@R z$gNurEM9yLqQ>& z%1wxts(2+w+u6`M?jQnA^o?sCO3WM;rp}gdBZm{#mW(w^1Xd|DE3*=sjlaVlbqphn zE$Fubq&V=TDjOYrl?Mn1iwmnHhvoYD{uOQB&;A0dkc@PNleS<5xXj~YK{=o zaqQ5V0K&zRUenUfeI>_oXvvJ!({_6e7uLOG>{3!YxbLkY8|3+4g4q^$sA=E>aDta> zLAQP05;?PnZkE>S1}D^I_zRMJ-Mt`P=i}4SBy3HW<%|KGto&D+ALZtmSq?Y5>E#}) z`1tO%|8D}+_(eAH2+cg~Fdf1U(%T`r=cVy4`e$Ai<)^m(vEpuzRuZu7vW(FCc>ef{ zK4#_rQT!klqQS*G>!x+ResgO){ecB}hWwk5RG~AgvY&8*o=~8>E0?TWi z6S_14n%5IlB824WL63g+m8zkB1|<1&l~7)_O%fLOTaILUC=mGTij49Z?hh0$EfjChwvqYo;TnC-{;TXl2(<#(UMU0n4=}? ze_;Y45B=^QR`B!cQhJQ8EJ|Gba)}}R|LN+zqnc{os8La00UId2i-jUhrMIA<*eLQM zg7hLq34|I5B}b(xRYiIe5Kw9WA=E@ciiBPR0V2JnNCF8E0$+T8cir!q^nhR9}chSb>%>RZS8LLG>i(vPf+JPA=#wL(<2(D} zJm{|D;eXiX%lbZ6Vc7vM?GC9&8tAUekxz05elwAcp^p1ud?gak#2D}DYYw#zH4nE{ z4|jKulB(tpu5K#MLz}yfLu)(k3`EcHyH91ZF2m}tS%mIVB%_fA=U45_g@P^#?N58J zXoY4lv;De#lkwB+l4MWv^CQa?P$KYY?9~mp5EE>=jpU#L)HZe!Y)(}%)I!6eWg2Mv%;?bsPAkA>M#%a9{D~YP0?YREKK>nRonu5tet1PRMYR8gJ~L7 z8}1Kp0*6{D*MCzX^-fL`?>dLJiIOgx&E})^k}IlyLTpx%eE)PIlb1N>VM%`sJW)9h z@ba_fuO)$5z02htxCboM@9UNqCKEEUid$C2+ZRAK){p`!dOHLgIBs42x zF@sOZOxg|R%YS--h0|H2Dc z7fOgrgM3@uXZ9`BZh>nhM<%J9t7+P|(IvdStDL(>qLxKeW883aiqEnT56*X--Ifre zgD#PaBhXcXVM(VMH?POT>ae1btrc^iGC>NC}|2 zWp_%Q4Q{KS@KNWf&u~VbufJ~`;*r+4$R_6Sxao+^&FcH-#a&O-r+kj^VF3n4)kz## zPpdaLaMsmnoFbpGx7+H?2v^$p9{~jXW7NQXO_n&J!CZ#)L70B5ZP}9WZyVH-K#o)> z#9oO^ctn6iGFf&qQedTyTq&^TUt9swaqyQwlXDv@(?OB~hj*A<27hx7V)441yUw$8 zc-~if`z5ua5iTmCdB)!|ob^8YbX|oiv-BEj(TEmmEdPX0KOm`b2t*BP9b`Rq`+UQc z?;z_A-1Q!25odOB!u@y__zF6opm<9>ZyKF7MP z2=as-Lk`$es{y2DGq#8y`H0ju#3th1gX zRt~2nY+NfXmUnl3ZYW-(_FD0oPUI%wv;KB$2hvCKlkUG{7y zi6SG|RR})5b8x^UrKZ8_s8%JeZn~0hfW-~GnfGTGaCPWaa_`yNlX%n1xVMs`{Y&G- zIgMMNr8`}Pa@(PlBUv)(eUeBsf*T8t18*BecQ$anHEPeB__x7Jbvhx^D<4aY^!kkD zegN@P8WAz_l^AbGmPx8mNwScgE)@0_jcT_Qyj6J#?8!>{8@o38&$P=k4#$L5F-Wo*_Gv zmg2n_fp-D#l@Mu*!1oj9XtB=Jb803l2e?xZ>*>u{;ytWt?5h}sX~B+Y9%za)4Em#v>Qt-N#7T`#yZ>FkzB3j7i!8OurxSI zP`0R}r`r*Yy&kR>_8z3Iw({bqDn?LX1HKB$&RugrKC&%exd=>OZlm-s zB5D?k)D!1PU)G#j`I(|E)iKV}=b#PFdQ!b4=M~1Jz_1Vb--by(n-j0^P7v-dU$m7wwv}751*)1W z?Qf==WlRj;Ku4Syq*)Y1p79%f6Ftfp(j(wan_(zPs~-CN|f?b_0%5crknN+dS=sgDy_A$B(87b z?%Zlx&V0^4bbw)=$gH&@MZ%Aj0ELa=b-yA;`!&H%M4LYBH~W&U zWtR4U1T-l2l-wbn*0G<`fm}`LV3{hXM=``J9Hg+Hy;NYCJ=TfQPH6Xd4XUa=nB3+zn&U;I!emv`n`^2~!_2`O2kqN@QDepc9t4EvA<-8e_ zHRyv?`W>&C&@U!-116kej&8eob%X70W_*1@#d9XW_c{ zT%{3tvMlOa{}TcuGUqF+!tn_c@E~Mx+dj3a5ao?I<5W8MgU|s($uGxfWwce~16vqe z*Y|@<%V^Eq`OLf(yU4NH_r4*;g;ddT`svsiGhDk@V+Z{X0`N~E_>lakLi5b+W2|f$ z`f~fJWjzLeAE~x<%-*tAmyJA(bz!LW*sJ$Z*>fK3W-5Ip3!VN!Kiv~P`)Gb_;uD&# z-)t|?mh`nMbJ{0wX9*Sjg8Zdt{W_EMUknheJehY! z8qY~9*1ess#90_W){}cJ}ky`H3F)=dD$)5Rziyy+US6u|{0`>N0V%u1~8~ z*Ox18vv!8ZE&LssH7z;gO-3}u_6lbH8OI&`qIW-?9S7Jqa+2HVKQh1wkX4m#W3Los z5Z}~XSZ;s#tP$EmQ{HH{g(hp$6nb*7dguvu<_NA9nBwu<7JqIU5XUKGy4z|zD1K9b zKxDJ4eAG|27$bkDK9Qi zQ^+}A4`wr<*)hM8L@XmYf-o`0vDccomfU*wL^{(c|#zHPgZO8PyQ9yP6g zql;iUR)vdI<|19_eZH;|71pS~{h`&vsD9H!psjM$2evl|;_crljSY*vegw4t1(}By z(uWL)bXcvgKxi|QB4GM;n@2!NHhK*zyCT;uP&?M#T5Iju3$L`D@L#gEZ*1{{@i9?T zOO-t)b%hb8E0$TQRg9=>7OPGh2-obOp0GuyrY%fKV@x(IVpBf`ak(;^0p;hjtiHMTjAH_>VO9bY#O#| zk7UQ_|K5F%k(?a(yjMwm#1WUwbj+OM+OhrfPe8n%_{ljj4u`t3Edefz=Z8;b(_4}$ z7{Lz%|99&G{QveYN)I_;0o9u2_=(XyMrJDC;mtRvOIk<7!~VS6NtoKHZlZLuI0OIh zp26mBwH|kUzH`v|afcN{Z9F4m2EiEBMqh>yWg#=M^hc?_ZuF7W*QM=~;j<&0Hb#MQ zn)j99Hrw;=GSlaUNv!H=s%1P`yG}>ST%%bzn^?c`!ge;|1wF!Yt(TPiRlHvDms-VD zQh1;}Mz0nJQ;4L$$=jGowhhRuqh?Zt16rRD)`IN)?L}5w)KV5}@zv*F6J6$76>z1b z?gNofxoGCoVsd@lfHUx`?(1#2mAd8NzWuW^N!KF_4h&jI^8md%cJyDNWt~Nx;yc0mlEAFOcNB4p~t8rlmtH1w|?b$}rb%>r} znt>gw`}JkUMx%ra$Zk5R`YBzkRzS1Es z4OZ{VE<63Kg>0hMGmZ_{YS$8RYg#~;dUFiJ`2GkfGw-3#=PQ%hL|Rw51#vV&EIL{Va(I_J^>-2G>6b!1tRCJ)@|`*B$w+4^+;9L8RZ_a zn*?~rxFjJduq`~JZ6=L}bgSb@flrcLy|5G4%Fpnd)jxQ>Yn;n?+_tUWBcxTPdm?p< zt?W^-wTcJ662D|IxY&FLVP>>D#4jc`OqpPRhJ8xJ%@sNo^ZOfliam#H;FH0lUwDJv z??Csxrr^5qSvH)BxFe5+8XL~A8pz_r8C8!d+eyw6DevMXcBs}TkrmM_ITK6Ml!Hj% z713WaCb@=0$dcNHgU&Birz}@rjS79-o>1u1K>p|dlip2N^LnR8V=zIkv5erH2G}?B zTME{e+Wio6Itq8ced)i%?gqJl9W5xaxRhn7zEZ}WT?ObjdldIHF?rrVb*|JoWL>Sr4p) zR>Ge0KKi|d;j-hI%2{n9~}!EVyhkFeM}XRca|Tt?Qvp$#o3^W*&m zv#YYJK8<_~N8Qz?@NIx>O2Oz?&-%w>>k8q4Qez8_6_POl~Ps>2e| z_~7E{60M}YERA!w&hm{l+FzQj)1i<^usD{`3vk`64KqkL3ize%?xj#F~CdPbJx&jT-ERCG{Kh8z5?FrInd}bybX5SKC#0hx4!KJ znbrB>&*X?Od4J8z`@iWWJ>l0BWA>-7ubryL*RRw1OA}pDXk9=8NrsrN9cBEMSOfs< zL_-etl#}*xwOfQY-=P=4+0N}8B4ybANV^KGccfi0mZMG43~bt__%9gJruZ1F*Jjvr z;`~R*H}I2h|69-`gF_=9op9iM80F9%0rGvfD*ap4QO}&v9GO#rrE|6mpPNs-cp9#m zYybJxh|=*D0kVWe3Oj-aC0}z2SfU0JC==C_hds>W2I)4iiq*_I250o2ms`d*R0o^2 zm9Qns$s3_ptqfGg4aY;XSz`p)p zneo6@V!f2BQO|N6Ihq4UB=^)^S2+>?h;Y7*_Ly+7jb=tT+D0=c=(L@EM6hk6bY>h} zjoUQ^B^W?~K(MX-{^!8~Cg~-&w{#P@JfJZFk;B*g0b%!7$3GWqcPAZhIZscysTMe# zBlM+;8h*IROnZE`8t!mMFSaGz6y|;wL5?9#VzNpQ2}9$9_WbtWu0w8#mE`@MTmLRP z)tbN5_Uosj^YVQjdNjDRm@n0aw7JSM-(3QrrVSxMdtAOm%V|w4`7g4P(B6SJ;kWC@ zbb^haLpn@~Yp_k9shK++=j^g4^nL74{h;|b*(OtQUjac#gY8C>n%lzo#_clLW0Iob z!cc%%QrPJ;bD#nX?Zo`?<#IH(VI3vBixLLseWSjzsqXK0S5+v0t55J8W_Z)&;{mxB zo==V1tKqII)2t-cVbsS(wl$}Y>|l)jBRbPNaW52YxUMrgR!R7~Lg5lH`Qw%En?(II zkE?zXLL00|vLe5scugyCf;w%zMqcpiUV4Yg_hT(bj0QBH8}}`20++b5$5>Z=Z%h){}I}|@^k+;&xB9F7J_mj`sr7Vb7+#~ zhGE-?Vb{R6cxlTkgmY)q(-BC%tSH1B;DjcS^1~?u%i3 zukn`RZE9NHnhr~hZ`%_fO9}So+zrbCi4n4XIX}6EOt!x2fW7PgZtwBzou*kg;mXy6 zjQhOEc&g#wf&R%s)!!|F`i>QkzC|n&|MBWft3+=hprV*CAQqGFw)D4&6-lm4T_wO@ zbJ6e18&N;Nh~6c?p2V3KB{Dj@NdG7LH=VJ|gukmU{A~I?iJjR_MvH!-$MlPW6o?EP z*dNAg9gonRx!%hPT&LmcJK;|eEGf^NZ}bz@wyx$RNUG}bX@#)&A5ZDTX%S?(5Dz&- z^0BUwOlv{Wx#$hM4ncMP_w3JgjsfiY_qnk1>(YBCov5->&vq|Qm+*#~QEyKFvv?~c zS!Vi)u%c4Z;lB+}Ri;mUoPC`+8_4VJc}6`2afO$KfSJnCKu(9rJpJo5%N}}MCfSuY zNIiie<5VU?yWteU%Q#hpEH4g{jbe#Fb@j2Ov8}hUD=fZY5&eoW`Qk}r2@oCn=9=~f z%Wx&!zFqgunnKkYGqa6Y#A4xr13Pl1!LA+fhihJ+)^d#I3|};eaNz!}?&46+oV~y1 z^<^#R_MGAK=?zX1mP5~waO{lHnpg3f*j?YGaUYfx(!QFV!^XTiOQy9?wbey-noD(B zqYe6q#qt~fmtgn+a>|%2D10&~KAOcgWl>I(Skh4KOvD0j^V|Of#c3cU%VNsp!?`cC zP>Hbp>tTy`ba#JBi|?siTNRb;l|P(2JQw<~?Ut#=gQI<)@%_B5$LE%$8EVF}3bP+x zZz31CpDu{WWl)gGYq+`pkmo`ck<<=E4;)0l#qT zj;_^^E^{5ZxbTG0lx|N9pDZc4HNN?EXU72kvf`r6Q|k54Z&&aXY#3NFpB-Uh!z07cF#~Zu zV=G!~bCk)UqrLzu>7ak^Rim_$8RvRjR24AEkrn0tqYW2EKAmQ8V5qv;Hn6aZQObuu zI3tOlUc?(nHva*fXjw?uZC_%%J`G?rv&QG&ZNfL}%;1Wu{$8vK7fb`ZBIqHKn6Y(k#WI1gN z3QoC=4xx*GRB+MGI0OwJbRN8k_?SoSWGRf7#9kmLRlUvNZsDu`!s@1-@O!Y{Qef`j zKDI4zUeSaC%k0_If$eD(P4rL)sXZS`(EB+($k*dR-le!KYh;V|9gWHy*Bk+F=mQA< zQbLyu-a-Y>b-@My^b3?c8To{ucr|aAZ8Kv+ z+f&12fZ`EFQhwXx?z$X;P5+<{&}?t}(uYI#Gyk$@-XSDppi)lkax74YdbKlrY(;Tt zy4ma-nK50oVed3T4jtySnFKb0l&}>8s zZ|DaEA7AL}Yc`n0?Y=RU>2_Z4zj+8NpTqOeR9Ok9Qct^gQ9~B+!nPAk1j|P$HwDz3 zX1wm%yyL<0U4zM(q7dGjPsC;}n!3x_++AU&x?*)G0c_&*xc)1~c#oebC+ZI!kvpc; z&Y3y|(|q5MZ_d)^-9miL!gWmP-cjKZjH13{dmELtH848pMbeqNgdLNswT;^ z8zPLCYhyPX@B7O>34mN(@l?y5ka5UbS(@~xP`b047uWc~p&msuua|a8ACw(_n3-38 z;ogw!;Yi6K4%CDE*`pYl#dO6Y^_b!=%549BQ_4Jl9pIXN=4IE(5p@cIA1qR>L)lZp z@yfTh_`o)lTlih-eLyas2%7!Y4@PR=!rhP>5!V*%QiX=3XgIMqkFc@a5N|P~n}Jx80bq zq?b-W>Dk_JVnJ1}?~Pe#eU;so9-}oe?oU)i)y18k;dA;}`_&^U;14v(L07qsX#vH^ zvbT!ba&}VOEH3l%DR!ZN+&{vr4XHZs?tLd=8Wba|xLJ01l(q_kxr28+^rYA``7Fp- zBs;=aobK6>B0KF8TX72;5&M_D8rW8$KL?19`M{QH!>Uc!Pja#!`0J`fKIv7bj{mab z+OE5?b!*(}aKX_Vd!S77iqX5*pA4@m$!E-eYMZXb=98=tmA8ZH9RM;Mp z7B#DJ&$16M#PnL0mM}$@$tth*UMQ!fw6a~f+;JGtT8-{c@I`kz!xILpz~m)Q4>d33 zZs0VKhM4pppFPY^n{8zi1p*3~&JP&St-j@Ou6jJfur!P4>!|0Bvl$uz$vmv$+tAnT z)9d*rSLl#=dI7b>tRd5kg3+gcFkCtA(2W8pAyIi2+^>n%jd7hfw2^NZ?}?|#Ytz~y z>~W;+h0X4PtK8a=>jl$F%<|XVQ@)46&DevSiT(1U>Dm+;3(NqTHvMNa>R>t_)um~* zC-(;ElykxhmSc?#tj8W5m<%mLBgn5SW_F+cBe}@pRDih_>h9P;wsf6|b$5IFuQqEdMcWI}SCrEzCBCS@oDqJ^GmwUGAS&1$o3W52>#O@n^A z9oZM@e>;cV3`{lPI@Bg~@m<;jJ_p%3#u2-#1%4cnIqj6vT$@YF)dWIB?YW!e2ijKq z>D**vCTpoAswPP5J#Bfu^LaCMc%Ojd{8&5_2kaM%j%{b{Z()J6V`T<9o-1c%b?(irkRz|zPo0B{ zY20uMh20d;1EeEwkP40&OI)^B)?z$0?f3khK>UW*ta@AVZgKEYQ5?Qnc28!=^;FSA z0AIc4r;wPY{UUTnP*{IfGr+Z5)ku@Y9pTngc3yU)GXGrq#CDa!*& z$bDVdHFs1rqGXV5Wz@c4BkdE0qeJl$VZs@6w_$Gnr5jW+vSWdIM32fB_GlCZ&5KkO zU;oCqKm~V)2QI&%KP0EkDiv})Pw7{t!q=F?j3PSbR+C}|GFtl`{baLJ;tnwP{%lJG z<%dQaSg#^4N8mNCmH}xAXwJa(eJb10GUu?M*ln|Jt*Lj|v(r=FPtBFI>ml!PN)C`# zQDw?u%o9D?KgpRVe}tFJ1WC9QGDsMqi<+T`{e#_H=KI)O+1ivTZ*R%)LNrN>+Ip$- z@JA4S7ZRzbpWHNB&*ID93gjPXTQd&cMrz_?ep66X zl5GGg2-Vfn78asyJh1lca3+KT8i=V{-)qG?@aWU&!M7Q&a-4pL|Hd}B3wQ0|DYd-bcv3MK^XJSC=K(zHT?yER7Y3r~yC|nO}VG;a({GLzbJz4#p z$3F}1AaVfyjesvIC$3Iiz3|BTt#E-UYUd)Cq5bI33q#!PdY?XAu=>)?^^lMI*aO^G zl!}&J}s*{2f#v!9`dOGUfO#JjDAxjGm zYJ-hir0nJPf117v%LP|#I%SqIGbbI)!>_ND*%}}JrK-GX?LUJzNo-F(oAO`S#m^R2 zfW>3UD(0fI!C?i?{CzA6%+j4VUA;qBVq{Uu5_GL_Yd+oXf%{|wCgBuw9RGXdwW881 zKyl4FaN5dNTh7U(wt;4czhLD{#N9XjQj4cDQKReOC8vvs`g{RTnIXw-h0 zW4Zu6W|RA4M_l{5H)jIw6!;&f=9g!<0ks=H8j4gZa}K^33BXaGUU{TYC~t~y2@Lhf zchL({CZA1w!h9aQg?`|PTYBe`XCh&#z{OL40&5p($4T&R1F^H}`HIJ&dQKZJ6+BlF z^QcSrp?d&e!aA{OqXM+;M(3_jWH)9}i1^d@Mzh{de2D+FUC*^aJUgFu=66~j5Lv-4 zW&dzH`i+oCc zh%^r)Wi+jB>L96cx?^a|9>@A zUB&Xh#$BBaP#}fABjJ)5%kxK(0Cxo_qOs9;o$(>5=n?StG5&4vy^Udc=XT_IyKjnJ zp1%|>W-#UFKWcPI&j%)*V0YSFz_qf zs4}F{bZSK768v3%!YkjzebLoN<7tgL>B-U>4{J;k{XHg|N_f1oTBo^IH2%cxm@<8A z)vB4G7nxL8J^}7HuQz58bT|awzI5*J?V(%p;V75>zVw0Ii}@(yYnI63EU)3#u!DE| z$^S)MdOhf;Kk=f&5D zOg7V}y0ogsZaIJ=cFreW;mpEK^7?;>yRvpn&(h3X6{*Pb>89!?;fLNf-KE(nZ|2QE zw3T`y=5W5og!S!t4*6Dgra@2kkbxjO={s=fW^U>{dU!ZgZux*cIkfH&WDl~ z#~bU03MO}KnDSc!Z7pY;T};nI6%pZ);m5H&kvZ%H-?kGk6S(V7|D}SQ1*R zvE%u3E(B%zO3^m7+%Bs(VExc8ieXa3zMXcB&e+mMaS}o!?z0uRfBH;v~s(^RUd}d}0^x66A8&%((k{SPZ^g>8;O*gMRyUp4S2yG7Bk@yYi6X-WpnVxHJt9pw&E&Y3+k_NFhkUnF;eBJMo zVx``zt|B=&@!q@v8v3zv5?6V}-`Zui5B120*tF#efXHLLEopyp)=XVy{Xq&#rlBo= z0>Aeg%U2hW6c|Y0am6 zlWG=kjar3A!@FP&T?<0skBH#Z@W?yh4z@O+|0mtolw9;Z9d4Gi5~M=ck6#ehJ@(xS zgyyMPM5?I2ATQ4Ah!^_`%rUHg5fG4{WI6sC!F@92z9uQqj zBt5*HHoEX?b%JF7Ng^y|1ZF<;s~V5Zo11A`$B%vbQMlFX`O-JuU{v-{H6c!r9W-DY z+n6-iV0y$Qypaw2L>S30h{=z#0wi452ESYhJT*hNo>sSXSeI5IVFc+eZK$J!yOH5y zST4>u|9#`goY%gtYq7s_tDkz0uIbE_yPs^1>w-2jFIOA1D-L<82_bcJK7zatcZ2tK z(4uiVe^S2hpZ3McQ&Yg|Znl<49xv+|XMuObPD1!#eqdcyU9&?nvD2s?5UI^>wX4sf zZCo>j=3(XbTEMu3)$H~A`t(0SW}vFQjc3HH^~1z(kO%J0*@2U(=j!L0rluFEH3&l09)hCQToRV*^Er#ngFYg zr-n7SvD(KZ53bM>MS+d;fiHU~LG;)56%3oIk3FNpL%31A(bi#!TQ;V9 zo1Yv$ZzK*qRzkm-8-FEssW8^Jgw5j`M zY1b}u*h>T@za-ITd+>e)rbcO*Rx8g1#a8))z9c6Bk2mj){XF%izo52?+09(v+8do; z*`wCZ3-Tp@NKL-hBy{V~VLgR&qIKZq?BAFD?}fEEE?VAd zecS1B+j>k@M%%5KpkcTav+P1lu~ckE5@w@jE$v|piakv(Movf$DC9DHgQX`5SG zObZs{AG=2E7cTbYw?w@Ynn;NkEzsIQZY6$B`kcfIId?FnF|xU9-1vTd^Y@K{SaGhE zPnArldc9<{V>g|kriw#o9Z;SzbcsTYUgNo9Fgbw>)bIRsWs>-aWoM)f;>6MMmqL?0E=YN At^fc4 diff --git a/1ano/2semestre/lsd/pratica01/part4/db/EqCmpDemo.tiscmp.slow_1200mv_85c.ddb b/1ano/2semestre/lsd/pratica01/part4/db/EqCmpDemo.tiscmp.slow_1200mv_85c.ddb index 6609238d1669ee6d63bcdc5330cf05ea126de1a7..046dbb055eaedfa21c8ab747313a99b45311740b 100644 GIT binary patch literal 119379 zcmagF1yo#H(=Cc6xI2O1?iSo3IE}jmhlJqn?iO4c8h3|;K#-sb?v~)%xI0b1=A7?- z|9fwYd+%s=)4iout*SX^)!G{f;NakD(cxk5aB!bsFFx3BU29i2dnZRK9xe`U4sI%G z4|@kIDghoYDsFC0*bfgE?4y81ob~)Yjsk=kjA3d7-Y^~%CMp^hj!;(=ny7*r#u*x#x=^rh zaN=S$*D_ZTRUOl>&9CjT(V@gmMMX3yu_$Pq0?)&-C!_H-IR|wof%R)~Po|za*6-iH ze5m~7KA~xBR&2o~Cp;N^K~3H^UbS1uZ`!)Tz-c#`{`JnSDrVV( zf&CS*w0BF7--hr@50Da4{xvDK3Frs5Nxi90buk|od;AvZ!-j;0us6vd?W_U92z zh?8}Pt!nh3UiWmo^g0kijM<$3VFK7~6gx)-kLKTFEdVI$(H2Q_?fTYoGzDck>~Ygo zz7}72%${ySW*l*i!(yKjvJ6afz+LbIG_kpfgJ!?#TRO3^KhoCG_$(iMA<$L%!?E*p zHErr0n8Mr2wDJgiv>>ALS*DMn%M@cZh;#!e(+tTua_$&&T(V{>UfdPZ*)l*rF^?P3 zx$F|v_Nk=&qGUYWY1#pTQn!D{*pCAr1;rKL?$K)*RJ}rBzvs}>2mTZjOToyHTBwci7y5C z!+MnM`?FLEZz?c@93ALK1wxXq^Qxvx0X}XQsQ8~>muMS%x}@-4-l^Fy@#z}hWK1{h zyt}EJZqiG?c{VZ#-abE?_Bl)57X2NcsH8N|*01laXEO0w3eWZ=;?+>osE?0pn#&33 zo=%Wwk3zZ8x1wd0HT9Zj?_w3Ii^t0G1AvF=`188ddIq*{A|-0nfBSrOn&`7G%N&tn z<@dxm*o95nBT9Z7f2FeTHUmn@ekfNCKGQJsDqr7dR&pe~LalRBcC|?npudhiWWRNt z;o;rurW10ja|SnK)ZMm~LW~?clho!%fZbZdLJ5SKD_jN{ZVOvw^hpw`Hwc-kNdz|T zZkqLiUbNz5AjVPKK7*ZtbQVBAi3nBWiS?DfR;SnR)k~#j{7Q^K}iKSeMX^Z(vaX`k6JEW*qct zj{9Z0sy!+gpB{dX-RAD3S#LTm;9xr$xMqwm><)EyY@%`#=Mu_vIq^fSR^(oAIpM4r z-1HqWN;4I6!9N*Rt9!mt?lxESbts~7?yUE>TA3Ha?(`N>qFH)7ytB1@k(Uah@T*@5 zSMukvBh369cz3?L*MFm+&DhI03Q=A#+Uj-E%2llTdg0IEH`kWL7BDSSzkIUQ0W#$Y~;7f*LEdBcd3y*>ary8$HVAW z!+=HZ!qs5+sevq~4y)*vOD9rT3nEBgVBtbW9SI1}(x7}rDGkV9< z0eCXw$Ru;hfn&@BC1a=WkLQ^c#9jEaZ!$4&nKqkt<{zUPIfBi}JOac0PLZq3EwW{5^zCIy!!M!sF;YEh)58Zh#8{@)X4KW>*y)u=&5n(-mLl3 zqxrHs+8wm(LOKQs9jqV8?8zJ}Kc5Kj?dIrC2#qFG!;XK@GGo8r)&d;jMyQJrCNp)F`s ze9#w%z`p@xW;PNl5qE6cITky9V z5j9nkHw^wp)RjB0hEmxyNbUYgXHDBvf6M?~L_m_PDGz z#M;u>C^^4aU*Z`LZ?k<`<8o%Mu2(S|9yZC{x=6cS7M4iLoI2+LpZF;|SN8JGVV@qQ z+IB_XLz}SJ~M6ICsLo0M*<-S2HlWf-!Yn?59tve%%uy~0e_tWwk%`@ zO9LkM-Qv@~I+0xNJ}T{25vR3m%%!#B4}5jYIh!>6&ZKfyXNcaNy|7QeTfVc?wQAee z^zhRy^dt08-mLS(0Kb#*+1%}E^SU22;0$3@5eH4j)bFU8sN>*_mUvXc@$^-0*JT0Q zsnu|CF6229^EYz~QNHZ{BF_((bO@30&3zwHhhA(+ABQ@XK?6k zUE8nF-97Bz7epGupZ_rU6k#S`9(N12-5==%72Q9|ojT-S$MWV@V0#`;g}Rn&3VRBA z`hX{Q4LA+{JY8X%&MZ5Hwc6}3jclGwn;xEYtbc+wPlTF{EqV4l26Y)x?G>I%`1Tau z0$bJ@Gbx+a+f`Smhr-zE#VyNUMJ;zPgnkuo9Sao#7)}o%uFdHl{rHf{NYVab?T43{ z^F>cxKwPEDgQKobH%R4avop{_uJEg~`~&7`r!}d;DvdbduX*Wtzb|s4)V9JcM1cub zQr%AO>jK2a{zKR3juH=t=&Sw{dQIQ@qNLV_Ck;`=WE6JTQ(}CS#DwAwEX|a4jW`%j zZi&yW%vcAS9iHGPX!3bY#^vy8L`#?7V<9#NS~IgQ)Q@NG$IUPN!0aJc=NlY8V;c#} zbNhkkj)&BWjk}6(9qKC59X-_F_|=)@^H0$gY>urW&;%oS+yhv9`0>x*H?<2>rqc$D zE6qFeZWC*+_uF|!tFFemjuEXyCuiPX^#Pe8fIR53m{-1p%e3Ea&_&bdJ=%Jo={{x7 zJ5NJw0hS(j?iFFCl)cOCJA(buGJ4UOOLl^auV<#Ny|D+6yh1c`*Lm*!WVL#D9D1wH za&9x6#;g7(O>w!0Chk)Bq7&bV^E1BsJ#7N-KfQfwytnPxtGOqp^m-pTVo&^3jFV}` zX)LzYw&UWI3tcTW8eQw~7=7}wbxKKpT=al!_#o$GW7I|-Jkm9kbj8&UHLUB#l07 z-0O*{>w`G=h=yy=p7V+klISBx8+a?y+yE&bY1 zlQjOjL?ubpYmZ~#J8-XFQ?99HzBd!psXN~lwt5z^YY2F}-M#iRnSGiI0;X&USKA%*ZeLnG=3F0%FfNBn2PV24d{dP-D`IfnaDo`|&mG?xr(ABp9 zQF2Rd^>4f{yX>BPx~0#wYGO0dT<)UpcHU8YI*Pf39xLXKne9aij7eOdwJmvfpJWg0 zsBzl&<*v!cji)P zJr6sm_I_}vo)5aSUY#Q$1c`MJC3j=>dm;8DEw6hFBg*Bt|5o591g3VCw{pSzT0kN zZgoL=8Mpm2v4=(idp<{mnS21~hJ0@I^MucsPtd)(E*9Y4SmknRx!icce`N6X-Gao$ z!7d``Sv3QhZR*Ae6lCs}gx@tSdVe#)?`1S&4%xN-^zCe0y=qOu|Myy^skCSiv|2fL zkNi4ym)nceN!gins`P6;bvFny;I_v+7bHe^4V1KW+weamzHzsr7{3jg5sRJ@`c~k%p80!5vPjp6}h-M`xeRs@{EW@tHy=Gdh0U z&CLPjKC0`U;Q0ok19(>5AcSLYx&wSJ!vSW?9lOGNmM8MUF3fYSdt@*owcUH=0@^Q9 z$1>|K*YchbZIDlVePHDzX#T06gP70BeigVQ=Kj~WHk0^{b42M#dCB|km{oUVchfIP zw8&@n{>MALC2+xRZv6^#_31q^(c=$m@xJ$M96jBEUMC=ml*8$~fP?8bZZ)9BdE7D8 z(}xe2F$>+_?t41bjz2^O3f>I-;Fs|2f0Mhm>}T#4#c!6m6VN2PH}Zt=cE&bfyE~`; zZZCbz=S^VaeJZDL&{<*1J5dIw$L%-WiyhwOobT%Y?x*aI1Dv1xBS1{w7OcH9uGjKT zT@DkY>beW=A20#-&t>OryPkWUBA*`ZyTkIy+%{V4?8Kp;GX*H^%$EJPP}PvJ7Z;Y= z14jSungvca?*@H>SndX`UtaP+cZ!rLcx*MH><`mBW51>rjUP4jPWVUO8a`N0oBUdW zerES)7t=9r*=5OfTA+L9Rde0%Q0sfR-OZNO@|Q#3awUQ2X_tA-VJ$tw$3S7HHnB&V zI$clqqzf;XN`=0$GIB?@*P`Fbf$GJnzq3^op|5<&+D$%3_Kje$0NV` zr-MTGrzx8x(~vE9^ct^|FQx*%dW_Wjq-K_O;n|4J()cKw)fI9A>PR89a`+-8C(pUG za@66;1YSWrb=WBn+zV?{LJ4^U%-OHEQl;&CXnF`sScwa0tNmnFhT5qKA@D9(Z8jy$ z@Kmx2IsE7)t=1Ppb$0WxDu|_L7F>?Ibo*)nt1<~r!-krmK;{6w0dM;4_lG8|#KQ;D zYNdkF6%#liR&&K>E;M8P%J$$EnmVf5=ub(;tea>7*F6vH zg&I58}g1CEo+aDFmHnz(dRXx@sos+%;BK|JOMn1a7^3~T65Q1EPp zuUL*+=HkN}VjiRR9>|`f=PW5MW>6*w<%7Xb+0c{@iqdCuO^%NNJI za6%DD=9VLd>w4=SEZ@2>=eU|-qv5KRemrf+iu}0@OoIeYd}))zE-Q0f?WnGVd(}c# z&_n909GG~h?QbOI8~aYpPfweOW%1`BJ*WRr{*Mlep=El`r2r6nW{1^jz;4&oq71el zzO_4odzIW51L;|Z!AcDt_ z4!bPB6^zQ#Uwy>pEv>>PFeH$7jJBa(Cy^bBvt6eyDEaT!WNyt~|>9=(37xiz4^gG)K;J-ky@TgcpQc5MZRB5T!X zqvXFvE67+VMcWvsTR@VoL;cZQ^t#5v(qb0w1fWJJDbpw$L@d|ANG`gm|&zw!F4B$`R{9y=#8qlL+p|K}?v#wgm-k&NKO#jpK(V>1;x zQ5qNx(prVH@yZ7%m{&7K?k2Hj#)rl%R38&!c6F$Q`Q(u1?UtV_Rk}yi0JzTkeOlRR zL@aLyN&bwNIu1|lP|_2fwyyqs~L3m+H+1u#WhDWZ@koo#;os z5yx#Od;A;vJE{rl!loh{cOZ?kMH2Hl_F3@el}?0i1jDs@#L3;7L#Ywch{Lx@k<{$q z`_PCA$HEQuf;2<$@4Hi#0;-A#PeJ$ePud*67KZM%BNAuv@-`mnM5ezw8%oj{W9iua z+(g!`(_p^pYyoZx&q@1<6{3x)VKAKf5DX+IF2K!LXjBljTRo=z&}P57W4a=}pVXrK z(>yMygFe>h)4|r#PX4iw)f<1yvT$xk9p=^e38Fv+sTp8i13?_=UWZ!Fpwoa&_pp*L@?07y~nZW<34r_sFp^C$PrgfA|brL z@)ie{pw*&LQ2(SgB>V$koRrktp%dhb%dG2k#yS_h2QE-a2# z>xHIMXqzToJyM7!R!m@Mf~5l{EuH*Wr~`Xl8zlPIYpm&?gShjEe!x7Rldg`?MXx2w z!HK4n%_1T)Y(1X+&o19~L%Wr4TCjtL>@9}i{f~tnnKH0Xc3)w}=A`$8C6NQSeO?C! zw3H)1g(#d+Z1VmfA+$Zpq0O3dMLjCmNU-jj`0`ZnQAPU>0<A|PSO<}m1{YSUa8Gu`Lo)d$oW0uH9$}%5?CXldJRdReL}u`t zNzP)F;;#Y&iOdC;Y**NwYt46hL__%|AfE4!af$l%v`)upEzTahS_H=xnz)X2*P0p^ zAnsZNso`2pybG%+fm)JS$`X{RcQ`=3j5g79)aJ1o0jhTh^q}=2W(125DNyAo=m5u} zOty1)Xb-c|`$oAh)kJexj=+1UHPT%1MO-~CioYA* z3}9sfr80s?iOYGU(ps`cc`A2-+1je({x*Q?`z;cj)eM$nR<&uRN0{^osvGh{|AGdl zzJe|649pie%2fEo&;zkgl$dG4q?Epe@}e6hA8}JkU3qD0QWjV(JRl97T%)E;KO#l2 z>jM$2b(Daq^6Qw(skH@HA3IT03<*Mzztg`;j7gFHB@1z*;U2wYLd%mJbc0~fIWI=4 z3DXPW{`wrp^DT+jvkdxY$4jjVRa^=WWtJ37i8nup{mQ)7uCDp>uwdrqD}r;%@Yl#Y z>w;hOwPu*%e*f~X61L{zp@ZsS`^H4^FDLdfq3I;X?CMhI=tY1h32+ah*km4r)jnKS z<~STg=Vm?aj(&KMiiZ$|7vRJj2W8;V63@b<;WtIRvm!kyU>=TniG03ZHChrZYsukX=WZn)pvSU5?hp5fP}}ThT}UzVi8sMzPCZDrzY{znqZ=s=_dz`8iz@2 z^aQ}=J-QJAwRGW7!DU0v^BHP#%F~P=ifF8%*L@j`Y<=dMSF}>wQNrQ9d=i6 z8(gRy+1y{}O3{ul&a!hPEb`HbE*k(ghSMeF3J!Y=4r)tC7ZwYryA77nEhb+%g>5vw zF0tS-$iwq7T%?mgHfMCUxfZfq>2PS`*gjU`Cegw{C~S~*B9%FM+l15p@%IDEBk9aQ z&x~C++dJov;1wDZ%z(PpkKi9$q9XY3^)Uky)u(D2fEQsgSXXlWGroRyDwq(=q^iQG zarQzIfcHmm2*xY^E3ByTdNSY8P0`r&&#hz+i5KpG6l_8a`_E->JNsZJ^`POTS~6>| z7)9_=D&;}6+S?`7g-O~dnZuxC^-&WNs zzpE0AcKLe0t8v+yd?PgO>@=G@5njZu2@R_s6SPtuN#dvM!Wdw!w=xHc*I*MsrTp5Q zzPZJ0@o8vk9%}e(@dPeQ4q=8?;EsV5sF<hzkLvSfu zQUR6=*x(8}V9i!X_rtPc!gdc{XUf-rc&dM5bAg|hyS+zsgfuQ1rWyVQjipBuGXN_e z<}S)q2s1KYU>Kci96yt=cghC)a%Ao$O)X$9~S<(03s zyD)t>Ggo|9dPTht>f(}HeBG5y=36XasmT1=pI3J+-ed4HEH9;zU8IK%Q%N~Hg6>!r zEVE({(kG# zA6L*$v$@lWQ!Nj$!(1$0sC`pW?zkdIuw2iT-R`l~C$on@eGPUCpPhWk;=xq4{Mr=S zH5mD%uLAaoLwG+(N89ShT(Q6)w7ccOrl#J>{jF2Pp_TwrESWF=l_L;5rr${#_c<5d zX$73y=;#d#NEBk=z+kxJihIw{IFfX`M=A`#&z$8?!*3qiVQy3wVrLeXm-C^pL$CZ=q0 zT2RpZuN~$CW>v&|4?NHgre0w9q;n1av2JDipU@U3X-9C(73;zBMfeio(=_vIW5#|f z&72L9qli_O?x<#39gSmqnwr>HSk{<1<^}^wBlMK`NbYPgms3B_UNThGgXh{sP;A$VNkXcRS_8X%gMaC;M)ij!z%;} zmC0PLz@caTUmxh&`d<#$Ry!_MF?ZB=A!^@6(AnG4-FdLF41+tvs}$e|(-W-u2@BsI zhQn7yd#;Thih~uK%*@fb^5_z1Y;PPfQax1BsShR?BgQHwg!3a(I`QzYS4FfOqu{8c zN}PyVT~H+N&@JMFtqC@YjAa{nWu|=?3CMPaofBQPMM2vqd|Q@2v~Y(&(M`2!b8!td z%ePy&+>JrTcsoui3yPCZf8Nm-wGoKWO!*M`(>Qz_E&i@qB%>wE6j7T6(aI*tU5@T0 z?sm~zKhw6i3D7dw9k9-RgNHuFX7kw6G+JK9V>z1w-R)tN{tL>-n?z<18ukyR&?0~O zcve@zLRh$sAgrIxQg|=MOt{*t!ZJse))OY|VO*($u-8T~1&})PAd|ghs!OYwWC@Xh z>Y|pS*W&S{>c&j>z3H>1i9pB+>Fkttix^F(LH_PktdFKd{bdaTpe72912n7-qa3(_ zC(bl3t7{(u#3JJ0y%3o=ix-QsWOJuyI7E0nOBdl2{D?^7U|j^jI(Wc{iNP;rdIsTx zv!uJD5?*JPojl9rm#lFS>V1NN(#LuPV6cEYZew!lKS=sYa;r2>9V`X;p+P|9vKt3m zcj%r&c^?dU3KBH6^N+gVZJZBNA%odN&ljH+T*IaK3;ITwECd;|V1FttMV!;(`4H(~<_$)g230S3?n1Ke1@m40)U)Ly6@UXxNg;h}*($kX6qo})hO!PVfP3vo$lzGl&{;Y!!x`0lY? zy$si?7=}t{LD|3^NE;U&{`Fi&Dq2EO`RtuFQ287o*8fne!3Ha&Ni$^WC)u~Ja_54v z5$zQC^d77XN+0c1(za!bv|FYNwsA(=fzg((=oqBZxPkA5hG6O|sgA)T23iZU!cASo6ieWEJ}2voGj z)Mi4;3r3qxRn}8Y^g>-kz9y(3H@b=VDbvu;x&npXJsNZEiuG2k^&T|AIZt1>#yVR0^hrS z$jCrpxiz5YefU)aO^MjVwjslD6pdHO-E1S^EI<(m)QJ_%rVb(<*k(W~jY5A*7i<{e zvHL?+AA`uif`rV5uH{Td>WTyJFF^olGK2pmktTCIX4JF1K9n9_eOevk{R-lI1$u^t z0b-0OT{+q|b=adv>J#~X-p}j<2rk`ZCDDKu6N4DB61Hl%gih5hvNw)?WeSOFnQu^V zmHT!m6<1(aJE2X*E%LJ9-KDH1r4*Bmmwxa&xity>^t!!m-1`xxs@pxT?2lHJtkQk) z;Y5K<(W`P6xkYO~6tqt3K_)Um;q(dZ0QCx{5Fr`cqlVo{pr~jH7@c3TGHR6UCrXKK zxoo};^7i(|{Wogb*&>z?@6mf~LraDw1sT9eh}>CU-gwraDryVz#xUC^$}3)hNYK2g zu%)c-EUnUx-;7e>@h+Cl4!)BMdTsQNM~I(D2M(T51+f(M7yI807_hN@HT5N)R79Hk zq2Qo(1uv6x1_);BU3AVu!{;TbB_gsm^PRL*sEIm~#nAZ3R4h5%+Iawiqd^0Q6Upfx zY&)pz!@h>AWua|N1?AxwVZ6Eq0Fw}E^`Iq(^@N6S+|Ag(vO}BDPNgCEKc_Jr&{OgT zvO76BBKasNFQXqA!D(tAYz;`PuK^u<-$^*G0Vpw&LBSYF7x1}oHh+JdgS+W+Xd^=( z7!N>_+&E7@%$289nouMrI%i3X+|3WS&JUC)kj$%VD*FQeP-!{bviG-uqI)?Aetfp* z-HCkR^w((pTL1|UxlbBn6tykG`Sz&27Ty@{dl?A+HK2e5CB2N|8bA)aMMBrgTor^s zhgW7}q;#djX3rlGTC$_kG^lc#NlrOGvgX3411-7B{g0n z-ZUqn0S!-IX+4V|quaF}#e}UjIyzWPCWdH_hInE-#g=4VT|Hx&|D*nP!Lk?!zN4Sw;3k-T8G*2C zx~2FzCQNxfcpC;XfJ}~eY-D+<68U0m{B-}FZ*t87qe6~Ai%}L?XE**BXAKGh^EH5` zqyTUL!a?Cemiq9R411QEP2LX_`m9uBV!>1qRP>C>>R)OvKU&q$^x}5SBO^VCddFUo zDkd=cixn%668_eqRFf%)%6@ec&-~-8M9eO+E>cL^10$~HB;$*}Sz%NS0Sf;ce`#%W zt^p(x`zBQKw*XiFe=ffUw9_&=OQc*@J}`!7JTTVqbYX*LGpX;*p6Rk*=I{_`7m_6> zPzpn6Pu)d;!E2@?=d!0pyo`3G@uUBO)sNWz+COanXNbIk<_|$$Aq>Ih+mtX3f<^*O zC|!=(tV9pW2x3~D+&Mw4VmfT?iUxRf{m2Mci2on}ucd)!fnMAgv`X@&@L91uw>fp- zD$eu}$y+q6aoeI@2TCVn;7xo0a&O@jF=tKTTL5PB-2k)MgkCry2TA=MS`oBa*?byE zr!&u}P{p1#)(?2T)K@L)%~q5ZbQ4u7f`(72LuF4-{|j5PFT4>|vl1@&WY5-vOsetq z$V0vX|6=w9i?M51H!DffrJtr0e!f;NoURO#2D`(6k0ht5_aHym8W7ZkZ^)4Qq2Axr%;smeHJgWJR|Fd;*qOE`F}N zz#(|p(sgTyES4%6mcR{_f*>P;#u<|eci*6>$^Gz3uD6f{)W!jISXd$W^R{cf#4vrS zws5r5y4u^(+4og@YYxcJI659DB~0f1Ax?>|-yZT=s;OC!cmK7sO;ZUh;M3w#DTuNJ zW$(Kw-;A}B2>pj3!6~pfBMg4VQ9|aiWkBYJZCtjAO>}?g|COEw*aK5fSn8Zn$&;7M zz>oF{GZ6d&NhwfL!Y(mP@GPvlyB@y)2?Ij6foSjAgEpmv>9OmtPnRTJVFU?BXWCrq zd#Lsgde2F&?N-6k-z!C5*1qAO7@yt{o(Wv?fFd=xBmNC7v2n4{QC*o@I?!zBap!R|LA^v zHm=rl+3Yw>_dTkg6<6=?d!o7~5m2n6wp66(8ZQf;6&KAN-dggWLU=&q-s;0x()uq(7~3U!QzsICbMxUH7$9Mq<9(WiLO-)6HxmG8k7>41|)}y zOYIvH01Ea0H`(1&nn}#kF}MCp0P*qBY0poUUreLXyj*XY+&SmV@% zmMs1Vp8m?zi$nY;fPtyy5vIugd0d2p#ZY1DZ(L;UK}C;@H51Pr+IH~aPFye|@}R!d z%o7$bE7*-Vws93Qzj2ieSfMlc!>HgI?A<#Hej(}shz?nM-+6m9+my$i@1;ugt9ovH zzUj4>hu|Y;{)8X;92>UMmyNcy{ex1m82m!EGr%``=cg1zD@;lsQG?X=>C~CM{{dHz zW`%qEi}v(tlO#PKhA;No{Zl0lo1He$*@Lq(xdmF7A1*Jd+{GA^8W=~IZanwm(ZJ8B z=95t8({32c5Z!5D@%^W)g=^?S>7>z^U}8q~K^-|n-e=m>&BhhSZMTIv#xv#xK`{A0 zd+tb^HN>g<9}vwXGn{Ciw`j*88hz2oA^C5?R7rSXoO*&~`b8n6(Y6#I_z3X3R45LV zDSgSjsW5bwt17lAzjPt966O*0e8cw?LgAi;s( zfdRL)LLk`9O(8;utLH@I{WOQJroX92GUIGV64!tV=+)!R0O0oowLcCzKWsExcqA+Z zN3AOeUrFV^mWrMg&u3^~=>HeifgF}R$GrJ;lDQH>mk-SDPkCVqPc>}z|HQBoe((d% zA9sHJQ-q_#d&bbdY=t2iYf5`$mPz}%32L12iLBZhFIDQVX!PHh@}*%dy<#=2r9T!Y zd`DYhu{gt*qhTgPgr8ZW%koKjkTM~HrH`yF9*y8*DPZ=8?nCLJ4z3+N>N4s?X>$pU zgH~E&Eof>(hOyJAotp)onBt~fj(dqvT*@54_~t0{2;{L7ViW`he8P&rvE(95)VYGk zzgqP(ZfdyADoFO=5)ZiK{drzVCY`5Z{@O13Q}m+_CN=pJwU*h0jtt6lqTV{I5gsWH z23K()bPNMaQ2`QD1>Luat_jN?)yw+XREcQh2B2X+BDZb!-?x&4*Jqhw#mrLg`i}9iJFoO@4p)?A`mt8 zwZT&yH~(AKKQ{CiXr`ZX-{jB*MGao=7xOR>;n_Ge3%XVEx?Ruq24~6{d?unZRta5vJ6$qRdBX9 zrcL7BT^U<$u~DTtyZD8KZq+zr)zT$l?UIZKw+;bVlgbpcOHb2}lfYpqRS40lfOTsR zb-c-n*m)3_5(!Rl&L?xmx1U1F7RUDa0DAuRbkRmx!X1&dgCh@Ok zzY2TkJT(<_KZ`zR!Q#15TW`-*SjktDcJeHDiJ@=Im&UQg>K)-Up&!P`ZCF3eDVLM1 zIu)8GBCr+~NYJWAIydV`y`lACMLtshXFUvJ{Y7#J46Z6*l#W@d{%6K7ngn8i?gLYU$uYOzTX@PtW)n z8g=_NCGsz$g5fJrfdm##(?tR56KUEuj;PLHjNr|;6Dhad?ZyTnm1HC&kJw$ft#<>9 zt!66rS=GYk27yFY4ew`vwTmPFX3U9#HNW3|{um*lwYR9Jd!NzkDEh?}#D%GM^~AGl z@k^T-kYjdc@w7gNcNIgU%ZntP_w~D~`-p4Rd{0 zKW&?fYT@-*HjJ&DS*R(dTu69hr13L)l!p)GDPuA)GY*?Atte^M1n4@bvGAcKEcQ;g zJT4^Di_-i(@khBEl1Y$wcms-B3w}yNX5nkTg`9n``I|-XOqb&Igk0pR1=OlPZ)>8oh_+ zCuh(#kcOA+Y>aM;4rC*8WY5A~7oldrl82#&EMXk4cU|;~4szc=)TlmO)m5(!LM*|u zQJ`~H=gj$1P;8+})z9#myVTrqAIYTAy}+4;H>wUdm6y}*uokO_nxy++10h8k+lxSd z2sNVX^i`$RYfa{>jGpmjCNZ(v)bxeRY;icR6G&|;U@&@clL42>?BhMa`G(2rY*<{p2DSX9X}jK zwrnP+W}L_oi-ru=?FB$ICs@IHHtq&)NbNc%5sL<*%CxmZTh%hV zf+0P<7bT2hCiDNENvKe(1go@h$$SD#SOX0LuzqTG+9C8r@z@{whi<=(0wix2=p(|T zvC0iIs@G81ZFKv}lIgOc^xRQwi7jVOV{PEp)=kJA!u|-mbGO$=3LNh{WwrE&02X2# zoWaSyh(+mUX5J#IbJ+>?Hbf@ST4$1KA6<_O???2E&SBqd#?oo zf9OXL;Tx=m7jmi~t~G7(og&$rF=uS+!mtRxjEwwE(lCO8@)fHf`BlNcQRqc#)?+Yv zhG8Zu(n9q^1u=y^lE_em$gTSx7Ks*|7J-1^N zFn2aim(#G*Zjt4>Cf$Lka=zvSi#a zs-iFGs3aR_hh&QrV-nyXh?JP}Q7Mk|X}L(49hR(qz)7*p=1t8A=1rwfrRYSeBq&RG zEt!?QfwnjevYzM2afRGLIDMJN4y#Ln;s`QplsC zE*MlrJeK_0Q=!xDX9_TPkzVIq96l5(zv=+5kI$e|lf9}HFS zF|cGV5X{DT3R-o;L;<5w(Fj&fazvy+V6fx{k?Db)U$9mA-)W};UKK94PH;yAVvhYR z@i;%2Pgw1Z*>|XEh$qS%OsLfDwc^Wx58}=NSVgU~aYV^5B^Zt?Fm36he$?U2`4Fx8 z$3h8Rl;*mKHeG}B4NT`o|VJCzkeQ<|G z2TAUKrpr<6JB5IEU3A%4W9p8Dgaw-|N~59{jK>?N@!=|A9hhU4j zybIeH6b5F05&Z+X)C-yl;$!FEfiQNGSfrN0&|PHOBA_B%ejw*n01NEPCaJJW9h{HC zDEq%;;^6V;wNUh`Ca0lfktrWDCv2`*QAc{6cA+rQei3(A-ug=1s!ZLH(O{DGvLU@} zN4H)GkD$#kP=Vcl)75Lets5P$ai1dj$y3+#9~lE9PoUBKe+t3>!RCuyk9y>77z;)Y z+zOtxImuoK^*Ila48-*hr9&PdLs8df))Wu1IF{rcB1FFk)j1=9wx+<8N=OWxc`_R} z4~4@r(g~vU7Iwy=HNJ%frr3~S_Jve9&0KhbV3=x1$q(d6PQrwgwgEdqAAHCwwb8D$ zJZvm|^oM}eT-E(R4l$g|K{>N0gV;8y_l5X@`*k0}3zBSpL)A9Q=-AtU^sx?EdFmK3sY?MUQ}SxI^2|5Z`5yTj!fem6>kF68cI;lJFAwk?i6dK*UEFbWP^|EwFpSD#*m|cj}AY6_#t6 z`UIF2!kSUuHysHt-NQfnEuJ>iHp-|lZu@dusVW=Om;FyAOd7InUi1p4-skxu1iIdg zu+X!7j(veEiq=WJGz!cdNBSw+)gJ5Y9-Ng;SgXd1moF*^D-rvMk4TUN3~k;wFV1$_ z!QkQUYOFe-Rz0uy@SBRx?-d$r2RF?xT5*N;Vt4AF^Im4X!Z{v}ijk)?hpya?! zy(R?i73J`D$0j}upOQ1J=x*d4eOFId(Lj55PQ%+G4^A>fFJaLn4YSwWz1MAa@Iw{L zhyT=+NrxB9luFk}C^!xvcLdM(FxV%A45Sg^^aAhHZ}t!u_9QJv(K?BaVTE3@$ZtyV z&7e`ykj7B9VG4m=8j6&81U^Ds92L)cp0i$p;qb%r-sn1&FpOI-dbM;n2XlsGSxQW# zSE|zvz*vCmc98{~@DD9hqBTwU}-a^C!W9)cHpS^E2qxlZnw$+}2sJ(J8^0>3J+Pl{A=RQ|F=xSoH@{c%P zQBs+}>mj*$BUp1@>hYn5=+BQ~#M)=|ym@kjP9zKR$kNPFO8MG8d0G*@PWR*rQyalM z-HyqO1O(Q(kq~gHWYIU+d8-DXMi)JAKG+o){|Bmxbl@8o64=4Qh(VMBLQvK5bn+)(IU9vd6ftcd0-=~44EXtmAI_2?`2wp~yu3cnC* zkcajHepOA5&g}=mCur6ldi@z)hdU~C{pf#rUdBLB{AH6#-qHsCp{n_r37AOa5?+gN zb;pPru_6`@El}_Fp>w|TrWUyvCYx0MAnE*8bbXL?`8*UND+WD=w0s+bEbifUw1}*W_+gRIq36)MIA(+qwzI{-fjWXz zQW<|Mxd>*20LkAq@1J~T97*#)a*cA_LKbn+skGmtzl+=A)o-Q-bUo<$vHa%bt`U>| zJt1}YJNe9jNo<4Qe?n@#mbN9K@;l3iRkoxjytpHS^?IHx_NaS#EQ zm&s;gdwCb1&Q|GVt)+cEX(M~~o@7+|d;YnI zeK*Wlep+1f71g=~ey_joKgq_>2078A;^Ftx=BMD0P{iA1u8z?2vV8He47sGS=C1Ih zT~Ktt!k(d0&l^TzYkAh`qbih@o6?_(NZy!R2MahFQ6YIyN%6kNijl}gN+-6#s4Pai z?T|M&{px!4aosQ;;pQWnUPVk!h}s*f=w9x=cmH{S>5VEE{!>U6b{NOzb(G|-N#F8m zurBZQt;&I$!VS^OLg%^eHHwlOcx46EeVFnOuT1$tYmp)2-S1a<>$Y@|%Lic{5aO&n zRYdc@Q_6=85E6Do&`~GPy?jO4lM$2UPXQBIt|UBTy;)3y&%RBjd^T zh;Q&z?Yi*NlsrWO@kHyhrHxh)x`esWgCV)FlvM>SpB5Eq1gOB{1J0|$NvGV8e#p$_ zhLKBFZm;Is0ffHM>I!Dmvr!C^vr%=A9;g*MT%6P)eQ>vhuxlQ*5mK^uRbTs-K5CQb zL_Ci(HQ>1&EglQQ_4?MHm8-9`!sQdVul0(3D-kDT4tvnvI@Gc*Hn^>HC2>{ zUX+N-HKqnl5DQS+1H`hR`i~_Q!ofA^JB|w#%9jBGSm0y+8QJEKJLsd`gz5xCE~|~g zN;#UBIoG0p1ndL^+1pCkv0bshVp_mjjiXLGifrOtD8WfYjF9fyBf2e4L4_MzNP|=z zV5^=x&};lE03Fs(%1nUI*`JVB%JGuH;^`+^)~VV540JN*j@_ArnP(|OY!FaooO@+@ z8vlKPl^o@|5{d3W>ss6iEUx1KG{||d_{S{Xe{0$}q2@u@f-C+`Za3?q@SQs(L|MZ0W02?@H&^>K3}bZ#ZE1t z|HD>`SoDy4?Zej36HRcq_{2+&h#Hli0QOl9j`V=?CA)?Fn>WI|^kNc+4!uJU9DmXA zoXbx%$M#&y_v}}iCZ2aedx*YC-Hn*9&x7=kQwUZixzd8gZl#j9qD zdWu=E+-3b{;bs;8Tt#rrVF4d2%1o@uuXBs1v&CqD6}?&aQt!3yBUyTuM_$B*&9@b zC7S1LwIQC}g(Dr@s=|j9_hnVh7iG!F3QNvUyJHb`Gg>UJW#Q=E6t9zL`Nv&wx9 zc>JsiJ-F3@vlM#6@0ShH#JKZdeOd$Ln{W1OM~GdQ{FKBloFPIz+8}-izP4bx8iY9) zi%HEvU(vtG{5OCqaiX6o3NJ*Ni;>i4mStjI#=l-Bi7Hnnq>@jWCjd%~cYhn8GmWrN8zp+Otp-p*ny zQ92M@7kf?k|KL`liWFZ2oIN}0^tG+(;u4sH{^Z2G0mlB802htKE>Mf1L8^-q#h+G7 zA+IqepBb^QNL;;TMX6>5MghK-_?U)YWMYYb)TskqnHc(O<^T^CDvL#vpAQpXPi4UB zL@~vx1rSa1EjxKH&nfWlF`+}} zSD1OkYdwByU`6pgs-#%7i=rLH$4A?`^6t81QuMclJlD!o4WV5a{wnD!3kV zUg%3jfEw)E$DfJD^v!FB?po`oFcLkvU@(@#$8wNP?*UfDn~uU(VXKuf*p685lwrl2 zw-I$G_o4#jZlkM0$X3hVI)0FK%NcSguq;(Wu9{(;UyU7j@OW`s^sU*~Jl;EH6ib`o#VBmI;cP~D#%B|t8Z*NH&i2K0F81k@#8g&eep9IN zy`S1MKIf#0i?{45RF9#d^9jNmP{VS4tk)6yQ>R$l$*V(ig=mIggGpi`jPwgKd{Qc0 zp=~&I`0f|HV$jY19h)@UhMBGS2jQdNr{;08F9XWD+K>ddcaC^pK510vXMHzL$mxkd z;_aP!8l~P5)00CEw9ndTcN>^yuD)QS((xVb6JkxT>Gz4gmyZxbDQ{HCX^!|h6%Ef{ zfrY9QR4AoFps%AL&AXWhXS>|b9YIMmwle>rx#H~+KCZybk-f3&0S%Jh09fk3)AGnq z$L<6N z7SRkLHfYCWhhG-%NP>D*sF7ex_@?D$r~y;t2qy5&2$ClL&sY7QSWp{-qiMhpQuaY7 zMD&j17J}K}OfemQ6R0JAX?P6o$ZTQpho9o|n8FQOGDC<5a?+V<#Xhq&M;=#i$0(IB z^QvF-nSabX9zxErzeT6P$$KNSj6ZvV_txeX6%nVd=jVoU|8ota3 zgBp7V8T&15F$T4~;)~|h`c2LZa`k;l0WEzTvh^!Awx53s1v)BxAbiCWsWsc&Xk=;? zn8m0et3>yDD5mp*gqVgoE#L)qQOST6yt5T*OHP6HpaghPGXg%BSIXz%?uHd4#D`WM zsKY_iy@WrgSSHQpNlBLXTkmj>)!Rp>_#szLn@j2px!Fpy<6TZc*@35rfOlu^M}`?A zA#80f3e440=7HoTB@`HQ^_-B%j$6^}al!Z&%?}$cDUm%J{4J%0KbrdiT;EF#ppNrY zrOB8mr|ybZ8pj3mZ(K?}Nj4=ul_n!f?mx9*IE@C*&U@#6P9OLN3ZtC8{;`l}ItR|H zHqR@T+Jn=C|JSdlq4t2`b^hfK0hL0(@nWM=qnrEUDP|W7eAhjZlXnyUmZm@ZKS7Bvo06i7OfT&|Q@!t&5_Y^>Ha-2Xe71LIQPMG8Ej zX!n}mssAXnPI+XAPe8*0jnsM)Di!`?WvkLW&_7~~K@x;9 zA>?FuK#4Y(yr-Ty*jBuu{2yzi{V-h5lEd?ADlNDHw79%dg8KKSJVfRSdB}`&<=`@S zxs2XB<2xTQA7FcyTs9vRNM$>G%=J`wwGUMPPpiss)(?IFpw9=le$(~n-p;m}N<#l1m#O+EDcU@G-bAYUOwMz`;f?y23fE8?9f8ikf5!DM`VWMW|B?H@ z9O9pHf4D2}iLCY`SpMh0icm)ciTN!_F6JDE4sNte5*dmE<5oMYYT&eH&~KxAl5S@* zAK7d^pWwOJjRUE;J{$ib?(g>EgPF`9YQL^xz11mt>rcUwgnI>ft;CVoP27G_BtXnJ zdoO-q)p$9(LZy)Q`p0N7je0ugm$f7fA;QJeXvYCoTk~f=c(2(f6zCf!+sKloRO2wV zVLLXuj=lHpeFt9fj2raB%@}WiEe$7>^1ZgQ!r7r$7 zfA4z9@h#iVM>eO`nj)1^yGK!O{TrFz*5sB@a9Q+*`j_{VdU+b-A8bFMt;`FRF4I!@ z)qO{dl8Zhht^@|l`OW^Yic}O_E?;DJF+MD|`{jZ--iRYWDQfinouy~i3*tkHkL;MY zGB|N=BQG`+?O)QI~pCI-~+ps6ZpymWB;_L zjbCM3d609S-_K{d7-eGhnAB?DpVi9o%Yv&AK}ORjykz9A8J1QsP4)F;W*u?y2k)0ZO^H=pYm)9BL@YgJ z=Qq4uH{0QAM5NkR>;RH`J_*>2jEZkrRFTL$J^QW#POXww$qok)&jtCSv#nE#V%+Xo z1`O`yi$mgXu4AL#kFsbb8<(26F_Mb)FS*OHU|c2@yP4jE!ald%nhg!6a7hCO+HI<; z-Aq+FMc8)+RG1;Zf<*q%d6bKaPk=NgK%a*$XFi&GoZMS8NAqY~6_>u!HW*}C^G;Ig zKMg;+y8pF$erB2UB3Q?mVRLNjL#{Z%txDe_*c^BINAoA12I=k&!V?k>ZM>VEmQ9a= zta*39-RFm*3@iqVjJ8_tkG-H&0XnMJ4P*tP!BXnw#iY5e(-s z8$ zVClMn3>L#U8Sn#HMi!C)bi*Mdt|06vm`CFimNEbCWwUSJ2b;F8einW?of%?Q{L$q2 zoBAQj52HqAzF%qpU$NZA0WV^1h{HCKB4^pH>NS%Qg&US&p z4KJ+NWt$}8Helycf(qX-YfS3XZuN@{+PiY{J66}&LA+UphcZR3qJubIEUulk4=%*H z!36jfqTBN(T&nag*JQDjdJq2jl>Tf80%Ubtbxw+_nksoZ9U3Ro z+;T$YO589jpF(6@N$MKb18_|Yd3S_+qzy4HDY=GcJGCNur9ua)Y^Q*JOHn_y z64JQmx8e|rn#;I%qH!#3UsP~;8DPZD-=w9=?%O%Hh0>g5uo{`M-n-itl`u^pnkI>6 zy}ek6wLhuyj78l&_JK$(qGwIVfAxEwl=L91UZ@RuOg+1)3>BF)-f7F5j_$KlQe*~J zr1{`vmPjN=V;T$rH`P`4gN`PjLX-#pU(* zB_`5zhpiO|W3vBUI1X~}zxyhdcIU3M_z8tpW^NWNqDpizA&s}O#W8uAq1YO7m5j3)qnUgM{R2*QNO}^23_z_)giQryRJ_#E2mATgshn zf4f~FstEggk+ix`OSc$xw)A`}VZW{-gjfz}Z0CpEb0+*MiE7eDTI=F#(-xGZd=_rz zWm)V#trn$nyGvgvFA2tu;_dSLTU2K23W9_E#Qc_UCMxaH1qw_s2dyc^O-GBI#-tST z0i%CG4Z|$iXv=n^M0BZv}cd;-^&guIUqTmEMB# zC*I85#J5pc-z{WV&c>zExa3^lzvFN~Sb5bY5Onu5xlGAZ#CZ-K9yn!sk|>xoI;qCy z;%`>Asq-i+l`82gf;iBvS8*DCogks6Ma!|cv`pdKf>j7(_H`x{ddbOjeQU4lB}k{% zG2^&$8mMqq?<)NvJpK?lByg21@rO|w9IB9b};W+|vvaer`jl`#@cb9E@0B285* zThM62fCYA>>t_+0-J*E5n1_H-jzQ$YaU2ctLIOXZ-L3zGy(=|j!jh*f7n90(=!K@d zJ|{^KF;26%FaS_{jN^gs$%>ZIfKQQCMejl(CjP3vp2hcDvUPQ-xV`*uGae3aIgtCH zh}}hE-oRQys?jm=auXm{a_oO0#XLYneTw=GsC)%1lA6H|F7dJ^a<)rhJZ7MA2ya8v zOxg~k!Kf54pYr+qx2&k*d59@z=SzaWw+%R&$5vK=fAo1$aYXMg$%xE|x~Sp=NN?hZ z$tnq*^e!J>$<5tD3&*&;9h$Gb*@+dK<4#6PBSKBHMI1x*mj$v^vkkA)F@goEZ;o-s zF)p8r6B^D2@3*ANYhMA%zsrImJY=$>-@tYKd4fFtpZi^^OK2uWuc5VaG29rGinN@q zS{ok}aA7>Gm=HBmShFeJZ4zuOuxxHkwV+IAph(n#rW5~URIb=tCqlVekCD3w@Ko? z%I=n71FAWq&XYuPzgCp8Hx76!J8RQvW<5f!4@LNf9PX!sdFMM$p9Y|!QS1+{ zpro6A%bkmf6V6!#4{Y`MeD65o6?eH0-J;#Q$!XlTXlX_;wSL{>fg`F&3Daki#a7hI zZ+-*c)sN>s&@L;n@oL4P)Xyw|r9q^bUK-TSR*&jKbxgBCpn56*-tIFzPooUgDEH2a zfr3gYK-d`~mgpW)cmRGGz!l*0cb;>9$HjmT&yj-Eai*sh)+M>gzse!nY@8rN7fZXX%DIs4Gr= zQ@|Pw0&NPb4X_j3#%)5m_FGIHvG({jDJQfyjiwBi49{(wZd|&dfuYenax(6>B^$ZN zygM3x1C*OS(*p!B`SEk-f`KpdllI99?Qe*um6v?qdQ=i!u;XW#rlC5cN{{xU3F#@N ztNOtcI|Va^2oHO}YQYVBA?E<*hB_-5Wxm)S4-YrRTD>5dtM$Pk%2jGbLuSQ|Co;@E_trHI z#fB0s`MR(P!j`Ja+s_mh;^6BA3Y2H>t#+Rs=JE^Bf%8o|aXhN$>}ag)Z~#?=0H_?`>H{^$*Qcej z4hZ*?k_R`m>{ULDdrH{PpOFz+2E}3W&dd5a=OL`X>dJiQ-wOdzMPKt$WvoH&D(5YgaqF(?s$( z)1aI9+Q)e8D@$kBLyo_gv@r=7)t0nqi3X%}6b9?2cLr@Wb(EP#$g-LXog51YW{&Is znr#L5Ku?-!g$-m$$M-pds+x~6E+4HiLJ?3&aKIP-Hxl_g|rUl<8zfP zu>7?cT@vtcae?`T!|~M$Yw2jRM!0!Dz~MyTkn!)uKNDT=zW8} z7t!%M7z@%VB60UYu7xQcrKWcIx%^Er)3P1Wm4^Z3^kK!!_FLa(GWzcXMS%j#&%^XI)%8+ z2SvS9^c$d%)Ne*i?ztY>uDP*|D%bqf`z@!Rg#X$1bb_w9yjxQA*; zJ|*ZeZ--fHnCgxgFziHAkNk5vvNg+m?TQ!_ zBuPMN{yK#=JcZS#8^<_DF3vmcsAR~k)XZy*@JKxa@8h%=8?tpR%bcR417m~|Jd9%z zs(ABbPZx)U<+edG1!ji&=!S`^NL#}j|2dW&v&%KIx{W2ARX&p&B7nv&D~r1yGZx#P z6~}9;djC#Vq3l*n-22O4p?t^N@63>MTEu6rk<>pu8jR$7b01`)UMkmohz556EOI`h zzf`SX9-)EUutA%aM`a@DHly)~R4kC!l38SQpl!Z_lZUySU`VA0${VEPL3y6tetd5L zBb(S^3PBOoAe6BNxRP)+SY(~jrFIHqxq5E<3{?cG5`n5w$S%6;lHdO2T*@mP>2Whu zB;6^_7?rum#z$C^F&1tW+cq6#ZK3LS{g?vX(HksaJm#|cG*^`=Qr}LnqVW)_VT@aF z)`!sSrgY9{ztU=lU63ER40Yw9GW7vslO=j~o}3iB=ZDz8d+1}JsOxd z&AfyH4K)+u^xO-XU9*|{f%;9A=rSdlG9{MS!6wUFOk~|$b9aeF`B@<%ET>!v$iY__ zXzCB?u?l_cC{X7z523`#b zt{uTGZr?L6zW}cS<6@wN#W%q;m*m5bPTfqt+B|rpv#tf}mzGl)GouZbeT&-mEF6=Z zy7M2RT9_bXl3Bhu05L*<7iwD7TX=Fqj&{8|r76?)tUbrD-JR*(#p%hWIngdR@hCCD zjte-i1676^e`-jGlxh2r;|~PO-WUoJS|eqCCR=N>CiZltp6Xpe0!OBzQc!?j@Q*zm z#63Un2m(q>7lu)nRj3#a5t-`p7h9ydk6TsFT7HNhdv@(rMgM9|LiO0JdVW9J>d$Bd z{xC{}^s&8blKWWiSQ1Ixb!wGscbW;$ayw5u2_!|v%H1{8|5MI@k%nLVHrA&CY!qHeg6E9Q3 zC(ZI`pInXawPMiLknaBzp$MS-SU`Gr?P&@-{IR03$~}f7%#<8sy|?f3De=?%j)yU| zDN?_dAr*Fk51nGa>-*8=3k?B*@r9ZYc6QZ~;K2vf3(DLEueZQex!sG_%FrbhAN<74 z_3c>aTj$iDQBk{u-Ik^j0keopfuR~#i8c}e=LA#iIDnA1{}N7hf8ZkRq>U<)np-&uLHU&<9RhchA)wO7{g&?% z-o<}XJg72nE5IVRT>;GJTB{slzZfO89$_`1u3? zkEiK*l-#>(%v{^=4!F(vd5e6)>neQ|4;Blae*YJojv2CaWy5(wG`sFVdl)(LlDeOo z5}8qfv-gJ!p>;e?Cy7tbsFSBDv687g!4qkfBIjAt`A=PPy>K=7wc{)15?m3Gr11$h zCkWGSM+)lgE!<{xn}7B_t1wkz+jM68aLM9g?j!1L>-R=+a+*p32#n-$?jH&mB{w*> zCWX5c*(JhX(7DE*@5mT>!+478>06%q56_(CTisoiZZLBb!(|y3J8*ANj&Mi|J3Ebc zokacNh`blsZeQ_C{u35qa8yi4rwmTP1pmh%#%dHajRf8>Vb^&XN}b+y{VNkTpd`an zLhz!>-a>=mMREVED;o#XlLozOJfZT8Eex4prFEH**I<N?Hl6?NA3N>nh;e8v|E1J7UW;2>ncbf*n4blJ!=4Aa+?3(T(SFxx|G2{GuZpGu`~sMNs%oV>jkF+g?D4)_a zQ&En4>yWz=1&(!L1MGtNq79%s`G^84e<~BdPV5WW7nd>~!~wL)xK0A)0O-@7zDpl7 z$-cOcrZ`h#zMQ5sbQPJGaMke$hqmezr7jgI=;jYj>mk<$U{+YAh)L`CySem*Znaf0 zhiMEvD%U@e#EM*9eVuW;V?B^_rC;%4?iqWB-!&#fa?tiViqWV3%xiO^%|ir zy(uAijemfd_2^-3#=_pFMl(S#D@kDzVk(sW+=@AcC_;1GpRw-YP)feQKXU?g;!Xb0 zcDHK8cJ)@s@AFa_Fd!P1dbtg0wDg9TljFp%G$R(dN#P~vYNoh&4^=&dyMn#4O9beH zg0Ey+BrR3C$fXseJ4JKPR{~Z*x;yul%63g2Vg&=tbpkHaiwRL+V$&{!+O@RVF2l0v#D_AN2YFr1U1-A(334d;@-1|j^HjaX(f14G` z=ZzzA)$fzr6-5XT3o~oH`GjM6kF3x)xRkkvC*;#sp7?Y<9_oyCr+zcvgj9+Z8%UmE zHww8Wj|s;==x)a!kCk+0h(tG_Y1a76o0V$FhhfnnLR0j5D`r4{=B68^U9FABI488s zPVo`{=c7HriBXL4$Pxw}ycUAe6V53U|PD~G0V^O7{|G{7Kp&LC>;$vi-%&j`{+vb z64c8oe`H7xtl@TW@Hq1xRGeE}X~S~i+dlxhZ&=X26A&cv*r%doACO5Oz(l!9=4sa( zY&q=K34|+<_m;Q~kbyw1KH~gQ7<5Lpr{-^MFx$L|Z>viEEAlrBMu6x-%&v!f9|&1t z>j2vL$6K#F+ne;>mmNV$f$o&rfvu%r;8Ej8&jLT@vX4q<+@=NwpFC-oVTq{CVx`M6 z4Mh)Hc>O)y(=g{HK31hjK^r9^h2msW^L>vXhw4C#4>=ToVJ7PWI5Z(kKqwj#b+)x{ z7M<(;VcdZkSqA120oy&_vpj9$-O8YOl=tI6uSYd`g@D4C$b?rM;E~Uz7j;u4_DdT zGxIH`K!1v5xZ{ly&-e#?0G8Ss?JGKmLjEbb?ft`;mP%J^LK)|ThC?W)abq9B>GQGSjF71Qx%J7O|z8*#&*lypQYhl@kj)`x@Xnb?rhKRw|`MDCD_K#(%{ zH@qGL&__#ygyQpd`@zR=FG#o}kN2U$ZkBSgd?uYlvpXj$54b9!hP~a>G1!8o!qOK4 zk&-}vDaztjTa|N4%^~YeL(3&~!UC9XG$-^FHI2wgCUfb$50^>E<7Vd%=GdM8zbamk z6(}RpgHZH-yC?>Q)r|^^8VCMLL1JP zt1&LuB(b6iJ%2P!^p*utX2}%?RlpEeYR)z9SExj__DtIOrBwB$J@}SrOL+w>OCl|(3vHHb3o$RS#A_Lmil>Siv^hVH@2+C;_*R+{yCWG zW*Fu8prec%j@ZXTP439{yd6zJpi(=1vOi*1*BnWrN zWU&6%@mXVr^H!Qyky;=PIzgg=9XrLn7Nw_XJdO)+DWkJtfv1u{E^5PT%?J-|dezBk z_|k5tBsw4yDzHccr;A{;n}P;w<=mNQu}X=gL8LQq?u4x2YtJ{i+B-n}4YI@~tAuk) z_I?o7`YY1-n*bbex7hhi1-hk=QUfQo|Ig0q#sf`LnqcPBqGrdfqWsa?E}|E6mudNwtmFKDCQ;`eUpr-!bE(!}_N4hh0!QW5xX*1f1iHo-^h%r&@1PUv8rdJI~?&LfiM36pUL}?eAmV zp$xhRY)4t+xYw%eLs8he{nVS0SY<*r zJonU9DjNG^Nyp@{F3(}m-izfl5LL2?Yun(=>Iz`c3!)|c=pq;3<|GMxG-K1q9QE4$ z1*vU45S3ZhH{6=!%af*|rq|<55G5m>#6nk$3zCxs0g!0 zLY+VMNR!guliX`zY6bR+wcIX&B3GS~S|dxs@fj`Ditk66`tkbCeR<$zAH~+jQ%TFt z(YcXRhG89$cKxPZ^=N=?&|`FkYUI*vKMGQ&h&%fDP(a|@D8AK4IW$_0Y*C&uth`nY zKtHH8V~vbv&D->+HRGsKZY#1<{QD>$1Eno*y?f(otu|Ftj;l;otERg{G+HU1$@dnW?dH?F}Ar&`(krY zAAGcC4QS|tV_T?~Z*8Rq0(eck_>d#FawYdX(KGJQF?LNOayMl2`HR$<2lC3hGsi|Z z?V3+#yh{bB)}3^E_wt>zZz$9lfC#;?6;fLUa7y9Q1OFO6@kmilWrVEafoK*hXq9qS z#@LLI(CfIE)Ap8L8T5{f(Z{leAK1q^zq*y%O1irwFKOBsX(MONgcViUbuz5kj6!Ci zapqwp9WccMK83Ov0;8kpUDl+}Cx;dgVKFk{N5sdda!X2(8)?#2ZYX+M(ObCGM_gI! zn~ly&Wq*qr-TclP&N#kNVc4eQT$mlw9?GfSqQcvNw1?={+VohzN%{h~@IZ|OH&Cw4 zyFh-_2j{SAv2@flFj^YSkjE2jS^&60E{c)sRAoFKV3=gCzB#bMpYSU7FGK^oOFyZ+ zbWl%$gLU~z+01>%2>=~uekWDGqwl=wu|!`e9Y0tw_O^?kEwbn4C~;-udy8fO!UL2x*vJh>n876rQX-n?lf{Nt zl&lJF7L+t5y%~&FtF%@sg#VTfC?Sq%I{%W+7ra=O0c_jufLZ}CvBI3dHgr-D7Gu8P z#|aQ~k;(V~Il=MpyXO_UO1A5M93Pbo>%Fq%Si|eTDzuWPpYB*NuZou=THhcdE*OXS+wy#&D;k0x!uSgiJ!xJ~Tz@56Yj?W*l*9fVRe z)nyiGGKx1;>9o;?=>!ze^fP;7p<*dD;3`Zt1jn0Eo=BHPoK?{8(X=r=(azewEc7{a z1#{^+%!g8anW%Z}?l52Vr7TTxJOzbJZ{}l*)sYZGL_lbt5#6kq<}#$>8?5gfpoS2NSy&+%w? zxJ~)WLbp|BRr0u4?yaRt4-YU)*^{9vTVvu6Ye)3XD)*K-NcKwQpe*8n!|^H4^G7ij zn+GiNyON`kDb^M&WCz$G3r0}@|FE&<_l~0RHj>$bq1kmC)OpB@P z1gV4eb;q=2DA8fxBn8;;`gTKvCqSVqyN`rxs6Azn8wOT@8v^*`5IdxMmUF9ogwOv2 zA{f_FuZky-)RouUVG} z?aAz{ofYgUysDGpmZU6o#3S2ssXvl=I}h9MEuOkVD>z;m=o?(F)S$}v^@?LTrh?hs zVz|YWFx7CuE4uiIf6J6*LwUgW`}mDM88j~Dbj*3t!8kPfoR@smLLz$k~|M|jNy7kd% z{n-bdFpMwAxcPBEYuTJ5v%mS-izR~Wc(w#d0> z%Cj1EcK$OZ0+Vx=YGb5gFUqgBtykN-xokKG(%ku806Wa|Nit(rQJeFi&63!5s}Q>wYxkJO^JHTr*V2 zxcFg@kCI`$fWP*rOAFa!ZcwEAasiKMVIbfxf(a4LEOQ?9KD#}B9IvACfqB23-sM9& zyRK1ahNL&ro|Sz^UgVyyBvD(625%#&`)XfIM(?AU-;F@i9k84=Ibx4~t?U)!6m%;;`WW)b zX5stagR|c)UGKkk)I%L57uGwnLsv=eIMx+QqL0&WIz-3sG!bm<+Ds! zAD_kqoiym1>N_T7Ew|^mBE&H0$fpa_P17gbEZkX(W{UA-2`yFhhL3#UwKLpV6F-}! zjrCDP7taJz9VfheFF3Sy%%hll`QF~F51PAUmTc?1ftm@l(@Je$|7u;e4{ZxYGMwRPy z-t;AiwQKV7fLNbwk42Ys9(HCRSDxjD3t}o|mHyGhXSQ45bgotWU29DqG|*;{0_I3H zWFEm6dl?d1t{T31&&)MWlaY)w&Q@E10dB$@pX?S}K*!uG%rR7u%A>Lm9R}Z+#Q0*ds%U`E z^fs`*#d(4Fluah!d8DW3y=4P61r$H_7z@mY3m^Uhs>JNIX{Z?%o z^4E#;;1uO)x%Veq;ds2ml`YIZ&F_pz%B^&LEyLcMslC_`dO!l<3` z{6K{ma15A=2{yvT=0<6A+^j56g?E471 zeGZ9v?WWVnF9`Oml$PbHwALK$g;|ESH=zU_TcvY}nPu*#8~&-q5r=bpvRMTpka5sl z+sS&arTgyFb4T|%@De!$l6A2ffNTkjBY+x}j(-$5xeJDv=R+)0Z4i&(I@?bvH5U?>^o1651ZYZsx`}cvpwF{NaRXz-tcwpUOF=w1{ znS6-c+mK_=j7Mj(^E={mj|hh(+KfC@C|lq(4f!S3PuE&w88^=r5-RU6gZ3Q8YjA~6 zuYeI;jB?#rA5;>mF!QPw7LmFzplloL*aLbzm;BqmV~!%1W}5YQSu;vu7x#tF@}L{Y z77emuj5hCxL$H>U@7yAd26*1e3WNT{{MYpLkjAN|W2s9xC>;WpFz*tMI+#v)QQcjm zD8fAqVl9zw248QTMHfZEZ`{2S49LL9*^a>b9`LE{gfijo1Khf8jfQz+y4$?(@{?+D z<8l27?*(~OZ~NTZbPd=paLYA)uZh%|G^8o;zS+~Kr_i$ve*|mBQ$ntBJjnVlG3ep3 z#hi!!rtsI1zlNG80dzm^3Jib6A6t$u$txvM$`@E`E>j8?1v)>fl2$PcJ*Es!4tTuW z`n}(D?YO}< z)nPSUf6H{3x>SdTq>nS;-SAD0b|~J5^*da-S+`zRniLLVvl6>F$FoH9%ydUmT|(0= zJY_-g>F*vD4c*-K8#h zPFow*fxsQgy2Nt^>21@y#tmoR0msmxX+Onl_t*Y-J)w{{eS=x21G9oh0GQ>)Fv`KqXQm7ducCeVa@`^bYT48fgWKBuJPJ{o;`l%=k;^YZcnLq!yX#|#u|?mI_&@7@iw`TJ^dVL{BV{E$k` z-1YCVXwa=(c`;--2bh}}l7lpV)&h~=XW;BS&03&M$^6h+xs?(t8_KZaX}snk`99R* z8%ilmP{sc}gG0(U-O)O3Kf~>f>t}yhrZ>~u!ZYM?Hl(&6#tS%xae7EK{A>!WuCg}- zEDBv>_1~rKFr6!Fc!7P$ptr#9tqQea2&-pY{Dh!5k@yP}??(R7i#5fbUGR(*ws?*s$ zj+(l~65DCki+z5M%u^}!5^)-rE(c!(b&O1e+de>(H%f{;v3L3@y6lrFIE@Jst718K z4$%{Y<6oW6ockWwLnNZ^NSZ*4comt#MVj@0NvS{ABs}d>;?+35Q^MG$%bCNKV4N@M z4m-@zP971snJsyNx<9VrSXR+wDqe3!Y{jg4$tVlYV?*(G+K`>N1d;^=YWT#px(KLe z_oDVIqYvW!E@wQim2?G+nVo&kFL}_}T@O^XeDYW%7@=NuYt)G%h|3szF%|{`*}-|9 zRs)XmUEad!+fExGEwNCIFYZZkz(4AXi(Kn2%cue18OsnW^^fn>=#tt}OUn zZjpDx@Tgwt2}O4S8%kmFEqp``kx%XqDsCV3Lp}b8248Z2QgX-0pXVuwQ^iZ<@&TSa zVLq#`>^u^S)u{)*pmT)8v5vJ_OC61aOj#UNUW!x$;C^aBI!aCZ6v^L#utM@)5Qqyl zk}VmCFYUsq2~(Xlrb>(4^iaHeFC0mH{}0Lo={Cf1$GrQcAz!R20>4BMyg7qMOk?%$ z?FPFWpx|xQpFb2cy0AU%*zRyTK9(cJY0QPLlA2)*V@b^JeiN4S3)O5dvF33ARVF7W?7<))YOkZO_jXW#Bh*WtFto2~2 z8Ptkk{1(>sUdELrP*%RtAsBbo;RnAv*|dAnvry@J^HL>uW z!52f7V%8kNawa4na+DtpadqDp& zdds&$nE1$*!XWQq_Ojc~>`sH4wJyAO+?5oXD*_QxXY#K+8LaB}aY>;MjzjLVbj7n? z2(umY!L5~XOmCtNIt05!lFeP->%Q3_PEQ}eDrcH!&QQFLc%^{J?#Sw)ox&57TifRc z%mL!3x@|c(h43;vNiYj1vXfr;-yV>tzawh2!EK}%M}6M6czAkU6zBTJvgAQQDY`%t zs3gzuVWTlnzN1K*tVi|0OF;*e^%amTKqa9ykGBo{e5F6+-$R*MPqA>oCv~$*%WS$y2jGrS zRj&JQ()Xiy?EO*NX31k&T-V(Z;n`TXYbG-^+n74u$ns6sadQ$gNe!~urDnIU)HB6C zcjr}?c9u>yyE|xKyxHvi?#hjM{|&F0brBIed-g}z<(%8E?ON$?j5=igtfeX#YfC%w}czUaOwd?%#Rl)Co|d$#JQT^t94u9WDI5b47A(t^-% z^9qcN53_iw(Gnj$?VV4cLmsdF%P%9kEf>i->^?QkdY;x$#gay6#&>MQto|P~eRWuq z@B6k25+9{Sx*13a3Id~sNRNgA0)i+pI;BgxYrqf~ASDgb($eXsbazO1jQl-*-{bvj z*UYGn=J$2jvAibo3b<-5Ny)*;4@U`6%`DGm$nMuHpF;elyO$!5? zHD}G;RlS1ax@Bp5w2Boa(ol%1>h_v(gwz3R?>fe_wY6v{Hi zmsoC1i;RaZ58NNyE3toVT+p?lY-tPFEy5~6gN99rG`A<6y|Vt~qp$lyQXu$Pz{zUd z#<9=l%TglZn|sO4eD&1N!=mH*;@%Q_IovrIj!k^5u%{;@kJq-PL_-NUzXE?$Kj z;Z?eS=AdEUo!mDdtsb^o?gh>AuNhwso@*VRDsl8pQJH$5-S3hlmYOC$BD&LXLF}{pPBzMMgr!wGkki3HB*Oy6wz}gi41>7mjXs2FM)I6gjEQOeq zjP8BiDvD={RawLmUoTDigF6v1W*4jX-Dq_oH7DDPburHs=KZB9wD@M1uabfKi^B8Ne8K;iwnYzO&xsWBa68{J{kceb-CB@)1!%{@;V>4mahpdM)|7^1BMCeAja? zzqchT#{o6r;*k`LeIBO!m5A;6XEt(-K<8%ueTCBxN5@x%c;UwYOzZM<(}5%ns`mAI z3(lWjxg1nr{EUjVj^9`xPiN(EQU;zwd8WTko}JzRLy)vg2#09|dM}Dx3+Aq_~4Ep7hf_m{bTXru`@U2B>)qHfn61+zk2;k%0 zF?&U*^EYW^$XyP-aW&$Q5lsGZTm*74`B}8O0mB?);|>{Q z%^FBe!4H#ol-=`ZQUP5M7RjTsECov$ZHDtR^$Pn#rDHudmoEO zo-6{sr%TA_U&+sv-_;|B-nDD(P|*5>w8BAxAgUN5pa^D}ewB9y4GPn8z9G<1%;9@LwiacmYOob>;$ zihi1)+V5uH{}>-KDf;R)?2ZQPo{nkL1Dp{s^V`?%Kwa)CucRDUxQ`B>zlua(m>7m4 zcZ+Qva_^u>ncw2e`rIS%X?1-~v6hJ*(D=hynk~_7`XMW3UkS;5@D*nlLqt) z#a#hNGQHaA5-pH6)BHJ>iJ(Jd1^fr3jgZNJjCIP%xSmd}4o|9ELBLRRb%@Kz#)k6F zj`llFb4fq#|5Gz`2Nc5 zF`Wb(LjN==e`jbSU#Zs;JA25e$W%trGjZ%4>QQcX8EZ$>Go4l-Q-4E`B$SN2*$w0* z??rqQ$pRyTkChAYEnXmDJ@QhfT0xb0M-7S7iU5ExxRjx2CS@%&)%;C3`IOURYxK@m z6;?O#A${vnupqK(`qDOY+cPLFw9rr^(L+EHR2?!N+o$gzgKQO)TKGkt8>pK7z6P(3 zSI6ktq;da~E&WTnZ~H(xIt0wG4Z!y$_fOe#Kg$421?A5}|vAZ8{nT9X^ zlppWZtrrT5iZQ~fkouMO|6wf7G9(OdtfC-b;WwW1hmA7SF!!Y$YEr`4lc1-B9+ zy>Ze+0_@@|BYX5Yjh^3}72BG?^Q2(RGoNxyoYA>Dh%@Y|=CmfodYo4+0=%Bn$be0C zM{k;^3=Z~V1o5(dq$9bJETJA}WPrw+rSi1HZG#jNAfGp3^jaD6EA}e zBaUNq>I?i3BZbeM;2tYKttjrpFZXy1`vX~0bQYL0FIb%bF|joPIGH|bcr^CFTSoS@ z3S$RyiHF=AkZqc`&59&aYBcCzqDmP~Hig~KM*_HY*z!e!*A=4754E<~1a7decmL%FLM~I4bU`NHpoRAjUXiRR zo2`8w*|+a8t>rm7+0YgjywX@5SsiPTVP6b3I@)UMd`o`z8?V>xdWY%4#GF-nkF75% zS$(D?JyGxdQ{HX^^rFs~Lom&go88sY%e8na8E5KWa{dRYZl1lj&qvifL=HFM@XgBM z0z^n4$<{NCL#}Vx3re0R%l&7H?$I&r@MYpn! zXSC$4lM=X%7<{vf%V&h14&P^HZAPyhlD4$$K4Uz89jX}+*H;ke-}p<(m{Y@b<(Ol+ z`qNh}26f*bA;R-6pJ(!|E-^~>+Z2X#bC+5kp}V_DgUx!L{^I%S{t#W^e<{9{^oIyN?$UL5>B+sb*;!uhaC{$%6606RV+Khdji#Mr`;iCEu!@8WJ#d@M{@;A21U zOU1~T;quC9CD+nRB&Wf9ku08t1>#E9%8I7#5E-! zF}N8$Gja1vLq^RGjvkhJ+i5dqpr%`${Y1l;T5qHOZ-iiIR^bjWT~l=uvq*?o^Lzb_ zp9*6vF{N4Nn-=LxOo-eAD*zAe)*LM3B%A*wh-;}2PxrQRR}>yXL3+WarYFdGSuw zr;fc?l+c;(P&DkqC!_G`AgtV_&3o2}^4|$(#1M%BCwzS7vFY|`JEukbdJ`V};p-hB zE_NuFqPEBiSrFz>Bx0HoebN3d zOZC_;NKpNoBf;5P?gdu;=cb){2hRhYHg7t$SCCe|ro+kos@|anhO2l(89$@jm)oUL<Nucq?Wy0mpM=m(uKN_&%$^p9_@CDJFEPWDl-G7goFKSH2qcFT#Wr&9WrF zV9Ko;U3?7-M^aKi1F9cx3NkH~CNzk_qk}ED!xl$j%bl*Hvt2-i;*J=c{AuBMguUt0 z&R@DhuLbc>Ifnr(u3Mv7P0W5OGRkCiAJGhB#m13S}Y`J zAyjz!)Z3Ug5wHRoS`|0-*5|8RhS=%G?UbpTDQRX%)SRpJ&`Cn!k7frt-ZC?s950TQ zNfIUGFK4En3?savt~0%JGg7i|20?}2?p3S?{n6syry@r`+V`5Ulkbg6j>8UX9dTnK zr&61(uM~h=+FlvIw(V!0BmuVNT)TG85rYj;T45|Rw>RcAsn91j47lMf*;-CNI<#KS zmcM_Va8^_hFKR`ls&T*i)t?K%9D?qA5Ch`-pUhlXBtYD8L_xH9h9!$HIs3t^jC5x* zIbt9Wq1~5j!Ai(l!7#yRnq^Sfv6dvpn|a|OV^1&NN|26e0LeNN`Raqf=C{~Ujup14 zR=l;VsrF&0unR(y+uy&QDLQV8Bw|2))RjH~AE+e2_Bo5sr{hFHL@oOrpOuik7@+1; zMRi*(9u{u<2Ow0?=N)I7w2U3|%R`z%5IL74@#3s!PeQd7TEy7HaHUF|G55~l23FR` zOV~+WOp0is7u%-k{zE&+(^R`+5zKt2{GeY?niUfsm&`Ge{|D~gA^Pu(g0EY5@~f7vnXy>6qvHYn zl+dk1m9f{QRHJ)<$;7mgW+{Xhr`#5LN5sVxrj^QH8WJAaB14#}AWt>jHefPGZNNVN zqHRr}NL^LlKJk=wDf|BNz$qKzf-5Cn^NlptD^SGhFHS&%bhF- zI+TuJh)m;YeHZ12N!Ngj=06XYnu1Db@GF65tSY?$wQX}(4;?9!TDtGyO5HYT4z?2J zxGe(Ko<(f+;5$U6E7P=T6dHuiKcK z*%3)8RE@LfUn6gLr_3F0GKbs}_7h6M@G5c}Utn`xLoTH9mR!{W_hq{s+-8TH!U~)5 zzB5IWe2m^nOMTTGxZ@>a^@G3PfFyqDv1--48tjU6>_6FBTFo*g7#+pfV8no~wKo*v zLuWrj>z7n0%;vC@;1sP_wT&YyB&E6g8aAF{GYmwqlYdfx4~wTF1}x4!1t6@vHm*61 zJxT`|h1XbfDQaAv{qQZ`tCt0`r{2SkoH=40|NCglPKsUMb|UD)4*WheXaukGKY}s% z?Vqy`BP$=0hBi;!NZBB(%9eL~W#o49&(XNzPdT3b(pfB|VHNmMM{#e16M zxN{<=PI&Z06I)ob1VSy4qQ(CGPr}}wuS6gFnSsfti%Il9mAO&=P}K9xn6UgV?AYeF z&y!z%6a-Vu(18~Ol|?)W;YTDh!*URU{M~arI7Tq{#Aw1%3B7UlUJf<#(h~j_T|k~& z1)xy1RJ7ZmyoC$Vgyjv^$gG8jGdz_ST=`Mg4qvr=MQOF{=rQd*|ILKgcWJf+oNR(J zMOb|^FWe2q&^Bzow@-JfXy`SW7I3Cq%UA16td28h7Oz1&FG??8N~Hg6R?E0_5wMu_ zg2oRDs&#JQ03{L|X{%gk`cfJe6ho(r#@P9kTQT&qo$Mb02|g4_IKN2$q;l?_iZdHS ztb_DtnRq6%$k46NpK}}GKgnLw-`h5$jr0kVXnRrBP^KQOGFBF-$D^OXJBK6s_Nb`4 zg?aUP`+qgLiz9SrjwY&C2DbS-O=?)}&XGdsf^9mZTAPpApDGNUST*PL)Ih#36p zor@6clPXE@&;0B^ecAkt6n>evAs4~+u`EisYyQ;?>AeTRPHqolH@C$~?8%QlDjq0W zEk*ye5e(4=+F}0GY(FNa<5ilGqO5~4pz#l0q!#4F(sA`Z}0y|G)qi`igPb*DBsCk zzIzHbmG|wdfR^fLOotSB#xe!DZP9^4$%$RpXe<4>_P@N2}0@{vm~OL11; zzp}4;7@WT6NuUvHTdqV4`>MD+4xzK4()^6Ys!U(YwS;5n^IZz5exV zzn=03u!&u~PAFRDD20>|{0OmgNt8qUQ_S@s;x^`b7JydXk{HLtv!JM0xA8Na@Whyr zDx53Y{i|QIx4K8S{9HVUWB)e1Rru%P-JoiOWR-3(x+*TLC)X!<68L+BbzMbbs0n@H z8PV`z&3~0F%aTUr)qPbg{M^`QcrT_eeWYp%d9YM1=?cEG5ZX`j{UG0E6^pU=)NJe% zj*7y>4bchP*G(&*3{!T?+VS9?uU;uPY1Jf=Cd|B%h_VflH;l+_R5++sq)#m)BB=VP z)Nc6@@8b-LMMA@liCMx>M(FGrv8K8nHz>apYMhuo#+P_{UBK zn1TQbM0Oq5&=8n4Jpn!QM{sR0`>eBxQc`XPDn zsC`^d9B4TXMHf2gEQxt`#5jDwXW1KC??glWlE|D$#;OT{UhfnR_TK4rczVA_9YgYbwL#^+zq9MuUs4JOxF-z+xJ5TBO)yQMHfHu1xgW+pk>(DEdvm&O6(ODvySNc>2WGx>4Y zG>K_=_B`oQW<40Az1pZzZ=$xC=jN%vPZT3a8(WaK^!ye22ao=x+DUy)5%Miy5r^e0 zQ3>7~-{SM%2aDLEI?MAgP+Jn2sc-s@GnQAa%#JorE( zrG5M%f~`tyZ4(Lm@7%M_bjU36O#owW;@4RbydrF8rJ&8Ln3^)TO`U7xI!6H%Z- zS;y&n_Gq}0kqT@VH`O>_2XP@?Rmj7ftupx+Hj2DJ$X~uwzdTvas*i_+o#kUhl(Kvu zz)|-?X}|6gWmbD~8h}QK3x*hm!XM`*{AGB&3*PCVoafbXCt^c>*(B|$3SF(w&NKN% z5pAt}r)}vU^LK#&F6U92M~<}zsvQqZVsIM+ZUR=tjdeUAx`_6jHijwak zuLF0JhbX5t|C$n*h9>jUH@9Cd%2vJZUZG^A7A}#rcsbf0LSqP68Y`=KmWBk@V@UvU zP4MCrY_eeX)^n1qdZk;m6i6dQ((TbZ7jDm%+eC`gL9b8nK!ppRzogaQ8BeG?)|}Z+F_h$wYh? zO|NC#Llm?;S8GwQ?yK(EmL3kVF3Dt^Xex=^rQh$SJn|`llcj7XuAv*4uU>p?gC5N0hNL#!kIL~M z2}gDwdO3-v$q$_rPn}NP&{huJP?5~I;*Zh8r&5Ek6i?hY7}y%W^(_3R>d{kBf2KYw zh=7wvT=UlWnrQAxfV)!4_1i&_rUU{8{(fht6LMF%D7CNk&1Ke<|_L;etRfX6$(dHc0Ps7z-Di!a6Pw{qoHR1*#cYT(9nkyWjrmV zu6eb=_q#0~vYh~FWA|12tDJQ^Erq}OMI^z(+kq$UJQqIuVf0o%H1nYz@KuQQodhw% zP#8e7sd#j&w8r|u!%wv-`S)GG*E)#c=~qnj4%2a{5mKD(GW{S=O}&R)q3isaGq=uQ zr*Q0;=DqQLu)ASN3y&j|yPQSgL|6j}mN{CwM<)9GKvNE`9#w4k{KI`?=ciMkgUxSo zyU&fZi2AVsP+a9SLpN9NZ>Y!g&om0uZU|ok+`L$)Pa_-Fzw1u19-qUnUpT5Y{YZv^ zLqbnpTG`PXmcZU1Ahkyqe;2jpCL9E1S68~GoO=^BKR|vgEQ?FqQf3t3Hbh<6?*5CL zvp7-71ie==yJ52CE#t9B=117wXXqo`N-Ts}1vWw6<=scb@A7RUY<*r}u0hcMz0OD^er9d_k~4u175Dzrrqx)I!+=;5Vrd@?dzw(-7vtEr*`J zHHoeY*&0QkQIpamdq#q0zOfQ- z)3V}mRnwdd?Sd@%xo1yGCfSeW%SgQj6?}AdJir2j+2``i=A zSE)I2}ExA=i(Q5S|woP8N%LC(CFkdZEyD_K3fT8w>Wd73UU*|D*#Y_oc1A>^vL= z)D{w+wxp*0aqCPd9W_R}uD2^$^B-*qU%3nxcJ4KcW)fNZ^-LfBqjxIa?*lh!l?tHW zJ+!p;@yjxd?))hP^nHA+DOqw)Er#U0{z*qQdiGH}xMk;coeRljbIrAB!-nV=H(f!D zaQtRd&>Vj?UpdJcd*|Dk*E0N0P6a>ho9?xOeJnk#fp7v1Wh-uO>Pap1=jBVAeBC`B z7>-gTEn%5~;XkAwGkOpkzBC%S#AfC3L{l=jF@KfUncONo;P=<;b4(NEq|kH4iq*fb z$7YN}CrHPBhN;$^tjo+qb!!it8_L{h_h;YdEW5STW>^8};XY>s4MDz!GBx3|DljK1 zzG$`K%Rv5+Dg9!m0UwE;;UXyBC&jBxJKB$Ga^D|SH&^Y-rCEmRB6L-m#FFnDC#{#i5gb!yjhY*u-HI`cIgKv^|yuKWr;NQnDdH$}2S9ui{ z=o5VONnpyp3BWm#Xqmb!MbAdAslD7pKp0i`o^I#OzB`HMCE>tGeS#6H;8B8+!kKx> zsZLt&tX9I%H@94@#_5y4+6&8Uo?~Zrr%BA}h(BN+E8*Co=-#Lo zZKYd2A8P%uJ`^tzLeGo+G{bgg@z!8@u_m}QLt6X?ERNH6Sq){{1h+F}8f+)e5j#+) zkovpu^@upERs#8-^Gq070hdVVM0@go-N)K5HzFnqHjcN4K2|FWjpxY8r8xDtVNCyd zpfWQavpLT-#S8~Va;up0DBCB70!r9Gw0xlkD?#Cqi7LlqM zZ|F>fhv5dhPUQnDgHAeg9(xUtKPT<6|FNVr?LM`wrsXx@)vqy;boC3T9%Ys}qFG$b z5(Cj2zJ#}ltJO;`zRY0n>LHeOeYC{;kNACBY0!Zf?8t1*!*l>q2-#BY3p#Q%l3lXg zHTm-T>56qx(02Z6md7-A#oS(K5Si zqvcn_Vd}6i5ho2HC!43TAzJW+tau2{T@qQX;4iQivJb0Lq(J6=R4L;d+rD9a49=vz9 zO~k!ZWU2VAc(-K7ah{_sVmTDMxXF}%^}oON*o)qe;Gq^ha)b%Eudq6k zE&0A*cXY+c)FUT?J~AyY`sq-@H-QU)4be4TT3fWv>3h+Yj?swg)dOI9VRauqlH;42 zK_#*}=i)MwHo+>$KASHft^(qqYvhS^XZC4LS}W&+A~jy$7ZgFwwC)ancVqk{R|Vb~ z0a;_^6%Awti{^aN-9QRv2`;qNu}F_QH~R9OeY^8t&P-#bxX0| z3UtJ~wYrX;6X=(^md6xqAJE-MW`{mm8gX6TVKaNWHj#I5y=5mtzIXT~?Bc+leL~|G z=5h!?3$|{H1c{h*)m{db+^R4U9=&Sb0_+i17(o?CN~WL_nw3HsG$*1D65!3Dw9ESrK;WCt0J zXcnGWmSW|I;*{pU1-&w-KjMRAjMSK$X=&3qcLaDEcilgj8kW0NJ&87ifwAnbH6|0F zXtvxZd^X`0b0#+piX>N41Vf z?&XeiDB8BWjr5+8J}aX}+~CNrP6GNLKPnLfgrjVgx5{%d@#MZih6-F~+xIA`w6CH= z=!Nv{zi=kruD!aOyt~N&b{x&Pd6IM zNiDi`cLh;l?N>0TJn9uyfLAoEVFRL=9(MoFPj^kb!An$mWB$cp38K2QsbNc%#Q+vZ zET-q|{*$bdp4YXITQ-2Q*y;`BtL*Mx5R zcD5SI08OsW-N`qdj8yIXYUerRr-8s zF1x+}vANw@q9`+CQW8xxY}*LxbsVNG_H7e8Wixp(@zRss)AYqe<)J)i#AR>I zq};R#Wv>Ww2QEeE0Ee#thOAJKdQEqv;`N~-fDn#6K(cofyqgcIFRNTU9?7{9P`aac zBd~H|+;`!adFiJ2SCkBk3p9j|h%cV!?=F4OY^Dc*+i@z9K7NEV%IIY3Sk9HiGZTK6 zzi_rVBL^I$C{1$TVGR!EZRU{v7VnYw(PZRmr4Yd1N_M8Ft;jo`=twkhZBhDT%9+sn zxHs`obImh3h}wqLh19e&oE$*&PN+-^vV%&a#qOkh>ENiir}GOV4!5a}|1UT1bwxkq z6ol$d-cDwc!`rI&aYgg+^;`Z{+ml@K&IUpFpWnURn=wtlEi9F&RR_LkJ1UoXcAdr z9z{W$$ccrsh<(F3QEbe8ot2cMUyU#R{Fi z6>5Iss`lr#G37d3_Xw=(U&Hp{xk?fj%Zg|Dr}~ypZXn-VMho9Uh)V9R6yeq56L++0 z{b756f11{d91^x4(|-hfG5705VH8SPcKuTOuV=oEYBUZd8O983rhZbhoQ)_)P{<64 z?D{57wC4k3vauUuAHLm3%jCJ{wxDM0IoXot3)#^Vv>2Be9nIQc5Bag-VGT2_pJbkT zmQl;A`X@h-Zbimq79U|pJuhU64E=7ND`vHBOatB?^D;f|oQJuu~ zF+HH%AN#$F()VBaPQL|EF^Lx8*V>#xg^W=c0eq)Sk8qtKHIfFlDhAC;e~-06GYx&~ zlZNz@2tjf=U6#!(j08%(o&vKn5Ry{oU&q2EfA{$8(lRA5rO|&YF!CR&FrAJ1Mn2Kw zIpCmB)C$#fH}tDGK^XS86`nN_p6oU+x_C&1hz)duh*Wjy1b%a3O<6DC_5&HGgdex9 zB|#aO{CAMFLbNNnj|h@@1znE2R9Jmu2&Eqr7m$})Jag@HuyFiH9&@@@C{O7zxUnqUT^CJ9p%qY%rdA#_f_QS*3^<$|~&f~23 zpk$TzzOB&8OXDLq3Hl9evmzIyu5+`RrrZQSz{yZL2C_(Q1)~OtG=@rK399Uy%v- z&7zOs=JELFkzZ15c^GUS=401})iC~GA4fcd)t{XQ>~1TRzZRQMTyCK<`xTD{!T$jN zZWNHPx8MDfqYcSrw#72fkdN5~S8MdN>x~1=Sg88ViN-ihIlioblUF{?#EhRA)GW}U zb`>vwO6B|4f$khhhD;og!>0VMcS-qLtJUFI0NfhP=z{CxzIEsAY2zJh05oZ?Git;d zeL2@<_?tMJB_Z|aT9C6_-G@Bl?bUDXD%QP>*y@^aj3`jpozLCliWHlt49m5r*y5r7 z|6g~xCpTD6aAX*n^@}UTUkSzuO#cGbSQ7RQiGP`-De~vKnp19Y9(Rfn@A1aqfEq}O zcwe`;QnjQ}Q1h_qAPgtgT7s~gyjiQ>i<pt=b1Ml8!@gw`3lcH{D8{CB7=rG?HC zN@zNL?SR?HmFR_)_X_%RkUQpckZ6= z;KcSlFq^P8qmr!!>wOe7hVu0AwOMqgwboH)Jsz%9WBL0oqUGbEDP3#9~8$|j-xrn8doqo`CFKzsdkopp&*Si08=7$cR4*o6Ijf-da7}*^7^^0CM zpoTU$+9@jQGXL~HNmO$40ZL?tl^i;9GG0K@{4ysFkEp~6mHW1zB$Cn#K)va`LL2ClYW7PGywiEA_!Lu)6+0Bg zuTptGp}#t}3$CN#j3yf(p~2A`f-t9c?Hr@rl*71le_B zwa~=YRWSI8XS_9e!S{VN-(%ro|9O385USd1v0C7;;YIITwn2GTl;`q}I}w_F`FQgI zmnOp$PS#pGoI1%3RNP(UW2A^$i5O#T*6XxbvU}s&Jp|~NKkm=reF_h6(VEGSapYr6 zt_Xlo3`!w`kYYbz?gdAuim@^nZPcqLj&(v01Yz%+Y}d!*!jqqHii4;PNL&;@#1cs(+0hPcsIdNW9i{P#@U44+I|TaQ zDzvUGxV@Dq*<)BH70)|8 zn8o5sw%MNQ_v^17FmjV`KmB`mpXFjeww7Psy8YOG9=?t6@?!A0`o+j+e4q3Pt+g)WuD4@$J>u>*6 zeMtwRZk>bO5Qu!b&2#Wssq&>@_f}5uu{I@>=@`j`xFxJxVaTV=mcg8@u*CmskWe!=_pPo~)}YiO;;u%@$Q+XksDWGg)(A zTYp=1rOUK`WjCx95Cy7S&dOaLP%o29i>aA$Y}S(ls4CVea7g;)85hEp&}rRcJ`#Kv z#C#lITC4Q5ZRPknYc2fvIxidZfx3r(y~N&I^)8|ipflUE+i-MX;Uw5r_BHX8s3z~l z&SSnGoWE~uBau#sC+eg#O_SPzDrdmY>ce>dhC`M+ZG3dunR>ib%$PbnA4zkBS&~hK zJ9-GMEz7!sfGWN;L}U`N>y9?2~GJXvyV3h8C|fw!1iID5HV zpDw6)Ih@CWp}Jw#+e*e0=nrwmjD+E>KI6re&UW`AOSN*nPkY(rGB@G4+y)$~+{S=2 zL`-K`+%K1)j5=Qsxb)1C8!TcG{@x4w{8R7A^F9=*6bWgUj|7VUSiasel}@g{i>&gq zwTz!KYGWt+)zpx>I!8+e`RaS%?t;HbcQgoj$ebG9XRRW|_U6&Vg{t%CZ;Hdx?3vL! zSyzFS;QplRW0A!#YDk2UoD9FraK)zJ(&Sw}<=pl0$Rg3${zHQCAq1rc=jv4u?uSj6 zcz@g555vGva)!jus&HkC5Nq2BohsKGn@dIH<+Er_+C0Q5PAoSjJoC~L?Q!^0luxHHJqf21d9)X%Ri+BY!}v|K=z1<2>|TMB->VIGzKe zTCG+#uP-N*z+B<2Kit$V8s-@LrhDsc#1?08CyeK&IzycLAzosgzb@UX+V14)SWJIO zy5=5A4U7^;QK8;@YwS(VsHTbo(B6>{yFW2$!Ua9OCf(^XEbNFt((G)O*9FM~)0$TKEIh{G>Lx>=1&g$gBQ!W+gM8 zk9>SyP05mVGcvh}q|IBM1y6ld^72vElFY~?jshmUCI_KgYJkoz$RUAji|ujp%+}{E zHi06JxKU+bpR#=$*jcF9i@43TKN{xu;~y82@gp77r65xv6cE=@0L zrZSFC+~Ig2R?y~uOx)0SuU3APLhFEmrGN3^_9?Sa3qXs}SH5Wak46H2<4M(!#H{_> zj29?byah-``K;JKQ(e^VFEG(dKjy??8pA(4`rV^X(XwFI z#|Bfg8~3H#kde-U^8M$!9?qvoizaL4K2c-uxJ{Mp>zE>HFG+6ZbnNkqW|!PEh^wt% z&64YrQrAkqWBB^uSj_c0>(73XG?KdeKh=g+ z?4-bzU?-EQ>x?y=0oXqRugs^bZhalZ$wODsi=Nrl0t(iT0Kr|=$7fFc1LO*)l9Q8_ z!LTY8>(At@Rb$#@kD=ybP zngV~t84T6WcycYJS&a5+&F7I_hBJH?VxFmJYdRawq$-U&c9HR(FZmvvfrGQcLE)vc z!+)L?CLRi)0+m7w`R;FKl4o_cPyG{kGg}*VwZP?U#}$-9s)_r{-NQIr`_jxd#j@9! zoqhengr2L)bbVNS*KghhC*Q1AE%bKsbNBGewxe)XFbn(Ls9}VZXd^6C5m)|}-4|En zTFHU+l(aVTiFEw3^~kJSezfs>hRd`k*_B(Pq-6c)d5{ihA#c39hecR+p0rSQC{j3! zCj!%&EmYXZbtnpYtJzQTdrZfKvFT%s1Z4UW41YobL;vPy zlT&T$pc=^wU%U?l5&*l;>A&$T4F^`%CqnzW^cAyxiqKEMdd`NHMumLCdfOkBTvPzk z=*$UF!x{XEu_LHhT^8Jo@n!{ zilh+1+&|xWScsChO<&oe%-ofdr|*IC40%9DG=J`bpDF@mAFX)nQ-q7iCPjCBgN9g3+;GyRxdF3--r>C69hHbL^eChRzF?XkX{ zjCn<3$IR0p<>}Y+CFor2@n0_uP!q>AZ+k$f`RJ*DRAO&R+%>cbl-sCmd9G_Ty|rzU z`}%&%{>?wviESxeZE5$;XGqpP0^s|@;8%2W-23dtRfSb;gq z#dlwRD(U>wXQw~y=!z!lx>cV4B3e^eMkRdI@P&Dt!m?kKLl(>!*$)w(V5S;70%v?_MvguspkD@U zYh31_Lr&&QH{p?U;3rFAWq+SDR*10M>^K&8tM?4Bhf}Ut!AJgkpP66u)w<#EQB&U%~I%M_;3~pj?ei=b86Tg2`@~Z%W z^B{JMqMdI{=J0_&w89MfrGUj*_peP^@J4JoLm`Ym+ix(WUyFJgh<mvK|_jUQ@CYO2$Hs-C|*XNXH`$Jp8bnVW0-`KsGzLFGun$n z0JjYe-wF4yxA}pa{J?nZ)CO^j-jTVEzoCHRFY)fb9hca?s@xb~L{$#oS0u9Ek^yCE`DbF*nxPsx9 zBp}JxoYM9Hzo&ViO!Vt3UXfXa&jzVZ$=EI+y(oa*Vt0J(=raX_Lgvova?M|`)$i&A zs~=RGJJaERz9(H*Kd=~;|GwN#Z}xc}LR2#`30&--Q+3_88gqrC15)pd05K()hYwi1 z@Y(`?VVs7f8}FhWL|kjiiSaDsVwNyNk_LArU0TL(D?eurX`XZ^87wN2zwjeH^#9m; z>$oVsFMM2Sr9nWtL^>p7msnC#K)M8_1*Ab*x}{5CVMSQF1d%R5SZe9+T)Jz?-@HHH zU;Oj?XI`_rGdnYP?!D)n=RD`R1ZG@g1?3w2Ahki8@t53iRGsR%2lEp5uNv^I&b+nU z8(QajKVA%M707p1Dy9VWgz4*&^&xRzyzG~CBJjss}15YB;)r#FMzC(M=o z;$cQP+}i!8oc{qs5pz3*$&dkbe-~OHsTFqxIu~+=uPo-a2n8{!j1s>9=ta|1fZn7Z zh2&Ct?V~=$CT3jxv>^PXi2t`N)k&sJMe9S@NIOMmwFWO;k%9|gQFaZw;uN2 z@8%rNHwxB1-SGEb)D{%)cOI3PZ0b&M%No-cKG%*tI|p1k7Vc1=x9gr*V7f1<)$(z8 z)$$d6Zca>0yQcyrOGzb3S&>7fN-#GPO@Q7}oSSOgtFU6rcGB{(c*i>TP3-wi3Ke$( z!ber731E9Gd?$RyQopxl2RYieSl%*twCps)nEO>97Tqq5By3E*maGT`Q z6ixJZ@_g8~n?DAAtYzH^eLc|-B((PwD7lq0Q>~$ylKs2=pxNn*4BfL_7%{ zz(YDb2O?m+Hn#moO#}|0HJy_Mpm$9$Vn-q#ug7|z?0rL)k}^dwKI-pw*4*K8;}7f} zpoR0A312PMGXGLvzIZ-l`W=rOe^&46mamS!$vc8Z`TY1@+OK#H@3lo~-QK0YHk8H-9sN%KABV#fKwQ?iL{rjmu!M;bIE1YOiK|Bipba1PY zVCpf`_Q`bBqOj#RI8S*rNw;?n$ZKXl{<*_ia+N!&xXyavqz?EhBWDFwG%cLdS=og^ z-H&n`g4EGVd#zc-1(J{-kmc+{ef*1}%z-e&jsNgdL;^66?H8GRz6pDgy)^PAzYr}0 zoDQCNgg<6Hss}`55yQr#zDk|R!x+aYC-!9**S@@lK2MaTZ0H;wUMMg5X3jzg>SnD> z%ezp~;_~Zc?UK|)){`=FHw#+9JP{8B=IJtA4MJ-mEbcDMxRMs)G@asjnok}oez(Y0 z!X&LMu0P~eH-sSZgYlxh%Os#~QgMA)p2Bxe*T09MQ;1G?PNZcJRcNU{zx0Uv8Z#9! z6^u+>cDWALGXSLW-h9~(dI}I=RX(?b%O&ssIjt$Q+@@@QWtc{0NqB2R(nO%8%nn@J z{@~=0s3WI0l-z?)-UfLkD+uW8`!TMeq^0fT{oHS1(pJmBwTpfS?@z^#Ho~U~c&351 zYQQ;XQHMSy(35`XBA|zDKBVI~Uk3Cxd>wKui7Ek&~4NoN`;#qIx%9 zOw}~F7w6oVxHr1TPs~>j{e2*ms5(}=vJ1m~Ck=g5Nzvh|Z@+~2d{%A+8UNTPwTRkH zwUpSezZI2;S!DL1JX*||W(GIAL>}3l)svOiwJ5aoZUTc$25>oUMSWv4>C*pwDZb9C zUnA&cUh(d@7GNAdMVAkvKQgwp7m7ackO1UJMs@v%X#zG?$0=2ZN~4-N2b7<_N$rJt zh|r5)*)ztse(9^IqSjTEg$%5u|3KNrY31RMUj~ARZ|}Q|r1d1AW|q+ZkD~n*!vTsp zW604>1-c(ryPoGm?_w&v`~ruK0v4k)(bQq1*>LW`qw=2CRYc~BpuSWv&bY%D5x?d3 z>0jzK!6sIwC=bAon}xQIO0gWr5{Q#-Tvz292`XmG$+srCd;_RI2YhBcwgT)`XQv<4 zfBcJMi=q6cHy!g{{fXdr^6BxR5EHTf>drh9agpK#AG8>^>>WbD{C0mNp=!I}j? zKIm0wu>s7~ITQ6~B&#eXXQ`s28FUfv%ZEM!2RLAYWPBDp+6K{>!kz1ve4kQIe__pF zCcK7O;GJM;W`Ac^uocs<_6?r~RAi-;zm&hd`&>$H2{Ec2)FHCXSA>+{OHOu`Zii$r zA8Guj>y>|Fhy$n`T1*+9lw_YT1M;ANQBzNFT5EgFc(Icw@8KL?f2Pt(0pu-IP48IH zM{8Ia+0Bh)DiO|BCGY(MDnttfe z893z1xoxSinkn5hsxrzMZxUv`PZuo26Dd?OFr0@t{le#-cLaDss204DOF`eKDQsOW4u_sZh`1wP~ zmFmYK~;`h;Q`BeAJmJbELym@|*jn zSh7cJntN+5ZnhI^Ha~lIC2RIfY1>XmDJm(P`0PjS;Odu6&L#f9a4XXy2;~)%*Iy3I z3wuHk3-@T`v^Q1*M;SxOI_BdD)aTOs$79~AgxrRFdjo-9-C16=sB&SV6PxCbfV=Se zVdg&<*~79pXimpIqy&g`0bH4~=%|b!?Th$>&w&k&q-Cg0={jrZKvAc&lbg?axyy`njdBFn=gFf2I64&I;3CFqFxupcYdC_9e{a z_nmCDf3B^auQ(YFJM48Whk6q}fNl(NcwSjf&yWyHvS1UClq$kX6*DJwRzggMGF5-E6ul>g_c`L!3aT4VNRoJ%PzJ`s_z9GlG~u9A->2LPIh>XbXp@%0 z01ddC2o$H@Oz4F|9lhdZpN8L>mEOk<49Vgf@zkvT<+x|1d5_cJr3Y`EB9C<(_rxgr zY->)FjB^{E+eueiC}B)tb8kW~jc!?vGr(CpG#I%`o8A+L&!j_+Ok=)V zFuK|#99kU=D4|VpA3?NUG|xFHG8c|~LJE4}JO7qH_iUqwua3ZliZ^YLStO`DrE7h> z!t`I|F@RTjPv!zi%0Gof14HOSRjJX%QE?o;tSwu`XjJ5TECGz0(oomv6Sag8rWSw- zE7dYnF5|m#Cp6Bhn|{f^$n6vl@NrJ!k6!9VO;|Yie<4aM`I?d=m>y9VuR)X;&q$-IL-}Uw&q{I^f(pVmv zd75L1RtpF4CuDB(ui4)4_r%9yr!c6-hLV~8TB^wFW-zBq4!`)o>0frX9j4*anmRgG z@BM^&kJcJ&*?WTDqESQ)45!KQZqsDvNxAXbV#piNTMv4>k=GN|XQ2x;L--oXFuBN6 z`mTtcETR`B8rm?-As>J1sOS z$W*^%BTm|g!W?(7%iL<=AWCJm#_79iUL&VFN3p#66Fr@ikYNl>e~@#9CHv}t)9xND zEcnb(vfY>jsoEpOzj5VFKKm7MEoP|P5tlb^xLcvhF$I5)h2Bht_yRnv#mc zey>}&lb+aeeHaQ&D@2GMztla^G?7%I0*Caa@AoYhG;)73==IiVoy1@is7yATg?_Sa zCbRSyMPro&m;>$)qm@DI-N0ZJ zcLVP?>EipCRn$p)#tBCNKvAHwGyU!uOh1gAaBKBipQPzE2NZsYIkVV%W8AIEr%Rur%0gu zCnFfuBl^{}?EcsDUzQ_38_8>Nb{S6BG3hO8m&s;xQ9XO;s-r(e?lJvz@AwItgZnHSbry+i&tM%B%h6v8y0Z|wnS9cVfXkh5sno?Ip@%f$yRT>J`1 z`TT&Xd0rQP7v6=UnBh2Woxu)eVVk?;4(z?CkC(jQBLZ09Ng)9^Xh+B&Zgj%#0F`2^ zuh$FiD{4==a{(SjRuK*HWKAc(kR9|X-d|`P6EY&fJ&SE?H3>;|wSTH~>3>8ZqWKDC z+THetWjpCU`*p}Q?y#x{LB1Z8Huhq>%^4-An$z) zli3qgdgE(h9%giebFuzJ2XqIVY1|sZ(KVeLM2wYKhF9FB7biYmCLQw&V3Oi!nh?OO z;c%>(M-Tt$Ve7aGZoBCQ7F94737}s8@0wY-5EA)nI`gI8=+xqguH9>IsUf!5$4PUL z7qsJ-+pI3#c}*LPy1v}6o)(g!o!gbq9H8~lf0FzWqYGQS+s0Tk4R~B*B9um;^%$s>aHI}Yx~T5ANa_696ZM+n(p|Tj zA02T+EWQrYND5GAdytU62RGtA%uh`4J=eOj5pNpgME8tyN>=&^9lw%F2QA!Mhy&h3 z>K>sIr>Z5Hcc+7>#28Q(A$gR-)RPnd`pUQ0A?32!5II;}W$BYu#tiOrbQ4sny8=TD zxT=W+Vk1tsH(>_$9x*Tvgp?wgr8YoxT4#)BoWcc@yQ^#93XQvjcUcpr>J=gJ4cV}q zloKYxn_{>xjC>gCO|@Vh-i0P(DGg`vEh|*$tQ#ls4|%NULCZ1Pjn?tvi}4dY()1Vj_FmNf zVS!KAcn+v=qQ2^BUOOIx1vvIPNTFC=w_*go7PJB&rB-e2!~T`G_*Wk0Y0luj^dS*f zh^)icGWgFE5rZ3;#9Yu^)f9Ehhj}F{>TK@vW)kYMJ14(Lu=P5uWA@=;QEKdqgRfy- zer=szj6t>Qh=Wro;Hnfi4$_$>ID2iT#?GeuUB|*~cNsYh3)f?Tb4F?ZQ(K(QqdZTM zxR4qD>3}z+jxxjwseQ0&`NfNNR#+(Q=(dxDU;RR_I3S)}JV$b_Ob-I=Y0G2*C!o{( z1@tT8{+Ft+xT+k)#r=tcfJN@q6TDJc(~demwj`P8%|C7ST061?fimKlwC|rg*l6}>=H#B27O=0p~o&4=a_-4A4_&;u6s+qBCiOPiv(Di|^ zM8VXbAMFeYCP>y_QUW0h6B*D&jv@-C^j&s+(?DIVfkSi97GtTwzjrRHyBqlQ;JjW5 z{$MLU{>W3%%3EdxIM2^yQvXRr&sHT?YU*o#7nVc#pRUrQ&E0`ymM7oh95@6Ia}ji(z6-uU zdv_nL$ffl6yra8k$uJ3cIR_?k$^$hQM1XEZuZgq0SDUH+tw?uPySo|Yyz)bc&NMBiC166~$`v2k7O?49i`MzfsqafxFRYKOn5Y&GyV=*Ai9tPIt_ zT=DGo4A+!M1cJaR0c-tU*~f)*4eSv=S*yoMP?ouz?`5mRo;zi-<&!ZGz88O2Y%0q; z9j5tI1;Xj68oAT=11LQItgb_=9c9dJ5KTdXHIsSkCf}}kr^>NVQkWEQfu9x)RlY|{ z#-;(9f;#*M{ZhWkK(vs|QV>$~yi3FIOTAyz`=r40LT`e?7ZKm7j!A9StU#%L;P?TW zRv7@L^_x8;L~;pt_xX!+^yT6hNTkRl))qnesQc1)P)gVTa-rswR?B%l6sdjm#{<0O zB!+Q0<9o1JrE8OhxWB|g^#KXJdA%%;Ei8rY+QcU`zp#8q&m?KaZlxa?`Y<#-)4JVL zm#lEY)7fIry#k*Mt zU672nB)Vb74elPOXsk;y68gDgzd{dr_6FR)^-sW!d$>*8J2k2vnzdwvv@Optx6pvI zZrOcgS?#ea$-is@pD>F!8^w zEI!xGJ3torG5F0TMXtfehl$M7{8Q~!y8?x;&x+23C4(ixnI4|HP=V9;$Zf6)f7>mO zA+}SR7-cWOHY<+JXA(_KjjzY~4Rs(x+z$<`TN1YV`x)pVpN?=&f7X0ksRuO049eCL zMu7;JhAiQ~aglZ3XN(aVEcWeNG;QcfQE z2q1jVejyuJV2EvO4(GG?Q-R5&b{zro7r8o%Nlbm#T;I=%74IxaQY?r>5OO+cxFMfa zKoSvVylm7P{Y4i@oj{DAZbumEDlSsgiE>Jl=S1HlO_7wk@Ki zS^svjI@46da=NGWPj~R|cz^mzV1<=g8vKg}3cYHtuRYte4Bh)M{t?6lzOChr9{Wg> z=CLSK&W9f82Q?_QO@d?hYw;oO{ z=IGr!l}r8$rOQ)}g^W|C7In`*L(RYaO!DBrTb@=h&)N)FPs7F*;Z`Zs|Bc30Wwb+(p;5|cWs=OZLzDHnJaOq~9L zXM?me;_qgjXq)(dVYcU~kRvdGP9^wL;)}&JNA9`N&fwnUdVu-WFTinoZeJ265VQ!$ z1G#zTsC_RaJ;5L6f%C4QBfxIR@`2RQzv3Ri7bP;ZOsdGC+h9ASB=r!IwY&HDG~D*wg& zLpvlKhS7&T2jOOp1~U~Tw;KCr-lAMjU?!>6_MIMbJ6pwOxo27KcHM5h0T=8L(Gh4+ zcCh!{4sh{n0rUl+r3MCIyzJ@%H%?lz*1h-jE0o#bo=~v)Jo!+UP+s zP%85g#Hk<=huH+!*6J@>FYr^ZLP8JOx>HKJ6TvSMwBpru+NgHaP-?Ej7=p$U#*c-J zEmOb9cyp1VfA2=Ved`rGFc9!M-@rNZ;ut2hndsk2y9)pE@?quHBcL{3;SV8#iqj;| zHt{hy08M_N$MR~uap5Aa4rxU15oF#X zhHHvg-cM&xquL0sw4xjO996Rzlgogd$&h3iczvWKO`7gB zm1*UlaI__%vaP|PVY5||fB5;|1$)(l!M=tOtGKg8_%6dzU^e7ca!AY zzdCh4W^g`BnLEGXOhu zZH>Im=%4uSZ{h!f%{mz`IQ03y=#SjEfAzwdIBe6}YdV$NliD1JJq52vgoL~C>r)ZX zIx2tl67>G-6MCxenbY9)^0NHRqGI|M$y2=R!Q(J%Ddz-g@Ux+gPnMGT+?>8FD7JFatRq zI%(3*L>9O&WjQ0a6Az}PIz|RWflL0+Fx=Mo!Dzl{s9Zu=!6@xUw>uHQmSq2TBT|*! z$`Uqfjt#!n2_H0VLr85=R!|SuK^*~vc|Z)n@xAVGK460I*`>J9fL|?d<#eVBtkr;H zzdA|9cLVE&vi1BVUe{8OerMiyYD@eBaxZC%zD(NCXYX$d;%QSO?&n@x zma@jb5xKc7!6njuwN6%jYvW_^6}MVqXt?5M&YgEJHM*IP%}MSU>)UVNw;yAbAwzC$ z#9L|T9mNLsh(Mv< z6MOwtwQH2GfhjfaHXBh6<@D5S?if6-t;b&siitpZ?wg^@C!~@7V#xcij-xPHco*3- z{w3DNBkvj`kNo)hx7UR!8dSkxF9rfsdw_hlD7#^ySkz+dPHJck=gMa#l0`Q!kH6lM zF5L`k3~#Srg`V05J8MA8`JG>(KiK4i~ z8rw`N8=V}KH=)#ljVdhtE`}^wNf0Mie@8Zd1C$&dYH{O~auh`_6g{>6yGT1iKQcuk zcM}O|`@OSf1}I=?1vL2`C_kVf=pnt32OaOD#Y@<%=)m`wK=;vUiH!-)x$E zQl}v*y5D?uNU^LiCXUS}P>*Hgsq!{mk}gu*M@zE;suW$DUD z2Jw&Od4x%j+utAw2M$nUN=@x|IYHUhAPtp82o?zm>F)s<&7$8l1PPR{9-dRkRYfF4 zY&+jd9)x%LQ$v}yy}~xlX~i_mwD29c?bw_7$3Ik*FR0m2Fqmsv zP$O^cbN93QQDkGEVpA49D*wb<#HntR>I!E0r?K_JhC5F_+k`vw2fAEK$DO<_LKK{; z_MXgh&m`InIoY4oORTxUuZgvY(`6VA@U#=kpSV8rrq}j=p$)c?L~|fU-~Rx4#Xs6E zQEj)y8Vy?W%cnQlmNk7|BoE1dhNlj{+1_A}*vjmo!;cU?=^emLv1r-MXSZ2$h8nKw z#3hgRUS(kx$rse&EYhg|4v#dRuoB^AlmO?qAw_gq`SCB5Inz#K-&a3(uMu!`df3st zb|^@dN#8NKFY;VF^$ETemAPO-G(D-Nm#JdR#7z#<_LX=ZdhT7ry0zeZ!HO_{R{4}o z`#gw889vxqGZi$OACSXT`L2?7+xLC=sp-a8m|vnwc}&p04L?79{r832?@7fx@60j)Sa? zmpt_$HHa|7G&z+D4<*)qZiXD7Lvz=1YRjELd6Gn45?&V33|njz`o8*C*ezinrzvm`EARyrGqS$DyZW5F=3xS@>FsH6h;d_ffxJ9YwuL2#vCsna zhkLqJHtoCYpSds9Bd69xD81qZCb`+9B_5fJH;yL=s^fDw%cn69HH#t0I*1w>zD4)! zeu4i6g|3F-tZ{tkwo$KgV@+SA?uW4_uuBLY*}gc~;yy|LhytpAk4?6J4H@KP4hg?E zaZ^cu3T;Z*h&sJ=7#4(GhY~_CE42i2&XZN5FWK3{z)_OdKmj;%`HuV>rIb z`yYZ2CCoLJyu8fzN-6=wlb_Z*z-@AvM;T++w!otmv3pnzCS`dX{p?oW=1=LX)Vdi5 zu}p=!vciQBC#VIo;y)8e??HArVn)z5mi6RJ@l8qkZd#s5+$O(6_9RInuWaKkxTM2) z8j|+7$?vDT8}W3Nf@o_?o=;TM&kOQz~xBfgvFzM06Eor!J-t&;;@g)zWFBD~5=~P3Fv;AQgw6{V>>*2OY8k_3P2iPh$h= ze&ixpQ%kt-g6EoYhVfdfydI^NXX0X|1PTXh3z5*VoZzqeRjn@jcgRS=RnPwnSB#BV z)902H|DMF(r%V)=9sE9;3&hnkIoC{WlV6;8P3CT8Z0Ku=#6(YW1c(ww_c``lpVwV_ zU^3D_XyTcA&(ne-+RHd4DSEv+*wsq9^^Xb@-9!$TC4+m#h8egJ>v}|EG)x zAIg+k8w?tOU8d%isBPYJzZYmykwXSetp^Yz&Mahhg6D@W#Wmb{Uj z(`d^=QPN-qx~ZrpvGWu=A2~x}dE`eQD6|CN>`7DElqbcAml>st)n~>Sz|9!{Ov@SF zSbvi2fuoPrrD`eaKvo-Y*28p|D^Z`y`gXd-I}46s z$So@A?J6j>->M`9@|LalYo-QZsmzTf;r#Xuv;U&}aV7mjKtuWZqj(@zmI~tgIr0OG zmiohwVQ%GSupCtt+E6bSDLA9enTp-E+T2o5D_so6jKfHUt~SjzRqelzH?t+lvpfFR zRM*A%$zm5_@J)#`{>IiuEC!KhA2#m);S<2`=(h6wiC_IPU?cpfk;#TwWDw_*Xm%!SoXxVm8fO40X?2mMD=(?TZT=Ft7zWbBwecqq z77NFT2kj2Dl)Mvwbk?oSo&X#3ScM#l>kpjS`3E>sZvr1a*_I#R+b{k*Js{kv=N}EQ*XYO4N)N=j|7|$R)qy8o2xz0v zOOux7IXmNU$8;4?f}vZ6@?6gA#K~J|VWMP~AA4zcUd`zNDd6uV9{J6D9vy z|DICfP<;@e46h_)t(e%R+ncF>wYF|9Laz?7BKBQet7b)TNCK0-pN_y4#~Zffys z!mYD)X(};OOiLu_bUq}V9Qkk>I@|>R=YzH4qSU>T7zFM@DJ9+827lZef1(D9C$T(w zRg*A-!l)ivwy5>LzWQ!hU9g(`c18#tI%zXDOSc(Pd0|3~0-T2gM!=*tlM-pLmz5$O}WoaB6&(C8S&94^eZj6PD}&{hpus4Qp?WW{zK6bNRFM zp1AD&wV}?`tu0lFpu|RfBjL~HtvudYl_%D)b)$JDL~}!5Cu%C`o~_B=})#MmL4h z7rQk27BWz0yM8xA&O{81zKDb=dNN>oB=TPP%GELnhTFV@v~aV2t1eSGAmA31|H90O zY4Z-08W%%`I(J)ifhu#0t3RcQUN*K&KP{g@B70gx+}GeZ_Qj9rcT-1e=$Ykc(B7LN zRPCh2Ns@Bbn&PKZ^18W}C9%ei7Z%VD(zY(nlfxFw)zM_j#nS2oUmPth%IS|7%*v*q zXU2Es#WWpL7kuj%%e*Yag!j)qYP=j(P$x{iJuHxTD7=&?uoCZ{1UB1(cmVL7rQ!Ncc#@ zEDhWg!@X?k&eOdjF8v@bsUJpG`5~O<1zY)%96F>yn2ATHUB)?bvI?h&sWq;3xtU{a z`Sql#{q3U>-EJ&zf{9HEm43x_L2McI#D5?On<$r7YNJmqv|6-}bW992%APZuQZ|AD zCrC=oAIUtT7{cZSwPVI%OAOj8C1O)2Oen%+Jv^@ich5k{u25}|?y*e7qda@v@bBR` zo|UKUsLo&C)fo%vc45Jo988q$6X2LVHHO;e$tx46q-@h0)X4^f6%>35J!>480NW46 z8My@f$l2r_uaJZ6tF$FKn?LAGVOw&wSou%l>!{tp>o?0B&I4J4zc|IRx(2NVmiF|| zwuq?zs#y~bu7=0BVf4-lEN`h?1dbs*tKB~V{ve$GSYIwNj2_xp{cL!oA#pd7c<8fx z1=3KzE&OW*0zbG+8HFz;x)#Xjo|C*-)K(jwtiJn|0*y0t*%a;PaY@3T7*Dz$^X>)i z7VC+NgN3gEo?hAnO?0#TjZ6FEXP{Vl2xoSh6$NyoI-DqDoYj|oBZk$L+O&v)<~oGa z|Ba2(YRlSmb3F~qNlSu8EsSiZK%sr6)8HZhOpB%|(hcQan@%ZHTc*H=_aRQpC*z_` zBCrNQsFTzj!}CQZ##X9eND#~mj0|h$1@?VV#~PWGroESdzxvPCffYl>WojfRRs+vB zfvrylfWweg4VY<2ou<@5teNoJvE(uzc_0Wtuk*T`F~My{qpNCuzZ!{cO8?C;ma<4@ z{wn4oY}mUBjEz5GncY;~ns7H$JWej#{Bn@995S%!KE*SA-3-K-U_PZorAmbgO*&ko zr+UbwF?Z%tX=CBe6%R|Ak#l6Xf-5m1iUjx)$<+YafL<71MSR5*`0wv!LiH?6 zlq~VA+v%DNw@N@GDEwO^yKTAA2N)-870~1Q&{E550`9*mJIJ360bO=> zx`j!Ocaq^naqI}9lr@3Xpm*-33y=2s%@aor4fQ&XYfXqqJ1#*I6p?&|L?n!-JfKK@ zHLDw=DUw>D+SoS6K1N!#eXTp;-EW`1%^7?SYoiIoRbu_`7+;sE1Z8eEDotD*B8;o8 z*G*f*y+u$`3gC}1gXZdL#S*ER5M@Zx4yaNVCuqOGs-JValx^Wc78mHutqC8 z8H(L|3(zrih-X{+=P*sQOaD3b#p~HvCNf+YW?Ys0FdV`KPwfroCni}C3canOsqVYJ z4gT!)p2o8M8xqp@r(ZGMg0A9th{uSNU0S^P{c*MSqX$>UmnNF z+q~-gSBJ{y84#)jh*435fwQSzin{Gs9;A-oE*N`??{%@^3nc^!38Rv2!X^(`v5JS z@`bq+n=511qR&cg_LNR1;k|P=fVx<;yxjLb_z!#VJQl@$r)FffKiO{FDUnx^I^gQYK&s`I> zUoKyfx8J%I8)fg7WJ@RF?I=tHVgmmTvn6T&5)KCei2haH;$zb7HCp4k?-v$2irC2H zvK1?xkt4;K6C!G)N+8=j&Ep6ntPO-UG8s|q5&5A> zlp1)zL#NUvm}*CLRhqD9Jb_y6%)$CIbsPhqCgCHegczlBhw@#4+hd0_E;|wZ$V}0m zr}44}jnJ?-PW&WJr~Q8#geVUHlTVpSCvojqv|&J58sb2`_kc&;qPUlb|5Sp~ zUTAY>OR39v$@>5&^o5$41d0xNi4ZV?`jt3r(} zK*za%!Z#{t{x#iC;kciT5GcYn^5HsaB5#;Wzs)W^=ft3utJcN8fcds*@%V4{7?nV`dbPq-&)Nx08x2vY28%ZqCs`y zSF1pEGTLsaG5Umid^5i-yt*zi1_P!5k*{8?{7~IkorX-qb)TQz4(I7-{>M)@ig?Ow z+88a5`~GOr<&3d6ddZE}TUE*P?nKF+;X$;E8(xSK_Ard~6Y@$qNG5Ns`mKpi0}0zH z7!2mg%}|f^@(Efqs02SjSx&eddaB%*yx7%IsWf?F1A@0)O*c!zThwJp7l+I^M58x6 z*c)@_r5`8s59H1l`L6|e$ST&&gEZK8YU5sQ(0Tzbc%-geyUg?5b66QPv#Ct4%WtHx z3xkG&y|9P#!i{e8Gibp3AfcpDpRn8KJY9EJLKM=DX@hU#@0C4Xm8ZJ@glb)n zuFU#%mrm_$c=9Osp8;*LAR{$e9Eb!vI%jF*pG23%wbcUW-;jU)lF|R#T(c(k^MEY= z2mtyRz1Cg{lVM0R?kCFltr#)X`h_mB^Mt1ZqaoI4+-Y!I*V&6;9h}RbmsV&xs_<-V zCa5Iw0nRYokV$A3Wls35&YihVJH}^0Ae|r1T{Lt>E3YKC;-`_b=f*zceH1Fji=)9Y zNs?iharBXkyj8}u$Leok@!R_BqU)3=={s0)VzB7Xau(Qf86T~N>swmF_WYt`8(;ew z^TnzbpUYW{+y}>33=OiqkjC*A_5REJBBp16YHEamc$xKt`6rE)|Iws#+)D&!E|dyQ zH$l{7m941lr}soBmPb`wl~Jv>Qzl4*b-I?|J-*EzBep;34>uKD&HXB8$9u3YE93Bf zLZct#O`ch^(8OXF`UZgC8HtNo1;sycxH?3pBY0)GFz2vPLIqlp%R0JB4g_uWI&6`)~%_pE=zmV9R zylUI$o_#I{5eKx%5@x2^*kG@}lG-olFD1>4Gp&BHrII;$+DzWm-#xquZnu|wjxI!() z_ZXUJ+HPy9DzW)UNo5H!ijU+1LTf~5%Xi2d{}zLQsAl$W$y*$0j>9+=$cDtGA}0^k z!2GkQ1XCZ%K-UX_Nh!`d(}*{ZIH4LulS;OUKipU&KIOGdvcom>37MR8ey?y zyZ>UHx9z2qO!JSJ-MpJuIN7w`?B4x5DYy~U*u{3OuTpqT;%WNQlGPVNhbXoH$|*C>L8!uJGRa=Rfqj4%59ecLQZE z&j$^SM1KdkM+n<((;vP)bMym1UN19}-@haujlP{`+mlut7I&71$du2Ee;Plg2f{X5 zipwOu{MQ#Oxgs3FBJQjkLH$=es!JHiv9IKdtdH2?_#ze zJ}Oj28}<;E%5gIqt7#l(9H-8X0BJ2Bt7V~>)}n&n?-@k6bdo)~eX)}Nackjfa1`?h zddWJ(W~4p|s8ez1+oeH2-f-k2zbF)3kwOvV2Xx)85&CbSX){g%U{+oS*$gkqACNL+Y*C+DgTJrh&$I&D0b=nUs z2*si=R}8W3AKkHC{#sQ}7S68lmC!>JYdiPa3lr^&zeEbfXk`NPkKgcSnWZ~Z z{`{C-*<_Mr^Hbc_Qk!hB$^w^Cq_|PJnk@6|=lp7a?73xe6N3@_k!Gh|E8+gVuVmO5 zVu+$A=trio_6BM0i;)Ilc{nMdpXuu7b4TchH@3%}WhUx=E%GEbwgo{%zz_e^S?=~2>X1b~`XXZ{Te>36$jUtd#je3#9&V8ZrV#KXfrpn81%BV8LcEuv0xYo zZyGV!@GVN2o>x9|1jGy1$+1>|*M9-Ck2p*^V~sp5!J0{Dve(S6CR{m!!m+^ztg%vj zsvVy8GZ-Nt%ddb_hY>7X&qJD1(^WI(B=qxQ%KiR%Et)%pu5y}OlxKytRMEzwe8XHFH^C@zodfsq!8MJ^T$1# z`ydiOdA@!${zI(W=Q-gMTmJ}mX#u*ku>BdPSg&{nuXauwu9Y^Kc4`egoL>(Y_6e9i z%L2NLh~sBt<#Mn@{kRxs!k?dId9Ggc#Z;Fia)o<|RJYx)K6#w*x0AWiob8>mFCY6N zYoH1i_v#$*8WKuWdI8_LhtpZKBtxb(L&O~jI?_HDY1;;e8^AX@@_i3*#+lk)AW_x` zjeRBU~!yvr^pN#$cvYR!gq3OMsZ(b9F_2@bJVkZ||(aY%B+{Hs9f0z|L4+ z^m};+>hW#%f1vu}kK+=Tm$7dh=gcV~wI1IzRA?{TN6Z4_AY$C{WjodFNa2BRb6GNr zs`~>=B(V5I-O`|ow9^-*_dEu9i6E|@r9QgJv*w&FaIF#H`Bz834s+ttED{+z@Dr5v z{I!Vb{_Om*jJ>pm)MuPMO<;a_qt_C2b#+hs1_jZ(Gp$l!jVbmz+Bzv_28xTcT;}g> zN?8s7&ILXCm-EGCJtjN6(0X{@If2IO5X3T=4*xur=p*Ub*K(8+`aqU8Hi zquu%6HZqe5DaeWxVM0$lzn7_x9#V_j@pY2@Ja!pC{(ciyLD{z7sp~{T_TK1OeYi7v zW36-CKa!t(QleaGC{_ebJ@&4b+F4*~&9qHn{_~nzgGkvHb(>$8rqULP-EZ9cK|AW> z?*@FsEG8JXDj{E~?Ns2D4zMs>jGNsi<`&>|+crSUkTz+6+~^h^@$KMao#fA3{{`?X zhn$YO>HpX-&!?z?1Z8Z9zk3R(XW`s-UF&wRLwIkEmZMhUIYmIO#nMq_(3?(JQurLV zakJthlyWO+|69^K9a_INHY{+}hT)uzT9|a&F2Fwv+)Pj|?5K7EQE;f)Fq}dGmI51D zxn`>`rGGoF2GMqT#z03+ng`sl2>Z-)v~-MN5fGpMz{qr))brfLA5f?((~ifbdRX1tj_$YmHl2q zadfz58Zt(ku37Qva=57y%Z76nXl3M`2^tz~Fk(fL6K0P1f5 z3IqM$U=r7JHPJg5dSDE#u_T$EEzc=5Ho754z5gQ;G7GyVQP5i$Y`^vC3x-Qxp z?ouf3u0=|);85J%N()V~;%+U)-K7mqa4A+CifhA*YjH?}LvX#rcc1(J{vgKW%p90G zv-jF-tu6Tp&%A&N&)udkaWiFRG;1t>46Rw>1put2%oIB{z^6;YB->k&eJI0l$@VqD zZEZ%mW^I)x4T|t)77_Cjx$_1ko~Et-pT%DLSc426EgSQ)$9NL6pk)6K0vhW2O&Vi9 z6PFp|FSGE9wv-uwY)I#%cT`G1d`R4W}d%2Iqf^hgMB{0LS z6LFE^`6ofv<3%bK0mGnN`NyPGs@*R(_~;bCpIg#uZgLUYZO!-ld9lIZW*mA4Bs_fb-NNIV>0TM1y6rgB-IIgK1WG!G#6p5MP+WOF!5w0qKH zj>!jjPJgO1;)nn6Ju=R|bU2-p{Rq`;Vu;hbq<-~|Qe#QMAjD;c#TbKBJ9BIotJ{A) z>UpA90{oCM3q$;eEl)cDPQ*_~HfLM+UpY>7HYL!91I0hau^AX2fgZSxbLSt$JVa*e zn}@Xd3dlk6+*s=hs(gMm8nfs2uqvoy_}d2dhrConG`j!l!T|bRWmd$7+WY0@sB-o6 z3NJjnUS#TFvZb}3s4HrUH^eL6C$C6@96_@5 zcenV-)BeeF)7=H*-I11VYTFhlmOF=oIv-&j`m1Fyl6eI2LQJ1&dQ5%XC%=zF zD%nLO<6WbI2KYLBUC%9+R>v0l;d*GnY1tU7LaszUf4|1%daeGGP~;@Jwf1Qfh6X4@ zmR;755?U3`^V(4FqmW#3%K747v@@LrQn7Nd{VAKF!y$&+nS^7>#Lej1Q~VPn++>>T zWLNB|SgW{ziq3~56)Qepz-m$J{Vs)KiWsU)ZH{BmZj(K!uc7B~kmMtYe$K*LEQ7ko z0ri^wd}f8S*aN;1n$B_n;eOX3y*z{y|K#1;b# z*p-JxXMc;7VYA4+=?6;52(%Qgu{>|2Qd`0g4O~%;S<+qa!;*CgwmSo$6&ISZdg~8M za#ufg5qVmYTTxiSkYP^I5+OE1q0T)#f*U3ZOS1upO}Y^%-Dh+>JzPw2rlk^m7oN46 zIZhqmnHNQ?(4@og?F7Yp>1?f+RHEuWIo9Vnu-^h0(V>U;Pjh7~6tjPe$5xcj75Gz3 z6AY0Uuxc6!6uL4+V*pt`>uSW(OII_MSsh=bQr>?8EA)lAgZZ0St4pzc)sZMy00ZGl zWP6t`8vB-NlrkfFdJFIlz{1dNGZ;7W`XKf&`~vEh@(1cR9GPNqu=XF8?f7660~%W@ zmVfXBMX%3Co9-P>Cdw*-T+O zMC5hK`K%B%+_Rb|>~5DZ3a_RLFHhRS`pY@_o2l33PsV8(Wq4<)F=#_ILzCLK#sSVR z{z8J+00gx7cQ0&eyxsY%MLat1(Af*rGk;*mjQ4TcZ`P0?|IV5>AMoD#&+F4>F~z`# z*KF-F4>QQ+!R@IDzn8IJ-yx_8KE{@p;b+Kr7Jok$f8kRn2(SbL%wT@}gBmF-niJzr zt1+j~G?=P;Kj42UbXQE%cTO9n6q65G%s(vbpzUD&=8|W^5|aTtEnRG3(P5G*=$O8E z#tZ{hOM8*%!)dw6_@;>zq|I93xtKF=IpSXaGkkT&On_aif{fLFjtNz;Aij)vXW0R8 zst_NkSHA(As_)JQOiuGzZChzjGPu$vksTgE(bx}6L?@=}x5p@i_Iu~v1_1Bnc+jfL z1eW#?dLII*W5fV8kmTC}bt)fppAnB<3bwJ}?o#4uvBk# zR!Nf~oNMb{N7P^OW7;nIPCTZsv1ZB^0ChVff1-V(*p>l2-C zj2ojY_ebSh;_nlarD_=!ZX<8p$mY{^AQq`urds<)Xpf7J$0k+|Lm9ZC=VMAyP_D&< zmUsrAQHS(l(=JsfE-kNXo$QwlAIL=8Ga61zn{GFtpvzF6XfHt5#*a*`vmTTMvTf0? z@~|o*_w7mSnPRh{R-=tY_Vvx7jCtOICaA4=7Jr=Rj7LfzW1fvPi*Vr(jKa2f$G*>ZI$o1?J{yQD0PQqebRKZXc|V<@ zc^1P~yLN-+YAG>E=E8YQ2?sdW+AQyQZNuxj|F9aUNQ5l}I=puL7wXBkaVp8R#G!s| z+pOYtnB|3t?XTYFa5=ohjhb!N`{7gtn7rlZNiIHCj#(*$M5s@XkC$EcHVf1;Bz2{h zHPD<7(7#E5X63yh2~@&lakdHOAFTmbFZ+KYmNw$UIiL9lEj|24*U+uX4?s-i_sl?UsZ>J$FB+%T zLB1rc@WtV@q2rUdw{(^Pss!cWnk@s>u`lQ7#{Ojc%;hJTa+^%GiUgJce8&3X-*v5O z!D8iKE6U_Xy2=oMg2~{=x_Enawj9gvXg?#5x>1T!%q&6WFpaoSOwaQ{cAnG-{54H9 zEst+9*;3TN3Eslf^Nrf~`Y4yk}wTZ;QsKxlK* z|Ga!@FgAK=x#_i(FHT`%rK~t7EczwJA(7T$p?m3@VyQw{*wMQHkOf-K)^M`QAsfhd~l_jS`sR(GoYYkM}A z4as1@OV*}4{8=idr~7Nl!^7L^$AUiwvGrUh>{65IalAf~gTDe+!29zMzgo{%=T01H zv+WihB{)9$o6$ZEvO#m5eNjPE9Kd8OUUpMH&Pn)SUNS2TXi{Q4>!ewH`O-;d{;&N} zNV8w}&!Fg39rhZJM*W?qJSBd!{*tG5BUPP0zZq|sPTl;VnPJ^cSIVk=g8iakA-;r6 z4-C;!i_c070A3-I11`E<;oW zOT@6DVti5IMh?ctZJ3={h)l+qls<#jx&X9V6!;Qd39VU@GwpXo@@nYue0T}J{q=#h zWCVAR;PE_A%R>*~9_RM(hRm)cXNl-k?-r3~OT5Za_q&|CJ)5oygOoi?5$ea!ykkTc zjvE*}h)Dm_=L({qBS###9k31ht%xy|2Y#)%CRrD(c0DLyM;vI>UT-e-aU2*;FE&`^ zKu3T*j7DvTDSXG6_`7t^0>$f4~CGpy&-D}_&*QZ)Y3OfEr$WOH0)x62wJ z9-x;Ji^{D_XeM3|LmUS~pd(NU*B9C@BX*E((dPHoVh^FtU|CQ&F+BB!XlRKiGc^=~ z_{4fA@JK(;5Il?-pnPx9SSJ7uD!4ss;`G5qIOp&5T(RfB$Mdn7|5`|JPJH_AIwk@& zdPc!vZ`Mz7?amO-jA{Dq!!diA7YOY^Q`C#IQ-(c z-^L%T$u&^ThBvlT6J0Pi;0dT9lXmvcUxQOPj0dQGn?(PNw1iv%`H!s*hFu#TEN z7qwvO6NY9*+TldavZl%^o>}K#a}Hh8+D7QIO1{d8C!Piap?=TR)i>-xdQ;+QixpJj5n>U<^H-04g!drF~cu_p0xpW3}aU=;sgaww9Dy{Y5%QA z9JmSAeYqw|<}!;WN%!VxTu`2~*5&5BF9gLv>EkEn(N=OxEaX|f5QWKM2t19Tr9eF#Nfh znig`ot>rlRIiBmgzF~Tit#$9hh%cmgGFWd_OiRKamqRecgshj}uUTC&a&YboeUVpm z;i7PNJpd`ZTMvxz{0$YiRzF$J`Zg=t@i%tIMc>0FfpUbN;NCnXG<$^IG7N=)dI2ie zyffcq4YKm@sLUOw6pZq1qhBcW;gxU74^OpSM8kB-RXuV6h~<)69%NQrV*dgRP-Cgm zkF9Rrg~(Vob~%&&Ckri0oGX~sPE^x&UIODIw`rYu&2ZvSPsLZ~z~Mj%uN6c9S$h@4N0j+3PBBPe-#iTk16#LpC_6v zedIaVYxTWYIRB)4B{=lELhrU3sg|iVV2HRV&1*#ZWB1wfaXNzK{eKo@VQkcWJg*ri zMTFME@ohJm*@i&Aj8;Y6I7iBn7u5IE#tw41h<6hY@{Z$j5jz{!Jxo}^Bu^w?A!dmX z7l^-yUm>75T{u7!*D#R$W=&HHVq)pB(L)f!(ekqBPw=|00#ZF<$F>?3<6ZZiMX1A@ zP89>G0iCy)Ny=*b#y?&R<~xBCvgOjlIkhc+%6Z9u&^~&qO6o|3K_==DKr){C;ubyZM#|=iyq$w|$1qsGNTw z+i;?mpnTXb6BFUw>-plH-ZWN}O>+@v-lQvB>lzm(CqLuL+#Y|Xf+Uan24I~^J-n;{ zKkbOfILki}>VeK_9qEj-Z)`2cYg)zRWSfc99G{1g52gp7Ci%uqj)D2|t{GLFn9q$y zEW~~{G`SIsUx74KCNJ!0ii=#}>4tPeYBTmF_feD@>l^KF(^Dtb<9WORIbP&cdZv%% zt2R}WrO5!R_)O!`oG37U7Y&HxxTNDk2rxUl#M?4L#)`$AQ5@@8x4%ir=lFYEyk1?z z;5(4(3`j`+Y_++Oi5{kXHW!V)?*7g56dFy9FdGJkze3B#WH%F%NhZ%dZta5g*UPz@P=K1MI+ z<|*}ch2)^myijyu-Vg1oqZI_=f}C#^hUuky)(`R5ZuQSkJ*kO)p)dRVY&v`!kO`BE zWUdW;Oaeg#BWOH~oJziMZJ99f5 z-~_vU-bA8*3S!`5p;ZN>7GN`QffHI4X1|h@iyE3VWoe6eCPB`KF@^BP(Zxks#4t!7 zLrg9%Cu#qUHTZ`fdT`hR(yeJ=y~e zmqWql2%8iP(VPPXX!zBehFLt6qn*98Isa&5>AoQFQ2Cl~;8m;e#wk-WjK}IbX@Cyp z_F~G6p)F2J->8naEC9ogHhyK91LIR{Fa8E&9+4&P^k|1U{MWrd;2d`KrjPOs>r*G! z`0qmCu={u9;rkM!jT{D9$dZjWr~8K{9~SPvU%(j#*=%7$Yx?91C7+qgO1szrffZm` zrbLwEXP857*fUcks0dP`d~Sv=%6`EL@S6-}mvnti&LM42QsZ{ghf6|*T%VnN@x=0X zH>ZM;SN~pL{v%S_iK;2nwy!zjYpco|d?Vi=BEzfi-n+QLd-7sG$b&(ZFm*{=<>}j; z)6jz{wJj)>kLxRY9aVHV2Td`^9EpKWov6T$#>W6%^!SA3Pnc_^(%Sg2k3pCv3jOf( z75!g+8fJJEk&l~xJ%xTMmH?thSq|!pB#yPCcs!vx)byguq~cE{d3)y5N;(vdeHCE| z+Lh9J#fcR}wwIKT(UIp$!)EznV#Ob<6Q$JYSfs&bW}{Xds)6luj^Z!eDw%{aV{sl|m{k1ffdT)>N1|TrjzV?`q6ErDzU~ zR65?4$w?p29?2oeXH-G-Yp!%tN`I>EVrSxO0*<$uHv^|&j*OV4sy}~>-Y&Om=Jq6S z;d|(rv7VHX=Z1y)$|sl8-Z}2}IY`ak2Ye!9flnC|`%#mr7V4ry6G1BXb#|*vt_+N6 z02{J;J>FMjP*#)-Kt5Oo{UEG&q{H7N#O)Po87A7}{8XaRqvw^o{v&@XR(vWRWqYF& z^cx3saa)lmMwUE7e@UH}pSK$$?oR7_+JL9JwnrxDw}$nUjLP@)=ZnPw2Dy0JbD1xV zxRSnezXJ-0cw)qb7k&n#pUdz&m2zg{)-;~mgDwf8TlDv{9>}1)A)S#Ii5}`4#C2f4 zBF`zGsfFfflS=}IG8Qw*_8;)2xNF=sJ)CWUX$TgAbRzTO_>yLAPnxnL^1aKeHEGbq z)AYV)gfGJNf=RKVNzcC+0*bXKA*Bn3x>CQ9VK>;;g?4M4*ZjT0e4sC&mjmtf!xRGy z@X3Q!vposPIIE2SI_h`iaK+YDm>~@x5Iy#`Q(eo^sy8E*7EqznE)+Q)vituHl5jzu zXsFED6Om$~`}hG|8M4&3rj%Wzse^`Ch_}IJnuOVhjTn8qhI%ST5t3s*a?FH$W)bOC z|HjL4t}u~xzCb;VG7|PlMGCG5Z`S5YYqP4Y!hy17y`w^4MOSE2-U}Zk{$j%)!c5RV zsg)CIf20u&3p$z`IGtAgaeinY z;MJm@P>0pcH3DaaYmRP6Rn-kzRb?-$i&ZbRC0JW!{e8>fOfl_+iP3uM?y_zokyy#W zgWt+yQlY~?;u-;%X4Ekhi6zM~$x2MzvvHbwYam~XS}4ru$RJV-reYRikrSdMWMw%R zH-)F>j`E(R5*AJIi{Va&ne+73RSCEg5a{_1=Z9A>`|qHsG8S(W7O763^MM!c?F|oqFDU;y{4T9pPHVk^gMM8N)YhSKt~F$`wD5tDkJVn$*(ZFeQ0c(#QWaAS(CnG zw=AkF2UItyxwsrWW~CH32DO(1^WwCnhD2;%E|sB;vWj0mb+aG)~EQaQyxk^2ACV%d9K#Z%b0J0;YZq^n|4WQ1tgRMXACMX7~ z>&?ZNsBX2af?K5K*X;Z22?S|MgO%LK1cZg~p$7 ziIG#%{DnkNwPJ#4WRxh%*MH{dqBm3N*$tF>JX|p7*b2`_0vqwv$C^hS_~^A;fFdVu z`cN>~d@$~XDAZxFX4Tb0Zfm2<`Y!nL(d{QqJ#y?NprT=o7IicE8Fqh%vll}E-*??c zM{e*0fKUhQ6%2BL5=>5+&0wZj3EpV&Q^4RrmGGP_4((9$gy?Z78kIDJ(EbJwbmdBo zWP4PsE=-CM&qrOJ&It+R`AL10C$l2iec`R?p z2w7a;q#NA}z*K=Ua%Dz$J*}8g15HbvGFe8P+KlK+vQEVr<=T0_o2mcJYq&lehuqcJXJlh;71Kste#hIZ`my0!VWjD% zXD`Gp7IVh1|FEobl@-3#xLJ1>Lh6O)sAAp;Thuj~ib zsUe`qQuWVwHSX2lGbz>&U4YXRaVUr8YxI{V9zh)A=5s%0eHUfYy((ecva742y(*it z&S8$3P57lpZ@;{hZq>af08K!r!TDvttM%{X)Y8zX4U7Kf|Bu^HSgP(v(Ym>xu4P6a zj6}D5hW&dGdQa>U5R*{PdyU?eXS}&tz010Ihm??IP3`h+o@YJ;-mTEv+j0sw0~wTlPuxsLL{1> z(j#_jF5@)poim0Of-mu6E=%_tyn%+aO> zs3zGMT>&w4YYpDe1G?O{Z&$>$Kw(94XaI0MVU1?chDZt~PSgW)fRMiD>|O!+FTYES z^NFeYitO zrGW@TfiA3R8x4c7c)f}IhCfaYTKu{3pC_u^ZFYZ)H>mK(7!N zuRjkYS>Y~k>+V2W)a+&NHV!{mS9SC>i@ zumsN>Pmx%Llz8T4U#bIv?S1ym;VM2n)=Wn77}O~OlXOq1E+6w`zEh#>6U%Y_Tt?<) z+(w2&8Ffj!=WPYL7(fl;!-z7vf_4nLR;BtV#U^N7RaCw`7Mls|PHzeJf1usB-ONGnF5(0=SGp0Nv%e zb(X*hU;c=TBzFhf!EMIBgAqnriQIpYYeN zdB}TQXTct@;IZ6z=b}#pZ0YI-K2pijI(yr+>y-8Z2<4GVmEd5|W%QU_ss0d~yFbgo zv+o&T`@{>l+O=Z}#%xFewHnzXA<|;N+)EVj&icd`oDwM8-0C__T|F!;Ag}(a!=)`( zRsgRY-J@t7Wi*BZuJ*%AeBjjAS)$3}D|*ze zf9Wn|-4AeoennvaSls0El<@`3SNu*SW}4DN2kC~wb};j9eKdX=33k2D=`_4UT<4++ zPY~7fB=6knZdaum!s{+rIfJ}u_h8>1)KZCKakmIu(-GBv6G1bx{jo!y$dxNdNNyT( z-T#YS`33Pbv4+4)J`1H8h+$(xIrj8$jy~pzC#QlTD_+i9N{h_JaIGm&wfyTlZkO%k zn>6}>%ii`CB7_skCfD(4uOz@4J}^9c3LfzJXZzT#bEg6MEGR-0v*iQsvDT2-~*uxhH4}f zlz(jOTc7RqSzEer&pN|329#{FoBl~>;2p=lfCYsP#Y&rdR&Z5IMG=b0y;aO`i2h#B z-E;8m!;|7f99cCF*bn$gx8Fb}Y4nJ`90CBYmo!B=2mW3O)%yJ>3afLM$m6n)-NOpy zJkQnrUa4Nro4Ol((-hDYv0Y~6X_-FU=|XDk@{pD?Gi$Ue`3zKCPjR(_7&L4SXaXU7 zZf37aDR}KCsv?zi`H&tAXbNewp^mFxpJXY37w;G1WwI&XGq|E(?IdoNKO%3NgxV)g^m{U><{p#yum0hCT;XhYH5le?|?faa}ZRA80#T z_*13in(gl2W%H+$1JZBThF|?!RWmcMhNl-OO~<3y+7u`bx7w`4Nnz^Kwi9g96-Cd` zWWUbU$z%+#EYRrvbq)%qKWN%6a-*Ye{JnIk*6)IJKqQI9ZBXw8Y7V&~1*e_os#`Ux zr_1H*NpICZ8LNw-X^Kndg;wLC{)1DW@ACTi6y12NNN+qj3M5Fgpkp05L_CVaR7?N1 z;%EoN(*r<3bP{;=d6si#1(RFOAi&TezAQW~;x1q9oyWYD6k&-Gd#wKRzRxO6cL%Rg ztCw4n7gg^^`hNGfTkGrf8CZJ$|G>RjPa7nftM{wpjM4xLjXS=N)FW*Yh$oL&)wNdS{Sdh*}r=_f-qpwPC^ zPQ<9cS*qL4Z-*6ISUQ+VnSKLEu>Tj+&4*?8F934E2OuPk|Zxp>^aGP?AyAMLa_h7PZ{h&Im}R?&saP0sGc7$JVO{l=9^ zxq*Ix%kmGEL4D<(t+ze)|HOsIX2p^~PBLPA%GZ~1ywbvWL8@<{^Zu1R%3?Xn!-&ha zSRskf^#<-Wpz$_dhZSp$Pyqc+KV&c{TWNWn$F7Y(`WRQbUJ#H4AyahADxg;q5zc9B zhj&bCdhD5Ftbhe0>s`2fACDbWW+Ozg!KiP6K8Ok2NN7z15n#!3splZF=8;QqBB5Ij z&2YRV&Tk0;p(IeT-9|Ekd6Ewv>$;J{o-^H`UL4pTcBbwyj=mGf#Fc!wUd5ji{k&`& z$sg9Q;ZeN^P%zN#SP=g->{RhRO*-*db@?j@o@lC}7PGU$6Ubjk?VXbpYx&NBHCRHn z?{$DVfxYvNKf_ycD=_F7`>F4bu$sfn2~A~R)ubT6gJqACrqEWY<%kT#;qx%U5PKB! zZ*0v5zrT67X#Txv64;@}?ubK|=I~J!kvXO-0B7G2>Yf3`6&l6}Le68Q5`+yZ2Xi$A ztrXVso+^cWXrV;*kVPXBBcam3_N~H{aUaPxEEd(7?>+|qtN`?ej=`%?ZUWXUQPje3 zFy&|IT7wd`kF{~EP%!l7)8)JPlx6jq20f8J!3KqoV{$+$M3Jr>4x}HunB6n0M$YXh zv&Zx$Am@D-+3p4p9|IWDLf`&_dwX=bo6^;|k#}h4F$Z!(4p6$T>_k!cjEtTkuhD@7 z=yFv3F#)Q!yP}7!-dp?pFMknOcM}_W4~LRfti?wPR$u=wfeMPVt_f@7MQ#Y5q-E|- zp5gtQ4CR)2OrQGFIS$0Nu(PE>(4v4g8=m!VG*J>Ui&CSRlkYLu0MAFgAlTIZ#;6lE z>Pb%st5ePa3y=T5L*TFd8~U@+nOmcxCFtXo)q{nqU0r{J^|aN)@&fq0Gt5lGru(N; z+m@R5)K4e>#u&=;*lHAhJPh}yf7S=FSJou+c|sCWU;kB!;gvP0y71Q=*B_zOfZK`} zMtFeJCaAhM=7oI(E+UoG9(c&$X>$-|X>?RsKGqea7JwS+n5u;+J@fILk@T?`0(LO; zH(Q73nI-RiOd~Ep8>qZV*43Bmy|$|`q@V%pcR=K=>uJgP>v{k$^z27?%(-PjHWbSzw(1R zzwYE2BE^W#e2S_=r&5#h1b5<)^W`Q`006WRJsrn@W$2!uZNS!|E!5FVC3>Ex^6s(H z3LhzpR}Sm3{1_gvVNb>T>ZZS1w&2gVhpEcoefDBp1b&KH!UPbT2#2|GsVpNKoo>4x zI2$^q#dC_O8?!Q-?u4l=q%IB0I)AZ(fxYw@dMMS3=WjiZb|Vqpc3^kHK1xTmH6r0Q zC(@Dfgz>h$b*$#|%`a)q%mA~+VKBEf^SnW#6_+gt563d_U zFTFvhEur+uy!Qoa{*wTLY%Ioy>l`uhe}QeFj);#7VvH`yY6St#KRhiFXrM>_)IvM3 z6nqgnA-7vIWSz-@8T zZp+r@um*8~BA4;jk)h=1KH|y)*bRvt12!NG8)_i4ddWZd6qI~kU?B)t?H#9htuK%e zEw4cl!EtXPD{K^;nebL!OK)|RXhRaZ?7Ta_tq5 z`2X?4bcf1Z;$0H|g>$s$oWMoc$wX|`TE%YKNZFqtTf(K!U*C!ZSj2XUKFM#bNsI^F zePapasu%;VH?!vDg~KPJ{hnGfl!a?A&)4XTyLWt9-%8^>RN>wB@B@Vl#*r7q;^2L_3D)Z(X#-G9O^#nWm z3rfAXl$-R2$}_8A_kEsvIiEEWq{?DGQ*^v+i10qk4B|j%5pu8|`L9t0*|HSJ2}<95 z;TD0pt;+60^;-$TVef5 zh-PsAVwj*g?gxljWPd7E!i7jYUv-4_@0JyPiZk4zq{wH*rESm&eSu`u4^1oejzw1q z1kBQ+%oOi?3VP$|A0qwcI8E{X>lPKM_5Imj=r&Q!`cO*EFtE;h0yKf$eVJ*z5vs+C z@y*N+QqORs`AB;RWW5^0o2S(JUFanJMo;Nqp@NDg4%rWPjq9~dsSE3?=Qs4BF2-$h zL7W4lAgEW^i4q>jMvgbgTV&(qP|!z%do!WSv6L-c6Rq9qD})re{YH`SYRUyZ#OjA+ zg@iwSPUxT3Li~=`mtkAcRAqn>=nURIT~?)vUkn}+o23q2`7ywYji{{UMI-*u1(}c7tJt7oV(GG> zJZZ~1)V9xrs5_YpQ^bxzK1aiRVeitm2-BAb6B&v)Btv{jBxX+8rvLNFbU!GjPTn`O z=6UI^VXI*NU&KWm6EWgJ=mZ6j6YsQ&gFQ;k$REq_Y_=VLa;6Ge^yt{|I<;Ou%Sm}- zK&=Jbc`7Ajw+OTT$aY*`lC1=^cCNNx%!i$R-T?vjlWeUTH!PGn5Te>!hyGTjx~8^m6cWxs*!&OgH5Ue#iyni zUElE{8(qaIH$z`E{~4J=7at*jF64kxgli3gHG6ev~Hkh}!%D;m7x|>_2TNh4pYFXO*H$cm{ zlRjGcv**EW-!9GMZE!B>(^H_eLIFV!j;qNNrnLZQ#vGVP9=Rf<>&u_YQ*%3>^-$`t z7Pxgyz=IvT*y%*jY`U3e9b24;cjeCoWyK9+u~@ElkBCs^OXv%JtRN6=^2p8WOvqZZ zn~ol?p*XVMF0Wgje?_BC1fxjE@a}995x?rsKlt;)mU`V@fz|IO)l<-1v3NJ!li^MRLQ{8SI!Mt;G~OyCcdRY@y2+j86=Xy0H2XE{I8yvw1b>cW zt@}T`GUb^|jy@rh29ac#zSqzG!y!tsw(+h^cTdCuP=kObmnV6stO_Fi$I5C1D}^)0 z`i*^S&>OF+>5-|!#$-3>4wzM#5r$GLt3LS9gEr43msi~#UH);O8h9Fxm{%@+3G6?S z7bYJtg3H^Cm`o}X%bsUd4tI1Ni)C2XJe&@3j3P)?{0okX`%I~cYcPGFEic_B89CTnD-^5`a zN(QrFnm+&&;kc`|TA>|b1FydZ_H?5NU3+7@jC}61^7E?kaQLUw*z>&m??Lw{I6sEb zW~9Y`NSbz&zoi-1>Jr6MkmBbn0GkyGK1Y7*IiIip{_ouzi5TwA`1AB#gQ&RZ?oEJZ zt}3VMT?7is?YJ6U7rqQ*iHE^Q9WEJ8Vk-~ySN;pdvHCT39P5{t{zO8=!>M~d*nf}j zMRNf^+-4+?`OYK6jbiP{ZdlHC>R&-GLV6wbxm)ye&9hp)b3ubAB7>B@5YgIxOO(pK zY{Ltf_*maH``S@s(#n4ztg&d*SOcqvFn5b=_l`7rLv8H)gv;B?SKNz;XwnM|{mGlr zFn{!G#SoNGQiA|e@Zs_{)4`}&F%F4de>jASjrRe^S7t(N_7<^M|}B>1HmVGZMW|YH4lr{!a0iK3ydn{^(s)j%;k*3wY;U$ ztam4NRPVpFh52Wfa=yt#DSl5^qQC`n@K|{Keqy_6YJwDl4PA>@=9$I~zu@!h`g;i? zSbD0AOniudGR0vI04i^#WT&=Zf9`B8Rsl))l6!Xc@P&y6==OQzvyBmfLX&S`Om$c( z5I`YGtH+3Pp1YSd7G#FqhqTJvcX)rL*!0k&y|`yGrTwF1irayMCRc z;+=Mnu*+*g-eQ;ix%mXjZ@GC}i*il%PHs?4-NMz$v&KtjzR}}^K&dzmM#C9K62bxY z#>Mzi<>m+OXok*Wp?%!~WdbQ{;|uG%zKLe&g_up@;M)tub6g)b7!Nzoo5F@!NfhR#73*k%)2 z0=-DA5dKv0Wq_nZV@50gKd@Pwo%D|YIO||6v;8iuzd>=+ipKFm=4FL}u#tLBBXL{; z7!^NeF-_QvbYnuI2%>D|YP^ZAUhTGV#q#T!_e1&0)<$t3Dbd+){Zg)$DYd%a*8n~4 zBw^wge1Yl#?Niqh|`NSVu_PfyG;WP>6Itwr*$pUSK+3o}X}LX>KF z*}!H!C*)Vc%5vMf!Yr;?Iz;L?K87sI4ucSlGuz1%!!kFHqE6WL9d);Hyosghf0)aC z@*a2%js`_7ep$oRWRnGvV_v@gG)*X?(8S{_<^Y&U>H^je-CcLSqG^hHF{69rbzB?xD+$lILM$i|1D^N!~hwfRP!ME>i6RCu zdY-IlX=!N%eyshReUvxsifV;#E}d>|eYV?%4GwV9KW-bQO^DWzZYStuu&8cjnqdo8 z6V`N!I$kl)PO@Ynez8z$@NMZYo8~Y@_e1?Y6pPdGB4Z7?#*6oq&rWqRYGakI+!1{+ z-%5jgE6HEEjp?6W`Ioe}w*A5e>s{AJ5gZ298Yn6o7R6x8b66JjyFRlK_0+?Pyqo+A zxFElGQ%TyKZYxYWqs#v zXjp!_&7;YxX#_Sal%0HA_Z>RJhN&7hEp!qu{sj2IbSi4i8(C2O`P>671@c#Gs)&Xp zo?Z+Un~r+D$!V2V*-ozMe6#HvRiF0ulvzcV%|xByv6Nxmc*A5rRU3=u6hlc!u1P8! zhsADcW4f@d*tjZ`s3NT3GxwJMwhvNmbNK|{=`wkUJNX8A!g7x_%oQ*^^(h81vU}jm z9%O4Pai>w*zB67|X}IvMqiCx0fJb@HHRB?E*iDaP%Q96b(5n=&Y?&0eo4#{apd+?Y z*+c8fMf5bYXT$_BALdAh%~-*F)%p5%{H55GW@KvcR7r7Yb+PDUhF-IHt08p>;`c4W z4XXsQ{3v{K^uQxEKeI-dho%Tmei~-$PXwIBbr#jG6qmHRs$SK*N?QCBThW}YfhCh3 zvLkh7I4UVFxc28$+r82Po*Wo{f4FTH`oLYRdr+*II^5=?%%!7=^`JfRm0JJ1ewyZ4 z+H7{YDp8#Shp7kHu5rC%du855pzARBNlrF`Jug@RtqkB z{op{5GJI=NI(l{q;kxnJrCD)rH@nbGoe>yI^R<}||4lrB<#za{sC=Aze%ldG=U~Jp zo`gK3TuW1;Sfb~lekEo}SEc#&%6t2?iROF-pH#^~9+P2vljxIcMUE_0mFp0LE68GN z%4{mj70EBg%(t0_l^k}L%@uXnKUiQ!bKD%l-JTky<5$1jtm+E)Q&+;HLjEM_sJ;0u z`cN8P27D$&Z9J0slWg+Wr{V@+`-bon;6r!(A29F~JMwh+T&l7*;BhSf|Bdxgxm0^b zcItyD$;^9|9?u2tfR4h+o6o!|#}5_uVtIx3-;J={J|P|6eF%&U(p@n3ZKxk`@hFwB zc~;eqhfWQ#^Der?8GtC z!cy4wT)0EN$1C-pZq-e%PR0qvsG;hI&sQ;PbSgsJH!K$QQ$x$3!IZV^pEjz|neCq{*oWy^Zlx-*nK+DU=H#SUY!OZh)6 zeRot7-}ClI5m0FYN-ruZDjlSEQBY|%dKIKe3B837Lr1`FUMjMlyqL^r$=4imO9(EjJDG z4SrbokA|N(K4@gP&h6@#zEiY2;JXK_>Bc-i#b~`TCA@~J8Ly3T)E~&=*PkVOoQaM< z?}?+IpIsGvrahM`YJ6F$@9qoy9*+e~rqOw}9DH;c?OL)68kh*LHT>y_`y5!{$ol)s z-DlF_(&;KeDIe6srImYK;ZZ?70VNjCiSyaJuYYcXW%C3h>@}>n1F%oFQo5gfs>Bcd zJGGotOnJ>N7m`uwvX$xQWrg;EbPH!l^e#Q~s z8xXgtBR_CW8GDsd*e9-GM~1}JC*I!_J-z@wNEfKeZG9Qq$oRO&4leuOG<&6+jw26)HaI`J@u^(SH6P zBO;9v?A5S&B42PR{k=WgPrifw0$HzvAxaWxW7n2uINSG9eR^?hy7!GV+`sYTK++(Bblm=%DnaJN7ySc1F-P(DJjj*6qrIzfVLf_J zI`)y_;&(+A34^4UC*HRNutIW9kw(b)Sh{<1?c%HtHI-lqSCV)JE*!{Adb$j zYctY-?=4`6f@liXi(Ub_$K{$`AXAdH1&O?F9%c0Y;cSW)R&!2!o%iG!`n2gUt(#qA zalQV4TtLTq2!@x2+!yz_Dm*S(-dldxr%-|YBnmNb{cqp=1LQScM)%6#`2JAcH^#2q z5EN$Tc**Y4a=6rkue3D974=9nR&o2$SxKr427I*Oo;wtk?okaf-8=PLXqCL1$X8Wz5H1ZwPa z-M9POa+j8wMXxk1sSfd4)1E8}9eo>$M$a*Ye7zd7_|3Ce#6!wehg2j*(0uZi5@CFA zKr8eYs5@*}SDx;A;@Hg+?JR)IlPgf}z=dF7VWfiU%gcfJJK;%cv*rLZs+yE^4ZMOY zQbUSwBV!}Re>4_^(`q67Dd;GZ<98brptFcZ<;R3^C5DadH$GnoqKkhyzS3FQ&?0gu zg;VI|d7u+!XI&s(X%S_*q5tJ3?lYBp4d%!-K7mwsfBMl#r})dpUxtY3P%$xU%_2y3 zC2=o%u<$nP;qQ&$1UOKgvyRwNZ{p%W063eOL(2s=8{TObP6F`yk>QLyH}-t%h3lFL z>63@kj9j^WG_+Fse5Nmx5_$BZ&rO$zh4gM;RF0N*M_Y>!M}=u+f!lA@ zVPm2!1wpuW)mIUPv3q;=GnZ8S1qVzVf<8xbW~O2&JVuqqqSq1z^;HUm}`a z&k{bk+4PRHJOV2N+lMwN;U*b80YxoDHZ6 zvA?2QT4m<0TkR$VnQYOU#zEuDulKq<9tAmDXBPRsRfkTeykff&Py{<@X1kXJ;k?bY zE^ohOv;raRszDo>Vok~&U`@uOX)<%I#MT`oN}R)0tg zAk&z`==a(LPS!c6u2$OA;3eIp#&fY81UNrEzIwxW8D-1zc z5T3R5605ZnG)0b`D;i^2I$0z9E`zQe-zUYdvwh7xC;nRK@1~u8rhAR$5XyaULUp_S zVGg5bEz-J7&nP8gRs^_KEBAvEcm-4V(+h7mE!rU|vZpUpRpynsEfnGCcKqM4L+xVq z6nsNx*@dsS`zX7o(8W|$Yk5y2Qhexd$SuMY6nA3&itbswJZ>fKz40x2l@!EiLkY2* zsNEBOFTyV-(RN4n&|3nimr}H`QXl=pGK`BJJs|cSjify)ozgYBDl!<7uKfeBuR*ql zb`op?o2_h|*5|#c^~5u8qbu1}MGh9wNr}OdHDb5-TPn~`6~4!UJ)uPeuGjSPIV05j zjZRtCI}(IU8Hr4#bAlHf`hb|6NT3979%Nb4+)|T-D1phXNfh%U36A_ zZ+W!K+x?04N0R$x#N~U)ne@rFanF=mf6u1Z>e~V-Go}H564Od9=0Df* z8=*h{5<(+7twtYQzWApM>SinEdctno)YZgU$94=dA9J&F1*)|~<>fl+PsIEpEJzt3 z4)A}9w3`|_JfT}`)G?D6`1)&UyX5BKZs9J_+~MEa0u<&003n$`nr}J|2U7gQ%hTBG zUamk6g{oBGrW);0s93!P$7>FHOeblr9PUFG!r~S#^M`aLTQoc?CoAST-g3W)*hA`P ze(W7@vY>th;iMvTrk=2q;vFtTYlb-O^odlnpqVP_xcyk0c!tVC`?pjezP-(FRNKoH zZqIrC5D1S_PD!CRG;7~wbWo}a{?ONeSxHnbDdRTmH@Vm7%nbS);%%jxIogb+YoT0k z#vDR^9$48=%+=U?2r8EQ{?{0E26XAzoisIe8qNJzG1a>FNX}Cz>Iz6|#ke0O;L++` zL1?qebF)^mF2|i6$tVHLlZoA~CUKzK(|Y4MtvaI5@6VW@pP_?x=BH8U2R4zf#07#A z^3M|fBvYGiJ4sO$k8+L6FZ!&}OP?qY<7yVwX#b^UTQ)O9bp$u`Ch&3j<}nT^ z`K!nKr7#0zmrfb6TQ#&)rVLhJ5=*j_y7-~?01wz%8XxWFFpq@RVqCR?)F-S#N)DNF z>v@zLMt$_KDyx0!aSP4=%lVU?I+_N2SM{)yVzBc*0*V!@LX+VNRX*-qn}1HS5GF{o zMJ_{-osG^;rvh0o_)tu`CUWfNbb`T=Z3|}3$HulLbKb|skjY^jQBXD?UUDCi+C+OZ z958S7VXj443A&kg^ejK9DGiHhj;YaakK-0yuQHUfh~@Y$ z*LD*xha5Qwl%-Dc>{v@a`|%kZvUhr@yFMS#h$sp;#V-I2Dw$zvjQ_ zSWkfH`|8<=a?(Z!NOViIcZl|;sobU=obx}2O`H1I(SwSyEnlc*VhTBpOaN5c{l+dK z4+sXTBMQ&f+}D1OOF;M*6eS;kaIG?PWdB#{D)>)VgneH= z?=Z2iOKoe}83SpTpYg{(?EYZH*l-g9P4nFM>0zyw(3!}86LA*!>yf6bZVeJb00=DZ zyw?+R+D`;sKuDOD!7`O_=~!35EEbrzqgm-$hA(H{g+RVM;zhLXuw(;9Pj(mWfvcn{ zSVI8OOR_YvRoo4V8Tv(v8RL$^{3vuUosm2Bn(RI}hJ(`|{`wY%-3`+G=KIm^W8x~7 z=%$rP5c)zfc)n!e4W#H}!a zF8tH7T1ls3%%wSxhY%ApP4b4!(-?;wfQx8;W&>@0tK3Am-S06U+3N5i<7@&e?V-Ys zh(y)toOXET&&SCeK4zG{evma4Gd90`I zCpvGs=|oW!0a(4C7bF;HcY?a8TZ2ChOk8pfn z3Wblad2VT`y`=wu*~=-4>(0cG8S=GJO=T9Vo6z?X@i?+@lnD_XEkJ~uMv1AyDg(1~ z0#Zf2#iPW+b!&ZC3%1zvUVwb_`bLmIoW|RxdMcpZT?t+cUaM#qi$>aJl0Md(t z6F+ooryUBOqZ{VQejol%JpVpks%M90PkoEwvTM0yRcp?tD3NJIL{}u10bWI(*E~GA z1B1F*_1|oywvYcakR=Q##=>^5I>hw})3-HcS5!!(orMjTXYaJ0n&TiLG75n1`gdF{ zqg1|-ej*dLh22|__H0^*s-GR7Y?o6;k5VDEAA zdE*69YhqPwbCuM4!oNx{a%Q*+Y}%q_JND)kC(jWStks4UxLMqy=fzgo*rWdQ;knwq zLze~aXf{N6m=P0Ah&Cz2h?lcGaQLXdACM~f7+}{6e_nGXiQ}c}-VW-5W zL^RXF9f9eZ^Qz_Rm$O*f1TbfFq*2tQ#=GI3!Hd{j>ko|=b93Sf~B`! zDJ_bN7Gcji1c@q+=(vEHf88xy4$W(acQR$lo;k)+`qF93SuC;Dvr3HohqE7!R9p?O+wi*&KeF065(+PB z@5trYwI6#Q1_c(3N8~PlP*ytEJge=R)&$X2$6*Kw{!Oda2z6AW_Sxmz+ynX?6ku`Bg|9n2?ny zPag;>$gP3~OoAFciLJ>PrU4a|cO@>A^@PqBJ_ znPc-OY3qz%>;HnFko81FtMq=n_D9MPg8ZO`aco1fj5BCMOq~WCMVsHbai=Y4UfA#K zLmzqgLT%wPT@k?GHk~HH=+IX7Qr0RC*62_>q~*??iSk5ZXUf5jQkST)jzALebRphr zsWyF<-#4}SnY)T+Jx$Di6}(%^exN%_#~m+7_`F}n@*wiwF=ihn?zwC`3XdT#Jtb$>G`IgQD{|lz$?VXhGBCb9*9> zs#E;UZL`qAvSYe1mPMvXk?WEXRs{7gk+pL^D?t+gi zumk)q*<@iWLED~78 zMizQXE^@KOkO@{RbW0+=&(czEM;6b>Ehxt`Zq0>>!)i~Zv6|?pZmdCKl17-(iI%uc z;S%E{A1#G+tzdS03QNV&YXtg?oU4=?3xCfyUM-5ZRG&s@e{L5)yPz{zv^9Abejg4L zKS&7De%MBNq=o6+)C_N)pEkC^suu_g5}bPN4iJgE(6XbWm{)-X>YcX&4|zi?7NKT$ z0%5>Z4krG8nt1CX2Cex(v+sSAB9rFd34i=`w#1#-*)}n6NIT+LzKK#J>$b@8>lQ^w zUFi`Vk-NxjQVnYASI7LKS|*-u;0$cZ-1{vMug%;KSw!=WL^TN=X%erv`Ru^!L^w5g zDu9ViV=93Ge-;lD$$pCn9jGz93APEe94~j4jvwxz^LtW3KoQN(Bz7Mcg?tZN$o5jE zxq>W$ff8FnSv_QTZlsp)@H708R$oE^pKv8 z8IE1Qwrx)6BTjrd-@JObtd89`7lr0E$Y?PPc7@=EDPjBWCAM}BjZk#@@~47<;PYU<&(2d z3_!bF`wL9(U|Qk;q^Y?Dq8KyEN=nq`=`}+AS>pJ*btYJQ#nr!!;5!Q2d#n5R*8dQV zzbORE)XRZpI1F;<7SJXJm-gY%%K#8Ms+jwtDi4{-6B@Z6(#jq(CKmCMKmpIMr_x!n zmI$w&8sVwmhLLG|CB389-DejENK9j$vdsI(i}jQJTkSAZ%$0*a4K6CyI{oFW@}ZX9 zOzeU{)ndrQxN=0w!cpNuN`v>w}jrf2@?r4Mf0Ab3DEUhLPA7OziV9z8XrP&Mzx0$`&8>+X5=sBpUX|hgc z^y1>R$zaiiG%~-Dov6IIpeIuNKqNWnuRz#Sm4?Kh{}v13TgnJPGt5YeAZ%EN=of}g zSAGt?B4Gpb9Lm`AGTwn#FIaGfyaSz|RxE(g*SCcIeab2UE_u)3qdzib^V-GEREO-* zRbS&v=QV{qorIS+)o}}({vYHj=gk2jN1LYAGn;=3<_~B`W;IG3$LF#4QKBUz;o?|m z+O;rYj5#Z2rk=>z!X2nuvP>?X^bX`d&>mgM9vc9Scs<3JUE%So0-q=8gY{I)EF_6m zMY(zQI{nDVv4P`u_yYCu!FvFARiwijL1kQr{je~fmTj46z*wi#3M#C0G8Nmd96uOm zcD-LtO3%v|TZz=hbzk!PPWr7wX14%eoU_{ayfrnG_F&%66Kc5`r3nW&v_>tABmQ6p zajyF5A3uS=geWkgB^~h`S{o|J=xr8(s2jz(1oqP@Qy+DWx79{Yh%|)j@;+cMG}ew> zEp!^^s=KgP_?ar+37(3wkjsmW9+?~)zQmU2Tp>rDtNH6%z(f~L4*C0N4Q+hPG~P0G zKv0f8!-3WY80AaUIwnPFdeis_f9!$I3!2an#}mIahK+geSa8n0r7-l_oH79>1{>QQ zgKL;2Dc;&0y8W&WU^hD9H&fG_UF8y90{_i(O=-V-i3TTR1|EQ)I1`KUIJvH=m5Ih; zzBH;v*_HHb-#qLj2O_4<7E1&9-G@PJr=2pb06|hXR3zWEgmHL#=S@${_$^2#P_Q@oVf!(!{Zz!@Q zE#GSmac8YwPfa+XrccQs73v815aFkxJ}>hyH>31}dp`h_V2}e;FB5*>+;*OYdevEz zO(hn^dQo~Gb50&G z!56;G+@tF=f|R#syB&X&;aU^=O0>qo*F}QAi*b5ryw#RLUGH&@P4hoQBx?8Xm&MTL z&vW{4kVCOJH0-x$6Y`rcU<##sw+gkcGqUFMhZ=Uj{~8Q7d~ zBF&`52f<&pJyk{Pg+#+XI8F(m9&h-%xjj(dN9E8;bKgXzDhx!wQ~3=yXrxH!&p^T@ z|AAHdi%~205>L9y%)UL7LSF(QLV`YOsm4n` zX#F}?sQXRks^r_2;d3m)eJd+go|NOGC>+2&*gLm6raS>xI*y z3c7=cjbGF9S7#m#L&x8b*=}8BIL*cK{nqp+HFABDdrQkkB{H|ge;v)rZ|Zo&9S~aE zWTf%pB4Hk+$3ep#TGhbvKCQa&&7A8|gP?9ymNQ{ADIvT&af7T=s=qy7dJ0xxR@>x{(0Qz=VAB05!ZbpzC7M5$A51VDC?o_0{-lu z!_yr(ij97UdffBQy)o>h>5a_J73_uYl)L+SS^O>t^9yU_YTLCDK~8LKW(0e!WeM!R z$sCD@REf|`XFKjT+ZuRMo&;*5hyD!WweV|>2_)3e`tqObBa<-))ggATiOp+Zkg6ZI^cf2-R^-5^r2{}FfOL-niAUS6XnB8M?cC{! zh_rT<HW25Kur)GxB?1oQS+j*kzI-fFI4`}CG;__ALIa9hr_j#R`i z4w15Lj1&BRh7guDiq)Mtup$jdiay0g%=`YWp;c2dMRF#v=B0WhN^XLwDMP#C^rzl+ zz3Xfw7<7O0ZC_|3<7ocYzFTi*BS6fZL^NGd-z`X0D;%g)Nr-(Oj!8nvokM>`RiE4U zN8Vu30B7yLu3-KsCcj?#v1%KnCw8X$xV!rm=LPomWrBYW+@kSwQ_dh?reHq?_xU3j zxDM4(>z=zr_;@hY;&hf(oPA8} zJL%A==3bN-gYfwH;C|$KW}^Sdx09K59nA@0gJb1l;M@1Z ztA_ZLocF2sDys zi&5jQs{B4JB0^3aj8))Ga1m4LTcoj{KfaE5rOkCb6Ewb>7Oe#v)+YY30SZ)2J{KiC z4T(V*{g>ywMHZ>kvR%|D8R~#bgEAq0nTd!9C0qzDTPp;e;XZc574wodYX4gB40>!Y z1|MIc_V?Ubd0lZ1{0$e@teC!Thc^Mcl^>Nxr5@6XY3i)&GW0PlfiPY6r!UbR`W1^< z`1i_`Gv`i0xhuO-WVf4AJV~xja!=GzqnN|=GXK_5P4e*mi}CN7K8m&5z0JqryE!uk zuc)e#J`9anl|Oylq!#fxES7DW)KSwLh7Wgr?p%}TkB@Q@mpIL)u}0H#OCbIo2qT{# zP490PR9iGgQR|~>(nxhLKMUdvKQO`*yseIY4f2q3fLxWt%pwp75b&cZIf)JUw80D3 zV?k%Ln?@jtm&`8)GMy|1GI`v8D@aNh?#$)ie~xbfV*0Wj6izs7CH#~$&NTAAiGN=- zGa)RN_hj1cP_QVdpViY_w_Eq6CVvk>xvkfXQmx!OcZD#Knz!Pg|0i`%83*+}(?k^U zc75dCj}PeC=BaRGco`p9M;g~7D(lcrpXo`F*R+R4ts0J9-tRc>3DroZm3Hv)vV*a; zH%Eo{d7=`0-t@W;k6pUzq+7u2rhv5muCxL{XKspAJwtnZpLt@p`ABXEZ-{21;RFY3 zzIJCh{$4-xn5k97yw&7##U>)oa`=?V|Az&Xk9~R&X;2^$@&ec}m*_scj2F3$dzE$= zm!@t%ysY7?lT0&RU)$Njx$mfo6<|hcDQdbI&)dehAXfeewym7sgic}knSnNQF=tP? zID?#J4uNT1v6)&kC^cw$)%|B*Z_mH>hq<)>3btmU421+%C}Tnlx0}{aNpl9;*b|NO z^N9iE7+u}|G;|D$e(X}Li`MV(WMyzJtiS1co1lyy7wV~=&V6#|Pz%rcIb40R>>yX( zO?)$iV~P(xLr%7Hz%0*&8QRJA(uJs>?r6J_^u(DR3^&C}9npztpvhiJvI3=j==e0N zXXvw=dAk{I_iwG*Aq?i#M27w$EG-OGH}%!F#{{&>Y@s`|fiRCW760pfz(KRZ9?SJ3)l|ou(?r+6IpaE9O>$MbF$DJB z%i5DiqAnJci*0F|6W%4rEi-d2*RZnOko92O#?~l(YQ>Cja`Y@a;aWW{H*VBMSUU_t ziX@1bNUc4x5?Y9=RvJ}K-45cY^uwr0atB%VFYM-OI=(vt3FQ@+2!%LTYV|=P9t_*m zgMbo2B==swaWTdRKm~sSLg(UK7%uk;fd#Dd3MbY?f3+o!6P2exe!4V4!p5QGgL$=gpe&moBB(%I)D@FMK7rVQ`#TJeS zGrB^Rr&+lD6Ute)g{L6fZ!!TYT)yKn0iT`jk6|3O!8^v=<*$q^3y83#%q9K;;0%_i zet7fraI4~ca|17_fZSZ!-#7F~j87+=#QpVI3z)yVlvjO1D@SI)YiEgDS zG4mj1put?ZwLnykI}~iUxJW`b?)ln8qanLyw-KwFq3>%cFx38a*;_RWEZ^Hca9={P zB|x$}ni^PxV$R{(PSdYaElx-!^!y*-kUYC30tWSzzt7eD{9L zkr1up>=2#)9yScmH=i^j-=86WChYcI z)N2Xwm1N%UCC)(oHK(GOpdc73Zbg1x}TgMGyK0xhs% zMvl@glWOHuFBYd0x6i!}nIhUaHUotEg(;@%VVL`pYoMKn#{3&^RYkfT20Hxtx@$9& zPHP2=g`TTC4|^LIq^mAqq$T^{=7g|>zzNHlSUTJC4x&u^HZdzE575Pg%FnoYKI~ep z`GwG1wV-q8`HPU|OgnvTC?R>_D$2d5^gUGTD^h$Iiuy#~`{sxf4(} zxvF+L{{}>TFk17we5_s~cTuXzqOz#ko?rjOZY^tV>-Xp(CrvG{K?|Lp7?g;whgN7W z0f(QpFTBACo`+qfnv{3>dU;)6(86WGYF+?)4+Ryw-#u^G$h~etC+l>R(6CFhE=8Im zK3Z=9eDEZS=~3u|5!j0r^n>Ui!P4FL6-S|O9%{{VaS81ICzpc5VFIIV+>1cD(>mrt zezpdDA-y6B)x}XuUcLhnlHqOh17uNNSFU&){AQz{a~gv3V6~*L37Lsq@~#r#`bqLPYaitzZDm zLv3A0TO{~flBQ{mXtKjClv$7L6(22yG5%FjL@GM>43NLuV#NHi-N)qwkLXWsU6YnJ zPdP&pr1c5Abma()_}gc~x+!1n6WJaAMuQwQekWbfpf9#W0xz0XLPEqkDe7+ z5%d@IDDg?!H&Oq3=mpMOygAoUhCQ;pK4)t;VhH!~E}csTTyD>3dHT%LKfT50%WZZN z{&v&+Xa+URHqesJr{DKQ-fLDrYGxMjlh-hiON=T?-zWXQAW^_&jBWbyDo|>O!hfe= z>poo?^@N=Q?3}(9n&><(7b`o%)}*Ykid;yx*u_9^Y=2@eqZR*>VRSW#R4lZE?PVhL zrVEE{5x?lmxZekh<-hL^&fKB#*S(e=Qhl+xMW9-B&SU&7nr(ReL?+v;YABa-nDoaG zjj0?Wg&V~&mHK$c&Zb)`4mEknrrbVOE}N5HsxFz2noE)%+B|>WdcwM~_jde^NNw9W zy8@JNjISX+vU9%g%i6P5*DY)+5YZEY$Hi23`Ol4&AAh8%el7z@FtjDKlC?Q@3ikFR zd!i+lnf-$cOEA~Gc%v$Fj%pi(_kYPF=4E;qt#!{TUKdo#cn3sD*>0V!~E);zeIc9 zG8jrw54#18Omr_zT~- zmILy;h3N^4g4PV6fsG_fWT8oo>V5l@Tu*bznd_F`fH)%K7ADNte%#ez8n*BAJ&*yP z7(72`c=TK-JIw|+$wjLpin%QchgU1yio7-_x^G5O-+3cR3@DPVk-M)xQ_BkI*e;#l z)l7vf82d=aOvMRHqEg@ae^t85mGkd1R&W9c{P^UF+=Zsw3iEwSBm?_lnWwk`>m7xs<_3>Ty`b`Js zPdd6jU;%-BW6#cU5yk5ge*IRtkpRSL&-Y$I870x)E#t>XH%Ai{U`F=ND2kyN+nMVL zaBpXnaM-Z#Mna#M+Ws`?OHQ@9!%SLTE6pTBxrBNAhEG}MtXT_qjog!a)|XZD9I<}k zk=5S~-AdV|KR!W%Dk7d?4Zcd`t*^WiX?}H@$%;9qA41OccP(q7{WT(7!CPUnuF-mU zwwQ=!IkwP?-J;h~yFI@~b3xC#A|ea8*(0y*{9FUxt-W2a@i*SGI6m6C``ZYshF$FL z)v*h6!klhfuiI2I#t07ir@~?+zFKP@4>dz1g z!v_1leJ9n9z@C~+6R+k`jyU-DBWQZ`%09m?rFg5@uYi%(5Vi5(DDfzJX!0wg|m0<|_)pOFIe*8*z^EO&HUX+t;v>Z}-obHu+3%*lj6`b z$45Y4Y`vOStqAw4g&JiEH*O?qg|~(9kkawsJCuEIp`H(;CS+Jmyf~<7#}i|wyH!*2 znH%KNx?41qbjly!L^btPUG@RGq@P2lq3(5t-cY$G{$e(tct_&8;j{5T)tsw9n}vM2 z!8i1e@za_gr!z0A;wvmu7- zR;kml4qm_F_moirHexX)A}?hB%;?&xKkL`_2WsnS+)P34!_1JZ{%|JY3z*W|Y-GW2 zQEoy@CVcCkDA2$*hx_dGvYp1sjl{j2Y=m`=bCf|Ac0emId=GR_bLv9cQh zSKbz1x!7xQ(%Vw{C#>oMVH22?heE82M{oaHz-4bPu!?lkxmUe?#Ff{r;280f%;)nS z8PChmf`=y!FNj%5dyQ-JUS$P2se2{CXEJO`C%f7Qh&O&w^1qpV7ynhC?fd5bSW)W; zlkX@qyN-zjM)ssv8sG6qcZBtN>hZP>(X935QQh&!5w<8X zVU5ahuUBFU6Jd5?-$u^|@3z4-Yom%L2UWs~s)diWE4qKC#N`(72LU`UbB7S9)oNaOTz%w2x`kl2+^E)ep z`;j2Z^FSQZy1;XgMpo7l;GjPp2hixbJ60KECJBHNlf=^}$1ATyt&Me3!UOy+q3+*G zhbX(MiiJ}2b$6bAeqSw$du|&b`yZ&&SC|DYA%2IUmQq(8`U@-t+d3s!+*KDhP9sa6 zMw(Y2NcxrP;=CBzv#5XqMY*PEs|>3~1E56ls_xo==I!!0`ajuCxl&a1mUpP^lMfS4 z%%>a8salM>rk2Pp{Y+E*IfoN(+m~JhrR*88;e9U7Cl!yr;(4#BI_f^LjLRrWkG@DA1K6(Ll!ux>kgopOg)sF4pRBSDB8b$ZQ zn_He14wj*U{~(InK(1g|i_+VGggW(O882^O>SSJcFX#TGnGTb{>JYg>ydLhpGyatJ zMc;h?Sue;w_#p2enr$@}p!ip2&=Ufz3U;LfBMLezena((N17P#@=D39d9ZaJ&{zhJu!@TYJ8Jt*#HRg(20pA6geG&yv=ZY8bfRA z{2In>llA&}cI(mx9vfRL)|zFPHHJoao%ka^uaWNNnIXq*5=JNb@*O|5Edg@}9x~XG zVRtGYYD=vv3Y~l_5?jUrNXG#^*&8Ps6p@5Y$vgP(-DW~So7maMa{6>O-4|)IkK6Lx z#;X;M)BfgLp#oIPCdFk)`*h*xnl3KB-l3h?sTsyJ&L{fqK}mklLc!O?maXUw<@w+2 zu(scQcdu+^87CcO0i5{-mOu9O4YMK=%b&=d%6i_(rcDUmgRR~6$=9L5|{hi|co*DP5LN!V9^MB#d?(Z zjrC5*P}J>H0XlyH@B5yUYVMe&eb%#;CsH6J(xTmaeY10YihJU=MQGjx>o+^a^!`~ytMohj_A>Q zqLn0r0xwc7m;_q~$U3=1KgFC}J+|9c>XbX!HBLp2q#^%cz9EkUu57_F63`6e|K0Zo zvsTrHp2Y+^1zrW7;h6ccz;S%^lyLbvhK$eYrT>|yTF02zkGS;5w(Q+KHVR>^f6=~6 z+VDeLr6_u+-?OEd7K4gGwcBUJ8%8;u7q3^s6i2YWF9fNBO1@42v7rk`xvy{>J6jlc zeqi(w+LC-KW1fo*M|D3*#bLx0&tb$qhubOTgMlUp-)H+Wn4tW9bj2DPb7RrS8{vkI z5dmPc0p^dj7zv91c9lAR%)C!!Wt^!-=1;Z`E$;eWp(gBDp|B0MO>5{WGHlZIXEtDF z2uArEMU9UF${`6ICuVmMZlWD`rd4h;k!PG`&N^)0C;vyyyoNB-fmlyD9-ZOYrm|aw zl)m~F*OsxY7c_=7bn%qvduo*7X4hGDy(!sQmAgAa9SUTs^*wjm{n&SHIa=RjXzzS& z?Zf7IKUBS?PQDkWeIcf=9-2D(Z+G5L_s2(FPgUZJKYoAwM%fffzyF>8s%U<#JgkX+ zAk_ECjZHA?qVB@}&b;t;Ria5>Zl~LhRL@mc(O5=UTx@}6pZxaOSaYsEmM2`US&7{O ziOne&S&;i3S7)?EdbOSXRFf6BL!Wf;HS~4EAF&_5=*z#B0Gt<9vVuOeA3h^O^)QWm z1mprVh23~+^cRNU-_p?>)u;Xk4}LcAKizxz)hIV^YthFw8(D`V~< z4c;K~WOuc6#ff@K)dijXau zGbYxCBDM`1Wq98XAy&}0JnK9=s<8Z ze=Y6?9L8P#FVk|jmt`2sIS^+2KiKmsti~=RJ4i3L`{^Sygo-fW52awTYr_Q;Q zJ#PMW+>1(ouIa@I7N`qZF zIk}geN!Y@YJ}H_p;;|%Np3&8xgXjBR_EvR7s~i7&f3tMIhKqL+!MNa}VcD$JY&o&t zk~P{gy=?!R0PLt&$b9lMtL5bs3cF>z$|Nq=2vT*kTa+fO?YY&cH;$FudOQ!4*A^Al z1j)`lCgng$DJ?IbXk-Q+%?xXlEFNr1FXMix&)E7%V?j4EriVK|Aze^Q~w>$=KsBcr6^j8){0TojJ-nC zs8Oq}T_aUR8+#KZwfCqQGezx45E4ZArl0rk_xOGv=Z`yoB=_UKpSkaI&hxsib53IU zN{oDlizDUc!<$k0f8F*esUfxwDY#!&H%QsSjtS5n^bjsBc5eK)}1W~=N&v!r^rvk(oym=eBu z$SLCDEc>YNb_D@a6;;e17kcD2$H=SCaW?bmRN+Y_^<@^ltZWx1u#YCe!WWz|;bmY} zk`lLGLS z{VLb0Pi=!cepwGTew;(I;)HI=O8lZPZ^L)LS`j=Wy5=IqIJ;_^EpF@9MUHXDcHyP3 zqrtJZfoE3_i~k69(ylrEclX?T&^^nuzQ1a{4;)XgXg;zUE;CYv)F>Gw57X5Vqclq9 zlPjqcI{15u@^4Ml%PPx(1%hJ#g|_Wd7{W2q@$-AO!Mp~l zZIdf_c69tvQqSx6P3u>RcvbHLXspbOi-$Ikk(N0;Pk#zHU52l**NnEfVI(0_bxK7) ztd5?-P@O86fm4Tc{I#}_FwNxoMw1o$V%OR_I>LKtnX~#=+?h5*8j+_<}swm(8dCV+Pa6=*YF;~LE zZpwT4I&)ECyVAYUk#)(1+Io0(Q)~^$XZk_pvGB(2ldhSHCMu=*xSTb<1|$(W_cwJU zeol!_a7eMBO3{5}wl-yrJu#xxInYBfqRUKJfV^4nQ6U*_=CSdZloR+V!6-a(lHdVj4kBBW9;aF0b;RZkCu zMv(!B>t)Wuix1Umvk57=15IJHlw4B-`wfiC<`O0+N#lIb$0J6i5w=hK4GJv-;)9wc zSOs*gf5e=(jl2TCn^qkPKRSQA6n5k|jm%#K!q?^=`ab?LT+$<-Hx44V6mMc`QEln` z4plH{P|NGH=@X^0h_fIypU>=qLs#;Agc`m4!*FN14P?n!0?F%4a9r^yQ&U~YwRN6! zz4;SBtv%RM^l-OkcPT@?P~uUB)zgx`2zP-4DV(k*C{xteOOW9t3*((X!16aE{?c6J zt5MlPy(pPop$kY}nG@Uca|6hY5sI61C9sU?S368wcWI=cqHHH{g?ab;RyQ9IvoP&Z z=^|^r!xwU{cpCKm`H2-i)y_o(l0L#m(7K;+sAt3n5u~knnx0 zErX0*6BEor#-Ea}CZh}?f9mPuAGT!vy+~woV8Qb8`!tITcJY@ShDQfo^4-2du+OT2 z{(q9&LG}h0C;~PJqzq%=z$kUmB^jIGU{EEQ*z{~o6Bo0l+g88YqHua~hDSBunY!qI z)K}s*P|l3~v3%lyyVD)>gPjHuvXU7o9(<<-S!k1W;Oh&c1Fgk{@gg-Z`AhW#)1cqMfzsv@@8R5od&c3%afwI<6~DH!k+ zIfUMe5_sw3|6Bw0Rp`y|EH*=-5TslLr71Wsy0$M{81M;Xclz`v59)~qYOx4ZF(Zu1 z_Fe(Y58wI!q#{d9-zo+c4YA1)Wsk5LZ6SA>jVp(EaLR4|Hx%_N4X*+X?~*ct(rwUY zzh;L?{CCT>LXCNccD7H7cIBp~d(d=lvMiy(8&`cV2%R$VMNXtaBUx0Sza#r3NIrJNa~+*8bFUr^)}b`mceqJn#W%*r)v3;JW@+z;DvKgTWtU zV-=m{6Ykk9)fg&4d(NgT+v{I4hDY99>b`3^D!W0)!$$##q`5ZNJv*pb&yOuR&QUt2GN|1Kt3RH+Jo7*&(IdnHXqG^C=Wy~2Ht*!m>bZ@ z1)Uqs1KvRQqXY}~kj0I7YP*Y3ViGwT%T%z7!VDeA_Roj^edOv zwFlIkBpc>}51)J4w?svhBi3e|q zDI5oSKl{~w_OF>A&$vrRKsD5R5i2(o#Q`C#&yF1$|6=a+0ZuIo@AZi8QAym>(K{Ql9)J%P<;K+*Ci3IM@?O zJ#i^XJ04TIYjI7n@Xz}0c&bsuabd)npt9@WCze{!#6IbqU_2prBDpJqdbv@UlZ6yeo2zfF(5)ZlLQtR9QutXs3e9iul?2dcl$xg=ERv5D6$d8dfKAc)0RcVDgH@%pKF0`vQ0V& zSW5hT^Spgn9Gh^1diX)cCX9MO4J1Mi-&d$5yjV){*ihRXO||Lt=Gz8<8TvzWry88)o9n_!1>@k-q_k?X2>tTwBv!QCArRv3pC#qBd3O2 zRzR6*(M^X#BZ7Z7#@{P^{V1dAd;C_k`ZKo&o70KpI$Xg`oT&ov?UHb@UK;*p9d&Tt zKR493}3!IeC zzeAV(kDso;;a~O-CE%p;*;KkG68$7%N9PW%Usqz|v(aw+@$HN=xp~T!;4^(Rojs@; ze>{L@OlW<0kc`F%2|&WDP_PlPfc-PIXf<@_*Ro~7(ndPQkh{Zzo|ghUiX=ONlR5Td zA)U*Da=UG1mdo%5b}o{(YGe~&8| z?C|^w=UKaU1#0(Wt}w6_pBxPo{54|B#pD0!Pb7FQa<9xHB>WQIA4vh#zNr0_oFNQq z$MNp}TGuz({^3E?zg{i##;O-l?eRUE>|1hZJG3#@@3k&H<$<}oz%k|7Gxt}3Kz;cr zX{YY4P>V^=5MW#0n{?6@l>1Oa%HQlBNblWFa?Sh0z&W|Ruxt@b{m)}X3#7XVVMph< zo*kt+d}>pJKi=sDi__&f6TiapS%AF_R4Vcif$Fz`O4W!ACY>zO=aeDc&e`1J5_1c`@zI)skFrc>~U^=Av=TZ9hsR1-{p?><`glvr9t9+Y1VGD$v++^eQy6w|q&l%Vwn2T*ryRs&3M}k_yLF63l*bze)DLOwE z9LIhw%m|{hGrK}X#awCUBG8EuLBYnC=CYQ`+1>Q)rs?a0^n>4KyvJS;fZecqCvAXb zU`&>$nHwI{Xq<_V*2ms&TNCkbvYF$6=vH>cQXwHaJ41&02URG&<3)#6YH`8xaBAa# zJeBLUNc}(Q4f<9DwIP*dnnz0La&}*GZSFum2m$L?qMarP3c??21+;y-|=>x7+xn7$4fEI}`IhHbyUWzz3 zVmQv9T!QD#4y_BM3rmyg)XvtkVHD{T zA>-bH)`Xo)It17I|27cTbR+mT$BI>=i$C{wzEV-Yfq$sqI)~45)v;iu5{20|lz`M; z3+{|rnJ;<$kN}=#p@Moveh(Up?i62lb`;!KY`5$Uy0RkCzfLG9kZvK2Ry`X)g&Oy- zpRQt3&#e8=iw;QDWI$onI0mJZD5nnW>%WSiAN10!xE@@F?YUHaG4$qCMn@Ggr#N#u zZ060PtECyvafSQwpO-0l+!^kH2EZ8_w=cHH==pH;{Rnp*x1C4PYmEU}&pKckI*kH6 z9tax?j%JNY{kX)G14kyg)~c%{kM7n-`{<;gQi&y;Lu21n7FXL#O_r5Aab?0@czesE zhhFB1PL1#ovy4U(D_g?{qM4qcg0KaZMZTZkP;Oi+7oe0b>Rj{NW;P(W*tXL!QLp4`qDA#g3uR zo+TX3hLu&Fj#uhgX3MV6RO5)mw?c?OXgMfcQ#rva(>!;M(F1@HCj@Vo&^kU~Qr<=N+69bXQ&gn|M zdX>yUj|CnMRJl+hy9(7IP0IEyUNz?3usQ?uyWK16@WayD-?Dv;r*7$;qm0F zl9d~7d2Bn9I$(%h14F$;m1Fm8mJyOv6CycndYf1ouQ4w_<$y1ZZj0&qE>F7H?nHNa;!{E#>)umATt$_YFuurA1mWpXmFB^ zp2B>DJUBRxP^2v~Pv*wSQSn97iuuvw(HI`H=@&BinndS3De!=&$;yk#oM3qB$hdK- zOD>)2{S)|b4N1g5;`_R<>1@x5dpT$s-qcVoVl^_FL_JQX|2@4ir%PHb>3Jhqb+6+m z%dhpPH6l49&c5YFtK&Y&49E|M7P@Nd6#)hUDidakhwUe2y2XcFpSti47#FSL!<_FV z#UeAm9>3NQ^NKu;9zD?d(C6wShETS#<{;dsqpM8RN=MYvBDDYt;t8U|*Wcz}S+kJW}3to4r zx%nneptD=Ik*9NtllpkWZCLNlZ$&I*y zgJ))sF9NskELD&Z#Gc-yJ8g_VS!dUgU90H;z{>LEm;kuzbtU{hml?@!NOTO}F0540*}V2?B)^zR zRb-8HxP>4s=LFxNe*TXzdrsBu6lsX%_57OPJ!>B|CCo+M=crs#qZ@NQjzCfaGjjJ< z0bqj(i;AN>rqTk}paw>~y(9D+bOKLC?~Q-Gja(n zM#|c?xNZMD0Hfl^q-Wycff>R4fwFVUs98Y3XF(Y^gBTAVIGJsrQnt3k zqscf(+_y=hH7(>T*##>4=Qh`0FuJ<>TEc2l6{eZ74 z(Ih@(DC$qi@U(On&w8pah0`g=n77xU@&gF*0`pz*tylMqR__Nt@0^rmpMA90Pf|{Z z>_#@+t#~D&=JXYe@+J+HbEP13Os{mB!`VRhp^Q7 z3_Kl2tu{w{^PD2{xbEoZywN&itYX?N`Lp^cMCI4r)lLCJ>+)G(-aRns3AH1LmDY@5 zIHu<>l)=#gktgI!(@Sj}a>(*siIW;3om=~>0Rb5=iheuk7#}TrBA!5q?lsn6!}*TY zQ!FVeNN57m-jaOO0QS<4L2d+uGuMS}se0!%klMmezi)(k%J8+YvP|TeJ7xejz?6j- ziqFqqHu*D=vq)Cb%>x4G>8l1f7>GamjAutleuus(IKEB{(KQiKyu2tnDEN>oXXk>I z3;^+;lL#+s!t#U4mZZgi3< zTtYa>{53gJB*;mIWEWmT4z1x*04o-&N+}C}q_Ck(-w8M^K0Q?w=lYV7d3(28!ot#A zX^q?2B&W=9M^{$A7I6=l78Bg2_A_e_Fx*l2($DEr(gIDcDlG0oB7qA97R8O~Nv!!D zk}sBJOqc&x@@d+C+0q4Wqt;WZ3$BiuOY`EZGTc|1XZ*a_{>*Sk|MI@!TtW-)P{?&B zC*^bOGeEf}B(37^Jzg$@g{kl`_7W(mAi1sl{mA;QG;RzGhVPy^dqmsADsl2OF_CEn3*3m-G8r}~C zLmRe#nRAD-)p}c`0?cd}uS7#cZa6Ipqn{q}J>h9Tchp^)_LN~R>dUOA>B*w<&q?UI z2zL#vR$D}ohH-BO;{(|l?d~^1$;4~eN6{r;Sa-yhaw?QR8OmM$6)kkga-J>0Jc$wS z9@|AJg&+Yh@hgb0)_W+P;Gch_dby@2V~{m9#LSKgOAyLixZ;uZ`<8xx(1N#_L&etb zw&HlB;_GCn#E^XOk(!&-snvlzs0kx?IEl5lPjl~Qcomhc$fn;BGd2hZ7AaaCfaLBi{lC4To=%sLs(HEKO2i?`!2Jt{~QbG26z-5GjbfCD1mC$?s zYQnP|{AJd{+Jp^ON8_-s&^E4h?&~V4V*_l+iIRkCtbSw1WpKG5!2W>9O_&%P(5$jG zE>{{2?Hs;_Rp=aMa|^RmXS>t?+331e2s0n)e%(2;==zWv+s#{Vg=*=9<{kVC)S#vM zi;c#Whp`mX%ELG+3wxO=Bu9&OdR%q;eyT->i5cWc_SC0U0{{)FiSM~2?884v{9qIncKly)z z2Yp22Ldr>MQ-AXF=f1w@XMngIG&s7AM#TKH{WH89TLgp!$8o>AnuuNC zxBGS^#(K`GW?DWyDlOak81j;=o_M*@XEAB(ni?v+?KUfSNI2PQ@mF|jZ)?Oq$GHZx z<#j}wp>4OVra%o*>?5dEGJIYC=?H$12p039B!QuTgI=u~w1$BQ@CD*^MXmFa}OhSGlD|cHQIN|ktunVg( zB){__?;Y;V?G0#;&be>X%3k$+U+7+Z$EbRNs&=`mVFNKLM8GL|V6K^=FMA9TZF{dP z&>vXEe2KjC-;$d6l1Isv0I{mc+w+vpsjFDr2kM5LPio1L&BEetMGrhe^_OP}qrXrU zb$H^Kh4J#D@r(3~*nE&Og($v=Ww}mXLzgkp%>vgPSZ0f_)#B&qBJsuySt&*Z@^s#3 zLZUu*Cz|;$)~BfcDte)KVebFGXR|B+i1%+A=w>|)%8Hu%v{Xbb>ZszoM9p&_=zzP~ z#`&bY@Q(9Rx081=d%j%7Sx0BzS@Og%Mp(+AiJem)8%c7w+w_3EB`V`#wY;eQa9(m2 zBpu||r2-#oe%!v(?P>CF!&aCU@H-Ne!wTOogInyH=(d?jSc$hZivQoUkcuNR4U+p z>ml!z^h+oZ-&>%~c_+SpV#(t*H^WlCb+ck!Rb+c`&K>l(PCMx2$+3wnVREj|%)}`L z!`dY={US{M*3I7h;C0Ip27IufNdT!ue2MeT>-G$=u0oWI33O`eR+(~J2L7Q_N@R@k zHgb3_sv?5wbik|^xKgD(0;$FNB1p3{HC+tkFH&XH7ESXW(v+lDPpG065 zk`1A0W2~Qi#f_^?l!H$eecP^?(O<60@Cs4Ej^h$A1HBlLtM3MC8@@^8X%n5ja5WXr z1}xohK*lb86O?!Y`98sbluy@HucZrJ_EA*7P;r4QSS5SKU%5(_K3er=r|PS_=+(!; zS(m8-kqFSYFkiUJyS!bA6f1EM*1iEMWU*7xZg98oeV^+g?sZJAjc&jz%z^6pp$;{z z`)KxYrsfx~qP_bYl-QAEVP%G)#IWtlxf+9Xut#FK;z00HlSuDC64m267J>r zy?>dQ!rIX%)6<dZEgMMY_ zbIIZhI^r82G!C)!{|1<;UZr_zTsiUZ3G)Fw6`Yf|Y<0CdytTe5vF5Mka;y3lVN|s+ zG%ojs19c+BpZhiO9EeL5h1XirKf~6UnjFvO3d0_Nqb#?Z0c$H$K95QoUf^V;SAO#+ zY0E_y`DTy8`Ffs%eR5GpjlA`|ll8oCnD6EZHrg%hTv^UY_+Tq7#4KH zjmda6wf;eegZ&lbjIgnt2TT$Aau-N?=(a;q*cjZr0D8SBnk=|h|S5bG2{ znc(JMBL1=J;s&JG(mAUz&^*#(Ma$Q6gql}AiRF2{c!eZtXLy&j(`sJud%*jsxg=@; zIZ~$w2z98+Y__IsQni8gPK~c4T9eTzT8KiG87(>agQsPN?vv`{04WM^6qOoMcPuwGF>ZKrC)Qqh%qg(~1BiwyMS=S9 zJ^721XFVwEFagDVMb)4VQ9**UO{UNHdli(Jb0_Q*#+ab?CC;~kP~ha6$Q7`9SHlbu zx0inZ8A>3rHz6R^0gf^F2#%Qw`M(B|gq=6X#7QcE%!%0#N{>9+p_Sq=sQ+rfI1?$K z`Lyn29kC4VVH0p5A_P0`CAbwL+GDtvj{#+_?k(V_St#q2qN&NvKhAkK_i|V&s_*#R z0arw3bfE-p?X}!F(;rxNU&bWas|*(ATa@J*+vDgA|(kC1gPs?qoMP8S40$}l1nl$`5$-?uV;J7vTE72poDj*BzCofxpKB1`XcU&BCkHx=tYNB>1f`iQIfft42o9J`bhtM;={8>H z!P&N*dd%t=IaXMhG-7n-)jt8OQyOsv&v!6_wvAjO^AX=C_uE}NRuuy75svi61R1MC z>%!cgDzkPM&)uCr9Mj6?FwQ%G_>`x4TFB)P3nb5cQ153O{2k{9L{yVYC}mqnkM+7g z>feC;<@NDEnW`sn5$|}6Vl5@gI*5N`p#DbNN*AnwGQ}@f~8|G znCd-FZ_n*N<|$?s_Rq0VlPwQWv%XLAh=FR73oB@}w#sWv2{`vL!E9i z##9+j1l9oY?7Ewnod+Wwk8kuI??z*u>c^+QJfs?B3R&riu68C%iXS~uKV!)jb}P5% zne6PP?hdW^M_X96FKD|7wBc^o7uk@Q*gTl&17tf)(nbhfNmf;NPHLSC~+BtRUJetLvh6EW9sTc+(`ZZdJHcsNBpG#Z=m6EX1@YISp( zlt%1H<}SYdRMk2dw(w1s6^KgJ(gg|nLnYqlf=aFK1|$SoQ3wd@sr+XBa$J0!H!D+1 zWBw+{+>euelhUBt`dQFd{)a-|XkU~ijnG81HFHz?m}lSpgMkN-tTDX_Jyn+jPXS#Z z2?Yt#=U2}9quwjrkj9HWjh+MQry*wtXE39EnIMg=-;;Ub!`5Gh=tv|@F%IRdSIvJ_ z4@dhtXK6!iInR11-JkaPIQ$b2XYSiUSDj>GPim?bI9D6bKiJqy5*N=04?lJLS>WNV z-z0fn_!X5|!`K!AFBiC=>Rk+-lSZM=V@N*tn#1`>7%5N2kD$QfvW|6_`^k? zAIYFKOxzdbS(*N8u0s9#cUNNmFlfTRjf87A)6g{u4ZFA9;S2sv;#*006_CsBgSsTi z%3oq`Xm~YfYx@V>Ggxcz(00jCt}vJ)L9E7k-Vk__hHM?DNGKL)3rQ<~7=Ply^w&xJ z2#Ht4;k54^AuE!aEqr|_gis`b>1=%-z&ur;m&I{~)UnS*naT_<-3|3rzPMXnTahWi zvE2F#9J`Lmb5J2mEDv>ybz3AIA2-;G=%ZMNP@5DFjx1Q%cy&lR23y=~I3Ulv?fGRC z`-`)V<`f^uCt#)N+M0p;_yv5N*E*<2x0_92nq|o~i^00CYe}g*Wj_lr`eL_prJ1Gi z8G1%=ROA%@ed0s&#=~*o`{|tFrEQ5o=9VfBvc>L~O@=2d?s|w$fow&g>j*b}M8bhU zw(#_RV3X9`t?toO_~~ffqjK9mb~UUKgOI;Jtf4~b#=E!iSbu>qn#b4$CNl@aQiM>$ zlk!voCWWmyEchrLn7 zg3hE`&1`0;Ri8xJu-BS_bHQ$Y=I6O=Yf;}g!kCve@5)#5;15KA%&=ZhkaI$!3xS*T ztCsZ4xYWvSCqnI&gGHh1`U6+=BJ{OGHoMb1I&~16(>vo2HOCUn8RfDk4j|yUwR(1P zl|l%zsJ7wv1*pr&>Y2!fd^mdK68Y!mKD!62!b2ZbuJ+|PzlTR5v_calpyr`=W!A&l z(2pj{f0y=^u2SSr91aHDG-q|r2ET!{Pzg{Tinkn2&va)gX|1N+Hs0PpP@Zn_eaPL{ zchwE~kOU)!P-1UlMm~VCm1j+|Y9!T2Y^MC*?_STzu9U-?{PmLiEhTX$IzM&s-1Q|s z?i8(oFSl`DbS2!1JN$+0?a{bw)=wW4UU{9L{vkd+JV~8NLS(x-Amxk#a=T_eWuCYB zs~>^OrLCy#<@|a0jI5c9%vg>yjB;}0YkWQyBmJi+S@0SkxwGyg;OCVJ*a8yro zs@t0PUp6nWw#djX8)>pSdW(!4z}GM!D~Mo$5KQh_nPKV~v-#C9)r#uD%tP#f|MO82dT*_A0YzoJ6;gt| zSaEuewVJ6|FurCz_Mzy*=+sAe)qU5Jmlm40uD#Gm5Q*Kzy%)3YWW33~$I5-xxK2*w zp7@xNyYpN9GT{%VFVlD>+skY#AjmYRSv|x6Pg%e$tmoi0Bryx*)8QFZ-LyHR3|yw~ z>L-h+?)K%~u>>U0L=9|_N z;#lccXjVz?&NX%ayLGG88KJH{wX)lZLmo(0CqQrfUqjMTqsQ`5=)t{p!lOx2xX#%G>jFW$!%ryg zui-u*XTO+y<966*l$K#jEdAQal&|+QMD~c#SlIup&6$rzZQ7;(dTt!|lbR!nN`l2B zpAp(_%gWEVm4x8XJ-M_V;t}Hjg{c>3{&_v+okX&gp`ZoXh-%es+FXX_D$W?joy?V# z*3?$tnW3&v^`FA(WKT;#^mB}_WMt~Hx&>mrmhJnK{a#D8SwmT%^gcQ_*A%lJh$+eR zargQNsG9hYy)TBM@O!}7-$ZewtLQNYs?*PI;q>#U-)Hqc*xw4Tq>&f^19VlW4YpZ!C$!1A+kxmd@Jvr-X=LW*>7plzO4Jr~$DfVM z>vm&o7>DA;SFEQG~RwWyG^i{K8{bwpZoWfSA=l+M%g~ ztG#;V4NEu8$r~eSd<{uR0)Pi;SlsK;lI$O*?Ip(Fv-?dA%GgQ>1Y543Twj#Mcs&r5 zuZ>$1WGaO>UoX(M-hfOu$Nb?j*^Jz%I#Hq|aqK3rDxkI6mzzT#zVehp-o)Mw5yWh& zUgxd=9jpXJuI0sf%@;WF-klnOJj`e@@F^e}B(3l9CIb0j)6bl=E&p8q!gs7O{5l4@ zbS6UBOQ5|+ad#y$cBPpUZO@YcZ?0sasKh41`*O`LZV-#LC-8fvnw za?yJPVtW_a9~iRe{W;NRH#d9c-LA9ZM|Txo7=W!=na>BGkM{;52dtv>FLs}SHtH-F z9@DEW*&ewooxh(3%Xf#SzaBTGTrJZ>tb|Whn1F0<{*`nhT((Q;z@R^VS}@wd^Ov^C zpA7qi%k>DKq@o_LM6CQ;&x*erAdv`U(&*Bdr%k zh7L1=GrJdJy;#}(IV$Psn`zOMs4k^5wf1gXOOtv#vk`PDKv&t2RHu;G81K`p3jN%f z50LroF2|Fsx|4E7I2z&ER6kem`Nk5$c~@OiMQzZ#5S_?Fnso2UG%fC=z1A!Tyrx(? zab#X{_Qek1_+cS)b$RE*LhWKqkQt@Zql)TIJZgu3!fv~BB36^FcgO%F}kzp#_V$|fs5!uVN5FK>Y;F6*IqSx&s%IAihS z;x0ul^8}MKB8&1^|C0V^T0+niTKZ(~ZtJb-t~p~XcyU~J;6<^?H_Al5%HL7%hs$+Q zKh$JLDAIia6TmT`cj(8G@HoLoO@cx+n}s(ax2ZN!iR$^f_Ke({0Lkju65utB68+=-_O7%M-x27 z(UrGOu*n=W$@Vk4cZZX#<|Ufm^47{y@yl`lXRewDKvy9h>yVKjvR)u{r>~SRt+>A< zsa*T1&%-Fd(E04mJJzHJVb;NJU>UtbZB4VVzuPMOe%69~Q@Lu((@p%Tskg2R{S-vL zn|i&Cg{ZrrW?R+k{x*dKaVEdWx#V@VQ|F5Hn}go%#|Do&SxOKM6}N>{_fbRM?S&YH zuL1w=^q-}&MEo!rU3d&sx;b>h`*LWe{7cV|lTnpvYroYG5GmY3B^fRSw!%n33kea! zjLNc66{SaMS;LHFbII@##t)!A!T*^A^sq3+hrJ`7x7a@!RTl-m(9ceDvB)A zjmiGclrUajPYMrCw5G$7J5X?V&!;2KWs643C*Wzp4}FizHxtZsRmKHA;0)a{3#?@3kC*}SdJURH4P7L1+7@51(0MgzWq{t~?itC}G?cYdlCYZvl5vi313gdtO zou3C@a7)v7+01NiE+x9!l)MN2>@+!M~$-v{BRs#aC$_XugOVYd92Zar();d{J>72%9>& z@R>q$=~ib#*o$&+n}VZ@zZwFH@wUq}kOG>rZa~}}qJd?uwfV-i|Jt+b#o1Hmm?&{Z5 zer&H@3zKAb{wXUsc2?KE)Mx83z~>6P$?!rStX{DUz`!~G$qP7E@IZ#gnt z-Wq?&lTP<*Ma(W%dtd|M50u8EM7rT=vkWmmU%G(wu0PK$O{mBQn}WoJ@{Mk^BIN8^ zb85Z$hegs$wU5xbhIzr^ahs9s`uGy-)8s7Zv zdgTH7;`8Gtzp8T|CoDt&_uqaqcVvmfSLLCyv4IiFV$m-}jn8;sxdKULA&IkAJOCqr zknZ&7@UAyP4a}tM^NX2m?he8~YCcNi#=lRoYYm&NTeqI)+YUY+j%BX{g(Rhn;O`(_ zUih2xLA`#0Edk5i*_L+mV}H)W|0iw$k^h)d_^IK*j>gnAXiw&hpqUH<$6~;!VEmB^ zNCxUgr2O#~kbVY?TmVN3fvp;HBwJYeK{9Lv8p9`A;Qg)e3gd&^+UFM@o!bPRN$Vyd jIWy6MPjVaVdG>eW>FdVLjXspNdNh!}uY!*N00960&n>#t literal 119121 zcma&M1ymeSvn`Cf26uNSxVwkJf&_O+g1ZbB+#P}q?(S~ET>=Dm53WJqki75Sch@iL zEv9FBrn~FZ*|lre5wIa3AS#d{!S4_dU%-D{;7?6+M<;7LTXIfzRt{DUatRmfuV&=D zob2Qr9BkkhCp-95U4dN5+S#03+RWM+d@o%I-d_`zhr#NNx9gLcc8H6k(F3bzrbDaBx5YjtGPr~Q!;h_O|xuK!%evXVvFWY712NPpMr4^?~r{A-}o<6v0S<1*r`4A8gB)N<+ zn~seng2V_8krNkY%JG%rQMrt~^UgHRvLSza=%b8nxClz;guZwvue zZdKDUA3r*eHIzy1p3*J&{B8{Ppp>GWO|T%S3n<=fjCmbg8qBeXZN6M{nbWPf%BHIqwlP=yi4`sIV(iSKr0vUhdXH#*mbrCA+e|thk)K?QLnje53fN zD}pz&>(ixi%2VKIW4-Ly;>&E~rB$L|p=s)EnbN4=P~T;lc%-+sj+ZpT(zO5ld58ss z+?H~CH^;qms=Bn!t+>0UEqQ8MU<1-(yh|^z86CVUoN0_u+)bYBacpTy1V2^Q25xXv zxXhVF(5S!GWwV;l(N}Hh_CtZscDq_S^VwOG;AW1TMDmb>&GEki|?q!f@cZK z29C2>zIe#9kZ zd_85eevF=JEP}OJ?A1Q)5MTbKFwbJ+WpBM4lhi0YQ@(k_oBY@UQKr2Qt+4FFV>QZm zWi_?KD$lEY-*{ogg=7iK0wR3k3{LaBe!Y$s=MycCVlkf`%ldAt=jAzdyB2!ozry?n zs5-?%i#Q#&%XwNkcci*K7qHHuDITIU_J?158NcidUSQCsiP5IbLWStHxij(V1=3?> zJqE_cG!uZbG+>p1Q6J@X9h_~W_gIx}Bc>qAgNS6(atFRX@de&yn^YKlz2f;Lo5%KX z$Ipow4_R3Zj-$Pn0+?P3NA+7{`G6$ncHnM{v<(M7FZ3bpjV|W~|E7OLD`~G8LR(zA{anfAvz+H;_u*&$S?0Vq z(PyU>?oyemY`ujU4P*GPe% zA1!^aLEgW%5i@kk^15y?96Gu7pPWszCb={1sSff$Z#nrcSSg|1k^5~<*k@U?mQ;VHhY?w<`DDMgvFdmIb41KX z0o(n?PZ6po@Pwncj8`Zr&o+V)=qD6+nf<~%}lzg|Qx zm;b)6`JFmdc=>8JSXa00YdOedJ{V&$$YePfV>Vc3Hi&LHSY|PZZa!FMK8S8H2pEbc z8;S;{lDskr8VC!%6w%*V&ktFh;&F8{8^Rm%f(Ary4}m&9WWW|556q6qS-a!y7K{0m z$J$OI2Ei9u*QcG|_h*Y2>b2WlVcQM0mt7XSFEygBKI_01!1&TydV{{8;7i2D+3fuj z5Lj9d{50^I73mvi3%-HS(6Vbq)PQdLbw9FeeLdHdY`MtN=4@g~gi7#5-L>7;)mzI| zh)(du)b(lX_x;3gci}4`B@#B9O_BxS-*i>2ts2&o50`F9JPGpO^y%|ljykX15G zJ7Kh*XXz=V08$PvSKjH3Tr(f9liM>SXj!nCpL8Z|*G^nCO-x>yUjqrg-a*qeYixce z47s}#7w=oXeatB^zoj<;io|_w&u_4 z(0JZPyQ{cdUG;XFO;kyxu8-dUIuehq|*K_5U4udz{?p;~hs)UBkB*0*;h1&3PTUin8^=;(EQ)!%wz^p^A z&U2!CK*1}A zl#|JK?9v^;@?q`#eDJFc7>PTf8KU2zO-QCUf zDXKojdC$Rj+4-tv-_CQbFEf43Q6qwNDu!+4R{qqsDDzav`sK=P-T38~!{FrWn7Qt_ zto~KAY>J+}DPhwMalX6~-ZX3=C9qS<9}qYGRipQP$C?TCAl^%6KsBYuYqnUv+?qp3 zIoHeQ-rW(RN-Nvz-O=<(Lr({%@d#F{fc+9**?jX8+b({umyt)`&o3o|ApX~_JmqnF z{yzb}cw3htL3Qu5(n)VB|Bz{4w*Q$V5jA;fuj3h80v@%lowq};DivM?J+8Mq(z0>; ze3|fVR+$7O8UfuAS&4vqaS~awnUBBTZG5k-LpH!$d;WPiao^k0M)|4!)=(C-`m}i* zV@=AjKejjb>SV=(#@2k~FX7RdH90zHcjEojDEhur^czhyn^1|vYy1`RZ_hUVvgoeh z2lkiUFKIEP_U5zg_u+fPM0&}GwTEY9%s+Qg)46LMetEBg%pV)h+Rx$Bv)WEWYWZ(> z(rJ;FN%}vBom~W(n>v3UzHd2p3!uPByn50vmDl9yJjb!Dh`+Jx;`ME58F?=<)9w=! zk=FIN6Gp%)Nc^M%cy4=X=jO~p7je|Q0vNt}I;EtGEE4AdZf$RbL(ZrH}n*3U?tJod-KTI&OQ#rw(ZeumYi~JYiZ8Y zOqTnBgd` z={8T$-@2m5>0?O}@TgL;dfaHo?fd7jir3EPbZ%UB!Lf5A2Gq+M$U%0mSJrZIyuSLh z{WQtLCa^K2v^K(oJqZ@L)kkGsyVplAJRUp2eduwJ@NNIz;zAeOcFP*D(iiX4!_nz5 z>+JK((*hmW+1hJp`DqV05;xwfLYLu+X+=-h*L&q;8Os@WlQHHe_9>J7^?PIb`>lJ+ zy!T#C6_Qo9BIhll7A^-w4?f#}@Oaz=_tN$dn|y0pMn}*ln^^Qch}}pE2A;R!h1z%C zZT;C_*IyEPtTyC|X})XUgKl~;Os9M3I(nS25%C?C9>06K{k@l!;=@oT7_>&OR{zQ+ zdiDbc8_P!d%aH{(Rz-SMi73;d*J9dx{|QwI(H~r$vAgz-t zf2zH}!%5Q(arG`paNx!D8E4#X-QD9Vby9z&{Z;@ky|bRWQRmZ^;mF<({i;tK>1V#8 z*RgxX`)4b9#vRXTc8~M7-&xt3tNGSgA_(TMwubKZFBTzNSXLMFSUPA1J)b|KS=&0_ z$)*EZUQowlP94;U07k$aMOW)ApCR3}^;IWhr{$#e)Hz^b-`?mJRMxDe&z5g`)x%`c zxW}<(K$9G=S?xUl5H*Ey`r=Mcb9T+uFs@ z4IbBe{b|^^C{O3Fm4}r(OQ7f(d&}I9~hlepZ2f zMqN8CT9PyEU53S$qYA9o2BTiv2BU(x1>N&Om$b!Brp!HahL5~NSYc|VmF25I8Xyyg7Uhjc1ws{B}NEnm*c z(+dRI2RcsaG(iS6N7Mnjpy>eV04BMVDly%Kn{L{(`Bvv^tSw^4aXED)v9MUKV_Z4A z(d%R9FHVfe^2>Ta*er1~QCz*{d=V(A?*&kmolv6`Cjn(uUtXIllWI!MSkv9}-LO>N zE!<)>!?zM^=o%tVsA_rljw&XZ$S5inw+2x78id67MiKA~uQ!V<=Rc)q{r^{yLRj(Tn& z+gEd-KdfbZUWY-7Q>LtO(`J@5vW*@U@!c6(kw;H1R9Kp4Z#a-sk%ABE;;1-2UknvtY!!HP=3^Ak5=~?E3EQIX|QBuX7_4l z;09*1D|;M7yFYx|R!PARs$om;EH*9dec-hC`~dV|O#lorSt8Hg?%O4A5 zp7h6XeZ$*YVZ-(-#imTJ?wmo=`gM*FQXf8Li2bhPior2`cHfBS+hsde2lSc7>oQ=W zQ<_##+vE}sXoSgr0{O#aetV*0x?gl%Akz*4ZmjqYJepOr=&nK(hIKY%emln;f)=gL zI|Q9~u9iVC8T|u&u@I*KMQ$-!hwvAX5qD(?`)kBTMNF0NItN-A!S596=<8USw3kdH^*@IuTlI)+cjlWNbDszif`NI(+!JHf52A7WT^5|Ou6gW*7j`JC( zd-o?S;Gs7i*)fiCAo|LR7>z?#2-m;lho(XcF#dXULL}A(6*xz>xR{kydbJhvouHeQ`lvCreI`=IB*bu#;Cf@Fz*T%$h*`85veE(mH(wlCN` z8-?felZHE*`537)sxU#(n28^Tg0Av`QVHp?Kbg_hb(|dsid!-{2 zn5j8pRDb3Eo-{R7<+kFs;zZ{@aD5s#@XRp!K1}Kf?}%k@c#bhA%msy1uZB*-|4M>@ zO?@+k7831%%CvJ&3k&)@6{lmu{*-Da_`ni?ixCzpiAq>~9~SjR8}8XnAk*agQBFsk z%C|joxQIKU5fg>MeF*ifd=+8m;adju63>Hajsx|pk|K`!{Y-~q(zK#0D-SDF{-2Us zp|moCl3#{$;40uU_2K*Y18kS22eR9URd?psut?`wLp-f+rl#`)Y@tZvpwX%eIPfIiP;;M|2*}13KL2wZ!VjJ z-Sd%tMfYY|_9kBifW*}2dNov>Oa!4Ernv4|pn}>OP!Sz1ew-x1ePC@qMd(;84q*B= z5ALMrCxUuUFk;+R9Vt}nUw+bQK6xn>_5E|}C}&QxEQA(jiwLtYFl63F@Mh}YPg$j; zpPEj7f-h>KSCD#^dRndSs({Zv34@K@Otqj+;jLc^p{|Q8&7r=CQM;pGSd&DCGr3M+ zj#;(9x=x+_xWufG> zt;`kVs~fv9b<9*(YZYrtwE#h^(7~rrd%Mw<01duYc_~Ye-#z9Tj>f#-j>9B19Q)9bdyGz8Ea#nzZ{F!5gv|dHsG!m8Gcxw$hVvz9q=i3NS?(o zza&XJnl0oak-p^GWmM(a&LPz!xa_IpJ{MCW;4QRbCO%mzF=m^06`NnPbY^~1&IB*Mo0guLjBeKNjZK=;6CbA*O$*zV86JHKu zpA3cZX|m=K1krMtmH27Xbc3u6aB`v5rDDFw$7C7V9QHe_tlsjhEp}D~D9875r*Q^v=K9rE({oubW0rJ~^A7xU&~mJV^`naEph+iv$4H7rdJrHu1YaTQYp8r%f7(ne9Qk5d?h^Gg6h|L zl?wJjO>vX4S#1Hu-D~Gmh3)e9ej&JpdG2ph;p%Rdh0vegcgjoRvcbd06`GLtOF5Nc zjF(Ap(acBo=UcDz8)sR56}yZ>f}R`Jd(T7J4`=541wEOY94BJ~hD zxrNBNt44X9;^ps->_yoyYQ_<#jBtEHI~3RD6hvjeO{D;6S>li8HQ&@_&y;88ruX+M zqC4MD)=_N+g1;c(R3PoE0;{L|J44+S?9ii%^SU-2T&}BI`V+Td0VKk)Ir-6Rn^P|u zvH+C~#g^JLP1$vxtO@bU!T`w3bZeVng<^&-TA0jie;M{s!akMY+sW7;e6fdvTbCjWO(tZP?xtw-d1WIS|Bfwj)44& zvlxl6%DH%@k6^Tbrx9L0=4#$T1rcxf(ShJRvrwME0~+6AoS0mbtz#fpZj4fpk8;>D z)7*5MzpCsyhsuAue{|p!_;XseP(l=Z(MD}GkIr;T*c0q6tBLC=L!XJ-r_Hmm>pudr zac3ea*mJ@U-t`A%fT~OUDY?&5XEF*@57GTdczKvW_>A9jlY0**=i|*oelY z-b}Jor&t$nG4?^}b=?|KFOdq&$$rNS4&O#e-lYF|=g;Y~JiGL70-i3{6Zq9A6k+}O z^(JD1ZJu5QL?~zKc2(5uTY}U3s^1a9Oej0>73oXzv}DiPvHR7W9^-`Nt()@7KA<=Owa;qjm&kRCWy*&wbkDP42fh9 z5GkcZmsdo{nHggyR76&e1Zc*1txn|`b+D|Ng9%(W#Rs3wOT%}7aB%+EMjb?5ys}k* zy;&wA8PwhMTtM~DjW!_ZNT>7U&}17hSuUK^<$W+KPdD)7nBVjR)NthJ@{-usQu)W) zuI?b<1igY2V;2<&ra=AA>5!tFqI_lq+8|#h2m8|ak)7AinzHEHdhcwNNQ5QT17igq zhzVS-<4UF`8ERy!nzUN~G0WvT5Oyn2ogso9o9`{i(6|am2P9}O`-%2%WDb@BQ=2P@ zXg0JHTG^EvLroS$l^-p_iSxiuL)@`ua0n*@zCkRANL_Xvl1}g)m?D#yYO-YCk)AW& zMH^6g^0I>&4#&8X`X7dq0HeXa3~3w`33ztIblTu}dYP}Ey`Osgi;X845Uz($+`lPn zyg4P!S_+V-EKlVb0xcrlUiyDS@T{=<)!(AJFlM5Bzb;>-ZLRgd6o9)y*r@QUJEmb~#0 zw0Ie~lqe4&Wqy7L5%JA?v{3E=oRRTiC$*l`VnLcPr;8_+-?(G047>RfVv&fg>H~I* zM927%)G2S5OWfv2bh*FXjW@->b;WYoOu`rrL`&nWHmP7SbT`-5m0M79nVkHT#hTts z_xS-YC?Cz_4Vj#fuf8*KnrW;ypQw`i;;Hs4>Y;r5BRxMOhw5G4`$HcD3`d0l3kNcI zh(KS7NP_A_PKm?_`X((o0ox*pB0Yi$pwZI^h*PTguzO`;#$~1xSNtkJc^syvI%thmUVA zO=n$0)5Ae$x3y2gIbu;Hul(|`WCXuqr_19!Z0gRk5c}F+eu5KZ)P@ajh?0f#F^@7) zuNR_Bm4>;CDX-TlSw8Pm7^AydQD@3=Qnv@Id8B%*cRJj((8wqau|!qi zNWNC?<$3S9HGNK?t&v^Tlzxt5%Mj%0GJQ&bn%oZht3aQG{RQH@9;rAGt%G0W$fu#s zXI&Z7gnR{JM3EszT{-u|?qMtmj-a~jw*jh%aA|3r<$T8Ot&_wAD*0V&Sa$ww0XLd3 z1EqQrZmTa!gC~h7;al?^5fF46sJc?DJ3Ck|Ma&K?108Di2u9?-cq35MmEdtw{0QTV zugto_L%k}}=J4jRDeRpHzpdVPVz%`VYD;`2XrA^&&O%c)DWybg9ITs(u%Gz9+-qU) zyQ#Tv5aXYNl9Nz~0wd^6vupbVHe_?KjwcF#A;jx%j`Z46n^(v!jSs(Ph8a|30Liwj z;s{KrVLDuzSYtW>C-Aur5P%6P_b|(taR;G*DM5InAiW`f#CQRfA12>QzB=|4P_6Gg zG=CsuE>`hcM?EL-kisqxM^}(|MihXxQ|;$ZWXm2)5rO@(hk?(;or+k#sXNF(CfzdC zXquaE(=R2D92FLyA(s?xK$w^j@G%AXB69^wGKf9UFs?t_gqBd^UF^$Pr2CXtQ{;<- zgj?Ak4i(3viGgaE4HV8r!%C$Ih|1rcG+d~*IVP`>yOzpX315K$`Dy{&NK>L+1+{RD zi2>qbkg;11tL&mO9)b?LB^m*yaq-OJ4exhdBPdYs`G%SG*o`oiV(V!@*;8f;{IP*k z{!U%09C;Qz%OBp!Rw_k9X}PYyBZuD`I=Gvty-N-n(Wru;p`}-wV#B6pYW|TMWEhu` zTEGIeDN<%8eU^H&R;%*-!VFCr8IoYFDiU%Dn}|6unL8FiaFn8^Y%8JS;okcVN~NrJ z0@#EQ@j|JHA~_ft;NY$DE-#`N*W3_3G3=K&aY3R?u;khM^1EaR`a}8$(^JSVLjjf= z^J0{M;Q}a^;*J0Gs6fUS2J!3XjLWdZggE4+5Y}EpM(zh_A-S&_@+d88d7@$;1)Rh& z_(a!NkeIk0plvgrTqvz$$g#@=g~TFi$;ifX*PSjS9|{t=ADmK^cxt(@b4+D=_(bT# zrlpM|YDHV=XAi1U^d)_RX);>@DpvIVfg7jBjj7CQ&g1# zkuL3hm;Epa=`ii{f;QfZ&zNNsV_gsU<13A#3N{8(GwOZsOJtJGqZt4w!LG!HP%}R9 zbB?{-SiTFx`AT(U8d2L7F#gx0u!cm(n|l~y_lZZ0@%Z}Kjr5Ox zIZAzWVz}03d`iI)hcO?KV`_O<4>-u@q0uPein$|I$#)+Oq5u$>G$_4%=I%#3pOtnA zK@fqiX(;>CZA!%~g#iO}tTO&{U%Y3+7LbJfv=`yAlzOzKopUY_jSi`AVTXIRMos~& zaP`Dw7pVhu_=&DtMml`vQGoWxW_;Et076)|j~~k9rAQlu#d62hK?hAM)tga5P&a$* zlM93O8TrgT3_Z2Im~n)o@14CT=(MBh`h@&2+dDu)60=g~4v>2zy^S^YUFgrIY|eBP zR?R7h-Ryo(Co3Zu3{wJAk&>dbEFWqVfJ?;RnEc}>S1u6;BSd1AmE7_R#8|oJw2I;> z-kSV{YsC1h_rrut9qW$H+H`Gsk94Zk@QLi5+lkyF6c|g^Bhm!rDvn5w<~QFfF2V-r zzW(kEVXG&vVKud}QoUW+)w%Xk&e{YdtDT-skbcfya6^F3nqCFmt?53v#wH$PVH1Y) z;mjNhPM1b}|3)138R&F?gb)|cY(QVc_|@?-G{SP zEb0`rbN}~oLXfkCGKLTJf;u?fEKz`8W>5Gc)@;z>T~E@S3=4E}13m}-+S0tay|}wC zS-X#|*Q$#>%zvl~m{F7T7L60+Oq}1Adtz8ej{4!${h=po^IaUw9SQBt?^qs9>9;iG zwiB#?)Aum%nE~vr4kL7G%4y|*ST6U^#b=_B2q!<=kW(X*Rdo5HfR_`YNKt^L9~mUf zxq!$|f)rbaF?4vN6$5kxBb2RE_xU!!MM$r>{I~Z7uCOttzd2{au$oIg%9=srWA^PeoOkiV#581KzU`rjo zfVpg6Ly5=+M|54$bcdC0Tqm<0sPww@5G+M5wh>hHAnHH=ik|9+xncLc7Y|3bfD!*b z-`~M}#?7&yky%3`SNX`q+Q1aOMVD8YYUg*40r}=&5LsI|7i&eqtQgnKzxUHN?Tw`U zf>J1^0M<1*Gr8Fl zMc6mR4Zkg1b5SUn@1eEsI&T3c4gv=leiw^+mFR)xjpeHOJ^z9$fpiO#NA$MjCy_UM zEEuRP_#yb}K%e#}uDbX(7FZFom{6pep*Bc{i7)=DdK>o}*m}1% z(*zv_uo8oVK_CjSA0Y%;c}rNN6s^%+S~WI)F2c)JuU->wE44kJ`x}%# zk-I*IsM(e1=w|r`TFsSR@kNe7QIbN-HA9Q?zlwVq9+46(T7m=8Pcli&B8dm@ngP20 zY1l~H3{GN)oOR0piLR;(#GZx!kUD5~>KSWQ)Y$8Gp+Ewi+~ivijQW(k6dobyT&2bC zU)B7pKZ@&U@fE-TYWQM0J+Pp@$*jDiM3S;>WyUE>g0kcrcq=A&1{Q33Z*}b-VOQ3L zGluPz!4!247ZK0N3B5OCf*Q12l${TalTF}eV5Q>kc%=^C@q0JmmchxRjTEJP2SCXD zucH1YWQ3q<;YZTWDdPw|?!N-jM<8>17(zCD^OH`lvcxEF!A(ex&ipFrN7fF$qxt4NxNL40oi(v_O8TH} ze$%rOCj{}KlmAsRvZ5TNf0n?Aho6yN{D|3E+QS0#2OI!BClE0j^~KHzb+R7+Sn`z4 zHWt)hUJs$L!+-mkYxo?++TCg3P05!L953`SI>)2sHHV~TGY1U{2HfNBA)Z{L26aEV7)af zw3|_W)74Xiga*}kG&j8BDV&E);9zYwN(DLa;9PV&F+p+@3p?7m_J_~tnvPah^G(r9 z;+_fu2d(<1UOzs&_+8#03$knsB9adL)vTK+Faz|Nf@>(T&_Ad-x*5=b(c1&!ygAWh z2_(0Xh3%}NiGvg;V>*gizut%q{ApP1X=n>KB%nR)JzKI@^n|-AoyLdQ_YmEH8~5p5 zW@@p$xH2Vn)2TiTpZGAp$A9K#JU3JgMtndvT^qh<+#dS}9QpDbpIWH*+1NZFazuh| zOSEQ3CyZy@wF$c3Ros6Iq0UC(2$v3ahax2kU9v^_2veReBk)Cx+gVQn(mN4ygn58i zsX01)eKfImrq#ws)TH@4uRP`JuQ>9w%eyjvI65ak9ByI#5Shm4+jo)l_6bk*`c5pR zA)z+|(_H(QeA9DPL0qLSL_fz3Lg+~SyWC8f`4g5$)z|FU$xr_%e_}r6v}n&v`7>eD zc`HK*>WkDsdUA^3vN%@?rzci^>a0+yKC9~H*ART|w2ciShs}4<^YCm{E{-t3Tlobg zy;->j7TFLNV>bvPqm|fNe3(k5%Jm>-MJCtV-D;Oy=~^fxVrhOvE-l)@NwUJ7W0>X} z2pvPEG)bjcZQByHylF@2BYcoBYr*#Ww@I?ZC#ekL4$7^E4Ec_5H`d1ios%uJrgIy% zk{UqlZ_)blc7_C@W9X2bM2UXN5t|=o6{@Q4QO~eG5deQKKv4NnSyefrsjqW9bkq%g zLJXbB%!Wy>L>^HY^Ca0B!_K4VY#^?t7?x7Ki<=sx0L zVHd9Ep^;^xRfzti^iz;d&2-}!-OSnY;3XjOcRDy62%Jm=>&%oH36`W8X3Q|r!-yNl zxg)pRq^eo$R6$>JfZ4h649e(e_+0LMN`<|L-7v!~x*+IS;(=C!4{-IH*ixr@p=TBJ zrUkh2<_K~~HAoY9Q@;M1L=W|`?~c%bbf(;G?<$X8pSy-#XbDtM1_ zRyV~O;-ADmWf(^z+4B8!HdO4(xb_7zMk90FgNA<)1arbMofhqaGI-`&paROPUemc< zQ%jgpTV9&U(cB%+OpGiF~oT>Ve++o^CQ|)i{8fJeHvqI#M@r z`F|d8WNTOR^{INhxbk7 zwAyhVGdYyird_!J1KFUa%zx|8SOX<4XCRto32l=#IkM_6r-tX z2YE#PSJH2@P{fcJ@YtGfDV3Bsz+YCYjcEP*asUev0t`8>CJIE^0|j_T<3JPadU=i2 za0ws>^{>;a>{!%!M)cIJv!LuMk@*{b>b4NDnP2^HPAW3srM*LehLKmFnWi+`;>vD; z<>(3j-L}~s{TwdhL4w2DYa_;q^6Ogxo`h%{l1Lsm0c_ocxO_c*MQUXCNAnqW4g~qh zktF%9v83T-d1UQKH3j)uBiGf@qYj!x#C8It`2l#EO>ywZAG|^{`|TS(_JFuXqOzG^ zK8v43vgeOdA1QSZ^iOn6+y#oe#UfaePZPsVj%$xFK|V4{8u#CEcinSYb2<4UTm!-u zWJ^Wh>GQQ^zr_*T^{1(nnl1De!ePh4yOwc6cx?i`9F6mrC8s4cvlG?aa5`iqmg2iD zFyga9m=cb$wQgI?XP~&kX|+Ekn)34DY}s=da);x_UgKiX?MpC}J=915(WV5nDO9`V z^Q>e7I&r>0QMg)16r+4m6g(tQBto*}bUNU5bliot>8O*mN7$>9Y4*!!?5V%yKqr^RK1`z02tzeLH1Y*8;W9XD)+eF7OzqWn3K=)M&)(_u(j@h%tnRBU^y zZqk9F?nERvGcq|9r`H19F^x}&|5~8YcH#~fi6hrh;OAd|?q)f(^bT0#i?xc%g$zJ1 zF!|sIgM}l~Aw+h7`VI2t*JZrWM7LX5wfq&tZEPq#Y$Pc)fRrwOHH zY2SzVP|@wPS#gKMA<6lZ5l)F*u$v9h$ekU~|Dt1z0uQHKYQd931BYF!`oI(9^lADu z6>HV#6k@Qu*!b>(A660Y7?DO#>soKNYafWGCXLL+A?c!W2@oGN%Mk;A6|m&i8p(XY zCixyIGfhgqn6oxLEtB5_YhG_6HJ2QmE-p9l#14=7#R~gFaXtN@VzkUOj6(I^?VfaK zM13o!*+)^a7G3yq&KQQ49&@4|{G4wwOkk#0I zW!=%;TCXLgr0Q-utyUu@))w@dSrOj67SfHV1>1(2L6B)y(baYZJ*tXo7EeMxqiC{$ zL;gRp;bWWcI2bb9o3h*Ky-`0qFzSO61bt^bku~)${SbQ}rJ*<{ZF$_!%Qw1P{+aPbiHn7wqz)^4GSL zB$&HBA)JSX;Q12c4i+^({k)jpjAPs-BK8;fw6*ED?G2!f{9q`(RN7BDeOCHbyb7=B zR=g;kQdQ^EvS1uDi414CmUpu`xjyA8An1*s^l4(}IZ6ZFBK|V2f4KCd*2VF^C0stp z&Fw=xTLkDw_(Y#)V)VF2*^BNh<*S0Tfl&H~mNhmiHoY}A%WA%4;b?Z(UsKrVnpN#| ziz#cjh!Soof@vSyfXxw`3rgGD_#)~$3F@t0;Z^n|h0T4}@0Syi0YT=;=Idf){D zx()CG!HyW43_}5fDWr;pXJ7Y?7X_U3*#Sl@$}v~Y-wfT8srJnAOOTn4gaI6{Q%R-S=QH+rES!&Ao>h0eUOFGO;u5hI?MW0<1C6nuIfeon*moGk2*>uF zpE3T&P8hRlc~+2NGSjIGiCp2Xd}bg*X)Kf)MO`U#?X+e2vjZ#1P33m3@LPysw5Ht2 zZi`f-2bpOP^vC)cE9MN{so`s3bCbx}XXrnP<*ITK zu*J(vqlNrd&$9~nD`L!{pW_hl1}gba4<<> zfS=5n0Sh(%jp@b%ai0|!XL2xyBk{nB7$SLFqRQ2S(Z=657s%b#HE2T2?t|9 z=8%?VmqRq5PxZ|}h3{G^tHohAGFp)!a9RxEz3eY=`UWceH*|Rgs`Y+Sc~+bT)KR%4 zXp2Q)E0Vuw!0M+02CPv2Q6=wy2m67n8!>!3)QXPkB{(yb9DM)(1xR40!HoBs%?B2% zIujv*d--+*?%+WE3PX_ikG>Z9py+|V;j={L!cr4TCtOfkW1rX$iExM0;+v!ts&e_~ zs@C&@4VREXFYJ>`$each<7+Y_)>{;w zKRD8Ny(?@*R3}?3gU6ZE3!bDk(9;JtW{9b(1BdIyDCG}SWy;g790arL2g7H$g z51g)no^a$_>OT~=1rP*qq|v?#2DQas!|3T8zy^+YBa??ANzsi}dg*jD1#+rW1Y{x! zgo;o9qpSrA&3{LO;bOx<(Wx|aJOi%uzUx9!QsJGPobHSq zJHl{iGE)c%2x%4!MsVp{CV+`%liI0AsM(HW-K$Ke@BXZvBLYwU9xFHMOo=bvD0P^zK-beHSG*6Kb<0>_k#1&)6bt~vM}CSN{AD<`me zR(@dw9o91f=g0|y^F75wSftyy7bW@shU%$9NvlM)2( z6n7g*JO9hDaxhQ)xDGEE6ER!`4nNJs{J+`L)AEJ_BVzU7Y#jaVj+=WOno^5!HDbO4 zTwn(JGLh~~e=dlR*>|vg65}jCZ~d!;%({O?T8&B&3TBz^Brr1$Og2*^%p}-AdpeQC zj()I6#1g*mt&|o8m-^TP1Lv8Li)1TD&9^l`XhK>Ec5TeS?rqAqI0np5Hr8GG8?@4 z;Ej19ztjnyDtmuBSyNOR$L%4$733@aQ^3ith5W3)8>Z=7s%(wsuMfqc{H<1TFUBru zGcS&$OkY6mC+mA+Z#IL2(P00iC3C~yGaL?}Z-kQsG?FJXsBX{XdF-~D^Ds4Mp$T#o zVp8C3KDj^Ot?cnp@EjM~34t=;Rx-G}raZ|jh1 zjo`IuVn1?L0y{Z^L2(mzK!KX7PS>kZ3(qdKCwQkKiwS<}T?!epd2X#rVI#G3aFhy= zDMR|xIxo=*vZy_=-nzADA*!fJf&9kI|J=BQTm9OQgxw)Wddr1uh&G5&zy z)lqYPCEYDsCAaTE*(sXTfbnTc9Z2SwT)j{l#so`RjVuctZ4M=!AO$5)>0OeZ9e43( z=|q3?WOv)`!HL8K9O!m)=_CfI4!}^k+>^(wEdvQi-Xp`&aR3*S&eHyE7c}3XU+~fU zs=`$(cHKn9D{u;wFftT-Zp_xssbO^q?dQn56fwT}CMx!WO*sGKzNomkm1#>P4$oQC32&%_k^1`)% zZ=@)3lH6^9Y8e4_pIH0cDCQY|gfIwdmcvl1&sN4SkYqn*Gj$KNFEsG@_P-&H;`CVnT$AqFsf2&o+9vpg7TC50@`M> zkmNr4WTw~+3h-YW#HQWCd&uu`hQ(@L7PZ0C#1ecv@Ydn+AL7{T{Cy|zP+^w06>@Er zn^2Pg2HMZEW1Ltc7YZGQ(mLpezlbb$ypjHShc_9XWzuxxI}y3coMP-ODA&1M;wr)(klx${J}As`p4CZ)X}C(adW{l?cQHm^#OL zr<8tShMXBP8WxqAFnQYii7!Cb583~ILu66Jf;Ort6ss|}soxkwDhN(u7B=3v50Mc% zfnF}iVcG0a(29RiV2m2XR6P$YWtRvPe}K#3;DXHMa9(Xv>@E**L4?hCXhvt*f>|wk z7pv4{#aYd?TGU(480iKU5^Ymi+XYCB`QxCjz@tvs^Zfir+|x#ysFERku0q1qRL1o2 zRzT7ZKeninfXA=GX0*Jx1TyXKQ3!5wF;NB&oTgI4gH_Lpj_z^S$+-$C_5MDjQ1x7Z zf}a3v{c40trL00wol1^#8WiOt73lM?A(4-^ysdzOM~&|cKht$@EG>a(@2!0z_{6K8 z5#^jg3U?)(3q0A!`FUp?bNd#zm_8aYIX6C~_IPl74-wU;>X_uM0PfaDjfWM(5kHRc zBE{+gFFE{ia-2%vAKeP3rS^z(z|s{Sm%oc7e> zoZwxlP2mD!TnXwiyF!(?D?z|mEt&zA9=h4xIU_a(*WBfD*3yr?(yt(6eJK~Y!>c40 zQ(e%8GCo?&YgqL#ModP05$*vbnedp>FKWGr` zm9Ba|Y!L0Z<^6c}dBMGCyY(us*WD6dI}C{2aSL_^pIRTh2hnkxGPy8B?zwyjRb}Mk zmP#}`c=tKtPsPGZ;ms3nI_H18wNhd5IQzx-r8S+z?VnpaYm}6tL#I*D!2nZ6DDl@2 zM?+I9Ofm9cnRhs|EVZdEBq&cqep@g}n}u*9hP=!C{3o?lAay zmwLmWjo0Iz=ci5vtQ1o)WsE8@vLsYL6h4|*S89rtG-Wi9U0Po?Tt}Fvjav3IGhU&c z{}m^QEPZ!$lj|d;0fP3#{KbOAXRVY(Rpa_nu{~ZG=c@%;CzJ#n<9~v|z_+7T&B>W{ z_NUh7rjcl0+ytC9`y4ciX z37ofR(u*BoE$77s;~r>0LyST$fiME*#XivVhi4hqfax{=PeY2g4*UNv_TDlo&ZhYr zyp!PW?gR<$&Jf%!xQF0@1PBf@5Zv8$aF<}gA-KB}EI=6C1{nt1xu56kzW;qc?AgzI z_MDkBb9%0;ySl2n>Q_~L^{68vs3BcZ0ty}Z@i!()1>8i9gejb3@H4C-E}*^Tz*a8( z4ie~0^F7~&=RuH%RftvM;T-NCx0^K!?+1yDz5$6Rsy@j zKJN+rC{^X)GlSFrYc1SkL+us?J&mjDKlwXiN!CDGR#Fhk_A2u1U!EE6Onkq+4w+ue z_-y=O%DdSlm`7*EP`-+e7fpo z%&n3m05QT2EeDkjHq-I)3zR0Yq<6o`@Z?SHRt@Yl`T^$IC>;IQ1TvZsKgIX8s>9Z6 zYYX2GAZ)Z*<&bXMs=ZnXubwT2SWka!^`-<;KGsqRFw4dQv>s04$L$;6{$0+BtqaVS z?e%7Do)Q#~?tXM5W5pJvRflXd&+%}9{b}Hib6{cyROvGR`VAm<$OH+GLI+(*pmZ*S z4GN{u!9MX#Isf1%j#)GP%1R;zYG`KF&!jsn4&{22ZL-bf^mWAV&wp&%FH17$|F{_g zsttZ%#6oeGtn3~}cnDbuEHL|$@J36<(gTUuCO>-^4shiARKFfdr$++Dc@6rHt8AoY zzo$Tq9qJw#8*A8o!ot9qonZN;7CD(<^M?QLuS47~WGSbWSadvNKab;cH(;)qMd4(TL+g@5ZuwQD3^erXd&yt4Q(^~+ljrs@=-Fvj(jiZiuq?d+L6pVVr9~o+tdLKhtjCmlMpH*`q7f;BUSS)& zqap`Z_6+Z|$4CS3yyfAj!*BYMW^mqL>fdumec$E~fE?(p0{G3;PmO<{e&UO}MNi@_)tsS3C7|Bq-Iq0m zs=V@cqj;K?(L|VvXZuCScXK3v4CI{8aV^ebHwi&92n+CU^FMEcFKbRp2C@bj)xB`nchfl{1{Nyi2eqgSDVpvr?Hb!>T6I;k`)ZT&Gq0p zeT?dJU`colN%j-0!ZTmaw2SnOd6i^~sKDpPwde7FBi9kKopLyRc6-s>2XY!rB zStmnTVk@$`zSk}|jmFpO!0J8TRnA_sLF0U?{F;tBf6Q*YAoEo6M*DQ`&o&6h%D$ueFa2N3gCx1#m;_kxF zmu()$!-bc4D$}Ky_n3$et9gSqSfXki2KLj*v?XbA72OAh+{n6}$oN9cj>Kv`5Aj$f z4D>tRes`zFg^UvYAa7P}9{=jBM-euOB^y?|JM{`1K?J>NfMs>QXN9LIF{t5(>V^R> zOLJa)xc5w*B@ASl?hBxa3tqE{2w(fFRTqlWk6MmpxwE+Y?{)tny5RZ4Q%PpqG@b+S za6PcLzK@fnwjP0BR!VAj|NL_(MuV6Z^B~s^P=X5eU;3L>W4Q&pPbSdKhQ$rHm7Z)O zP@m>JauA*>GQ>=)w>U4k+^o_}W6*IKG41xa@Mq=aOT?@N-+5L*cK?W!n}vCon;qS^ z=EZyMht%#;bGQ^g^9=<1ABt(v9o)D6z+{_&UaEdGEWQ5CKDfBBTI>=1?QcsM7J~4Y z^)xYyP^fB5IpV$rm*Qh@#Ml%=j|Bg-YtMR&=+%;AZzpv|p`L+=BQct|gNRrN&(ezJ z2%cxfaqC)%Z2m=#+-cH3bD%<(JhfNZnM5569uiG&%!Xwp4wj4mGxPsNs5$NV1o}<- z|D8~ucm$yt3vM2s;uszP}$FD^Ae-_oTNMQcMQ6E=%{sqvI zOb8JhP*}8E(jpeNtXh5FJ=4htgc?fxSHe8|=|5EeU(Q_m&wU?{lPwvaK(~88%|}p{ z9Y$c!HG9y5&|}mu+eeJl#6L%}Nduo_Mt(Tesc-$(`D#7ciHkQ;iWPLqnoe*%^{ULc zGMLwUPt%phw&65zV%F(2yF)6u>Geg1rEDR<>{mB&YLjGx6irc#-qQG^mDW>69G@I8 zQsXBg7;d}B>2Jw8?4|!fe&j2T&DQONng1p=v4Hofy7GA)?PLzMymS(_U&a@5kL*|+ zulIzXOGEWK`|?#K;&I8ys+eyb*Y#O6`g%R2!42eF19}Q=W^hA2n%U3!@akY}0K!f9 za5GfyWyXI#WaKCOQEmIRI~;prvW`_iI5pAe15>p-%2X4%2nA!UTPvK31_7Ea1uOrm zL2dhgfKs(LNDr}c9Qa)X6igv5H=+_))e8Neb;mRJC&Mfs*oxY@=g39oZMW@XyqiUH zR(+oFPpsg?f_JmN1D$pc`+q;I|3AiqBstQtj-hV2cSu89;8`(WiC%2%|@*BuimTVm7v0*@uE z5voM&botL?Ex{*-ko@n~?Jxq7V4D=m{gTF*dm?K3qJfO6<%jJq?jHC#rgXpilK+j= z5}XO!%|qc7Ff+IIVpgORLSVIT;)p2AUT^~)N3Y-S+SXCZ?>_rEXL<>!KGXajBD)mj zoX&U1@E8$$BCz5DaWwU#Ka`FPQS8el{cZW2K^-x~U4Q$et=!)!ne=tyD?Y8`T@Knk z8T4<&P{#5JzKZaE$aug0*Rv3Kr`&;?d2xL614h;~T2?$JD6d<)dU~S=>xQ=!13MB! zYw)~vFFd>T1CVPRr>^HfGT#5WGr)Q?M70LAF^zaCd;eXrFw62)un)S63}QT){2n?P z>%OU2M-I&I$+RljOGa6esRE{z&XA^ zI!2ZOS{65Y)-q-m8xX(_qvC)8O=mjQXL6YAH#zJ9toEDi|Gp4k%<1j9hy^+@vg**Y zgfX)kktUCkC)1H8e zsJygWQ@*I)jBb5Bn}|WZY$UW@bAq`H@@mRoAg654fuYATrfD(QApXAk@_a?{%hN~G zZ*F_?u>}gfzM__zagUb$C(_TTG|^pY%?9!{Llg0Z1XfdoO!XX5jEq@fqJruD4a`pL zSN_;;7(P-Kv{ph$O|==FekNE>G8OoNiSnFg$7(`<3tiADLl{xDm$XbYYIi?kkSLF@`BpW~AXQsq zG)WA%b}#o>42#~9+{O_XCMz;#g>LesVI98I&EpWh9fdSCqX_1F>2U*U{J6Yg@sjziEgrgn@f-I@&0EP z*1&v{Tyd_%DqqFxCh7lShv1H#<6d=ObuC<3wiJohDlW$Upc;@KYOLrMN7n0F8N3(g zZtVM7mB;olIf70zZo1=zV%{mePIIEn(leXd&HU{YB#%pCn=?Knhvme~O$LD7`1f3f z!b-#P=HRyjOyNk}{qVpo_duNDUI0d&rnbU_SUis@@WIj1wVgkPV8o$HAmg`Pmd`(p zS;sTV&;*`|3M?nIXVvO&g8~NTwuX;pTjnng00q1>u3s~LhsJ)Pnk9qc84;0+fjTR4 z9AU!JvVmjYOcKcz1U@W%A+}$#`gdT~`)VfpTVkdUU|P&WDSW06`p$3(oda1+^^kb4 zsJy!ro7}X!F3a0!j`g+REAJ-R#dcaSrpByECI~+n=JNm zcmlNe0^y1R*o5aeWNw%Rfiy1M%qR5s+*}T1r+2ub#7oxuNF*< z(#>)p(^nbd{HQ(`@C63y|dCb052ox3VAV#>;>qT52%Z3bdBK|@3g zVloHRVXKI5cFhj=Wgmbz7~O(o_7Y=!>NC`7 zV+*AnhZ!-Q$;7DRoSv6hhM%(T4h%yoCDMIp4|f@zPZiO9UdGa!9nanFR@3V)!cT$i zVLs{$4VL?L1km()9?on3AiCoUfl~|?Vu|u%JhhVc9OzG$-;eJ z$MS+FIdM6)=Xl(pjp|&l^j5sAx(N=QCcuTOjuT>qdTLgumO%<*D(U$|qc=OLGVsPi z*RqYkoG=rk2SG78?nEluwTUPdyxedBi)d>>tlVv+pRfE~(V?%nSyUAy+?KFR1SjPC z(y5tL{Hbb|2ydT==wxxmlkAd~`qD!M&LiRS%Rxw!Wkm$=wsitWurf`;VcM*8KNY!8 zz|~EYG{xLDMp9itGZ=67eky1hKWO?eXnL6ui*o;a1ny~{oWKxJyl<7vJ4ve_M%7F) z<-S9E;gCUCNI*w8H$vdJJngDL?IN@Fv|A4+abuCAWdf&ut^gLU#ug97voMz z$>#X=Lm~i|hv@)|+)r@R1s2d0G0-==%mf|KHCg8YAAr#HmI;gNKDain-m69a$wr>) zihY10+Q|^@et;$t+Um*o)t22M35f*htrnJ}Yd!_V(#SBw4qSOYQKRk;Uh~}h>pbdf zsxtqvI7T_7VFIv0Cc@j@9b?HaH;TOIxi|_;D`mI$WR2fK-1<)lbCr#v*=5^QL@UG5 zv!>Cr3^1~wNU@zd`GY|Re&m0{d_ZGExxPceXd?Bp&-T8E0ykV@*-YQu-0!hy#f&bnVgo{D-R9TJ3r&U&(Rt^ zz0Tw|UQt9>($r;}4hLri#!o>g31@I*>_n}d4~qmd1$zBJz?$a4g=H2SlRNifZoyW@ z4@$-5u;B@AS~3##{%Yb=yD@Wt2}*smFHU{t`1hNzE;QkuOy<(&4J`&la~G|`6Fy%Vnhe}p-o%BeSxq$GuPwamO2`btA> zrQP(CP)sxV&2pJ2dO~g|1`VmWJh=nIw#L?m3yA}KFAAzE6D8-J#$AWJIf~S@G3diA zlvoQMZU!h?B5qfK12H}x0&a)o*?O|Nv29@Q(3-hBsZfE2#e8ccKcj`{FdrFf?Ii%7 z&-dp!>+kcZ2`#rAYvI?b>#h;Fy*UL@V#{ONZJH^%ZPDY}!TcZaX-`(%{#~eX`{`9? zG~iuoquWCm$6@63)lI>MS<-7z0=;7&KyTvAj}g3m_7Q3lo7sbz^%a(|t=7)_AcrUl zy~uTcosclecQtvtv8J%+cNl{ua}|&{3ZBS_)H>)F@na55!)kk1I0~#eMTx6FY-6*s$h$gtDocV}Qz)Tj{z;aFXQ^_{J!kv0p7p!{Q z?T0;+gHTEKt_$Da&S0gEZAwL+a(HLaX1TU~}F0#M*xDb`68qMIA+?q!@9YizYY z8drCmNK0sNAQW|705Q8_CCz`uYxRsjNck>c1rxl`)s{edE0ebEE3M>~|AQopb^I@* z==j6;zaZ~c1MU=>d9{`BP=IiRzIcTBfPhtGS&wtPvEYQ@CnPD(lM9sDBbix@=`EMO z*7=*Svz78SGFjN6%_AU>uAw8IHY+J6Xh=&}53RFF+2AMZ=I{;Tk5Z=C7uQB|JcS}nat7@;2kk#bgyo?WCmUM$ zHbclu{n>H%A36SE^4A1m0CdQR)LD)~kc7|bt1V}KxU*o|yF`jt8ow61{xnfdl6#|R zxJHAuKbT=;mP7AvF_9}-D6FWyqR3!E%^$DO5EBXZ$!Ez%28+so|4Jbaq|OSOjz$9a z=+B_y3clu4aO!*36xbb_@K1Cmr&8}XWpYn@PVsfVnTLE#8cXKgfLcSUF^|YR2Lxb$k9s6ihg{Ix@Q`^(79oy3eMqM{!(imK9 zrj}|sV8!4BBN}jId6^CThr6#cF*@`n^T)10Hqb~fZ>9d0B>?k2CR2y8$MQ6Cw%Xmm z7Ie6@sV@G;fAo5Lo(DDR80Qe;_1I16=Jxd+t63WM82;=2W29IoK~|%soiV{C*`P4$ z-+u^vjZwpWzIBQ4HeegHNdQp!3E`eVz;8nEA4Gs5#b`FmZC%Dst;_X_(^!3l8|}d; znd8;XgwI5$alUK#50bCFH5Yz9aKi^|#ig`Gp6N-|3uNq?Sa4vW*AqJEJ@%+xaWDx2 zMfMh+a}$ELj7NN{H9;>-Lxqo<1~VLXU^c- zV;`Rt68hAh!ZD^Tnj3vB&HnZ}dehTAU}QLh_(~+oCN`7vTh*>U;jM5J`>|>n8t1uu z*`j&jqJcd7di_7Ox%$9q6U8O$!qf$qY*P}11zrYSYF9iQpT#ODD!_(Z*2m!j6SD_e z|1!OkeVR-TneMP@%wiy?*{x=$5#8zxuf{xUwj;i&dW!lx$GG;cK`Mb(Ff^n~FDJND zI_BM$!K%Z}8{Sg(X#Ke(4Z<38Ze!Ppr9=;eZA5SxU`ci>+1-f$_$k}MU-`&?WcTV) z#_^-lc|P9UR+x&41PS(<{PEl6BaYdl>zv~Gqa=(50GIJBBSj!ffp`L=(RFuY`2cUA zb((pNwMU&*twlCOA_I4$;O%wWyQEE?y*$QT3wqdIJezHls1X8%z0e^F%xQV}c0KLv z=!_qngma9=Xa_H!R!wRL&l~vL&a@> zhA$wFk%idBvOJKkS7ZHVwhp_ZV7e@^%OFnASOIluX!$Ee7!7sAiHkw6-5Xn(t+?rz z2!F{17V+wDv{_BOCwn2Fvh<|p3W$zNGWEyEVQ~r1`MkSz;0~M zkDS(|+-DDB-rTa!(68~A{zohK$6fTzwZj1U4H@oCOy=oTUg1JBJk48EwG!T%Cd4mdke1y2Q^uu;CyM5WRwquiSBSD zsfc5@d@EW%qb*0qh}DA$OlVK_Zf3h}qK5gTq>#AwqA<^chW|I@>8MFezo}2h$+D^} zQok%pAGXD1$sX5k)ZR=P_?;kKK<%-V;{Mjx3U=z9tG_8Qi7zg`oPdB}?kr=;9zFn) za>V)OOvn9&i?s4phWh=T?9QRw!{p+(God%i_ZQw$(&n;Fp2EGuexs&b$5G|yM2gz% z8XB~1o>E}+gWt333@|4;dl#WV0DTd0SBde=NB>ZPXynOP|G}CW`O?>e=yNr(cl3u; zA^%=~!T`Gf>C<@#Tu0s8cmD7j9i0X0T-*z%h6wzgpPs*p(Z_~TG3PrELokD;6_M3D zS>}1B2?W03#zIJya;q&cjees8UNmO}kYi&OFKG!xM2$!>0dx9)&2xZpvYUF(6YDMS zX{^cl&#!&99tNQ=ZFtLK31){V@lw}u;%PKJ7Xob3o+a)HOk4$JZ13#v9GFi;;|GKu zaE`EB+<_O}>cu!<@9!jOmLi@v_Z1(7gz%hpsC8l4$hnUG5BNn3(2{3fwSLS-6 zvPlyAo%g)BJ%PWxKgnz)7tS=*0cWc4OE*VX@G(}{S~A~hvq>RyylHws1Pz&RLF@O!hu2W-_xrFn$#EZW@-^9h z`^MxYH$v&w`lFtozzMJe8Ak zEr9*D(cQ{CBAVMA{YkUUr(lu15l&4Xwls7e>LCG}S5`D78Cw%$bA6x!V4I0fcvxZ| z=3*njo5Ip>o?!)qp0OB_!@~gATv6pAn+|Lofs9%Sg{jJ>6&+krKPXVn3vvA}(LW!i z3rsBa4Rxn7;=a>Zs&N-PY+bO*_u016oYjE0 z&J)}W*s+m!Mx)`1yLoe{ARNI*yUjWrij|5h2MSw@UZbnSk^yxl3ld3DQlAlC#_5w? z2*(D-YwUzH8!*^oqATesBtGe!e8V8-(0k!7Zi*vXUsA=No5B`9lUXGpKfc+b@c!?- zcXFY6@QX?)Nxp1V90%8-w>u(x(Khxd*!ROSF51_Uj~jn13Ap#!gY6Pw)$NrGoI2xL zlPdG195rZbQ%$M$39T+I+nFO54j(MKwo!n49Vrbcr?@Pb&f}0h6_he)kg1j;gj5F> zYoU|?(7t$S;S0(cdU~n(Q4AIf&!Hu9v(vSCWv8&2!G5Lg%~hc1&3V>FiP>(KmZr(o zf8d^Q-X!+6LCx`UUwgsJzM=FU6=wLr9e7j~i8~({wi(B0?-^-53s7vrm^b+na&1-g zB5pge8XxxJHL)f&?@&>PutgX~jSeNZ4j*U^mEF1CY)FSALMzF{^n7Y+-d)%cyK!ME zZw~>;LTWDj%sd9pwH2CN|2aTp>fASo{lMPaACU@+ErMWCoC?nual&R;>nNaY-(mSN zqVp=(E)kW@TTIR;^8ypxa=+pphCJZMvb3v1 zCFqZ<3g2$J@$I)O(ZYMAnk0{ptxgM&5Jyc+w9l$JWGG6e=1g_WXQpgLZHjTbbc0V= zijBCV>fiCj-%`bhCO(sfY*ku*jlHP9<#dln?7TW1FZ2$cGPRH9D~_Dae<2`70hd~G#Z6IHo0-K7Y9d3HF)h4+1DTr< zbVEC-pE_|!1$rXff8KiG+xem@4-BuJ9ukZB_f)6u-fTv=Vcc8BEyuoIR8*4Hm=fBD z_~Ee1qsM;S#298RXinNk_NQ;t7qOAxe3%q4ytxX>L5Y#2I4}N*3fM+I95R(9?-66V zH2deON3YdU+MnHVITn!w0i$uZBfW<16`#{iN?U1^`hxlAJDiuJepbH*#@vT&CvV~39wTK7fm~=5(Sk4MxN9SeZ!`8`im18r%FdSafnic6= z?e`#KpM_qQ+zkz%=E8wWT5qe2BOfj5dBNtAo_YWcO$GCz@P}xh*}}Gd^j#Y)aLw*Y z?6x-|uka`oPbMy4`kHEX3{(9#@PhVWCdeVj{&9!EF`hm?c7O>xSaK!7Hd_1>;HlX4 zs_pz6n8a=dfc+#z5?D~2Xn@z6zOG~C&(2_ZLKzN{5|>Ke(Z`$_=e|`y zJ;yiZeyez!{4}8|(R!6Mbx(IN6SX1lm?)1#P) z+TFXlc5|f^hRANsm#;%)&)>x9<5|VUsZi`w-+!e?;Imak)?|t(4_hE72XH*sR%Rii zDH_pj!F;j$?x=&;qS{5{8bGjjmy)NHcV#CWj-E@-2^7b&I17zo<=r>K2e?mr_}`E; z$L-UIUCom5-_?*jL%e*Y>Q@4N^slMHc%vDO_8f|+afN~19F8sOXTN|^?lNIvU*p#@ zAg2fEahXN>CU)P<ITI5gemc0E&qr1AWst%w|4N@{qLzvrE-!#%aH#pg| z@>ZO!jx^LqTZT*IhmYfB&wH8NEuz_(vy&NnL=l3)=LlXa77hN<$e)7Sa%|ymjWVQTWAaK8M@hlSrTi zFE+lBH+!Z=(;r*Gd4yJ8UYX2lYV#SSC{cV_fof|(N)pc1m zKrLw+e{QoH5Bq8i4szHd<^+#j8l4cg5fH(4<)s*pzhvkz=+?w;QAxkt=+AVD@2vBj zlOn=D0n&W|-NYMEU#%PyEEFl15~YCFT|p+Yne6Vw&U~&76TKvE$c<9+B2eO@;N>D^ z8k0(V$|4s2_e@Cv^Q|J3N=ycw53v@8%FC|zIizLN#R~HZLy~u)G7Wk?0~yP5aH5S# zqMwByMR(kCw%rH>Fa3I{)`hPN*!|BGZx2<%YT^+|Uq8hzGJccfuYk#rF6o(0g&9*W z`}RL?M7|G&JlPMFy?9A@SpOM0uNZsHphSl0ShXog@+sohKQdd`U->h2jEP>EwCdZk zMsZItEBZW-%46OfKa{A1u7GHc62&X+Ucfy~odq|zNv=X@?qL8uYkt{HVgU2Z0;g@2 z0W*{ih=Ny3Q7hL&W_p56)W{zvRAYrO{h^OuQsViz27HObRqv6Quw_|Zy}Ba29Xsg! zC0P(flB;i0Lr~Q2U_LF-)I#X$d434JH}o@ie-<>meRUQE-^^5w!IgYPNWVXIS;3<^ zevmws9;s=b5WoE}m@&qG=|2bCUF5Obw`-n3r_fm8F9KzUiE>ith^E(oxNjKtfGhkm z(G9n4UY=TR_8-f}o#p++?*Z=>!Q2=ijoSQabQ!QF8i;vBQ5r{pbq?ZLFs(L>8bSYx z*D*b+N{u!up~bVHb!@=7%NlU~(&zw2s^BO2#_285PFZU?6=6$gHk+TDlYqOm8ug(J zdUii*ms#!WHS5XZC;&%pBIE57rNF!v$vJlq_^La{W0!c0c27mWRI2^=O;=)?cIg8{ zg~jT0=UWfvZa?d;q0Y?gCX+6?lunhVcH@~xjTxX)iWVKA0}Q9vjoThV!6df4}5O@2$%D%{|o9O5g|)#_3)wSLBwJvO5m zvW&Skq03i((gL%F0uy(Px`(+*)mR`|T3>Ve&o-yI%2Vrj2z1WBgR=wcA@g)Xh*V>b9FgF=bg)h_@|8?4*4bkRo12r3{*eyYv1U zUR^W#RJZ-NNtJL8XmNdA{}_Yi{yr6khP7+wSe**|l=XRf3uy3>^*pSdFY8?e#Jlps z$LnqM<_L$xZDk^dqZf-B5Q~!8-?m;HFu>KaS;Sz34Xsg|y7jg5IW7GH zO=u!yyHQ>)c!?KG3t^%LXhsRRpUYj&j;tWT5)`8z$xhJ~msIa=5w zRMRz}&m{DvO%4W*MV{nFR)4G}lbo(MrSJ{XIbZ~{B>V3s*^?Ohc?e)0i0Vq~giiY* z3T7|nc&nQI)l{P@_h2_9bz$Jg2L$eYraF|p>ru>!UClcHVop=oGKsvVU2`qbL?FpL z4%i9R?96m05+XBk=!5QEP;6<=3UflVX>u13cS+`OL?yHTv4D9p^>>zi5_Z)bJk;(% z3^{kwBq7@$CTcX(X*QEXXODx}mw=X42BC>ma@%W(vu9lzyLY$02vC#7_97PWrd3mN zYskMh)acKN73EkEA>B1HbaRDG&{xAR!|uahdNo=95URH z00-i}qmnhZM6(;$KZEhWWDxY|yVv14Tkoz9pDLKa{2Qp0@(Z~>vxm#bvmSU>J7$cI zFZ7?J&TPUpfs#yvkY@}aKwlc1&3@6=`Wnp7ASGpXYw}E$J8SUUEkUzjvrvWR!AJpC0on7{OnE*LvIXHQZZO*CG28zNCoC48Q29in>!ael3>3!d{AJg- z$$ZPUd&jB#Vs)CCDCLyVkw*Oq(&hL}net!6O~rFTS_tcPCxX zkiWpPhs`_R+^3Fd(K{o%Nx##l6T5hh;}o9HVe<$4soKoB&ML16VJqip1R7Eed2ya* zZ(iPtCzmi_!oU19v(DY-O`Jrt!wy<`bV1F+t^b{~wIeR=eX|OX3mwwfp7*2PVQpQr z$JsE*_k*H4AUx7FU8f!DNLM6mbKn-FI2O%P+*d@4Z3s)Nd97Q*st)6!|6B@ z{>_2!X%b`c4rRLPs-h+NOQ?h0sK5Y?Rf>2|>AH_wG#C)WmRqN_w&|z_Y&d1RL7eG4 z(-7t)+s3arMcp`Z(zod+XVXJYtBP(%@mwYP+gWfblzyvY+NK}G1{fN5;mJn+;@{zh zHjO-}!FJ!aJ}8>Hh8~TtVQR953vQZssnD#uDFc^!HPJZgqT9{$@D+{$H5hZu4!R z*bD$hha1K$;{(X?l4pBM;F7>BPcv+1dTdP$k=9&vyyQ&xpF;0zpaDvdr`K`X%eu+0 zc*&LRHnT5`3c_?j;<5F$qzdG^v{+BZu@mwlQg3s5Vb_gAi0xqUmtqH;Xm%PDcAY^- z*!%s*6%fC#xn=g8A0#~q7d^NUL~@zjRJgj7avV}A{`On+7!DWFm1L2VVGO<$6-o)p zS>0Gzz<4yEhq}+griGGtpdETHgiuU|B`_*uV@xC3L3s4O?XXbd7X-6HAw& zskhU|v~T5p@@Z2&;#1d4?_brZD}zZB04LyJC0cR8A4_(Hk8V=2!SB799M$SrK%46D zm1kf{Sl3WZH=zjbPlammt%L>|Wj86&tT=lQ>B8S}c=eb_&L&0?0jhY~-iUyCZrUHo zK+QS;(7fVWF-vObI6J11B{`ILX{IV3s#Q_;I<{A9er>*2XHGPad~4+I0IGU6;^_Y= zq^(Fz2}Wc|nStTk0hc~G8wj_LN`iMSka3Fzu*Ci6o%WZ&-?Kn+xtkQN-|i47rFo&) zTdheEs+`R48u1_c6>7uXHA``)Dkt!5yis~-9$QbQ=wsKdw{x(<4Xh(N$u6?SHY+5V zDq9k(y`zYThQMWVH$8!s2AT$@iS&F{Po|$&MhwbP&ozmpd=6Tf1UiZ({R*B?Y0MFy$DkwFGo8CJfM7$w-YG!l-@niMBMFYn8+QM1Rx>rU% z@6r14rHQVLIHE%g`&P4D{8dEEH9BS+)PMD!zLiaqi-*`8fFMYpUpUU*!hV*kmi0Eh zY%@x8kwpR|vSFQb%0m@G%p(N@5WtRAmw-k_aX`QGuqapwh{Fh=eOsyis=l*<-Sww= zGeMAKsaBQ*IZk{}^ab!)FyhD~(5UW(Z4)-vYC7gr+ zeHQ{Ci*si>ofMsvloGJoYf5K_f71CfmrfiH99k|pecvs387Uqo)OQTe{H%9T(M545 zRjg(S{|r{<`rVHmclyCWQ!x zxRI_3l8){`4%b8YSw=sBmfq$#DuGdz!1L(gV2c*~DZaPkw=@;dYsQfNhLzgZI)BD! z0CW7Ph8?af|9!R*`#R_u2n&kPI2~LWTE!MVy4LEwmc*vE@|4 zPHsz|lz_M6yYwh334;7%_F)3wyp~q!=kk{A?ah?d$4SbL6H7MBc61Mk?z5)B(l$Nf zaYscdFSw8`>N5P}P__!WR`AWnMSNM@3;(m3Yk-#%~HSd0Tb{Lrw`=Bp5^q@qS@4hRH!}{sPl%4UGeN-JhpG-4- zgYUyM2yK3wyPIjl`}Vdp`XuOsmM<~nFzK2$@fw_PS~k6!n#LjdU1fg%r{p&cbjS*= zdsiA=^d}m{Sm=H{tjq7_4IwlMm|oZVgEf#TL#Zv z%=)pXfUfI%(*&+7xmtq_%F4S$Kph<@E(nk}VZ{*r(Y`wDF(8jl!2$TA(RqqGJfhm> zg`q7hc0JLRDhPD)I`!zwD~7AHfzoa0@!{3sw@p+LPYB*(vutj@uj4Q2D$)14UQ-Qf zkvO%s>s;X9Bv}i+A?&gj)7^T@-gn|3B)VyL)bq2l0B3c%DDp=1z-nC(iT5+%Lz`lll zs5C@?4?^DTzL>2&Yv*bN4w%(Bhj@RfbIl7iUeFnSaj;p9m2M}(aTxH*^@;#_>7>W^ z6NG?a5A%dCgassnXCnpf@n}+)W^tf9?k@1{Q0m*K@`UqB)D=8W4Q2Sx^5@SZ%HRvX zWtTichAAq!o8R$@mHum#W)$f+q8tZg$SYj)c%a3D16Fzl+<6WDbnWWycTg7q<5kW! z?V@U*8#(A>Als^$>I;`O!l#xNj3AT!{khlRf}yoEoFB$t+S#+_B;AfSUHM>pU`L#Z z%Q<9Bo2)H61qWTPjCyxfxc`Z83Ktp;hLEbk{6I6o1W<_Q&cF_&B!eCUfi{IQ2vZufEK- zgm^7$W0O7)6$-8}lg5oCX{0g5OLl19)*&tb9!!yRq^feZvF))h87g(h|W}({UO4i3yMgK z3dq`B%!XNfRmFW2V_GfOmHY;bFOT0P0ybrv-2unH3X6ucGX8!v}MbAvKoJMIiqj;={X#~-RGz$Ui9N}#;)VhncBr2O38-A zk5rj!L6E23;vB2$D#~07UD zN2NMpR;79HxX@(eMi;4cF1Wfuox7+QPd~aX09w}ZHSK(UdE}6|(Zwz9Y`tmmSp9Rg zsC7?qtlbSzXyYfP%M*Lnd^i;y;gvd0g2GwwDT=$2 zm+4S`SP}d*W;a3l!Bc4bZ{K}+H*v|YGY%$@erZFa^RL5ZLKLZr0J1`P!NvDxMhwu> z?A!TbFE3dy=Z|?Jm#^~Ye6DUB^ad5ja_=j?oFMe8!Es1t!sLuMC%nzG{a6N0oy>Oc zUUYRhJ>0d`D^=N%L%3`AHR>Xu;IGz)5+7l~fwKwWGy=$V09-(cvD>k<7mI;!#e3du zCU-Vzm+|t@F6A>{1qvm8He5IOreKvduPns^IulXw@^uT{uAxGKew8b^)|V%2Nd;}l zkoO-88H#(+#UXY(nkhTEXS9g2fqSb0$j`*{+1~?jx_36Qhw9Qx5kOL1LA&3gbIGGB zqcB62x%9pOCdifOUfv6iq>eGlJ)^4-AT1_cngE={zjjohCu$gv3ce2(4ZCI*JgH!X zzAn%n{2U-jd_H}D=)?8_NtY}2y+iV@OLrBG9#ZOHSVSyQ%fEodmN6$$f@J3JIwElC z-?k#s2)%WS5GzfVgr60rXg8RLzDR$dw3^XBepQy`Ijaer6mn`*FyP&tV4S|;>+VzGDrq;E8 z1IYNDpv7(oT`gZMXq)l6D1emU6S8oasaJX&zfHun$3VCyUwis>J^3J=lTO)_1O=FR zI7xcWh{Y2!r&xRkVM`ufVf3Efo1FY@4#g5?S*Lb4jbHKYf+VmcRexY=g~zZc)2X+`r%E z_#)+SSnob&hwd=Iyq<>VaF0S!PtMS*WF*>t{r-J6<8B6q(%BC-5gf7F382O*n-eBOaBG&<-F2c0rrooMa+ zXow$zq~SIr*`lLP7ioqZf%zkkF0@`g77HB&6MBx+wjdjc@N;eF|0Um-j93}#=F@zr z2+#!+cJek3a|aOb812~+et0R4gUv~~kov^XyJC6EIpr!(LM&3s>dJr?Y=(it9dy*D zvfa_^G6^CtBC&Eya4HR51N>qz98z)9TOr&_nPI2wNlp96*uc9e6|Upc6A!!2t1(~O z98uAIIZ+0}XYB~*?0P@G^Q>m*aJmIn;M(dUfm&a1ig4(?ejM??_pM29!YMRfY7eYT z+;}bLG5ZlYzONEqsj$1*yExJkf!ng!D{|0imjBtB|NZx+9j2+@Yn(~r1ofGQf=GJ0 z+3h0ie>Tskf->Q&T(T$IeHjcYIU7cNN{m%VzdLMZTzx0yETYQ&aom%g-i=Zm$}Zvm zs;x+4VM}X%-u?reL5TZ8p#M^6g;gWYP?=+UUjqiQHsGs($n@fOcqWV zXwFjq4o0O6{HMI|>($Mu%D$=prRpQ#Z^wxB)V_r~YnMk)>OA?WPqaS{%`36lEYqVE2oVm_xHf%$CMns@VR8Eya{N@@DCx8>o)>d zh3lW1sQ3U3TbMN|yj{HS6|9qINaW)|yz99zGVa~a2!yJ-J5T3HKCtO!F}nL3MQeHgUy3MVS}LUy(hE%p~F=!WAAeAw{GqzBgNVpM?_r4USXw%e$F7 z(hyoB_r` zQE%|A)8(kp{le*m?(p`EC(M5q;Pi5avVT%+H(nK_q!H-`k(M5U zL%O6(S|lc+lypgVm*8O1BLrz_2?@bXfze%)(PMPo^Zng>|6;H+IP87jCqD74!JsQg zG}=nVAZk3%Ia_ls0d*6Rf?wzTRdQXRkWhEM|LHS!?1o-L=`lwsPBlaeIUb|*E+U_i zy{#lKZQIUlavW7NW>;Bdxc90c8^jl?rA|e^mgeqycc+mX#ye!-L}}ZhJ*F-zj{?a{m;$##Eyg7U6|wTHc0O2gnVT; z5v9}8+ROUVhq(_{y3N@NjE^~-t**jwTac{pzZ>7Hq-eq5#@o84x0y2VHc96=#y7HC znXM5FhBGk)yUUDer>hNR0aj(6%sWdD-5LFDe{4xIIr~%=TYoN%uu5K>n(0;-u1fRA z<|`Sky`nzpCITfTMoDW||0H9K-_gFw6*%fKO-6UylUZG;U$Q#+lIvS#g9cfY+yerC z=v#5TP<9QPN%wkhUnRKkg~if|AZo$e!|y$^JA9Y(7V*%kGUlGntnoC+Ld>kwoNtNz zBDRC0kw;l-xYd=J8rB!fpB%c9s9%)lQ6EI%HKphMZGi`jN3f}{<+xSdI~brNSIP{< zYjLcw8XltgAC_y2DHbfJs>V21G1!6on3Aw1^4MqS)<5{si!)RqjrEj=d_XlKG+_7ZQO}Q7I+%ale|K7!YIC6b zQ3A_4Hd8QC8fvspcKm6G36ECi&jYAG`8P~6j$CZ!;zy&D_dy&BSv{CQld{0cUo=FZ zGmKTN9{0HS+!@$DPcrO=?c>gp`%XgBZxAm~>hq;G_R^K;(EAtWN(KACaHq$E2I(Ol z2*Vg$o_8}vNVN=7r5UCMS|T-xou_4=&Jbv{SG5ntXjseP*5O?rF@5jCaXmhg22BhK zrKRE)Fv-4*XOa_IWQFd3axKI7&Fa8dLH~^@9egMh3E>!Si~YLAFhn(Yi)j9 zW>Xdc$}hS~adnssyk=XC?eo6rW-{h=yO|K1D{Lm{{TFIq@+Nz7V1HdjV*J6kqFoWO z)y!{5%fA>D++7lDHt*91%x)#4cB_QWH zM3fzC!1L*Kt@RFZ+o<$h`B(A5iV+llbEpMXa0G}>b1_&M5zIAMa9_yVy#k!Mi%~pz z+`hWU#7UT5YRR>9IOa=Yl+^J_F4O?NePPl1LnyaX{eq7>n6Xi? zSjFC}KHoyDIE=!FoQx6`3jFTPqkRTAWa$B*{BFLesoNbBjdw-duB zgxAK0eBoeAX`pSk3($Gu!G*Qc1KP#`LfLQQ9rrH(`n}Qw)&2}6s2gEd@q@$;QKd$G zmSWJ!r?#&kg@V~oS+H1Lj7WN^MOezAJJ>Ej*323CV5+CY&NLn@C`qP;=oGw0;G11M zuE%ZLI|bS8Hu<7V?6-&wN5}k!$Et?Lrl+2l@fs9ZYh@#@ARp?hR-H_5tk?DU@O7|)D`k+3K~iK*a11K;QNx4*P`wHa zrYMk!amucZ?=cs(Ih1)0eQ5_-Gl7u&K{dlER;RjLywQ*JXZ2||Qv#dPK$}Gu3|R4f z=r~e1CnpsKAp?6z3mFS4ksj`F=@rm%z1fc1MQ{K^Kh?Y2KV}ED$=;Jen?)g?{1mU% zYsihvoqghVwEL(FNoJqLu>-){MffyWR^RUCtn*eL&2Gf1D75e=Wq zL(5$;1Wl7JAA)zpGGBah&5-OsKd*u1RF+mI>`YKAs32fzB5n*)GH50n@ih;f%Mo!H zca&r-uXfE{u4l1ox{`!fu8j}PZLf9ZN{F#E3HI_^P3T`p6s_V|mhhC&G-O%vjgG}Y zU~{UQJi!DjTw}I-qrDI!WQFAK{E4g!b$!bXBh)W zu)4afQ(nCaFkbg9%>L&#DKQ7uzZ^gYfxn6$rggL_)|j?iTNQL%fGY#(IBPveDq*iW z1%@!FvA_TT%)U^?Vl;ck%67LZE66F3zB{iJLk2d(jjO&)F;6+XF!n4U3Dlpwkdsf$ zUbQUzGrLm0_-WwLjr!${u~$K7N-j(*qNJKYk$WN2kan{ua>VfNOE$maf-tXWsFP0FDLy8c3OefwsrRgJE z)pJwVrFu#_ZV<$n{Hs0}l(~*cL*YZcV0u&Z@L8OAGz`8Y$kg7D$vzeHEJ)8R|A!ii z!^%t^G4@+31I!uozY`l@oL$9BwfI{c|E(G1bD)#2j^xGtCZ`AH)zl8~EmR;&A+3&+HgsuUbaLweeCF1Cj8w?LU zG7Gz(b0di_aCt{3%=N)hcc^lmgAgSbkhLA-(}v2VK66ibX>42&n$j3%w3n*-DMjB5 zv--j)HXB8)8P-6%)j+gT{kioSBr(#FKW2HtXtmFK;$A<%P~4J(l5mShrcmp%7xQ5gb(Xa&~jCG!w$eKe^JFATw}x^Wn;?~?KsVnio>y!?T_2bu7oL37|9mEwLadOhdihppNEQEnpF-wj(*J<5U; zEU{H=dMTtvc|kQy_Jgy_7}?+pW$Un01AlaWva4`px$;JxtzHDqnO1I@n?bGLt}jI0 zi1&VN+4C~!)8Trb&9?+Rl})kE9F=H)iuJi4eP`1SLEoGO4|=YY!l7EJ%umpbdWIZ|ddDO~;M{V*@PY<$kUi`;(u1TIV zSdMKDb1p_`T+0=_)A?o7F3rraGm^c9nvH3y>b!s4z+E+(gWX?Q(vy{wzekR|I}JEC zRlu=%4Q5)gR1Zpi3~i007->s8b5#tgmdF*h3ijcG+QlJhBm4G8tohra`#kbXiXqlq z+he5xPYt~}CLcKa0QE7Yohk@r=ll zkH_S%hvZwRC2G@1+o}7jM+S6}`{XrypxEnFc993qq~Bb228uzguZd58R28576z8_KXPIHk~liW-bg}#Sud}oha zmz1iB%b9I@6UXps%g*4iaNzc-eRD!kg#AyMjHFlFBZft*r#-hEWVJp3v&kRQu$H~Z zqP!vb@%nWTrJeoMPRR<8acflGII7>%?5Z9zRAJ=c>##CvEQn_ zV=`o7#%*Pxf&}}BjLXL?-EAHrM z9AJw@J#>kAo2A{mAldJ+)QHCY$uX5hlG5E%VFYWr+Ln#=6pswdB81gL=jWGvTN#*Y z;x7P(LRr79&Pi2RL;JL|;|AoZyr+t%# z;g;zhZ9$9F9US%GOey}so;<4F8BsYx<6zjka%X!=W~#Nd;SU4VpO(oG3RH7sKe&BM z`wnUGLHOq;W&aFz+1!mzi9npuc&|UdR7$H&L+2<lfl({UFT4kk&JvD1@i)QkbzCGHX z`7XqsG_Ryv1lqPfmcZ&N-JB1+xQXjL)D9$99Q)gOsGRMf*PtcYwSdDSwT9*GT1nfa z8D)~L8l=wA9B1W|==)Kiao*=5n#@!#RuhzguMWF^Gf#B~ zZxWs9fZSAY&z>s852_5*U12lbM`Mcb%w(}&U9CzDLm|qQY}ZdUZAPpwy)>b^vhu5P zvU0wRaYmIf>>oABm}UsaB{gF)mAHqS*;&Tsk|<)1-pfFe6uqj~?<$K4TxcydE-aZr zcB;WcwW`&|db3gG{>f|+KC{f<@++L71Qg}e>7HegCDm0NZp7e+t=#{{6EN@>s3AO= zf~3H5o*8zz=N>mIZ%`qRp*E?4p9f#Ly#1$pKMr}1D!a)zWPqWY`?~iEm`Q*M^xOj} zuOF{4#E3?{&KYuhqANmrp8WSk`1}jqPMXd5?DvtsYMK|^<#+^YhTQI2<=?)sGLqqe zrW6OEQqe-2r+Y~oZV4c|wCE*nEqN`;IaA79gsjG`oa<>OyH=FnK%lS(m|DoInDJ*8 z{3b?@&2$^SNPjgy#17&d7|hTxZ8C3+{I8O8`VL_OT|F}M)C>v|eEm?Kp+xVolF`%y zhw`amwYu!L>v1o5JOMXf6lunMR*3Qs{Uk1~PDDB=p0no!ze?sd<3gI8M1N{1yAUX6 z?io$(lJsdJxUe~t#GnW1I~P1fKc2%%sk%kEqN5k@zZ=VJj(sE`jYlu*dy#e#1oI#G$>aacCsFj-Y!ka zniK6CrT)M+OGsLlqO}B8hxGNtMUZ$Soavmd^Rrs8@*=|r)nT6C)t8Pa7$X<=l)JJN zS!-h2_Eq~D&OB%LYmYF3|LxmspVGH{9GucIevf7dlA5X2yib=QX&|vVMoRmi>EVA# zNc$(D9CCWW!Y}-qqn&s3nF|YQj5IaPk~-M=Wf4&s>nP=Xvuu3An1#J)Cf6-S7mk^sb< zXQ-&KnQwFy#A%U=fE7Z1l`HPX_zDD+b;K9&4gL{#s7saQj8RA#Gk}u6KxV4^%N5f- zP|XuCI9e&lm@c1EwI%+G>~W-B8zpZAHYtb6yP+YT~&=1dNp%@quPQJaYY zpd@0vVaJBMZ+jWDpNqX0U@lbP4`NGeiG+~AxIvuVB#U~%pniUNhi@_5B+l=qyg&Kr zD}{WF<>yGU#Ofs#KN}K{Qme?j3*%#p7?ktAf*tPT=ek@i!r1S#%Nx>Taeif=7PFNc z`KGuRCaYF>+@?bdZY*LonqA(ULzz}vr^Frh^;`}amOwp`XVVuVx*BLVTu|8rXfTRV zcDKZQ?I3o=Gx_5__x3n`U5F6jW9TK(o6h#)7xg0mikQ34EXJAtQM_#Og1_Pq(<67I zVC|%%9&1P#|JPRG^Tfurkryt8gs{rb>#~<(L^=ZO0)Dtj^eJP=s$H&Ky&w-bVO2h2 z+JSOMQ3+~8@x5FbmGS|0{yI~&v`rMOom|=v<78icn@li@(OTP z>K8Wy?EhVl6W4fVT`@;5ZZZyt#y8o#|E?LOq(`HvBQMdrWPc6Q-@7pb=}{ykVISY0 zShEI?rXLY;lF2?lZXNq8Xf;r?)`Njw6we_^(WyNhvDwGi`}`zBI^oV*c{#=L;rxo( zBf}nfhtV~;N!a8$YRJ|0gMmHr0M~CVI#K0lxec<9otoZbt5S2>(rC#``JKYg5rmK& z80uw;!4QWvV7B_vWgoe)vmfzxduilRL`~qyHub!6gNgtOP!45U&Z|D@a-GZIP}bY} z&-ks9iJKDjnyJZYe-3J-i!bH0v{ZB=YIy8l?vvF0CB39?NHHiNzoZ{2M;UF~1$EPQ zK@CFA^MiSb+FlHdVS_qd3^FnQ~bV^7d-hv9!qov0{YdOPXQ(wAE%glX5yM48Ciab>J z@@3-%ukkx<*O+~Hg0q`&JAGvgp)pd}VW|brFa;q7;R2*=hf6{Noy|Bw`&=eyx;rQM z%9xJl(@|6VHhRV$r5`ls@IC2@K_)tMfI~bGywFrw`#_f#Cq^!byNW-06!=>sDB$c5 zcETWj=DD73Nnp&G6&-rpNJ|usOFpPxD=`P`=$i2bqX{Xz`hS$+x!8J$# zSkOaxvQW+{|ElAT0y)xs87F=&W6HP0J+2Iy@)^cg}o1$X2;WmROPoo=OE@pP^|>kS&B`Xsr_DVwkwj z9VIfozzDSK4awJZh8V+5a!2Lpzv?*hXdh;u6%x1XN*i*n>`z;i1NExwQ-vk3f72vZ zmfngsb`Rj(A{!XxObp_Jo-gAV#hD95`*;+AX29`}jDKfl>BSLyr;~51fJaHb2pfCP ziRvcB?h~A7q-dXNHtFK)V0TWlFRO0Q3F9j?A|2*B@{U_*s*xeQyk`Z$=ELm0v>^e(+wK zW5$OpWu!=*jhOAA5s7`NxB&BCZlCk0|pB{fxzR2yM$GQKt((n!x?R89@c6)JbhRnVdiMmRtpRlcYtvDX+ovL zN+s8c#s(qy^wvcfHCT5#FqHSE0(X9A%S&p@t4QGLb_{(#vmAX=n1ZB{NOKH)cHaM= z%F5j-`ilxnfybwOl31PwdHtW`#71nTG9uEpKN@*Pj4DqdtOVK@9o%Q-IbfPrgJ0Yh znq#+Nx1*1>h{uq>zM9R*Z<4i-GJ!Hu3r0TcyH1A?^B8m8_B>G|?#YD}dW)8MeIYYj zmB6-q%s{*l_Hcir@#KDz``0cJoN$G5GkLJzKQ$6Bd1D)<61zQGl=-caP|*@TRP{X( zWm=R5+nh`>Q1kH`${sO044}rxQ=2brCe4%C5IV*qK)JTjx!%f}91*&3S za;CAxoFLs1M!9sA9$oYU^@<^q*cr;BS9qGD&Bn3rX|!Giv!?M$l6bI4Jz#0(!^B$* z0`wWG*ZV+ryIx=VHIA<`l+tN=k})G1lV%E#oediHPv@-g0g0{$(v2?O<91)yS%(`> z8pcCBFVSHIpi)}kCc0E74-&{b`(|JU!#Pqae`$~A`9pi7PZWDCXF8M?Dri21_gvt)XZN~j5NgB_ z@7}`@yGsszv)ZO&o+0WG+2jTi1=p?=JQ|cS{voSp-w1Te-`2~{1^jv0N5Uo!W3)^U zG-gJ1?p5KqS&HTNtFD(N0h-Xuv@V@g$$#(h7unu3;zWY2(z_o0({jVr=bQG2{3W{$ z)wt!;IF;la5H_nLy%7)I0Ym_w>M5}kL9wt|Ksk8S1l!_L@XL15C#tXRaZE&j%vv6i z@CcAJ)FV1Mito&2!5=BVn4&E3e`a?Y|D@FJbcT%_NO2m0PA?s9mr2LiQ0G~i78PFc zy^PeCk%S9TZ(8))j7^EI?<7GJhf$@tVbsCfG50RMvcmm!+w+N@(f~ld-1EY)iZEP49MBO|UL^^0lcndFJ zE->MA$ryYbI9O%?Njn<0bHTrjoeo(6qQU;-l;G%J2&V&84W4>d|50~2Z}SuuEmo$1 zSJ>inLP%D|V6^Duv2LhnvtVAU!-TExA~TXeQr>RY?=lxmU2?}BDq>hIhPb-_HXtbE zvqfU@4@szEE~)K6uIyUTGM{`*#F0K6;=Y9K zFb6A3wjGV=y5_=Y>(y1Auuh-5|1W)(Uqdrgjr@I+#`SMsS$?p?qqVqCB~!p|qBLnl zNWhG;x|bZg(ip$O<>Uv_Ux;ZdT^IDc=M)?E=S$%!vYmEXQb^rxJ@y4^$vWDU8uce; z;q4YOrkO6GJab<<3XnCq5kea;ip!pj0rK#(9ac}m%jW2}RIOp~Rbfl|{F3AQFw%ww zqowR~zr<3*EYg3e7hCTb-{`1(d(hBPzpI*C{q0tcXNXav-I2I{TkbzBB!ISKq+{EI0fLZp?Fup(G#+F3=f=|z3 zrl3`-t9$|WgIE268t=l`jcYgmbkyQL7>j!jhP|?`9A-9N%Z-uM`3kb%jZ%O{NC!&O4te)AW#cumKFdWpll;G>FIe&zV^*#E zttYnqTiHxNajzM*I$Qy4&h7D|b8pAGnNmiVzePnOAewe`oknwJ$x`y|XjL{fH~4SB z){*;@2)|;&{`5mJFsMp=)W9VF(aBFIdzn00NJFMeaKYA%sM~kb@Bjj|SOc3$5l??t?tT?n`-F|CsL6o4ga&6*4lhK*|-FEtMYbC3Zx%e1{e(sbA{!(%Qe3P`pLhTKZjMSj@hkyxK%_x)? zVN+5cJUBWjADq`h2GaP+A8-3*S`{e;j+fW>}72x9Fxz{H7|)Wr(9cCQuys zJY*$}o;*n+u?IVvWAC09m*e-?w*eZ{m~`;xWIGTWB7rLog9iE%+6Q{ppQK0cwWmjS z@E;+*CDY1&TCplCdnS?jOBK*KbAvX-8V3h(YySkFoJ)8bQcJv6?PRZIpo(tiRkiPq7*pGn?Izl%)PiXMUyXnbRAMavNYJeP=$$q8Lf7MIl(|-ALo%nBAhE3or#Lz`Y z98M+{XZ8tqF1ZyEt#sU&*UEdZLPse0DNRHNqp#b){iG1Cbur6@GIDU;B?EXn2u%~e zYDom8R5WVlZ`fQ5rh6 zwygoXKODbLnYEj`SrIBQ@bBxSn&a>EEi+p#ssd`nKnYSp?T2r#Ohz>*9WKmS8G?P2 z%1VKsm*zlyH1dOY3$IZs7g0HRxz1;nW)o%cI{a#m>L8z8+JNs> zu6qp+QU86mu6Xwv4)vg7I{!~+iK(;@xvG&TTl1X&3ZkTXw|NBqBYSqbL-yC-+#)Sn zwRq9RhwerqBuyq_h1p$(2MzqNUnr#6Gv8=4>!hov9iOYL*@{$-V*#aZ3n4SrNRI(z zr}U*yt^*JGq(X)jjkoHbpY}Of^9IjOUOW!|j=G-xSlq@lb3gNS4r+j)38*xj1L;~? z7{va~sQIQ_ea^574Nn{!Q+3TLpytH(02fQo{5$Xbua%3RR(GiSkRTJxRA6zr z+tWkRhy5a6?l0vT<)Skt^>!~&PHcDkT9b#lhM9mbR&Ekv;%IAf^s~v04|e>mz>gMQ z(Td*sX*!%U;_xO?2ge=+K6nZ8ifrMg0&if+JKkyI!`NsSax^Yt>Xg)f``06LtL=80 z|9Ej?S^cU9;!?{NM1EF3?XWjZe2MZMr9HnQZO(x*i8AXB@b30lEB-;MvbGNp2zrU} zr`b6X7R1TrHcXhUx2)HphU~Tp00}7?Bw?+AM#k_qVN|_TQu1H0iUTiJ%R(EI+f_5= z_R%w`WKL%lIeep+z0YFrFmZmnH3wP?YCO0p2suJV9%X>tPewpBUc#VU{rcXM!IMnT zB>+bZ^#l(AEgJ={5SbqQ?`Ra8vQc1_P4_7b?>3V%4aMjCh@Gf*8wNiTSPQXH3jQ^( zpMQR%Fx|-a6FCSEn&H2r^t6+#oa!?R;B~zI;dc0rl8Om}%VbyMz^LA>7f|pa+n*4> zbRBP3S7HsdiT#C0ly&ij>oN#k0xsRzy@Ub-<=;`Ul^h|EC1NyjCC9G6t-h0KZ_nm< z7+_M*^?=OYaBPcB5GKFXt$*SL;fE@?2AI{q?YX4;S%};{WOnVJ?DE$%>OQatV#41y z*@axFr)obDTO(fU#EhEiRqL$#4?1R8l@&V6dr#=WY6c(6cN@t0hiJ>2kcp_|8-CzG zJ~$F*@~5nl$Ed$zqjAt2vM&%=a1qHD$pD8^G=KpCUh%Fx(IqLV55l|lu%|Gzt@QQF z(3s`QVu#HFy!T%4vUKoVMyPdCvvx%x?h{{&RMzr zbr?D(mjFbOHkowd^}annQ7@o44P@gYhJ0tLf}&n!FxDz99J0Ktw%$}I6rTb4yJkLH z8sPLP?(dLRA8D!e`Ed(-@MeL_(ulm=peqL!skjb1cXIecybj}mjmdL%Qy6uaD%E;9 zUMKZ=!XsRi!Q}F5Fe$)%`Zg$ACibXbsrrpizz|Csl9Ki^#|?X2k6Mne>>icQ+7faeIe&Gp)Gm4^Wran%bzIEXC71dD1KqBOo?XYNDKaVy(+nK zt+kE}t#xS@&F30jc(?9`BX58CM{S+XNNG>yf?4Il@=^7VnePgdpM=$b$L@?~dAQb0 z9K%KVWg^o!xpUmJqDivU5B0inT=kO2Kdy2EURyJH5(ZYX7r20Lm4d}?i2;8oAg*k9 zSmnlj%lz=eBeR? zJe#UJ_7 z&}QCScZyMWx9l-HS=>LEDj_zM9 zZTMB*Dn#qo28;^jp^VbCl72-&o3l{}cKF{KEBvjeQveg`Iov^E6g3(V8Vp~V+JFj@4z8>I7|jVjNj>DPFYq;)77Uy6aDtL z;KaF0VJEy6za^+Y(FWwOItK%v7TF|RAA2Of;aVaaBRodBC%-WxiS~M^<>rn&0lwK{ zb8DD6YL9rpYd71iK56oFNWI;qNI{SXy2AW3?obz7aT+g|D4NXZNwEl$%SC&zzIhuQ z*YStI(NhyN>1Ciquy*7L3E%I}MZR0&e+$2GDr$>lUn+UDwokmutDWMwmBjp_-iH%0 zN3;HVHPQC!Fmoq>J+N9}dyaei`I^LDk^nK5FI`f8~wwxn!kY=jJd;gr;q?@FJs~LwaYhF zDJ`(#u?NZJUZZqv$pu>SzCo`{F$LI#5_aU7=jCW7cveog7YDmK_g z6tq|@E8F$YFAS5FkcLnRpeEW)LS-CMoj3hAkHVxp-BVs+$5MrB=hrOEo<#@R!W+9g zxATL0;ey{~$jeKhRAAeJ8yHdoynE;ze6wUwce;Csn$K62`VbwXOB#E%|NAyL87-Ri z-Ph<_+wyOd$vQ?Po49KEv5!LjaOwV<2Dv9gqYbi$9iSIq(nk1>(|C@6sN{0prCL|)66 zf8PY(XMM^iDi42DetF6t=OTL4-Vv zQA-yL!NZhW3f@5!r$Tc4l~BJ-i8aKp?z(2I#JWD4fXv(JKDgCutX57HpM`3(@#QQj zrnbh$Z@XLctwzRCsGV^Dq*!EM=Tc}PeR~@GzfM8pq8JD?t@Jzd!@A_h{$rk^s4Fi4 zl@Uk$y6eE_rLQ*3_PW_C_hpE?XlPsP{pRC!iPwE|gVi6UbbVFwIAbYV%{RK_ql?J5 z|Fs)g26x6ip6UD%FZPIysP{6Y zR<}OcxA{y5dj4)zZ}X*5I#+|s)TPEGT^#03_;igW`OAw@^nak|3CBJJaYO>v4nlC6 z&DY-eAw;-z#vVv90Buq>e=0SKHu%6F@3_k51tUU5@&yO~w%w&&pyt@r5zu%KrCj`h zXpEty#$pC3bI8U#IK?%(f!X)2biDi4Pu0^`Eoho2A;ndr^PJ0z=P4pZDL13mJ<6Cs49kuQf29Kb^GW45UE_5QzyXCk#D~?5pC-;iw#m#e@=J z6^iOTY<=~y6jR=I$xru`-UoXuxK!oJ@gQ8Mgt)J5|3j%Rh*sQhrzzs%O`Wcz&w`-v zy~j`?;@;htb0fWGJo)Fl`$zxniH180)AI9=Hpv2C?ndq&|q{9ERygUbdE(J+u<2*X)K*d5-PhXxEv8k?ixwC9wMI9|O%FgNL zSF_YkOU6h;t_4c)CL7K!P`t4Pf42LbR^E@Exa2~M)Na!YUq2#!D(m{DqKsBW*kjRc z`yaaEkq~dp^bc0UyZ3DL8`-@SujZ8~j}G5Ioy4?K&{q)uQK{74}_djbE?mU z6}$`pB9Bd&mo$-fM5LGDK{Uqnp0YhLHbq06)e3x_Syrp>)Rqlu2;CzwS>`sxB9}he zJu}nvp(X1i)#xrmM%vxxm;ef!lyGUIZYuUy;#wvz@>BOusUu5~S~qp)F&cI)+eR<% zN#zUW(pJ`4O${~g)^2f`VTzxsI;EDRz$v#3iWwHtJ*78z6|)7V7< zOvc(1ydJMGCes8JL|F%>4akbGJC`ve)Y@-7r!<=(DXOxZe0=!vfm4>N)V@c>b~ zz~{TOTQcUmICVjU9q>}PhoTq z7hVygXZ+Tuf+o#J_VbZWXf%`I z|LT5@6cwoI_tF;qkY2``4LTpP@WCBKx4bCGnahX%DKh^VMHu5Jx<>W zy3@ur;SGOB1c~`U$jhc+@)c=AG&omO1}TT2zDn{$t*zAjfkHRn{fE zT>$8s2M_eZT{TnhrB*y9=?&H%&6Tu=x}Y(=>9pwO75i`cmIO}rN`8I+ITr+e9u*kr z?EeA`%w9P}o`edl>_f0Shk&m|FiGw!N7Y{%d#~_njZ~SZ2QpMatcv3un++sahDyRk zylH?@d$@*dxCZH1`z+ac$5d^*^L6IgXzuxUG?N+6GJKC7IBT3k_D7<@R%igq(RRpH z(~?&>rl{>)i1UoOR9QJYxWY=?OnpnU3x}zMbcyKyulfTk(;x)ZSzU-*j@s;^)| zi5gOqJmHm?95&Uj0}pIe;PfTI8oDXQA+je+yY1tP%!VKT#|iatG{KNj96Tjy-(lq# zzPsg9vO6t`%u@CDQBspSPaFzwG~T-=iE16{;ODfyBcL+NFDcgl^LWhxbU%Ys-|ErW za~|;0T}ZJzKMJqHo9eWHw$6-=hZgaSyWN_t;EWR(M8g!F9Wf+%&NA63K}Ho5=EX%v z18Y@>?J6!L9JTiyJ$UySP&Ua%PDWug*Ux{yaF{g{BU94EpQEQK{WZQ&iwZb{bEsg!iY|KIUaMXP--^=Q z3ahHt6B`!r(5gV~FQC6?srVP`Gj_fs$l~1O|Ex?4pX{%e5|8j?708=M&$bJHI1e^^ zXR4S?&*InGrfJkrznOYrPzB-5F{WsIAv0RlbIw*f_+NhKC@$aJUIM!-7t zWKfMgXCO!1d_n)*{m4jxE)ID4F%c(v6UCbHB1(K@$l!C5*{}Lw4VNv>$tnEh@8G26 zG-`>&cXo@W+Us82BhIe6!%RM=+iU*~HZd!_!$X9;%!8lXP5qT?EUaF?SwALUPZ3P)l%O$f|Bv& zII+PF>=dfJ>-?66ypLa3@InjWue>^cX5A?uhm61OL)Lx{$Elv&;~qYR#OKeLE1mGf zCOHI(&DBNotbM0V>X)gp3t&Dbl^Y*q8MVkBjj0?o4BR2`L%FwETY;6@j9ND9*C^BQ zVx6at+!i%LSWm8aZT$S%POf}MroN%OtKXfSM`d*M(v(a!3@_ejg2mg>xA=(@|BXoL zV8lb8=(bp2tHJ{Ve>>L67?oPR4YOxO5WZsypVv%XqFR@FA;&|uq>S9i(MRrz;rmM| zJd^nB@Dv;fsPJWLyuryAb=SU^IzCp|>2$Wm+rW11BOB@udzU$j*MF3f8EiOHgWZ1^ zx7T>|Jg1xZ9ul3SK(?RT>{xg*u-hs}-f7E9AHb!e#xJkrmbrN5>E~&?!*@L&@;GJ2 zx~uqmi-5wapjz6gVJF&-a^Q)6Arn}R4-6RdWgyVzTo=EXmz~GpcJ8b>r2Q0RSpK>? z<{77jb0RgHoO(p4)NuVgQxdbzO&wtv0LfH7poV--GgwuZo&B5(*^)oB2=bl16}``; zCzRn~`ABsUFB#Jki+mdLYo1|1cZ!KGMDDd9=IQ5NfX7`uc+&p~j|R|oune9HuFOB; zG+Y;ZZLvO^b#@@LiBuA~8}i<;_gt=tb^i~+vI`j*n#uw&ptjp{FAAt zk7tu5I#ML$(j~6=?EUfzqC4_7HB;rXqHoDN%Qyqmqg&FVAsNxUZ2@Nm1<_OK6mI{N z`uv~dw1h>MT2)F7wns`}iS@E5N9`YgK<(TeqH)X`scu(Qo8v<_03K5KItSBoc+X7J zZ5{qpS7|-*&(tgtl4XHiufkgWI$JBf^l4+duxEalCqVvJ;pf*eQ7kthS{x9nuZ`>i zlqdEl!N($x`mRV& zfxTBG)k+Fg=?1T1 zka*ZC;a8m2(leHa`SHb;>xc&Zu4k~i5%5**v**{|=~$m1auH89OycY2x#rW0>qumO z+PNx-ZKI4yVrJT*HoNa_Rgr>mC^=fa8;(kb(>PZ)+=EQhI_slk@AV7rm95w9bzZvn z-OF?@_?-8DnEL9lrr)T2KoC$Gr5lk(C1ix7TWOF61sUC)(jeU+gNZOYq(efaMz~2! zNKQs0&B*uT_x)Yh`}=3aw$Jt1uID+=Irn{^`)~ukL8k9P%&tyG5e#)$48k} z$`%jVIBJP03|Mvg$*aK}`(HvmflMXolXz6q=Bu8?9ceDU5RD7_B)8r?7L0S;5$>bw z%E$>+Y;v_#wU`a^x}%8s<#@7Z^O{fHE+*A0L}fm;V4ZM0?|YX19RW30wRs9MJ?59L zYOZXgTC(^1^oDEW=C=2vY&N4FU5^5*tMgHCExp4f&w@j?e-kB)e~%*dKJJ+fD94zP zbk|pdxL){1B-Z?{J^D5MAiEJe3xEU$e<@ZEo&*HZt82ce&M6rV6~8pU?TIh%v`!S& zFKK`i0YfhZYJ;7+*C)(@Bq%q1=b7V=sANPK;d z;lo(I3T3CxXod9M=A(vEn@z)S&y2IEY|O796kkckRk_zd_>Oyr`(!-@Ly^?pLW&UN zxx6q7axjQ>4TB?Vq8Q|L1(SWZoTkND))>A!lQ7W^|3xD3tBdzQBI`JAKcM2*VR2g0 z?>phhSB}~IPhaqlD!?Ct^u+$EF*z_{9G2o5PAUV3DFOywO?KtK{yFjC?38I(z3o|N zN6tkctRMp5WEEdcf-56-H-{@R(F|G7eCDuP`IhUw?T=?2)7$q9%*7$?E5^r3KO5ek zo%WFptt2W$zAhTfw7cFF+j{kUrZm+vLSP!xJ?l7$ZWUr`dj z(Ks&mGoJEuv*ME9q~Q4B>e?cmRR_dF{1uU9Ar#1kV4q#4MC;ST*IOb`YP-cR|2S(; zJdNAfK5^k4#f?TXKw0TaY^~NS5eA3Fc;X5A2pBh7HGa21d#I8%&lRQ(OXiNPq z+}B0&`NE>!k#q}U8p9UcJb zMN_x5aBW1=1r)vTwtIm5!awz>+0*yt$#Yy%2#lUCU95Iqz`!DzlijAdGL>2>Y(lUOh5QeEPR&{Kt!G&E$FQ_&;v4~e$IO4 zrqv{NekYnRHJ?om){$_g@RPROVRpUUQO;3%H_Y0wLhyKDnO@LlN<;`futplQ6a3s&D>nQSaw>Pg#Yvq304e=dOQ2iiKi`PQO<90 zom1^X326f*cl0AGa|qtU^C5jh>dHy_gpCvfr)S=oQc#7|h!|rkRWXj2Ke4vsSgLWX z!+37z#Syq8fX3cWivr}CyYFtJ3-j0dm3Pt7!qUiU!@%NZWSso zQn~pdo459#<+n_JNzLp(MY)!isYL8}m)loo=}sAZ7eO7yoSZ*P~mKHGplxma&h-sz)_O z8s%1vEL%~mLmdWnX@E5eKZ}^Cj!Rs8%L&(&REQ2f-(fv7J6sXhfdr_hWNXU^!ipt+ zVe>kKFYFv#e+6i1sli;+qs7M-r&Nk4hFNKJ}$ zFUP=J1shK@?<=$P`GHf%4=_Dqh>Sz!{JGUo=?vI*UoqHdZB%6!L;5{1Y->>MVqt|; z6+QWl5VM;WEq~+xtkd*>PgQE7ZIZrnUG5zP!0%Y^+V6-VhwU^oD0X;N3R)bx2K@C> zxICQx^4Z1{AVIH1-CWSW|53%y4^fg2WVmHmJl)>8J?9t9g>wWQ9>U*e87`AP+qOPe zp4z^OGR1pn>rQP04nOuhJggj&sU;3#*uik6+Hbppf|Jy;4mmyJvUcJ?-&Dlm1&%(40oEVf)6uM za}ib;S)l@8bTg{c9r(CoKt6BYd!;UN00@N|9$KSNI3HFY>k+@CsGe{ikXl%0~RPh|jTR^?>B;zuOyC$1dK*0qZ{M zSacm^Fa2qU{NQ)rtx@sBx0|_ibqqdHz79d=QDS=4E=q|@VRhB_RV6)d9=U@PijTk_ zWcGVc@2j=D*Klre{N-i;MJ_nm=~r-plQon+?j@V9^TMzWt9wG z((Y%r1o~-EVO9Ln9s0KFOknvD@QVH7(owDz=g%`+6}v++U(>`bw6rQu5HgH+^Ob6_ zj^ne!0C`%8gNG@3Ay(AvC^Qb?2TB8lN1}&9hbS^M?9Y%4>Yi&+?>X<0yf>Xpd5GHB z$bq#rE__gzvoO+VXU2Q7Pa(m2{O1inTq^^7{Z|bIDEb-1pRo4^_X@-a7>5*|5uX&6 z;?DvStZho9a%}s@t$)DG>mr)!a>LKuJI#I;e(D!YFQKknVCh9K9GyYqmnC}LebKG?skskJKj?w*fK>giECdqL?6S;yd%rEUWa<{dkpD$; zzMC;j{U}sW3Fyz?Rj)RM~Y89(+n(cxvNENDJ@<6+&Ang&>zmVLkU@WjZ@|3eV5t1fWRdWUI9njNtzXSrTwWXWL@`24+p-st#VT-E@;+%$WBa?u6%srQk;I7dsGc-3b??}3}# zN81BHzh{tNc!oY`5DI!i2Y3#$E}DHI_xR1itd!PN0 z`~Zom(Ok@dv3aJ2u9F*D!EuZaOjbP&lH87p?0+HJ(J<3+OdW>BJ%m?)z&|e`nF#19 zD?XZiAhW~D@@8dRG$*u%n}0oWah>=qT2)z!2|yXh(kccp%;-@j6j_g-FJNef7e$Je=QT=avl0QUYY$AX z(g8bezL9rY#E^I2dp<9+<)5uCO0RkCNaJV_1-$D7>F%F3Y1^ zAH0N@*R8*%k+&g(0?=P$RO-WF?t|Loy~CoqM`a@PX9Kk5 z1u}VTqyGG>K~L3MjKhV5HiK%Gz;RwngeYz5hn{Cv5Z1SB?)dd~(4# z6PXfHj}q6UJbQJ_8DXbi;rMYU%A73vBI7&-mj1pPH@Ub*S-|Cvyc?k2`Pbm(FHmCmiba*X-p z8Hy$QOkur57Q_~7qom5&?6FX2OJI@!NXDMVZf*ayH#AXCNnZQci)U2Inu2Q$cHE=2(@fMfHU5 z<%)|eZdAdE)UU^$*NYps^LKIuN3luK%DrP)Ra{S4yAVAbtSY>A09iYfx=voWIRm!o zCl0{1pei;E_;xah4RaL1{l+IWt4G7{t-ngm=r2r6A;4(XJ(-=9&_#_KiQFqsax5km zeC27X_0>vs1%~?NtT`l8qPgim#Tc86ZR^|o!#a;!)Msz=L{{CH!!uQU!KW8Ua-5d# zXc2peVq?}Y9pEc!NJ0EB=sk^+mLZ1J-reWi5yu~3))85X|BxuF0_Wd=_wI zr;~fmeaobHb8zk+oFXEJIre(003`QL)7pStm3)eU{NF5mV=?rP$fNmhDv@g#0D}a- z(Wuel%)V<}rNz0?SR<;)D&X!@gPh%SZD` zoHHirf%48E5Rg5?MGZ ztg`>103ZDCMH>Uj*E2wi47bHY2PotBBf#QZ1OS^MYm$m+oX-~~Ilg0#wyMx4?Dd8l zb%Oy8qCpy@W8!pf`YjP0V#v$yp2q0Tu;i`IH|X}S08gOX)U?Y5Ni7T=m12>Jz+wg# zPCWsC%MgYE6woU6)x4tlv^xp*dS#F*JfEX12y4cP;hbC_G~wg3>}|RoYG7_94a^_A z?Dy8s8f(-26NPZw4V{U}u~xpJuerY6zZS8cY0mc6FbQ;Qisj;`?L1X(eeg4_VrF!u zpEw3$FG%%juVs$B4>aqgV8KhhB7*=B{iP+ zi0rjK&JG(^&&vj-itC!L`uiPuuLA;Fy`k$DKMWWha)Pp?pF5tX_!f76zjJ44XdI?9 z$*clm$`ubEe*3eg53?0C6Xj-en2@x~BfS3!!Q_x5{0Qqm{dXleV?;%l=|(j7cgW*; z2{P9bP)B_-=t}&8Jl}t{gS&r4ySLuU)3`t^2q9Md;>`>AujScIZlDFNls0!p*JcrC z2T-N+oo-1V?<_`h96jfgQXtifepCxK4>lUOi|Tca)Vj0cJ1`TeBb~}gd^q#eb_PKO zFidUSOUf!X z-_psv1DwIRJl^0n!`7cc)0o~`^a10fvR6b8%cX@K&+pPttR2{Q`?^mTKQr8$m(w8= z`zBmS@}WxWk5`*^m%hx)@h3!o9yIXWVVxQ&*WZhUxY$P_hL4MFZ=K}FT}Qkhgyi&J zN4#Xwq9LZ^EYi9BU6xc&-7|{HN^p276Nef<`UOu}g@|vt2djOOmm%&)4Y4mVN<3LE z_6LNc@N=y4a>T>F2Qsg@)CMR~qguDVZr zZ)eVo1FuiP+m}}^gQ!l@mIfKv5foF^P||riw>H}gzA(a=r7Cy?;ND|;F(bBI+z8B3 zO?|5F9fJ6z06YOmB>qpPc()S+7X4H7>Te8^A)_ud6^jkBf zn}#CYX6M!bRpu9B0vRD|nm_zVv8b*-%=3>TU(8c#mOO_-n>lYKgn-)k?rMj}zD$u< zlxxC><^F4_?Mj`ew{2m=k*{?>7O33xu5ER|+!p$E6yvk9X5y+8>otisYqmf2&V*%j z$w_we$TIlb+l3V$4}NP)?Tmj zi|($qzg3pY!&_4?=4HBX z;_~SosC(ddm>hIQ@8w@Nu<}wgl(CGVO-O_Axef1&lQE#lxkZ~C;cgbd+49bKnHVWD zUh_s&K}9JXEQ!ft%Z8BVABp;t8m;3XdF7Zpe4!b#1`3K6Om4@iZ0@2}sf-O?%ko(W zDFj0|8zO!P{Mv?TMq8_Llp&lOgi_t#wt~s%c04^|l7=3MwsCJrBSV+HKe_Sc=NG{4 z^|jFeoc0vxRstxnsKc#pI{rn5Dq60q3^XSw(C zg$^%9d$9>po-3DftjyPjvGEN#1#;7%WVYJJmsjt1)^64=MpYeUrrvI*gmiKXtW0mr zY)?%;g;lZho@~E}Z%Js->bCHM1_Ml=Mf*L|P{-u){As-6)K^VRcgVtZI;BI+Jz|mj zKS1B^Bo%ltcJY0X4w()N=+ONhpw&0DHZ@O}B`eEUS{%o8g=y(|o9HBab9ASg-x08c z;;a}v3P8POtvXYD>E849_tjwcgF8!QK3td5r$?{57aKIsLOLOJ%D`_z)E6fOO=1kW zo!f78N;r(#=4#KXs>lBK0adNBQ(ldFp^K6H_=?*DDzo(h(?gcRN{!SJRreHH*#RcK zkr%xXwq1(&WA})VI~n9Tf#ta1^Li1?ydfrPo9ny*_S`k;PMBB4qRcM zV>A%3HnUyevc@K(^v|#SV?0iDeIIset;axgu5SYSLqIZpH`d4n5KJZHmm}!N!yEZ! zy9>&Fy9nDMF1xcL6meJda(Fygt|#_NS#(^qLBO=@K~sx9vy)MAH8+gkK7D z-+^zAW$blS%4UDu;P}7b4m=|UzdXp;t7V4DA;R=~i zGQs=Xmm7K{SuAy`f6hvA&YvEVZze)XBD^HSfy58Lc?P|VOO9u-fhuc~f!Z-{T%+esszpntsL#)W z3SJM@ZQ!*joIww)B=;*DUQ(*R^at;TOb&)V61;V;mgEse{$%R+5r4MiO->|aY7Ew-(? z_}C(Ljq@d?%51gU&xiI3PYF>GD?7KLIv7&5=}pbgzC{6yD^*$`N6N@ECW|n)#aGa}!B)cb z5T+F-O^Us^1_S@I%Xc1&VN-0CxnE!kx)=Um960ZnovjAeRg=Ak$@WwKLmo#rmJG6`9OZ_9%fG~%?uC{=Eo+c1$_6??9Q3OR;@)1V%? z?a%8~4aP*_Z@Usb&7wiD3?!#pI%mLHW-?x)x(M86Sc3QhjH z;%0f5TUD}P#m&TNx-iP;$-zM@dHr!TQjcy%em-N47)ErG?da$`VH_1>G-(tqa%o~0 zxPI8yCu+h8?_`~7b{}=;{b9+~FbmPCzfxlsK>64bo#D}y-bd4+B0o4ucE<^zI@6rX zPp?uRCy$7LXUcBbPTc6xn@LY`XA{^4Q)Zq`K}0e=r_+CJ_Ax>TA4@0K%t3UjaAZ~Q=8#Mn@ddo^i{HtJw7}0zUNtDRsSrwuDr@u) zIF;1SJFcZdS8sXW2~Hky1J?x2rPS(`dlR4VFUdo-!hSI&9N9)v&%BSX+Itir&bRma z(8YV68gmPvsg)v0wy|avL38dh4@^H`tS+FRnqD$OmaKY}L$cgui7MzfpI%p&Gt9ya z^=gW} zmfYdueG+NXn^80ERa>rjlS6qAmgwD4ljtdgr31CN3q3{-5`cMkDRotBetarKEXjA+ zeD2m0o$Mn%2S7y>jgiYSO7PU_;r!owZtI0s*s;EPlGc(3K$Xns3Qd%ZAE z#(I!@m%!k6Y;m7dnUkE@+T#pDnZf|Sr@=`FDxHk@!qRkT{MBAgsN=S6>!&^fzN1oDK#4`qT8v|!c^@p8fw4Y7#-`xG;WU4Azl1_T1WD0 zof^Kq8RMJzfT1G4(kV|6MrE_vtN1agwGU8R4JtwdJ*i zw*Z60(>2+9Ha}E97WYK&ljwh-cT=3~H_=}^l}?5#HQEthd=kG$O8JsCqtZEl<-T+5 z=SDZb47z8IrWTJ1V7fbmdnt=VT}4y%y5smxasv5|Q1^ohzFbO7hSjr&l+uOk>AI8t z(3Vm1#}cxT`(iNnlg;}~I{Tm0hXl#h*VYo>#faiDQ2=O_X85-XA_DG$XW8TJ(ZUyE z#z8f+recT7I_LU|#=TrTf^?AnQES^Eq0i0Jj$;1MZ2Q>KW-z2Z>^N}+N~unjYArV8 zP@#-Of|dz^{QBvA)oI|F({KB`BP&j)4ErM-#BGv48--VPb<{YXAE4lT*N$A{0E2RtHjg0<_(go!A2 zd+&lLl;NyyZuH2vZ3%dVas%55XGGt|j-F&3Z6x2&p{FUH^fVLpP;b>Q+1MX(Z*(*^ z{D3lIHP$0&A6KfMlJv|7kw<$9>hu@H7i^$`;8fwOV**t>7%!&Vl+O9|k-PEw_^ZE5 zp&SmVw2{L9yZk*uatz?t_2}A?oj}hdtz$1CMN4V=huKLMe2Mw|C1Vqp!zQn}Qo&OT zh?|mZhoaB;5ISQ{S7L5t*haxe9gQuQpb~$zwT@*2JZ^hF(qlPt(L5*UWA7h!qiR5 ztu2ilkioZ~cPsC&>L?r->{(Vpc#4)*HqI=^n@J%_^-PBDDZBS;D$9#$bUMAwes=TM1!B|2@ zLQ%yfvm)^*f0XcNp@qo!+qR(h@7(t1YR+A>T>djo&jQbw5dIDickT3fms- ztk8Z6zHV8YI|LryCMsJ{URd(xf8M(*qxAmP&c1r@K9P_t16K7Dm2t*Z?o9jXQc^tW zV50ZgQqokL=(j?W~2npO8yYcQV4xsBE;tcho7?k6gabMzDRQjC}VDmP|F}@u2n|*I?!S z$k!ktRlyhFwetIr0e_B>)utBH7{eAss$`CocEgG=O8P$Y2os6Nqu}yfy_rQ8*$`0E z)bM3$|Dr6^f3L@s2y^Q%zwvu~H4}fuU3Fk3HH^LwW0w{Jl6&g26gK@aL8Ai3dIxGHJGnvf+m&|CWPsu4Wi!waKVW_i)e1UC{}c*BJ_i3PwEM)j zDhyauw2Jc^Fmfl!h=)@VgNT+zIGszo#SA#Vj<>AcmKH^cB_TM%)NM2>^!%!4uK@La z=>*fIOypceDr=NqdGw?0ji=UfXcd1=+GFLHN{gS;v0FwNqP|dfeklu8OSsl!V{nrB zxpcCv!EV`jp;C_of1d_qz1va0d7K7AiwVu%99X|E=-{A&I zH&pBMqK88}#3_WNkm87;Z8EYT1_>aEc#>(c4ILlhfq`==}N+xy%hBoj~&;8|C z{hM!q|}buRa?$p_F)i(lnW0@>3x7yhy*9 z)=yk^3}p1M{7aKsdN)*a)8^11KS=c6GJ$cTKqg#D(dLbqvET@(g&jhPp(O%7NIAMYTLAtv))@EATfs+El?fI35>yHi=z~waqcW zE%3a`X4ZVYYLc?|lF~+CRJBV{43D^~|0-Z3+C`pr4m0eqX1JvSs4lc(8&5cMu^wDYqW@zSo<^XCiMEyPFTVUlTYy&XQVZTlr$rF7o((9g#E;uml zZPDGy!iYmX?M(<1iP<6Pc(Qx>WlK%Hpb*XG$irxE|j#E_FV>WK<(r}3pF%Qs^* z4RGQ;jyD(m-pD$E@s|(;cE(-y--ElLo;9j&T}L48)GX{8O*Lj+rbpW2w+Saa-LNzI zQh~UvM@A5X&va$>I^T%CoJg+<&<84kD72vaF&jL4;=>ou#%E(mU$YxoCNjVjZ=WTe zM*Z~vB0+F}$}fMuzANi`wtSLWzGL7AZ#8&y-D^ewbm)&sAFnh|SxmJ^T=)bcYkW`z z;xWi49h5=qV_$sVS_m#EHPQjZ!&3DqRm5W^ekYDHQ(*l0LV zT$`_TS*~`7CPETE404?Zp6$nxCu^9A{Bb9KJ#@VJIx=%|#jxd%xZk}RXn1>0Lv-_m+ zSN87JKxtwx=fiU$y|vg5@#FUl#)-;&7BE5F;X;c<0cOAgvuKfL4&~k9WhoXq8l))1 z$@F`gNBc!yndT$J^Nh8pt6|z+7CkscmVF#>&-$wHHOH?^9O3)!etZ<>n#^qAbz?V* z+K9B6BsB(BnZix=tsBD`MYXiN-r*dfs7n+`@h% zX+3W*nmXUwT$m|d*cGz8?eRC(F@eA0w6K)L0nxIM*`wD1SXpSOJ&n!aQ9bFB0w`sr zXBB|mePi67Tr!O3s+hraiA9Wqgzo4G7L?yLR+w)g)FM;c48{_dEms+mUx?yuie>KV z%|#2amf5^b=FJv!L3wJ+dGyfRg5Q&!FtlLW^dvOZWqOvFWW`*fnoRGwk`lgq{Af)$ z)^rN^>W#i0hl^N1I-Y>s7p>IA*IW7LR0BBAmR-qu$2#L(-P&(?-B=)rP4&>vg)_or zYSaxhVfTUuuy>0izL%eG$L$HL_<*%}0qcFJ>-&nth*`%^vUFQwNO9<}yB9a>)el^K zk%qgA44RG6NMHoW+XX$3&7V|34D_`ki?mFqq?4r|gzpVt96~3h*f`9CtQ#mxuIZ+p zg&lz${pbxuuaufQ6fPR5tcY6%ih>RCY{mYOJzfWiEs}AIi62bu%$l8G<gMp&9-p+SF#Yqj3jP*ESR(AN98fjM)5UU4erhz{IK$`A!Nk9 z`let>#?8y%Vc2Q>Kr+d-kzVdi&9BMPC3M$TLSCJ0fZQz zr-(}XK9cL6=NQZA+7@}pN1|PgiQ4;R&PKX-wT(hFO=-1Po9s7~O1#PkX!j{8&c>(t z^as<<-on4dKGNgOm(i5R)!l+Vu+Bg^DQ-b405gqV@EU-t^9YlA4mQ<#U(B0QL_LlW%bApVjmW|+ZanB-QsTh(8l570pCWVkx`lT z$`{>>XPWjs60GZHS0nB@0ONANO7KGL%;hcysrgA8OMI-L`_FGzgOD356J zJ*37Ao*}rI70+r?wYgl9Z8trSEaQN`8RViA*342 z>z>cu!6E8FLXW_|AVU#q`=7~CXbDX$Nd~3RTEo-!Z3#LXhp**>-bDeDjMW>)My1c1 z;A^6l&(XaVT8xL1P0EaiCEtv-#)RVDZ0F({1*)GA zG|p1^UU2Rje)UW}FfNuyuxo6qRPEdf-8waiDr& zemF-5Ou^FS!N|6zS8!Vx9$R*9a2qh2jCwmV$*0XdOmmV^Vj$9Wxjd1Y-H;TQ@J-oF zXuXHSdc87-Z9JHZ<|j_wmv0w1U_P+yh(|kg45v z?{@J8v$=y-D^JU+l~@CNNyXH2rfiG4cLn2WlMW{Qe^(@ilCP44*4bGmT(>kSSp@cK zi;b@MTkL;>=Lp@YIwSbtN+J&}=+O3UO*<*>fnMi3j)RmE94IP*l)!SO>mH~DLCm16 z1@nclgZ~y+^{Z$3EM*K>mXr6$87Cr*2aZ-9aMHG9lgY}Mwjf^fSHS}^9P$kJFe-;O zFhT^flY7#BEKt5K8ZkK>$*q_BH~3Ieh?=Zacm@1`&r zuA~^Fa45~Ne`>Av?iamj|EE2WSzwCD=f;NPI(;c7x^vNR^O0{&ZUZ+uKWT9#OTE~p z$UHIYFg>wD-rr>9KQQs43rqE#1+{mX(oP1n+JJW)CDWyPv=o3j3fu2_C3<%H=CUd<a^;9pkhoKFHsYiQ z+5T4Z($X&(XH#VS_r-seu)l;{fqcPFe4zHoTJ{!}WHmH4fTBjn&9e{=CbrY#2H-zt zi>W(X-&C{;suN<3Vy`6Zc&w+ZtrI9)YqUh3y(ZQEJNA43=<+$f7SXplPd}Apdf~mQ zDNJhgOe(kj1hq0$Y1M_8LrT}gsUUdDnmzpY08j~uAgF8DwEV&xjPwp98WOKKmp91l z*#|eBEX;3Aj(8hN%wa&UK5yAGF0I(FfpG#_|Gta?|L^b6#2p?%$iI<(k~qmI$0V3@ z!Fy`PZL^edjtmQOog_~guO&JRal@7XVv(99S9qmvpV;)LK>Vw+jPoy24T~{Fx0c*Q z9n%pjPO?E+MQN4|%Zg7cEGeemPy?1C{rI!-Z2Wrphnn9HXc#PVjwK}aRN2jFCbZDP z!|aLY778wj8LiAGq8*dkW$*PA{4BNe{wxk0Fn_>_0*;mVZi$@ej?y0X$#vg*3yE8{ z*Mz%n^oqAH@guBWZS<~~DF*+Vsqlj6gIcgxTFN*NfcdRL=PTB(XP1?tgH6^eb$!fr z6#iKQt1E8jQYW#Zw{)yGCUfu3E+2Zd;gWtUJu_&jGHyCEXoxXh8#|dI?1&xh;`8{J z)%e%UtYl?IeyK3EL4<4-7wRrm6IyVQ$*=8?C`Df9E8q1Y;z}b*9@r;S@_3qGtGmfU zQcsXc{A9wNc$wrFu*J_?enu#(dl8al;grX3`SV|eauH7!kE08MF;u0FO9LJYWYfx_)mrK?uSwb5SX$Od zeOSuMz05d9@W5rZ#neO)+QY1qA=ABK`;{O?!_OwJQs0eZ*nGp~$Y|nQ976{qMSmBfjT)FhL<*fZ=~z|H$GOS3EmEMC-q3qt$kw?aCS6iJ8>Y$^pY8zd zY_9XCxg@K8N|Jz-R2Pr~{+eYU^%v&rJ*FCmLCHM}63BU=d|U;Elly3=X6CKxrJ>pD z(JFWRAI2sx8biHeL>)HsH(nmQ1ZDlu_j^OpSoZ>FJYkm0DOBaBlp8Sm(s1uubn85| ziu`Zlhd?zA9mc?dp9~Lr7}~=Qzr72hfxF_;+I17}Q@&3h@BjGhzodEaF1Y>D%kJ5h zcpuvfc>Ls{7%;$P^J$_m6M@@Z*WR8`S=|8BWs$_LvXVw47BN2kHSd8y{Pcc)-9Rm# za)I$cdFRCPOk#3l`12L-#H$1k@oeHt-Q2&A_;A}Zh)aJ$xhyoMvWbiOhTh?6@-#r6 zaMk>HcVAtIU;Gqd5AbOrtB>fpxme$PyRQvzE*y7G z@HIJS_>=C)iMf;vUll$YYZFz3Q<4Tf|5tw^T}vC!{iofYhIx|9WqnFR=McW_xT!f_ zUI}9Oc<}j@ee?DnZ_aoc+M9c8BVCwl>Gc(aiVnDJkhg>y1xPbHWLiy5c~#2Wwfw^u9zq|0$`Yq8*jeJC|(o)`B zh>+HQ927Ggd0<$L6&0q%zR4C|AvuinZirT8FVKby{0shwXhu>yPwAV%Iv zlo8VCNw%NGivZd9AnzDcgp0NcP!=@wHB9V_eIBdbyz+NAdd(wYA<6HtmRJh2crhBl!g+o$^Q0V%|H68%bZg$*PL8K_3JGJ@} zGUc_b$^NBm6UIEb5=YiKPasw<$Tr_wfi~{XHvoJ&%ZtD7^^p4CLnGdc6i|@7z1^K* zyY&9{24HHbc}w!5z?`*Av?~mXvyn!B)iSbejPDqb95~omUiVYuu|>rwc=fA)N*il~ z0>>&JWmPQe^%!e}zJYr5js{3G?5oZz(!+?V!Xvd!2^)ocb!yQ*K0)%wzdgl2-NiDy zgJl%%(wF9u`ge{>6r*^7CW;T>7(gdcAw|h(&nTCHT!%rkR-{^aTUm)R0DIGU%An_ z2OG92$-s;dz$@>_X3F7HaGyWaAe6dlOqJ>3m_cWSnsZ$SSi9Vg!G-bsm#>z}NF5@1 znE(N*?D}$t%+&jS{lK6I>%z+b0z}F!N9Ce?P|$7SXHVM78&RX8RII+VUn6!hB?D|( z4r027RC4UGkYG5mb#A9;t#`QL*)~5%-BK9*_JQ$NsbXd{zrsAF=xn^}`7XDN^$w@j z5EVK1Otu#ikl}#5Dc_XWp23CsJb@qghF>p?wrl{qWy|c=eqJ$xCpGa@gylC$J)?1E z@QKvJT%Gt84d7%i&b zd{oCT`r(YIz{d(3Ik*}Bup{+*l}S}L2P%d9E8jn+(u@pk1(n3MQRYPy%AP-C5{GOt}#@xSDRN_1rfV8Ftc-6~Zp{_99kqDgwTFFz=TLvT1D>3`l zruzOsd^Z*hW8LV3F|DQ^@#h?f8_t5JU_(P9n>H|S*gZQkdS3>C%V@o@aj*4fsG;f= zeU34PKVKnZgh7ANDSMJL`iWm$_3zs$*ZrJ&rY#5v%NF~^7yk(eDr#*iSEJ;9F%a7D z*%3pPSN*%%Kbo!ps}}0sg}eE!hkz;76%a1vvcVYM&{Vkpxm)&r9ojUNkHxuX4AkqT z9?%TUzJIqqRE;az8#nzk-$<49lr;OsO>!-`=gm?q*4)?U$1@%VpM%82*bjkSPKnOK z24hc$$Nk;^=`s{L|A7*B2C={Vi=2tN2pZW3`3b`H5|2o=o7}r5dc>jkG88&>+wns^ zacs=;>wgfr$V5|D>kLqTK<+RKbuK5&0Q3>rrE*41V28fakXx}`x43KFn{M%1sV~@p zyBTX@}?i)A8Aa4~gXOMT;J_~rSz;Cdbc$62Pr zcf4mLOA><~X~zjL%*k+I!sGzx=UO&&@~hlc9-akVF>osxR2&%HJesdjGxqErb<+{f z2zP&G!cSiu)C2WdHE<{oUUV{-rV17OC4u8B6^;)amNk(S478m^zGY1vMD6Wyz543+ zeTSd=;zeitt>~fZ-qC(w0Iil0Kne3+OJhP%`@Fk3>`_}heAET3 z@%>HnK>6;{7Ks?_!2VDXU(B!=CCY#M>{y6}>)(^6)}ALk8E;xg2Z3vEGtKOy%`TO@ zOMQ14rA;3OHsbYqPON#tZX?QH##%Z6qwqG0Hc8S6s~*W+>7dj-imnIl#j>lVp zPuUzT`CIYJ+*axW>Z(_i&=p4PEZy16PJ~hnHkh(&FnWr-l>BQ9J+Hck%G*M<+PRYS z&zb0~82*Q_uZ)W7jrvs-5Rs6S0ZHkS?g6B8Xru*!k#1>FTDlP!7;0!lKpF<=bdc@_ zN4mT2@xR`??s`AnFEfk7InSIk^PKbS{foWT=jHT5T=bX?u1Pi1hnsOa{8l3$CB(&} ze3MYES-*ayn$`@UdbhibA-rohbX#V|s_`e#U0n^aoym*e^3>0|z;n}y5~@E- zxpi-|V6qnRPR^FHqq(=mRJ$mKFK~IjZ}alHxq9&G=CgZG!nYiJGkyV<2#*`fAfn+ea>qVqxTNEC? zVezxTOB@X|_p1e%+W-TGrRABHw~Y^;7L6_p)_|9V0oo}edx;!YEAuqc{tdF-ju1cp zWLBWdWS!dN#mppNHK06CbfQJqm3(9}P|);QP(dCh;v-jTpbH>YP8p=j(|*xle&erx z@3D{Y%I5)6cGOMmqDTIv-&(;?ueO2yY$6Ik7LGy{%^b%k{|?5?g4|piKNDe?3tzQ* zoY+ZXwS&DpC>X2LQVoCpOMr|cd#N5!)D!=i%$2oWXV;0X*it>g2RHS;F~$6HkF|2k zS7m{}|NI%+D@go{?GJKI?*=257`eMQwG+&4c&pXc1;HhCk?(_JU<$PprfmKN&XXdD zG#h`O-^|8jQP_MzGC*CJp(VnZ&s$8+j>iVc!j;m;bl%i`DaoR(%*o?6>tEJzGPN9i zI5$Y{W6k_d$9GyA+Tpb^StU;&b4n2Wv{@%QYPtn*{huS=(K<{Q{YPGQ!oG>9mbI<~ zI?t#bX>W84$yj}8qO9kGeBKouHew`@;=7Wj;5ZfW(q%I?Nxo)FCU{R}`K6ntLkP`{ERUPCrG9&G{R$C=G~A z{S+#F$|y704&0?siGdWfX3GhKX`dQ%I8~8dq(_UVhD&zBT><3Na!|STk4!Uwg=7(u z&3<_!f_UGrudn0yB=oq&ph-rSY!aq5$cu95v+26*{v4OOlJY#9p+ubf99#`ab3%eA z9~Ume68FRZ#LM3)XKRpBil}+REzjVg?Y!7gq5F3abEFqlvww=klz;n=^cn6aPNjpq zWhroFzh)VvuX^JlIv zH4kI8233E1nHN8 zZKmP7rbf@Mr|3v1Eleoid~W$iz)WlOmSf2JMm%m*cBAql0h219r9w6R2ZTS!fT`ej z_MSDAS_R&pMozb?l7Al<$_n=ml3MlF%^x6kJ z2eG|WQYoVp!1;Mocn_*|Q7{h&0cO)_qeR=cYXv8qKu-U7iSW|5=g)QnczE7sO@ z+dQLUv+qJFJ>(+9twz*-rd%@z9ki6|M00d8e&JUaHyeNTb%?Xx=qOnG!)p2f&NLB8 z*TctkYGgD?afvM&HLp^O_C1~wYN_=)6urs^P+hKq43>af$mT|qfygWJP4ox-r>=ym zG~?qyWEHVzO1+h3L!|Gz3G}o7k3K!5Znrd1{kSKQtDeOi3+TjM#|+y$<+77pma`6M zBtogaB?n)!ecbQzeH?tl8vR(%70-KtIr?3Y^0{jfulXIv0zi(-5N7Ltr%b{5K=Ve% z__xOTwgDQWF>xS7w^;Rs7W>`>kV>-y>nXIP=m+o|8Y@x#gp>Oc!+p|?{tZW=(hX6@ zs7i_Ii^}5&hd&(E%m`FD8}Yv++wR}PC$oN3)I_ZCI*Hl-3`ndYI3@Pz)JZ>3qsVf^4e*pXbL%J8 z%d7MPoi2w9*Z)Wr*c)G1f>OPpNrl+7pnxBcDq$&s`CYaQr=2=0@qe`Sp;Pb4i=&X* ze&QZ4bEVwZ~z zPWtn}j8ue0a>W|>0e^1qG<;m1IWl{N8YHq53UY>j;OkiN#&mO?-_}xrT>Y-b#Ck}w zDIkU><#-|u0-iM9nA;Qsf61@@PNV)#1w0#tw;9hl&)LH*CcZ*WqnWE$m~j9D&KQ{s z+u_!**|!Q!#&=vc*EJo#nDmszGiL+rc^v)%8tpZA3HEtbwJQqXnY5qffsW~^++}sy zzu<_Ry41;ZD&Ex%$;*|PQ%@+O{vgcH(vDEqqkgyVV6Gu*YSzf1_}#?PZI@3LfuI=;s5!0vGb? zGrpdQYga{T_QQNi%R_@7YvltB&l2MLlwbOtu375teozlNy!u;?Rb7hDiCtz&=&WTJ z5VXIJ^r239;I#8@0*@D3K<|!vMIj0%qo1B($d4|Tb$=2_|Eculv!kXey_YO(T%9vm z213}uC)cmX&oIP_~$RRAK%?-qCpdtf%5~ z#E3S=9yF`TYEmlf75B-98NvP|{jp-MA&Z)XnI4~Xw#LIm!1o(TFn7V&97dYFursqBrxm@dC61lA> zp56xBld<1v7M)zzd+9#01K!3by)4h&x6YkdtxW1AvR3t5M65h37m2O+aBlB7^^}3I zWI?Y4o4;t!Ly@TkgCJ?Ms*%k3@j-S-SS6 zvEtc^n?%#aFl+#ATs`cfeFX1ifG>DtMWujD9sQPQn3WS5`@m_$D6uEum+#c7hz%(` zkdo?sq9kKsuCJ+RY_NaR600)NUXO8XkjHaWXfZvBfy__Hc0oc}s>xolnNdi5jgJka zjz0D+-6X^^2jA<2N_`o0My>$|1tNT$={me^vmrs`Jy3$Yj`Gd$q|;Z`H(6cKDAvQx z(<<4T@s%u-`!^p=jat8DnR*?)^PAjXeqS@CKL^ULxYL%=kuVJY@?u<=nxUD&RFHgd z<H;QGcZ3v2B-R6P`!DG?DU)EjZaqbSv5`+Z7isbKT?PH(ic?5QR8dr_z>sn9ej6-U1t)!;t+@&$A${ zZzJ&u5M9d(R5JWsaP|wdZ+Y+v!EoXk&n*RN{B$ud6@N~=ZlJu~Zh1+(hgCu(BJ;0v zavU+#p)UkB2%~T%(R3NK_3aR;wXhUjk`snA!ensJpdgsM&Kwaws>Y2!DF7k4wLm+R z?%DGmkEe3ZfC3(* zSP^;o(dFcF8r7IEQQb{N@9OdUHG-QJ9}ps-^M97Fkb=epQJ#-z7CQBOXMRe**h-Nv zg2qzw$qpBew;I?+0Em6@Y&)$cG~s<&$CNYMRG+9@Y;(-$HfD=x7KR2Q3J?Pv`!?a`cM|x8-}o zet{s2bX0DzQxGnhcoNeeCT7E7Tblgw37K68XC2KYMECiImu|Ot7>j0K%C@eeb<996 zFRA*?$va^o>@2x#dBJftq2O}2qpzeExZoJ^sTu2tM9b2kHRc5|S*zz0Ss-sf7oz(- z`~7oIli$bYk7y)h3g{_g7m*3hU^NCOZpQtJ=^M&X;*Iw3YJr@PO*hOL4L;)oxIR?h z*>3tuIPS^CUwr+PNWc+AUOM=)z%$=aNJ`lksiDh~Zp#=cw{Fi50OKcveFZwP+P*Dz z7ZlYirUZ4nV|}x9`_r*p-_D&+&@n$yC|@o)(TnANx~8dkuIO^Qn0Z9Mwv78ZfrG*X zVVd2!`L!ne8IctQ=(vQg8~h1G^Lqv7VLU~?_)|cQTe`&|<33dlty@EImp))y$kZ1K zaLtiEt9(nbUS~{2K=M33kB;S`lFj>5=N5(6edctW?)6Y#iCnjpN6H$t!G|ti*Hq<0 z&tu0p_pt4-hZzMY%<$)sPLIeYz?ZKh*WyA!Q%4~G z;^dU&MJ$FqVUg{tv%elXue3?1>f>Z)8?j-yQ$cHfKkd$ZJb#kIb_G!U zrI$$}YgyR9=}P}lA}Vh$=@gxUMH3XclCvF9tlRP8K~u^rie-I}@)M1j?tA*wx(dHRSwq1*~*)!+87gD717a5hFc6GBY0^8wv{Q4aIBf8Fl=t-MAC zB+{+K8=I~8wd4T9Pis{oyzLE{5$<1+uP0)~oIbBL{Xg4#@k={n!6AfvVu{pS^2GNb>%75c(@Y+(mu-AAKFI>kGxjE zR1)Q+t5%0D;+>bO%jJOd%{*wBRQJ56_#_gU@a^m)^awi{zYJV{%a+W<~s%(yTj;$!YSy8NSwDDumq@Itp_hNNf z6!cBDo9?Rxq~@Y^NeJ@3gj^@E?sXU0UBgU`uFKak71C!`7wrApsniDj0YeryF~JIJ zfjYWq`B48Cg!@G2o8vWWi&eOurShr$BY@9?Ew{XwOm;J-i`s5R1-pUF zbB|7xrdP@4$h2Z@oF8>ry2X$V=v^kvG&^1VTF5u6wG5X8LGvPxZY>EvjydOK6_fE zY}06r5`!k-?m14Sj1_Y~nweCv&=ip4 z>tb~lx;KwFU&2L=Uwzlk57H@khQZHqw?~LFvw}GFJ~y{p&ccqmt{YD?c$KV3Pbmgy z!?yk^KMX#2b}y+}W}|oNm&UN!g1+=*F%=GNXRr{Zk@c$^0IKjkEGj>wuM@t z^YXXbYZT>W{vuvps82kK;So|&G9qTc)6gv2GK5j^GJ^x%Vd}2^MEcGjL(BdhuECQ`pCYRqXmyxM1c!$0Gff<^%H#n;_B z_zWhbO}*~dMCr1Jo*Orv8m=#?X!no?j;MqDd+8~sdT&1!ofM~^R2fL=)Gx<%*&Y8>$Dj7d& z6aU4xct)A17b{dBo&iS9pYxf{iVRCnT_85yJHuWN*Umi?1U(gJhd8_Q)pkp2|Lx+{L=B>Cy@&X}ZD1lbTRnk7_3?Bp5y!A{V_PRQjT3X|UaYk%~lT@bZKBbr- zlJ&sq2gQf&zXEEDL(dl!kxiuiv+=a`W#u1Lg&2ohGF@Sn5-!*L)k=LutP9B{Pf;7| z>5@2C1wWkcw03mpm!1gws23ZSR~$bKIi_YyaAbr9vtp8KQ`U(<)ULAEB?+`LEXXw; zNB{`GhCn%@=w$X?mHf0tQPRDR=I~;f3icbWWuBGB;vqkZO+SXi_Sae!HGL@=kh%Cs zmr1DU?Yo&+UjhI3A^FTb)|=hnV=EfBh`u+{oymLJu(}i50j1PCyCe7p22DcL^D3&a z{Ojs}eAiIQ`_JT92JtPPwxf`I@xGdxRC-GKh3$qSey8tWvq+x#KhJSf4lMi{Yq~|? z^$?u^7traomy=B%&<3u|t1x}O&Qan%1!s{N5p@RNg7qyhnD zgA;#Vs7smrw*K#*;3t1Lbs3ZB&L#u!uq}dYmx9K^4ulT5svTM6EGE%0WPBra`oE> zLCf*V@ozqByFNI03W$(Cy`K0x(M8}qyHbTgQEb={34Z4C3m2bOz1xMm!nMaG;`oP{ zFu52c_WiWi5+?R^Xw3-9dsDY}@P6*4i&OYsJXF*1D)h|H+{3sBTB{+(Uv0VZ>?g1Y zaRy%9Q@GCI;Gtc^XhO(c8s`1rqpKjuVGlKJH`lo}tSy zy~qsST!S<~unrr@VK1UwmN493Gn^bg$0cB5M`e&TMi&2s=2$i`jX5(y#XfiX-`EPX z9h)26mxi&aPQFa`^g|SEkM&Ijog|xPd-UKQC~Z;?h>^2GnfVP`^2gP6`JX|-)x&|r z>&8I`1J!O{juX9adVzv49TQ{CO6ZhK@+6M(8cG&SuKX*Wm3L{`g6hUm%+(Z#?&s(7 zQMym94e(tyWBf$AbQD}a$VMp4?&nm8qLZo9)^sg7fo704tiOtPzj7t=nddfvr;oyu9BC0J zd+d4S!N4zF3>t^I_~A_S;Ao7k_||#wt(izghpk+hV@+7?SR@b#YNAWXBTM` zIXuokK461sC-_}Sx!Q;xuBEZr@WJl6WG)6au*_bN^y9Da+LpQ%2k2MvW=$0dj$)FW z2Wpl1I_4P?4*YosGXvDkLW*h6r`~_DRTSMQrCik7!va+3h*Ko?_ASbQRWC0_T)bU8`OcyOjqAi3@Au@8O@kw}Iz&e9l; z6JEIf>7tCzS4?z!@%JeW%IZ%*X6o$az8BY(uF&Mp!uVE|0c2TG%8PZ$YcMZki5e=> z61m`O2zFy|*`Y^hi`o92SL-aj&bDd{lbhV7D-<16N&lyv_3Ik~T|P4<*5?@D0BnR4@82f#CaM~M%f@aN5@ z3Ufo^eIp`8j(z+l54eG=z-We1jfCOY08;JM`5( z7D=@4t6FyT;M`bix4ccgaL)L6n0oqUc!EoDpD9Yj&G38J-7W4;Gy!VY^$*tODyNC? z@g7S#olJlTqf>el_)&~FSCrU^jm)AP;Tc&h=04=e;NMU$l~d6G!o&$%x>P0pGbCCQ z)<%oxttLzEwApd_BC#8EG$oY%YZPG*jN!2K2{@N=b%aRzDzddXa`BfD>J^px7}w&F z#cC&d;WbnpcQW2CoFX}`*-M2SWrleBJ@*h%Lb)of^OiOE+u=0hVKp=;`^055=Bxt5+ zn<{KJjFB@^xBWTf$?|+9Lonks7#|p|{ok_HyI+n~P*ha%B<{Hlk02<@4R-gcP}6lg z4&gg7G(`BX;>Ce*AUWIb&Mil8@w9ia!Q()t?elRWEcD^130x}@gZzpFVk2`9@_?!k z)S7YeP+d%1kM@x+uKq|k-8b*{hg~JYdseVa7phh9U3^qGDrxP7;G$>y!;dTWKio`x zCJvA8KPt@6<%$)UiCCrbMV+!2MG9P{XlEA<3HhmG=3sfh{AGjQm%ZU!rk_=^hueBh z2_LIloHz3lk3yKh*F1h15UU@vCoHXjmtJ`kCV>CoZUxbNbH7OAGGIIt~JImhbzn|@COmvf; z$TKKqo)2^PHeSjb`C1Z9pcKnBPEczLZKLA(?JCtMP__b{tfve9=cHsuoCbq^KuM>8s1!g`0MG$+nS|snjW?xp;{j z^?d+O7B>?{%TN9y145?>Aap|hcP-)sK|s%y4!c9)1xYz?>|;jpPY{OY#JEe}c{MVb zJd}?$J;G)Kls-(`r4!QTa?#RG|MK{S$)mCGWBfqO#jmRysoXHLb^B9Cn0Li(z2eo= zrxgFz)UEBTS&)D0dq*pz`MsT@+5Y(A=#&`X)buGrvBEsFg-=lvI)Uw=U7z0FHGvV! zd|LoiCMp?6;G46xus+n;J$U<>*7SeHHD~5j;lhyS;2O=9+jZfv1=9b*8c>MGS^Y}yKE#6wL##|ELpnN1wrtX-$UM^6d^V{qD^nV(mK6EkU2lk3FWX&*> zaKiC%yK7lj4HZ{np)#lmzY%hf%m(vXT0D( z5}7jea);rFwR%Mz6lLh6ybqvoJv+g@V$AxQ!>6vso(%8RElo3!1W1f;X^%#A)xL2-yYo>Y}CGdk>TDdK6-lWksR(}IT zk;n9GNqDj>UbVASI;-SDd=fWkc-vHFT{6n23z$H|bocG7>(rm}?FAlSL_HYoD+>}B z*Z&+JthrDkoa0>T;!Cse=B^V2Rzw_90ya!KmGuPiIDtJYI#;n7jhA%El9l+t<-Xl1 ziz~gKr*fy(zq^s}#jtlw-M=k5b3R*?-5?kaZp}M~f6>ZIK;B629o?KNUJZk08+c~8 zZhP;e3CDRIU=U4*Q&z(kFN|6KX^Wqess-0 zAm&TBt{OyTB+$%B7Dx-Qg~+1qa%f*7n1_s>Jh2?2;l#c-#&z`4mh)KX(0sy5APYUU zyJgH6e4#xuL-*+R5lo)zDbGZTqv#;+{!6Ga$2Tp!Eb@y8XUUDPRGvWH3Y0WD88vbk z@(<8rCMlf`R<~&qteDmLhP&4}(#goLk5_X&t8*8V-xqmZU+^RBUx}HYA_%-b{kAvA zf!$6_MViGn6y%q)!P-YP@l+orqc9Q@17q^K&)695WS2+(xV)o8LfnL!KK(c*GYPme z7rognc;$w%pt;Y<{k;O{@erfcD6_#wFqD3 z>yHN$jU_jmgSyAq$011Lii(l6GT^b|T3aV?_HA-%4*8;v)Y%i>U~o&62n4qwARTDO^b_77?=R9=&Tus@yqem}W-W)Q&rAEGY3yZAC<3n-AA zc`>AN^@+e8kblRb{OQB_T|I%tRUF`pMz(h139TDkSIC;sbn6p4@1jA~@95vfAZwrq=Gw7n&<*?_?P4k?Fv6E& zo3rmifzs0;~h=dGh-}g8@|c^*YJW z@X0%u^An75T5gXJoF|nLZ3!(Kc#$z)X$|+ET0)@)4J<&3;&dv!@QCtNMIV|i1iFs> zALX)_e`xdFB{r|`scz~c=myQGN(hwZFzq%i8Yty-0aIH%%8S2OMI&XL z*N#~FKg{b}#(Y((wi>EE2qsmmk7c0mZRE~bWt^jlaqqC-T zl+{TC3XnsQA78M4==p(eQ}JWdoIx4B2=~hUL18I7{TlK1E?Nx!cR8}w0LeC9Q?8%D z(PI#K0h1#9Qxnkhc`<%bv3yNy_0ofMt(>mth$!;$wfQ=mB$ueW|FcC5p}Q%d@h%{9JDAicdrvywb&pv;Xi(SkcM(NR z-ofur3>`cs*(c^v-N${h>h!?=??HG9d{HiyyMl=U%+hZsV?%1#q@C4Mv6E0RZ-;l} zcvG(oRCJj540?&w$x$Z!e$@)f`%K&NrJ1Ygv-D%oT=1im=)nRRxS*v<(02i0GUNRg z`Ae_Y25Fic(-tNzRt&3db-1I={T8Sl&T_gmkFun4uEo(%zO;aHy7P)}*@^Y4Ch$5Z zDY#(B@Vuqd%G-m|)JH6s=Zu4H{}L%7VmO}?ncJTq@9+YZVBQmu|I#1!S5X4%9!h3k z|KHJ;(m~(rojH(7E=yrr2d_F-{^d?(gfu%E>ws#p6DGo02Urs!@~584S^u4YQLw;# z1V2pu%Dv=E;Wx;fP!5DLC}CGHq&4)ti|fQK-{AT6xuI63fa9yOv+MK8v#NW6bv8EQ zEB`f4Xh%NqHfN>N=H!><31G!bLP(StTCW?=HEB;IAAu+Sw4L_Wo3XZg(Yml?XAR2u z)AsvY24IWztG6-*l7L?_U$U~xe#L6grqMzBC>EZv5`Wi{IBP*KP6WVs)cwcGzz3-8 zoh3&SUbr4YTdX2Ryqp%`uu>5Hq`pk+z+d4t;jYH%R*nB(sCeCzN%|}7MgW_7Ts^84 z=g45|Wo3L4R`Rm=9%Wfv78#Wl-__zeVO9#ca8cLQ-@L_x6kEK}cxe2cYzZy)Ll7A? z{^og1I3wXZxXU)Xr0SZzn6{xR&B$!r;U(2dOXJm7OW_-&R;_o z*rp?0l>_&r`Y~Bg@3aAvbba1>mg98~%}J%!wo&V`?tqI?`WJ<^u+D94NyXDC>#ibT zh>Oxa#gBnM{D8030j?iEWuibGdifr}HlSzMJ!wQzA#I+XGquS7J}hrN{1&;yS5L4% zH@{Emq;6@YvoCr7?f#%;AYkdjqSK`ezqZsqhC!lgxZogNT=9|U_Doc}pfaKyC#1}7S&h`9!1mskcW(0t`O}>y#IBGrgA-?7; z5JC`>U;_n{fVPvC)U|GuXts_j7we$#bK-z*4A@XAVe{gLS5m47M*vn@n6bRf#tKK;L zx8{8Y=&~3;+-I&2Pz|@m`uJD;uJjvO4QH|;p2FzHoJXTG=SpG9g~zCIa?|wD)t6o2 z&=2==BJ_*Yo(UDK`}69DSGnr!;atFSx#=|ol%sMNH4xb2;UcZ>5(7=;;f;R;88s`-mfm@S8K|*X1D^s zO;)uFu3P72oYT0-_Ib8Q3)VDTXNxZb1v-#I$pE5|<_oXO2iQ>O#zQ-{K(F;(3lNWt zs)Q6xLZd6k!4fU5)~1laW|1ZZl0IbpUG;RBqGuN+IdI1a)w8_>?2hIaSfI+Xj64z3 z6g$g^jMrY{qohOyQ97iCg{uM}mdjkAje;xVB|#j~FyxN-{JU-)C@0j-(K7U1j0?KJ zOyN#jBBxgmuX{%S(X#3Ux7PnGR&@9TJ9lUL7gm37gAs1$qgsA^HLf_3n&EuZKf6 zv@^7~D`(J>X|`+DmOpX!cZWL!ecyUt$bxY*J(YI-^Uv`H$?J90*n`WdXC+0AQfA|s zy)=_lGLubH|D)iWifpAG<)J;bU)GZYkjti1aRw_^I=2dThV)$~Et)7~7$lY^94H=V zLW0V)HhKE0Cuq3(`HX3=5SDV#Apg3zm?UD7cQ|9Q+?E#v=U$*xIaO}w7^LHBO~{u4 zDy~00W%4TD#Gm->o$kI@M3uH{{68SP$?u5sSOy~WJ-@3zAWaPTrrrL3lNNLSr9b$m z#ex&$ik+3Z(Lb3H9wgX0Pp}La<1|EMp*8W9;J^?kNr2(d{$3ONm}tVBuFwZ5A}v@X z-hkzDx8={{5&K$KzO9g;lKhWfyPF&rz5nY}-0Njt6wrjOoo+#{E9(|HQUK<}pPQFw`8t_xW_I!i zQm?^&Sif-l!_1z6B4J5(TSVtIGOBXMO=}VJR7e~332?K9Snhf1ET69vD|I%})rYek z@V!AGtPc}ADLgR3Ikv#B3Rm+snwEwRoTf2@0q$dDEaG^xv~*8$QE>8^W3UAD#cW+} zRp?i8wyKp1N1!`8kj<#Utg%Ns>P_d!5<&Pp=aEizPo$*`c07lFSG$!T*RYxO%TdK0tL?r-oa~%Y(~lR1qK*L_!I5LBx}-z~qVUE><Zc@z&3_c`PO&y-jHRO@Tdev+-bRjzVz=mYdBd?bXu`)zx_VR;fFnK#uAJ7(21v znGI55Z!o~{%`@scYyG_^aY0o5ja^*|XMIwAmjNDqO{KjzmiOQrOPQs^X^Gq+1=qeE z4kOf7t5q`n0Xo^oi4`%~ka1^k8>Z4@{Vna;VQFwmaE@Gc#Dg!B^ zM!nDVdk#OooTh*`JPLbqJR`0WzL*1o#+YfV@{RnpuKuxwKY4)*RQ(b%6kbE^8P{4k zz}#GQtJQ&e23h+C0w0-bv5pOxRk$aY1@9+bwQ7kBS}c9SYbSkpxb=rpeE9QN)|5Ig zzRa}H*I`Wt2Nh0nln`>R9W;w+gJ_NzX5*fWAhRpjl7g01J2|)D(;Mtanz%ka7Aer` z6F(*uQClz?K#2f>7~~V@5>ztmEw+PPfsOzwfMf(NaBtJWgpTjWX^7 z#*=`hY>Nr`tJ~E`0cGx=+m&H|qHK-0ymZ>kUt9cgpG7riBXI+>ZoUtyKVjEyvteJYp_2waYm3BBd~fc!Y%x$? zDI(jX%?YxQwpr)Y<6CS!pQZeTOYD+EYGatJ3km_oJR9E_UUON>t~}j;<;nY@YA3GX8`$?@Qkx89IF9-r>BN3j_vNzAsy+hw=k@Pc3ab{@k%_F;Is5-j9FiA(_D_u4rq%ewi4lcH0+p^3{&}GUWs;a;zI{;yexB)+c^B z6s8crasK6cdb8X_B{KcxUZcsM>r3BvNQMii8koJl#_~GtV-U zvD2A)RXQMU-~)q~FpFwZzVlGl7O?HxpJo^dMyQjXa%}foUe5G|A01JeNVH~#!E?WY z(=4j^HLFl!@#T>$gH0_M=_h5S4iR`q>POy%rPG!uJ3CWq%hwkLKcpreJ}O9{u|;er zGi}ou%GAF|!7)7xYCd$KZg`Y9%6G@@8Y@gsh0ArDEr&eRJF4<}lis&3%s1xk$`+@; zVtgUH$=Z67&iL(nSYI;>S@Z4b%5IHI3TF&tWg9sVFF?ljI{ymf?bPlJE?*J!RKSx| zB0TdB)9I*z9vLpfwKBGdVwTf~(%&CWhZ}2i`urH5X#@JGvFy#Kz70RxOqbo*mZ1A7 zOn`&dT~CM8qsX66Xz5hnCJzjyX|*8V_??ZFEXG=ct^jqd_lM6Ie0`}p)OSwO{W?ws zO-Br`ZatFQyb8~~b*^~e%3i5=^|rYUn>Tu;znVV?=QLe^s6Mtx@k*-IO4)d(m1~Jd zPJgY#289{1?hS?04Kol(M!E0htzh@}z9o~ndt)<;kWa!7=&PpgzluV*iR^m}NF^lf z#q5BN)Rif<4a?>1n9&1_QY*xWqAm}st`QTpo=Mr|$Om}ZqC!8UGSn-AcE!B@xRo&p zSOR*&!dsD4NkhdDqAzs1X9FS#m6$@jyq}GeA9(R-l&H9>oJzYg!&=NfGrfz@Prcj)&@0FUY}!ibTKdj~E5LWjmL+ngyB21IwwuLlsg1=<=~Y z0#rctJ*gGgxgVlio6}SmF&NC<9~qu6b(EZEt}!Qv4Qm8KYa)k);WC`@r#NU;(D_=@ z6GsN{{INuePX?}bRD{Ca`70PCzC7ha`KMlDq{^g5-fysRj;&OtKZ>!h;+0(uzMo!B z!;-?`Z1x3*nZR2$H~~>?Jh&!q*l~ieanp@`^EeRDiCY|E9q~+hD}c<4o1#U`bUM>1 zv>08(IFx*oFBd@?9Trv3Hr@CTV&~jO9BQy~I_;N#^u z9Q1}Rzbbm0WpAj6eqc1NaB^x;5zPfMRqz9*-BqQ|Y15}W_7v}kOFmzf`;^i;$dY_% zMf+k$JR@8D<+S7D#-uHhz0<%%=Z4xEESEomO1j19(XVrYL+K@61V2k>5=CmCRido=O9=>3qkq%1 z^V!OYfBe0;$G=^|v?+^PbGHuOvhS&+j8PU04s>0A*lYK+>C!D42;09Pj$<3MJmfgP zEU~(iSUooAxMW%3P`>hua{f~qEo_jPs_%Lm(<6Q#W$(q*zv{GIuahO81O^Q5QzH$B zk(M-zN~eS!DMyCO9=b(C*E2N%XyrlyJjnP|l zwHq@a6seiay`*YeZY=Uvy%z?o^R%sX zBpC*W!C<}*TwSgO$3m=y?4$a*b}VG&$M?{Ev1LZ~$WzL8=0u&Khd4y*bm=B}PlMKB z!4Sp_DSswsU|aI5{^+Mf$qhSPDyY1i#MJ2Lo-=ay$|#nrWwWKt%ISTSi09sXdZQb3 zZ~RDXsBvlYt%=EkiHV7SAZulP>XBhvh`6!x<)#)u?c2IKl?<^bAK9)y(`g>{M#{#> zw`3%r^AFa(4w`Ttk3qByh;wIsnKxQo!3*R~w{n#NEJ%)vEaZo=U!&YGwRlf`7cIb*ylK%zg8J_%F=2L&{0M=<4wyr za?#Vec^Q;_v|`<;9vA(gQ(2~5)wDF+{~S-lzLlsus8b{>Uo}=kwo{F$z36a^JFbYRQp60Oe-8f&NH?JumNy2kR1%h&I zr#V>h6sBC6%DaDXirR1j`)B3)0UNVAt!*9%D2ujM-$I)0;s3^>`EM)(E!*T;!kD+H zklL@K-;)GY;M|)h$jm6M8_DgASnkaXrsNJPEm6v8nAz%5^2an&)?F846SiS;6<H;v?ps)Zi)8I>3`xRveq@;+q6+M)+J9BB3}FUlL+=^)R5R!5NR!b zo^6!v^i2M%{p~tL>+2E4kiPPJ$IIvy8WnzyYev)J@xtwt4=?<_QoM3|bC9d`e*NO0 zg9sWH=YsHS0~RQhOV!p;HMe=;pi^zx-IVDJM@NwbTO5N;i>=SIL8ZD*a7=Vi1S^yF^;qpSyr+ z6xwwxnn=I=_J@qV1=Y-G$rKi|y3zEab%MGCN<6JEMjYuGB9;u<)w3z;ZbBdqT@d@d zMrpSzqE5K+M~zwCBi8G1n5nXNC((vJOG+>OgvM#1*4OIE47~VWz@8!aQl+6Oq>qO_xuQPIc-hEG8_RKv9{OGdn=-sZjqK!+@xEAIcR!2STs$| z8M7ai(i5etaw=M%e60)IZioMFH(ndQ`X}kZy;^SKlfyy^R7Qr3r^6K#kmRkqw9)j0 z-h$u_Y9Ztgr0|bdGXqEtb@tH4wt{`mB8K!DPq+q~n?c!YR5*H zItAt|UqAMKVT#3`Dh_;8|#jMHP4XxNu&Vq&Yn2r16I=e54i<;cxDcrM_rGbls6J zcR5Y2H_(gxu9csV8K=jwDcANkaVw@^Ov#4$x?^_W-{HXi2>Bgcc7cI_M4-RG=2(P) zirZ+R$N+@JMw@)7oAVAnbpc6{FWVzH=Hc1wtBtqSl)Udx)NAvLn@*!Hn{Z0@S50}p zd#dVC{>RlxUo`7mHx-WZU+%AA{`h>qI5X{eGOC^Ln1=^E^+YW7$iPExlAK;6owBs@IB?jfI_) zZ+!M($x$~#3SmrSI!YlL^Fn+-pi6vb513Jk?|bq{KhK*ZpChcK2^cB%^LcRuA$zmK z(c;YJ8cp&i#RFGnwBcDaYZ-6!mj1js&|LyAuP91f`Y%H05Hvm%LokTMt+@1s7&sMY z5oUDLuKy)TvOTgJ?X^mWtco`|58k?7JLCChWvQWW1?OsqH-NW7y*LKrt$6buYhz;` zr)%5GXY=2YDoz0KsGd7IYjkhy_$!viGK>{dSDZ{m+)x#;Q+=E7Q@Mz2;dQ?g-8V2^ z;c^2k-ghf@QWi9Cv*K`t;e&@)2AqUOM8fBEpDXsd{1Yb)tsCaeq)Z-es;|g+4Q@u{ zLF(B2K!d(^^>UGYEv|`|`t~nwY~GmGMjUtVb_gKtIkz87CO`PxsSUFsnDsUDC)x{ z6saH+s9Y9#efd+x8Jg5a-`IJh0geQNv1iTOpmDbuG1CdBRJ9VY?I5g^vu~vzbR6<% z={p_lzTeo8iXJQZwDT}wQk!cMqUb##h^WL#w~WQ-M$IpSv{6xFOfJk14UTJb2EA|5JaKX&#Fks?*!g^>w)!W`tCz z`o{nE`AcxNc`2baef}0xP_OUe*yth8BAwp!_K1O)$w-JhZfv zps~0;H4F>i8xuI8q2d9pYEQeIHV)FBvg!Jvs6c8ti?8Vd)>B>3t$w}lntU8nJteL} zL%B4tt0O1kTjZuLoeq<8k1~2*Yz;xbwlXe@4xSkEt>^Kb@w~E1xKzja$41>DdVFgN zMEfUHfr#D~6+pIY;MRV2?B#1n?GNF|QM*bl`E9e#p%P6V1k8~oH%4eA^XY`0F4TBJ zrI8El;qvx$krXpSZ!0wFQ^3N!90QadEZA!_Ds25pno)i(qD-;wKA`MjqOfa!H8{p~)A#OM)4}%qh2J z?Jz9dC=H;apo|MObKCCQE&n7O`YT()vrG3dT9cNo}A{^%Sz_E zV>*q;L6voYP^`vgrpCq+_oS5XcF<&dq1Y_iJH^AU=)BWmI$@5$4XKgx4~YCYZ{8U9 zXc3WkHz1Mg9(XyhO$q4-Rp9PLar##15E%$uDDxQa(~_&mjc3gw={(6hQB7oL^7Ns@lt`4^hE2m}a z4^+_9B3-WT`ko&!O!)YlFHe3T+RZt>fn!l`QXyXHN!Kgl*gTeSkw+(AFTe9%h0F)Q z-=2BBv6K926|m{aE83csK5NlyKiO_kUmF9A9Tk5y4Yo!wTU@GvJh>TthY6&JH7LS> zkZYd6MN#&eKJ=n~m8=ebeY1$qCnVBw6j;9&lIl71d8+_KG%g2Hgex18Zjrt-zBo&sKX?SJNAVX1m z>RP#S$a+0%V&~W`3@l#q&HlP)mr)D68mK_iE++)47RN^Uq|8!;o*tG>-o<}j>9`KK zB1cJAluP$*%{bsb0)vxis&>WRC2(K&;Q|93_ne#l9Hg?vBM{$+dmpN`L66@3PFU?i z-u^V*b4zh}wjpM5Z~Cn-dlgf5G&{S|DG*#TL}E_gW(ZEYrEo%`!|;#X*jx;o69DqX z&W*ALYXjBxa9{u8k9)&Afexg#KP%3zb-(Pi^c-uX!hnh6ra?mqNhQ3wkM#p^hmX@j zW2xW;dI-Vt$=!wDVzNZ_ky$Y zNis>zE)~?ZB}S+Hg^f;A#u~kwGy@ORM+NJL7+p|={zcH&jfi_E_c z8emSD(b~(LxaM5`eU2r};#i+tZ7#GCT@bk+?L^8nc}geEP8P*5BpokPOPb!_uh*z+ ze>U!!>nGop@jy6Jr^U6kQ`M9el813&h z@+pD|hl>nSjuWQ76`1rzbi2X@@bY?6`NWa$@X-ia!`;?SW2OmHuwkrXE@*?K!zf5! z%uoovMsr{Oa4XRzQ=|}G(eI(WT(k}F8HG}qnU69wqp?M*;6wy%*Xy71(}uh<-WK`= z=bD^ffbz}iBg?lHkC8tEknU6K3A1?&4pKuGn=B9pq$FXzWjFN2t9=tT54{r;z?f^n zHnNgijh6&^8qa0|5cVDy@6<%00B9iExi|||3weJ$EfVy!pS6W?oz<)K!0|5bc(`S6 z2Ub&Wxn%fhF=E_)du-6vlJq@J%4*ETjtk59TA=izLH5NtC>cDdouSSTeR21EO4VK` z`Z(#smtjI*ChgBAs*$|eX1<%_P-n#$joEF#WmkJK=>)n8Y^grHmd?p~BI_~ZL(Xt?07tlc(n@&c;}O-UJac`d(^fS4cu=m= z)*lx2@;Ly@Q)b04OQMKVl3Y2USuKdWKZ#u5KKn($rST#k^V&gS6h~+5VWHRyK`|^} zgtH6kNcj3Mky;?1flu1#y7ZmuSRS0V2@M%hYruhWaM=5vu8J_og1>Lc774 z`bk(h=k)>?b7+6mqjecxhIXgr_#})YZ7D5N-Y2Etr(5zH6$P3H<2=}PhRaoiLp**b z3?ECH9ncMqFnAh)vqRvDdefFG1mc4U1Ow9uN7ePSF-oT8F4LA^3+UIt40be+kSQ=g zD5TWYuJgjwyF#m{5@a6)pZ|ZZRN1l0K&V?p*gc@kB}Q<^*!eHp(;1%FHS*MApJRB! zv*1ChN-x2@v1&N{O<}ONj`HuCrd*x{$8tk`F+NJ77o*jFr!g%3lC>WyxFTo>ZLhJY zp}BPy!P`6&Ny3-3>qrOqfNZbR2K~POXvo4ni;D=4^qPRP>YdY;5+px3DO-ie^)gJh zec&oJH!!4hu4lspR*Mfa^zcTjLZ?$>ns!#d235(9&y3!>*5!hT#vomp#`L_~! z1hPQ$;mN8K`uRvZ^&^jUkDGyJ9g8eb&xzT%ouaFd@d@cJ;SJYith zH6$*XFJvI}_YLNImO%fu@QWS{>Iv2R-TAMgV&pxkel zaPGVzfgP8hZW`kNHF{-z2Orz*z18|vITnB)zl^FlwId;?FzKbvsPC*YM{*f$dg?TzElvNg)H8M&b8ZRd z$oI-yG6r}UV8)Cfc z7_E`iQhxaB&HaGh$73FLiX`1{*9TuveraJ|3&5B19{!ol2hff@^F?N#=RI7}zG6CN zAShLIp8W`I9&eOYSEzdjQCAw;b31L8YNJv<|DG5a`XP3K;2+_`m&!#ch^R#Ac_}}k z=cRrgVp|XWS^{n_C!kx-&|ZN)?DR!5?liOa?dh;MqgM39QC6K@Ef!uXWzZixZO~Hg zc|%eh+LV5V!|5&h4QG+C7XA`Ir2qg4bHuW>&Nbw@ntp65; zZ|>zNkOaT~fiAxYS9+fM>Fl4ErTN+&t(UC(b1abvj>Ub;xM2U{&gf)|iHn@!W5a6~ zrbKKOvGIL097NG7FRD;V-)1`zD8sxsBUIJ8mEw*yc&p7;7{dnM+u>yJjfZm*+JPGl z?v#JDQg`?@bEeZ6seZtMki$;5=2z6ooidL7LBBGIlHQ{KsqZ=??6q|0`SJ|<34 zfF7OguXY7>ZI+`9p0Ti~qVoQBLDUVY*1?~$2bPS=9=r2Z(A3Mr4=K^D3HtVxWJCMm zeHXAf74c=9WxJdcHXLK&|T~W0n#VO z+Byl|l5I^s&;uiQV*og>rvyoG+y-4+wpK~I?d8hmt7D--$#-YNf3`Ia`^! zrDP-9(}n;FK8IX^$N%?c7s)?dc3GDoEf^B8v-cBqVO*l(?r(!(`^T_VZM?}pU(6qa z5mB}Z>1eG}A8iv$pg&pAWl#{eqVRHVh&X8h;>%{>t#KoLp0G*cqTV&3T_cE^#@F_r zE`{OnlXZJO6D3mEy$R(CetiE7?7YDyLN&B;UOM$vGBR z8w$cF&Pq7j-f3EG#pej=RNQq)W7WB!-;akqauK2;0@AE?9T30cI&hnU%1)!8r$T{; zx-Wne*G*W~ajbh|vmvFddjVAewcZ`tSNh9-9zHEbus!Wn9AL^vB_}cz%rNL$tZCS3 zrUx?wGrz1YyVPN{dRJeDKWJkiM}lu)A9+j}P7DISyoKj5D#v%xvqT`J4k|XVe*voJ z&*i+{%&3P-^BR*j?dtthtgBe_(xvB^a@nS**NrTm1yJR_Xma zgWMjsTj?LCbAkUNbQE8#7Y^1IWSwL?^1A|=W5kp)o%Ff|WI1sEy$cjYRD@)M(mVWwe^XHq& zMJ(il2Zd@*Zsq4NWjdlp5u*w@vB-*HT>mM)9M^JXz-09e_dKpc$)wN`pGAVjKG$IL z^fpBk$swQ%g5J$7Lb<#~wED5mQHC7guG6{+p)Lmj(QJE*Zk)A-Z#&h7TjQ=O&!Nz{ z$MHu#)3);Nv#g1^NbDRdBoW|WGUxZ;GG6(?34hbXBvQgIg*|a}I^yEN;C78+FRs`2 zV3a(eyAAFi;14!pDGP{NZWDXP)!wwe^_^vXXhuRX*|=k|HbSm4-ti?q_%xQqOip+& z7inHwGtv#w5W3j<74&syp4)6IyNY2R7RMCqG-MPgwj=M5qxcl_9_^-Iif_36*%(Es z*ar>wM_ToJMh9x}yQO%vh{?1O&(p{u&zl_IYF#mtA9zpF;ZM-mdG6w=HMg!HMvi?+ zIgh{LPQ6y$@3Cf=zdqaMBu|c-)A!t1T;53tP_$aajV77<%x{xLCG}Vjw!u1j0r|YmjTp7q5QZZ_^p;e+BKenE*^mDQ zvTN!rm-Jb(sYlk3gwA(Ay0&dhtfyU(zyyRt6*y-nt1i(r>7 z2fJ1~9>rKgZ_L1ttBkP^1?FY`()IT%<{a0pX5>n>|B32{iX=@=#4pn*S&9FeuRN9g z`wPLt5{7VSbM$-4s|?DBO$sXU>KFvDjr?Y%Nm4RoX^Q3(u_VHa-Kq6yU5nn3Uq8e{ z%C~%j{S33D){XeXa$F@PcqX6j{|iJ~V9!bE4w{cU9E+PswG+&vwbks(;f$}F0O}hO z_Z~6KZ0qAWnoT^AaWF);17MH+7g(o2j4rBb%R_LG$Gh|u@Jd}FSb8eA$=lbpMfIS+ znNESXx?%28{aGN4^K0je`1P*q=i`I+q`Mqs(rXp>TF+t^c=r?g-j)1gy_^H>Fc&D& z9_#J+mnev_867%$5auP*^R)X@k0~9q?^nUYs zs1Y|bCnfFD2E@6{qTLiX12cj-hr<;Pu@qlr8~8|+GglkZfb*7=8;d2vJ^g%l@#j+@ z2|p=j|I#8dQpIM8=2Ny*o|`W>cAR(}DIbFDs5ZH+)z20dgd99JEQ(%wo+i)GJlQC$ zTE3*We))CIy>cHLcn`F7j1#Pydn=+!MJP2KxyUSb4PbxwB*x9E0O6TSM+l3)=owLa zF=exB{j`6uyDeYC<)bKbjKLdRQ>k#YhUZ(v+N9r`+IzjyT;@d(t&{1Y*P%^M!|!Zu z2R8CGoa;1f{70GH&N*o!Z&CU45T55s5XriL$9Bo{HXKIr7uRk)9k6%26nisy|FJt_4bLGleB#2zLx*qmzxOo^}{B9R~VdGqX| z?{sNa?|xo*xqpVF!&$yVnfqzx`u68xqNg5Q6Voi`IC&+>bG<@qm&d^U$Zp2e&K=`XT;9CW6OT`peB#r z2>FE&3`6f`dRb`rXrrk5eh*c0H|3? z0xT+G88BUt&|l~9%40I(HWU1TA#bc@(nGngM6}5^gAy)b^jUx zcla8TNb-{}nhs1H+rJKIiN@wEz(GMZ&UhhhBDNj$f$hGM}xz)5ZjtBD%uiI0bY~KLIOI zJzFi8q%9lT?x8!uMg)A^Q=2!P&=gW-~*U zr{9&y_JX`-{N7)kQjU%lGVv0+O2fA*Ms5f)US;RC_=3N}qR!G^Z`};IJWiqO<{a$U z&tj;;%9usair~xZ-y8kL1W!lu`EHv=ysyHEQ}c;MZ5HoP zx{JgsN^TU6LuM98Eag`E(B!ytw&xIN97Z+W|Kay_zs0$u@zwRj8bn1oOAkbkKAY)s zE#g4gPC`GWJwgI9k+f3a6y?4*iK6HPa{rW=q%jEtA z6LMl!^1B<5C+Mc>)00IaTUBR~-)gvgG(f*GCHKk#Qf(E$x(mL)G12g>PSD5L=6P9p zcD0x0&E%x;aAldOc~y~kcc6M7k;Q*v{{q?#B($&j9Lu%$@IAr>UIC}=@mw|5xdnaQ z(h{(mG3PkuCpF|ADe(s|G3~lHBdQ^nu`u6euY0<#^W@mzazZtvU5u;zLu6=N?8B9k zCWUK<(Own5jhwS~vT{yfyP}aEB*xLSCu}kzA6I@qJiL|_5k)vguPY7qj(=_d#_sEH zCDjzC;vR>JI-jp1dPCw4$AlUbzd~CfVU@Kv3^Chy()*sO*OB+Cv)*jifO!9zRz&ht zZ&&1g-BCWGREAH)YweCJ(~TnGeZZ1X-3$Rd`eTVQ`OZ-{sP$Cqz3OOh01dr&!Q{?8 z#f^RgGRMx0G~xu2D~Z;OhGpBn)BDtrzxh~d*wC9C&c(+p7z;5$B@8*k#VUs%OH%?v zW6*bx<+w5#QZ;%G-8vk>FIQK58ABARLAz+Wfifs0n5sVxOoa?taPX+!8;{m9z8ne zW+s?*C9lkb|89hwr}`_pa^2-EX?vX_0kborYkSpi`e{~!#d-c}sP*oqeY?%9aU(aT zCd{ARGNCclBTR3WnVg^J8>6>ZcZZR+JRZANCj^r9++}2s$TR@*u)HKXupNEZzo{Wv zA+O519>GK*s*~t7Pd`^33Gh={N#Bj0D(ZWM6l=2}LiD`SE1m9w z-VtYu02c+8pG&Q%8CHL1o}N@qh>c84!BF%~Pp=3>C6Aa=h5}?ht0vS2=VvF}oEOnp z3{*{6YuLNU&@9O6tjf#kAiY2!HT#J32bK?V^bL-?bnIO(hn_ z;*zXXioj4r8e7qF_0)F_P8qD5j7wG#f0v{6d0XC%fgZ9Ngd~|?2B;z)>*49!N2J~W zeoomT5MI?a;GH5^({V!Xbayd`((yHJM+<)3;oqKdc3W?7niiZ#=V|UTt2WI3?hfC_ zutCkPy#iF23-3HWg%YjDE-z32Utlp9_oyRB%`zItc-zVzXF14_uiV)AuBHToIRvzi z5A6$2@kyBi4jGW&{kYHbdzxjuo?KVO==f({%i>yzjIXJ*#SZ5859BhVbLTFVDNmX~ zc#RBfDN$3bpfY47Au^AirT>+*D!E^^xD9?E6%>#kE~(qk)+mky`h%UKjuXV+U5WTY z)9w1ceikMfRy=MVHGTxcy4M4=*9Iwd&%*X%81_|~60DlDlSW=l?UOmR8t`?_m2HA{ z2CV->rAo`5i+8r47-30bavB|EeqpiveXDNp`iql1$0yAYarnKMdYTkqQCQV`fI%7P zA956LHH04+p;0bkhx-aXq^w|@I?02*xEwfsKcONjJFI;QfZlZ{T^gQ&nh52wt*4n1 z%TzT90NwIPrt@2&7}YD zHKFr$s5-Cf;Coh&=I_^Dl+Q+JdMP5vAd{7k<4IvUATF-0g~uVoW+t{L;Tt-mKo4sJ zZ8261yN0CyZv6XvS z4rRt=w?@s*e>V|yF2Y~pCi6XLS7Z7x9vbE9IEv^)GPWAco}pPrbC7=MJt5o~xZZ## zMZmYp$87`h9drm0hYv%?dmBr3A&%X;=-o6bUVD;AdWz#~%4mm4zF$8ux#fzJ!!F^^1K>F`eQ$gq%0!SOBn(YbgP znM_@*+D?SNu}nR*`t?Rw{O)CyoWjpt0ZQo7kSihf^n75%jeJB^ql#u34 zm&nnGQ~Rf|ck=-@81L{|wC{Q7lx6`bk}oG?r$bcHxtNO>$RBoztJ9vp$K_%g4I7RZ zuDdYx;|MwbbCud`I`!!u6xcDNc|wd1K9R69O)(6|og(Xr0NY`uiiS;muOn|)tG}iw z;pJ^kbhY*Ku7>*?k$E~a5_|^KUpSpqd;{)4?`ARDIa%ESc-tbo?vv`d( z!D>C&LLjvc6U{RIS%D3VdQZ1`+63Tcs-Psf2{Cx<7>F^M*ZntCUUioe(jsMAzN+6G zOU_Er#m=2iGCIzbM|B?8jkmL&GP;!A19~sE9QOIo)qlctQ{N^!*K{%pfJo7P+vrHq z|c1Iavh!BH_JA@&_$W1vuU z6McmwShWYML1eW!akQjKzCO)cR+ zqRfapT&^SCxET3y*;vsUFgV>@!=000-`)PEOJ5$3d%fbc<=S^9NT{x(hodcCy^t%$$U#SkSkxqf=K z5ZyC|B|R#KTMvjVKR!A3nzxpUOA+g3bkESaF~us6_igQn_2fXGFxL!&k?znO-k$|R zve}E#+X5=(-}Kghbxoz{py%~Z)X8+4#dR{*8Rx0$$Jc(<K}u2Po(mDJLKbKGNtgd5uR$sw&WBJIznj0YwtH1X0Pim+0E3K1Jnr z{D_y1F~sn6 z=s3LUT%`iIkq-X-Uyvdxt565L#j&$(b)goaS(ItKaIebUs6sq zTt&hEU*uD6`PaDW3R~rwADuPrekz$O_Wt+Z-v!LQbU>WV{F~`ZN4Fgy;_u-;&bO%F za3kfajH8U_6zyWAhk>ZSar`jSWi;gn=9^w5-yYR>_CDG7B|9~5T%I9$vQa>F+s*P? z)_bfKzv#T{1053nZAi0;Pm2+^8R^zTLWRh@Ytceh?84fwAWPOKbkequ*Lu_SdBITw z#E&0$CJ!)TVP6k*C1`jt0Ij8PNVWNylh`$n=b9<8V&3TAL6(&BHj7gY;^o4Uy#I9R zL=&R-%QjxRlufZaBFB?D*x{}amEyKvG0wPLedB;<{9}r}bG|o#2L$q=$H``pI2|*@ z7P*s^?)7g2PmE_P-N$xrCo3c_343N%q)0g$SbZk2h zNwQZ*b&$(5rcHQmZs|Cjm;V&X0WODN3+*xs6?I>a}$o)K1vi?(eIPvHxVjuh@~{sMZz1=CzeCb{vGUEJqSmCH}P z==fqC*O|=`jz3c>hC8wWGPKqfVutotSZo-Tt#%VtQ(sVy`8B+m>Y zU$9@^e4^9Zm;7%ce)zW}(;gEnPUCV1pX%?7w6FdszV6zQ zEN2tCNKQPB9cI=YwFaUsZj!8C8}B_VyotA4uMbkZj`qM5Cm3N zi~=~=+om-WnPJ-Od}z6aTPVhM5^obAd$Tprsds~9-p`h(zsnE* zlWMX$bqjC$nXR>QS3hbq*q}v!b$gHG)0ZBQ8kJGite3P0e&7K?O3*OnOz2qYgMJIg zA{jW{~i614`$>eS$|DGgM`3s+Z|U~yOi3& zdcUi=4WB!FyoI!9Y|d|{)gvs;*^7s!@4uvTZ%0t-vn@uB)`C)O{U+Cr`+lq%ts5WO zqI~@fm7rQ8X&RZn5LG+4r;J9p5M8C)uc564<#K)^)JFMW?%^>Qa+65b=GfRaC=YgX zQPIo0k=dPLzNb$IP8 z^F?a2eHQX}Y@@?vLxVqu>CX)?U+(j-JoJv@bKFIF^QAB-j9S4gd-0*mm1_DS;;2ig zYutpl__Hw(Bmcr9Fr9T359^9w^0-Q%fqd_DDeyY1yNf(kE+f#4?FS5M1|#5q_fL1g zB(nYsTtB;C-;nkwEA)n!= zBzuAA2Qn=R^WEX^qC)~kaxY;$-ce{`0m^ErXkD`E(d-i>DKH6C(_4*pIX_=%LuXwSF8db-_*6{nK<1>`E%nobjk)zOqrG^CBi}}sah@DH zxA21Lil(c4Zx;j~u)S__)W7(AaaohGoPZ<@gpmpm)8!xEYe`53%*11(#v~Tt z2M2s=->KqI<}mH$H|J+ndquaWUFsj?11TGgv2@q41p15t=M^r=vO_t`M#n<*5V{-S z(ILaTUqQ+fIefd7`Ddddb!G7Am#gEm`wp~sfy!6`&MeYXwQ3u3cjvnPG64Af?`G(n z(*s>BcfN8Hq|*}quV_KWTb3~zunk>+$Cxp$rNY6aloMfUsMt$WK}WD%bFVOtM*qJ4WEf%L7V!w3 z+AYBvG>BgVf0sE>Du8m6mf9kI7tAoDVSJ*QQrs!@x8VAjCB5+k%KeSt#p(C+s+im0 z<%K=4I!(0RpzPB;o*fL1pb*)KtWCVk-6+41C_TpbXM#Zz>(8qCTMtjR@?@BQBa}>S zfbpncEgMWQzx*L7K`oLvyc=?I!24JcVj`Cz*D%fxl&B=BE&J;CH>JF(L^~qj1&9r^ z_!i^6@8j6KbQn|6_vkk9o@Cg*8wg|**%+!zDMB6 zWplqt8qr>xnI4*V?r%PHgCwbjE98{qK`f{LI*RT1CJG@9BalLqAsu60O&huyj|ZXo zz~H(NHIHA=C%e{VW4^}B2H2w9^0@f^D^lZFNI*fYe-g5wwoVt6HPZYFs}_=mHcze( zeo#r)j&3x#dOeZ>S1D^hOb)+`tx<`ky#Hujzu)9*` zZh0owFA(R}g#7QPxemD)m3}idhhF#(v!Onkol{4!=V5&=BKc6lUrC4g>9A0@4XBt| zz_kNcw;%ilc3doI4!o*8&U(F))4HDS(&^k4*y?~Ne~ij)&dA8y(6zvQ2bC+oN=Z52bJBT;XT`ZJg-Tq~y!l|Ju4qtOOVm@C^@ zX}PxVhmR}*=+7gDqH2HKmb~qfbd!D6hZK;eJU}O9$P|xUqZPbYmIWiswT`N}*FRI` zlqE_o<_1<2WTst=MeTt5@7Rg0tNVA4a`q?CRr-nx!8TFNTl>Y-Hp@MxU_p$?)1UA-4UgzM*J;EX*8G3CZ_65H4!Mi0AQ0+Jg z_~C`4N^TVV2^!)+Qz8ZD(g;n91kxp9f*;zG5F-VR{S38upvR6^o7+_H_&N@I2Viql zwWSTW^bt29#?1JQbi#a{!}mqPD5G)MWAig~yst5A6v2FjfNNqPeFx@>Xiw?(KVupS zT#Rv7B~It{1I96Cqb%B7Ki6*5W$*CxI+Ncv^ov_eTx;Cytzg`|iMx+nkLBG|&ubl( z7aIy(HHACFwNv0+C?)RJw_=n1ovfIQv;{Z!`L}`1)79P;2)$`^cq?~`w~YAouleR_ zq@`TcU;weSaJq0hTh#sG{crWEp2@dusIIIv>*PzOXVuisB3qVbnl-1Kg))=1WBX>( z-xzl5@UW>T00v>nZd2SmdBEgRQz4Jcgif)9CccwA7+U-JagbCu$TdLojjAy0LHlBD zPiNaL{(Y;ST={tTl(f2Sim_8ahZNJ+EaDzq6Mky3haoWgGV(usW(>vX2zX;_ZeLhu zACSmROTq-(YV|jit9aus{R{ZLE{3qbN0!!w6w`{^E@;YYXIZ>WSEXqGJn5mTg4stjh^}z!o$8!7W@r3u-9?4DK)IJ- z@f)K-@$-ZN#)~W&4^p)Bb^K75;5o9LJ6MD)*(F%kj()%o2|04l7)rac{oFC84IKbE z8Ga)C4eFfOKLr&LWo~6@5B^upH_*}@8;1gGCMi4hpP{9_ja<6}_}%RrpxpEhjPK`! zu`Xs>ak%Ax<^c5;lD0YL^Cs-cK%>V3VfH5J1}4@Iog=@gXCOnprCyb{v_vw04|OqM z!~R3ij`}v0U>5)o;%PW&Cpii7K1!f1U6X?dBud$XIFhxWVkgP)YF)V5eZM=b>T^g8 zVm|>S2HJ3W=7fnyYcVT?s=|Gv!d**3y}zTe5*sX(rdGG{(vJ) z-f;5&9&s}kXM>c}0yoBE3Z3Qfi(TJ;I?K;CcsB8IcYfwvF+1z8>+X;u{l?5)tN+N$ z;o*{N;wiY(&$b-9vGhf42K)`{{!gX55~V>I6Ae|+T;X?c$<-=QJ5NH+py zBZ+D4Ka{HeYjRWz2x#oL$Wt}p6pfDe!_No1F7sBOb7-2$`C$W}7n_YtPUe@YC?CO`%TT2)@(I*f_^nJe`*e>mcF+!+0BjD9NKRv>Q9Q7~yImsHbN9 zlk$*kvD+S@h*Lew)Jvue1YSM?Q$cyWkv&u(59Sm967uP)5#fE_QAF1+R4(1S5k!uwGop;4M8vko)si3|esv7;Q z=nu?E%V||1^%X0c58scWbOi=D9&?}=lAoNkwI+$dA3u9a<}iyywDNtFOZIe}e9G(5 z{wXHu^8aM&Pa}jL0Ivzd?^Tb!HWMi)qGQsa&fRU+a{&*@5gi(rU=^iVnGVPWo~Cm4_14MfNuU04x+ZuiM3LI=*G;D|fZH&>RQr-QZ44X)2R<$iw`_|TJF zLrzz}xUS`{Bg8zfN@2>#eernJ7wdNkl$W)V?PrFyoL z&%qT~jla#ySGx|mqfIhF2A(;+ck)aHF6lbwuIem^^v@F>qmRBeLe@cQ1%Ij^q)*0OJneQ!O*9i+kAvt)3e~SzpdaKYzo-R$&HCp07R}@D;vTO5 zajo^dYNPS3Cg;~?Po4UWHU%de9TSRI_9UK_Q+lG2iT@$3BLF4QRom%?^Y(lfDyd;0 zj@KDgW$r?XC3qvLrgUwTLdRJD~Vujv>8%+5Vwx*)n>iH1^Y2gpHJbWz5KCU6`xN`;jtUbvD^O2u{KG= zylXA$WR3xt`sADdeR@2%+G^m2SY^)DDY6aE z{ahCciIXl#`BPnTyaD4%y3Ek-I5_wv%B`C;%Mc+0x(;otp(47L)llKGtBLFC9a!Do zY~NEMxov2EuBhh%Sp|(q071OOwJQvtJwcZqK2oa>)BsQ2M)4s-xriKh$A%R43 zIA1Y#_fV_r3tA)YOJkQ;7PD$yM&C67tIQ*}&qiU9Z3u5-+oNH0)Ajk40JjtgxY}>E zwM6m5%~FYebZ)+q$X#l*|MeQDIYeqH)kzlDjD*MoUpH< zXzH`R`R5DyzPJ}0u8F33W$VE1b}t3Eg6kAoj9Aqy)n{fy6q@}RW2PQpGhd!FT3&Qj zt@U7Z{3=nJ&Y(9hj-nY%@?%^*1$*9o25lv~ko~`h_=NqHQ8&*+Ry;{q7;xlUJbf~5 zSAF%!p+)7ol+A=yG5zn%IGn&^(+Q7JJ%3RYko73QTsEmVtg197 z%;&{*lEio`&*21cNKQTMxM{Bgg(;Kwy%AK*d_k?`0J&^Uc)oi;*6$|okJg5&3fQ%tyj| znkqIqkA1y(Y0q+z-o?Ys>)CWv3rVIEVx;|||yFk}5(%NjFD(gB@-Z%ei_)*bWGCl~G~xHlRk{iuRK&6-7;oBpdafPYO5pGa=n}na>^D9?Ah6g<4+h&R3E*kEfUl}|_L^4C zVwDxn_nO3p&Cu!Y;h)YJ38K=%=H6egV@5A5K9~apttJ!+CCkK&SDQbkt4G2`*W>|r z0gEPdAiBKX)a1AYds0GcS_)q$6Gvy|q1BFV_P)^!d?|am>YLF7ZOC#n4c)7bob@aC z=Z=hMPHvxefssD$s^jqJpliOnH*gNQ4Z#0TaXZ2cb^*54jmVM4kd<;#O7~XAoPvXG zKtz1&yG@n7m`&QQ=G6{~^DA>43dPQpAAMPAEp7|yY&aCl^+@_DtY>kgS2sfJadx@~ z*X))VYTw9F@x83*r>vwC*cFU;InBJ&(wD*{K4;{6)4V8h||CWraJ<^<29H@Nz90{~AOmFdzUD`fy_OILhfG z;@#E}!vMAze^;S{Pvr&Q|EJy^8878A4(~IQl(U(=@2eap_h(!OH1hk7Z65RiyrZ}9 zPBjmZr7-hoan|Kg5oe*N1TNdpEJf}C(ik1s%~&ZZ_wyeQ`^+|d@{_C z_B8vi+#fM<;E(BeCS!pPg|{?S3hLP;BVzfi*ycCIZ4bZ9eMOy^Bs znzm0&!00699Ze-Q#M`j#E-|5*zf^#qTwjdE;P5MFm+6!cb7CwfE{)p}l!wAwwh!_x zI~tF}h+-&NlN+13hsW((fS1AVr%6JEkGw+Z-3f&%l#vUgEkHT68*K;JWPQXvwVV*! zqUPWtNeg7Uwl^wYgj$>}bZdJ)8HT2P=R1+WTlSa;QCRVzUL&wzukjhedq1-DUU&|s z=bMXe$>H-Y*nFYRzpt3v1{4(_aI_b6lo)O<^IQ!s9tto9&nNmBk}+UFjy;pN8&(-_ zL2T=k!QXN4WZu1iTWD`znX@51BG5;Q_LOU(Tm6Zocc-1KC#V_-wgFEpQIL~YcBb$+@cXdMfzIKhrdjAZoH;5)9>(OkL5%E zco)%3QNil9TOz1AVea;R1YOo^-eK&zraY~Z?dl^qx za0S%DBvIOuKIs;p#PN}AxTyN|Z}yL{-h#wYmI0k~KKqH&)g)&MzGVQy>nfjtx=HGxp*Mg3Q z$AR+W5U;u$XQyut0w-j*(7W;{g4gSGQpGD_{kf@gxkW1PrTxVm#fFr&U}{6)pL=P| zzr=3)d(>cDuaP2vTd1Dn(RndafPP+yZLNW$({xRzhk06gz24$i`5XWKeZ7GpMZn@X z8vz$y1Y4GoSwbvbU#tiD(8)=Uq+RfLh#Rllz&Sn_F#r2FE-YZ49~~F^T-Uij6C?gO zf8?< zs(ResYMtiCUasx}mD*cPXg_({KVTKI2^-p4eld}vFUA>=R`y(F>shj|{?a~mT+#zl zuorYT^j+_g%X?}O`E}olL#rQnYF^PTwxb?))_}<4EQsb2au!V$0aRA1CN1t&6>Zoo z*4!aBZPC@+a1oo9BPD~_W~^jDD#*;;TkS@mq&O=vcv&w6cW|;#zqSwuf8FJO-@n+l zoh70DmjP|tkK5<=ii4$LiM~n^g<&fAE_gO~;Keii6$i1Kr2BZnK)W^dTR`f@;#wV~%C_8hZ+p_9zF( zD%=`9(MiS~G#n2pLtCh?hq87CTV`*fguj!^eB&k@J%^fDuF2)({H-g5#Q&WpoUHPu zw+vjPPzZuV!=J~5#=Q=YA0a26y|ya@J@Z*Vpz zY&QUzAd|rWX2QI}!-+f*XPn!X`^wbpar3?gl0I6d&KtoC#PqO9_7_^?)98VFlF%{z z&3!{g*}X#x;@$O7i~_^&R|wPrQ{z0cU#z@{a`t?_?TT0k`izcgj^o!9@xrua?eaOd zf0`W|jp9kO0y{FekL}IA2VsG%%B9M68pv@dfUf0d3PHSUO5SlqzT@A0HT$_*cvmgB zRS#^zHybZn!yPbYkHIrcDcCUtP)_L)4-5MNw;4n>FM~7b2f%9>jrTz*`xJVVoPK9e zM-0762h`Lw1uVIC3g$R;AO7kov9Yizi7ZU@+{G<8j4(+N3z7ck(ca6sE=*?}JD{2n z0H(>Zj`+{#0K?U7<1)@&jr%2u{8d!T?YaHcb8hxY7(j0nT!r=&L*9}^)~0zT%!IV- zc8ZweFxOAD;-n-y@LhkLoN zyBWS*P!03DxPcfv{6n<;Q;;f$khUMutROiUTib*T_CHDV@>#ele7m~+qR$JfgWvPn zH;L`S|EUjS>B%uk7!`&m&{Ou@e>~LzsTV0|uGK5V+x0~^@HF;L|AYrcbpB3PR&wV4FAnBgQ`$$vbi2^_L2MuU8bjPifiJi10*F()6ufYgRX1`)rzKhI&CN ziyZj7u4Vyz6a@w%#$;f5j2SaZAMg3bwclR5u%HkO3WO<%Tj2@Ck7|tBjmbXj4jLLq zdIy5Uk(5CjaRowf#a^Ho{H!;bg~Hf@-bSnRCbOb#?WO<0QTM6jBH(@zt$g3>=%yO@~c{I?J2Nm?Mr?dg%v;J#YhGs;N4R*N6qt3zx7Ui7eQAeoM57tA>)AU`R zoRJ=|p?30G9tjTR=529ERaRdhgT}62_-Va{hF-mVg4zG-IWPoPp}=oEALA`b?AxAIFt=Ll`e&5FF}P!5cGwPGx~_&sp~K@uG{!4 z%HxX9;)LsGYhj{zc=gMo*yD{i)ncee6e_4xF5xjOvmGvTomr;uhhV=WSK$;Me<{x; zr;eX8=1I1B%oZi7#j<-=52yA~rMEofqT4ko7~s-fxLR+&R`67GE_AiZWS8ss)A zZ=C*-@NDq`O$^1|)bb%*s@FKPg&-~(@=d13|9wkkh)G%t0TySWN~{t{8O>EU3TD%4 zv0`V$>~7%jRvJTxcZa=;qZX39nnV=#sBU=#L|cS!ub^1)wpN|7r3oS73KS!vW{|BO zv&CDGh9wbe+p+B>$g-dT(uxg_QCRHj^=Co9vNsBjP0?&Al2{y|lFR;I3tQWdT}hy< zElwgf)L``@2VNdGS489LIYE8K>sc~E_~C0zrDLTuRddD*t5O(m?zQy2+>F(V7}e6o z%5DF^64bC)rVd30pXp@>zbhbEWc?#I8O1IM!e#8Iu34n#ob{W=m?DNp&VKR4>SVRZ zv9y~#B~+ymp7>aziN#RoU`2A9@v6NN+lnY#^^jmpcIjh?#;pLsvKW&2kRgSSl!v z0uH8GBp{CqrvXb@PP{wgTMUU@^8W=qp~YD3i9S3hm>d=z?tS@TBe-q@KAbRTJqOd( zCwwjg+>}!XL1GI8;GMl=H_=nQx@>Mr_DVNLHo}J_vrWb|Wcb3kGUI9%AqD~o3!|oWRttfwUDzDI2IU6)#SW>qm58$&O&ZEY>F$ zGfbu)>;=wJWSPc-WzP;o5&=z7K4#^+T}d2-U>Yz@eR01HOz5ZlQkIvXMzGO~83p#e zcQkCf;EY#dMdOCWT=ab^fG;teGs6RCBBf(B$X^O~-6HK!j;lX&B4ga`%3{(=zp2CT zyjS!hc3gl&Mx+#rr1;sS6mu^9*Zu=^pixmErTDgn^yiw`JEb+{_azdbc5VD63%Z;2 z3vo5VaL?T+05qz-=H6mKuW{B#0*i$es9ks!q3o6)m8a&$(AB{!OoPAFQ*#d<+FN;8 zqvNwG9C&&n8IbOES;Vca^F{zodFiRI^`dpyV+z^%6V)lX0|Dk{XmyW8o zE|8ICMV?#cnX(>-|3e|l6`FzxA&d%+TSDSc&v!70AZq&fC?n_Uh%-3>$DVtADUkQ7 zq3a2qip8iq)2#MywKMKF&<(n?y$?)h-6@HPZxSFqW?~$rEg*EB|Kr{GIL#I{kLtwp zsc$@;4iROoHfKR~-<$)^WV%nnL;d%6orL7VDX0kBHMyk!gGQ7i>_n%;w(V*OKW2E2 z8LT`sB@!8H#cF>!ddW>Y-}2D;TergqZ2Oek3I_6eKXH`o zmNKy+1r&XS$!phOVv{QG0n5&W#tO`_y|4o>*2v#(Tg*UcD^7$;DzWVUN>rd&2P_fG zjPTzi9@u~dk|ZL9`f`=q$GOr2=Q$JcW?MP1(j%SiDpBd~&Bk=8^0~b-lQH^c!1-3x zQc`V6^Lk)V={}@Le5DyMr27USA9y( zUtMk!F;#*s8J=#cu%J94cBCWpIi)fK@d{XR=%X*mSN8%}g8Cd%SHj9?B=LJ}9mQ(a z>2auUv~j;AFXUa9|G+j(_{GoVCKoH^x_!;49*_s_xIp%J_Coq-ik&dVYrUcxb%@fVZf}*K-Lb+ z*c58^viz2{!C@o7K>8-8^M<^2=kOz<&^|F|QLjr|3xQ@)h7J{FC*508U#)VG)6-+YRn4d&DAp)Avq5nUygnKZ}V-UBuZm^-f$vCNN2kjNZGuLTG*9Y=;52(NkO$7ogT zjNRjD-z`umO1_+gV}p7=+?&u6+Vo2zUUAQWiBeak!J1K)F7dXC1J#I1M3?dec+5KZ%G@*$5~-lp{?9f#98?a1+<%RWB$fQ2_bJ-hp1qxq3%_3uqqdV=Q5u?`*3e=rxT8g~L^fTsWW6iZLIyl3f=VZ{EL9BUWBm)9IH<^r5rtDxG6~J3JTChMcuf{lwChFrW1h&!30^KV{Ntn8cAmmr1HvZ530fQvgOh z#-=qKxbe#Ra|dluCZ;oZqjm^5Qxd7i_FY#PPcrywceEc0_djXha_aPkI_y-<4fH== z$Z)K`A?^Mo@KCHw`nUNx$ID)M$m1dfBd0mQWQy5|yx#ULXWYKS9UzXMsd5|+dsvrDnTCCizIULwu9|}_C|_7Uon>YX8u`a zAO3~>zFfSR;&BJ@Gr-B5a&wy^t?YlOTp1(-ucoucB;Ux7i!?k!@HE!VAf4G^VJ;0fVV zY%eBMprAiouoW&y&GEO*Jx&C^2`bqb9I@kH0f4%L8|*ky9ZTR#`-rt`QKzy9&p`z2 z1ZkUj|sxGVi>3BEpqrN%xiS{l$&2(dBnGhYhCO9s}=I|b~UYm#pRYXkJWOHkF3 zun5>w*lus`#d6bfQ~j8$#B0R?9$}$V**gjgzj#o|F3@@=@%-__Q;$0MljJPRJpM*n zYu6;$;@(p_OecFAKfUEy1OG^`9AJZ+k!bH%gPu4)n6m-!gvYacF{A!^MrK1kmj2<7JP~ ztr2(-ic^oP2jrl=&0pVOndTex7L*yQa2Gz*yT|6{XCFW(l?;F0bry&Ji4Wsq_=xJ@ znGp&BUX9e?eR^_*(B2V#6%Y=fO5j=!7*!g5J`z#~>X~h?hI-K4FAN(d7>(zq79w*o zNZr*l9^^Ui9!f+9>Iww*q-8leIgUCndk)+rap9H3vXPld`zK{VMAF&?X8InMqOW+; zK(s1!_EJznv+*mDv(`3Dx3PZ zFf~B3HK6c4hUWAIf;Sr+!1_hHw28jwL;L~S-+q@CzwlxOA-3XCbt6EqK2ugN(Uxp2UJHqonFosydTEXB zl}@&6t=b^>*qX;)l)^XemWNYx)ldLy93ZTq#i$W#ehAMGdRH1yAGPp#rE)$%KuAjt z`<)sp!}o5(!U()vl@U>A(DpJgAmDJ1w!6H`MCfyerqH^P=5hZFJGCJv#_MJq`<4QK zXB(;7r^Jb2x@hXl!zz97Hr^Hze|B~n8AJ7NXLVziF$@`;H8?J)ND4>ei}*iFU_u^MO@nt&Vip0v%6>X#|U&Zfcqan1Cd z&y?PGhvB}E-|;?qQ_bR(qhdWb|8D+1<&iM^JvS*?>a(m)I|*=f&t`kkNoxo%th(u@ zQq6`kDSxBT*&Uq!fU@PV2hLoa8Y$ssECx-CoYIkWeL^t(Fsb|Z{uHZGO2r%4U|ypD zLdRW-{K~95+f#EYO4JBAJNvMvtt;CT(lFSivgBuja_=_jQV|**1yW{L>HBkq>y)Xd zJpRIx=3$G&;eb2@Ce!EZ#p>~!r!B+U=WXgQ^6DB_#_iZ zDNB2u+<{lDui_Ob3J3Iadz)MIfY-5rR9nz++|e@z3V=>Up$2Xl2VGKFNq^Y>wi7cN?5ONxJpVj{x=djWq4ViVX65 zel|N5JP57I^kK(-8@Y&~Xc;8z$AW{0CL-o3^{m`0HDc$N943Z*rq2o{a~{s1(=O2rSGHkx z5OuxJwS@$@Sp-H4P2Z)cgZAyh-^X9=s_f65e4MbLcX3(QUskHt*561}Qf47*U`8}k&Yn50j zf^2ei14H`>z z-Go}5#o*NbG=&2k6g?D#8-wXK_HI4n9bFB)?eIYpOj8r=MSm;>J55Nbva8Z}uPQ#% zATTY)h58@QG_qqnYHwcPTIWjM*1m=Py4JIOK15m^&G?9QY>E4Vs6`XmXqqkh7N6kX z#6Z_$ctO?O|FkseLxO~XRPh`LJmd9~eq*Tl%Hq_dfZfkcYT zEg@hAAK*U3)--9EBb6yVZ0RWx?Rs=RvT+N{bD{RXcM@t5D?^CEAULX;@>J!-B<8;WD-09tvWhtY}AHOLm!-i1w%hTzQ^nfT0?m=%$s zAxRkiWsjAHYRhG_X*)5%r-J)%-d^BCxL#5hvxhXoDACJ79FW?7|LNVvqfa6la+BrP zEK+mQHjY1f-o?w{UM{Mlm4majT533IAE%JhKl+F-I($JaMb`?-l ztd+>N``A%(#z*z1ix0Z|A5h9dSC)3ozY7uDp;q0Y1uLS=Zi4n;nr6oO9xAzb_)LVm zGWu~>heBggN7%b#WGb`J=fpQc|3!@o{NOuIPkh-#KE=YUBa=FG^sK>g10kI3o7_U9 z&g2y`2cWCluJB_H)z?U*Zha6<_k!Mmdl>}KQA$7Msm}jwjmJ562fVhiz)z9rW_VIl zFlCL-(5B4=p+0a(;l@iK05KGmF7k8g9RH2}p+U_>YwgK`_-plCNUgdA!+nd2+I{}$ zzzdySb1p2G!Db!oM}?o?i@>jfTJq&R!cT^u3@_|b6u+M8TJmBEVN<^X^G?7H985mW zTgI-a=^VfnS9iuj*he-_p45Vr@nsdhBG1N-&>C?4$_;4^5Kr9c9AocNA^aT;SVVlw zP#z)@S7SXbnZ3cAwQ-9E%+m8e&Zx;ha_#Q5g&zz-mXs_$dh|cCZk2|@UX5YO@Pj?6 z#P-TwNRgM=myhkw7AbwShyE3|3(UAy=J_6!ny?lx)AV3TrHILw7A8iCz4*k0A|(sU zKVbiwfz*eEVeqxxdetP}-)HW6-#Ze0QCF#q>GC}(1I1Qsn!K@- z8Sia3R(lG^@*zcvifaMW7&`)5E(L{DM)?rCgd#A18vm zGRmP#)Mq8PB41%FtnK1s4kF*6gGV&6$`)J85}=VbE+I5fwZ7f(#afV5Y?4{( za&ZXC@Kl`*xLmP-tG1XaxW@?#H8c5~r~WrDp~I_az5Owc1pb3=6e3rQ zoB(d`ewu9CtzPN?wX1APTMC*=7P&x58c-%!;fFd^hhPK6GPdup=qwOy_HnX^E4eqz&vq{{HFN zi#p9B!%X#=`BE2;;zD0V`{M-_b#d<(v@@A)^i}36BVVM`83Y?vFhiq6-vL=P`hP8?fXL^*?MH)@>>0}5!KOi5R*ij37q$Gj{%PPEz8lEw!w z+N-0gevvFvS0V;5i8uc_rPclz+AAr-R0pd{TbE982|sHtI;}G~+OR`q|NXE%+%qOt z3c@8c(QPJ+*SUsR!^U6uf(K_p>;3n_!`WaGB(3(bd-ouEa}-ooSupa&8cl)rAIW{? zlHHk9SD~Z~OBHBhL$(m)4P^Sh8WXJ8*PGm41nVtMr@4sa_O_@f9`kI0Ox@nDs>vrY z6eOOq{@}I3#I2%B=R!V)DfvDtPLFHSLKrd?XjfZJu)SXnTlC}>fr{I~;5k z-`$%F0sA91!c=hza zdXhw4_qp!MPr1sm^{S;doKNQX{A1oqbC#I8h{*;>hkF0XOv@c6%H`W%Lt7}CG^>3` z`@T`Cff15#`0VMD#Sy7r=KidOQ!mAtZ0PDhqsp1P76R)T3QB)k{e$R8w;JX zRcLFG4gaY0L~qJ7sbYW@NnOr=39CtrpA+Xt;La(D6vNTqP;?KW9b(^6np+emr}p=V zIB}kbz)FRmQaZoGPA8+l`18gm4)u)Xw4;n44CQ{4lfjevl8v)~ZA#ZT3p+R5(WnHS z8&Abdg!=vI^!I4&j=a4RCRjQ+n+)3hG#tf)zHHS^*44zcBrraQ7zU1Rb9WX-z*`7!(fSt{=9EqU{-W1(x};}%|E#zx&V`H)Xd>^ zJ#Y(0<#k=AR3@+J@0;zj?>3B$I4q&zlwGa|<*Etb;8`xmbMM(ihyrdBb8VF*trWX4 z@2`KTMZGeWuV=hbRJRg8es(-gpgC+uV-QTni7MUFlZ)H!4 zmDq@mgbr3JEY^n@%eZ-sFzRjomixl=kY*F*+!J7}Sv<}QIe2#TzF4})QUIT8u=8+X z=HGp;M90Nri3N{s=3K2lD^Lci#lg<*hC&4{$xZf%2%+%23Ee2yh1hxuA=psO5p?vXJlDr{!!DhQ{0rg&Q=*0%cvi)2cgHCN#5D2iE3gY3trZ{#o1 z<=W*62k%6YQU3tY<)#k3^KXApD`%Wgoz?yjkt`g4qg)5O ziUWO;`1AgWjUd9-+ZQPythNw(|NVks^r*ci^v=RC(-dz*yr_{WJivGX(yUt*LVZfC zR#;Ue%6E!b?>m`sHquy#0nGI3;VHXs)3N&>e%ri-$l?vP ze;!2ts&5@;H-Nn%6$SmvqzeWB z1b|JYyU;3-28Vqhf1C770L%c@+`2{bZWe2GRDDnW?5`QzKY;Ng-#^OB`?XEeb)Nq^ zGs*DZ{AR!6(2*GQ(cQo9RLZecLNXHA-GH0jzl{f^e0d+ppZx0L^EurqO3EEKS-7h^Cv2YbBEI;D zVDW76_*MXmy$ju}4#Ddzhy)r)40YSG$-u%MU=hOS9WUzP1A8Ni{{OeKH7EqbHDU^$ zpS=>>JLn7KSQ7{YYo;7Ej?^LgPiH8{9?x4>*M8rp6{Ky5Fc9we&+$KJm#pm%8X31~ zST{u~QiHJqMLPn)LNxczs2_JdmuL%D4QL$EvPV1?9&}68Zpc%ce%B1k_gs;#&-0PT z<*i{yPI>=ZmjjSRiD0}rpzgS)iHXJk1t{OfMX5=S4bwX?{bJU!h92)NeIhU-KvT5P z*DE{drEy)kkW1fCQd%r#635dEcwT}@3xjDN3IgMHd)07SuV5M%55m4chZGgT$(f{@ zLOodG0oArrp9!mtQAm@~TGijM|BISRa)iyVVY-JU9@9DR8fOTvY;JD)!Fh;@p!(nb zH~nQndN;VJ-30Ae!rcYXw6=UKQZ(>8-SgoU9?;zWA#HERSr;ErW{@D z7MawUG6|5sgx2p~P4pUsdX75Uj=ZE-3*y{sV83?&4|%T)#*TnhV^K zkVD6a`FD#k6^$hPr_@c@r?nfsHI>0oQ(%}OmwgX~3Qk|YYa+4j|7T`+TKCucvg5C2 zCz=ATOS{Xwo+8@YlG~Ef3rT+x(yBFPAGoqoysB5f)cj&%rQkqn`!R@nx|7_tVmI%| zKk_OWd2ak3o9Z?)@+WnD=t+NRZ2jR%VP^lFvydUk#?Q@RzUPHh0f;GlW4_6-{W!Oi z>ywoRrukA{M%M{%-h_vI(*mR0GrPQSod<5NOqhpn+uc880~9+w6X}#}Y&nG`l8jyy zS$R8#N>VQcK<2FuPvB;ljag%}~w^C5&g29e>i51v!@mhdPfZk`mF5vS`PA(ofvUySgEaW65smw*2#%;g5Wp z$rfd}yQ6qJrIKLhCfu{ijp~eT`8(T6+fbGY^4HH4T{}$axM*GPj1tFx?+I4SjIE_# zq+iITor$$P2xDfeXRz!IyTNs-IvtcAPSSy!{RZB3dkW&Ck>i3ZcSm26dkueUOQexw zgJ13*yNu_tLtQ2of_@6NB!Or?3`mamUtJ51(0BMKcMPG$NHP0Mf<~ist~aNFgnldD zdvfM+*Ue> zQb~MW)q4h#Rk%J>t=P(GE9y;@OW}3b3NzgCW)t&xeML_bdg7@hAbW&Np76vMA-~Ag zR3Xz9_4gN6eZ{c>GE-P5O9|5U4k0N(>vU?2&6?iLtu0F;mWOy-c4llL!Jm$04{V_U zzhYZ;Baa#_(Ea|Z1;dDYpV&4T2>I^vH7X@dmZg>Rfzw(i^amhjOqPWm_Lj)1IEfM8@oPQ`Vkc!M3OL-vwEA zdN2+j&{igGyQgMby7#bsK$j?*)Gj$4jUeBjD3VnD*8tCn((w{2@EEq!1M023fq*r6 z804fgq<`h+p9#esIc`&ZCtUQMM`nEiT1NUCNM5N!%JLA71LCeNy z0k_+=15Uwum-^S(jsp?pov`mbu&vx1iN`ph2?4A=@+TyHEvQ2aQ#){6xsBeZ>rf57 zulPrNcS6h(`rqZf`Y&gQ%V^o|J69}};RU}7M{Prpfm!(%7HXkvE5TYcbU|4P(z{v! zM0CBFOFs3>y&%z}_chP1!7S8&d0j1R{mKw4Im-JhDSJ8i7(U~FWc6zN@NVG-bGO>n zwPTyDCQHO?j@hV^eUH{-x)fyJ2ZG~!ZC9)850-y9n4SZhYN^y-)_P0T@#b;wCMj^t ziBypa!o^Ls#^qVqeYn5to^nl#$}n~mwNiz`-nQ?4s)yt=q5WI}B%aQy+!t4h|5dE7 z@Nj@3fM;{fO0YRzf#Mxf;-!21zzz_Y@-xTe)cx12 z&IVb{T)I?29N&f>zJdH`7U~fnlqztqGlTYb) za4kg^7}JBrQ9XD1_&>aMYoBeZv2S@V@f3W!N79fUR!x7NZ7TN+Ca`mfl@;qj)jt1$ zQMoFmVgQDXR6Nd4_*1vFn@IzNo{!956kMRNY-eb>GMp`z_ z!s^wTQMXe@o;WGB+%c$;Y>w_i{t%zZIRi$!KK+bXK4V^yum?Kbcj?m59d)U(sA~0` zHSvCIU28V!1D(%Nt5p|;naI8#;`eUvb1j0iXjwlO73G?l9s0u70GD&+)vyj3`zh*0 z@Y97He^tP)kKblHOns|&EC*Slb{0cy`2(T83$>G5hG767$agx)e4;>%{cr zU_2U&zkAZ0a(33~aLzQ`u04|K~?e$Y7p5q94@Pkk*<*hc5nWyqvz{re;G z&vxEQY4RU;%@d{{Hvbpit^>ixT5~uqE3(MIS>;bo^;L^l&lPXhCM&r?L6-XOO3&DF zMVSKz7xH%wVmN#FZXsVCh``D#D?+Oo6I^oeN}&#n`A;qL!8$W zori^|qX5qf90I3NuZCuM<<|Ma#40jKM2%YQ1Ikag?eIJ8Y&>i?YO4Zqj=Ut7ci9~k z;#82+JqP}U4KEJ9_xSC+L2}3Uo|i(H%87d1O7LVfMjfihJ=%JT+!^=6easg4eYyur}Yiy?R9T zAslO*GbL&X_Ocw<2ne%H6LIg;t`(7cyFEcCm2lk18v01)Owp{u)4A;)%|ddQ`iy{F z&HUU^d*6`Oi`Ne7jYXoSx4tq@^IvqYl9+5n?d(5TT$6l0su5Nt6J2^SZ!ai|_*5_V zs?*@+Qo=NKtIm0J!CrBkRSrBSs3i6XqR;waVKgu>B&@w9N!^8y>)s3p-*0WUkF@-c z_`>WIF&k>~)}ZN)=!SE~Nh;s(u0nCnl6qc8)rY!S@o&#kr8Vp4G~K?Aak=J9f%uO~S z$?4 z(Z0F9qxR$c;7%&=dZOheb=vS6PVWa6rf15}+^%u<2%0aGYW8W@A{XaTY`g^v*9tUt mAM(peOh)K=bD@Lq0jt}){i8{W8O_1ZQ#HiM}1U@wY diff --git a/1ano/2semestre/lsd/pratica01/part4/db/EqCmpDemo.tmw_info b/1ano/2semestre/lsd/pratica01/part4/db/EqCmpDemo.tmw_info index 1bd50f7..6d8f47e 100644 --- a/1ano/2semestre/lsd/pratica01/part4/db/EqCmpDemo.tmw_info +++ b/1ano/2semestre/lsd/pratica01/part4/db/EqCmpDemo.tmw_info @@ -1,4 +1,7 @@ -start_full_compilation:s -start_assembler:s-start_full_compilation -start_timing_analyzer:s-start_full_compilation -start_eda_netlist_writer:s-start_full_compilation +start_full_compilation:s:00:00:25 +start_analysis_synthesis:s:00:00:09-start_full_compilation +start_analysis_elaboration:s-start_full_compilation +start_fitter:s:00:00:10-start_full_compilation +start_assembler:s:00:00:03-start_full_compilation +start_timing_analyzer:s:00:00:02-start_full_compilation +start_eda_netlist_writer:s:00:00:01-start_full_compilation diff --git a/1ano/2semestre/lsd/pratica01/part4/db/prev_cmp_EqCmpDemo.qmsg b/1ano/2semestre/lsd/pratica01/part4/db/prev_cmp_EqCmpDemo.qmsg new file mode 100644 index 0000000..0a466e3 --- /dev/null +++ b/1ano/2semestre/lsd/pratica01/part4/db/prev_cmp_EqCmpDemo.qmsg @@ -0,0 +1,4 @@ +{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Design Software" 0 -1 1678220103838 ""} +{ "Info" "IQEXE_START_BANNER_PRODUCT" "Create Symbol File Quartus Prime " "Running Quartus Prime Create Symbol File" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 20.1.1 Build 720 11/11/2020 SJ Lite Edition " "Version 20.1.1 Build 720 11/11/2020 SJ Lite Edition" { } { } 0 0 "%1!s!" 0 0 "Design Software" 0 -1 1678220103838 ""} { "Info" "IQEXE_START_BANNER_TIME" "Tue Mar 7 20:15:03 2023 " "Processing started: Tue Mar 7 20:15:03 2023" { } { } 0 0 "Processing started: %1!s!" 0 0 "Design Software" 0 -1 1678220103838 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Design Software" 0 -1 1678220103838 ""} +{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_map --read_settings_files=on --write_settings_files=off EqCmpDemo -c EqCmpDemo --generate_symbol=/home/tiagorg/repos/uaveiro-leci/1ano/2semestre/lsd/pratica01/part4/EqCmp8.vhd " "Command: quartus_map --read_settings_files=on --write_settings_files=off EqCmpDemo -c EqCmpDemo --generate_symbol=/home/tiagorg/repos/uaveiro-leci/1ano/2semestre/lsd/pratica01/part4/EqCmp8.vhd" { } { } 0 0 "Command: %1!s!" 0 0 "Design Software" 0 -1 1678220103838 ""} +{ "Info" "IQEXE_ERROR_COUNT" "Create Symbol File 0 s 0 s Quartus Prime " "Quartus Prime Create Symbol File was successful. 0 errors, 0 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "695 " "Peak virtual memory: 695 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Design Software" 0 -1 1678220104340 ""} { "Info" "IQEXE_END_BANNER_TIME" "Tue Mar 7 20:15:04 2023 " "Processing ended: Tue Mar 7 20:15:04 2023" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Design Software" 0 -1 1678220104340 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:01 " "Elapsed time: 00:00:01" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Design Software" 0 -1 1678220104340 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:00 " "Total CPU time (on all processors): 00:00:00" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Design Software" 0 -1 1678220104340 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Design Software" 0 -1 1678220104340 ""} diff --git a/1ano/2semestre/lsd/pratica01/part4/incremental_db/compiled_partitions/EqCmpDemo.root_partition.cmp.cdb b/1ano/2semestre/lsd/pratica01/part4/incremental_db/compiled_partitions/EqCmpDemo.root_partition.cmp.cdb index cb9282aa8bfe900cd36bab5defbe2e337d9acade..6fc966776164f96877f5072382b472e9a0592841 100644 GIT binary patch literal 3317 zcmeHH`#Teg8@E)th&swOEXp}(E-|^}l$=o*v5YNEa%po-jOH>)>Pzmq&aIH8+0a}v z#woTk_t@O&xXq?D(NwNq-_9@JKceS-pU>y>ywCf*KfKT9eUFNXiIEQic2G>rZzl|Q z818*11QQepJfo+hucHsVh{gDO0neV%1M2JR?&KN09SO4m+F?SyfeFf{7Hk}wiy9U=bapgN==P`1#}3$K zDAvWf_+^Ma-QinT*J=cv3=c<61YoP!=5V26?K(LiJ1ci3LSao;<9pE2Ea3(JC7UU9631fc*ZG0+Rp z*I*XJhVDHRgZ5<3w7i*O82PS5VoJl*%?4Da&@n@i8@`+Imd%fi#m^2Yd`EMhV!Tg* zd8(>5ANE4Fl`#1wTLPooOYdbIR7^t`zQjGsXNGPQ*wU4Jq{FO9t?Xg#_E_WFQqv1S zYDxjKLo?}N|H8aRWg^24vY`2SCE(PZML zD3EkeX7E;kJ*9U{l);`O`3t^yG%_e!oUdfbNNm3_#^-$9km1*!5tIX1ME4TdML1oj zZ^DNHO8m(P=XDyp2J*z`k%84gGz|Ilv|QI~{`Wm!y&Mfq1gOFpxxOTA9f zX-HaD&P7u>H|Rb-c2KY~j?FKH3L^H=64ZD+QJskf%;RpCy(OT@5J&ovkDthu~> zmt4+_#i&5A`Xa1cIc4R7iV+w3F*dB~UA?ne!MHfoom8Yy$GQfR=nxkm!8w%UXr*r4 zt)4PsW?aK$tt$Lgp@p`z5hD6qGbKa9ul|_8in!pk87rFXH>~&Z)WQ#8^6=XLC1-Y0 zH2iVN^OmMTp>SaMM!$;y*?_Da=i-~(V>FaA!A%@$vL3<#5jLFc15Tt#jULF^f*;Nx zk{Bg(>Jgfv6plF=RGD^2^^)A$k5UuS?W|{Bt)DZY@|hDHRPNsuptdAaq7$liL4Cg6 zMaKB~x4|r9(Iz-dOXAs((oE*lFnpr@W~h3B=?(kM-});sDy>05f0e6H?KVvrcF`fp zg*4-%YwLEFTWi5YTE&GCNH0ZrtA9FlzQ^Kr~{?TckUK%3`m8I*+s7> zH&m>or`luD^DeS-sl_VPp|hX%n>*_wH)qs#vDQ>bZ6P$n20i8rw}D5+U`TquC7e2g zgzND9$SA`VJPCK9a}hapopTgo&?~3ZZ6vC>s@u(`LZer|=eaiwSK5ctZ4Ng@h|_sNIS ziTvlC<&(zvc`j&u(60pLwNl1Dm-dYp&=Ry-^z!`pfRGXw7jtv>g3Ry2YP?Ka!V~a0 z+X#lPB$9^k(#v{gJwu%1fyRZ-V@mdy!Wb%?|RTuS31D z-wp1e*~|`w4vbZuUarqAe^w9dOkf%cEQN6MjK{Lw!g_p_Vn*Hk4gw<#Wb%%e zFDnn98nxOtYRC6%%9f;W(LP~rI(h}U1Xpzy#|o6KJXo zJ}|#{om~Rre1&V>3Uj@7nmb(QhOW`*$uTgi z;z`q1>GCk4=N+^8mI#BILuzqX_5=2_%kpL4NKkeM|6_B-?K|`SzMI&&x9@1LkMNoF z#MeBlzL@gKOP4>zw>5_Mgl~m>&dYQBU^V?9Ex#e0^3 zk>gtlpJPxF~HnyH=($dsCvKllW6+543lxkS< zo^E+3bDYsRCq1=%+e^|&Z2hcSZ<+qGq^(fhHbLcXg=!H544KfTmCI&65blXeYX_W8 zZQV$oAr0@2vh4YTK&U?CJN9oW81L=H3SAe?hK66*Q>xc5w zl=>d55lqTP=^=AGYaD1xn*}r-H$FcWYqZ%sUVJ3JokHJ|yjUTK%fW*92MQ6dt0301 z{@pA?TEBws3(?>k%MoLfdr1SuJzJyuTMx}Gnhb>pQ@4GNmX54oo&}UxlLhqst$pX{;E*Fz{u^|R;3;P zwn&!#7sR5)|HFTxQ06}#1DG#x3{!^gxyA03TL10Ho8 zL5LcPTy=?d6^i;ll<xj zYt6u22|&Jw{Yqp1vzG(#;0R`ZO#sFR%GL}LUMYDb((+~Lh?ch69IIsA{8#fBnx|Z4 zc9BbT1XOjEq8n=gk4YkRMdO{{hQv(NyN$*UAkmd?NQEKo^FZ0~UBskaIAtXIrm}+t zurq&u#IAP-dN!ZjJ&-K|CMk|R9S{%(MhE5{;;{`syaeB>g^HkW+LJQlo&g{6h!o;O zCZjwFt6^KX??+g9NXAC==!++6&ZkYHkqe9Ws6<^(`!(UtY8q>AEQl=8DIKl~DoQav z)$>%XA{;G^_c4fDiMxd{SFhUve~}SGOU8UdOIQ0qp03b-Wq-}#*FOBZi~sus=bf4n z^lsjyxDZkJU~V~zj>61nUW&)Mht^kTKoYb%yuH4QN=Kae5LtS}Gd9dIK?h;_pv1*Z zkj#`V)VMSUXSRi6P{ZXTpR$H`jOHq6^hxN~oJs_kA@(^swYYs*lQ5XdP{?PbXn9+D zm+Kvs)Aqsa_ho3O5NtIz0%+IastMAqiO3i@<%kzrDBkq>fSU-D3X#Zd8 z^sGlca{acq9UYHx4&JTh*8zN&mbisGS7Dd&(aPe|GMEKNvI2yIwV#a)!gUMAlNuXVQ;B4N-K>5mnFBhVm$?T;$4QCmO@!oxuWYvO%FTp><~|hWYU1$n_W8F zZcjt8-13+;1^COl??yTLwOn(HdICC^!J;U)ej<<)f^jowa~fw1H+VEy9Ld3q-)GUMcBb0l^zj8YiJ17 zKw5R7M~*O*x`#Gf{Y&E<8JVb(MjUX-oIbERFaZ;$$8T^j*B70$#1bZ_i&EgO)Vbd?`mPv8yfP<{MfbkdZg1bTaqNk z9N8`J62EKg60(_X**O2>0$7*woX(u6zr%-cZ*0I)xZKIJE0N+VDj{Ur#Zr>S!BeqE zjBtILC(@d6HGy%WhtLUy_XZ3FKa=5nV!~HW)j9NV**b?MaJ8nePQRfLx5|)q5jef# z4wtr_Eoa9htqN@uQ0)6p*q0xfIiARv=fKD3(5sJYqw<$a=Kfr#t7swKx7fnb!waE9 zMkHoqTAW)GG8007*jw-iE3eli3asey^v?23KPWgZJeSaclf3LSSEt_kh|n=w@c?1! zg`>=Yf(NX}>zfsiH4wAO=xgasZoMX)c+vHU;Gf%~^XJbP0QP}B5@xmUR)tOoU(L2D z})XuC)n|u3V0$;T0=e9WgdfCG%=cNEr%<@8om%tkF@K1KeRH(?SlJMb@&&NU!jIM=Fh3XHP-V6S*Gezwgk#J` zc+g^~p6twYCmlvfZp_c}?fHFG`P0SFh8==K@pT*H*h4;0=+;GmYymg}QKa%JK|?$UKX8ACCV(cz(T-6a`&ftvjtl^D{&5-0@9(V`I*a#IBo*qlzl ziR|{xyHnL4#Ie?c8aP~?Y|z-NMdbvo*c9Y?Uv`$uLqnNM&iZnNi2e{Ydr32Q2QS&e z9HqCZ8#t5lQF_G8?73L*mR7Iosc~bAu^WazTE|P}(>iPDTQX-z{FFx+Fi*M|@w^s# zZW=YvYd{-5pi?PiytE!MHc8HUUplxoD%HMQAvF8DB+BT+bYudK$el_2H>;C_G_pP@ocf_t8 zCnGXWMP{Ce%t8YJ0jYuo1*RY%Hoy-XFxN11cCm7>Ct_h@U}j(@5^=M#H6`L?VIpE? zW(005OhBqCLnLSAYDOe(YUK*FePV(5PZi*9|H(j@;r}cDm%{k`7xx4`*N4s0U%t-~ zQ%6@8MjD9VpjmZ2oHD%?n#lIKlA}o1yyBZHay7l3u~3<3TO^|S_LJz_PZFyCYynXP zKn_%Z>VD5s`iGXqmfvHyPffYT#z!Xa(Yj0bmF`<**W=##U2Ey$;v&Dji2g>u@#qWR z*q@qkzvt08&ZqG!XXz%VZ2##wS35yeHYHxz;J2HkCc?Jn_3ms9JEcQG9M?Ru-{V}7k{PlW*opTGQ2 zXvc^3#y+}>=_K2dpDX#yE~e`l-40ZCi>A08-=7n<{M^YE7=m;>44;#8)LVDQb^)|Y z0wCRNlD>f|Pv4&90;fB+RYRuBo6IH=o4CprgLQb7W)bKha;z9oFF5Sl6d9-u?B5g_?OrO)Gt(85_SPJBC$9wjkNu-0b?@IiYsO7( zPGqv$?r%Ql-~2vb4Objel$SgjY<;_#Z?w0rMtK%e4{yf(4&CoM21bk9w%+5oTy)Pp z0P0nKx%B1K4*>0q42t3P=?{j7VOdJG8lBF8wv=v@U+XtR`Iqxx0xX0hf6)56D1Q`x z`HnL?6o2h0p$O9Mu(E6Se`xLsO0{NbJf*e!P;L!*Z)IviWJ-g4k4r*k{5jpVoy6h9_?DsoijDFj}|x@3GRRyv_!o zxly7iaS9^l_OD1cx=f%he8vc$!SOOz>Nat|IjEkyNT|r*vU&0^`$q%XCNbo^w^ne> z!NJQ}&K`$`RbuRGqesFx=Fp7EKTdj>4%L3KQ6@z!8*DLITbyT1|M z&uDIwqS{La2!1Vp3B1tPDgU5`@+y_jcEmr}^;sMd?>1Mzs4? zoR+3s)@{tI>I7fMb+ZZFU?CKRHs?=YxXI{=tKiVEDun#*fgNv+uF9QryP1ta#xz7Z z77fSHl!|qWSWpz&72^gIq5+PT3Z7g!x>t~-TjWYjyUgcf0g?VwS<$2mSy9Ap3Tb@N zh?-I6?Lha=JB@i<+$$r{*;c?y@gZhRqz>Ntpc4qB(3zQ3`nrB?HS@k_jB>+|1&&9! z$?@#+i!s=gZ0*Z@&xPKQ;lQJeuUEZ;mpIwGWXRWdV~lHkt(EMtEB&8M3%`H)ZZn7M zCIm~raP4O$#@H#K;&==`)6ovyuqG!fWM7&q0BH&I-j<>NJNE6-e8BcBYW{iB2GVJ>^`x-gSmGcpF(vkQ0 zak;6vG1`rLRbO_pF?gi@l?)$b%oftrLJP?WQmeV)!G+7O1?4JD~| zVbdRe&E6_FaocgR&IudsKFPPoAvdx!Fw;6QDN11Z3E+>znKE!^?^$>!IeYYsW3+K{ zdy1m9ZJ8eW85Uxe)VNnGvZm5DjRS2;=a@26yK6+a*jYO8$Mf~7G2=_?=Bejcurf1E z&kgfPu~s1mp75@lty5odN%lS$750rBL*t5h&z}!Nj)Bm;Su^a5#VOD5PJh?@;wa+^ zC46Dmo{r%>g68Uk6)9<;`eutPa;{&i@9c$p5@wO$ZWOs{t|$n z_^PfiRe?@!9H#<7C=ZlYBzM?qm%oXbWu_1A6-&j*-|4ks+LWuYS1npypTD0qFU1(+ zCIoqYvtK%J>#A`r7~@S^-K7K0hfci+s~X0U7C(%0Bi(YKb#h=EC*52wG5x9bM}I@} z5o8?k!e$;c1auYN8dy%CQtYn`Z8+yX;C$o$N{nyTbs`dp=cNs>JPUw{W9yKmab z?0|qhpwFH3r>^W6Q{MG`>7?AfPGc_TL06e7Qs_dVDHReZ9`nWvdv;2w z*j8rH745)t|HVLem@w70P=UfV0{c;uLZOlJ#BH` zk-{<#xNEK}8y{}A=(&=!(=?btWaGH@kus>S<9Sj*XnNo{$;T7_UKhFY<9zAp}>@x?qdZ9 z7MZPY)7J2?Z|nVy-){BCo*CiYjr6mT2;pw$N(isNH{_~>pz$kc<#-6zewOdrXu$mY z=tryESV5Bjw&9Kk9cz^Q0dn#;{l*Qmhec8>Bd7cp4YHi z2iRZ;S)m*aBcOKea8~?hFRs)tW zo?|{Z;NqUQWfRfdtJg(Rp9@9HG9>aztk*$v#|$&2KUR&{UL&&tGehwZ%Hy z=hi`!i(r`m$U^`mhX$-|8OTzwVVO^!>Dg`Y;Er#d(gflR|6I^mg*ABPy5fBMSm>bo zodRlSvxw#cS^Dq~0h)Lr*fG*D?DqYifqQ*pn#ZT~bW`xt_GPQMQHyAOB7_vpB2tzB zttk&tVa#!sU{nGSU5}qWR^(OY_?9#WdDH`pjU;R!<;<6G1Scdw3ImS;gO%?)@TuGZbr72*eWs!>l!wlF} zLd)LKr>|08G6VYyO$yLVqa_fn3yRrlRb>&-LPVlS2k(-h?ao#B6c@~H`RFk=>xkoZ z#cghU4@$jPym(B-UXQD_#@=_F_Y7%#P|;fk??j_Q=fGrufC-)BGZPt4oCAABl7T%I zrlQaL%4-bV3z}muwLBTQV9Wk%~%Zppx_r*bj1`kOCBRfP$m+ z4apukCeu{jqjSPdVsuQZo@wX)KM9+$ZNzg_d`Omo#Q<0|hlb54pW~U(AiRPKFt5d9 z(@`}kBQFkQCdjG%E#udp-PY$AFw*7~g~bva7<{RsXq9K&YO?)v2PsJlbT}=W9~^YJ zjNaYtkn>A zdiFe9-MS&Waw>!=`cH{mzMWb>M$bS5(Q-vyx_;5l3)hKh5qi^KTGEed$~>MGWYCRg zzfBeQ6vA)Gq3;!E9 zBgLnRYi`PYA>=Uv5b?`2Gj&l7ml@VcWPF&T-PzHMad=fF1X~Pl7 z@Gc^iWmWU}=p)u?PyLNp%_Pl-%H@L#8uD>vRT+57y6oHq&2b6+xQ8K_O1ftK>s)Y6 ze5Po{6>XMDri6)d*-hM0uM`%_uuZK6Df?yr4Jc=^{wy?YA?3aApm8pF$>A;yW=>um z_MIR)?M7OhuBeU#jssNNmEK*0Dl1&XSyW+Y^%Rh3)j$9!ykL@=)iW0KJ_cdrVYn2R z$fl)l1@j`zPJSdbc@#5l^20UTIir!%&!xFp;ij_E8wcJ{-J+pjKSn2Vg-6fk&MA*M^Z@Us-poMT@c}2QJ6?n)CNLDMV_GfiMx;kGC+Y2 zC^Q0voy1+>5ISzGqt8rh*7+xi=$)IW8l^C(uszSgGN@_K%>lS zrdAL#Q_Tu&FW~}Jmv;iQ&e(-eV;j6#U|rp&QV?<|<^ttMUz#LC5D8wYZveW^^1q?WSjwKU zm|>U(d!aj_%bv!bJM0En2LGRwDvQ23O9OlLoO>Q@9+9UfwsSxN!-%Qu*%r|^E{a<{KV7eu`JJ}K0@FYh*bK1re`cjHjGAUU7o!ZFhY@`?aa8{^Q^h<= z6sXYy8jUb?{zVSu1mqgni5f+i5DY-n9H3+CtoMtwRD+LtgMd zGY190b+fv*=XgD=gM!%3WF%E5H?TU&g6l&uw9PDFb*L(yo>i8{0Un?ZMknXWr9Q4& zKe}j$PPu%bp#dI91A^pXo@GCE{M_ZO1LJAR z7Ig>5L8Xz<&Ctj^ST+z6?`1&gx2;mV@qyyD2LU< z-W(suGrvExib=u|DhH@{D15Yk#f_l~sn?r4ie}lUfb-d^fUn!C@OPKYlT)y7Lu?g6 zWgTUzO`mkr_JcN@l`IBgt0&>VW$+~0!Rb|G$mJyO5*B+YeIf9f zsT_32SOvVGzsUP1X~*D6Fy_n1bKW38!jHk)HG1B|*fkoQ82S2}Q9A}GXZs+iJ@ET_ zU<6>q<^R{FO*E?#_t4*OecJTWO>0(`%^f;f%x}u{ad}W;5rEL2I7mbk>_h@`)-Ga@ z7}y9A2=f3+uFA=@aE%*q;d21ohw1vQ9rt+L^ zM|6e%-49gGp#)-N)}e&;Ku?+F-Vx@wMqDIiba!wOz)xHxRdhW?rCAJU2Lu>@*b|<@u?RQ-1KQm$C+vlO;b`iC3*av<}j9g2rfc;cZ z0rTdRz6rs~b{C~|M~~{a)9+doY^Rqlr*w2OnYt!3kMQ5Fkm1zki!R+y!$m`Ia)`p* z-a}*Tou$qhUTYkbzX79hiNaJ$P~}r3pPDEw)y<1XGSW7Af#kEJp$6Tdqy|Ndqa90} znD_K;f%lV#*$hwVJYW9g&^={em3xrq*z#4GsDT(LjsKyy+O=g)z!EI6qQ0B^1pczx?H-~x?}+J#`Fib9=90}7)5 zgos^F93)x1-=a{-V+y@(HgmzkNKo=gz{@Y0rHT+05OhE=0l@|Ymt`U)LENt)FzGIE z{1fQ$y5F0WIB=E6ER*$Wp68&&LQ=(wH++NgTgJ4kc<*6a6Qj~wQ;4Q;~a}g8HLAjHmq++pgR3jbG;tEM0|3Dv%3qE`a(H$k4` zlFu!x@8Wb;BXlFrUJW_xK^Y5Ux;BRIMA_YXi?uD>16JgAWDRRvO z%A(Bkb%vJvHWcfsE=-^H7B(|#+^Mt3(?a^hsiDuP9nAG8& zLtClk6VzPWbDAWY(BoX*gLdlz z-#4T55XM9YeRmMF(`s7M2B$9IOFqO5n~>Lt%p8u z4ndoS{$G5fu8{;rQ%M1-rYR3oRtb!7)Y+-?3anLx*cXceWuh$5v=oT1cvF$*6Qa`i zL6KRO!au+ET@W*J0cXsM0s4`_jXZ{*Y6Ca;gZ_i`^aQ=)CG~$;e5K)^x|yHW?SG$+0cs?Dao~mL0@MgPzW|8M~uvMn#%&p2c^SaGY>)Q6ip? z#5h)eEwFpKG(7)#h_$IP@Hkjp(lbIZlTm<86%uynG?jjks6>O{agSy-Wc5w@H5qPmNFlP9oaM|Zl&rHw z`z!B#NLHfSKps(-*iM5PZ?UFKQst&}p}&lakS0KpKPIvv&7_Af<$YTj3!4W;7^BA< zO+r%e%rF&puWz7YJ0*!QcBj^-gX`S6Cs+P#U({;qrU-vXUk}pO&R6+#3S8qy4p=je z0HltE+rIF3jPQ5U>r5GH=W;xWr8-t5WZt>P=g3;7`TC)gUJIks ztbeSa!|`=ZhHQtI(&`9{b{DX!kaSHNMQmedVd~h;<%sKt$o5xoNCOIJ>D)~h;yzAQ zu`r?QuE&uMijCPSi$=%UhYW_%B!)H{Rr%QWE#}y0I3YP)~KG*hD2 zYwgx)z4mN!s&H{hoZx~!TtMIuac1Fn?G8P*rb;(-c!qt`UGdkT>6VVf<;|vLh`( zwIcs{{EJcDpzjacSW3!q%sGiA|2BSD%9br{S*w7~RFfD#@xP9|vob+8x2;oPY%GtTCx~A@f zrX02z&mgpRQmTM{T5t!URMgoPgH*h#?HUXry;G-6MU1c17if3(JOETMcpYZ!R8)8U zFugcveQWN?;fqWyWhRzyHy0Sm%aWTnI{2Z6)#iHX;s8{4qvatGtG-631&hk-x09;bfGX?kI5Wd{b6+ggAH)T0E?_m7E=#{fJ;@j~N z-g6vbMp_%#7)0cSzyeQ$PIE72ckL5zaVnR;^< ztqd*W#pUEJss#2)TgFWYR~^0D*%b_JyQkx+H65mQEYS@)6T1^&VpVSM)6H>f1Gd`V zeGM4}>e;?jWwGTCZ{Cks&e;hlz+v3=en@q& zOjj2VnA4jX)IVC-NoH!l9lI|~kL3=eza6;ikr?_9yS?aFj~5RNFceqEGTMz4M~<$> zaji?pb?pGAM=wb_vM^i;XCJ&qO&DVJo}Ba-ie6HQ zjxoL=rE~UkUX<@cL?c9|O5_hEWt{?|s6PM|Z;hQO+USaEEnXYb18d(zroS^WTNpu_ zoWTeRM{NDKxYvoW$wj%8eUBftAADMu4RqA*d`k!U@!=YkL-Bb^@|UjtjLu(##%3&N z^?JLHq}Nw}YT=i^K)wjT&JIzYJ_tVf(H=t?63$-VQQQS^Tn|v{dIAIH#c~k(UQLWP z^hhp`u4@SXS!6!6;k)x0tW5Q-o;Suswtcqbd+w^??P~YBC zMtUV(xEU?5;{!WG411_Fi`h8(iGOTyX0i675Q ztC*B0=QQAskbL4{^iGt@{T#Ok-^Pd8J$^ITV&sGB`^7_N(SOV8cs>moiiX94ty zVwf@2`E7W>eS&e*CfTte~ayzTR>2_Xvjn))kZ#%*2k1p|kW|`yb@Dg_so$owEhj+=)J)F$! z@?N}Mm$HBCOc@IElAlClT)vU8nx7a+!|wE2wl1-H$vSK!ZTO?o58$piC+{yjNyihh@&*~~U!rPI~vba}c+i<3HyozCCk zwYff&!0jS|Q)#XuNjl*fSK{?D0{B~T#E`pD+wNt05Y;A6FJGa9bF_bWXwF%MGkS2W zEToWhulStXIhnXQ^}f*F)5}lmY0}l%Mo|86t4w<1H6y(+E2dg}Dh zYqIU|_qZmbgVtsU7i&I#=Yzx+(Z>SBkNMmN--C7aaor3FoD@zr#@q($&GWXll=bzn zg!RrgT8&ACKIzlFD%Lcn{p8Q}IF!-; zrM}Ej{_lnhQG}g4veHH=Ovbi`4es~#`_a$gYo-&FA#D)?kZ}WHDYwU!bs|4A#}n7i z>?~cO{c90ota8_yPj?T_7`1uZ-<^~5%&)6`(WJ>CcJhl7+d~DYh1+3c%_!Gt3ICLd z?Q8}_1lL{3yTFddYJ0k1bSq+#!lGZlLEIC)LUQ)*?nC%W()jNOcOB>5Abp-g;sXP+DjQL82bUBKpl%$QLm^hIh|i#CH804iua$qh5kI>2#4N{7^D z&k*qAhOt+j2X^V#ooFvVXv$VNdH1)})7JYZo_k&4qr13|+Bf^uRU%2wuhfGV`=bF~ zW>N8(p*PPfG1RZSxn~HRrGSRbfaid9;LuHal6(cp{VST=O__+e&_Sk;pkZaWt;=aL z6xIXgd+j~b1()K0^g7*%`wV%m$SGAdk@a*cW3 zZqbN}V7cOeXlh{h<5>l9*wlHWnGb9@c#Trm)z-6J{#9Y~i!m4amu%aIRiA|siF8?z ziRnAuuwSci(V0=?@cdd_p{z}TYoA;#W8fFuD+R$vDBtV2=RLiUWWfDnub_>ympv^L zR?ZrfYl~A12Az}GB4c0xNf$y&e3)XjnSp4(UKWbg*ktD73X6q3Lu%&6%)$x?Ed5-u!-v!=4f07xQWTklD+Ub**TQSg{w^)l|qg`h; z`_)#+I>^`TC4$ zLc{ak?6{(j#Tv9?Qrvv~xzIwEoJc$RHw#b0s)8VDe0i-HXoQ*;t?yLv{xQFwdg@jJ zp6Wr3=#Tbl!JmpLD6IIWNj_2Cx6_JCTh_C$0F3wUPj2o9&^&ulm&!_~IR)cmBPP4$ z5B@X~q#US#@<6xrsgUee!OLIMU^E0tZq5Yqt#XV;>Haj)8&I~2+HLg$bjSK#o>AuV z46m$4l+70}e}W8Xu{kuZb=jS{&j$?*r z4Iy1)+E4A0KKE6Od2iQ^>3AI*gJQf#cDPSGb-5%F!QJ+fB z=*%pdSlMLznH!jq8dOqzI4%fdkX04OB%R^@z;P~Oz2P)ka4TLy0^^8rYFhQx;x7R8JA7*^77z7^&e6O^g_nNoI zx?cC1H^()HvxfbJ4tukPXQjXgfg1Xm2G9%71V^O){nhR5ygwCgKS>=nRVAws+b=B) zN@&*v5iOD=ZV8d0mtf4uAn4R^P7sGZ}ThoRey zv223aKEr1A5@TeLvM3%meB7%|>9V&#mK~?Muu8sDmryKCf>OM5$8$&Xawf~dcz2ID zJyNlapC)z6X@>A&ujI^3vAm<@?RHOm2hi<;5%n1+$LHdVkqg*^+wa$5yf45oCY8N% z#DAjZ75ch6w#MYba$;_O{!NEG_>oJwBCmW7h4D7p`-qlCduHQ#e{^%4>3Q#Qcf1A8 z^S;P_Xg(51SbM^l@J8?YWVWc-D;rC`2Ms1HGvg{6^2LSKU0$m*kp!!=Ua6vJ<#r!UP)`; zKSAyrhzi?EUN0|SLyO;q`~obdcVEe~!C}u_;Nc(iFZ?zhUZ$LV_8)2mgFt;}fG1o z5B&{f{m~1~KG+r&u~!Q8y&NIt+&93_LUC(b=tHROZv8XpJ+K=W7MIVrno>{;ZweE3 z@LlM(s;8%+5E>TIy*xxA4X(ue-RV3`wh3GVz!d5_VU%CdQp?53*YU^hWEe>nc69<|FTp_<*<@yyzGj5DN@LOm;HWE3MeTW8WfN}r) z29!t8pG7CA8~Z+);8=oS-ap$JT*1dO&I-0Rp`xAm}SfS232Jt~7SKrC^rUEgHa zGdOLYgX-RdW`v8hlpuh`s4c>H^uTl+SpB<)9n;b~Tj$~}Zv+^g%#-c4Pyt(yQ zpzOl&8g~zlA0cLYB*4k4++)8La>6!_)rEq2&nAN-D80(b+|gf#Aht$$6~w%GI6Yl> z;yEKU-2M|7R+JiJ>3ZM9ihkL6#lepMw>BsSPqr8su#H&hE@@R zN&;8Q>OR|TY1!zSkZY3V4M zyqUc*W!c@H0x(T?YF3bzEb7X38KAV4&wXAbSwaMFqH4IY%T6KahHQ#Zw7_A~Xi3{` zl!Ku0IINvsSoQpa8(PCYvVlcY_a@XCSF4SBYK+fr5OdV5))`RARMm@G9)*>OsHFVr z!vA1KA{VBZs8RG?OT+j;dUcF1{`Z~|?eeg;7mL;(;v*qs;Cs?|9!H(SYH?WRx2G*17Se>1cY`43;u8%y#D|+K0n>)SSc$%lv=bI)h-JVg8gg%BXoFh zQM$HtuG9#AV{`d0tKP)uq$fWn>OFl_?2*6--wpRiBGecIl{;mgBS2UW{*nfhZh6>t zu2(ryriJ!Iyq1tZdd_a?%$~Si950>1iuql!9d3sH@B*_|G+CBQMotCuJ#`AiteaJa zW`2Vx)?(#22G&;~jC-f(nWDKbO6u4It+#L5gKamBRhmWU7+JP^V0&)6qT}cF7VxAA|CzKNO-HOM9Ay)mc%&yJXpjU+_-4go=Y6!VNpO z<4AF#Ng)JsZ&{f)!o?eg`4{6JDdWYeuWFEeZz^*y&F~UsioUC&05)jaM!Ujzqzm#f zN>TLH<{gP&%`nO2Kz1X}zREN3`xz2_w;5TSeYc(|l_s3AYNk1R0m7<6!c04~`9dws zS`j*|;uel3SMQtDxp$&O@uWXAg!*Hskv99DB5QKnZ)d54mlL(NUso;i9U4g%_#|VC zm_clh*O9z1(X!GtS?vjQQmsY1CS2sU9cHCC#@HU2x`gBQkaFQJ&Fo=gjw9?}{ zf^m2#JG(C%n{gAE*s^Lll5$q|mUJ3+^DwFzYkE&qC%;SBTABwaPZQe|M*z-#p?`YPh*_uqom91LJuRB&2;^?J{^_2wL27pYuc%#JE zxx5^I?TW_E&)g-weDcDhL*3EPUS`<6qn`LgWll3~Ze5{85pom<*zD0`nX{ga%v<}q z^0cR0hT?IWk}F_4y3^w{mAQ5@E@xia z>O(gFN(hzaaOJEF`EyUok3<1ue9%Q!{7<0|!o3}*FL^5d)d?pQKqEEKTRzoQ&FNB~ z_c}3Y2e$V=RnejVq+AComx|qAFsEm5X7=)UDx01``4d$U!DG0YK!INmkZfoPwAfKW zpJil8_G@xP+|G6r&z|i{qe!nak{UY#1mrEnj+k+_xMmPw{A$%Sz+pz%zpVjag) zdOFcHXpgI};v{_n>9?;Hl18PEpgM5|bGrh=-6>Blt-CGQcOWVNX}f-xo&?^#XFWe0 zI>ZENR_U~fRbG90Q2Vp=beB8A|I9^QZ7sFQw-D!W8-kw#*Uxr(CE)Znv1CsVrUc1b zd46^yP2@OKLS3{jkSTN~IwMYC5KThU4b+ASSS(D)i-A(Y)LtKlIBW!ykBPgey@qXa zPUM|w4^3d2?^BV{{0Wm+IG`maN}K4_0M5^6CA6Y|!Nw5XKGr#B-OIUjgFRvcu3H7= zD=L&5#9_Cst^r7Ihwkfy9GtF8)cnQ?-$H#*i13T@i-e2m&6gsOewF}OhMzx{22-7ao zNl;b~YmG-x!$6g*8k}^Y0lv;qCXeeGya>_)OJfP{(1^3`E^OBhw+R8|TET*#uVi4e zS81)YHV--4o4W!dmtaYoyG)7m{ESiZYesjWOo43^P7RMMl>zJW{J{V&kvSI1tl&kb z=+J)-IEi>!kp@v&& zgVfy)c_(|hqv+FxQz+F;+@+VFbN=z=ldHuj{{%y0J6Q;@cU<#4OxGP#`0mmIqjFF zxzk417UD@em6TJg_cCJqwE`-Kqb5lKbIajoDly%~*Ld93bBtp7qc;lC%#FN8tAK#M z8S@rOyY^GxxtT`}%D|RIFYKTfgvG4wU#QWb?XO^OI#T}mr`Xprh;cqbEm6FM^?(?d zU+xz%ilxbaW2M41KILS%n=>l-7#GHJ<*A34oQu~t%W%3gRmaX{HuHZArmC20-h}GB z+)r~5WjRO0N$)E0g>-f{vvy|uq11)D; z)_oW77@Qdwyf$-H2*StsaUqiK{hn(wj_^91J&sY{g=Nz9+hp6z-;Dne%u~LtoPTyz z48HGF#pf04+E2QGG5O2F7iOx8u?yIvU=a7mb#g!CYf859Sr1(RoyRe)E40ek`PfGF z-TQoU{WPw#6g1gy74V|a*Y@dYkbD}Mc`h}3H~gI=;16p{3qqsH~q$+G#9YjX_w@_b#CjZyz8Z`qvJxt?Qgq zEp1~UVQtf2Hq?(Ej{~$hOe#e`yxZZhc1B;TJuk@5_!pdkzoeT9E^+!+Vl`e*X?vag zuZ4@brWZst&gMBQeRc>g5w|us`-DrmFDW;Zv#bfmlcKA<_HG(VMk8=Za@*Zv`xXL? z_wO7gg-}jQBXJGItB8{244hLb$9JKEs`~a>^s_G?u!{#ne^K}&K4|}uJOX*V!uEA8 z+=ZKKP5>^hh4r)OW#4lL#*{cT4zfnqx?mX-=UmEZBCmqmOG3%*n<8L5wz0Uq_#Jcc zKw>p9E93Hu^M5l!eqlGigcNq31p3uq_QVR-8x#(CFFIqwRna{vR61iNuzpS{#T8(! z@`ziMN;@k;s(oJBdy#5f9COJ`ZS0Q*K(>(V*kQk@kl(kir3*MRxsrD?F_AY*ThH_Z zVAwxG>1rU+8ar#g_?6W%u6Fj}^H3=i_MKbmmW5u)hW?$^m<#pbK|hqt#ONkOkBj9{ z_ax`{>=8sa56AV=*aOw^U(#b5A}co8t8^&_#>ecggdI@ zZ<^9fAhd+GUlYiM{@sztx$%j>u-^&S%@mjpp!#QO0IQhackW0U&&?kL)6gHwcX#aw z+=cU&Z;SL_)iAGW0W|*%m0<-{V5fJ&anrLr(f-rO)JZb6ebY!#Q<;M%>`h0;9uxPH2De5@q? ze)ZGo87-Nn->etFc4$5sc7I~|GTT6z0Mf@-l>nhshiLh&a^ED0b-k$?Kyq zM5~cQo&C01Q3j{!h7p(GaJ`3IhlHb{sUP@niuEHdqNTDPb&Aa+ZzRKb~)xf`fuG$BHa#n-so<#9Ei6k2F3AT8S@Mq z*3EzK+a^WgyNlFnPh8eQ1*!}iPh4=r1TJzD<#X3qlHDq{?B|a-;K6ym@HzYp*S62p zquSl+AwFDdz-~_3?iQBs8*N?`qWL(zNB9e(f$rTVZgF8~9&s_TsEhkx02a8m-v^ei zkz7UN8P2+&tv_k)zjQ?b{~twv`)(jU`lP+z)&;}8BbYn3_r^W6qi2>-tzq^{vn%Qs zVYmxA`_+~Muzgrjo-c3?Kl=`)rP8TjAn9i+dkD9ET~9HVI%BLmamfrL-muX(nty77 z?=~ndy6Zu^SFa)2OWLR?yVf&LIFe8dpZW;J@Up+ATe?*Qy40jpcU*9TWEwtukjIsw z{+}n8E9e&bZ;Ye)S~Rtb4swkXjvi_JR-eZIbD)zd@oFP?)9M%}1b&bsr9Qu%xHXmk z8d}XCJ<$LZ4bA3{nqkfO!5dafrtPqxnm$?W{_EfjZU4D1xZSFCpybL1_q{7BDpyum zaHAq-xGgHNXM$upHV5J21K7y=c95Yg_aaUlZ2zNsULnB9ZUbb7f@3` zu+cV0GJU0<{N6zK&wJ<>W#R(OnhDc0Ez}PcLimINyIteDr>89xRfX-F%bphckg2FY zWgo<^Pe^u84pj6!^p8%KN(bW`W{TbFXtif5);D-mrepG+EMK*e@9PPACTeHQ_?a9) zeu>W&U+#75ph;_j`ja$PaNhoIlhGXf@}3!dLdj=1GaHf7>Dt-BQRQ*(%qw!~3w4|3 zC^o_Mq3+UJEVlv9N5TxGX~S)qfSMP172YkLDo=Az>f8p6NRExnFvq2gPV8$Aa0bJ1 zuF@{s)oM*DWda`Jg#Y%_su;lX?prWc6}$nst|kSTjZf2ah%Z6t{cxeYTdgX% zfK+pfd^6A43m|w?UWlwBB1f?k8?B(>2%_7RpH-)E_pE7_3oC(Kb8qlV(g*iC>4z=1 zx0TIzQ%~rMI340Z)zO4pi3Uoz#BQUp7nwi?PVB~e??T9!-(FW0yrll;O$C6v#%8IH z-pyFpUU%_xfxLyxKEHiEfuJqn?Ldd~b2#11AM=EGo>mBR*i&h1?0q~Q_F;0oM((?d z%{mEvCp-B>mGeHwqGoYOn_FBb%kd($v3v1+=u<{AQ^%4Op@Zyqmx>LM?7iiZ5Wffe zq$7ef_m8~(Ga;>eT%_TN?`F51Q)j^Y+{#GB&t_G9|H|_3*PKfF_GJmBftylpZ3)d% zscV^K41zOtVOv1Zg*y#lqR`~0fmJ7c5C)E>CzZJ|V$O`Y zI{dUmjlpMP)z#(j$tSj|Xf@VbV;X&imjDZcslN)gkhmnX(lG@9mUv7bJ5{2?qMv-` zAB87`K{2ED0Jx`{R!U*tKk7~Fux z1=w@Fatam)@Fo)qFx0PcQsBPW#x3ZVxjK;i4dqDFw7rmdL?!DW!cEuzpi1y}c%uYP zDK_4sZ!Xo__n~zK#a%n1o&1&B_gi$H0vRO8_c8HyvWLcDjL0{t^>0oZUC)K2q+3q5 zmR{s^fW1?j+o#kM%uIQF$kB#ROQh)e=Gt8RJ;@h%)*6AAJ@1!BH2+IHw##Jh}`&62fTr4K*dB9n+hxD-L)gW{wVf7k5CLX z$@I^CPo+69k@S=4&O2}@Pmafbp`LD;OtOD-2AXUuR#cSd*Qfzph6BK5-Yb6Zb|dFK zNd`n&xBAhRmFj}I1K$w8sZo!(qfJ8j@($(dU}!3LTIQq~FsVp{NlirQsS@F9CZWFJ zVApsx2NvU;+}yR$4E$}9iofmFC!VSnqtSX)w|qODVX@?~WexgYjlFeLTfy7!O9H`y z1}hXVULd77MM7~X?oKI%6nB>dEl|A0+Co}d+@-jCu~6KLyB8~V(%-w@v+ld@S?6B< z$eQfgGkaz~^Zh)N+0Sf#uJ0E{2IF<5$kLwYt{$6;7FJ#Jb8*UH>6NZbIX5+Xs+|2= z!hZ9KU&a4T;>N`&yO%}%()dO#_fPgE(V7``Rh6?lnI|&MxOE$}Qzon4Nv`j2KleSVm9ne;}A5T1|%JFf{ z-)srCRjzs#;XU!=Za&f0hd^N>kzVNAt7kY=QwkGzw;hS+XL!a{_9iBvC8(^)8J@XQ zMU||HvDZQC*Pq8SG~eHYc}Al0Pncy!*e9OdW%68y9KZRMz2_rT;1MZuyVd(bpedV3 z%`baGug-K~(&e%AmFzL6$>pNpjYyE)mbhEs1m~xRog)s{RV$Z2@BG{X^`q#3mrplR zclHMCHDaLyx0$8x&??z&(}M@Gj7b$XNtxN)TU$xb--qz7x>H0mP8{?%P;^0e=B{6t zkgU|cY1tDAy^_rKb!jKn+c9~m7;@%YJfGG(#-L&7mhKs(srGXLa}49ER9 z0soj*+#fS0q_n{{I;Z{A{;7WAx}~PwdGh6_m0dg3^5tiT>N3Ki=2|~YA5HtWurPI| zCRt2Tw@;3dN8tZp+@O3k%}!O!4mKrO8tk{C<@;N!7lIwcMbd#6)48q_@}^DnTcVp- zg?@SjNF+?hIQyg;j8!6Ue3kqGB{#BnPyIBKW(W5_5zUBA#;syUm1Tv$_VQ8emdX6y z>|jYAl|ytZs2=@%M1ptwJ;@C71VgTpu&eCa*~1LJlaGb1*e@lw8F%_RJDIPtx?4iu z`%y=w@NTiBWS`tN_j!J02zsq-D^V6weoBg2MYv+hIFU+*Y-HUg73|35hRNa_fU4LJ?>-`$_fhvrzv4>hb!yeO88x@v zcX6+p4?}XpU+qQ?y2^Sn-K%c97b!*^P~H=FOv7GH7;SAk1d+c87Q4dfFS{qlZ!MQB zD|(gzi7%n6RO8v;HL_6ywH3)4SY1YZPK+%00(RrZ->c!PQHSD9(UzJ|QfL_Yh$vlZjRl-MIDyUn83 z9cHcqG+N-r_xI#19s6T59SogLJTz7n9rOCeJfRpun9zbyYK2rrW*j=X+ok73*(q50q1Qe4l;P?BG}udUKU>S*4{S@sNb(;^O=*F;y&~cTwaX;76|| z73LxLwScQ8^6+0gK&5!i&dNPohotzq3_$8=T4gd`@d4+bvNmK`=;Lv-s5^beTLZ>h z2g$!vNQ>rGJ6dx$#(bg?^WL0RtgVSnh9n`U71|IMG!u@0*zNbfn5UKX;{wwkpi@2u zmH{SS&K5Yv|7-r3i-g#rCWnJg>F4(p>|;qL3sZ zN#tkbsCd)+#sJA~X^AXrriYpp302+7+eQoo!;D1vNcYWp*Z3z|h0^H={xQy8 z!voztIra~Bq^8CCjJD9Tw}T0V5&(Lr}D?P%{6#XeHbTD%nrT;=dgvCT1P{pMly z@-|r~*ez(u%5bVaEU4>cfqtLt#)CB)t2W4}-Kt)hGLK=#xfK;qEsj~crCid1P zU@|&5xu$q@ih%K!EkPQvVFF`;TmR5!n&l?<)N!>g2q*LxBS7+g{z+3aZZ)TkSlBD#`#f~-v zyL`E!H29W8cI@>aN;C zT%7s|3s&-2#Y7B(FFO#J;jpfR1U^FQL zN5f?`;_$DvUC0-oe7*Pv%at%x_=3Kk?_wWI$XmI)CyC3@hU7!r6PcfDA@BO{#Zp2j zQtk7G8}&X4gH3`=ls zJqOY*F#3evvRlb8&Y@zW4+1rBtu#X{3(zx*#ypc3KHhB+a*(TniJ0L={lk`s%}>zS zRWSbJr+$v?yzPPNI@0OJ+o1Z46a3)!h zGG*|G5&O0WUQO&yN_*73Iot8u5m^~yu1!Fi(?FTb#U@ zFJ_fu-hDFu^vVH|Fy}w@d$HK2auWEMmZsF=vqJkm$G$NvY^B&CbE??V6Hf6YItTWL zJ;j5{)zx%5sRWza;yqv5--eST5zZ#Q!XgUiH#^&|uiJ!zh5LTX)r18deI15>IMa@D zRj1sYX**#*pvLj*|ty@U1WO1pUXs}c0AwNs3B^OKmfWUk2p#5w^9Z#n~3SgVcvt>8Pt zBny%_%3)0ludAQSv1N=&ABWggnHgm2G%!EbfOe4N()KS%gYSy+OEp(huYzcVhD{pQySU4sxeJmbt@KTRy${Z=_Wtu00A$T`Gw9^L6Gc=Kdl zV%^?E*P2bHaBAlZp2n2!i%PjOvzavO%6!8Pa$gQP9lfWJ_IT2qFBPvf)I2&J&qRom z1!`iVjLTYN1%Oi@^400O3h%+^+VV`wg0g}S7fEfo3tFCBy6T0b_jl2AI&&&)?2^8b zE|{y(?8>r~-qQ|BFw!i(_V41|Ei@z|b#WO{cKY?yp!rpu`h=VnBqzp}u8!0KaRFOhAbgA= zc4*{YDPD8Di%3W1mwp~U8NL}YjpU1|*7a1i@7Rk%7_qp-u1ieKOm@6SQd{*}o(>g?5l~iK?AG3J6!fVi*KE6{2q`K+ zXl-VxIAh#QOJ5qS77vb!GJdk*#3NjKy%R|#Dg013|FFSkq44i7RpGj%Ck>wcFKgN! zHay%MbjcJA@m328@@X+MGFp1F`RT8j-1!xEDz>daKV$#u_i{cPUo2OQUZ_ZGR+LTH z>q`%2%44ppOsfe+xYHewwDx9mrJW|jC>I=wVe%6H^A8S6Q^aNTEWa=`kYf(WCf$7} z*@VB99u;dyRMzaj@WHU`(Z4*&KG6!m&iYY%bh<#q@Mj!?$MVuuT*kbS8 z15;~qifV}&he8d5mznFcxxN`ZUh7*twc5-$Y7TL;V``Er&KcM3P-^m*h+Gb^yFTi$ zo^thZ5vczv2QSc1yQ{a3FL5&%|9);9df;1y82F1Trq+22NYaeo6K}H(AM&{MoH1aF zW7*~?`jpY6@M%HaaiTt$XzjtrKe@*0nQnajy5V|VNUIPz3e~>F0PCW~)gw?3hq_*1xWMW6$m6M8%u+$t30-vu6#b z)xCuZ45sBa;RI?#yE4 ztq?LJtO8PdFxQT^x%fUSp5*G}kST5HVkPA!1hgU=di=blE0z}$U}Xw}H&3&YKQQ@t z1%WQBH3`AKt~lPlrgonUmjls?qQl9#`#l?TC|=kW;HTo&h?{D?3<0yO@YKju{1Z;K zrEFwIwH{&RJHav`ka17ZiIDcw1?M3V4Z1N(`JT&M?zis*5kjCOx6I63=BF(yv6xR> zHg(xGJROc=yrhxeiA**u0~`IO0v5~6W9F0i0qhEG5{y;VFMN#qO}Sa$-|&!JP0&BJ z0DR%zO0U)CC>~?Zm@f1&VGuDs)STqXIC%ba5;j0DY0>Z{+II0FPuceuMtSF)KXyJ( z4z9+fV;U9A@Vdi4@pV&4EQf0DT**vN?K+xLKKKFVC>bsE?iVJF^Dphk6Lq6Za-~gw zk|VzMhPu+Rzlmz~jO6LU>$viLO2Dp>-Y-m=y%ov&yx(OlajA=CbsnTHB#F6}lJE~d*MH#uBUYx)Rv!?s+J!c0L<*cW@#rn}R8Wh_^)siWKKf0LT9l}iz)kJOD~ zjP;voMJ;feRRAI~cTOHF{~Nitp%(ZadMwb=)q4dIJ!Y3~PrLl4U`_c0gman43qiUe zb;E@e{_JJv1{x(KUJ_ZZR0T$3ES|lp7MKM+2DNlqv~=AYv#ptJbs02|3BZe|@@?WG z4L;;7K_%F>_?7ruuG3$t8EaYNl*Odya<&_~3&}03Uu9Cp$vSap^;j~L! z%N3E9F3~Hc9RJ`e%R9G!UuWq=ZC$48{oP;;*)+mPhab5V#o-~JyK4(gXKO+uBG#N>S{m( zII5d!@s2{Ft)XZ`KQY0*FJvR}9&^G6Iq4pj`=RHh4f%J@EQaPqckL`pn~C@REcdZ; zccM{&9sja4-1}4**np)x8E#TmKzHSs#Pq(jI?ObYvZbr}3X*rie$bgF76)~+Z0Xt# zjJ`f*KNv~##j>=9Sgs%gqXjP^q$_GKHq<23p~qw`U2<0tUCg0XHOWuVWBisby_T*= zSCE7iHOWk4m%n*DV{ zchj0G5&vjYe-M3G07qDW0aopbyn`avjO6cL!MZ=fzqhpB7fz09T1|hZZ7Xq|q!3^8 z5L@#QUo!^SRJ|Lia;@Tb$e70k*Umn5wsG|!a;6BI8~iuSn7&qq7<&6akk!nKGm zr=I9Q64ysM?3xYtqMztM_rW{}^T{_a0(Wq#DzSB}HmRGKylsjwbuz0Fr~~2F_We$M zFz=09+u2d_;{aOyuMQ1dAM&uq^!9yg*GAfTM%>!8_Wdf?qLS-P(|?64{}nzHtivWc zU=DlM^_%~tE42Jywi@5O{Q#UcEPy5KS_t=Io9IA+=-^4%H4&~)J*Lb86Q+eF*N=JU z?N{yD!y04S&4+$Vr?fYxw>NkFySk~wJck!vN< z&ZzI#>Bipc$j!1Jz80!lUcK}?{w-a?fzfuS?4|2!j=$9;qoBuTEnTOVkcU^0kxezT z-ZWpN!pdcK@8YO*h5i)8VYj^hc~;*3;_ZZ5g}d4U~bt7hWhED zv`a3_l~;k$38(DN7@UPdk5yW_@-86(mym1>&LW}5#u!JYF7? zTpQLH2ww+AGaa!9W7NLDO75F{^$1f_^bJPF6fIqVmM+aJh|H?m%_pc^BJ>!HX_3kX zln;XZa`w2Ti>0Njj1Q7>r?(zalHuw4IC5B*3GUMPc%w2K&SFQr@VIZ&wQtkCuS#s~ zRBR2Gq&`V**tpO1Apa)9Ub3}-tB?bHWz^&N5>%fdmo>zK83q{f*3+Lzg8lMmd7|T( z)u%=D%-OT$Gs@%FgpUXw^hwRICcTz91yDBxo z%u7S@fzyYkh6I%lep8q(XDi1WXL(1HtLYI9cDr0*f>763%QN|^m2nvRUJf9Y2?`Hf zHxDl%t($5$NT^#l^jN8-iy<&t=9oSHg#B(X?GkLcQWhAEv1jWs`yGbqc$O<-mMhtT z(IJ@cLuq2|X=43pPoli@A54d8K2eM!hfrKWE>_e&b)?maTCQN&7eqvGGaH=U(4hM= zn#p7fiY#;eX*f0KOyWqE&h|~M@Qc|6o7h69o05;6lDG_dW=?Q^Zmh=ho#7ReoE*<+ zGiVx_v9%=-6-~%qV2c|QDxvoTufW2dApa7}Q`03uy!wy(vvA|6xk~qW8qMx!kSD$S zVdmyMu|2A(#!Xa!prtX>gJHa?WBzbokwpXoG&T3i#vsV6+lZWm)=8$`Za-6hBjdA9 zpTq&9RRB;jb{4GX^P?&~C{#SC;b(S5a<}ACws~6FPf7pQ?5q#R?Y=u&l3s5ly^JN# zI(&D=unRaf3NK_VaP%&V9Ew{{cphbrPZj^7rJny1(Y>=Hjhmr7f4lS@ zhm_YmY&)_FDNxqaEizULBuHuHkluAb(oH!_4!Df#Uze?nmf`;8b#-njDr)hR{W319 zWx2KQyt}93e;#NUWay$~_oZcAe~15!X#9eNlaJ1Sn+{WIXSLJ_PEr3SnwTx?eQB4gX;x}1? z)_=KTwqZ1nefpD3GC)+rcSmI7x3?Z#`1laBb7@2Rv?KPX(D&7&$lS8FwEm;*5udc3 z)7!I!FmvqB5#J+92a!DbonDzo7v`>}^GCY|)YlHY=TpXxH+(cB=#h1iKn1C=_}2b5 z)ID$LA|ZWJFv)<{ZuZoxI74?D0QmFkZrbn-kpq(Esz{lY9kMU#8F8@Rim z(}D+CRzR)OCy>bug zmzhg_r`E^Kl{KUHM&b+PnmCcuk>)fEyIDxhQ|j?t{pBNzp(ocbT8#}C>K<%;`2Hec zs=K9Y02fh{2tBiV7VA&D*Y-SfA<_JMWnCJ$s(vPe&zJg)aC-S%kJ=+HZTsZd1o~{? z;6wQ%kxZp#19QKYp98}`PbH?cU$1u)p*_8-N6(HG%cAq7pJn+wNqWgio{7Wa;_^0u zqNV4OBiSzvxulmg@xp838u%qfpl@xRV{BW<(vyTY6+&l)#bA#q&GEt~l%SCe7g0e$ z>x(N^FHylLy@OoIhOF-u;)^$GD+SQFycXW922j3O-JAdH|63O9f!du|wy(7&_b>fi zYmMm-5k5L3zB@d89P#X4_{HiRB3lTxu9Iaz)IdV!pb)?pb}fN>LEIMSP`5-mG|!Sg zw88iDr#4o(|MpPm%zN>ijP5`+q0^F3+N`-ed!0ock7sx3l6L8a&}rUg zpcIF3SIpNARCjq6WUf#5zutHMyMJ$wsn>r7j@5VZZpf^}tpvpjt;N$RkWm2*YxhAFDEG8n)OAj;qKEfYS_?_{q z!(XK-^FO`y3ttjqq=@%&T>V_2pFZ;Q?;~XF>`V@C+PYGw#-O%O!L)d~-_D_qFYn%3 zowLM|w#?^{**ZNV7f_wDV6kI8O&XOW#9`BS#@IrJCOxS{H!RyE$<7^C66|k~O9Q0( zK32*x+g}jD2cx-)`|4^Cc(^jUbeLzyaKdmrt|WIAN$FPPy;cq5A?O!G#*`LUzI!`b z1&rI-n;gRbmV6^Eov2zY4ah(0gDoTvue5b6|4!M;K&D0)W#Q{te*6%_<=(a#?%q$H z4W37Iun!traO~vnFo`do7CYKKRC5%?dU$6x;$kCSB!H=CW2Hd-cznZmXV2FjthKtb z5-~bRqabKWk9i00rLI-aWTQ-^F?*6H-jn4Ks@BqC&K&{jaqO z<_R#{B|1y;Hy)=^&Qtx6LWPm~|E@CVj62=PaM3JOUBhd7h4Ft+%#aCvIX;c5a^Fh_ z2aNeStwwk?%^XgmoM0Nr;~qs2L^zf%$^$e<~cFJCI#M-h0T$3PPth>n9^P) zstBfclu9qok-{IBn2*nvJ8E~rd&!MWZiy8wkzOX)Eio$&mVR)i1c+q{9ND{R{Ulj` z=JSfQs2Qt;6QMWJHs42_@4xv7%{oOWbk9gE!zym?e`!QE|E}cJ-fG&lH6612~HF^qOgPMkD+|p^_kTlMVGb99)-~~88){p$Y z#B@)EKlp8q={`g3cIo8r!VdgR34H>ISjMCWZpg1=?W*9AOC`svO5u~(n_|`7)uaS%N zO|P|5an#PcF{kuk(3s$CN1Nq1G@WTU)?kk%L2y?yRi z>oQ-=yl+?6RODaoggQhC{{C(Ie3{{LcRFy({+s%qF z+%I-!au`L08tH;|UCA6`tQZKa)v1efWQ$coy?EbxHCQp^6&FY|W$_qsayj6=upM}= z{u69zr!e5~)k-})d$uE4Q}wDXTi5~b{Rsg)_X(wj7cw4<-sVRO05aEr3{um1qtD#=g;R;p#v%5rOoCDTOIVv&iiW+oEnr7 zQLTw^=SMpM$5((0Jg`%9lqn7z39dFo%$lJ-g7OzYvyWi0m?TbqRH(Dir+QPwPf8n! zQ$+xsJJOo~{gf~hKP?dsIHW|86Fwa>hr)`?Q4B!O0&ulCVzLf4hXWr6&GNu@)ybq9 zhJe+hIQ=GX1zJ=PBK5F`Ab1tHS{CsmojEg48!)RVoAZ`YJ4;wKROW5FafeBN6nd`K4I;9AZ-cI!8Gt(tc3&M5E%-a(i44j=?Rcf zmd)+WBS5pzzzeY$ypg&1XmT2OB^HAhl7j#(K(mE8=wn~^AP z#m~^1XKyDS8Hs{0i$;@K%m$5C3-n#~6vfT&UyFcFL0bkCYz%SgvawhT8^CoOIOZvp z8sfYWCJ5T{rh!LdF`!UqwriI5@^_x?63WK8nz@ANmlX0rPZbdHb+8oBRz6rr1rc8l z%LAj`XtwkK63ak!N>p@+sN(y|=ho3oQO4Tz+F2U18{AtMDap%jjE`soGGEBr3VO>U za_eAGAb26zQyGz455oh&8^E40gl!$H7L0yD18>C|`idIrLHTE6t#kGL8TF7Dhf_nNP#vX;^$Ym|-J|k5=GEYXIoJpePgps}Z=xIz`<0L!rx@oa zoLWXS@;6=ktcJ0zkr@x{nHg#V=-Ev0l|2}vB$%wNTH_0ZcRfrF==li5Ao#VM7uLw# zC)vOVXy^x-u)zY%P~L!s8IU~%s+k`R1T=JmGNG_q9jzJHe>PE+%>}yyt4BbY?67Nd zR0hzq5iBH!AgP0mfwoX!A$0^vJxmw0RSp*VZ-a1wGWhn{01}v@y_z(rRep2<(6a-S z$pxcW4t+BGXBtZIJT`MMqaM}^+VZ5?O2<-nN5&JPZD_Xsx0e3t4Pz4R#O>oSpwI$H zf*B@ghMEJxbHUY?2-|wtr8S5*l^(Qzm*!j*a76u1;Yl0FD)~r9rsX-d@hSI!q*-7q zekgSv?DB*Prv`IArBLXsIdh;gz3hLX?!U}LI1B%4({WjN zlnqh!Lx&IBDHEYyL1Yf{Ht@U*mgy`u$%!UqgtIF$l>p681IHvikw1aeb2!?Zd=Qir z2mX(ucbMV$f8(8?>^SJ3U?_0rK7eiU(Eu8F0~UiPGWY+0I$_MY|FrU-b2X??6#Qs; zKqmgkCF__pEAp9tMW@&VNP-`h*9gO)E*b2phv4|gyc6096q>-%rlE(R-tePk0htFt z1~L>aKYAZ1GzOZ*5X}q~1bm-^dL1(UD%K{IHiSAei+Pd5h!3Ol^jbo6Ak7vvz~nb@ zp9&>Hvt3tNN=##45&dH5ov}p2UZW_jB?F$!ya+GRa`y;R?mVk zcQCC1hOvJf!B@Y3zJ|N6Nv8z^F|9;ep8pRsn4yG$)eE2oJ%qOw`mD$A+8)r575c(1 z9v`hiv&9N%Xa|{az#7d^EP#el5DysbOp~vKaK?z&q6s67jL<=p14bN_Hhh-04+@ePsQ5zLD~|cF)ob=An_Zhj@k7&6Slt7f<$&e<>y`n)L=)4k+JBJ3#~Xa$`OqAt4y^76&2qs$nxlw;)!iTyD9n@_ zKP;YvMd=@^GWd-i!REN($yY|B(s1K#l}?QF2&!5n8=t!c592 zZ8D$z-;ML%#Ja}eQj)D!QLtO&fbspCUl{;04}lA;Ft8b_892THT;PT6^OFsazLMl~ zM><1Lj5P3CtPk9M^oV^3>NRHgZQ}wO`arY)(S<=cW(LL$$`FM*(x8MXZ8qNgznwdN zS?}u~ppQ846mYdRLZlJ)5CZ6pr$RCCqcO@@1ksVB zKJ%j$fS%Z(jOAx+Fi{XoV}5= zIPfxXH4NeGhTH(7OZc_^r%V6HY;tIau>*xXp5OoU9Zx_EBH1rwY{R=L+a(}fe?!iP zAAGBPMA&Icr9(wTM1&0=FK;-0MY%7)n3tEaz2m?B ztO=+)2rH&LIxvanyf4bjjozwZvNJr+nZ#W-_QeldL`!|vEnK~N4`n=tGXy<0{~iR? zVi&3v5XKfTp!MBL5%3@~B!dnonDBNb; z@d8;IM1#;4y;d#mXTVMp+P+*Y3%K(^OU#=S@mFZ;fmSPSGf)U6tAxN6-XcRM;x^+5 z70cow6oueS=zQFL09}ff?|w5FZub1Wn>e{Qmbx<6Ji8-y=6g&Priff2I0d>I*9j|g z6y*i0qN~P*YlIm9h8(nL(V@5{SSIha>eP&I^^&#H2iak2bb7$*V%b=Pfe;*^WgNBv zC{g9&Z4ie!KnQa_f~rep1rZ`ba5{8ucxp%0NMvKsC^8T|B(AIFq%!gLduF)3X(7*Y zUO{+}I;`fAzOAJu%0Ej*m}J74W8#5cK!#q9*1nxi9Ly_GMVM$};6))zKFN3c9=dhe z{P=G%Jp*N#O+&;=^-P7UTI-_b!umm4pKWx`o_l4gOkhtKbLfleZD#11Ynj{Vcs)Op zNGZfF#MI%PU;2&5EO!>mTn#S9iyE?W^TX0tD11Ivf-pIjXEfO}`8v`yOZdWYex*BL z=tZGJ{_Hm%&n-f&MqG2?4nB*FC|tG`Yn?B$@U`t z3U5)N0K^hNp?ujWMC5a2j#DhOGJe0!0XE$PN(%Oht{QXu!?Ljbzsf#Ca0tO4qQBuf z0WyD~)L~;RY$^35aFKAEr&Cx%Z_qp_KVlwi6Eunn7E4!6l>bdu4UsC8j{`RjqXv|~ z(LhvX_!f2o?$SY(31fZHCUwI%MmIKwgzZ#(RpAmZQKeC>wbk4Qg-mJfLkdBZXKoi~ zvf1vx(@N~tNN#&Y%L6Jk{MsFoA22^EBo1zI7%y?W%#_r1k`#{CPuUV6c*c~?S?>0! zEpq>jpuCLtqgM2Hqy)drnl!b0cD(IL(l6XO9gj>JO|VR%=Ba}8Ne1a`>u!;rh2lZy zu@ID2ZCR;eB{#eFfYI>P@Iqeky`AFq2bJjrCROcSZpZ0Ic4e;)oP~3wRXLJaQ#%y@ z)?2Ps_i@{b4!x9Ko45%oBn{we(j29(_?A6$UjI~K^3C1$6pWikJR**Abfdc`Gvlnu z*FXCPo=fPLRi_;wSB5)W&vfI_#i$e6_tey9=_>!QdL!;mU2#BTEACFgh20*Vf6>WU zAGKz`R^V99WNLq5O>t17O`L~TafTbVdJ2Wnc_zjN%fG3FX465<%l4zxr-OyyVK$zDF2lE!SH3bL(m9B|cMB3PvnO?$xE!-5 z_loDz=-wCGdSrG)GJr?wIeJAR^1Lxo%Z_$onE?Iu<~rl;k&I z*LR<<3nhv?2-%Z(^O8m>iM^M9T6{g)CDNG9|BRw1qc{5zxF(WHI$Z&;GH%>**Lrfu z*&i4kygQn!?EbkCOsiIHxheIIjZV4pHrXOZ>cyJTn#A>&9-YnPS5Q@PW-hdZx1+uE z9s{r4LcGDbHK({j?TDI}CDUzMJ&Et;jg*>#^ZlPS%mC@%eRQXZmI}r96#hTubm3Th6Mbdku$d zoTn=<$jxJ4do8C&)hFs~`LSQ-V(Rz5qblpgkUwgljRc~h>K%7;5kV$Znkq}_!Sl}S z*KSuILNSX(jloCh5;U3H-MuHgfxAme)rP1ggERLi;>EF$PnxyaUX6rT>!}<{OIfw& z(~~XdmrPh#_xHYl@H7WUN_|QM^!s~J5Me~BpjlEyZ>qcr@yTb#G##1ZXAD(h6 z%K6U)3ec&rouVF@iA2<>Rh!k5E>$PCP%8DeWm>CDVbReEA>kbGCrSC=2p`03e)|0E z+R@29z-Ke~;*-aS!$F}V;m7s|0f)C?fb{9eH}Ci&#pwto8eTqb*x8Y*Wy1$t8( z@LU8+N`P$XPt9~v^qgrCFJ9*@k49@AobiRyCW$}MO7DLC-k^`}cl+-Tqq_XQCo-Q;6`3AJLgq^X@z#U0JUgtWdY%%2z6}oK8vb z&FS>|n4n~WerNAsdhSX-Ktah)Q&)hS-XWMlO1VOB&4+G7c! z=ODFb9$rc2R{BhxWz=@w{yge*u%UAM-G@%DbC_B*oM&n;Vvp4Khu2;px6M;-s%^(@ zH{A`Cfv_iWALNG%&np*F(OY7xNIwgSXEF9&)Jc;eUqcRhu~x}?mEA7i2)H>JMj141 zyQ^9Cyn;6rJf}7O@eK*+ONA6!TsQw_Abb0Cz|3IXKJjD5AIP~_v4w@ceiOFsH?@~q z_zSkBs&$X)l93~FxTjh%hs(TyXV@^W!&DrzUnL45AR5k=we>n9#FRHI^ z7o^M?J_i^=TyJWsnmcfpzwa4Y@040whNAAiAR)44;fHJAi~An!n#pTpXgY33Xt59TD^a~7R$(}xZzLj9q2j}-)Tp4)ctMbv zoZ(8OA~2Pl9bj3|5cw4y_mxME-eWe}A*MP0th2)~n=~u@9eeaw1`c)uozSj$^GiVL z8*?^gqiEUky7n5fDVqq>U0MV0`-#S2AwO!OFC%B%2foalPc7tB8Xzo|`Wj`^xGU)8 z`sR+uRIQc<#CT69<4yhi6@@cS9laI=$P_rq4wIMeS!nj5Fkw-N)(Hv`ROV*^?(W}D547!Rg|Ew}b_M8txsss9&?#B?FPlM_8W!KeND)i!^WjjOB_Eo zE%-TWvXI;3h@6$b41b_rYB>6jSA01*WMzsF5j`EvkDv7AQ&9lh@#h^YfA@T1E5jBG zN#-QZdQyX}cdkovPHFwIJ+M7D&Yx2+|*tC$%7Lju1Q^&%@O+0Wr;%JHW+Serf; zg|X^eja=p{3DSOiYbQ&zyHyFVNQ)O zvy4V>wyG)^3&JSA<2h+I+1)uc*o7~*UUY9bHoQOGsbnPA6v`*|FC@S zsd;%NM9~~v^C9-a-0jdQY^}5QPS7llcs&U#%s0O}&WfEeqn(ecECubYihN?drcc%L zSC_mxR6kE5x(XOmKTgl8dXY6qJTQ>o@Hja>{zu)LIbrV=*w*RZJIT5F>%`rzk?DSC zZao3QbRT`1q^l>ouYP|2)k+vtJY)l5a0pHTlryD%qVt*#-yKbOId5cgb4On2KpZH& z=^AMMi$`G6c}n@u%6fzf=Yf14N4pyv&j846Z{1c^Vbz88#sg?%$Oh2MdNSL?o&Ht}`{az{S5Gbna6wsxFZ4zX+z8ZoVN6-;3wM@XE#e_xn){ zqXg?5fXy^9(@MfpeG^O~54OP$9HDV&dlz^?L{8m3$x-#uzjAumO0Vmizu9{UW^^7D zx3iZPbN+VdLBMRn)$}jJD%B*;;J56sa*lu(*q@Y2}eHc-$ zKLD3-(of+hY3GRKLheFj?dbdhl5KQA?DSAZio%j`O-6-_X!K1k9QP(2+@6oS{qYsg zwpS+3janI-yj@X<*QO7e+N|fJ;l$d*VZK~}&fzNI58FPE{03E-&;JC?_kw=R=)-cA zSEX_-sq}XNkVSMQOd=B$+eojk3$|Vk7Fvg;e-sn$WwSdkd`*shc6)AbgGKgxcolUm zqQ$reV2UJ!W77T*KHGbkFEV2GnN)xabX*P&uNf*JCBXOoE5}^(w@w%3+?|iV_`JjZ z5b^-B%{LqF7pX`;Vxnw8SF#aF*IgJX0wFCOU5){k zEa#_V1c6%tA06zNC?ESQkcO!dlNfh@dB}6L@JrgRzyAr$B zIdfZZz3Zq1DpVUhfs5cFkl9Ed1HmT}ODlBs3=9;5^5o=u}u9IKD(govDQW4XW413*^GyN@EGR5N`Bs|HeUrf^Q8ZKCr!vYA6ZMwVc-DWdc9%kF1+ z{z6RifnB)~^SV#Fg_v+X4vv2MXBn~&x&)x6;|^2v(3~$2#DCTHYhSc?1mn)jTd7jrKvo z1drXrxs_iXZk{_)PL9b{I;+IHV_jfx-dULUe^0kB)ag>4UvixHDu5=z4z{Q`PuMwd zuKR^5VIj3acBeIF^?Slr*5!>=JTAns9iZjA(|e=xGZ1PD+%5VGSz;=4l@b9o@|4{- zQ77!3=AXMSyIS=-xwGgU=#d($@(GiMsxbp|ovX9XM6&f*nx6>&+>2VKa6Zu9J}YJw zi*VFOSMYft_LvQ|hlO^(VFT9u86Y=> z8eC)ZE;REN&=Gi@^CG;^xTPaX@S&F~Xn<$WYyblAd*8~(1e4^l2CdJ(?N+`A`1jTZ z20lAIvWCoLSbVv$N>{}RCWcE*OBo!!fmtUg2=&cG7-8)o?Wu^!3jP&nX}3QAINM8G z*r2TKs>utd%s)?GML@Ef4L`AC;ugv}u5w4geOt)QN6G;V#1g{+<^9G*hOvdKHlO3E z33EYjPH05?cLZ1)eX+`Fgo!5i=-kU#gWMx*&nB!pv%qNURkvY>rs0ca(hq#9Y;UOo zN={+gpXK2r+PnS#i|rl~#2{D?(}&K33G)0>5jPagX`nF55&^3|Ta)YOxAUih;lCz+ z!*ls3E*;)G4GyL%8&6xg0+Ee>_$GdGtr-4urpz)1B41$miU#IiH@9K-Qu6{`2WQL> zonNY#J&2fV4gFtHvi<^*-DW7T%a$mAxeX+Jn;qS=K*t{OqDE+rwOvx1`3Wyo?JW94HWLS@o zOCdf_LEaKr;=P|cnn@vcWeDxlvV?K0!RM>i-(Ii}Ra%7gScE06gjX*Az$3=~2Q2wD`*rFzD;eL4H?L)@UuZOcF1q^Pn@)1CkM*p!9%b&&=SrP zLv{y7bPi7$Cdbj0GS$88=(RPFP$$^x@gyWPhTVHm89Q`SK9k&HEqB1jr1H$!FNKz< zV}vmV<)eS5E@(ig)+5sVV)#1X{jCFo~9)wq*`amNF_=LuYak{k&Oo&GAaG*3UK9Zm8+zw&AV zqdw&p@4{D3#p|-wFB{)4X~!+ilo`Tsu@1F_=<)E#;4Jee&ZVAw2$c@`s_x>ej$f7inXy@3OtJo~^E8$E4v~awX#I*bEZpLvi*6ziS zXU*up&Rb9X!*WR^WwFV}=a8-X5|BYp+NG5U2ll5~!C602&EZ2%-ZovN$pr%!zF~be zKjF`-Iqn;&s3iK1rv(^OTeQg5`nmwF&`&J<-yj+*K2}-t(xR??sz~8pA|y>Cjt0Ye z?5aANI+Mg8PmaYPO~y&Og?{#R3-wDQ#7>L;fgQ?Esok`Mj0N8SdmWU9KS*zmnjrtR zq}ks6o5SMX_oa$)>}szx60$TLV=)I{XuBJdzTX(VJg*0Kk|lYfVs^7Yg@0*dMWnu= zmV&$H{{|dC6&sxvzUrc{M93~l86uu2XFSP4aiTn?zHUOuTrJGfpV33fV(d)y=OMWxJKuT$5`Df=ka$9HsqNQQfk zF-|YF#f^U)jBuDhr9v4mW(QLP#WWP>P+&kqibdRxtTazV!43w8E*YQAMZzw0o_0<_ zqSOkHwNJ%$xzZOV9vW4?|3wueG^%Q$Q8oP^p#UXV7>tX0Ba|mV{+QV*T0#lEFEt!g z1QOOObxa5bdsoEX2+_jqBovH`24FV(FBOz(x=FCBDYSklG13f5D7IP|xU)rE40*zK z>S7jiUsMzZ5ErZu{8JtRa3{3D{CgVI@ClN_kCD@JsJk1IATv9GBV1 zl%>o^=zQ>GmIzo5$SZ}ahv7!Uk?fpfaAsF=>GJ9sl*J4*vO-Eq_+Y*&xxo@;fPGg# zqw_(l4WLyjkxrbK;lIq{XyJXsXyMzScz}X09Qq!LHYgsT;ESLg_Wd^2C#8q-x!G3z zr%Z&Q^iqns5Y--RLksRMHf3sjb1`88QoC&xbEF~rQc)V=A1JNF&R)PULY*PR4yLml ziWrR4D^^&DT(#V{kG5$0;0v_2^xU8)T=k;M3IX+Tu4QfUg`)?4XxU#NtY+xzNG!4MG9RylSHu9UN zVsz1mRzb(G!v^wxEx0O?(%fu<_N(071<}&ptT+WZ#FusLLz(|aaa0akQ?-Ij&D3-q zK^qH08_Q^J-arQ>Q}dgL4(cxp*=a7&0~o<~g%%eYWS{>r`*BIe1E?%&#aXAjk>xUTD4*7m^6Hj6czPcpr_V1 zUaXmS-w3vWAm>%Am_1WQI;g|RFBc);Hp<;5b7!-#m&4FK&~k4JZ58<|-y40#NHgNC zMwl$iSO`h?4s;W}+|NUNV{C(Y)9gogEkkh*k07;WGBs6&plKdv8shx=dnkWew^5uyMcHn1Y>#EsEm z=%|)tw+dmWN>=^M`#8g?<~ygOC?gojJ|wzKo4yPN!(1KiWw1y&&bE(g5N6X%|CTSB z+Yzaf9a~1#f+{|5L$8GG&>Ql4)nkK79+Jw9#*xJx>nuxZ^pvw|etQh4t1mcjo z`Lo8w^SN1&9DQQ9AQ?yhSL%uSlVn%66NXI7jJK~C>Pr!={#!o0I6hww)qe&=xD0#f z=*Z=UlYoM67@h zM*N$b5X=x*2J#E0xByVtRqgOykjm|f8 zlgrE$0;OH1OuCN!@B5fLRPF4j^H@5 zj!n4fp80Po0R=K?vbyP|df*M_X9gR_l>toh2`c7JFEup7a;+)oN(f7Pxg>w5+2>q{zd6_Bi z__0jpN|M&%BM0A`B5}0E3@NHY^2Bs=zKb2m*0t=k?MDg8<>(%RFt^xsn-jJL6`!K? zT*-|Vn}&3U6Kwi0kr%7EDt>9(ObWi3;LxCw5cA-_!PeeN;+lgnC6kU?fqybhHVS$C|(Wul$v>&kxNyG*`;-qsx3zvE*b*x#9l2*3BG8~s1CIds~DV0vkz z6jm#eOhp}ezo@J0htz85hZI7Q3Pmgw!BBWWVFQJMM#gAqdFpn#{wIQ+Q7MT6bLexQ z4w?uQLKA@;Xd=)iA9HYt=ig9l{>{drFFn#6zME989-+XI-^3>(l}Xiv_EInmczuDC zhd~oUpryEw*|%3^$|8{66sq7CBSS+o7hL>}>XktL%Up0aR7sVCD!~63xi1Y@L~?M+ z_-MbiE+T9)UdP)Fm4y)yGCdQeDqGQVOW=^F%Wo78)yjy2?msEn0Ln&6eoo6AMtO@Q z;$vyD-O1^TDdsnY{F1PP!H%30f{7FmEr$0NX^<7l#_S%5sV1;(e5w%P$9-fJg(&(LxMWU!{B%1I8K$R{tZOb3W&r zk#@S>q=mGxkV=dvmOGvI9+got4%|Sh- z6HZgrBw)7X%EG~$sS}ouHwtqzBpV$sakX196ruMg+n7DEwc`@f=r2@m^l@#A8lS#D z9DL(h{ncdqZ{PYd{G>8X)SpgJpc=jKM0xKYui)4fYD9ylkrxeiry7 zj^FE#D?RGI)XPFJ68S@?|0|s+(V*GAr~5KQL^QKyTxKq7S5EL}XB!HkQ=swjG#rn4 z4y-hKE-X6F>UADMYoyya1&uQ)|z>fgk$UyaOcHcgbs8Dlk-yESkHmLq0ur9Q#N4=5q_Jp$~ zr8~e{<}!08*7tJuPyBq)m$lkY@r7<)645Z3c=a0tZ&SLuGq)1=iEku<6cFfIPG9q{ zmcGW7hb~n)A)lN^NBG4VMi%(dsV+vm3*3kDWadr@S0fkkd0|o^#bLjhi=S6d zW3T2kCgeFrcpR^HWYL|M#mca7jSSs{xoL=Jc%|*(IWTc;Dg7doy9xI^Q&0c!RtkkajDrq)%XIW@sWjY`qz^0wvPK3Yp&>>q`TJY*H~7eW>% zok6ob(507OAW1erq1$x$5Xu_(9@iZ#|moX0QxN9 z!5i-f+@0{G-Daz568j)k2gLhDzK|rkDc=IBIbU57pZ+T;Nz__B@5e<>=D!PA-@k&( z+hFZT0?!?a!@FTpek%G7s2*qQ({uAEJ4_g9rm^^JtBVB3ePkvS(ip+?Hc87t${miy zNBe3R1?jN8ywx$T_e6UC+J?ZQUZWd0e8r@*9?{nwEa-(&`&{US`>S{rK;WeFJztJ9K9=Q z;MliTYMu}va!4NK2e1FWT*P=BywgCO!lGaIQj&kdYEp-bUi<#)3fUE?e$i4j({)P| z)H~Xr<5lfIZ+rO7_h`gIY)bx`g}8M|eW|AqQr3iVm9UwBtYTrI@ULdyOs|GE?!$Gg z9j|X6|6rvTX2_C<&Ou>`39;@HLQ1o@b}U>oAN0uW|4qDiyP5J#elUAALLwJXp*~P0 zGVOfXZdn_WIhTnythHuvlC4@8DPE^dbteyMJ>zY56dIQjq3s%nv1zU8y;>!%(W?W4 zti~Oe>^U;IKVvnQdEZMndzml&wI6+v~LfdDCfAklS-BX`|ggR3`Wi}`Kjj!f5lR8Or>BWBm6p~)5bR1mtWec%WbP)Yq>%S&hSuLi20heV06YGlG3p~j z(zo0wbyLh0uhod53B;Em8H_zoGr#IyOpHdDEg%W(n4x!~7`kmLE?@lUD)it>K^rKzDN8!zJ;s@WGx@cM7SD2J zE#-rj9nT)Bi$i||5jBvtybbAwd9`r+TJ7NlOWQfr1Jr2hM--UKdMWn)4K0NU z>>NI$6BND8Mt@X)80n=wYg8A2X+ttvR*IDsQeqd?_6v5DTRL#}TK5X8d)~;* z;QeLEiZUTWyJ{q17@(Rg+D}i=exXvwfxxK8;`XfeaPPVtbrKvAoDkyW@#FdJ!#f%B zvqb8c7a#~;kQ}qa{l~J&K<_1$O0?-Ka-A~^_C4yu-w*#;Og)i=kgDLfG_u`~oUKu6 z0Nx`Xdw5eIM!-^UtWB-T!pRoiPmmy{KdPmCc|w@SH=S%jza~fmM=zrcb1=6c z58$=BZseX|xRiO*M?Jo~Oz~YS%XX5UEtD;bRHl%3qHT+w+UOa7-2)aig?+@oxrn)c z!)go%@_pABdN@BIcIZLISAyzihj}RqFmPSmU)&j5gC!hCU%=IOx&(79&Pm7@JS^|l zZByVj^!vq>X7{p3@oLfqu-I3O|4%W-M{$7VsF0<@2a7|=9bEnh-G^LlSPEVMi+?5i z|70tBmb3TrYBT&xmFvQG;XH)NQ?g?SCZg@ie0ZElG06_Ze*Z$`a-xR7j?=a!jn!ot zdjH#!Zk@^HzUJG`W-yB2UALXHgZn+oW`7tf%J8ZT{7d{|=K6gcvDoE88Q>qj@Jm>( z%?Hng>L|qKevB?u@&uw_a~N{kk?`rKE&?k`7-nEyh$@ljO(~Ij-urLX!wg-F;7Ip@ zfW?IVE9QEo6m0G9hPuz4=D1CPckn%4^EQqT4*6)CNF6$ZS4tA^F#+Q3rkfB%mybbdW5n^|u4v&F#6o0` z09408;&8Jh@XqYG_oSx*H(|`^tv&yD&U(I(RDV9&q>~ni8Xwtuyoy?e0FTfH?S%5? zm#;1vDGKecdIghx-x5{fWHKN4L!Vv4Wn23`UH_SnDpYVN@ElOAV~u)8dmm5O&~z*T^T;;X&JGrLmWTJraws%1dmymkBir{z##m7S7_TD=xe zH_1^`$y>iZ#UEVcp3GA8KD!NItg-2dkerNZif8poJgOqHoZT5X3Qu;d9jD6$%n=RI zkD5YxZGRwH}5&^32vNO!|29 z-0VNw+#VVIJLVCh|NS9##kvP^@o2=W`uF>!bw zdgMT_xBePtFqT?L{_;!lRh7iGDB+#{G|!+30@r7GCtvh0m>Gk5XiLsIXkg~2NJB@i zv}M#R=GCDnoB*riTUcu777QtCtoiyyn42`rK%bC%V7XSGwR8wIHO)-`)&PPg08!cS zL{tpp2kqCV2T&hszP+_kZtgK-N06v6QvuqL2I6kH$8LG*LwQXB5v;(u$~|WhBO@Zi zkYG&=+h)OVZG4`C_XfJyXgj8(J-QR=tEGeiT-^BR%T z9B=fZ%>@hJAu@2J&-*p%^%i-k+Eok_54fg-Cd(f&>e;xdt|LUIR8$~cQXZM1F%b8l z{qLf~3qu`8eG#Gu>^5$!XpqF197^{rVU($cCoa}`2&D>Q%V={WnkZaZ8>>ecV=N!e z_kRRhBQsD-4rh4hy?XqYg&1{O<6Ba)*HST=N5=!#&!XhctbMQZ9893$9j0F6nTuW!?>;UEE!^c^%tob*rWnUR@ z-kiS(h6c2w_td{keP>v^9%o`)qF-c`cr!%G>a@P*ek65^~3=WUZnSh99L7sItxauP1i!lQO=v1U|{4Yd0 z3vg`AXkVG_KBt6-iO`(SEvrV{;yVSw%wC?o`~DqMmGQ|pJZAK|KM;&g``bbwZ5jKM zl_eqXB)lSkVYXfZ4%Rxhq?b9XdfdyQ(wsbkSTtcU?ic6f4(goezrSd+jn@El(v5Do zSM*m%{PpwG_3M)}Gn(%MD(qt@ShYu&e*Zbi1ppvD+tBr^Q=nmvU^le~=SI1-YYLhc zqiD#EqTve!pm?}T&X=@(B^9Wwadyw5aTEioC;gp+saqhiK}HA}=Q46vG?sksn|%=8~L&b+akUVO6gt_B3zOLvk8ahVb(5B3*t|&(a(b`Yfbez%B}TArjBgWg#H1m zy6rPgj);Vv%+rJ@l7v{?2*XHf)WOj6?@n}QxNrq98h9fDh(Iii&>GTT?1oTJ&xF1& zguolx4|GEawPRo2V{e18t=vTeUksYoW3&oX4T}5RR>K)M>^h1sD6BC8H(HiLs&Cy| z>Xtku4{Hm4)WTZ$41FGa{$feVqm7en6H@&Ott3bERyT{2)PjeQY-5h<4ca8s$Il8A zMZbvq!KMk2*`Ng5YcA>Ts&b3_p%DsxwsCZfWH(V2!TFhufmshej4WY95PCS2e;NxE zZzua17teWT%SJ1yt^O0*BhIhhdN%}e3+_Wy&}iTG17A3Fryn5xh(wr0X!EaLJG>_^ z5D85=p7Z;GvOta|b~0v2^**0L0&w79`NkC@z&$%$2t$LX$D$aBsiFjum9kg@MN2paTHYPnfY z(^&^P*>I-mk=a;t{6`b_Pgd}85@2y^2$#B?^iO8+acX=|wPjY?YoFe0_ z?4OL{<9s+w_B=cy<7DceTy9%h`Dp#^afk`Z>JjR+6uWle_Ci`UPQxs6pv3-}sBqPs$D1CekVJ^)plTG?a)W1H}D z=~DYaM-BZ=A5@AM104~>q|QtZ?O1QwK8xt<|E4w7iv*V1F%kGxWrb+Bb4-Kg`%y6X zpJSK#4@x=(5713*y|VIcLa=cWCAG4NYvD8;b`^KlV9FRw+VtQ`mPXV4OUyt79@?Sh zac(90Xc|3zjU9YQ&)zbY3SPL-y7#js?q$bCO>n+V#wNRI>MLQG{V{+MK(ti193a>c9mC)Lx%R+3?8mp^5f5_9oR_X3 zIXEi<+)g-EzD0@}1fLYtloJacyX~@X#cRpXL*xXS*tzPZ6KBc}DUx(rJB$~V4z$^? z`)34olF15u@`~zoFR76*pqByqaz&p|t{gK9Gea|s42YG@JzV7P!ERJtq zG0_vte<+cay^ZVPxQ3$3J-(c%$Fs+;B7SFxUoQE~qlY7&J!t{`-HfHCMt9n$zZ+?| z;g%%BJ{9I`0^jeD;Du+g*OcwUnzU5YP4b-tf%&UAAv^X$a21Ly@L#-z!c(+y*al(P>B$52ZMLNnGviM&N)!*2YKecQ$XvEdsbOvdG zW?+n}l6KH!2qJOGRA0AYv4($3QW_?Z1=A5;x+=1n!YZr`80Beut@~JbQ&SVc03;9v+PL5xmj7JVicFv`1 zm06bM3{z(wom#>yoW%g6bN3lvuNu&;7lo2RXYHaRY*!`cg?8wzpLB^w3zNelm$zQ5 zk-UF9!`Vc0t>B^h#^Y^?r=vz{`?=;Elj6~G3QIAfW^_A(u_ zDaS?LW6e*m{Wh+It2H}S%c5&MdB5d4h^8BqeAj#}m*Xu`mNAJcmeJyoYd{ViHXD;3 zk{PGS>$dh7;{J;uW3IM)fE)T7;o`fs|WVEWKl8aQ!D7t5iD$p~rAt5WXX zPieEsmlB41a#tNm&sQ(^DWldQJdVwANGTjk#1D>Sa0VDxWT=JV&hS>$oB%1}7j_J; z)*79YijLc$|3}z@eg7surM9n`+1|VCRH(qn!qAh3 z^hKsEaI7?>UpSYae_OCd8(?UQrlC4Q#7acFxY&)N{ZG?K2=R{%peDxtxgo_xbC4t} zZ`867^WyWHN^Dor5npN;Lxk~yC$qC6<&8w4eZH=En#7@$(kRC;uC$I5i~(B-mcKZ; z;2c}zAD~wtAA$x__j1|v>NJrx;vWMq65q7Qb0_=Nr^?XyPlIIWYG1Cc5&eDVLh7=a z%S`aSlKkQpLtLtMIe+~{STaC=hzb#bt6uG^)`qLMVElZ3)q!D*ir}dkDnPH7vxLU* zrd|Eof#NhVuSvNYnMG9O_`#{D;DQSyPL@D(vOE+-s5jfcC!6uZ>=fOy|5+fp^$#P0(ubLm& zT#tQIaDGdUC+@PyeRqiDFP?x#h9f@XaI#BvDCI;-PBwrGKJ_!YdZ5SpZ~eU7fgOk% zghq>kB6Z;(b`BrSX`_97jn?rf^&|N$8!g&5!SHd_G zEL5Cqe>D}80b(D9UFj3sXw9$YupqsT`Q;R-aQ(adsz_PG+o_gq+l5!g=gMNlvG8ZN zoA3*O2p#lIM!36sZ z7mCOp2eB~(p@xZ@@b6w5!_)Y`pQ|ya2;$0n{6WzC5UuF8)n&V+C9Ad9xbU$*T0D~{z~s6g zW8OQZ@JcCc+najp4*?a>SM7O6M$ye=y3t>jY7;3#?uq`T6r1V5;Q^JiCV1>qZfU@H zLMYEyw_H!{p5`WjuN!8v9+DuTvv6|WA`9vm#>zfieU}%f+IbgZgcRq|4`{i9vN*DUO%}G!;G3xYYosCNtw_NEb+1~yZ@A-O8Tig9*$)>;L7@8)-=Ec z&t}W&!zxt~$W2^jB^bVr5cFy1P=m5~y`)wXz+F6vVYMeQ{#PAzs=8EC>gA%sa4ngh z!}sDZpH2#r_m{XKX0Y<6cbK17+frm`l++WS8QA=-9*=GXI+53ZG+?m0<11E5y>8V2 zZK}zSU>QQv4Ygh|=y&gScx?TsvZT>37*wE60dP%FyY>_Udo$IodC$GiJhv1W8i{$^ zvu<9KIXc~D=KMBu)yYCfgwa1H-M~H64tT_Gw5@rezp+8Rvra>L9F+WDLp^~RM0F7L zY};aF0(ogNAWrZkNMW&U9KamXP@lK0 zdFBMCsNVsj?uYDBdd{z}ldq7t&S|j}=UBIU?ZcE#M>cA%e>PVG0dbuog)+b4p8TGa zQ%%C^uK2z6ekt_!77Wx&<#SeLY}J<6)KYXgi`U?jmkhj6l*+XB<`0|_$~dz=f5o$o z=5t?LyC|z;9WX-=!buX+$tt+8)42cgG)Q=gG!=A z_gH=g+wK@P6=It$PP`_ecnCY?)U@Mhre4@F_P!T%7^-BJQbT&nS^m!N?=N`12bcYi z_Hrm!adO>M_29?qpoZED0||=*^N;7!v~*!*R3STAIl^kw&0dqIqYQ;`^_zIs?+*8{$9CJ3iHS_bgoey{zb&m3?eDual|P(1P7N6M0%Y=Z)CioeDRR%X{2y!i z&lc1g7fWW)Q8%6v)%#0k;!wNwdu`_r5TcBYL})TacsY{27F-&SJR~K1r-M6{wg`v= zyXDndYxMGf zw}bggWad)KDRmmqDwVTUS=P5=@%!MOQNHId)y$dJ81nnDCfU{uJL~~tqgNwic-OI3 z#0yeZWtrc*2y`CIXvZ)2Yd7s~`Bf^}h>lO|eQbHRh)z%IV{B!&2dg*j`DUv3`l-t| zfcpNwmC2rO2cbR3T1CMP0eFy{>#tXa7j}Q!dl#Uy%i-65(}ViVCs4``?u7we?H!o~{q>Zmm?pfc?}{x`dXApWt^03bHM zj@pWeto|+!y@H?7VylGJVft?Bg$1B)3&Pt+mVa7|lsXy>L91-`? zKQzb-ECSYfzcU^&_o+m9-+k-h&^cm!H$m=rFs53t>PFL(tK=0D+DeWmWu-sLq95oW zRytZXWi37^gHapg=|VKp(sIB&~99p#AJHsnfoy;|IL zHmvDY^K6}i6a4v2ZQ%+3*QXx{YF8C8+!V1s8lEXJXPcE@Ehw5y9eH;%Pl?79$c-hu zET|)ww6yNjp${V3rW*IURJDy|LQ1dkxHAXnV<_ZT$JtYRLaN5b@?oAA@VX}q`jkSb zu3WzdYVz{1$QrpTfCVZhNRNIKx0@7u?FMJ*?fbNladZ=Ty8T2vtDfwA;ZxY^ddWy; zYaO0i8aUAySf`b7*68M@nRN2l1B%xwAvY3d*L3|Yj##NyPQ8qR8m|0a(=^Hbbl2R; zofBZXdJ~S}^1`#_s9yRFBzg3*SXP4&geXF`rP@qnSOJPTk#>0wha*KyPzx=$*1VC^M z{HUW69N^_LKFx^2V5ACnKLx!#l}U3?1pZqvbIZ^?8TFJrI;VQteM_#vaB;recXqgN3sS{4Jw=QsOo>>Mb;KjYR z?I?m^`9{sirU6qE0LDd9T85_i0Gb+ywmAM84EnU9BX_iNtO?DKxmsxt%I4le7^bSn zRw~3=(&yIwv#Q-+#WhxS;(E4u4I)o4)931qQmaPv7-a#=$?<31$U_Mn!I=plKAek56N-@pe|$mP&UWaO7d%1cF2Tfr^DN0KOt9oC$v=b z*05?z7!^had(?!17BOa}xbA4Qx^Vx}W$6HK+!A-%t(>FQfD=Kmo=GyMPwtX`dLJ1k zT8)5%bW+{{(N@&CQ@=YJlh2hCjlTDH**j=77jK=u5?MA@r~wQ1MIjHo^5)Il$jloC&h#Bikj>bP$+KUd#f znn)|U^VHtdRC_;(Vk)2ULN(i-f<^miuG7%>q3mI=G$zM!{7Ts5n2Rap3oXU5X`LD8S zSB!R4sJm#n1*#PLSG6*F0nG1%fyW}zKn&_Y2EAG2x32T>9?fzxL9oX8a>W+iJ4F6* zSuHn_)%LL)cvVj=s&!RuKL=(49@mG9R@an7_2`#RlfK_FUDj&FJWGdclD{%k?A)E@ z<-~tHUD8wso77?*@Y2$}#i6))8`x{@*0^YYALXHeu+Y#1$wc}(N~(ja?W=C8q1Bvo zy9X{h-y02nlR*Hb5?LGHlN(PfXYZQxAF=G6I72JO)-+LHS-#VSbDS#4fLon4ZSI9t zm7_kC84lNO_y!y$9vAmw&hZ%~-po-_V?6X&7%I=gS_tX2#Vo7Pqw>H`u9tKl}lmzU{P}*YGQ}=@(eoqUW^Pl;Z zT50sTs@0y=_wTu=*IpdyDv3o~8#G(8&4qTbGWTQ@*v!&(%}kTN!fj^yO~F0~-l_tF zEQwY|hwOF*ZdyztK`*$8x^ELyw~TBnR-`_pMnG5CM!Nw$2$PvIc~1a5@!5*k+-KLz zNF3T8P{4ndY>QT&6Z@MrJMHIG*QhsIo`QG(ai!$#dEmWeY6HK&x90fZ# zthZD1p!cT-rk5ul!fF+WiDNd~x377Pew#Ds)gxkcB8IPs*{0(H&(m46cfUS#jVqPD z{IVVCG<*enk`;ETQTplcdoq{8+g+_aIkd!!DV>&_MC#!^pSX>e9T+g`UTjc2)jp5Z z!fTj7`A^^T;cnP5-e*M)SrQrTJ*c1VM?Q4T9uC&fGV9|FND|X#UsSEH7`H9Xh-gzE zD;agyRjazRBA#3q@+{5uvj$kEAI7~GZ1GH8xqW#0M7r5?kbv6c>f{LB=GM5cccR3S zh)I{*&#!O0e`a^uGsLA8ai0RYezg^1y;Sku$74Fx+Q=|SOS*r+9*0T|W#qNMqI9Of z3uai5bV?o)`aWCs(vd!vqM+LH?EXK4%bxK6+|$$E?Uy9Vwm(g{xYEaaZi$1kKbcmh z!5P}2cyZPSTC6iwmimeV7iYqMLTo|D^ydlL_dU*-X-D66SzZ0ugR2-q)M1Ffn6(DJ zk>|PV@s3!?`96z#7OJ3GGRMA+2)l|lGD!YHA>!HNw5A>T7r;P=&nEIy?XPEf2W_Qa zDS^^IJYVzijnr?MGpH-KYk>_4+Jy~QMt}YlpoDqocC4U;Tv3%QGqb)cRL|lXcyLAF zFv$(g*Wqrwd@z;vG4T14vybfTFIgEciOkpdi;Y|Y3R%*=#?8riMO)x;z8+FL%zbVd zd`|sn>BU^D6rbqlHQGo#Bs2EuqZc1vhuf-t`Jsr+7LxKeSvj`wfBcoAxBpq)vY)=3 zRol_tj+gh&LAl~vSXWzS6k7q&#l?1e0?IG#&y#8ZzqQH-g_@+FEL1i`bVK(5AO8H0 zuaiHAx93f>m9PDb|4P!!XUEkuJ%h<8hg$wT6V>s$WBks}M9>B(igYM`^rtfWZdMqz zpM7VEJ`qLLIG##j6wbopv^yd=`j&;?I(Rc^)g`gKHZK2mJt7wK@pXsM7xopcVb&)6 zReyQbuoWyhk>LRUtIXjg)c9)^{UA$?TZqic3aOM$s2KOU=Npttq}q&TdDzGs#!XJM z3{AIh0loF-&7{Vmx0;NLzez`?@b8swpWgsWGXBDd!lR|1#CwCJFJ5MTOaU-Huqo_0LKy2Ff(A6>Uxah? zDT=&xD0E6ln_t0mAZETSPxkUJfAAYX`6MH4KP_j&1*bjP`L5h9i{AD(BnZr~5jT83 z6k`uV6gqF~x>g@PXORSOrF_^4jA6TbEO|QdD4n#f^Lt68i2Tuqf-7|zF5uNqT-l1D znpfNTwcf6Eo#P`nQqcZR+HubGW}L5twljE3A83Ej{SG{zc$Q^3JmI#(xbsIo5|K#( zeMae*Duayz)6@o^|B|lcGy>)a_jSS(ZXb>Ne&FZ-JLd3rpdg^Q&2tMRS{_iIFjhaWY<4nV10e;YmpZGOgVN!7H zHq(vwuRoh#L*Gk$p|Bywx2JY^6DNfs({ZNlEYhw2&GjOPDL7fH18;9^Up9d8V3H9Ad zm)L`z+|n=KwDmA*G|HiEVW4+^y;e7Btj>ufCG>_Q&fn8Nu}(_TJw;)@onHHiAItgX z3QlE{P$-%=Sn%VM_WHN*xa#n@s}u0@MCLVytpmO7dQ5m6aTJ!G_lCsTYX=S6BG+1z z}n~`saR)#hs@vjZPNyJ&UB*FX3 zG$4+%xUg_+ahm%B*QjJ=`OlsA3=`L0O!sH!`zyWuu~!>Jk%=oqbp=D7N>^xRNqIC}H_q=2K}~(gEsKGbizT-S(4b1NJNR z(-&QYnF6pF^+pe%*PPF6KjJw@5~j)y3Pqc_`UoMF*M{a z>%?^moN1{%bE%LrzGh>xaG*qJd$I;6^lRtmRoc!d4cnm-r9zEP(w!4<5c$M42%g+> zUAb?;f%VZ$B-R}B%q5bkR#)JDyTX`z(#L4!Z4x$#m~9R;c3Tm(pE2 z;aKyXeOhknC;lm4yhLk&bjw+@)_X^PcJ`U~b`MA8_mu_Sby8fnR=e^Xjy>UYr>%Y4 zwe%KjPO<1URe&R2{;sVwp>&U$aUM%&-H+ww?a&t{=~jV1gJu|@nyL)#Z}}m?x#Y_W zKYn3efdg9FMeXQ1F`fD`>=D#9KWMA#MfXSwnETpDp&d?4Te{yvuu@&R@++>-Twckj zemmy&iQQKeZnWR4ibrpRT~%vc9fS0!*W`%(9?)@lu%U5vmp~fujy|%b=xYPVU#xS_ zs7zH#iy!08Jh-$TeG$?@tmkD0GkFvuz zKODy+Z4Nh`)pD+@D+%S`=CY@}?PYB(d4YQv>Gx{pJq-`nPxpYBVu_DgSVf_q|LpUa z|JYx}l^3Jq;jJM1b6xv{KDSItKVMY$n{JGgPW8IIWVyFN5|lbw&GUhw^Ua5w&Q;O) zokwn*PS`%Q%fv1`6&=FYJ|>Y_L%n&NeR`ipXPKwMryK?pNy8#gz3LYVY>bgNp}qY3 zpPmu~d3#T2dOE}neFz=!$^8^NT9w0J@+p(RKDUFn`kO!lYQe+^>j2K}H{ z1sMAJ4&-hUvnpcUq>9V@wNGRRvmG|7rohJa?Mzi};SHpcYB^PJiKpHsv2&p81l&+@ znAz;3&V1pYhCkAo;7Y#Lc&e=z`b@bO`1XXVqSp`PMXNV+8iI?k8!q?Kb1P^Mp1E&2 zO?Nfxn?$_%W?Q%=rS(|vEx#UIE}y)H<*Zc1k+%X*JC9p^Xz~3}(mOGnL#W`JZha&5 z+V>S8v*Ri+Xi?GGFl7S$lY}3wn%z57;8+2C?;Wo^#gg4(+UXp6y0+7;?yA>3(-ZE4 zxFf2ZB=-8v3DLr zK*fuCgq+Qj)%p(n@hP83`1U*YFw9z7ujdVg$#o7j((RR8R-kG0P zZ&X&xz10 zTzz@y_i}}gn`nmJV(&SM?CB}^I6S5F&7-a}#T+({&qd+?en^H;-FukbQx)y2+;yB6?QeM}Hw^r{IE#%{F)_H z_gd(LtfN<3fUMol67K$Ol#O)9!W~5>w5|P>4N|=Bai31*H}g^zNGpYW$;30{-(EuA zTj7>dZk*cyq`aIpX(2h$gVM7)#w4^W(~r?d#Z>UGckj%zJtUKsm{Ttl8H~{2K_UC_SmSB z)+P_|3rt&^orcEaf>(xFJ2O=KM`*->jAz)xF9Y^C&;yq>eed77AEEFqTLpR6(5?f6kn~1xT@82!A$Da6UM4)3F2C0Id%j!1eeu)YxSNh2gz_O z>-qYU<)X-rR<*yr6FL#>=%q?fTeUiF7a%hi5bbGN?#T=mvUT9IU4Ma2H_pI{-!td> zGq2HoMCgB=8ulIG%ZpWxM_^ zJT5;xPX7e#)u72A>xoJ9;Fh3(KBVdqdB$-HK;b&#@MKMRi&ItF(P zX3q6wUMnTM%mY<>Ps%aI^kXMInJITSmAScps~Uaix4Aw-fwM18?VqXYAk>E!_kC^t zDcV7k?kmINYS3eCYlr6xoe*~PN}70>_m^sWV?PF z9(Nxe$9xQq7|gsTwOz-sT^~TtQ2R=$PLm{Nbck1ARI7N|Zv zioMeyLUG65aS@^PK<3FuyMhcdg!mwq0ipkDCmSi#-L8uWQRE3W=bZ=hM;4eodCL zshzl`Egvs*0_*5?ye8W~H=(~L3!Maa^rAoH*JOm@aaU>#RQr~T7tuAn#D4))(JZwSJBpqMjy)}sk*V|oQ_F{ zHM51wGb*p9h@Zo&R5XihF_sQK3p9&?pqBY&r4jT1$+9$yzX%Q)uv^}B9XR;3(=IY$ zx6Gn19N2pN>pb$G&I7BLVy%w|4q0O4GP}O@{<}QU@b4F(2o66I95&D_x{9@CinOW{ z96qO6L{Ff}GqUKFrRPHDVt4gS4V6f10_`H0;E)o1opzB=VDZ_)gDLv10Dp;?Fv6HH zftdF9UDo}pHUq1QDP7iHYc5Uqi6h~&mK^m~9I6hl(9X;09i4YcrftIT&iB+v#Qcl{ zWIkWkUL_@zr;8S-j7rYJ9#;LvROg}GhD=&;WX#9Sk65NbqeY)LwdD(ieA#W+IXilJ zJ9WcRC%uyY-PQAs*M+|NjkZu- zYJ-I<5cQGabVPcy34N5=eCjh;vza>UJ6Kbn+Mqs3d2#m)^yL{>2x08k0@aRm)d+#u zJ6WO-w8MvjLUjB8ggsX1>{9vMRb;q|(#zVJtr`J}{Tinl!54cc2?~)s7rkgJ z)0$yWt#A1^X|SVRYqrn{QAe*FT9KkeHXzH+X}J6e0^xB%XW;)*r^?3;s_M8S?go!ObUNMKqO3Y}b3j;|{{(QlK0zFM%B?Xutgu{6d>d@?B)|9LfnTCBHl^Pr-+)+TjU8C!QU>LDyur z{A6dWFzmd&h}nMuaiu{M>-EZ!CHk>-g+eEfI(mswWJ>GW47HkA1x^ohY@|?jr8Vul z_d>p@LMLJ!y%T6DTGeJitJ4%4Y4BSVA6k3e@Bd4w5_)%(o`%@FlQAyF7oD}n&N~M6 zSzQ_nY*rP80a5ZqsBoeX(b#azSov3~UYn`UsZQJE_#%twCcJq+eHv0xED*7TDi;j1 z>Tjgx%53g3oW5c>eN1&~*Q84p!=g(=|HArG3q6isuOEw1^e4Iav#anprDO2JV5anN<~6zPIvTY?6xqNvStQyJ=|U$` z9lgFCy(=iPzpL7J?}U8O*a~&@cA~$pY3FTf=dEjJsmhrUB(9buPTK!J1r+|c_y98? zpv#PGeu~>VXXWsIZ-dNumS_u6EdFA@?AyvRvK^S(Jf&Xf8x;(yKGf(vIKR|sImegH- zK3z<>xvnU8kak*pI6rjOrq1OuseK{s%orq9JK4ha>=RD;p@%E&0p%zjxzpvSGu4?x zWT3UP>F>$gyYs3<;{TMPoUK=!p(|1PjSCqy6+)hT3p^1;blX}x_5{r49&&WL{v1zT zB^u{7o4wRx57)EHaJI4g!cx#iQtk>@3)26KgxFSSniyA_YlMT}b&4zQkHG1ur3$vC z_MY9Ni%Fy~UWih7bu=^-`D+#ZSXeRG*?o4fGkz6x?!PTZFB>*5hfnx%+~{dVduNd= zqB96#`>m7Ll0ovvmi_oe2fAvefIj3rH~8EX63Oy@yXGM zg#Lj!A&g%BNR_o{FOTVeW*w8k)Z9^>U%*Uxt(dSY$ z{Q%K4q?TR>zGVORGNBdIXM2txo%7wts(*eP?rY?*S6qZ#ye3BlXY9pZjkxR(ovoL($((MG;U$c>v=Z(YKeUNEFQK$%|1BTrV>yKl*>{xsNE z>U@rNUv<8Zw z?!i>IBm9>(RJVNmmrv2Ashewgv@mT@UXuIyCLrU)yLN8HsqSc%@wx@Q%Gcv?gkH-T zafl?IV~R|RqD-w22L`T|3!xX5-_0v`Xnx*xm6G$M8Gk?{_$@CNTLT3GMA_bww@&Tsu7eGkTMOkhX{cNbs z&P449rR`?fqi(tquekBEgpzFc;%p&I7pIYs3Pd2$xvC+QoTbY7Ty*ZlZf@4{kcWuk zhWHI9jVfcsd`?{?7I?727M|#WbdUwL^GdiRjAt6D_KtNZH_=La3mzshX4X_@xS42~T@D~%7axM!>%H3X{^7oER+*l@LRD2MQMH!mD-8RYN67!_wF*d&XMB`h_8|C*t6NWRQWryU zuk1`eM*GzT6+A(FayTQ**Sw3fBd{Pd-*j*Lk(j8g?ujwqsSZ(jpZ>(~IX|MY2)y%{LP`UXU%a z-%dj00mcU@3`an-X0d*<1!Fj2q^S~I4Zl((^-0z5^$OjS9~CD*+)jSDAo@{#+Gt-) za_Qht)Ve!%{hsI<6p7#PM1B{Iec?!?b<(zXM5tL+d2GxDRL@YWl=*j=nNtq(2)D#> z6|p;A+jWpCxX}*%Gf_KN2y8AJv^M|CkkTQ?eT1R+(ZvT_eOWFlnfeS{dy6O->4tl_ zE@A8carDbDg5LjFhB^F)SNTbC!f240Dfm=%xo)*mjOKBa5a;))1Af8`S+VV5>sRo@ zlv}P`Z=TA%oe2Oh`|b3rlRwHVE`-D+O09a+uWB(%JZvrP;a+9?f)9^t-=(2w?fn}_ z#k(&QMy(g?wxNGGsoGiQzU&>{a+yx`)h~@X2$B71btR$#1seY*S>E!T#h|yS{2QOK z%UxZZgd=aNUqHyG459_Ov}XudgzK)P&lVJRy7aAYf#}Bj%3V8v)~4hxCK598ylL|g z!WNv($42(Q#vLXc>g1q*e(_&2P_@77JNy(+m@bCj{-awr)ArGk7i@>dgWJAuO@T$$ zoHbPH^G}xgorl|DApN~wu*Nof9n)IO#LHy%{kdab^xkTGp-i2ble(4I)Bu0B-qpa~ zp@6)<-vi$0l#P?^bJ^XmIWZn6r&jeGT+0wJFE;Ocm+5I~^io5L_2_A&EvriMpRdOF z?$P)h#~fwnpUfJUN!~?V$2Cy*Twy_?Y4Ln($xV+!)yNh_h!evh374BcQZz>^afw5r zq>DYaYd;|^YZhO^c<~vNa;G&yB*=mgKU04sOe=k=vMlTO7^RWOeP8js$LXj}em>#Y z^##XXb9WOT(P7+j-nv^S+bRDxPnPfYgx#*MFeMBGkRu6dznEQAsZmeY z*!M7uQ>S6`^>lR}aPi1~-5Wc4?{k6C~825S_RH1;X%v*}o<6kfwr2bxeyrfF}uJOAr}~X|W5aCP$cZ zzF}IT!?bXWcH&cGwiST5TO&*XjpINI9>~5f$=I|J=DenInQ9YK-Wm+awL*XajoHye z^bd^&@MeQl^R7%hq!~4=1Hx{Ixqy&@_!ck< z3m_~7ByJ49Y=ekl?F3Sno5K%%qWl1j6TlpP$gMR(3*i49n8OXhu}0tl{NF?q(Sj9i z;6%o$=Ia|+J8wYZX7J=Th$Gfc7D(Izp4<*`#Yf^%@AzZN?gD;-4DMHkf(Q7c-}$WP z5_nr7$N~PGz-(}Y6eUP9Q621*(=<_rZ>x5H;dZ+C4hPg#L+_oL{wiV)8zS|@RNvWoXv78u;hKp# zXHT_&kOcVi_D}RBKV0F@jIzuybv+|=IH0PMds|UM)pt#*wZqC) z2hkEVz4M&Vvlyr)aKD~qhN{Z~-M|mk<_0lpX2>VIO5!7p$T>iC%Jf*UFQ7&nxLF%y z9}AWaYBYnJwL{#oU`e1x3;2u?QoSK$)iI@UtD3ATK)EVCx~ZUk^s>zgE@^`bu1u~*bLa4A0 zgna}x>Y+V^SkZM5V05FFK5@wB{1^Bmve(*zr3=Dp8N9SQ2l%T1`2|`9t!`@;r`b2T! zBbBLP(HM+=QT-sKJvA&3gV8stA0KH*4NJsed>*9^Li*y1vL9pv{G+2s$&{?&dTo#k zfC=$zs4p4Umr{4${Fipwi9Y{fR4K?G&8Kz<8kR(mzX`m*4WfYs%LDmC;r;)38jA%3 z0JFFJ4d9$@5F9MnTTmlf*xDi2Bjiv48EV`}^GC{K6pb4zvMxaz0yGWw6a?Qn);>nu zVNyAbec(UyIhl1M`LPFBGk(B*_B`qsjEnofYTbW;(p0V-6E%muA2RD|OROIi{lx|W zStG^(jlIBm4#?9l5PBf20@SDs&uEA217TpGfXIkbx*?}K&|Shg+qwS#3{nYR@kSCD zqZ_Yc3~-Kz{==-t{SdiYQ*=Lr+GeaeL2}ypXD+zSTzL8t+aRPQH4H6;?GR_o`87ZQ zdY3%88mIydQ7+5eXVGO|NSGBO8_+ll%wdJJv_r-K{;{C<oWWWkB3GiRUnq-qkBa?%EZal&UzPEN_ zy$EAY`##n-XP!)^z#Jaf3Rwa)P6KlUAnoW8sB28&mjWM{qfKvx_}>iwpXgzPHU>I=Py(}G#W~vhxBV1EqC@@$pqdKNB#7k2wAcnz zQy?w`k$#x-5ak_zf7~x<1dLM6vHoFzPv@y<)=138r{D%n6rNEc6axc=oVZ9o{#=xk4JR~W; zXpK@LC8C7FDYT0QQ}#C?i3+hHh(ya)H&FJ!May{TnVOT!9fwXP=d_F<5)V^$8q1Jb z3C#)a9gaA_&c^=;Bq6eFkPRzD4?uhwD9ZsMw?f1K#3zBWERc6rh4z_R_J5D=$3~XC8;y@F*dgv# zh@XJQ8K4CivTub*2Q)4NEd(L-+^_^v(+qs1I=(3Aj2Cl$6Cgl}Ksyea9qRz=|H+M{ zXq_v8f6;^PuZF_%lhVK|i0+i3MT_0R2mS_~|BpD*3X#E_-v;PXA?^i{9GJ3p(XjSH zG(~hsJRLWHJGCHjJ$P~}#1Xi|4P+E4)KT(k?fdV{nP_V{j1-7C zipDP7WOM`}LwoI)|HP16EbeW<5-a5Y#FN0NKzsu!VD|R199FrGGIYI>)#E==J(;W- zAL&ftG_yp7X@L&)R0yyjk`uFS7&y-j(Y8hq0vZ>A7D5m{YeWE`aTsX92dU&4WB^x@ zA|(Fd{tVM%A5cw(2oOX*!L&F62v8#k1(E;Bj!UQirN-QY@@?qk_!rQE8)9jN7{P+^ z0jovAn7`&Sz_q$_pZ^BUNDe={sKW-j%Q@$Zuar8>^MYoGhEM1BsH*antA0f{@xA%U z32l#oR!28+y^%1ky~M6_!JRo!u5#&Wi>c4JekQ{Oz2ye&YpahrWx3Csa&*bs)o&t3 zhI6=~I5ALitbGF#_pf%0L=H*hRqV=DbWpqUevne6C*==xFNfHsW$ zyU5FN=Eisy+zm20&|g+eR$O&M5*<<%`~;{QYk#+j@EaP?2%IPSgC;4*<9HSxBMa^i zwY4O5B#kDuwY8e8bKG;dRPp%uUALSK_RELP9bdz8Gcz+6R5orFer0sm1+_*{x@#^b9jT<0aw< z|DxXjNc3@1_!3YmkYR5UHG z)`%WRd3zP)^ucRQngrc%+*LcobNt=;^uCMD_Dh4QcqaAnJ`bc3NScYxtrj$^*m)Q* z#bz=Q@9f5TNG?UmrUVL3#ybipPU%W=lv>nX@c-0xv>ccUF8#rz;G@zh=7$_VX;fgJ zEwI^3%#AdqBL4PPVpjLl-{MK8GOWK$hGAxpj$WlOOgQ#!8Hy^oaCa$G$KCO@&os`M z%nF*7Q?!SySf9mgcQ1X^VDxPG6~)Z=9LAgJBW&*6y;twqTAAj^xm}yaKCf3-hgF2G zSnr$mIxX4b&*R4C3)lF=}cg+Y0@fgnwZtwTxSF-Vg#Q8MW30iP8Nx+gj} zgZ($zEg5Bar(z1mt1b(-HJ1-l2~A@SFdgs=iL^4~>7slmH};u%QC%i#L2(T)x+T~( zE!`lemGZcvNbBUq+y3~I)@XX&H#n^RyF}QARgPrQ)tVk2^=#*jGmn2H%=zH?A}>^l z)&M7$Udqpa~GoPv=k@Kr+Q`#Y~781nW(o%&wEN4gVSFx^2 ziV4M4Q5q?P6KMw8_qdX;y9wJ3d>K2fjOMY@xn?ajd0Zd%F0y!r;< zNwdw?JHe}+pg+QclvM&LlCgui@&e6u9PLkKP|a$ueAljupXY^hC4)aFFnjUm>d46R z1Ykr#r0QNgzgV>tGR)U-4_jl~-pN=fXEAsSA~s4(;Cq#XGU;v!T`ZPt9i(2j8SkeY zI!!&UX2$E{dkYPI_X(@eF%KqUad^XRs!%6FC6&gr6*}Ak_v`GMmnOM7#i#rjUqm}B z)oSqsLH5{T7sl4>M|_JtJ8grtNk-PBJ!(v^!P6zwe%#ytZpv+MU?$mmCR%#b2#=1R8o-`KYmD?zZw$et5Z)oH6m$xhl7Ff_ir#}c&57t zg((HxTbubShyq`r=9IhH{io4RjHjoZTv$-hd_Im?!z;o#_9Fbg4^uc%KQx}*8582s z5MmQxt5#Jfsnr(aolriza}*XiIZ1hsMXtlaTLHj6&TDw?8r1G7mA$<5Wkq3o@vN=I zFr)9cXuslhZ96HpS^CHvJ`H;pyoA2F*gd)U*CK(I=!8p;uyOOz^uD?9dcz!8LTf7n zbC4mB$|nEj&%<{=8d7syV*G~I#3?_h!dIFvq4xv?Sp3g1pXLf=+k0)-`vrfiyEu04 z{w>nXLO4=_V)uR_s+g5e(lDG)>}Tw@tvq|(U!88Mi((3Y7T8L+`i1FEG%H0eOW9B0 z`78#_uuDU1HrKrz*`@i0wV^fbWpEncZ3I0V8P_J8Wh^ z@1sB8EEC1aq)QEO1zgIHPzu|`U6A5EEACN=Sa*@1c#l8&I1Y<;GOr-5w$?r`kKbEQ z_`eSfypW(w?H-zunvCJ7M5cqy?Fk#*nm@o6tyE~UzFl`!M)zzg6ZV>0iEh1_?%&Y< zg_*VEZ!>3=Hvz?y2QtBU>~*(tz9rt{9R=#xaFZpI?|STt82?6?q2JXFss!2*IUXfT z+&=YGBjat%WHg~NA0s<(=gX1am22ek+j^-@65MYdw~;M33a7Rx!FfD#Dy__ zu{r#VQ>tHi6vf>z=WO~JyER*{#!0{DPx{3cP|jYh4VMXDnPFe-@iA{a^XL@L;07-*@U1~rJ(xt{Bc`h36qSXUMNpU!hV)5I9)-Fuw*psy_Z=BeOmr=?K zc3Cqp3V=HlD`F|)?~O4VferdCWjuL920vz^qRL}$+t`(rh1uy}O_7Y4Tq`t(=p;@^ zHwj#N7{h53?g&B(Gnd ze-a%ODa+3)oy+~jq7#0$HRzT|y+?5%%vzU7#u0kAt}p!fj7?eP2}N`t;b-6%PQJzY zw`=}2PdUE6UeU03w)cPb}@>KlM8={Fnlr|OD_w~=DQ|kgpb4p!J zQj4kio~6Wa&&NqSZk7wS*l&h=PzJAVUfKTI!S#Prer)|joLk!kX$aQEe||Pe@W>Kg zu>8G(R>JXVCCBU{3Ux%dLp2(<<~~HGJE)cCkYY%BCkj0y;hskSZI{l& zsvq(!u!`EP&kuDnpUf!9GUK*^rU=LnaekOJV0g4*PW?e2v|t_8nX7GHO+W zrtV}{2f%rQQ5J0QS0`0WqJ;=62|>@83;tqi+GZ1me&HrwjH}Lp`W~VA(hC_FtC^yt z=Z{LrRc(4|_ZZhuGkHLXqwv;&%h#=@1vtXHVs6)I&s@q>c>GF@7K{|0xmT~!(0)0T2k2CWK80Fwsm1=E}})0tjKCYjEep{2tNIWr6`&FN8Mnt(cmPML?s zoWwr#p$|2wX262Q0G0%jKJ=lIJ`6e!V`w5VO{|7!)vA~@2!C3&4`|tb-@pEUueHxQ z3yqP4klD%Uto^V5ep{DwdQIk@9r*K+rO$ir19f-trwdQ^alAf_&+o2ZkzJ3!t-;aB z;rd{6dT4mOwdG%VzA6CLhDNuwT6-RRYOWxRPFlM@2`{qihRG-|SQeS?HII6{R8;)ekW!F>$@e;zu7^y?z}bq;Y| z8)8p{*y9jC-6p8&z|TR^FdpLip8%I0fW?SJ&wA=kB!7Ds6@LcFbj!s3J2y6dtZu=} z2FLhLOs{m)RcHN&iZ`MET!7FoS{p*Ynzu7Bp%u}MSGS3$YGE6db|UZ^wu$YcBN0AqD?Z-c4G7B)OKJXt})N}BKNX)uq? z6Mc;-2>(Qcr4INs_))Wh#ZY~MCWhHoZP3V%wqc2eM}ZpVE7e2|GGh$C+ML`@*U7|J z+6tk!K~z(~Q1?P(BNw}d#z%#m)*G@-tcGq>!GBqBPi^bCpk4z>bkxXbv%ceH44APF zXLRe>wrZuqsFj(GZu=2(By2s>kEHdyc*Q4=R^|TBzLT@l3_m*b^+(oidT4rPU-cXO zw^e!Kmt9h@QVpUHTL5F}R6xL+V?TiNm|H`m<3rnen|+m6@WQxl8{V1rIP_W$w?HFivWN%!uVNgAy|3{H&yh9OmVfISZ-Kok zR4Q3kERjEha9JK!6M3k0_L9%*YN-yD)$XLPtKa@bSE*-ezhGjS?bV_;Y{u-P?$baB{wdP=L2wmNJyoU(soxZARe4AG*jhB&W z%+1l^+Fd(=vFEXU@a0~G7Re&KUVz)!L=<VVEHt4bvd;rdwE`UZ5doa$+8?AR%^ zruTT>TimKf51Y$e`*$OHJP4)?J*p zXb92Fs+tV!X4X3m_ezjG0@D-}hkrt5(TV(|cB0ehx^%5|BB6K`DP(Gf6t8p?qk7S4 zF;Q=04~SS(B7wbBL|AURek-6E7-kH-b1LE-gF9_pt_QxMF)Ze=(<|z7Sbr15+cvjt z-^xB)Vy*>2YkU@>c82R;f+3c&MHY(YOsx_Vb^o{Cc6~_}Lc!#k6Yh|SSbuSwXDjI~ z6ZZ_c{f_e#OPc;O100F@FTnmO^kXQu)@y^s7MgZ&r7_?W80)&RK|D(%83bJnm=EEu zLcz=kTOKQoHz3LAw5wB&AygaB!Rfa8^pwPC+ObN_W0jyS(38fdr_uF1l;e42>9gd6 z_hSwqHM5NCxO+6^dm56%EPtc&mLXdP(5LXRS`H4#(jEkXl_l%92eIFC3DD{hH1-5{ zTGo@UK-pjnTA-DhyFhJ)^xeI;ArmHvI~JTK1XgNTTq9*yE>pfmasd_)ognJV8;jhw zM4|TVNxE|qJVv*JSE9L~VMhG*Fe>oyuA!~v%RF}i#fl^lbBSMis(&SyaGB#8BgnQJ z5oDa(NJ;Z(fzY%53}1lY%PF@t5D=4~w1pC=nIh5E1x+Td zc1)}5oMmBf!hjQvYXTCP8CzO?7=M9U=qs}K5|Ad3ok0Y~K*&o z0mP`JRk-Dl35M6QQsrATbss5cMN2RCu>z)K#>goiwo8ABA&5*F+ldLlT&LF>g%FFC zYj*{>Xc0bWmeszKhhZ=;xLi{&SO}bJ^te)}6YMAj#yBvm;X^xt7jSb~SV$Hh5g9h0 zve+>4>c1fH6n_{T>O`Q~;?&lj>({&OLEM8=tdMfY%iE!B7K=6WOstz~B~Z;D|jkpku{ks=Tn-4nR@-2xe|mti%80jBn@*oC{*kd}nK z>gp5cN*K7X2Krno(zK%=vwy9Vd14ETXr(KlT+>Q9>&1;nx7Ome5FT!9v`ve^umHlXK$x|f=H_Wt+!UzT z&5;)r60840Ibm1U%&=P+(Mf%+5v5CPKj1|$n&Ed3Lg<4ZT%XBI?0z+hvcP@HZ8eQS zCA{Gm1~i@}s~x~6lanMk)YO%$hNPsF<(;=7bbpg3mibsKo8EC!J(Y=e{aW*wto(I| z$i@9erdbtRuV%1;b1f7C3q`mg4^>p1xOKfau7;J+niI2uZ+pQPNiB*9anq}*BcSZRWoXZR1EXyPEKI!+wzB!ie|Q!=;_;uFaj zOHthH2x5xUsfkmmi3d|M`WYxZkyeXvb$`c+g_Iq43!@IK_NSb9Ii=O{l#DN=Se;J^ zUr5<;F-0+*5`HnMZc3}mDH$D$ipY1TWGqW*^-fC0<`k=kQ>+$Kb|fcWPf_%zWF%|w zM2gjIDOSn!Ng6nva>+nS#-@~352Pq&QxxY?!jqlh#uTe0#oCmNj;jbW=WI&Gn}4Z^ zBPq*wrGzJ&<$=sST}CGXWfwTDu%Z%4ue;G9)s6z8?r-y94jzxm0R8``S-!^w(K{UyOKXE zV^U_>bNOHJb6?&-XW8@lpXH~&9KVoXEXF7D*NgGV{6aB4m7g!hr}Ni}@qc`Nt{5-m zXN&P-{%SEklbCAC=#w(QX1RGr3ZNi3U zXh`WfiSyri;Lc=E=ls?8P59*bQ~4$Q*zdO(W#acP;cb4WS1Z}Wn4J@hkRdNhPvSF^ zJ(2Si$KNC6`O*CE_&vGjw|}yY-*WJK6DMjr@p_KrN6lxlC!v%3eN&z@7p@KXb+_^B zQhs(D=lMMYTOlZ)K}Nh)@Ov`<8-B#<^>bh2dV7~CzrB&Y^MG6w|2+>>|id@>XNDf7Q_d za2F$KyMTKWHzfG$SRli*N~I9vzZwbEE_Nnq78zl9hnk{j`T30(8HB)ql~OfZiBE*8qAxg7Rv6 zA=04^=*b8=1`hVUh-b6_=w|<_qZ8m@mwbM51km}2@56xF#iqwS08bu>Jb4Pz>;~53 zwg5foUv+dGe0N3CRsnh`g3dwObR_LOplc%NT5vcQahQh=BasgKfqN*z?FO!Wqv1)8 zL)xxL+9Eg%L`WP?0e39IJqf;b&rnCl0NouyFM-3Vh(im|gAw!spsOS3K|os(bOh4o rBWe4Adnm%4f^8=v+ujE5;Rs5v*CP&>0G)%he*=?b4y6HMlL`-u8a)sX delta 3658 zcmV-Q4z=;5AC(`FM}M0=00000003wX00000003M90000000000005N_00000004La z>|0xml~)!12PnO0sp6&D^pcQ@+8T1s8HQUElEa*tLK)69XQmWN4o4e9QZGZxP#%bx zL>_qH0h4NIrIi|6Y0@Tr-~k&RraBLplGqp$M?-W}R7^;%ihs%jN`Jp^?X~{B|Fh3V zV{Fni^CyS1|FzeBt-bc%YYsPL?%9s756yqxbswm^i?2RB*+=pEJU+j@c~N#VzNhLV z<3p`_XJT+@bZXcB5T(J&t3`X2+A9)QJ&L~S*7Cx4Q?i;6#mWV&VS;T>z+KT@~g zWsPHeAEp<(>8i8-1I3%re{S;B^zL*o@BtfcvlTAO)n zo)~Cvh47C>Sn7bgz>k_0ECyR+G%?J!YMVxWxC=`(JPOn>U#TY6AT!4BtDW&}be&9m zv1=jpw~1;B80sEqY~*6s;OK~u(|SX;iDl4@Dt|Z&wlp@43hE7zL`MyebXwbA$AB5@ za7H#YH&-haMy3rDdqBcBNch{pQd6N)=P91=Gq*uNF%~lfF9(S4kGy zN(#~UA`>mSki(tYK#_;^6}|7kUx6{$rbIW?61o|LSm0f&>9Jq-m48_b zwT_hPLr`AI^}$UHAd5|2m6f*B6nS5VMO^BonozjalvavEK(+!>?Pvkn9#o!cr>Hz+ zT&`YP{1`6F8i)UIV2Grh)ydEhoA`L-a2_Sf#o6KZsFpVI3Y0T%_${NqRN)@Z3Q$5!;FDpkQ*x36IA_tbe$Zv#scj zV_OE@VaIujE1Lc@100F@FTnl@^kXPDwHo!}{7gHz&lvC-jCI#oBc7#^41#V2%!lwu zp8pExTP92r4=lJ!2&`1FxJSy7T&8@9a_W^8HqVf+PZp|8lY^w8n8OEir8?kV(_-KM!RD*mCj#XYYf3z1Ej{&?eXBROb54k;8 z3kmKrEw6WA)>dcNYI2(1rW-z&=b(@ zEMU!M4PRJoeFH=gnQ?ZI6M(r+TOx%JiCY8gL%QFs(Qgf;9R4( zokE@9Kq@fCfq#nvKD7IL0XLV0>(JsOBE#BM78^!h{TBqD0)soD2sB%q+FG*xcDc>P zJ*;)&{lYM$uifGWMyYbJ4>9Z_Qox)hlEea|d4Y?r6^L-V46`{5kW~WRUTh6$O53Z> z#<30^ALj6YFRZL2p+rqNYjPDvIYXt#;EmGAtaj{d$A56CP@p z$%Mk6hxAVeB>_IabyH(Hgxy}jR^zPSCa~h9z$T$UxQxpUA_1GqPAPT=LX|BR>e_NP z>uq1ySe^Mt^Wu-@3z6!~=bOU5LbGe~?8;@?K!JQyH+}LQD6@lRb2u}iG)^;rdRooP)SmVeG3W?Q! zp`5U)YGzmyMs!kNYeeZ1I}UgejArQ0)*pb~cXg@F|W ztpGlmoFu`arlpXSl(M|@HiT}{#IkJGl}+!kP=AC8Z=zkj)jTFE-whGDXm4biRk8JI z29GM-%Y?u}5uV3G6;&smT<_M8+|hVXyNnNxDtR;sc!t(T&Tn?Ejq?BbEm|-gO%OGr z0GW7;YUy2akxqot1&Pi=Q%Te;QKLhhFDENJ*Q7p~qJGK|Uz@tANRjO0u<1X_-jkBe ze1GP{IEOadSZt?R=X!BK3ok!Uay)d9QSn>Wyh5i#m^(v((jr(|qMvHDVq)#;QSv#E&-sfpz&8Ob&HYKqmJDOSn!Ng6nj za>@FXjCCok9!XK`OHs_GgeNP*@)WCGDd8(pGIpl2WF{r!?bO6mDd9U)!jr{vIDe(p z`jqgg)Wk$etD~s`up(9Zrc)G2x6h<3x4nwW6?-F%KA*B=#=pvc0ADzrIbM>n)%&gBfb05x-=iU==LGJ5bHJUFv`U5eZt%PPOnz7IotzlC0=})!RG`%li4#lZ*lxNQl1~p|BfG% zdwz?%_$>rKw(a=Gu<}EG=YQ~-%$|i#?&nQ;&Rn=L;J2iUU!U@`uB_+D3q1toli4xY zYy6(g|AybNdi~rF7QDR+l%HL3JwImOMe*O$u-Eu~JO4+%Q2Ei9Y~S*s-q&^Mx&S{v zWH-T@)DDyX9s%zKxVTSd&w;K_e%j@1Uzq(7b6!9GpDXgPE~@8o0Dr?Jq90>m@t{t9 zfxU~mbXutVVtaRj$9mQ2Qo)aQE$Gr|k@8sOmC?}zz86EM@-vG}v^IsGVdLMLlpeYd zxQC%d`8f>ez6g309IQ#^@n44SkN8&|(c{#v{2Ty>1ChS$YAh=w;%z-}Pe-^rfouJ0 zPr_dDA^)l){x`VG5r2nefS!+_?ATd@+%q}{+lAPgMz~J_caLYNqYJ>b z2Bn{z19W-B;S8YbBIqvgJ?2Suv>wpY5tMzV4H1W%fO{pvy%SLDKl{lQfX@0?9bH70 z+!kdC+rjpy8c)JMaMU6lSl8l}u^7;IJgJWOe+%|UQ0_=^ZGU15bS}c(03Bu`9a?~% zh@eeyI2mzZ+jBz%od$>Dh{G_T(-Cw(pob#p0eJF2lYRg0eL{8*!M14#SZShk(03!d(K~%MtEzNZT1nW3$`-4(CZu0=F6A zo&evLN7B(TKsk3s&`aR3G~zG?=)nkj9?)eG^dO*95p)>RrXy+lfqN*z-38l@Mc%mr c+`|!+UT;MlE&+N3(*6yTa}K2ep_3U8i)mj7LjV8( diff --git a/1ano/2semestre/lsd/pratica01/part4/incremental_db/compiled_partitions/EqCmpDemo.root_partition.map.cdb b/1ano/2semestre/lsd/pratica01/part4/incremental_db/compiled_partitions/EqCmpDemo.root_partition.map.cdb index d2e2cd65f275e4be554ee7c544d4e514471ab4c2..9f9bce5d7c5171ed09f0881bf28c6b35cbc7b259 100644 GIT binary patch literal 2435 zcmeHJ|2Go~6zA0=4}BF1#um{bnZR(^Evn2^ZDVPd(XWlIyyRahPrD} zM<;sC4zA(3uy||~I@ZP!HF_Kk@Z<;U4 zMxL*GlyaR%cXCigiYh4Gjo?;nF#F{~ABHIAD~At5p(&FbCUd@c{xD7U)K!*9La{M9 z`bQ9H8EsERGoD72hXsubsMWIH(Z+ScBlq6i0u}eeJ>5IryLL zLbhc3EhacqhVN00?m)T0VGdn%%90Dgyhlzkf5{9%T3Qpasm^`aBmr%#Whr=L7Y4@F zZ#pz4-wp2nk{M15M|b@J3AEy(i)UBdqJ%HO{#8J z=%E%ce6gOm9D3IRx^O|x%KvbzuN1`Jfx=OKio#>!!;K@Q#;~>@n`%dMO2B@2Llke!kV$tUX6Syl9Z4fk0V`% z;BlnMIZeXCfaamQHFSGtlxE^a&8j8N+{%hzZ=XBVhaP7`nGv}HW=TP6e=#DKG{NS$ z(;y+4X2Q87K3+|?xAyv;o1)hEMr-1`q4Dyex+*PjxZwukWDrM_%79-kg%ekc6E{~q zlU4O@%R$$=XbM#*_9093xuH+M03j8Y7~NJC%O@p4t`JaOqT_T)UX0&xGPp&ZzkgFE z(-iulZFrs@!ex|ga)U{=6)nR#eDUSk@(W^-I`xQ@+`^qwDRzlR0Rgp)x7#j&7<)xDsUF-LkB<(a{|1g3XH1xNA zu2idkXWf&6JXSIk9h>Jaya)Mm0NjXmr^cRNDi2rP3>$;mX0KE`e@s#SstkPa?t;6{ z$`rOrEw8WNKdGMJRkM`4)0|cZC{GPvm#_++-hp}2Tr>Q=vi_pe{E}v&-7t@hpzeq>_a4)@=Rty#>l>EO}y_O=Gu%D4}%s81yc@Sa{=Mtkq>K)I6v2I;;qO~~nxcZH&} zhn$^7nSlowu87if;d#uoxIiF{^9I5IJa{){*81w1C&BHjwSQWuXa=@U-yRFyg%a!8 i@iIdz?R}cjwuwhLOG3&kUt^;Yj%S`Kb?s@=YX1R@ZAIJw literal 2435 zcmeHJ{WsGK80YqaOKzt`<@F{iUFK_K^H!p`A<<2YMmD6$>y|BVW$IRFA;mFt5((pC~aQmZSyi;GaK8kd;2TyIi2S@&*yWV^PJBQ&v~BnJQ@lL3eQv(*Q0_0 zX5B2;(I+G}E<7sIz{1=NFar#Z;=&`)2G$nl1^@tAcMJ1%disQcdpI`4;21g_yIxxY z{*Z80`cFwgwBZN-8;L4EI9`#@?5*%WqoK6$ZAjvk{u0riU#LPy94@b;rf!-w%}%{D z*Alk1PBUkz%b?qD?bs^d;6 ztv>Wrzk$0lR9>DD`qGYNS4$a4+ffyCtT~{D66opId(np#@H6+b2S4ZF|F#Qg&*Dtb zo9tps|IKq3O)wo}_FbpFd9Valrr&;~Njkc=GF6~d>sLfuP1ay_h++-yU}79Hj6M|* z$ONe+eV-ouz(AlbPkWryqwP)#3>Mgdwgi5YV{%;V_~RA&Olwghacu}`4dZR+ClLkR zGzlc3rqVfq&B^QvsWh@_eu6cl?(gHp5b(dt&^hH{jfGqbZI8pgFGay>lStjkh9KaL zO)S4|sy{D>rDMBx89vgt!f#~_(ipv5{95ng+5&4Q#q(~UZVsfYnqB#xw>qGm(b0vg z)~-rlycfC9AI~W8#Aunuw_(FFh5-`?iabUuNuGAjG7_kd91pTU#?^>7qGlSnC^US2 z{!4^7ZLFIhnuoBtp|Tm;w@jLcec=P>3mT-0fCa}_<^=n|oRW9qk@o_sn91Z&#ls0; zPKOKFHc>v_9@L@Q** z+ezHmbd+w2&UKh@8nk{UpzQ#=8G&_0G7`S|cqnkm3X~^FUg9K6V$6UeSw=55_Oxtz z{(S*8K>~Q4_}YdEz4~oo{HI@e_PAC0!OG{h+O{UvL+A(SgvEh$2D3PV;M(|#GcqSk zX0OG{mJ++Cv*h|rVdNY#3ZpfPfUv50!$S$w$;*^@Y?<&y^5FP%mQb~#%xYM;)9*gi zVRly;*D1n-W)pI1ba4g7t>dEHNxIFm`s>$CF2^e-=Fl)2j$1nqLUQuD=8mI`>!&n(ry0TYmY)3QiMrXR`#2ht|}^s=8Dx5%tgWOK`@FbnXp^s!(L9zfU1{%i%255 z(OI@=?kK$}Y~4C{?u)rcuO2;Y!^zQ>;76h2dm*ECM(NUuLthg`=S7GY{pV~Iq*HO_ zk|heobW$?GtmqW&zXp{th;LOtk~?!-9?r<#gOxihectJ0>EiDz&&IWnIMt-}KtYn( zi4D7q>Pb$o@l)wnqmOTQP;-eE=B^^zZkzB=0gMmGjz-^t)$9JZ^V=Iaz~`##z@PY1 zM#KZ4!Z2DhK3Ox2^=e4X!L9ECph>pav#kxj#mXmO6@o_jeZ||d``GGyxdP_638vYuudpEkruD!s& zIHe!Bc2BQs#rn}lBP0ARx8h%;%Krx3l9Du;8nfnG0uIuhH98Z2QQO$`fhs0;oqhf} z&o=ht3?dbt28^UNQL91exLbTaP0T;*I=#Fa<+{HWWt*y}lICH*7U&(=pT|98bLbHF z76MWX^D9gn^NpG2<+2x}T@CH^m<}DpS^cZ0-yoZ0RgbmBBo!m*reo+mDBfmc<}GyT eKDYWPBb-z3${4Z7V~ZN6_h_~_W<A%l`q*!2F~D diff --git a/1ano/2semestre/lsd/pratica01/part4/incremental_db/compiled_partitions/EqCmpDemo.root_partition.map.dpi b/1ano/2semestre/lsd/pratica01/part4/incremental_db/compiled_partitions/EqCmpDemo.root_partition.map.dpi index 2000773ade9b5b6313144509451d602fff779376..b916213a9ffd0b35a360a1be5a927c08cb4ae4a7 100644 GIT binary patch literal 774 zcmV+h1Nr={4*>uG0001ZoZVM#Z`v>r{@z~^@p;8bpbf2DS1qJdD^?JNu2nT5lQ>}2 ziBrd6L)wp@F*Fbe8Qr8-TZ9zfJ@?{!$=Sg3A0acQL?o0)XBDezRtyq(+^5m_tTO0d z9X2ZG=m5r8NGg>~f({JztQZSg^Tv#iFk3M+^M%sk(muLhybar|T2;%qOeymX$2JYi z0_ta*YI%2Kw5cS9>ud86tQ*LircuB%sMWnT)b=6Baq|ih4hib>7y`Y@g8>dHo1x~Q zbpgSW$V<#)M$N}&yL0Pa-@C_GPQz?E5NLj0G?{QAhm#n~3Hk}aI=SJMGLqzZ8GoCt zAui~cMwkt?IrpU*#`WsR4HWMZ?99ddHi zu#fGAIkHb09y#(M$V7Me6PU^b4es3DC!^W<(!FlGE#-T`-fkdJ+(B<_tQHCRJN4Ge z)j!ob z8%8svAR)PdN?ttmS)bAb};aqymd%n_F4W1XQ1@gHEc$u&92eXsohYthEDBkuqP9s7R+6 zQ%NkY_^J~Onhdk6##u#*lvIAx9c9O@7G7_O`Pch@c7^qxU6EnMkcRb@OHGu|#*=w! zek;0z<>Y-T5Km>CN(kob5=j!^l*!=~vy`9*C7xsKR?JPj%g*4ob;M1& literal 774 zcmV+h1Nr={4*>uG0001ZoZVOdPvS5X|J}c0;pdZX6WuhmMqyqwoDc+0V=koI3N&`> z>^km9?vKAxa4;D=yh}893(5N4=Y8q>veyF7eniZe5|L6KpVq9pSu;o+@Q}vi)7qea zx$oA_&>l>%kW?y}6zv)6Suqy0=8YNmF{g;1(5P91+y#2?Tmo1V=ccY=)YH z);R=Aq9`$s88si8?aqyNb>|&jIZ2`I2|%z>Sfh@?^wz|s&TLTxL+ zrlm{L0PA}$S})%LqrSjmhQ4$01i=ec5ntcCuZ}60kl-oJBIMxECHUyTH?i5k4mmz_ z?IYVYef!uA$YBUUF1p2^z*MGaaO?Fx8O_d@?p51sDc=kBW&?rp4tir_wMfL@skc_H z{;Bp_ZTo{e%nA$NT^4 z>HBZT^ZW5UeSP{9H=pr(401f|UcR#MnwbnPci#Tv=Z;UD-hX<$zBK*x`OCYv@7{m>aQ|OD zegE}%emkD0uTOvC_A_3OL5_zV?BM5Mr-NJT+;r!rjApc++cUF}0xSZvU;<|W6HYgM Ybp4}!=hutd@2-x=>Fe(Rv(E%>0WT?r2mk;8 diff --git a/1ano/2semestre/lsd/pratica01/part4/incremental_db/compiled_partitions/EqCmpDemo.root_partition.map.hbdb.hdb b/1ano/2semestre/lsd/pratica01/part4/incremental_db/compiled_partitions/EqCmpDemo.root_partition.map.hbdb.hdb index 4f3c972f5d3a034da640f00281596a3ddf6a4df3..c2334287a0367fb2c905da3d1f17fb821e0ccdc9 100644 GIT binary patch literal 29470 zcmagF1ymhD6E27ZcXvq$1b24=3GQ-n4erjx-Q8V+yUWF$;O-FI-5vJk|KGlIcK5xv z=T23BT~$+EJw4MhJr^4S0-_QL63ihWEWtl^aH?kP;An1ZL(a;~$im1%{@vN!>K8c| zD>FF@3lsQYWd=iKDRLQeCu8y-zs#M$wx6gz{l^7`^?wow2$cVkf)i55|6(`DQ!lhk zouQ=uo3JIqWnV%dgW#)=I1F)&V6YeSq}NPCf7B3l*ZpqXTx?)%yq$=*C&*7BW5ampDsz z$#(jDPx;vd!g%qGJ;Bn?LND#o0$B-aq~_As+80U>GwJDsp2L&R9w1)EwWl?v(MZnS zR!^?wq?b#bjhOeO7q5HCiVCtfopaZYQTN0P%pA3%hBx#3!Ya=c?ovkrVxi7m(-fVs zgbU$HAbI=s)3GzRu^8T<5zC|CAuP`e-td;N8osf5sZ2zLb7!$BgpFG+~y=!FJ)lc zOYR3&t%dGd;-WE;5Vv~I$Di2IVV1rwJ048X)Jk}>Q%k7+BnHOl0+a*)-+P>x9;Q2mn~!LOnW-mTjHriZ^r4REBL&k(hi=RJ)df zZ^jR@6KA(0p)54+FaTZ!A=!8!wO_Jz&fXoS4hY^3aM#H-eigTXpr^&$j{D}^Q+ZGj zy%wDb=%WMvnHiB;b=B)x-pg=1>;6t2`z8w?1L56g{Zb~-LekNNSj$EnOb z@bYuvOR>MM`O=oR(`YE|u@IrIYj3$)`(?eS4*kNN*CPRoLsx%s2Xwx*26d@A*|55`yDE!&Kiqs>yQ5##kdQ|UEM?*os1+Prh1rV)3-@G zLv^jAyb^GduSv!VhkwI!S^%shWP$`K-Q>k|O@6!gjNuuL!r}k9QI{!iy;1kLedT7~ zVR_uBRnZj%aUg%p=f^>9%7V-stx-i+nsvu zYwLwqjo_94`~5)95ik0ZoFmu3$h9rz(4v4b9}0{2jCvA(*4J|Y)GdB=Na4%|!Gm0>8Js8`~Ns9ov9Qm^+ov_l4LXR0=!_1?s)xxWh}D2VYhE zdt1$MSDd|={_ESvp^#NZi#}i2pg!!z)+<8Ou=G~pM#|5NVv!Gx+L{l`%lOfb4(nts zL3e(0L7Dk4Pt}Azo06ihs$MDH-hs6t@1=tz?uPw%dy!;6r&KqBc8yLvTjgJZq;l`Q zPMA2DRGgI$l%=-+4gm_P3DMde-t^41hglx`iFtyLJG{SsnA7Xadqz5lzK2_nAo98f9#Q5Y%OdImOs|YxpUYDOel)3p+O?!2wjF!H0S1wF~RdaNp5T81DIBMOhzF#*_gmqA7PxL1Y{DtIIqb&0O1v z^eC5dLnMZd1r)2sE8b?o2c2+ePI9X;&)g~2t7w??g2~fGF)`T9XVr;b^g~&fy)a&( zH-`D=f)8*FQQo~UYV-b!bvr}b<>Q7h%R8IqTawr-!j%!5Uc2U7kCF<8r!t_R&Yiw9 zMOB)g)TM4*hlzso{8yg9(?3MR5#c+}%Shs=ydu3MX3+Icb#rw3($;szcYd$tB5yiA zMK1%J;+{vVl=2&lLMQJjt9P!ka_mwtlY2RmUFlX!G19O#v39CHsE= zXZGSi`iL>+w^bb|=W8pnJ@<{YTwCsSYYl|f4VP-II@{EOCNvu68Wv>|=kwXO3s>vv z2xYOV-P~DVVsHc|Z4#I4>m}6VTAHnSA7<_|b!KuF)W@I*utvhXn#dqW`@XxOMmwd~ ztin}rWSbSS>v$QljvFKEo)@AkF3BX?rnpExi{BL$O_%Vy(WA1Kn+#x4AY{TrdT7fA zl$+yzpeL}y;{e?$P5vxugV$Z;;5R!8!?SX1>$9mzZIya)JVPWtNui0a~f799SVwK zT3pV`NFm771vGJCm-mDO2}W8y#ZIY6v#i44tScqL5Z|FM@gldGQ81X2m{|~DcI8MD zvFe5>E#z`Y2ZRUG)ioAjors2|DHw`U*RmvX3nVUKOJ!n6WfDkbstRIIutsOP$4pJ9 zlGf(4ko4S`!kZ8brPBVM;WRZ4jp?oVOS6*LzxCpqm!)rl<(Z@Q-8_1y;H~8MUR_53 zjE27E&v~k&pJQB#pB-?!RcoV+)CNCd~7$Z0OWobI)lJ6>$ zAY|tYn$<~P5-X;RIR2u4owow6(Q0aC7rv?>@x_>V(-y;(eEpe>w&D8bT+n{&rn=U| zKU%CwJ)W-yCMD`|#c$?A`_mx`mZSpkg}_^~#QkXxg>>-^5pz-jICELR%PkQ?ws_`O zH&Va&O`w>R{{aRqSWp2L^!yV@g9VHTy87+E_y-B*bg7tiS^ci!5h7D$z#^y}N-TV) zv~IWIPlM866(Q74VGjnA11G%4_axW|-Fyy?;Pjja{GBZXEx`@8rR-sp`{&GzZ=r&0 z@Z5(Jc-LOe0oGmsl;_lbSnggcrduGfkyUMd2Xl4j#09@szackv91bMoM?piy9{O<-zcMby!t6a2L9qFY#e_oL13@O_&EBLlbfVh z>l!nE|Eiq$EB%}&Y*^thaje3CW~DlDT_#Gtcs`Pm1ae3;)Wox=PfXQR{v{Dn2fp^I z9-Ip;Wq#^P^KA^O>lT$3dAMrx@x&_slY}Qyd{#&S9M>b&78*u(Jz*ekW_p%Iv|y_J z=bqU9$O_+nZ6W;RR6o4rRPj*AFkm2lY$}DJq@{qdd8HA3rD|zV!$Ky*cG}aXp)-NX8+5#VZpEIyhLcr6qRU#?9dnc6*k+BLdD?8+A=0}nnB|=E?MRvPRqZs5FE~^< z<>1A`1(S#q_-VPQfGQR;4k}b6BLfA4*Gy{tUt?}|Q+K%~-{DkhD|9OA7AAHF+QfUB zeiss&Ck0nXuReB8p~K?G{FV{)6E-K!15*!}w!j1lzMnpem`CU4vPhegVtq^8XR-Zm zo;^#&D&<*hj5A3gXSY=T|9g);%8ib=KV&7V@{6~>HnCG2c$zHN(7|f$UK6>%dUUHc zy&|JG{z3-gb9_1Dl)7ss>BAJ2YLgR+ z5&SC0{Ua3zFHztpb{|qTjnVMYRjU8MQAZOgD|QDyXQoqsSEY4kj8|E0&&bb~RcN7E z-$Cf1fJ<|m@SW)u?4kTxnrLw?W#X8kB5qO!S$lrM^lk2yv|4X|cRJ&t{Nmmwf&stD z8Y(KF*ms$0_@LBX3?!Ce3Vd)x?BI)P7b;15g`U6<^@S=(EO4^>hsHHQV}C(x=p`tU zSPL->J_qSfZQ;MH(|@xOgCp^iI6JA>@f3vLzx@9ERh&IgOe}yx{53H$)vkq^%O|N- zfoNR2GK6Nb!G`S8LL+X*IX~BSk;I;#7P>7VbVm^{d`A%nOjzMNCGZ{6QPD%wtVfAS zCGGA!@KLn39%)I7ak{`e$Rxl8TRuIpich1@-n`zK9`FwE0?>V%e@_DZ6B%PBHFu4T zWTfi?(|kupKdIzr|SE;4RT5Qpzny~|2yG#wr4!Pp-RyJ!p+jzx(n~gqLs8CK_+nt=_>y)4un&sdYm-kZ zeo+XE4sK#d3Rg15P0fDok4_xO1RjE;fpt!IWhztNLQk z3}z?gq2=`rKxe=pWtbo-ZC^O+f>o3>JFw5QxfvXM*#NFF1dPw%4oWiZVm-vE{`pJ!U32z3FVO+6dWOm4nZ4 zV+h1M-`5AGao+hYOJ1Gd$^vqi&SgWuPC4hy^Hs!CmbNObyHv&bQc|`vw4_QEv4ly= zRLm-I{v?I0br}F4c<{}gWj;}lL77~LP zbFq;WB_agk4g%Yfjl#os@Fn0XiY3_*jiQx;kxY^uiAYkmeY{a71A0Z1mC}S@x_uE3 zo7@uqx3c{jmgrpfPZD*I507K|M=CLwQ>CQSdCDX`aoP60gWSD+Jp|vZA}K__{EDOi zfw5gA#Tl$Aam^}z`oHQZ4@W|4iz`e=25`Xvg$hODHp_tv_MGEf7^O?`LDiLIG#ssZ zC=*9NJ*|$~+goqA)BX7%twX8!!pTe}EJ9&Wa<&o*+&*O$xP4`kv=7A3auNOJvabo7 z!PhqWaoqHkvePeuNL+MR|MQG)jWom11)~7vpt1vf)CCti7C#!OxC6R{J{D#X#TS#N##gSdU1)_tk^ z$-HS!2hqa&dliO-_whd#UkzrwHDI>=kA>G_@XkJp>A4X$rn~gLoOf6M(7>3+%7h~h zgFdOw#Ot1`>y6bHlg06JXx?`FiaT&6K^wjkr+_^~kwzUY{zZ{E=Id|n=ud{B`P=iP z&{D=jJ8K-rJ>>8mMZ@4O?<=^=Qvi2)wcsw#|1-Ex6b1K*A*s8eaBi2aQn(Vw=a$mm zwZ!$eE^*8%mLW+$f?;C>aW z3>x}55oj~zaRM>-v712luuqfus^EDF3I+H=foC!Kz$vG+O<=*;r%4Ly?I=?F4v$Q) zZ(-5*Vlm8;xA19SrzuS0#bRE1>NPiecOo#g>=kyQ@L1*86ATcnv@Mh`6>o-S?DJ|yOxy$^_ z{*`-gW0V3#g!IONln_epDcEjob#>cWIDSU;y&yCN7BXrxWiZf8b(SH@panB%C?Hm;dkPmX zR?0$PKW`!M6PUz!3W0&#;5-*N4<<2AaGs-($1r{0n_o5J`pauesJxoYCI9x7c@eqUw2H(KI_#dDIgCCe-^c_Eykp9jbu)h3u z1Vug*;=8Lb%rsg+SLh#n`pR7#PWC;fxFTVb(U?8!-e1Rp2fnZytzm?j2tj9KLVSil z9!1RDZ;ONlv5J%0bLpWnq>wY+oyTY)O>*B@{rFTmB zDVUl5rGB8ZM_Uh~6kqJR3zyd5N0t?~{X9nG`fqy}fsZC=2R=1(4KaxXx za_EzL6)n zO@ZHoua@K9hqRNzwZ{TNE2SJ}JU?3(oTaSJ+Bv*Dxu@LIy)lkznd|7tuS^^L92%bF zow(Dup84zStqF9>JaH^^(stQ>Z`hGuWdzn`UJIa9+dd3PcQ()o0iJc304N$YCmvth zFW*QmE&T9`UjFCu{KbN_TMJ^ayAXl6+^};1#s|q_d1fF$wEW+@U+vb@BFmg+hs&Z> z8+=V?fpbBR=QSSwtzH=O84e>6*u7m=1hp!Wpu7_R1IVVypgT;$N?U#aN1duyx@;Zr zw^lSyw+CteDSJ@6v(ww%(nQS$)>AE_ork9kXDR%=146gXH1Q%Fg0481cgo%jUaxgW z!E){K7m5%@Q_=#n%FXu4mW=*g-2sYT+cRhPT;_WKsA?#HT!8kqNOz-*D9(TDGu>_N zr3TZa*Iqs8x!Y+=rr%DN+b@n*a%}f@o2A_PbB+(D2HHQ-|x6uVTU0qrSa4~4KW1`)- zNl~O$a6t8MJ79jTl3E7o01t; z8szLby$3%g|A&dJcpO4502H1k{F_ap)r8@6$l5hLWi5snq&v~p@VU0JJB0}(+c|sI z!q`0Osv~Vv%)dq@)MtV6H`KqLmrKW^Z+wwS*Xyjz4EKOJZ6FOc)WW6RwBG3FSn^)a zL*q83T*bd@oYDMCa)7?~6K%BTl?;pCXJmI}3C) zOjg_ai#kT@3eMzLqd8~qr_V}q)C9w3A4bm}qdlJp7WYg+j2o0xw>rn^k2ArZi!A1= z7$Gmzx`uaWm=UW-4iMW!88D0)|B2+dTZg80p(JnIyEd#AtmB(d@I_gg9DqETH|Gu@*)%lo#+jUFi!UE;;ha2<=lW- z9_qrbY{VDTJ^;_{S<)*#T4Q{Ar>2mf)ErL&%KH?{{A3}E@}1=Tw|V>$ZRB=b-dF=W zGfJ=L?^FHf`Ka$(H~6%rI`d^#I?Jy-sK*%MqA4N*-e}L}PuYHx8~&5mZw`;1@Pc}f z7aM*Lux=kz?eZZHlj{L@X#1J=kzH`U6e_FsA?KnmZWr97U30!M)7JF^8<7nN#4+Sm z&$@S_9H?byx#@6a!2c~1-iOQ-qBiZR-p}?Oj=HZ|Q zGDGWJZ%E$YQIs^{384uGV`;|p61(NX?cOM^X)x=oDaZWcxP@7P8z`FV<=7GB-}BR%pE?*q?}wz?(Wt+-i`^{N?t^w0sLdvzKqw zUZ7ULXG+^pvDr_YL&7zW<>?1xtJg1*hj&rp9H8xy8mLeO#1mdbJuQM@FVq>liT-md z&o7Ib_%DnzuLT?mBaMbvwR`TdUm08(Y03W{v@E(qn7Z5T!+itVxg&1O;yx=UJ*+^N z;a2=VPCdUNjRlln>M%|x(Leg8l*#o`?wR>gxco)Ecs%qwUr?(p+>M&C&thcqLqmRc zCw(jAf2IT8MhT1iIN@#P*w+GWu$b|)SCO6C>?0v6?8WAL8@1gclDT?6hWhAc*~_bJ zsQ&6q$EI;qcA5{4$xyL%RONM|RyD>5OMje*-y&g}Ucj|ZVmbHL>2W1F4OPGLvs$Acg9+u z_YeIh!pnI3LcRG0Q~8#a^^?`AUo@^V&t!KY3f>*(v^xXn(98hxo*yx8JcDlBOEK_S zE5r4V?g@%RxvJhpuZnzNgyPM%Da&Bm)){WZjAI%!cB^Vb_6=PZPIL`c7Q&W$V^+BG z?PWd0Xx_rKjT03d$j>oJdb6d!-^j9pz(09HKJE4j^T;&glc#vca@l#@u)aO$l^Z)-e($sy$vfeEH(UxEAPmzQ z`F+9}^m%ER`<>EXSEw>tv*3jDL>hQ&EId?#RM+u+36-BqeK_9-?r@3HgpbeCqt46G zqjc141WMcD)(g*N`~6o&;b{ZEBfMF(g?zp@Q|7am5%4IknBWbPSqFtO%0u1GaoO-G zfJ~``EDRbo@`?=r{`tqSlQ#vR%1_F?`5>4r_$Ao}`H2#%M@`aK@ty8%UFD>>A@ z0kacHT;K=ubr-YTS9ToY8!hE6A9^n=_MG1b(I3C%0{C`ei|PyuN1dFE>}18~zEbF| zxu>nU@->x=a#+9UmxMQD6eS`Ln8`)|DhK zMO2;UD_clGrj0dPDRf?i_NZY!B+o~rED0~jnD_6bUL-M{kcZqVbo=#LXC1K>=8dz? zTJY_efMCGoVfF4b*Y#ob_O#x3epj&gMt^=+vbYaP%)2|O7hB9bESErKh!=%IElF@Q{((P|Fe9iu{__g9(P)k;Ya+yJKRNXt3~=Y(@ZJF(T}sKY`zFS3r2D~6Tj?CBQT2( z0@=&u9a*h0uATJxzVnS=viXL;D19rL33c>^_Yo2FrV3a^Uh6g*2bFR%`J{+{5KJiw z3UFHuGKF0D@4O$C;ust0eO^KlIpxKDIqG&%Nv%8tKfribhbO?>hpR&&2;SHEInyH9 zu1|lXBF2FsASj_vknHHV4MI?y-sbJT2aN`c$v()Kk%v!(^{?hnXQ``pgm(a&vdS56 zXs27v4S&*17dACss|Lx}1>h3rJwnff3|j3QAMVRT_kE?UgX1`vuQx&>@2tY=yec18 z&RO}HHPcvm*2Z71W=>@QV0C$ARyD(#sR>pW?F9?J+tdWR73pb3+HpPTuctl4zBt^q zJk6@cp+{{d6wfRE{;@Yc?lZ5nX7B@~NHtO$J14&W+sM+ZVeZZ>-(^)?&;9qR)Qb_k z=en$bG0Rm^$bm0h_Vft3LvX5&a8tG41u*xMSl*1^t(0z2jB8_h*+k`X0&{lz2`FZq zE>q}O>gqch&w{ewJ6AdlU-mk@mOCG9=w;jWVz~kEnXKz+3^Nu=_TL9LfGO$3jI==F-&BLeDl`B z)XZR1zdT7FLZ z=v$GG;s5lQQLkOJvEstl!Ztw;`iv4v2+j3<;g7D)194kVmcAV@Rir(mW=_AOZ_|aj zg>{U)wQf#-(7=ukUmME=JryFRxPIpGF z)2z9X)XEyMKBy6wE3lI_{ZW(I1(3?pF8`gkwllMUpU8>?wLa*LyCxs8oQ$51#uUPb ze~eHNfN}!gm+w85mG~{7bk?AV6v5AtUh%E1as5A(i z#e$6C;An293!zxJ_cvnx`$=@tz|FK|ULKDq|GbpX+i3Z4C71)3~W`)4U7L;mVm}kIT4@+81fc{Ay zmd&kfZhbL0fY1ZkDp+}Q$H0XV$HiR>_TZsq!t`4}R)T>`Hk8Zdr_yFRz8UXRadr&) z#$GEa--9B5oJ$Yc3r|ypi;zyo&#%m`zDdRYFp-=!N*BB*D=^QZ1}2OYCfe4faH$#? zCi@PCgYzy;era3GY_p*R;71k8WFa^WeNtydEba9}=LlS$2;F)+{VTn24P?4cyR}Wf}EWun($fZ}Ah#k;{L4riR;e zCbp-qG9yJ(iw@B+53g+T2ePX35StkPv>Lz}C8%*A9~&843a3lV=o!gormHuktCC>! zPhv4ZF>$dnF3RtS3?9C;H&DyQ)k@o|ae&p_OJ}B&hoj@(J+p+nTzHJe^P-JC6Gvn^ejWlC(Y#ho}nf16b)(C9Qg(f3OZoxfsP0Y#~ zfoT>CG9V>%Z=gLOwKNlK5rXSqDqv8g$*w%{>r>HvP})cU&1rb9&bYI- z(;)<%YmkO{R3$QZ#TYD|cwa?>ACAn=w`Ic({n|+bGg^FJQWG8#3NCWBtB?W8DBBixrYxo{g8LNf zWd(e~xB?L~hrK8k9@b(k5q z;HZg~kv*eSEKW5tMEyO})nofH0*1QuBaD*65~IEWuXf8h=^6P7k1; z#iRjyQ)p~pz5%;l$ByZ{^t2nQthCyv2L5dcsQ2k^#S@lbIXCn2g1Q>9RVP}}#&6Jl3vSOz@>Q^3T?7=B%sDq8 zMUr$rtE-s5E~m3LE25UL3Wd-f)O8e@FFK46hlD!ZeTf|;6?71{(G@&S!s3btA{?lC zB2xv*HlHR^(C<{q1D`;-5zeeioB9BWt#@RzAESnkF%%&ZEqfX|6VYQh1UIT_Ax`Et zW@E}A^DxG7*+m%24waOBlanWl#3tkdRSGL$^6dPN?u_(i#6y$$VS4%G75-&ixkH+> z3flV{r?V+{m6dVY*Q)78Q932_gGBkN`9@JoC37yioQkPN(HEUUlALAjVoS%Dbw-@E z#gm!5^(vXKSyIoiI_axN_a)>SrP7WsYyM?Js;v#>qa-eofP-?S4fvUc#x~7yweAef zHYaBh%7p{Vpo!F~ilo`4LZ_5?(uG2uLKkN^oie^I$rM!U^5E z+l4|=qSi#lGNtP2LeN=ziP8o`D|>Smo~rsrnY807P?2MB6^&U!Yg=CIU@>Y}TF;29L~LT1MP;XIpe~z8p6kColr7gR&@J-u^1TyvdgVAFO8- zbgB5N{dzdU>&*lE&%T4#vdt{;?1ydiO?Mx%lc{n<=Ydm)wv8)+tP` zv_*WBmO)-d=;ftus(i>r^eN+99?nb6e7`A{BpKJ`7F1n0*zF1^=U6UJt=XZs@!IC;3&u#ASc+ZDA z9Jh}W;PuUp5QmLH$Tc-O!Gbqdtk zS}z6Dnrl@NRbM7)EsnP<#k2e<2Hn*e6d`};JXekt)eMOPH}O;syV{n87og3uj*)V5 zhG1Y*G9J~|==wDf^qG^3@v141nX5t5Xv>ug5_{Jm3;#`uT-l6{@+bWe^YminJt|4N6t(kBq&TT| z%ILnBW;=5R}c2f5IJ)_GUlZwdlEwn=Ik62PY^oMvdIO}8k6emrPk-4*Gt5(8( zQ6h{zviJ7{)N$&O)#W9MoVf;3HpFSQ%6H{-PQHBhp}oKP=Ji-oj;9y$mMf>8GsIk3 zd>q!MypZjs9nO{GrP1ipqG!hjqjJ*43YRRL(-qn;k-!(@9?70m=i$QeSuoq7ag z7fkbrB%u@qRO|h+F-N1l$#03GS|BBxNwUIzkM+x(gb zqb{Wp_NMkpxUg_0UPRQ{6V5kHejhWDy=?nzW3m-(?5a+{&@x(x;H6w$#_R3bH`Qqq z*XqG$b8lW+7VP?}dVe5da0&u@%KLxyUizZjoY3r?w!!Nw2dhv{3@G30!O$1gNpL@^ zNYFG70?Im*6-BaW2WxAi{Z z`&J6L3UV|@WOEg7u${QJuNiny>>Z)yN4PBoBA~WR|4Oi!&%81efe*tgocOC`iQDjAwn%Qi|eR5m#q8JxcMST-gQdakQwOKQ2+>p3ps3;2(W~9)041q-(DK&L^A8_t zoc$0jZ6KbaflEu{KrdQ;FAhA{{8m(P@2On|5#VoMDKp>NAJ3?#0rzDgd!NuRG^ zXIh-N*~0%^bnf3$(~hBXU1x=eD}#N+UEBx+Bi8pZ;N=|47l55uh6RxkAx&6q3$~=dQfmZP#by`x<(rZLpoM2>-En7hMn15IpYfJg ze?>wn+tjK5fGHtQ+l=u&FQMnVz~9@H_a6o+&;IIflCXEk@*Na{#ceGr9U(d{&Vz1Y zA_6t17Ebe+Z6=6+gaWxc+qxz%vDgF~S8ykN_zC*S(y>heC&KhTrILbET&z^X97V|Qt8LnETFbQNisR$p#2Mro;fK0nX3R7QEJ`Zm7>b$3lA z-Uf=;xbNrRK~RaRnLDQsMEg9R?Co|uTQ*hYEz7>9Yv!?@!(Pjez& z59=0&e5B4!a9eU_+=9&W(Djr?(r!V(yl)G(EJu!5qVlIX?UFee1mX4zwj4)}%A$JO z@0UoT*j{Q91!e+YT1~g z-{imwC*B=M`4o}AI6PHlXW`O%%zth?aFV#&P_AEfe+)l>+oS`LXUhjSY3RUFWmCJ5 z!ba^!ETAJiwz?Y>p8jwFE`PRHSo>WtL6D0Hdq?@e8w^-(hn}ISylhPHL+=j2btp9; zKX0sJJ!*3ZCwkkINZkVmWq25Kmt#6}U=r-7{y_-VaNG`+ZfXAK`g!2s z2+;`(EtjioYyTM5GX=M@yw>U43Ci`_rk|c;P+GJZsE2$qDyvG}yYOF2-)zsWsloyQ zyDc6#ck@|9|GjKN8~=h*nMWns74^V*B6&6`^u{XLNr`cryiRVtQch4));Tb0pRMvA zMd*RK`f)k@W&3DPNxNC+;3!-^BIj3bTZQXDoVz_l2l^}%LN4CU)hIT!Kqbi^+9Q<* z`wjZC0ya1yjFn}Q$3NPI<=Z|ehue-}3MqHYzbGrSFYO{2NqBV?W(c-=)3uzBrhYLM z9+YxAXE2haA0{<8{L$3mWgn(9-;2dNoXJDbm2xeG2j+p5Ye@}+cO4QbsIsR@t;zx| z79zbyoH5h&&G7 zhcA`3vDy%J7R3sjvySL`xN-mwK_rGcIPKNoVW;%-g6h_b6j+2;zzCwWr`KRlZo2wJ}!~vd4Mx2BOaZv_>WTV%Lo>u~yo5$nC zVLKQ$@qY%vfi>mpm9A^hvxGj=TT$fn&nTfr`+=-aof8=) zj9g29^8K3>bi6A{5iYsFqgF|rEo4W~u>(aVMOAaNl8$$7=@7kbVQUq)G@pF zK(s57`RQI&sZ}&9+*3GZe_@w@?+opDW-a_w0d*O?cs;2VO?nmuFJ1|ChO0#jPtd?? zT%DAPK@hE^mskqwSw|xHMqq@En*@6~w zBK5V$aqVm&>lt;mQ8f6Cbca09S9yYO+vueIrj^n6~SBz96;n^VtdFHR|~+pGGu=5wDT z$fLc(2Li-Tr8<7xHR#c*qM@i3{;jxvd{?@gGE+Ui3S0k3ENg?}WYAA^UxV`ksR%gr z7pIFlzVbg+Cys?X zMfRALdR>S*KJ7#snMQ|58hvaeGG)pFbljo|6BbMV)+H=>@^aG60+22=KJokj?S`~+ z@)ho?UVKpKWMnC7s)BqfEWwKy{&_dCMVGyV28~AUmLz#^s1^24%c=yEueCzGq2$)%P%EV4{tQ{2+y=+I?t4 z!T5nmFzO}YDVoZ8Vv5-3&WQF_uO%`hrG3)k%UY$)aWhWNYwn{mg-iSef1hpi1#$;{ zWc734sOaPJ(t87QXPwUve7(jzUbN0g5RCnmFgZ!Dj_tphpj3Rc2We1+VqfUDgqTFFX1VOwEpgoHPVqwLgcgelg0%uZI4v!e^hU zkZtnzYU7&Ji}43gF!ls@BB;D*K3}^IqhWyUr7OsPE2#C|s@9fy@t_5cw^CiHg`$&i z+JrhK;xF!p_JKC^`jJgqtcBcE{&5@a<#QB2?R7?37qkKY5vkc|Z;D7=5U?s-hOT~& zN@(xJL-A08?{Op+dty*%=_w?T`QbH2<|$hS-f{B%kIEexR`01}ms|YvIao6m^J?~* z177ssbSAg-7lT2);I;Ivj~smc2!{9-Ckm zI;u4(>%mZcxR3OqgVxa6Qjd>3d`J_j#%AXAr4S}~MI(C5Nw?01pkuR2-u3V{8E9v= z8VfT~dcLCvmd%<)@D2C`FjJXX5jv81Rnqz2*b8S;?m7Fw^fJ&-o>)v!28w0`pT=u$ zGa?Kfa-Rx|V^{Atp^2vB=2?8K8R~b-EvJ`)mrJZ8`iHmS7RRthg(D5 z;ij*acAL5SNF1wqK3U>IfAW0-#6PH}MqOVuh^Z*F={3|%-OgP|-zbHLdILF9@A!9^ zV4nNB-F$32Iajr`#+vV3O(Gi4L@EzZ(KFB^?y%cdH=jKK9(k2b#RAkM?a0`*b?z^9 zLCIFNFltdq-Aa7EC^%DYJZ55eZ(HiTH;rdH%bYwcs!F6<8oH2t1aVCmhfB&2P8<&p z3->N2aQ;$Pw6T7$SecZ1;R4 z4yIh{ME=|KOg4Ohh(=ruUw;eA6cp+G1kc7e%IATM{^%074B6RZImX`w=y#(}pCHX9=f#9At*J{f;0(&fJB-gASj^|q;~{_gdzq6QBf2G zA|OS&bdV-RlopEg-g{Mwv_C%I|FxO-oo^#?AGbEw`K287*aJP?`iQz zpKR+jIciR^z1dX&LC+sr*hniu5M!qYZ2Wec0(?n~aaE>BI~TCr6a`mcfoypE+~%b# z&C19KCYte9U?l7!VDDLD=WTe^e2@aklYzCt%C9bXXXXv={-To`9CmnE7h7>$^yd%7 zF%0{%x>7#oFr3ZGuo!wzx7s#BZ|-pjo+kuMpD=16Lu!r1i}(E&N&ih;iTJf$33;?g|L_3FIZnSMt{GM+o`Gl zoqCCQbQ>&pV2YeCBQ&pgs8Rafp0hdITz=F}DhNQ&^!>$#dZqc;MJ=Ik)8_IB8hG$Z zn)3B+Unkm%v#6=GkfQnu)#*Fq<5Lvu^g!qPuBnH>G@k~sc(_DCg9E~9>)OV+qtb8O zCb6+4laEYkDtz3kDdpCmhb{MaR1K*;lBS(X!ocX#@m|DymHkwKAO<+}KJwk!Lugb5uwca_l#{yh{xnCXf zhzne`UcL;1d@9SfF||7-XuQJeb5mrv^eHL;DXWfoMju+w-W;`U$dRQr@cBBPp)@}G zCi_N8A)t0QMV+GY-PAOQVU5o89*Zwk6?v&U_>D{7^F73j3Ym`2Y_{p?qq2!F&Adlq zo^_jSGUXrE3m_$DWHaQEGqkuTaaQt963-h}7K4r67WyGIL>s9>ycpLH_=iy8=IasW zaENWa%G2Mv){5aEaYyl{890~G1zF||iQ;hKsQp(84;p=Ul2f7=9M5=Q3GwjzIi30H zWKPT(Ma>yF(~nxn>55qep~vjA=`5`?sg8_c9aem<2iZvD!Ax}5jidzxpzr&`k)2x7;1u!;e zC(UxOpX@<=@oNmm@66{qHnV=*KNFC=?5BKyAKCAO2PaMo4Kc45@k)2su3b8786TCs zlTsdkcYDRd_;*6y-B*q#zh6#ujeiI)`8<%L-|zZqs((CtO}hTwr{Q0YpV9_W@`4P4 zqtbxdS~d9AO~}kW?%Dar6fO=4&n`iimx@23wu6Z4NA^Mm&{_@{A1i9eOlPmTG;8)U zg+0C`v3o}>KXL80Ny!V9qWj*1#tUV|Ut=iRJif$)%;Cq3-GegfVGDhnU~ko6lmj ze^irI9@8-Zq>|RH+tei$Uy8$OvX@NF+s;-w3nS0k0^ckktp9L5cN?}AcOni0d6u8P znGBl$H2X6G75&uLwqk*?V~V{+DU&V$z|-m3{j&L=MF&eiHI+&&?ob>HBarnpR@azh zGp<&jj;$w6Ci1^xfGM5KuLY{kT>Y_ISKyX9*}d~qB5;A7{$()!hHZsr{6;;QzZG42 z@N&gr(?HAEUX)5`TRss%l|F7sxm@W>@9$ToY355MS^99G@qSn7PXpT8!RvZNNdvZ$ zduUoG=jv&pz3(sT0VB^!9(_oW^0}EvcT3^kQr7~oWpt8-(E7F?nk|3-y5YDYaX3e+ z`Gb7zjMKorg#`0vBEve6nZ&q{LZ}y61ul8=k4zK6u-o-jZK)h7zSId#fRC|yWZG8m zoCjPeg@G1kw$wY}*Ml_if?s}Vlm)6^aD^g8gP5b4Sd)5XbT;Hvc7A&E2Tu4>Ut?6o zQ|hdkv5*P>Sv6`3!bZkO3tZxtPDjV&A2I(~e2E41ZRcv?zwhi}gv|CC7Dhwx%R4Z( zT^PkNbMGQbVhKgJ3wyHzd$R|7!zrwyj9a9j?rr|Qf5bez11nlY&EyF_AiG1KCesf? z&ISF5{tduGkC?@ene*wGv-z4o|JqRC60ZD;cusd75)tWyW!Q)P^6A0q{xk>!3A-=x zb#OJbN`_FsxA=gC<#JBnBZK0elzMEwxnk{UDumc5V!J@H^qy!rg$hs z47BBsTywt74wq?Hc<`meJi>QZa9&QH^z!H_yf*NKH2><{&Cn|jUu)Tq1?i7%D7-C# z#PFMDJ{`rg2?|~v#fu5(Zvyj|7;CLnlQuWI6RFp&)Mch3-oMn??T0-0LUTd!Bhs(< z>wMf>-`&rrTBHMbXP_nfUjyabBm;am-<53LY>#au8CH8DV>216@NjDxhua)W7;j9jTJ=BnH9J|4#Fv!Ya$*YPJbYA#2j>)H1Fwk4W!%ORDkL1fd#j-}=ZHD@h&g=;HQ}7V@x+Z6c+N=z{r(KAzyq>&_VkY- z<_rl(+~~Re5IiZxfbYN>I+Bi<(Y9*nIa0A-n$L#{Qf~kkGUz$+5Il(VdUnhV!kpG{ z#D$()55Y%-;5|rfz9${Aq35I;TC0wkr=@iQ>4zI!5_Y(Ycw}?}DUJ@0n5UOfr&zex zTllUUjWTAZbF}~`;o0zQ0WNb zLEFq^KN#w-@uP6BiOWlC?!Hr3CP)==jlkrr@zWr*=Pp+j&vMSzDrn-z{Vw7%c zfMX&@wz}8abNOm@ubgMfhY0EJ%C%Cjk2p*B@m{X##rC_h5h-?_mK+l*5#v8*Dmkkc zE6-|32K)wl{?-oeb4=({RK+$+Uag*_bASG~S=gJu&+va5!z0GO%^b*9gXp$GC=SIy zRTGtsO@Dj9CR1%jGVJJ?-ZCR)>q*Huu`E*^3z_g9KTr7E9Bn)E25N@loN%Dq@}@X^ zM7JeRw^c#1>lPM8`kd#%w;8M6nW?6kB709W>1qX;>Ru<$Xvjo)Wx7nX|0{JtNzFUL zrlcTp+7p>K;kak$rSe7Vm0NWT1Gm__f=4eYZg?l3G4Hi;@4>kDSV5x$`wCE%ZPC&v za4%Z)oM}TVDP$``@a#J6dZl3OaDkH`cw?0=*IfsPH!Ps|y*Q%lQn*rI-H>MfS;Zg68R}olSkZH+4H7XhpyxV6@WneYdJ>iVNcx9bNKCT~`(Z>QRBo^+)j-DG2!Eckk)tPk7KEZrNVeTYN{i|$wgGa*7?MAh$I)QKIuA=9n zL-2gNu+XlgYqkkHD&J4>Bx72kq%(7(=dPgVmP7F99a!l1q-*U-N3Yet?hEe^|K;32 z=!RCWhE@+c=J|7zrKrNZCm;4(qmy$)QA?lGAM)owCQ<(xRM!?rWra%gHE9|>939YY zDOnvZx`hGJvMAW^i4FmS2x82}G3DknjvhyUP7Er*->?xsl{U9NgG50S540g8FKSDLEA?eug|@Ys1EyweXG_$P;xEWe%M{(qDNfsw`Qv|^Xm&qa~0cC zbpGs0?9X;|FYGWnuv!I50ol7J^Q=Y-C%LY5&>Rr4PtvM_3l9y z%_sk?CIHV4Mv)d2wB+^fW~Hg-q+L`~O&E%N*1PiQji&uY8IE?hPz~9C`BQ-bKENZQmcw(_IdL$M3;mi0XUJ*z zs#kG$SR5C>EU4OcYF$)E94j&ix)uHL$(U9EuwUgsOyQ^Lff;R(-Sg1do0pRNy#h6o zikqW%chUx zLWF3lM#MLMZz5cTYhS>gpEs%~T^CSMnd{kk_B+?F0z%LhgQ&YBF)&@f$Oe!97LFJP=cW1lE&=zr$*uKihNba zn|&&OG3 zMbKnj{aoV1zf7!^_n>d+zOiB=r92|y`flC5ZduS>=QN(-MSaUX(9t-1^{MX0u==~J zaL1FATIUxlK^CR5v)W(Y+Z;S{FRQHdf)G(I?|6&j9v8lX0PJAJp6tqTK|C8xy2(~a zQ(67h!?b1ZR`WPj;(|aMCBb5GHT~bqj{{%7N_9Nc8e!tx}2O_2unxmekEF-LNr zd0Swtw{y+veOs=5zFDo^>))CxV-FQ-nO}F`Wv3ay{X9k5Od(nvWYtJBvk6y1XQE;# zWxLfh-!lA~YQfQmy+J{on-8dLM!YAS2ervwvq(SpN@=(IS{m>CMYfb~-}z>kgr|}6 z<3xwD)4<Z0$!?`C(4lpK;bSDrLo@6uOW>gE#3S>?yxRwGbV7ZS4(R-}U$ZEH=?~ z>HCou$#j|3t~y7h(V8Uc*IGQHG3_UnxMW^=&o-_6=odrHsx!Dz`Td{3-03E-%@e3|&nJc)$FF&i*}-k7W zzx}Ye^}N}1n?mGtbZ&Sk@O&*hC+Y%o%{+QhZmng)#PY-TUZ&j~p_AP@LY3dGy|KeK z4Bs}@YkR>Ed*;A)A}( zJCrh%j!fH?HZr(A4+Q$!I){gBs(&M9qAnA6gHDhQBlOe0oROABzqO@At0i4&2@&XD zP1_cbq2A<=YN~k>FZf71v+~U~d4fZ4^45>Pw(9w;2n@b5p{5sRWqMCLjLDF* zEnVPQPI`__-wt3mYTfr8HPn-Ta6EZEXMD9bPL0-IhDL4td67bRZxe;YgOSp0hf=jp z+c5eOy2i(8+SAJt%H`CTWaZeBZ`$o1h04@?L@<0n02gXaB_H@d-mXx%pH?6Gn>06A zk!1Ua$md$v_2aX(-T=TIEPpMbXN&xVm2C zoylxKqd@N_+)zc)+9HFM41-HAo-2H7i)CWoZm$s2tlettg>wkh&2pwbZw-nZ4h+;2 zUVnSbQ~7#5m{*FkaK6fo@5YeyQ^tsKrpv=#wj9Go^Ma+J6t1f83o=!y2Dv8U;UQKl zqPs6~PZ_Y9JQBlgwmh5n$>7g|@ZXlZdd@p*d@f&H-II$0 z7b5=zV5*t=j0Rf1#mum|Rv3d?p13Tgork0STRXkjAf8~3nNGyakU|F8*JuRj+_!`pYYQI-xc zr5TJxFW0HjH|_4)vsvEKntjT&(@{IsAM`+QCTl?Y=Jhcu^_!E>j3*n}=Id>4AIWEV zAG>=8@ffsj{_daGtll>_@m-&->txc?y(d566t`tG{p!6ow7cM5=j`>dUH|bT@6j)Ok9YO+wrA#`ZqA%>&tvr4MLl5z9Wx+@q2GQ>_+{@>9-o6r(Zv^6!D}zc`toQ zeA`24|3l{s#Hu}A(0ZfIH^j>J$Mn*N`qV>#Ka$UaDc7^C^>%Di84K`M1@qzZ-(&r5 zmxu(H#?uV3!iSielXdU0Jh-kRVLouhWqn(&cYeMA^O%RZfX;#E%%^LNrXnN>AU}$v z1Y;^8zDVrS2=WA+J4!Kj8Cl3$&LXn!K&L&64_GI*Op2THSQV&--`%m@WGfJK_~4Q` zD_MTYcT*o|p`(6T?qZvfaFaF5tluBV^%+-5P4tFh@&Sbi~5Z$4<{K#Ne0zGgnKLYbE8H`Z_ z$Kf7+a7Y$HQo0gG$$S&S<6U+Kg^>Y930BRVKqY&S9t)ubK{Np>*@E<#2$=|?Jy6LJ zq{mE{XO+vUvCaUb68I;8z-OpeKb_}<5gj3U30V?INC zO|aP`NNsY=Cy1{(HhUBqO@74=GzmlMv1SFI5&+%V{FC#PDj8ZU(BxSwfP`1{#8z093PL z#r_m94;Dpv6c>_}lhV(1uowpXkged1)XQEb0*JNzR+7}i{xa}7fj$3L$=05&1NnMF z&r2ZZN3!}zWAOUZo|jAy?e&-nGOY@}hx>KK%HJx94=xp<8KQ;{kvA)?wsFDDbFQEa zz;@u3XY?=Q+fA-&VSC1qnP80E?G~F0F1@PN-5@smOrvawuNJm_44DJQaDxl`gulv;ihNf@aNzOK4=2 zbPzf!N~ijQ;f}@$p)@h*5ltdDO@B`4&UgXU!)$(4i5(Xh)x!$hj->+CS_$re%CMPY zOcHq$ib(=MMv%&2%m+xh2A0i;Na1E!{{Z{siq7Ex0%+k5a=S#hgdnm3Eu2AaYy=x9 z<`tl@6o;T8T0k)ofWk7|5G^qXib(~y5%|v{F@*rPEYc`)A;-Le$o-9}l>*adaWIA! z{6TSS>kTat!9zZNca4*ou!$fF0>^AXlB|SO1Q83^{EUksD<7v2qayM`b2YFBqz!w? z%6lmuvJuW$eOKH!-+OXT1R*KIeaP1V4y!iOmRR~Rq#l`X5`BjJbv-P-aYCAN4h@kB ziirX6d?XB5u{zq-CWV1Y?jSu@0t9CJ z0NqL03hyJ6<7#+~gY>E-W@eo8S;2J69=Yz>}k?OVI0%#5UD8^t&5Q0cz zISgo%L~#friQqB_lNT{Q{y>lB73``zhR_$D^rwnuh~`H>LqIH`upEb=C6++SZ()Z< zkWetD5K^v=9U4WhgE6Y$!hy#!S;zK;)^1r6lb8Ps7&pRevhp?x3jw618)1>GyqkiH zgb8c;{lo7T-Ir;>E|*DTkdu+{0AXl^^&dxqfn#MKpq9J_C3L z)CX^|fL9{vGr;Nm$jfeoT{7Pv6kL~)Ic|hfGT(LzE+M3o8{sb?+sWZKnIL*767S(Z$J7B(O^CcOdM{c&do&k71wAA&7^K z;LMsuIr)HWP*XjK$iqq)Wz9NS#R9rZaR;=9WsrX)hF>MWIq+XIlK^;Cz3MMFkz{+5 zOwJzU#=@^6tM3+I3C2W0%5P##$B-#t%m~GpFw*4;>53p^kptYHW`c-??1bMWTh1hM zD!~oW5VfF~IDp${+!HW{WcFW3eJbgaEJF-N8A6f{euqL_nXj{2^6-T26P5j) z-RRoeS{>XOGWzoaPHUAk`t~2NuqH;V;Bu7*T&Ky zi0(kKF=QP%rio%q6iMqwAW3}(#h4UQgH_X5Ovxt&AeqBI`R-o<<4TAlD<7g5<43N$ z5`L4FlSU74!~!^`qh6anL`4*VV$89Kb^bBN9WB)^U-O${JZlPY36iX{W+gf6U@OOw zvE&$1Hw>}oj9U4zC@7V+QKAvddINAQV#x;3>x?ldniY zuLvQdT?x))zHh^S?W77KwM8+^DxAjjycv0uXFJr`zVP8@5!xZ?AN`MIZeiock$>3< zBBzekA4ATAR}vv|`dIyOdL_7jkWl&%CVN)S#`X>D@{)c1t+igZgzDQJGwZ%v#=eYx{UkltQvaiB zOUlYL)N5<%$aB9_C|yC8xe-XXe4`kHBfAld=VMoE2|p>mq7AkFCn$G_j*^O7%mg4! ze`<{}mTe4qlsRF(^B?N*|I=ftuo~X(f0H2JNeJDlCuxQeW6*mvE7ZgEdRJ>|X?pE8!n#f{5LJ z1BN8bu0SOWNS>NV5*aNly%8~}{&186oz;BK%FaJ{o28&7@#luE-lYPeL_gE8H0Q1_3QRK!q#>2!cpL3IqBtoJi{T?(aPeeMZlHDde~-K>#@B4*IJs2;%=iYnbs> zpXFq0N~u&&#cT?Lyl>ikvjMMqkke0#cqI{<9zP*>!x92>pJ>Q)Z$-^w8MIzGuhUEL zg(g5V_WGNmjHwW0y{?<48Pfrh(kKO$@Au-{^vUCq8;fr@G^@{cpVDBsi?C1XvbbCC zJr%s~-YyOtq6!lET0o_*Xg@QRB$r|LDM*5bi!SZt&ZOycuvWjIz=V%q?EK+HJom3@ zBpRHt{W$CvNzg9~9FJ}Svo584h zlTM>!71Q6&KXU{bY_7+!DTVtje|<=PSBUDX2n{O}67RzwfWC*P`ueuwF#Gk>1zn8E z9A;aR%ksTdV^!j>1`~RHR;^9)hnu}lHjX~9f@dvak&9j{0w(c;UbIr>;-u}jSkErS z%-wVs{Udv78$!sH(5}6Q4}4#mRlc&7F6n3KB{UOz-Yk{)ZSHz;q@^CWX~tSf&|@g? zvrAq>c+V4|+7j1_xgj0x4#J6S=ubxYNry5OZydD1Q4KHepsCYGYZRenXhOeEF^DqE zn2yd$Y*YFwX%QZnD&cQW5BB4HBmHdZcSl*F~03e$l4#(_{;Zo+`tp~V$55uuFPVc!>Gtv(;3nO23az7y2iE%%jB2$V~tz;PwCU|=rV3WjPA+?~>(JPV^` z_#rG^%WIFXz>@R3#!-cYi=v42HA|)~yU~@wz-KJ8X?30fE?ZKo-+R2@PhUy=y=SY! zkw4t)p_ri*CFohuVOOJZu{M3?S%3{@F+Gof+TRL;I4E6M1!&v&)CB3I+xx0K3c>K4pEIp#Npb<3>ZY@Q*Qkf#Q&g?S) zj@w{h=BnY_HityhR<4=mo!9t*t4t*$;QMZs_FC1d zsdvB5`zbR#5Oig;^N}gBwNN`9`ep};x+UE?`I}MUwJEQ6oX6Px2P|)An=j`)sRF1B zM4-v`cgbJr6oCTYP<8_JfgYg1O3D_m?C|w`D4CB62bs*J`?N2YKSu#n$35-%Zj-~q z6}#8p2(6bsDxgT4n)1n^a~Ag0&ty#QHJtXT>*a~WYyPY=jC7$Np8LcSf9pkdxm&2( z^$!(m8mX$AC(7wlW_?qmP;(@W$7TqNs?O&()t`mMGjNFRH>`0fw4xx|<>|1yo^Mrh zao1u|+1!2>fwoM_0NTx-edrIztETjJI7$m$P2(CzxMSGYvdyZVB>iYk4Z;4={`u@K z^}>fMTnVTVob$7KS*{~W0^R->Uw_u0PbFc67g`ro`okgY*Re`7qj{_@T9pbs*7qUv2@pUJQOp}M46e{y=BHnnxo zbCyqi%_}6=V1)lmA`j~Jr2K|Bquf=QYE5GPtimHv@jV@7b=AaN0yF>b9m=Z0XmvL3 ziGiufL`Rh0*kO~!0jKAKVKr{nPpzYixwZvDd6}tIQXtJcH)(MN#v73=#^KraBHS8x zx_&P#Hw=q^Gh(eZGI4lnr?2d#SE^6~G(Y+iJ8PU!Dr{8VlGPfQ$X{y59vbOj!A{L= zYRf3$?kU+Se{Xh3n=9yJmHSDVB4b5(jz{R5Zw**z*{-ws?&XG)ey!&=WU(~UA6svH zwtvEXw?B(Yfv-o}lahE0>FUY7Rc9fuxN~3-R@`QNsfDrOjYMDM`vuDlCb95z%7=V_ zo6$@k-x!rW531*F!9_D4zx*vTPE&s_tu!#3!eA)doY86DktOv&qeo@2?g;Vutx`s) z$#>6Ro{sAyUH*VRuM1bcKdjy6)S&v;&;9KkXqCyDM%NC|y4IBLR{|6?Z>zdzWm$SB z=J%TpQFKmkaeWHM?_83i_aGZxKp1Q``HpI-dfTmL3snQ#XxB@laz?U#S$xXu)jqFN zYmwLbqRHVtzLl<@%{7@8sZ}Y7<$iifd zO91h~;3=a?;nR8N+u`H)z!Gw7o9HNMjW`Z8xsa#P_wX}CMN3N0^Y?mOD$d!6JD1pf zf3w-Flrq>cXw}omfh?)TCtL-`r*io?qhQV<(1@zis-xdk{F7?sLY|JRVUCbB`WHM3!D xW@{`~EK{5$si@zUPk&SNxbX>GtF)#s?(T2$pSRAtZ(Un6 zUsdhu?yBnQz4mUI`SRhzhiYUf2>tNE8uHq??~b@%ydl;7@AUbm&&vHWD;6h#`^%V?YRf zuy1f3M2^di!xAgoyzX>*6F6_%7u?UeURzw*^bvO22i^O0Z=O4C>#y%ll~;Q4bUGQtI$j+AAOSVpOuk+}Ht82Gx2OL4YMVEaErB~G zYu3Wf|N7q4u8}k-8k@bQojZ1)>n|31r#@7%nVn8IFuQ;|2BfoPw;nDjg`ZDkvb+%h z>pt7+?snt1+)Yd%-$$6O{-$sor6XdfTBogBVs~JlwexA$-!L7*`4v${^dxY=pRLc{ z*-nEr56meB?&s9C^gq_`3*4956^ENiZueX>o7bo}X$|Z;DmQJxRi>HR3W>X`_B)f) zLcRyS5t6z$PQWE_{ev^*l=uDR+0mcuU{i5=sMWdZJi1kuC_k5IZKK1YAxX)kL z>&AgmH>d7LJcqx{%hPI=D$hc?Dym27S9X95LMP$-#`)S5g))O;_g_w3&oQEomC@hU zEnqt_6mbaAwyiNchp4Sv@8Mq%kU@%NUUKVgqowhF`~3tt0IL1Ext|1RRVo%hM+&jr z#-D=)`P;`ShCYmT-^sD~eg00QH!agB(S80V)wW#Loy{9mK;vky7XTM)#&Pla$8zkD zLa(oYGD!YJag<`qV_Z}3S;u{eGGAADRz}pF7p{f0_>7P?F!v(FtOrN`0Mycp_N&)L z?+lJvz)^s>4VAYXy10u5SqW+{>)BteLh3vWb;ArrDp!Da$NS?@kYMRq;izBRq=uE} z<_JFV#Ot=YL02nDtc^Gp(dAF~b+lT~%f9I=mwYYm`)!MXk=IYTw`em(4F*?v%BuA? zhJiSq2bC8I$ace5od-gt)84u^Nm>!28y=-ccEx&Yv8&8OSXyymx$mfwfSsS4_gl9# z?%U+``#C~B%vLzBF=pjqsi@D)Gs#*_yM29Ue7^>7@v*zM?)uC5r}cELy7CwO_!n_L z|Gmt%6?poB^M~u_I>R>&{=OUXdUS03W-e2J`4L{Tb!+^ZtJ@_>3JeYweI5C+pXv07 zkTpu279Ish70EusMX`EwN3fKk^mO}X@_BI%q7=~L??*l&B-8+RAj}m*y zx3K^a(jWx_PHHT>$pLW=1pqV=6FHIQuT{XRN6Yk43_nbyh_6*x_4tf9Ov%-gA$?f6 zU%OV;XnpBL)7N(2?i@#!V2Euu(3Z4PaoUEYD0`P^5)z1JB#vyS)={AfNuX@dFgDo= znHB>w)5!LKlKS7j`6xiG#sQs4W*`W~_(Q7Ba~Tkw9gW4_FpA)ZvIn7tWz~q!BCjtS zcB_=7mP1`EKx1WkZQWtvX48Z7JvxeleE0ml@V(Ah6h7rMby0McT+`48wR3fZ>Af)(_}lHjMGfX%820{}lgX zF(3*&t}1bJeZF`%^{QH^?y`IDek|7UXu}={cFLKQCtP|1SMh<&aB@pDy+&^h+RWUIBdFoWT00F&=T7C z-2NA*!;sWF0q(W`kee9Rdw=;8$_&rO{`<@Pud;IAk0O%L-T+ zqsm7;H-@;}#hMzJlUMQAD@pL}tMnUJdkl@&y;nU+`8QZdWszIRU`(+;C2aCXCp~8?%@e# zN2VQxLA%X!jYoFbq4aeeef&gj;gi2&Y*MwK^YL;XnFMBdt%Z+v^_s1PrRpBEBvOTh zzN`bgb?)j3Tl$f4Zpfx0vz_+Sh4XB0J~P!-V84pE0Do0|RYVFajV)ESgaI=9FOAIg zl>?4=50+0AD44b68MVH0B#@Iq+ip$8-^TV4tY{&f-vU$T5FQv=SI51fpF{lnQ`__% zCr_`O1b-gL+Hr3}X&6+uVg|G_1mgli+)!#(ye83sJY~Qj9pW-@!2g+eITAmRO$2P8 zTgQZ)GwjS$6Vrpn+Zw`ppLV_@hlQr@o_jIrc<*vf8*n-AGA^Mrccb0S6TSDRv+wuv zPmPhwbK!K-h0Ikt_G%y8_akJ!;i*l}sU zkLiFDjU>=;L(bFM!uw?n)Bme3jHo0(^0@a0bBGMxw?!*4RTQi}iiy?N2b z_!|Q&j;u`-r9vd7LM$cM;3tcLPt1{&1_r#C6e^Mm-$V{@C;XRL({i>R*|qAKKPqtomY#F{5) z#p9qqb;+nODub-?Hn4=%dmGE&G!evIC@lHo|3M+7cgkblsbSpAH!OIg?ZSUyJL z@(0N@qQN(RlX>h8z6dA$lF;nZSgjK~+xTzPG$96iZB-j=Dgg-#zg1b~Nes%F_bw7L zqzHA8t}QZ_=hPjf=LS>^2gUV2E2$yk`& z@-L>bxG^!A#Mi&UT8lc0JUYjglGf?~)@t=c3Y|(S$tDf?7|Uvky^DdY3uv86If#{+ zv2PN}?dg$OU!UVlk8BuBW2=N74h+XiEln*_zQDjx*HnC0u8H^`!jb&?cR&+LJ(?Pp zXA1H>8Iw<40H3yBLR)T+k!2rD^?LMVDfen8cde@Y;UUYS2~}&Lf>W!3pn}SSE)fmP zVWk7cq359863|W*pdNkqr%M4wsxK}kgWSX17?KDVq$)Yg^;d@OA5&O*+`pJ1eT0=k zMudX^9s&di5Fz*|a}5g@tu2F`#*^RV@9|j%Ig2Y^`4b;wr8|^EV86lt{SI^1(OC34 zXsX)r*a5eI@!BX&Q&2mM>%KE}|FEb-)&q}Ce^8d4N(fV?P(~f?%lI`SuHXhC-eGE5${E3-5mEAT)i2dqo?eJn#B z{8)wr!NCR&^Y6d}s!BojDz`n8LiJH#P@G)z|bY*NbKr3D66@Cp; zZD(j@AARk1#l@KQKb2$o*sAUiu>4d_r=B<%Oiss)qwLWZJ~sYc&48)k$`LbpDXpTD z0!w4#@lWy+uChloMDstfIhAB?q?$$b*?l7ZAHw<}Yl4ggS0&%oHk!i6GO?9C=E&*n zb;QB)k!1od4orvFR2o_y&yDou z*-FLPBWX52R=x8;^RYlfJ9RW$ZZ(=vgud0iz`Hg0emZs}xXHT@DUFJ>%HK)8?<&hS z!wrRcSUvFh=PhNX(*^6j7Yd0PDyRNxxuK-)O9jAnz&G4U9^fAaL8g4g@RD=;KoNY_ zR_46_wRhqX@46cnkCE$o6Fy5JKn2Epg@i`8JiH`Mmc?w%-<@t39###Ho3vo86^cxK z2sON%t%kbn4Y5tr9D1RW+GW17fz3SYx6*x$jmoHy6~gBIOSwQ|X@4cR(C$rW)V^FL z6qK|%rPCb3t0zfzfaN3=Q=|Vf z@Be|d9P09iO6hkFBjqjs1D0yf!2S%b;Dxj(iZWI_NhK4um^=wH!co+4>IG=Ze?D5F zFx7{$H9Vw}9bk+rqK-dSoJ1uO@7e?!xtJx&-2IMXAIx;v8RC)V9FG3yM`RYYmD?14 z{Quvb&)h?p#4%Gm4kmI8GmddZL7&lsAWUp18YiAsMZW3 zo{+SR$P5Hm311Y$e_L@o8*3s9Tr^acUe(&ksvHrtwmN(fN5dvRhU=JjyqUUEH5Q;8 zo(=Z>#y*IA;Shndb?{|+*EYjJwbOqnYX^>F@5}UDIQ#e^UifS+hEbQvE1dVLnhJEE zq6##Kr-sIfeGXY@HPm3BceN@Z>~$sATz0)U?~Zy;C;zVW3__?M|4A^q5D5)JN^y1b zQ?+vskf(tfb9U~VL=V8P^yD6a*Ll;Dvuo~;?9}`xCGCq)j30kVTLaCuP6&EKgi&B~Hg<&=K{*C7xzvpreFiU0Mqo^(H-Y)j1!ak$f`S`^_c?Rv6e1R@e| zhN*$+CudRT8TnVg4}ZNc*ljDd=9IMJF5!&f=}DO}bfKgJ>ICotrs9ok`yD1xAE`Wy z%lU;Jhm8hV1E*OI34ZkYIAhIyGBFd*xx~P*X0M3Tu$bVJsxS+ogk?dv8%+on7lrf= z(aKnaq3Bo6&pfD1DtK3n72X^)@>S!WR&xD$|A6lqxGW7)pJ76=-D|5{6-I#KL3kMa z@a&}SDCQzJkSXm2YZ}z02@4GLh!MPaTrUbDSmN+>{>OJ|>uNy;}c&T@ne*zUmQ2!@< zjUTzP{(8H`=)}}0%$qu1UK@}vxj?R8$<>48svr{RME8o}DPWfU!Hu@?unOlyW!#qr z&Nojz^pDg*sH;!{cAQ!n&_4?@pQa6&g=Rx4_V^@|LJh-Dawx61|E;#p_!w^G1Qel& zHuxhM$tNT(*BT=fo}N4S2X7qnEjsW_;-Os0sgEhCv9FYKt;r9p~!!zLK;e5JrNqef(nj9RUXN*D6OeJ zM=ZyUiK0Xhi}e_W+&qB7_<}mJnB|KCbHj8#o;nZ3wYNjb>okv1W#2^)_(7vc==L`igjY_fycAbpu6*hIQzp(1d)uf{>E%{)Q z7)ZB<@q1BP!ucw=`L71jN8FPIR->oCSh~(6g^R9r2F-VN`=jPo?X_)@m!)Rvj3?b8IJ@zms-P@GoZ$?_$?sH?{ zZ_@^yHkT10^f=7;eMUX2wiaUfBxvU~nt~^>9)d{jthKnh3wx%IVk;vn%qK_1D^p&u7xu;) zaYU-Om8NgaU59oJUxU%oz0&35>BR;Tv)n!KK7$0{pk$43KQG|l@*#>Um5Qoof1g3G z-qP}+9js}{KgT8mE0Tbh8%|#r6}BWe$-hjHXR#Nk*@oOTr-OuwI=AYW+ADv{U9}tBX=_QFxcz(~^4JDifUr{w9 z*=Hf$R@#-ztoLhJE>-pC3r++o4;il4TB7JXO_tT~r(r5}jrV@Ya5YX3;8klwdx`P_&+y;gFb0q?=xY4h zM4&tBcI(gId}iu62~zYe9`T;e98^95g7e0#N@t& zFlD#yK6%Tn-|`d8IiC2Zs^65$8i^F3eOfaKNF1!%Zrk!|#Qu?}gC;6CdR}cIkEaO5 zm=!Nhq(=;2+weG%yrkzh*-_6KzPq)6RI5{}bcT>Mk^Rwu6K|tf@%-#uNey@s<{7#}jlAJ= zv8giMD6ad4k<>4H2MTlh?kCkVE?K-I(MZq)+o+Et zN+xh~4Xpw22L?R!SxRpP$D(6BKU#rD<3aY8ped@MyJ+>NK4e4dN2-o%|K-*k&CdW2 z{|X{SOr$8^KIQ}|zvm68ij-3&rp{_OocXfmT!egYcY^tI(Pu(;pDzZ&!O6PYSy#~R z!ZRaUS-9pgzM`$#9e$$lF?AB2P!gz4-T31TIxPf(8VpXlNYD3 zY^jDSyV~*a4v2az)AABw-B6b~HZ?;LAXGjm;$pJJITKLzp;Tk8midGHiBL(Ouy?2! zC(v4Fp_)EbcvwVm$LRD^+3>lTFyp4v#bf4$Hpmbu7rkeny7#$dy9;xp8|r*+`?b;S z$7>L;J>f3Hh4Z|98uQC2yiK&BL8(K*aR%@pxaC%f(%Gl1}=3=*EhMGE=R{!&9hcdE+ z84o0I+v>cx1?^_`wZ-fpQ__NR)%J-Uo2lLAvae)lyBxVxL6^tm5BWHNj;ADLizi9zv3)+m4Tr5by8++HyXn>5m^pgu5dVWCz*t_ z)cLl2{j@G(O-@ zY15wgZC`KHZo0toaplcO4lNjF#iX^%@2KR0B!1J3l>ko zdfRJJ%rEHt%+D0~Y4Lw-+9S9Ca|OTxjZ?NIz>!?2V77Huk@&F4Q*gAc!`w+Z zPO$ZEvbFH@(QJ+&ql9a!_2mk0u9Q>3$}+=2yM}Cu$4BQ||NOWk#n#pPU)I+w@s;Nf zXG}?>B~RyJ=qRxQNJxEo!k7o{9__rF$;{1PbgV`X+K&;LprRjkyORcU`izA?E@SU6 z^qaS}q7nKb3cU5?qS!>ui?MF5g(!MAGci3=D)sOquE4jqSD7w{hmo&-TOY~(^6^Gy zIUv^4x($4EoWiWDx5=ty=z({4Gt&i6H#y&ix2sx10nT;Jrn7MZk28&Aazm;7MBJ&-;+^`}#P%KQKqJ7i}$7=AtRv z0`vVN|JJCF%V3U*8>kXgT-wo6NWZy>x%4WCL^m|2(|~l9<__q}Jwi%D{y}{f%fA=t zA2Dp&^#{3`dNwUzEu}BoIDL@ql>@s2Z#kzOT3p3O_jed9hSj)9*Ov+BSK>IMMda6BtKBU@h!N@Fl z#Zb73P*R(3>VS@9Cks-0+zR`06t?3}Ve%sR8^H%LZQ(fCmjR7}ZNpDxq3FqiYa?ni6xN6EewG>x!Zk2xt$wlxs0JUi+k-Fx&BPVv_KV0DOCqH=;zrqPT;S>81 z%{Y{4(Cu~bJ&|h90ZNZ+Fx>HKQJD9C8}=5~Lg;8=cZ8%LXDlc{(hoKE4dTy5;7Nk` z_14!@1N|93&)6yA*g@zo_X(hq-{XeFYdptgUih9(fA9@1@)dnU)Z`rzsh(&6=H-T= zRB%Gk#p85aWEj-eF|)!tBR|KhKg}kDwS0ji7{DH_`EUp^I-2Pp;oHXHG>|)(1ts_3 zMC^op&j#;SLgN5g$22^dGS6X=DMB?{|dI2QAqFH zxDMF!L_Wz1FQ{8}L-Bc5`x!7RbZFBPakYQ-Jr=aVc}_FhFBx8bBZ_?WG74MdZtMDs zF4B!ClWkpfepZvKKXSix@A74Te){wvS3ftC4+yHPZs`!(R0c$L65mm?_{aLw+7V0Otc(E3VH+Jl3?lMh=J( zjtX;&MsfL~D-#0Qd>7v29O!HoBvfW_{5-sX>FlHA(gH<9&q4abApejV)grN=wldu3 zLDa>CI<`NScP9$ditl097x&L2=qbkdT*S}EuY7kF^yb|SB0&C3{FZ0no99BaxiXt^ zt|)YS>(Yc%e`S=aGxO1;cfLqCMgUFY)IS+Xj*KWbV0Lz4j*Xg|!>3|(HXixtsANF9 z559&DwgihPTXFTIr@pSs3971mU@%ZRN8>U)t21 zkEJU6`3RjgH$CfsOjFpVT}{1RMKQkm{DdNb z&o)F+jUlf*b%{vUxD_vD%3xAB{CQ%2LCpwf6Bbl21pqCjd?YZ9FK@sW$%%mnM z`uBcxfFIUHeM-1uW#OTn+M=k2_mO@?#LfC-fia!!EL2WPO9HJc@6b$ayK+SdhB30% z$g2KmguG0isg{s*596(##ri@QBd5;-A{di*bK@fDod*d^a$b0{gam<`Yw~9WT9VDn zmS8T_Sp_~8$inT*$HECn44#0_unFs3zX%3hQsH{m_?L1^EN39P{@hwo226fqvU4Gs zr{a0wbOJo*0g2 zh_3@>uF(s_NfEepX#}sB4B2IJP}+?Ni2jM>%`r7K*r#B4JhNu7`FJ8;=Oi#S^|=Qv zhxFEEUvee(IeaLnm@7^G*TtG?9kg%lapp1VQP+(2bl4_yOx=}uo&Rrm4Sa74eSQ%? zo~a4SfvDP38yI%k-3dLJiHDUbY?emysfjPfLrbn)0qF}4<~iaMa@ScP+Yjv4yAyJh z<|SSeRWR(wyAvE3?DE9y);$wLJnRn(w0JY@0_GY4=?)InjhGYRi-(c>pJ(_ahqcp7 zsvr71zGR8yynItb>pAAx(enN+fL%ox-8frR{SfFuuEmRMVHVoF6A32N`h#NuX0si{ z8JjdR)67a92Vxn1P3;*wXoY82)oq)YGmz*teMsY>S6tL!y4T``t9De+N-p)sB6PfK zG2YM0+&;_V4$OySJO@cai4aBI*{+jVt~!!EWHYp+#QV^kF%5FR9$AIb8cu1WmT(QG zxA(DtWd-Pa=2Li7J^GWFpSSLhng`PXTyl>p7``43l3C^05Hxa}-hT z^cTDO2i0XP(TddD^kKVIO+i?45-^V{?@HyHCYEfteE1fY zu48eh;sl;yd}%B^L7J9|+BFKk_|1i@Ui=Z?s(H1fvBh_xKmUq|-WO7uofrGV7_kn8 zn+3qo4C;_!OgT0oo3)i`|7`lZk!Ibd>kPYKHG*Q!G#%zWkqO3G_xcWbnQRP>kdjIk z=OuOOgBge&sbXfGG}>bMAd22cXlQn|0v)dI>iQIw=dT?0uR=*ZD8qHEV9hCq@?hp5 z`Nu{$`!kHcxBD#7n8IGRg3V{ZLjh}D$Gyc`QmP&&j89hNuki(2L0`(Fv2q?7g_P2MzrurNp_~Z&u%_*+anhKOL^#2; zJKmNp7@3Y*xmC?GhE&gUd5J=k zs|P1_;+ar1Yts!ANcSvOS2X*;j$&q4NT_D!OQmi%djF?HpPsf64HJ9>M;a~Yy%Uqd z8&agHur7uDRZr=XHR)6HdV}h(x~L9cFxVo1X>n94pirMI1VK%>6+_%%FAlLqsB3jK z{*m0IhVHtKb`mw3myTQCR>o=0MOm7et+?%vXAOQaBfQlDqHgY)i_2n~D4cWSk!ebi ztZlAM?bn+mR|Bl)KP9deMMr~%v`H#(xw=R*Z5E{R+#Y1NkiBUsGtA|peZ)lBHJcP; zd#K_m;&Q+Zaf7Nald4v(nLfMbYM@en?oqcwCW+vIixFRWygQ6Ia?`j zWyqgeGBk$N*cQP0-GVm;(r6{P zm})MVIxvt)mc68oWRpjy1H0r^DRlkCZnem-_JqGN%ZpDr(ceE5P(M!n5vByns@~L$Omq`@Py45>aP4iwxt5?keAHe`6Quf3#H5Q zAD<^u{ghEPRlBJsKR@QTaFsPSBTFoc-k4`25S!+EWo9(L(d88mNQr;L9_{=SK%6rz zQfbFl+l+q^?cxo8wbBD$3AKqaW09v#;2ymoa*`%f($QHHv=j)Ht)7R5lwxlk+S;vc z-CZNMdSzRIahj5aUt|MoTV#B~fudfF445nr7}HW33c*HO(ihaS4x(EN`s|o-R(Yf0 zV^fR3*C!cM-I4qU4tR8aFZ{K_2$1yxpEqt4V1IVek!PNbNCKeEm)Mqg0hpb~y7@sGXw#|5Z4kC5(MD{+6rgWINgh zG`zD$xfo8;(h5&y#^Rl`D6V7;L+%na{=+S!o|sr|qiD8eCsrBHPbNLy`QN);xOrI#lda)T)J-7_KF{!zS%T0U8W?la5t?qUGS^))qj?7TvnS&zMo2BaRZg9XYX~< z7ZdR7il4Qp{BoqH*-iiC4HM@woZu`0%0qg!iyM@nDt_c<0Mee`r>)dqR(U5Z0Ur!h zvk2xMHCzC|$@#ybE}-vL-P7}bCGG@H6Yn!u>U*o9DQm}Gp;R-8wi*f4{O!31O)SLK zi<&Gi(KB}H6ANTI)g%2zzT8hnn(K$CG38cMAqt_aH2rzbKzB`Rep5`&{Mt(-(N@ST zPIsw66h^{2=tDVuME1)a#*%7Wa$9&(i`TH9WUBRzOi)PiK7j3%l}mCr(0kvJ+{(iJH9o<*j81`g(M{sp??BZ_H=P ztq7QyZi$LuZhkW9xnnm2+e`mSG-f^*Y)a8Ks%mHE#5|eHq-T%m z+%TLF(Sn!NN(yT7lDm^t%wttonsU3dRqkR`*h04|CiW_h+(htNVw*(Ax%;dZFLJJ$ ztSkugzSs0U#%R3RK|0;K>N4ZQ4C(CJp5yF2#L#``3{xHB*F@`&-iYOuPGG{iO-h4&|?)2&wY=G z{@H?VqK2?9w2Y27>nRl@r%y=h+y9KT9J0ML6rWs&-VazcgU+t6lN_<|-6$abn76tC zfzc;J>owz1!0Ke5XfHL1SaO7$>ziz*ZrC4KPBw;dvfbSUef2dna2k{6OKMB|i1u1> zm4lMyEW)FQzfbMUSonwISI6H{ks4JA+fGkg)Rt4XS>TpG#aDH>`fN_H=JR*_1gqVJ zh_bmq5-mi_i#2q@t@HRQx|pcO&7aOzKccH~H~+TM=Y-`^aA~uxQFSZvD{8N|RY*y7 zo^?~vH%#o0ucn`BzpdL*)M*JIvbb&{QBcyi%bHx+NA@deU*pNF9Dl~C7>{mj^Tc@8 z{3k#OX7EV6v^j9)?QYwvadS=0UxclNT)Hu4MWS(#S9!MiDgCf3nw;Zwi#WQXkWaqP zRZAtXx^^yk!C3%HtgK~aoV|1ZzY>X{yo*nK3MXHDx}%asIJ-{EZvsn@9B-b>r6v;V zTb`s{=NOKKb~~Ma=IzBRAsr!sr3_E4tUv_Putdfus+OURNTlJ=j8ArR+NXMS6VX5q zPocXFNKlJv0i#`i3V|0K_H|}(^`EHRk~hPUo(m@F*J^@x1n4GWn*ZoLfYj26Y~l1h z_EmrQHd$>yD{n7bswQjB1l>QY{%~!LKL-eiAS>>N=|(bL;?hl^aXY@h!$1aS%^9pO z67pwzu_lB>JfsrCuA-kn7IMv{tGN+W&ceSo;`TyZ5R&<@y;wDUO(Fv+N?GdLg$(D~ z$Wj)u1ms!*bbayjpUEp9kfajC8Sp^$^%4?~UA4|bypB7(@Y=}UK;ge8D=xoCNlQ9&zRDU^65h+2F-J`RQ+sL15@Q#h7NpvAYe%j z`Vj$R_#Wj(*VmY;NMrT(Wq4QgCBVwT6^I6SjI_Oq2gS2W*RTrql22DJi~4wS{h=b! z_Ec|#6AZZ&pBd&#;3!|DbB5xxWRjL`zl{#OQ5$6__Te`ArRQBc$bS5;CB6cubyHJ6%_Kf8cAHVPE-u76YST4W0(60^+ic{ay=9scM zGi{&Nnv~{KlXPsJ);eb@Z*?Jxftkuz)w)9`_HWV_uUwfz+?+SZS1qeIK5e~Al}$&B zoCG&>T}T6^`%|ihM^#AQ#Hl zZ$4Z;b~?E8;PaM4r)x3kTt4*Wo3>v+M2^u{Zraj+C>>7&51mx|^Ui=ZO^45pLA9E+ z2UpWDJ`0s252p8YbOQUjdu_kxYGnPA|E1EY>4lx)YHj&&@oKth#Oa$w3|clEwuj-~ zgJ<#|PaYxD`29P&Y@Ijle{s!L`gG5bPoJyLGu-}67kJkzR=q#a4DxQ*EFWu&pnD_F z6oT}@=gAn7BIcwUl!;FeffdE_)nfI(ViO)NnU+U|;p5aZnY#zW`_&fgSkNAndL;w0 zDrp|AA?Z4>TH5HIBA-Sje&EzhJCsT}hA96~U z7UjF%e{!eZ(ML|QS~+q)!%crnAWGEjJ>ZqnGQXfGuOsc!6?-|=j>JR_yMGwD_4)h2 z+<39ck4)>nTHRc1;VH3u?eoQW&mXW|WrsN5XJkEJ*ha;s0SFB;?ejis+`s4!0Rg#) zr$@iMO>1V=FT0VPFWbb+#yxQx5;PRu-E(M(_zje1dAIx8GvyDLO__`L%eY)3X^Aoq zlA9by0IGakqx4qbxc$u8`i}-OZk3200g%GA75`4g3PS;1BSs+0+IUu%p{ zPm_u|oG+#_xVhI(-J|8xLIT(#*{b=*EXoecmbs+DX`TBz>#6ZoQrQfNI<`U!xxt5? z(|v!*RzZ+S)Kr7Ck*d1BJfG*H{s0O*8u|-YE^8;P2ib|H5Ok!trw7`-#IlcHxgL?t zRf^yYyU%RMyo|>--cF9!DtvU()hor`i2L-Hi`Oyp$XcaujZ2ak?`9>5rQ`SEn3ujx z+WJvbEO$w8+hojI$M#+}{@FHVyu$HZj?WU z_M2NpEaqP* zA9F0LPs|7LdgJ>gB?gGS3yiQ(ySh+u!0KA-aqLvZ@p2!yvcQ zhO9Jw*a=Iq2|o*Eb;TtGWI>Cbc_>|-O&9H*B$JBtwwXSi(U@Fpr!N2ZQVCH{tVHA{ zw!{wwiK?Ug)7tQE4(uhIW+dH$!pwJ$J4!5pFH6)}n#nf~DqC%ob^)vEBfikiiM2g9 z;LrlUYt==Cj;ns^?+#v)rWD-t5laX}snizTMQ-y@J4;s+NDDQBl`yNQw< z7*>|>&kc%Yed})L#9KNzr_g0mUo?*GW(LcGuL3DL=iIfSs)|;d=!FtfVu%oF56xd! z`EuoDhwpM^GG2m@_h)B88|?G}v{qMm5$Dx$fgsCf1T<8N z(pvu5-jADxQIQlLHOWg$B*N&kJSAuJ;0}6zuTlJ3`b#d(vN!1P!rUhJn{fT|mWrIa zNbpg8e#LyII`O7%4KYErjDGI&t72O_vr!|oMESAMfh?o~h0p?F#_jc9w;mG-|B>ny zqmHj(oA=3x;$w-nypDIVo}lYR>B7SNjOO@4+itfnGw5 zz&{_qD{>OE0ev37T5HH7v|NqGie`qAcF^70Y$>8xxynyz6u*^|P1Z^!ccBx^zIdw5 z3bPmaEF2IK9<|lx63m_`4RL2rnDW&r6DUHRZNl<)+SS3`hWL<^0aA!n*~+bF zEUC)3yh>hIE-CuTsn;bn-nadNB*#d)Yvl@o9%d)e+nDbBu{T3+{A=oGBKf1Nd~Ls5 z6Sf<})*1Gj%bzXn)tegLS3x_9g!!cp8|*LUJ{AX*4&DpYdied**3YUt4`XkMI}a78 z@~B(mX_=*w%$dB^AclL$zZ<$3;EQX!=RONE@_80vfOla)0>2Bda%}iTUo?EYx@{Ij zU(6>{*CjA=UvxSI;z)%a@jGm`MZK?_Zm#ySt`J_UHQTGv*x8tpVvnbuD1@or_ky$k zGLLVKUr;1wDAvz3PK>8JW@F{z_fC!DS7u`ME_QRjHX*u3Hu!vp)t%#J<{9WKDd+V2vJ}Bz$cdS%Cqj_+TO55o`rsATGk_(>86EOdvvJbL9YVwJ=E>TC#N4abE*j?oA|Ep;r2SiT*EcfC3Bh*? zRnV|%-&&(EoBHc;63g?NqN2)X`lr7+kMShY@2WCsUK>jlvfkv7y2ljV;_v&AI$4U` z>)rk=Xp5{dkExwt$X`%vi#p%Vg&$Uk9yxatX}6dEk~x8L)L(REQdjxRtr6Y@DG68k zOapY2!rtR&qiqRQTC@*yWDXry;UBxs{YrvORzn$nMji>hCC`GnqFvW%-w1+PMNQ_? zP7kepFy(xC9yw@h-{~=Ye|Q*Zx=}%_ahRsgS9E+O+}*8%AyRISSmhd-_RdZG6k|)! zFtCTSC0QJ7LJ;=lY`*%@020t5S&(}(z-7P08KPxic^rK5Dh+O{4tlA3u*4V=WrP2| z*=daIX0uR0u;C+uj}BLiz=(?ON{QTaR3b7{$nTKNq!@5|ABg(6Bha2+LjhOL^`z=? zqb=}+s>H$It*A@D$fAz`vl!UTpYucvIc;pH?NMMz9+PZpZ9OA5LwXx zgIV2W#(Aag5yUy!LwNEk;=NuUe5aj$$@M4pJE3+T>PA99L#k17B6?bKzj+mSTJpHD z|FC}Q{%4Hk`;3`K+V&hzpM7VawG4Gdv$QRZVp@7|-wH3<>NI!V}UhoMY3B`I!0EkWK8E@8 z@#l2boyIW!?AN22M%3nQF~)xRY7=e?kW_*9`*BvxXP; z-Kk8AumY6sF%9B{kenYPqsn5TfPmNYDL{lzXNGZZS;;bWC2ceE0I|R)WuosWWp66O z#Xnm*!0#uU4kLyM0^GExxH`6t_x+Bx&=R^lb?ap~P zKNsO-;&|a7DRJw>odu^Vehq$qr~c{Sx5hiwt##tJ8p#wHeO^C}f0hQ|DcJ3-#R z&;wu<>~}e@pB7_4DLK7jv_~3|=s}-cHI=v9g1)^WhP^10_8U4=Y>w{vWsSbWCcaBk z`QaqK({4F=9j{jAT^`a|p40>mS%?Jv-t@&jNE_IFTAjX(I^X^+JYDD)Ao)%{Q+T~( zKw7xEt5DaCax!d!MwfXog>#G$;nNk864M+bX6<7r$*;!)Tjb*4vhnOb^%%heYOQ-J zS$8kqt+W4gIFC^C?VT&u_rrnvy`3bcJ=I}ttW&R>C?!bWH+Jw%Xd3GODeSz%nhLf> zPeKAh=q*&~C^b?Qq=b%C5vBJUL=mJ}kSe_j(pwY}kPeYGkezTS$qA~n#s)Gi%=}{5@`vQnN9l?Yb!QTzjEPhBmO! zV(!u};uD)b=^(}=EU?<*a#D~qVM!?fHbOiIO>7{RZCJ|O`s`=c5g6J=HT6FIY-x}! zcj1G|i`DqoSQfeYdpi-=E3D5q-*gM=NtiXz7my2aleXml_v;6?^fjoJp6}ImiLz8$ zx1!enZUfbj`tCkvyD7nRQdAz;b7yQt;aZgD`Ucz8k4)>AeP*3)X=Pd^tIXGTey(qD z{}lFj$v;G=_t}vJ&P>!%9l44NdB|6%;AT?ZD<2a11?b4^3^7b$uE zu%!C%dwE$+y{dq)*jk7)KWo|FmATT|P+CU1ttV37LTS(5M&aBIc0j`~kF!jF_=y--AZ<_3_4Oy;I9d?+7-n2dneM4>H=J#@Ijr0m1#EwMf!LJYU zPEQBB#O7d24=OFa4{}7BN<@iWQF8_BGnfQI(<}} zsUY8VX(>ye1PLx*&n;-(Yt~dBX>vFJkiEmoqSKYZ_OJ>}k$X$$8FLibWnA-I{4X0n zt+@yj2hntdoxE>n2eW9E#nYjEflqUAecpjtdEO4w%6^4XF**Ocw9plS3de#QkN^xV z?#!riJez?(=*}lodZr4!*jscT*7~veDVm=^iS5#buimDJ;1X%eFmK$o#xSL0U&dr~ zaN{DET|fPSe2Mm3FfSP@SR$_}IY&%s)4$*me|1a_(f#Z@GE^j;P{h&0nIx*JZ3)7sC}?Ym_p9!-pN zZq@w2H$Y3mq|f$cNO;hv#qG?lpZlrnA(8bq{(IHPe$KmUd5h|3#sx#Sx}I1z6N+1b zoiUED2MzK*Ula71PYhCJ!s>JTb;>E0aTu5EG`p@W{^{V8l?YREiPNL8V){;)z2@Y) zv?5)6{mW#)nx1&wM)7dtJ79L^WSr%!Fjl+2k*Pi^UW`N{!^5FVUHoQ>s6bQYwySy9 z87LKJM{ruP3Sql%{jIVSf_VSQzetfMe?o-$X|Z{dDx@#S zo6(B5xvx-PuMhz8YNdLk8bLqPc01&A=_B3Ac#rQ(GT! zDAQ?HH}CFn1G~*n3DhWGdvvS%K#e$ay|@s5S#iSlD$A~@ZP#yJ;>@U=Ov^`)l9poG zrnl;-(t8=_MagKwA+^a{iH}C~zCG#`))Qu(7VO)!(SeVhN66*)AK1nV?m<$lWVVWEff26Pwqg+7rz0$}sQEU|rR-9?CGsXP7^Db!iilZY}^OzcR9_ zrx=CsrnUo*9IZ3Fdc513Btg$GX{=nXtz$isVcwl#?)j?v>{5E6TN{qAhOHjzyt`&8 z045ED+I1*;$Cuna;FMEa6gm$rU({1fLWCah`^M}-4O0k$YHCC8D7ju|a?1I>P!C&by`+ZZar`pRNC{+K^E`+b~f$-rh+vA%i0 zR|J2julaq7+S7g}S%Q>pTCv{k8t>H%303F#N6nB|HDo^+G`gEyfuDQwHog>mPRP%K z(Wl7%mxnz2P|p2uvE}k#cUitwEhgIy@jjYQw(I0=OIPn6VD-g4tDO4AAwOYVy)IhSe@Ga^FEoZLuyeW@JzB_pYEa5J!OR z7UKeAfY9Qi1`rf6VFf%Rr+R@ghy%gj76RZX4?7_J&th3%Ocij=fgpA`gGgq#m=hRt zlgKc)s2BK+kN$@BNunm7SEGMBA3f{*+=^ac5@PQK7O#rM(-Ij}mi11f5#G^=JtyFX zi%ts61rpoqLl&lufTn?hG7)>ySp3K_L)*L_`shd4(y+0^71OqNf#yl9&F8U*Jr6A2 z7>j>IWVjf}AXaFC!@o}q_Dk$@)g{-lo3kAUaPIHOFROU<_5jDny#bcJ7E32mk1qPu zDjPo-di4gcCSP>$UZklj_wil~{Y^agrh4`t=*y`7XRXyVeLS0yCjgU-7?Ac@S>O=8F`DI~{b6sMA^Mb3*gjAD>_>3-x32AtalNSfu zj62n~FIhuhhfRT`!TpI*DpBRztY>r|4OfP z@v3t2s&eznkZc@ao8e%cS(9oM6`LuqFOZD#FVy4{)sPY}1+eF~FC^si_z$;8;?Rw! z!a3znb5{jDbcSo~w6MwQ*l6{9XI}DW=R$F7q=KdZcLB|2A-rLBj%g%gdD3+doAKp< zLu@&A2f1HMCi8EDS!>-_WT*i}P;D#k#IeS|G zRAZ<5GoD0XDoofW~Rq3uAjTrhtOnSbPSN!ERM=b4f2S0Xodr9v!7qJJ!;_0z?1dw+ns|_G) zW$@6&c~!txpm2t za~2NCGM2qpq+MYHKcBKkDdwqrE+)Hq^=5eYW?1!xr^(&Y5A(&%-1bfllyd1%NXfv7 z+VdPS#c8CU#h11e?+dyD4_bFdV;JJqnx4#ope2!^A}}TlxSh^(J1aAaS`g@aH=^s& zaS^KFHD7hsP`wVM`50B`~b8-wr;L+rU>@peRp;1#`G zASnT{7l6g95E+UAb^_;dh`mQxygL@phJE?<;Ekg_nM%*PBENvvp5S&@#*GYwH;@gm z>4g}>!=utNjszv;uan?=(CaM|AK^ozY~WO=D5Lw!vC8qgDu9vo9#PX z@aM6s(Zd4|;jYi82OTmqra6qdI6&3-hom+({fRYTy0XGu8WLaon&R<1TGyqnizjln zM?J9{!t4?ExV;fSm&(dx7m~eCOkxKz#N~`i>`!`A{AM)mogQe8-=)1h+chjTEt<)A zrGGJN$6~PfByMB>UX1q6!B`!oGMy1ueDQEx@o-YHEwj-JSDwRPY==`_P-H|~bL1Zm zPnphJLBk*NcyV00vz8X$t|aVQgleX{gdRogjXR+8$r-xx&)W>C<2pU`8iL2Sv~pn1=)rmu5_n~dNw~> zF%V_Rp-C;MOFSrjO;N9`F_Wtq^Njm!eLnUR+LNR^s``4 zt>SN8U`73JGm1YL+kTOW~*2nAtb^j+2V9 z=#9_NTf92K;qGE+I*Pp4%VqXXVy%DnXCwXu+PyeJngweQw5C@M;_5e&cZ(gof@kO+ ztHho8v7B&-$(>W_BL(R$NNXx-3Oq;9OC$2v)>YOoy+1fo(aXs2I!ufkXXk#f( z6_GGQ%kxj6Gzo2$4!WLn-^=65YQB>bcg@^vT};&^_Mf)n&oZ(ytn0pBf)5;r&Cpt_+8ZXu23gX%Y}yO88fO&07RLttpvIbYnTjIMKTmJ>a(-x(I0FT{SEnQvCrPT4ut z9Fcw-yNyEUy!qpozW1nlYRBwzg(>CB3Ogja<O!?TAwP=F&AKyJn~EiQU}uVVVWLOt0}}I_$wlXmZpKv+T;{jN zid|`0Ya1si>Y9FJEscr&Jgn~ADivFmLX6#0ANaH>)^fc)aSCO-@bRa}L4vKnX_i?> zVy~&M31;-(o>l?e=#zZ@Gd)E=KgGjiWI{qQoaB1tVJpGT-s~#2*NFV3toAIs%_>}5 zdQwZ;4m{YK?vsP|r~VZ#S`OnWSAHhE*{HI)A%2LV!Tg#$g6qzjf~GQyY9zj&4lI@; zl8Ui>`OTM0p8TB1-RXy0byWTMl+qW>oO*FzD3~<$f?eq1V|$bysUI*EsNF8HtC&vod=*S6iQcN33}Eu|JLq zvBiSRrB`Hqp)GTe*Z#7t*`EB9Ugm>qZT(9XuPZv<9dGd?_GUYrfA>*brpU)Ty!^bP zG&*>sCEcJYJqI7cyW#L34Fe(ioChYOgWp2gZibx7gty#m#uq?cm(f3cMzxOc9A~Ws zv1y40-4hS695$;v$JC@9_kU^cjTdyA(Ds-FvlyK1G-JPsSi0Ux)>Kr z;b3MPHd}3Y7e^kG(ThOELkeWX&YdqqTd1u9C8j_ICHe3BjG;dVEG+2#D8io$do76s z#Ad`aTWsyzGW9Q#_ZO*NK&--asQ1RL6+cSmD~RVSDCJK>#v2=-+>$w{N3H4=W~q?6 zK4>{{!hE?IN)cR1^pnkR`}4!1$&rb(yyr2?p=!{FGD5)1QAuyY%2+jyD_LTx#bR@$ zda3|g-?LKrHaJmxj`6NPNpZT+B{HqAEg^~U12R|<4e{+5-AtE<^15!8&oTOv0#S+k z^uz4!&XtUuk@62Z%TcXPIBmCU)}gdQ$Q^%XGMG)4&x*tqd*af$@Ty6_h{7LONdMJy zDhI{qbkUlfqg-eKM@rK0tN_DC+G0VZXj$WqyP)W>Yre@82Q;VfV zv)U(Z?#SrFyBwF6UPlDOX5$X07|Uk&AaASabS0@A#`J<&T>5slCI>CiROvWU2V~iM zG7=a+lY?$@jDCPBAR zY=4Gx=GszCDev4OcC1C@xBHpD3XP@>(a7_@=GAh$yf80rKH@3m9*{XWdWu}HI{xLG{_v`T_oxw6H+GpnUGo5xnzO+{_ST=cxP?9EC zeU5r6o8pE3k~|(Ktt?V*RjhS|B|h6A@aN36uIFO3M|`K6Ra&#@5&mCsN)uLh6ve%6 zmazBiUwR~edak4UvwTzA3>VwR832d)^KAQXR5dwNX+>ynJTQ*YV&$WF=;qSHQqKO? z&89P$*mrHAJcE>6WSdoQmH*Ul15s+%8Po2N(em!g`kGhuTKydd^FL>McA@QR&1c?| z_#YRDs=129^!on$zU_Vb3DU&W#H8%QlTH=KgEd;kW1pU9DO`M%TEgeb--0m|VX=R% z3s8SG@}7vB(`D;W`7<+rsE{AsvQab{x4TyJZhg4(D1*Q0!NT}%f$Sgc7fA<~A4-*N zh(%qXcGxu{K1E4;or!;7{}?<;>XsgEa;U)O+Ogl`S1#_Fq=$t0pHM?7^pg@IIKP6b{poQ z%#g_RRRYO7N!|TE)s`?AC80X~a*6#{dT?i=IAKmt#pw$tyxjY>?7`9H2}v9~H(o)+ zoH5K?8{*+ew^40xK}j;Ms67Ykgsxq1=(qqu4$z z%={+ABe|CFv2T}UnzGqHheRBWc8a`cpd?&_W~E^?L(&_OIZ#w7409_ay$Q(yMMc0c zsv)LL$PFm!BMf60GSP_ifTF~qTi0@%v_d9~lYb0;0b{;HEd`MrS5d%f`M1oi`XO@0 z$?l$|BoF;V(=QQN;3)Hu`eq~yg35u(*oD;R@I3Ps1Wmh#Ig8?15tIZ8c!^1fe+%*< z7}En8l^S9|zhIJK{}7Sx{+?tjAT%4YPD811A8%q9LUTLW0%rnQN5f<+LTH+hsgU(* zn2d2qVk43fvR(p{u?65*BFI;{my-!5~b92FE=0_34OX>-t<|D0y_fCJs=)7bRHk=D`*`n7|N+t%bCMIN=ARw zua+}rAxr+HnCSLbQ;Y&!31|n<^iQxj9r~dJArLgZ4W_0f(7;OskT-46Z%MY^q77UR z+N+d4r%wu-w}hKN4Eq>WJNSEA4EbCbRcL{JYmBxC`Gstr0EEYk(; za655iUbf~5^p_0F)=z$r{KS{2;Q<+X%X7fQ#C?>2cBPam4%+oFxpcpfN7{ea%H`7k zqsnIFHz*1V!x)6HG$O5_sLZelw(y_gV9d)feU*nS=pqS%5Xf>B{E!LFEI}XxS+0O9 z;OH+B1O<@g61ajH{ZWFz4zgSWSFoTDnVj?KDj3kzOwP{&BS;?lhNe>xgeVmr-rc*J=4EL_cHCm~Ua515UB?ur;e-kp`((P0j z=05@tj>;fm7ViB;Gz5tNOxoiD%dCSR!qLYP1UZo9Joq7CM{raeiTE3I-oL|Ov=PYxL8ZYkwjri1$PEZ80fsRRnP^6OK!6w9R7k!E zn=~yoGNqUx>z8^_s}>^Hj2wWVfQH=-k!wNfK~Qlp88M`l4H^o@B!s1BDqB1xI^VAL zzn%UgHHBo$H#8QE8G!y|LeEOv&LP?I4$X$5s$epDA-}VD6uzUt1j@$yzELDEz>l7N zHRwj(thtw^+($7H$}_=aj1G4VbY(}oZ2*Pj_U^xDve|6HzOUZIFMdn$e+bt&)-8}z}BtcSj90~xh z9Oetqt_j%#LAAns?}i99BDEo?w=iGx5TPudpJ9KE1b7)KCmlK$_A+TxHR*a2@&t-{ z55pLRxHci5LrcCwr}>c&fm-bWMHtZe;Q&Q6A#XxZ1n9H`^1ucSz`Y$hErJAE%>uGi zQ$4sSIT>|Mf69ekr&x5wS?XH2cFYJM2dvQvU`z*eng>~CjXnfprlB3AxU1IxVj&BU zVhfuNB?uy*Y5On~lLRB$Kqy*k0WghCusA(hT!K(d@=#V4qkjNJ-GpYD^^-$Uv~1%^=%A+temfo0~P(?Uqt)n2OR3b2g6>U-&iOLY0aT@S%9(dqNx3OaOx1VIWky$lv-Mh{647(mmDU}_k_6pjid zdHA=Pt1yB;rBt5$Rpd=;^nZHz5l{!hDDScuXj)tKJIG3vjG2Y9Gob<8-wN?>MrwklSHOOh1W$O0LCEh$B=9_Bgw?ZKxTKS874nQ_NkdU> zuyFxoKqFEfq%aRQpht&G5C8;esJapjnb7=9GNAl;VRG8O@oifoq}>^}Vb2KJxayLn z%!WYrSfhbRYzE3Mio9ZtmIV8LhAP;F7&ajbq3al!jBbe5!Y(7rgG`qUQls#?6kOat z90fqJ*#bIrjgZd7nCsO|ZxH($n2Qm;CqXaAwl|=1T-afKn<`|S z&ZZv)LDf>cHB{h1%2P`1(6<08x!Nn!@NX`I=k$}luWAnLWg93KZ3w><%*3p!2}c!^ zq*(Uhbq??j7c*7%gjBZ3wC9 zzBJLtGjSZ|ClW1dS#4-C!C)tz#87Vh#7sb}4@JG9=Q`w^=`pb{952#4y_O>;zg}Bw zNI8+oGeLo4=fEpSm@kEy_dq-X={8F24K6uy(U-@lmUo893P=0tR12A;XT7JPBrs8C zyO0q~`I|WD$~j%KJ_Amk5t^pN=#j(w$*$U!tmZexgjm1Se~)fl!<@gho5b0GU+cGZ zHXr(uKZ?Qsz9W_9{9Lu@Ke~MHP&vS$;HXp*acR}@tiKMP;%$&60$FB*_5)+Op_TyV zY|sGYhM|_?$PF9xJun6bwd6%|*q~j&m_CTHn*`uh03Yk~uLGI?U%+*Tke=!`EQ6!5 zZl2FJ;L5*~bW6D-Njop>GP8}6?gO3g_c`vL^X%P*MOogMq3#3~qo*q4p#||}hmp5*pFLruWfi(zj<*YG|hC8ijwy6}bnB+a)J}_Q?6a8TZsS)fRd(PBm$jz(! zoF{lli83}>SDqr`J~6mw{83j@NDrw-Q*!!vur0+={*!Wndq00Ee2>_u%owwMImg|X z=ISPY%I*)b{rxI{E)ZG}a?2+3b}mP?zOe58x5U@A1P!9*09{pDRDPzqs&z@)GTnNl zR08F79X);_D$$ro##c-Pi^O+~0et;7f|8kd> zkRL~1v#YPu(A=3K1&*@DWU zZtJ#+<^8Um<@=e39vU}F#Nf8`evcntdGvK*jCy4MJ~7W4NiFiMwCPRxQ-LTWVV6r6 zk?%yZ*n>nVI=G#J@D>R7hRF>V?YKEIy)V`bcZO5`7=cnZsP8U?zE2xjAS>%hjvUyj zE-{68;*0JdKAtG=b!uW8;m9@ZUI59{_Zi=$-}uCyw99uoCUK5mHPTHwN?+QXs3^~9 zyA>=n+@-dlM%uu>vU|ecM8`KEbtiiMF_FJFSnTT(&ySjA_lSt^auK=JSAn>AWcEx*`q+ZLlRv zsxCL=4tMFEk*okxM&lYQRm~la7@oHjd(79)B{MULL~UTmDa4R=7exAG_-j*L%k0DJ zS>I=y=9JcE4w@Ub9wA+BtxV3yk&%+Keupz6SqVQk6k5eDZs>oM#=wmZVTK7LJM zTlh_7N?J9E*=p0666;=dcF>dwp06;fq+))Df8BJz>nXyexXeCRi?f@w+{E=1M_vaj zj)mKS4!p@zbv#L)q>~Sj7=j*=J*g+}^nV}5ab{5AqsR)DVz#DDS*wj8QJVAhxK0H@ zgh_U8r1NjoJT0gB{{8FI22OVYuR%0j_Q>ry*v;YX@K6oe=G!klXeJjwFecu5l^*XB zN`ieOe{sHzbv8SyYbi^aJ*$A}EIj#^v#y$QZ?Qk6Jeq7;#_EoYr^GudvCNBkS)9W@ znru-_BJ1(E`&x|V16qB7Josc9T-p>g0;a{hj(-1m$ae7jWq?)pNE&5NjBov~Mq!`Z zW$evl&J^FI^J)SRm~&EC?cl-Zuf})z>tABdInF!~WUSmZ2{KW0=?s%kA7b`P2E@bZ z8{YhQPwC?PHHD0f$4a;S*;!K95t650EWjeIOx4qMY+y?I+ViLPhQQ_EcX|tN=me^j zr6C!{r}pW}(Zk_aq{UX2w-UH_6r$>G)9K$uGk-D28T3)ZQBvBjy;)Gj@6x9|xI#tK z{@4_X2>y2Sl%MJWnPTPyiU}-g&J;8o6ujw9PlmYrURW@?R6viUFzNQ_WZO-;^^F|^ z|9}dC`KeK9(hjnPqL>=|xJ?(9OjW(GQ!vbyqODJlkuM3uIIbQeA_BTY8|?Mf@=0&l zi<_yR#M$bJ(%6Pd*8pMye&H@cfPi6Y(XDbL~Y!_~IfhArToBO*vPISF3f7 zM;gR4ftp@w=Fgv|;l$zmBrswgo^BHgcL?K6A zHA-E+Y%bYW6>K^3*$HvF=Ab84RG%w&ojANo7H-y0*Z=w1Z+Var8@Iy~_loJTP&cwL zs?Ms1te!gh6Rja_w15?;ZPe-*rEMx#8%~n@`%174rRX(Q=IyZP(w+p2uU_Kgd((IY zhd(V_ZucE{0-NGGZ}H^Jto~rml*lR7HqEG1iH6;7t-fsaB-`LtRk6Y!$6syaU6qoH zbfK-U*7;JK9b^dNuHB`2H*X6lzfD(bRe$xA|I26EwzKIoev z0xrbtrRkm1t-f?KW8vDj(WP9%mgaNWbwjT0`;W%N4Xkheux%LKlbPN)2xYw!^YP`r z{;fE#7`9#MAeWcVBC9VK*U=~`?1bMdHM!RzZ>^D^#49M^-M7Ja-!F}cpAdW|)zyl67k)W@=HUIrDhzT|f8MWK zVk~!QZWw#MuY_Sy;_+1S6%Mz0##v>Rp5pZM9LDb&YyNup^(M^8D$!(bU(Hze>}cMj acxq<)8J1S4R%#$w-%IGUcwglooc})`+(4HA diff --git a/1ano/2semestre/lsd/pratica01/part4/incremental_db/compiled_partitions/EqCmpDemo.root_partition.map.hdb b/1ano/2semestre/lsd/pratica01/part4/incremental_db/compiled_partitions/EqCmpDemo.root_partition.map.hdb index 511b4754ea44492ce9861ed96429fdcde85059e2..bfed99a69ef2f04a2172e665fb1cb3a8c0b2cd7e 100644 GIT binary patch literal 29287 zcmagE19WAFf$S| zF);u?%#1)$MVe67(#4ce%*4_Ks2e~H{+}UKZ2nV$&>;L*{jW54`Y+i7^sg>lHtyPD z-jEh}eUOIG)QDa6YEI6X=V73YSBJNm7xMzk#sZ5ctz`zUq?>y~!`fdvWN@MX{tQt- z2N6OCJs*-ppn(hB=BW4*l-a56RC-^?|DAux%B`(^{rWoDcAn*XS}v-()>l`5vh&cz z2YAIa^!7}cZ^kjiom?xusx#+C4PLt7SlwaRN%;CG;a-*D>Wtbx3)A+fdn?f{9k!_I zzGAV}{cJk((~*ejn7(IO>2vRyVOzA_tfQ`7cU$cDeIIr`<9Xt;Q*k|Guk7b)Ltt9@ z{lv(BHF^YkGr`B>JXy15+FI?!=wngu0a|jUbkl*gsXMRx<+V_nYu6n;Hb>mcaiaap9{Zo2BaUQE| zRWBRY*_C>f089K|6c>v6l@BLxi)zSEBD~ttxR{ z{pV+Oc2eUi^mKSf&$f%s``T+AIVn7`z4y5FM+RVf(gv)P+%pKCHlw}_3a*nc@YUU= zOdFs4aoDpizd?M*s9N_$Jx55K(P{c!L^xGVS{_qwUKdFaee|qf+3ql*V z$%f`U)l_@Aav^Rg{gARa#jTDHe8L!nvo}E=G27kd`B~F*#&&a+T*d?56>5io0jrv> z;vk_2-J1V&G2(!0k3@UT;y^;%b}4r=VEZh;;z-Z}el^G)PjR6N$5lScwp*lbJVX+I zvCr-6sC^BR-8*rrmN)yZHl#2DP76qX+Y|7JJPJ0VGl77M&LRM3C|-d0;3&O1*!FMN zWgUcXm#Zy+giIg^J?e)oYK|gazI5{NMb~@y8=CiWA>C4YH8>lw_r}$;&Lh|j;y))c z%5JpQ1Is`=&9OU-vB?jg>ihXI^R?$~Lo%ui|9T4H>RKlOe{}*1S+yOs@tdUk@3rff zsBiTp&!-ZAVZ94muZ<8<;0x|?!Rf|VAOx+;6b2)%`|$nc?WSQ1(p2ngX^;l~P2tax zMpMz?z!i7g@B=n_x8fGkD*nk;kAupln@Ei}4?8va;%h8BzHb!VHBMV?8t7B#1H#`F z+&70(lqeO}s`Dj>J-?ptXNaghI^v%Op{)X27}#K>KOOQFaKXr1`t|-gkq;>g?0N*s z*lY|*aNbG&B^h?p;iF}tk9aya*tb@O!tFg+uii_q>o;fBv+}EWfecb;jp4f|xIo3yaXN*n$W|1b=3fsB69rcfm{vK>ZA`?t)oatV*l zMpB=N3hIZ0-lZG%bVE$(qs1G< z1`I~E$ULqimPLBX(@s!mRHdVpGU9zI6+TznsgDj@*yo_L==86pgF-7=LE1UYxahl} zgb^a-3dF0rN>_z=5lWsKnI*49ho@8Lpk_BXg7B(W2)93Nqx@4ChpIRWRp*I*aXWE! zQNg~8b_k^n2{t^D?U zdG6P>YI;8;rV<(LtDc$-gv;7haiC1-9ne6&Hg3aYzP3nauVbAA>RuSuID>srG5)%5 zVet)ViE20F5P3dCYIYkNvco$!0@VDlv(K=E}T17`AFFI8q4%X^YlM@8}rF4Ki1X z*zEmLIV!Z-Qobkd|Eaq)`jqgg(d;8_SFx8gJi)i+UweDo>ul3r_SW{ZCwd{=s$K^G zlB2$4biF^cEq2F(S$G*uhrhyZ)I1vaTYm|WPaP*{;XH|JmIQt>e@Sh^FsSCd5&0_! z%U&qXy$5YuO+aml#C7yqU457;lMVNdjgz>g4vk=7boJ9MEcEesXWEad`eHrtpwQ-v zV*mF{TfTp#?qK;j&wLp>SUWXhljYwf+TW_5`TQC6=Gor(yF@#wS8LDa08 zmK%z^L9knGrc2Q3i{keEi;~k)Z~(?it#!8LyCs190jYn0++ON@_G{#gNM}?`j)C`S z$NJ+Ngt~Kb)h9bj$W^mnV1r8U;<+P37fR# zJwmkJGsg=N=`wXm#gNh}(@zu4@pq)WBqpX2x|(}F8svdj^IX~?!wRUKkd2{VJD%pB}?xZH{;L59~MsFqtnsS7Hz}Yv|-qVfz_pD436`- z|93O;T)XAT(S;!DUVA1NZXd7 zvz8&OmZ1zlBxWMBQzpEygdz4&+&~O;u0Ucxgi5IT`eW0n3g$A7X5RODM4AiXR~R$) z5sovm6m%s8?pWj|S+9JZU5HXs`00mq`z~R#<6~M$$VffXiWs$*29EpNTTcP`Zjo`D zrcx22n!w;pbtPnGOGE;OLOzRzI{21PyRV1D#YQKHWPowU; z+r78MUT_Iil-4yI+04*+cr75XL+6RtLt4m6uwsZ(%ou|dGy9)~EFp`afCL_pa7x&A zDH?CvJHQ%>j5kN7sUhZ9ifLCd?Lo;JvtB02erO)sK%!!Cf8m^s+*HBw5&W@-$7br}!>_vv zSGY{v(_-P1!64zuaUld^)lxa=uk$erZR#`L>7nrsJS1w zfn#MV3g-)QL<=HaD2@B(rDn`h@JS>clsR1xwh z9hWxP{u4;eOf*S>7rI7}xw=NR$aLSGLoE{1`Hb>g@t5zHEi<>N7j3+%NVV^OO0}HU zB-zYq)0o^1{RO~#(I`yGnVuezH&U)mYs&8%N4TH=Z7Qu8wam(_SYuxbl zL5IoYOO7%KpMmGlz(_+M!6z|mcusni z3A5t2y_cf_b4?;?uw(*hup$r|Ko|gF0fYk(9zX;nkY+_NX0$=ekT;@BHAF%uPmawe z$%&@x2&Sdk5Dy&A*EgA@ux^NoI*Z0V!(=e+xfIZF z=n;9gg^F>~)#S-Q@U2x&0HU*lf}DKdZZku5pJP-Wi)ahldgC zMvE?XpyvOm&U!|&@EQSbK$B{WbJZTl8%G2}y2Es6Zl=(OwY_jOXOb`60rOU2%^_UaHV!N%RK zQVl-vNyT<-tE2hdzvjAB*^i}`YUNP+LDU7D59W4o)LrZ$l>lpGMbKIyQHVB3A~YbO z3OpdH-Y8-KT#cD3y=@m68ko(pQR7%z)q+8kDj)#`$_N7@ zCD@|j(y`ES=U8O~_=h4-QT-vaucz`$m98Uay>r|Lf|8g%5Px=}1FUl#|6{Nd5(Q{1 zL=||U0%|9!7;1*wm8G3=ARGL9Zd!l;FRCF7&4vqBnb8jQ0b`|5NW^DfIV5PIGQvL4 zR!F!sTLfY%HVSTmWpMjAIZ#7x#85RWX0ZGxR=6|5zSO$Pg$O>WMH=W=FSO)|n z>b_X$%5$tyfl*C_er$@WQ%2To=Xu%K5M;0yN>Fm5eV|+as0#$%CM=BwvOeBR+pKr+QyZ<9N|KLaP z;V=~nk+?!<0jf9inv=JJ{(GIBa}w~-*am3Zm!d`X?U$&JfdkOuCmCBRt`2qc=LW|6 z@`6dcd7x~UVy%p_oK69sh7ykeVs6JJCvjQPGj-_SHT56xJ+>26kb9$4kove7DW31F z@ev|A{evDpEeHbHqqZIdnNPoWZpzk_-C-=AQJq8z_7t`dLc;{cIeuV&y6h?f$?$(9 z6JqELH1BIG{yVfnC3@M`O(E2C^BB&6caSq|7_LoGF+&3Kq}k)mX@V2FToRo1R^hlN zdq0yTb&zp_BKRm_26UVdF$!)lDKRGs3~7@uJbB7MTz`EPN01OQb`E(mX)uv^61=cb z61))*K|mA&F(8ygyuZgPg)VtcvnyHJ>XkbvDlT`Y_5?14FJ9)B;NcFjSp{d957wE zM*wC;-hW)q4zP-431$gx_ha!Jwh-caK87MbMXgUMutQ? zc+8BUJKy$?o`#Tk5Xz^e)WU&rQTGSR8UB!vvyt}rfu0wMBD43z0tiAMAC8v%zKs|Z zHq}EiB{xkoaY33``dj`3jH>7azJO>X(w_l|1Ke|J%+msz6M(Si<3VVxN+f?4>#rQ5 zBx&1qM{H~eU5M&*{?+I(10}G~6Gev*3XxGRSUtcz1~-%@q)b>H5hFO8XkabUeVpNw zyxF*zRlCWA$s>M)MGl6BzA1r`b&Lh~?lCebWD_+X(!^!EETl}UEg}r!ybHn*DfQzy z5;Aa?0(&SZ4-*BE)!;*P5LB3(!YH8JZq7h&kD;=EXh4BAT@$FL0@tPflY8_~t8jod z0x#4OnHP3}(CbI3&@-iM@Uv1nBxo@s0B3JBKu((1uV4mlg_I4A8 z_gU)~qkEJn3{7Z)&KcQTly0)DlqF=nyd`8H5K2H00RfP+gzN_*5eP#$OB5zKX94_5 zPfrv-ogq@1R}VLUqGp z%RD76yW(=Q#~NHLT!VG^Lz+dBD#a{837Fp$sNNOt?GEx`F+KmGsb2LCTt@pEh1Y0~ zY7fjFpJihd5dk0=Y9N+ImpGN02vKV#!e6~357S^JIHX{m%MMB2CpL%ft{AmWn5(lZ3)kNrSJbr66PWCJnrTrL!K&Sm2zcv1}!>GH{Mv?XNG5ofF~ zwZEH8P?&O*cCZR8@mRiz#|Y`2fRfl3_wC!UL#Kyk1~7Gq1ig))qQgivK&KbV_5G0R z(WZ|!Pc({B+M!3fuoFuT=vTr6Gcyn(GG?R)a4x`K+;j9W$`L~N-}w5H`0c~Z;=Hr; zz?qd(s<^H+n++nhe= zGIUf_tR<|>%(!;~uix#y-A|jeIYz}pQ6E#U1`Ip}`#=30U7YZ}M*OSwwzPh|otF$l zX83!S+9JdqboJx|PKFttW>lzn%tMD>MZ}%b9u@f7yARcHdzGC7164%7pSHKNZAff@ z;nuk)s|}Q*g%WI^9BWWDT0958H)v#eUmT_{T3ui6!#r`lP=>hpVAtsc zj_u#{0+sN>ABQ=9smD}nK29ysEN_PPttGGyLOu@K7>vpw`XCGP>aPcIMEVNGV7q9P zlSmibU<3t+c3hrfjYQ9WgY*r!ey%jHv);{#$9uxbfRDHcm0gJFl0aV(;0=xHQX0FvZb+W|2Q7D{#kuX z-lY<;@;mG)1?1VDYE%k6Cmw2NWiYz6>5M0y(5E-4tI$Q2_V!{=?AJIliH9u)2JMNz z%trb$YVtCbg+g|=#LJeMz*v;&_oi|1N0`fuw1=Hgf$4o;mXvmUy;G=9d0!_on^BA{ z^su707q1_PPZYox(p}jd?r}AbGn2};{;O_F96y4+nt0pd{f{|^cDa2|E^v#yR}38q ze3f#ncwIZ=^d-_F{~-O|*$ZMy4OPD+EI$s{!d-mrA07is_nzrX4{Nc=ExPzELyJnN zzZZx>&wj)+Iik=M&3?WXy)ig@9|0n8=lh#F4WJkY_={>4>*gvKQc<>e8!xtw)_r?#V3mM#Audm<_ zhQSWpE*=*{fNOQglWgCF`~D3jrhZ!&4D1cI#~ydC09LxYv9pK>ggcg<30!UC#{v#E z^^T^<&T(!|`_b~>2JN40@C>?fPvc%VS~m+C7R%zl?|dkU)6R{0f4}M4WL0((1V1g= zs$78{DNm}tyu z>fGs=xP@UvMKx8sJ+yHx{t>W2OTrj$8H`jOIO(C02RUoe_rbZs=&5fP!Ld}g zH@#kTT$kA^`)W_8X9ZA>#<+8=Tei3QDE&{724&@$|9=-#w;YlFFN5~6g_^7uDTx6);~+WgQrD>AWa)7!x(u*^zL0XGfHM=a>A?DY0n zdL1U>&f0ekEv07_SQX31rh^qJR^J2;rpE@RYO3rt8z0&Avi$X)e?5O^En6O(aFDT{ zOiCL$&Y+XQE^BR?&R*;AS=(Q9sB|^cRymkDMvp7G?pbGKL21Ua)8p+n9dV%1u+m}o zU5hzBtJvEcX*rV7#?ek|MtI8}vH$&wQSA}GQqroTum5D;27%iv*Vn5QKvosLa*HKb zv3E3GBa@}|tC`kXkJ)$a{)mo6TbWJroys%#@d2My*8g?Cge8s6 z&KloNXLGf|-3gwf|q_z$6~ zoO7oK$~o&^(_m~!i@b_=g8wBrF!2T4VPucqK&$|mmwt3P7UtN8j=J#<#2oF?FW zSZ7BiJx4I~Jca%?5P2_txdR&wf9DaR5+tokazxys^6Xq(zU<+#HQ8?Yco`?5n zef$Z!Xnk)mDFa|!5!b6|6~0mHbk-yF;o3U%K)Pb2r z6xD`J`}C_#fof27Of|5-niv!s)h5_ok1NS_Ts6vkmqc;f)dj%|=HvUJu;RP9<}~ZG z8aV4Wwb^`ltVS|ffsmBTmb#zvlR4&kE{T{s zmNHr66h#`&&tK627uoFddIzZf1K7ij`v{T)XSxi(F7 zx6}kh?BDDnlRH!G6sWm3rbrXJwlmidWs}{A#3y6c7dYZ=9%>442EK2y1VpuS@oAjj zp^SxFZ4UQ|O-svu53+B@_FnDjk-==PD3~*k@+miSt>AOTQdC-AJ(hmUjKfquk;L%9 zDd%)4@%B1@TPUdoZLg}WwVEKx+2tVw@t5wcnjpQEfR~Xg-)S!MV`%CU-LUTdEq~){ zu ziO=;0X-4*liPqZoG1jZIDi7Ux^-38R?a(hr;H* zQKh1KzeDz@vWulQcaRl+|IKmQ4cYZ8={{X3o#$xZ?};A!T#t(<>nbZ7DjeU?$`pom zIw%A{BGXhyTD58-^{wpROdl720UOs;^bHk!OZXnt9v7z{7u7dZ^o{y@6yXW{G7YVd~J)M|MaWQ+p55!2tO@G?yE8#W<*d`AV*eLiG^F27_?`y1O zO&&vempr{&FU>oU{N9^A2bYNUEzm}&a5k}B4~XJB(K^U@a4{IjQ`0q1cambAF(P9Z zz1XyB?C_qq$MFS$U*91cZXukC9C&)f+p=wQaSsoX!S5^FBRXY#;>3m4A zGHOO*o$-v>aaeF_G88~dO$TFERr1Ugwt!#Pc)o`>!O-50QdN-)wHy2nRheCh|O1e<(}U9 z+AFFN+2&XHM5HB~&*jV)9)rv#ns&{DC&6x5*k4w)*OxWd6D2YaU@rrkubwZ;>b{lr z^*v=py{-H?3~m}aqc0>82g&HLzM(oFOBp=_g0-CBCs5%|3Z$PmHLuyhIU#iBg0KRa zovz5GmgF;g=zG2qN;uODXDJ9ZGl^yxU}$;Q0q*1}ccHROD_Jxt^L$6(E9&70(IO)+ zWMQhBi5(bVON4VM1YJWhQc;~u=y%X5x1_n|!Lz*Q{-9nh-=~9#4Qn*mh+SmuBU5 z#&!PC)BD^GWu`T-ik1qdLL!Q9WSV@AghNqkF^^_ZJ+=2gPkGh~=IqudRg>HIEwV&sCvsJy5{bA25^0h4@gO%FWuD<4&NjK*tRp}j-r#V9aC;Ma6f#$#sa42W}lwh#B;-W zcl3&VzRDFli}J2tNN{N8qF<0kTY;_dh&9)zC*Hiv$(m<{hu-gAVY7#)CvW2h%8$#O zFZlLt3Tf8_P_Vp61K>^BR!i|#B9ZZw=l#U;03NT2VF)i{)ZH6u&4*eLk<}<&ux_ku zgA+IuiSIo9dd$fxeYi7?cf&T=^FHi_Q30}M*MR&02?l3pIQjwjCut+w`#aoRw*yz4 zkH74x8qpJ$6sS+Z6aj3!kbh}h_jzRq$gM%sX=Q88jv*V4JU@#3k>kx70Au#~9J*)j zudj66DxS;Cj9o4!ehrq`j7&A~Q_+7Rl6P;2GFbA!!x-uNH5N_@)bvEEWBu|v(=>2C z_*Vq0#U9!ZPXua7mbJt`DA5=!ZYeGCT&?AXv^L7MWl*daNUCi+cq~usAg!yNC0s## z7~LCYwuocJhW(w4Jz!#%XsO?majcU^3JV3=>(AyjRBtFa!t~S3>|&xm4oA$Sjg<-n zQas8t$YQ4>PR+;f4w6giGf+mvK{BiJ_#l2b6zWXu!xORT3W!MRwU-6aHGw|T&!2(v z@)n^NIjP@r>(yz*NE?jP%_z}|o7NwqFGLNOtEO!lbs8~I=aIFh18K76L-a-U4~?A7k7?~UJ2<6+0$FiAMMSlsxbhC&B~YKv6oC-#@)MEL_dzf=OfK{9 z*8arxBe4H^;CYLvwrmoq|K)+e|_j$-8v>F>Bhe zHw3fOX3=MQZ5d?RF}#zC;H*!g=_eji(S<#}eS!i~IEfS(h2P+j1iFu<;AlRCXq4`f zC~3N=;T&eJ={WlQOQ@Ap5_J#zIfP#> zP}m})tc@j`UJd%h7n`VRV?77dML=&PmSPblX7J6NJU5Hk*?F6`@H{7>9^p`wH`N!i(2gvcx$mHv zRBI0_KfYQT;(KQV4(5-8dn4r0jCgZGZxQ=L5S&)TJ5jtaHPl*R%i6I(8CBHUu;?Jh zdR4YPtEO}cvx3RKkkiFA9O5iut6CCn(N4_!v^Q%MUp%tP_R!d-@(~s_9H|ZMRSOKm zH`*ofc}NU)<^gpYRU9d89U$4GRk1F~Er85^h_WkJiJ*QLtT)GH_fw8E2meNNi9~j@ zFw=pJ>l8zX?VrFw8S$uBk_n7ebsU#K9)*=7O?tj2Y2}kGm{0b&gb?YyIZdjhm~Kq0 zG;vq6cWC5NWn{V55Ia#RL2CthQYo@tG`^uxtQD~(6DnMi4Ez~MMy zy(NeP5XW;&Qu-cSy-@Ad6!pmySze z@wSR#pk`F3^qUn#N6MB;nSj_RcBGG)l)%5{(wi|C#v7LA0~^F&ArBJ%U9&n!B-t}1 zjUJEKY%Hrm=lT~Yk$HV*tXVpQhB zFk4Dm7<)KS{5HzANRQCXy{I_PRXoK?Y@`PkX2KrKJ|X6l%Ijwu61xIvBkCi=QX4BP zEj!Q>fDq#n(_GrHK*W;u9km|DAduc{OZCU2p(@z261iPYW5Z0Hag%gT4nl_JOLbB> z1$8-RoCn|74PmhTw+E#%BR?@qf96R{FC~U&%5~DIXUV)zEy9g0a_`xeAFNim zQD)hob2gwV;co)u_7zLQy(nQG`LPK}@1S|#l4oBvXSrXQg20)kAg_8B+IUXTrqo$>`B0}IJ`Wwo-j#SiqT#_-Wo+?>&@(li_8VU%in=dx4jL2$j~Y&G zhZr}BO_zG}UZpv0LXTIT6^Z2ua$qX@gGaB`peEFr)ptCTUsj}N8BQpgSeA!im5Id^ zlc9;#thYi-l8Z05=x0Y84;D?tVD=kPn6_3CDjnj zZUUw0l9~0Q*2N`d{I#nO08~J;$M7kU#N`=0>V3sGv(kIrmt35av>q zDq7Fgv%>TDMCX6V=zM0(i7X$H(YZ543%9NtcWFIcq~Y?OG#%2oJBmXYo@qH{aPb;c z{O5pb>j_(OF5zGX0j{k*LtAo9&hoFPG7XT3@@2PG4{)NmOTqlQ``I|iM^j@FUj)d8 zagu)1`j}(mrSHs4$S>eItDa@Lxn{g$@Gg&|oSjPGzv)?`u}uz3a_c~#RLaZ=0YpW= z{}9!s`z@LGYo3#0?DiZ39ddOWTX+liLEkKq_auJ4;D?)0HkZ$R{tTN9YFu0+Qo!+B z_@_QKcIB`Nbn^ViTxK$Q&r##9P0te1#@+@$Tw-HQgb0cfl#_a&d*3&^y8E+;aJM0T z*1rkz+`?76H*Jbq&$YnOisz_;AH0DEk|5iCUVQ%rt4L;fuY5LWuy_u3VEY#AnISAZ z<)5DRYxqAsarx3^f)`+nuyq>{M}5$7$q^j;|Bmv*DM^u>_gE#ej(u1PfWi@5in@lQ zg2b^cjEzUn3zVoy`lvUT3zu~X`)mSzpFd4-ea@H_PWfe|@Z53eI+XWD%PEV!@3p|- zgPu(mE3XL^gP6#gS(G%g8i5i=uuGfZ9`W%VK0?Fwn8ayv;7b`yN|%&|u;Z?-+%F~A zC>*7Ia*vd4m%d^`^W#eiY{rSY{qk;QF`zL;+D_pEfekrTiK+SYJHGvayyw>*Rq0M@ z*3RkQ0oII=kF;|NIk&ENuC;MLiHGD;?%Exa#-Zy9zj=AuhvCH|)+P6)@Xn+BFR04A zCZxODWU1jTRe?&l(QeQpb@}K|%7?7%;gfG?c`T|sYCbc`qe}jTueJNmxwKz-6+KnY z*%TDl{ssRS#hI`fWnXmw7v;f8ovMJPPt+N1JKUN5ydbq>z;`gCAD=vIyVSxMW9a-6 z4_jj8lwShnJyp=Uge><0z>%PHetrKH`YHCHD|XtI!inUUdoCenu}B+jeoB-PNH6(u zJO&b*RRC4OzdaJ^2*(m}j8nAFBCYQd;O5E65{4~_oj(BblC+{>MQ9lU3wD_H{H6#d zC8COXnxJ|A-KtM5hCbnB=UV0=d8E7?hiwp)|63}+(Vdu|Z|AtNR`Fc_M*K1l5L#_}qJJj+g zwnq~yS(xzRD1nAU3_F6yw;if zPYlOHU$uzT5LcAOk!qJURmMAmc}Cyhl8Bx4Xm0*piM^a#-bK{DF_CxiY__iiR%h4r zj1d0hW)$_4Tuh@8H4ymm!F5YRv+v8|qJr|d!d~B3``I5l%$D~QNrV4l_rjP5E6KY} zSbvabBS_%Qiw5tA&Vxouptti}aQ`NJh56L8YDZqfS91U1#1h;vjMG15+BKY!G4zDy z#KU)c@1v5QQye>c`NPCl+0ZRX9He!D;gU6c%@GY!%(J10Y)XFq45m&&$t-=Dkw?m$ zYExcnL`XY5r+j>(H2n$J!~`k_(QWbSfO=DMAR7hfZ&RW+t65mOBbv>jU79A5na=RK z&YF8JF0iTmVT`IzMR-b7OluQHuC97oMP!P!doaj7yO5QIXGnJbjkYZ#iPhORBBQ*M zZr$)b!nsu}+$M_)l;s0ag2Pco2z%;TqSenmp6WVLvx9FcuF6Fv;TI)~p_|T8mY*dB zj@hW%z}YXq$V1VoO*sgOt7w6j%X;R zb54%*ya$=(N}R*6RA4BkYA!ZgvOO@f|Ad)~*X<&0s}b64=yYU?lh+M(B@lApZ<{oS zNCSTfBTwzEoKkKOCOpusJ$Pvz5uoR$*+iD+dg*xTROXU#g?InQQTb(a&{dQooOo;V zqAci(P+%m*w9BfeV}Sz1hK}X2<-gc!)v?~)BeT>B+t$K2ixHU>64PCsE|VdNOaNPB z?Y8EpScIqAu(w`KH)w&kXXk>dP7(%Ywu#s2I+-#0oe>y`Z2Zp1b6SMPe~r%ZrvHg$ zA@5x?FEG3zER>hLEI=WKh4hk_1}LPkP^!#CG4d#JQGA|~@7t2C0^;rH>2_CKas&(e$(7$U_i8U@s9SSD07O8{^#9dDQaD}KjoL}r_@cEtJW z;ptM`9RTx;CODebK(u$};;bF;z1Q0_AM0|z!`VR5t&)C)UHSwLOWDAI1&F^(asleu zs7>9s_X7tNkkv)9oAdV*lD*7f^7%ZNV z2)9|DEz#u<3VBFTZc(Nr_pSqKH*sNZ6;ND-Mm2JK10FBt1H-aTQIJ%1kjNSL40|PC z2T_G}Rd-)Rx76~k&|=@e73$$iy5V3mc-KYDV<X2krY$A^iB(ZJ1zmGnW(peN*Qi&u7n+WJw`2pv|xB zU2jbW*!-8|LF}z32Do+cDeH%PO#-Q|!H4mh{w&~@kwN!DJW5mS$JF4DRzNaxroZJR z{Ve@wl#A|ur?7K!NB33+w(b_oVJWz?Bybv-jdn1$cfT(Ig;z#T(k*Kr$ZS`mwXwPf zEQjT2Qyd!rP&Ib^@~G|(E8=_<$7maMnW&fX!HcnPgy|G2j-E2%8lflfyc1UWma?Np zbw@WQ3Xa&m__bCz#fJtU2izA!+$JA=S(e-}^7l`Ev?zU)KgV#_9v;ZHzQayvm-lo! zisLeLr=CmXaG%9tnm^O3@*jQL62_0#_?FCg^k$+Q5!(@yAeyvPktaPG*%8ypcHznH z8bmga!IOR*Y1Z!0&ER(hs}e2G`7Q>`%DF_n{Nd>b_lpOs+Rc#kQ3?1>bnL?Oja`RL ztjr?H9m0lGuCfasZ$SMT5twvpgd!-#KY;_u+D-8?PRKQ^-zcdDt)lSxn$hKKYkIs?hUjv<^NhVbl?}>2RB6*!C*BJH_bu^D`r?uG+?1wBa!b zROLwVls%o@BTb%(fXhWmEgd;-y#~01e#4>`whL5eMqq23594Zgie4 ziy8aHs2b?asX=gyjrrr=ch_wr6i-C@JLkUjsyv^dRzH8By@c$b9KPxb`!i7S$AH>p zwu+4TC;cEeL`B#48&>*6oGR=4Hq#B&Fuuib-*~(7z%O_2-8lYEa__9{PjG6iD)Q1V zl;}xV2?mXdH!qe4$dcr)M zx+SjoLnQF>EK2?Dq8znz&*`@C(vQ$+2;shzscr9VV|+iJps4id0Wcd3!`p&MS?Ya& zcO-__3r&Kzzq=1-0KOrDE#(7FQf)G<_%NKGT3kS1-4qQt6#OGSZ-MdiFc9!7=x5bx*da#=d?hg;w!Ehe zS$vUG9dQA#aMT|o#v@q{_fq#0Js&fq7Ye#6zj>^T9^~|5%xA1e@=9_F= zmdxQ0;6-UEtTUTT=K*XftT81OwK0{Tl0DnB8c`{ppaOU_qV+s~PPZbV))&h`Kzpea zc|IRC2o9@lB>!dZ>R*`du)MEZh%TTe+h*;+NKjDT$8VjgszAwQH1D|YC_tDj3t-Y$UqOmec<{~99C{15*oVibap2Ioc>JYLSo(ez zGCpicPeo!y7A0oFzJnDQi$9gpaAaghs2-K6JRE18sKP@#G0vk|)>VCn zLrJM;fG>+7b0kZ(-}ysn_z<$=XsK={!D%G*ETxxi8;uyXQ&TjESIk;FGw;TWxXw15 z7v@u&F@QoMjC^p;c1aoioi|Bu4D1M*YS%}(*=P`xLe!!AkpMJ(9on`-8D!Z=y^7M&U~INxGxQJAMJiHFb05uyVci5 zv)j*r_BM2^&*qfu~gq92kIr%d8`!YVSQao67g1_$n`1(TXJT%0xI#6Nu1w+6W z2110!RB;)LyB)p-!c=2tVltnO#e>MRbEJevr{cZjD%WaUDVQIZ@^lv!mkC~XAc4$> zLfiUqw`p%opYbZmwylkv9kozE zI-K}WO%t=Lz}-OrIg+puR1Xk|A)`q@#@e2D)WdpN-PkZ>*iJk&QZ5moWz0DY4#(kS} zQw`?APkjk?8Ih(k%(PC;9bA1Qk|Enux0#Gn+4f99=m8TjA6&xUgwhfc>2@y^tBK+} zPkEzq7i82~pd$0~^5WC8d9mgAXJrEkXZ?;3c;+77GaxCVHYvEQBy(X*w^^MZXe}~tC5juL)hf=_$DYr>|wJu0oNM~hMb(B9httI) z$-1mberyvKxcrz<+%U;Wod^Lk;U3V+JrripF%KmW-)+DzI1I+g*}xT1525f=x!Kt# z#K$xl-<+I;b3){9dUv>pEezz?hi=`A-7W2_S@r>jkFa;a@42-5VK=O_=ujANB1j2g z!hUKK1qF`tier3Fi;6spq(ZSb66uZwNo~1;^OJ{BhoEVce^Nqs2%v`p1uL_%v;*#N zzmSsW3hiGTyR=LVPlODhRE7k{M6}z~8TH%+H}MBmpq$Uo@geO$9Bx^z5yW&~beMFf zTs*N($RjL^YxJ6}-s|F$MlSBg~a}P83`ky-qTp6li`tSPgcb!E&$fH_$5> zf^(VjkeQ70|7z^Jqnc{IwS_>UlmIHyjDV9=paaqbR={Uf;6S6fJg~M zqy`Wv0i;P2kQzFKqR2}pg3|kU{N29RUEjL@WS^BYGiPR={XBao%qH3ql6~|i)b`$P z6|+|55~fjnImZTdkjgLHt@JbJtCuPda+byzJHpLQF!ERRt5srB>%cz{m1U`>oKCNE ztL}K4h}H@Q;zbd#H)6#;&M&G|Jqm?E_nfK}22Z z^Sb=X-{vBEalaj$VGP2uZDA{t8)vmU-aOch46gJt7-56sc1pwZ;OWQ{D4WhLtN1Kw zDP&Ku#mA949HGyb&;eWS@NDFDRB_aitbIqMb{?#lEPk{=uyajc@5nEedRm}Zx8Q1q@Fs$?(E|4=0u0s;l^hUe&MziKn z?&V10qXL%)nS?vOglb{czGpO6*K(Y`S7xoCDCT;jCbGtsz}2k+7a4iu`maUg7$nh{ z*JV3n!^tPtQe~vNMY>*WZIahzSHYEtBu-@#O1%kw^++O7Z}gWJ!LI;Gtj{F;e&B(b zmG3)To|ofwl&6|n4;u4P8Cee=+fp9MHyg)<#H5EzW^JG-w0fftmr?G|kwi9NqTVs& z`x8!Vjdw2?RwllR2kxz+D2{$ESP9L$OoJ^Am*>vHpdQVUi&gA!*%#;Kp3^y_8zTu{ z(Vd7Q>B!}F0b>NjliYUmao|J4*S}XLk|AYIKb3pzKRlP|`}qb1nF6Qg!r7su z)NYkS?^j$%&0SEsFQVnUNiFmDm0#0ai?Bs{8K>WwpWQU{y1)49ikn~VmWu|w%sY3> zLIZB8j1hgSqY;0>4{od;A01gZsXD)=jB#_>+hm4i{Aswa+L?bZV2VYgYhnDRJ7yDl z+v?5gj~y@I)#~AEt&%MP4S3Skl^?kGwKsN{X35wGMzDrd5HPWTEwBixGvB7+{q-a> z=VCsb416ds2{7_QEnApc(r)WcjGN8P2lMfZwFX4?QX4hO9ab?77f)Zpu5^LR6pqk1 zQ$&`}Bs2~ekrSAuj$GjzbihW=`pX*!CnAYBz0uh<6eR>O8z}cwB#}wh_{cUU{X`1K z6j?ZD_DDu#9coy)Vb1MG*nQ1Re6+ST!Odt`S+;Vmz=hj~u*w~@waVo1=c6vz0X&I7eBWElRIR66^PX4)}!MnPp6ZZvENJ>wY;6_?cJkmOfyfF=fYx0yL&!P#@_PWfDE<{l1N>+0Z8M-W@vmYBQ=W6g{1gZJFdIu0i)*B&cy3<#OsX3>-5L#M02I}17lTScOeu4zfH>>$kf^gUM^*G$*FzWCnZnJ(ccd`Mue3^L-eK)<0eufGFXT)b}2^7R35 z*N3liI=)u|Xxf_B zPi`GmGZWbj&D7j=0sfiq3Z^`F`7U-EvD`M-Y~J4){Vyq7($;{R$_=G)=GcGttBJ0{ zHIgj~T>Js20C-`oH#!F3pMWGT!Ht8nki;E8-v3=t*>n7U>HlG)7L$rTme%9Ra6dno zwu$dbil@S{0V>(W1z7o^z!!YD_yo+uRm~+w!2Hs52DHXBV!2)R8D0B;kP61_=caK2 zMjB{IWm*57VuAo;~EwvA?9~>6KeK`nsPBw$kwJb$xu^4lW}k*syskABhQp0wfL%5;~mvI>XIggQ@>CY3ZOwOIam13Op8|JfxvK5-Bv^f z;zr|C5ji-MKw&VPx`<0Eu38BOZcc6gPssvYpGnSm3UK8%UYE)ei330o>@q_LLu^^i z>X+J%9uf*eBy-(>00oF%qMY$jEt04&Ydi(0Qj*^2{RI?d7!W7m{Wv6X0B(F_1q37L zXZVfiiDCsY)xP`D>r6#OjpQZZ(MxY_&Kp+Vonix2#*46fv%uwS9!2TV8-)V>ULuKQ zfUy7&L{>n7K(H5C21s5MlIRIHmS0CvECI;_W+|^Xnh;TVrz$HcR0bG6=nGqplVbN% zMb*A0Hw_%&q`8R91emTOayg)K66}hApn48x1F~6!B>oT8qC@~11&CR8%l>zu>GDYm zk_as6^scJ=F?U~CsXo2jt@Q0zU9MDES0jD8!57}SS4f`$Q6eT_I01$(X%-B=)&pQh z#q{Ub_sxHjQ-x$lUiQ|#&8!F@YXMuS0{ji|geX2-8ZTVsN+8HbLFAp#er1{4pN=F2 z)-V0%-C|?1Z#!pXU(C7k0cAXrwTg1DMiSS6WXGHE){iju3w386b!QEA=kF@%4}B+e zK*AF{CC~!Lqxj(p-zXrb8TSV^tERQ+RXVx&WUPq10qE^)5&3a}ODK>*)F6q9!2SXI ztPd;=*v7khqy4}>7bA&Z0MLL`1BflBb0|tSU;r?I5JRswx__Cf)8Kwh4R>Y7rwh?s z#Bx9_fgvsyxa|27{D6%-5|ffPCbVs~as15NJfyyQvPCKW(IU2oWeR~kJ?+|y@z1@^ zXmfAVON#N9ol#2bNMm!>p)x+l%7&_kxq9;tL0x8oD}=>>o30uRd9t&DJ+%Ai^NHWO zWMD6HveSLEd()0NT_Ex>HU#$-}t6==G!so-Mo^?swya(&^(Jqbw9B4E3!CUyBFR-VxyDiv5Y}WRx@*UGK zn(eThe=+E}(EC!Jh&KPw zAX^^AWkFNn+~8G-kjR9mOSWRx-5E<$GFb9+r8_vm zNw$?fz1!7&YKF5va|JwobN)!Pv66oQm;O0_p!~NtFgX<5Yn1LddM7PcGK<;EyFt_ zvCuocpC8E0+a4s#7wOj%X78ISXM3A|9;mxLyoBhQp&EZ?@LVw#dK8E^cbd36f&7BD zo_)Tn{>4TAB;7ZV$z0-#ht0Gna8xp1YU`Zppz++d!eE(3bP3h&`f?9A5e|@a0&`A5RCo!TiYq}(>NfPKdwd;ZkVxCP&P^Pety%jTRZ5 zC<96Vny(3??HY|KgXG}KX3y#L#E@Owx8s3>`*lz=YF`H~g7JH_MCixl)fyFWmXUxc^qS5ywhCzR^ELiErY|! zmD5Zo^8a{toyy;n`WHVo!(rqYrF>d&>CfKHe?r0gZ|%Q3V_jrw4l^4EPj*KJrjTE3 z^-r!Fls@UF;>ii_W0L;Dpz}0YYg5&&&Op(OeR;s~5A49I`Wesn3v3_Ganzx>RGG-~ z^Fk$hi^F-IQC#s7O9v*hDPx~4jK8?+D^Q~vd<1NoGtO)8EE=DR2u$phZ2)+C+RS|b zPRE<2?nUpahti;m6F#ptjR|g<1g}<7ii#;kHB_S_;PDBr#+j_Tv8bCSeJc4P>zVg*hc|5duHb!Zy!ebgO-rHQj zWR~4&3h5)r9_QZVcWlL8Tri4f)Me~&&I!W47_Ym%ewfAT`gU~b?ZTEo6Pai7H1e6(oHZy1rqWP5rZeeKa>dB`8 zmK%M*7{@uEWjz#fZ)%OFfJ0S6aKi^E9_Sm0*Vj#lXoc9&Mb-#!Ch-Q(KhB)JH;s#} zX-YYwQ+QqA-B58U(GkB=eh#;|m+ZjdE0Dw+-Zbjso$?&U%mu+MwRS}n~ zXp?esAz}UYg#X!nJel=#wpf7<@6F$(CayVL>#>$q1<(m3gi@rkJ3_lV61h9VMJdvk z$$b-ea2?-jV~sp1{T=0h5$)Ik3vWqv+bum*e$8-UKvY%=+x!))$N%`Us|j!a?6=RQ8z+a6h3bbfMjvo^A^M!{xN-TU2z z-EH4RiJi|`R-RCOzrejvPJQ}uGTSCJconxhQV&2J_A>fXO{sav(Hp6nvWM!_!!;In zf^6(OgFh(jgWLQZ^R#fWRCl-IZwblG57paS(a_g9CMJQ{ zQLSI5kM?K+7;}Rg%Q|+TyUBmjXw$CEe_I+yd=(kLTH*9;CjEfXbx{WUIMC|Jbk?Cn z@ah0iBXVxwtxa>imi4#EBpVK+&sqUz@(t_PR{%nbkyLaD+THSFue6TA-^Q?1!DXF{v^*4lTuD>~1N9TX|pW9yeF@YItImX60?awfIl_3_6#M$h9mzQu##6bu-p? zf7+6Mpys+r<+_+!l6Gd_cU&R0g_qhA8tW~ykoe-%caKJ=r}?aoIOu66@#S&fJvW;{ zPjjx51gWhUsjd34-VG`VC*gAyA3YLujN)G@dONWmePh1iTCnc3@dQ~}(e67SDfz(V zNElDfTW1AovGP))zTT8-yihnHTj~`mHMwp{tGQgY=j0?k%9#k)Ak0#uKzCo)CA<@R z(P!+WW4C2u%c=IM1U=&-QJmXQlP0DWIDSsT$@!}~zK7zZzNGofLghnlgFm}b-e8?P zrO1C0IX;QZ2BD_Rf<(L+gBZPsx7O*8p9Zhem-HMdyDoxV7h~6j4(-$_9KtLGM8du=@|)_60b#~|qM+|F1FXOM-;?svJJf@>?h*@{db19n1g`>l2ruh&{2LL6-ZHAj z>%3zCXzNYL!0nt%mLu zDPgycW_mp1$x_$#MwVKzR)*wGM&G+3hZ*S(x4Fb2{-fK)=g#j8S+ZBk{W*+;`vd^x zYwk{YI6k3DoLE6;M%cygO*3(Vx?_!?cblfp>v(_hBwcsazM7X60o%ECeh`Uop|Y(S ztxWfSUj2Mz-Rsc1ah>_BVd`I9I^(po>xbjC+?vMYv{qkyQE(;6ztBC#naeNom!@S? z=TrXqJ)CbfB!1MZt#f>2ZfkMv<josIPMI6tsn2!J%?PU%+G9N)l`^MAWu z^eUtMXg&Y8?vdWH<69n(u_$Kn4@pfTk-H;K!7i~1#L+A=7*!t-@LFo?>sW8-b7WT0$@@nKFK(YoXE`G05<}nf2R~8yoE5N?_hMc&Q!IyTe?u`z z+1Mn6h~x9O0ZS%bzw}`^ZSb9QeqLW4M|1nSEa^4JjSQerknY~_r)E`*$x{N`NbW~n zuSSN6D@h~CQk9eGX^(nQ4Z%Y%VuMQEuau-c;21u>zwa3L_*ADm1N(yI%H(hZf(Pp2 zd@}N5s{0=4rbap3O63R8>crTf%VED!gMyR{r(^7p@=GFP11RwO93i|wChYD!q* z@q&pDNA^q{%9(|-n`fU}dv#;2zuB)UB)oAR4!-`!o9lD}`cdY1r!`XO!0(*Z*D=FA ztxrw6`fMUq*&pwvEkj=Ha3zo!}9jJl0?%f_mK$d&v6uw3qk@kYL z@wS6pq@tif4MI$qB6Uja$7eOSIjxP3!#|hh?!OZH9u+o;9z+a+l7&!0ve7$fj0QP9 zzY8_v!%{lcji?vA%Bke@%c`;6jK$~KoIXh9Sc+J*(Ox%zdamc5NR#QD5ZOtM_N)@| zXraOLy<<&JRGlF@L-)0-QVf-9(NTti6JN9>;no2VD#;FNYXyUCL(f1Ml8F78?;Lf|wbW~}bnx>EKWG}9+LRbP zEEB30LX+__p!Xi~H%#=I{Oo+8q9*c=?)xep0@8il`4yYm>^a7>-?#t@|f_mB@B~I;?se+QFG$QnZP!tX2~8bjB~hNz!18 zB9OZyo&|=c2bGRtr6oufbnq=UbFZ?xfVoH$|4a6z5_awmB|GXRjB!M41&KJT13ILu z^MirhbMTj+;!kaQ5E+7+?zy83Rca8a}_aiF#io-R!`)6CGX&^Czp2Ib@`{(>a0oRyv zKd$nZam3CTW80EO^%9Q`vUB^YZOMsxiC+gCVa|@2-ja3B{)&i3G5bq~li8O)L6hz9 zd~gF02sVn%7bA(m(6>RbacsUQ=>i@6qaV{T^c*EHiDNC7#r2{+E=QR7Mb800d>Ny2 z$3RJtMAHFRF(+&XfZ-36G>lhrt}7&z_j8nsIF@Xwmz2jz?QS^yjJf#_)rzkRF=C15 zqPHqnK}A4BR7Jn|SODJ`M@PDCJG{^AQoLCKyXza4wHx-j(snr862auW{BU~)NnP3& zKhGAFS2C6p!}IizL)u&$(#l`QTc`_ZU7F%WzlBCH9jTDq=*VU2r`k9F{5Fi~aw!lV z47bPOI!RS)^_?KR7Y4t>P-O_Q=tN{-@I;2Hv~Z|U zG`^SvO;20&?EgZg8Ys#EuK+i|Vt0*R1tCWI5Jv)9$J-+g=6p`3?ro=iX%Q8S{03b zc{$gK3;?1Eu+CqMqzpszgYFDr{X|Khpj9~R+cm@#J30?qm4a2r;O{V0SwP6W2v=Xc zE<;s9I4aT80l#xOH|QxqDiU@~f}{>Z1L8J>9TO)x!O&75_xE_%S#m)iT>yOtbb|id zsO6^7nRW+R-Q2}DRf6OPL%+k4sJnw#%#1DC5%RwHNQSBh5KcS%4BX(a$dO`JlR`eF z9_D70dj*fCV?;^MV1+m=bQw{}v5bdG#$ur>2zLgI8AMx*#6rhu6cQd>q@OP~Cf03a z>HnV;f}zDhxDo6vF_It*eI0}w!`>1laj>c`am}BLxHTX~>inIbs^S2xf;?B-`IZ;w zI~ybQmK7~Ln+1A+c7`+6>;+unfh}JJ#Sdfq#Yq9MWdIigr4g+HcJv#%pDhucUH=;_ zD+mpaLL~^i6(Pu~YV8$+bt>Xn4S&ucX$gThBLIbe23`fkP0XMMT9t~WgOjd-ZxetP z)(lk!w1#Z!|D|%(5~L?EG#99J1Y0dmih))|Voi#HmH-TTKub3O1~>@}CgVY+&iHsZ z2@WRbf=V6neQ=Tsn5?WM|D(sS!Ee^wspOghqTME=J%X50F%t3l4Yqm((ZhkRhf4M$ zW5Fj{ulDh>b*;FmLMs? z&{sgPA?#lbqswu0vBiJ5ocmBPy93cFpvjn0#DKAaq_-mYG5Bu`7$Zn}JE8!Cf5CvU zfTTAgoH6*{3>Xs#XD4DwU^(~6^s|3Oo6$6T4z#ciZLz+bZQZ=C90u>hAgKp|_aNqd z@e&M@z>fAIE(sP|$|(fA!QMi?;>7T?qv-(N!}}3PU;JOeg21~GjBpYQSbGH#&GA>K zif&iW{5Lkp(-tY!0DKV8^j*9UULC{kiW?|F$ZZHU7+MB2H>Wqs{{1V0-WQK!sEP?6 z!B6!gdIU8Y>)aWt-h`tR-5q)E`ITC1{!dEiLC9T*HD5d`5D;IyIRi!$;$n|)gB!fWCKv$Qz{r3}4o5lb+2ifuBor9_5v2PF ze+f=<0KngEhFm!T%)#=r0IDo+0@Tie8Ru~q^y^Vi#)gJeuWWh^1 za%!*NHH#OS^?5&r!`MkUsbvHHf`Rk#@xA2sj1Bhkez7rGzA^I-PJMF%(*TeGu&O#w z{2L!cw)9#>Ne-}O8j$WFHbH`ff-SRybceAC;s(#5nE$^(382%5k^oM~&=whf`VUsD zBI-HNnh^4TAOc*rAll$0Kd{BeaCDp}kcH8$8f1P3Xn_P0qrU$`z%Ykc^dT$-mT9z# z-ifOJjqXMLR^Jes%#TfI=O*2H2~)oKM_}@M&>cHG4o*@Alk-4~Vk8e(VHYA;Kob}C zmkxm5l3EZ)zIZ<{93PI>cn8FE0nNt1_wiUL@|8vY|2bMn(&CKZtu$b`iV{JhQ-Wj; zLth2qhOr`!_$@fe49pqp!)^8{miD6>E?NjU2^A{OzGH<-jpZmg>O zfe`jWYe*|Mn=%__8L|Id>-=gyKAH21bIPZQ9y40Lv1=W-joxzV`~`*9kbjQJ>roM# z;j5s;2_PrS=NX;;iwkJ3Y~=mt6gUZp=7ZQxe=5VrL}*nSc5D@~zxAfD>c5c%8=fHe z&07KFp35_uw#JT5f>r^E*ct)|=dn=9R)nQ5&+)g%+r!c@v>eEN92>=f2J*ugtluhv zo&(KBThuwL!cdhFPMWXcyUdQhOIuVK;Q=OVD7j4e{{KS;tPqP$SVau8FSGyc1n5=f zUjqFvtSJTkD@D!)&-^RRa-sZJ90$ca;>!b=xP)i77Y#;y4EsSB>$mfgiGnYE6UsXb zO*AgA3ERgPlxSKyv%7|~tV!DIO4{MHQ^V!Q2B*svDV`3fY>gM$y z$ZG=jQU+J5*#^>QCE31k>lJ*C<|x*6{HmzWY7pW<^MOjovC^U> zFboaAHG&-zBi(|b?||ILuqG0uD=>5fwt5vI#DUJB%jv)BgooXZf%~w4C?nV_;v^9m z8o+D~p#~;@0I{;8%bqq zvpn>%dRn=cy!t)3n`*pbMuSus^YCi+Y%n#OhsS2k^jE<{8&sufAs4lNt>54iY&Wf) z@FohKxirI<^npHfMDi5o&K1*YA+evqOA`73i>Rcrk%{+vB6*!$Lf>veTozHio1pH? z7DS<9J-U<~zr~o?@+Pu>MfPXv?S+DUDXhUuXYOrkVu((zK1i{SnRMPQ?CuSeApEX| ztz_gS!DlZ`wJcI81jYVhF6xElmQy7u>ht9-5|CxZxxSbCSkKx_&mU@MvSSI?f0bR2 z5GbIL4v;r^&PUC(XTu=FqIqW|QIusbOea6}-qGCzUEj3#7mmsx=`~v;C22 zkPN2VWk|VL_&`t1s@MaVW$ZOPjWmrmC*qjjeK~&nF}^xrbY^@4JH}){y>i_v>c(bp zO!mA?(DUF=6$ib=5h`E0Ik(NjqwQn zNrOn}SL(qW4Og0OmXK4vANVlV*ByZ^4F&H>;WH2kx*29tZ4B2b_qlUtX8}}CQv=;D z8?!fa=OrKI=Ut6_a=FKXXyraR*1Fz1HS^dX>g@DD<_46es_GUi`a-TtGg?RL214?N zohZ9qp7h0*m$%w4#htP1=-j(_#$!vey>6(LqVn?l9;IQja>n7%Z|P)qFJz;BwzvcM zi+^0X|Ln}@IZ$xqn{=%L&Xrf(`H08%EhK<^_1jA?=c~mL;}0@+Gd0#d zSnQJ|K3z}$enf__*-+msEEvf!t<9_U`YO@%$k^C-K7d>AavWV5I3S$qjNzijYb(&E zDmOF^T1Ff2hUr5a@P?)Fxa?$f%RhrZe0c)tGN?#MUzzGQ#HJ4WaDzvE1xrRZVUAK- zTC>C(Pm}QYvhpzdjdQ_4TPrxxSA`Z#FTG|Kf~Zqr>?MgZFd!2d-QzM z$WK_zm#uO@$zTKV-A(Ck`1j;O^Vb?=Mh@+qOzp6dHg2nGhHDkJOJln;jE}2Pc_E%w zt@ptm<2!qY5x2|z>g?TSJ~~?K1*;oTAN>IY5_`U_ZPRt*osYj@s!Rx zP2vTmZee-s8PnB2O^rnbT=VI_QQ9f@C^r#r73(V&nPYNvW9Ky!IG#v=50p&k@?j^7 z5|BIXR&0H?Nt{TBZ$?(SLwVTN_A};Qb^Q#%uv2mz`WeEypw*de5zm~ zcboI}XMON5XyRTR%M#;1@v8n=|2XEp6}dOBm-#*J$~<4U-rOBR?^@w{)5!^0?p;nG zZvLh#F3GzD4 z)aATqmoIJ5k~leP9rM1^?WMgxDZ62`>MMmf07je7+854+?U*NNw_kw864ckXPqZHiSdMBL}Y!7PE#@f`Ui&dqP z_uWHlG#~0*nT~F=#JTZDFHdt#z?!+Pal|NGB3iz=HYYAI=X`9Z2z>c#n%OU_yK?>g ztDmu{ALlP#ylGM9FY?19P;ZS@fv=uI)B4Z5oSdv+}#)B9@4q9%CYsfGA3F+Jf`O@B-L4=GvL>((*-wj%K3 Ik7sB95AlD-#{d8T literal 28859 zcmaI719+uD7bY0nwr$(&I33%zbJMZybZna)+et?q+qT)k-2VUF*?o3)=E?U~oqDTI zovKrHZ{fSa009B1fd>VKARxBDKMr85VeaB;?dU+l#=^wP#7ZLOZf$2q!p+7)!ph1F zeArlkqN*&3ytSJ-iKLme8?dZD6~uq4Sho331Tu&4-}qnH>GWS20D7U1oUOOKvL&G& zDh2sn)UGHt%ER0;Spi?d!qURivWdSlCuj4W7FQ`qA34))KCt{ zVS-42&o*_0ENsw$_jc#;jQ5P7>y_Yfu7s|uKR>_cm2d8`;IZJf*G#+r(X~5 zuDIUIx6Z;$$f26Mq3!sNznMFnPhW40qXyw=5aWZFgLfW<0EfHo4j2 zDhb^E*Jkv#)8c9jR0Kvdw)W5Z+IyUNsJ)Q9clivZ2H<*XLzmlj*o3dzVZZvtwnw=I zWG+U1i*`b>+k?CjN_Vi{F*?pcxc3%Wy1WCJ%M|m3asoVdx{L>kdvk<*k@ih^kq4fr zR>G2~MQtFuwqcn!up48Gb<>zSKOb+HE@x{vX<_13oZwaPq?}OSLzo!`@14lCPkKE2 zJT~Ha^hO~k;)x_YZ|uil7fCPZ4FVt%P?14tIjB>Sqd2GF*7~QA!?Ce~n6&Cp5s8sM zCobm%U9$AV5f|W!>IK>?Il96yZ|6gAI`9o*FGBNP&rMotuLfr#cK`DBEb)tgPk0Kr z9GLxj#RM2z_aHI%>^y}kuciqdEade}aJeuH8+98j{kfqU+VRsL6vk=ky(32a67zky z?EK=rF#P33+vOz64LXRQ7|Iy(t?H_>N|Ot+lqf6(`X?f zI+h2w6GQEC!%66xU*8jt*Uag8atprA=5~WKYr)dB{QhiN+f7g4xecyUUag~1*};l5 zJ0hdvY;}d^=;?Rh2HVcBPS_*~@ zw9pt67sw?W5y#QvqnxG@FV6xfm65@|3$2!j&|&5J)wU|@Ei3Gsid=^+|FgbzSnO)? zH3DZ)tCf|nc%yPvuCk$v!R<4#aE2kXJ%hCoW-8;7;v8ISM!;)lvJ{Pp^pt?lFI zQ$RYo@m3E8J|0%$sB!3#N{B5V?EIfSBjQD$`~&Q~PyV{A-d(Q{)AtYVH{`!m zOr<^1_mUUMX#;ZI%&}Wa!Jq6V#$U_3!)eg6#HEk0M-7iJO?*V6Um1IK7TF06MO&WX zIp6+bKUaAO)ci%-RE_WKgCG2|d17Bt*#8aq?4x?7?a+!UHZM1w1s{x#ouQ$g;!R^E zNm;0M)GJ0Z3K*fjsoBwQ(!FN_^g+BD{-WTqH=3@_$thXME*UxpQ%%^W>_;$aV z;zG5Kx2JmGmlU3OnQF64_=EtX-AHuo{!s2#wZFQ0JzoZqa3t@?egR;|*xCGvO0R|bCJkPE?*{ZzIL2-$`7z=U{x~-}HI@9B{0`usnXiuEg$VNfpBCqTi9CdZ*(tzfXJYVB($-VbvLs`y zWMkkwnv%bSc0d=A@+Z_;+_(F*hy^F}vqT8p2G$s98vnX-anLX!dbkN#PCZvt+%uoF z%=rz&QN?DGZoGMwjyqyQ7gYs*N&*vkHJ%1S zKHFc*Cst&8T>d{5nv^potAHp{){)Qp(^AY4%^EVtAcZ2^gdjYWh5nPriPILM+m~_cigg<%J@sn3T!!w3eh7057OgtMns=OLEo!{z*bA95Ja`G`; zc1IgsCY-=sG#a>B6*THL996sXDZ#>1FW+&go;;|Ttkbowl;l$wK_x@;6QPv=sctZ2 zd5VG+O3OB-;eg(w`n-(HDGFBExYI(nJ1k1wgGU-(s`mK`0a&z~9V3&OZ~&w;Swx4H zHv&!8T4U4iQYe$+ie=o)ACV0e8JW7Ou8pey6X5L$@AdjzoOemwoQ@3^$3q)>?sAh; z>dXHGnaMGiZ@@(B5Q0GKkbu0~x5+_?@F4;e@^1IMf>uSg2aSTGIbF)tf>zl^amSx# z;ahz2ElQK1Gli{pzzRYQ9N43iHnR^Ygu)=orsIH3NcsP1!YU~%w1UO#!XJu$0cf4} z%#7bz^d2W8^d+IZ>KP|eJ6?UWECPRIu=LB4!avajiNmQwz$LS!QYoTGeo{nB{VYx& zDOTl`Y2;A@Qu}5yQM}(i!(Gl=y7_%>uNwX!D1=!QE1Wed4jTQWLSP}%&aeXuoMR9J zA_#~9Ai#ov>7Y52pr8?k676iB0*>f=suT;awGipZOKa`^rU>-xC%9b6{15VuIHv=cBKPe^*P9o?WP-dl@VIT4!H>smV&F~ zUvWuHa2F1Y7hu%v8|x{w9~fn#oH3?UlFVkSD8>urWRfKPlPIF6DP&j$Pp@YqPMaff zEXJ+^l0&t<9QJt0reR1!sugiv74wE^wFJ7CXQfLEu?NGtS#KTB@GVVdPJh zo@E_Np)C#g5jm&>bxfAby4H3~_|;6+=Bno@P9xVA^(B z2*D!aIjRqg;8$(}rjTNneVTpuISuW%0aKdm+y?iHPya6}LwVm`b_Gzf7Mtd5)fFSY zZ%%;6y#EJ)8$L3@!(lK|aH}I0+e&Y|&$;N(g%v(x3oP}&L|JGyUE;9#j&7GDS$lr~ zsswnBQR4dwz@oHBvE0K2x1~HBxoteyFTn{Ju{MD%n#Sa8>5ow-W#%p!9fL;PKqc7i@|W_Z0LwL|Y&iO44nIsre!K0F zcDluZK_I5+I7gNr+#+S0dHOUfuts0$IFFpR)r_K>&MVcU*(>Q5_vHVQ1DMTc&0Jxr z2AU(vV3x`9$@HQlqMR7T=zv583^!HzP65;2`G|=c@>;o)nfWA7xS(bBpnn_@f9PP< zjNtym>g2In6&7fr3ge!5iB3qkY;y$CPds#jW_CqlfwAx_%wX8O$Jv}p_2Za%&t}(= zz=Zl2IHNXf&}H6zkbed}7|DRfU@CytOUX9U^XDuGy0UaL_T_`>S*Hw>F3^o(>G2hC zEmwL}M~u~?VNgE;zcYeKl;{irZNVV_v_U1LhyDA_Q-!i&?f{B{Y|tG+flB4e5OBd}BT! z_$S;dsfY|$K}DRwYDGSeXPE2+2rp+@`H0zhhm)Zzy`HtD&8+vT^=yncC?*GiVgMSv zgyGG1h9ZvKVR07*M7Z@f?xCg|=LpN)6BOo=b&mZo09Fp~P}9j6aDAt))t*j|r1^jY zZQ*Rm8*WwL9VUC{8QzQD4`R#8J3@7ipaw zp5=A?3l9DTNY%iA=U-q_9cqB;_25YUf=Xn?sMxtHiF{+5!7XtEag2b#yCP+6NJ5(G zez7~7>5^)n1aG_9IHk?i-|&qt$TU$IVw5ZcCQgzJl^~jejE5CgVqFLeUDkMXZ-o=5 zp9H2xFI0tAIoXs{x39AZCHsC6Qshb&8>Yr+C!h8!%)TW$2EgM3`MSHg7H+ z+=m&1wBz%Qz@FcDz-{U3TT+S`77II4eR(f&r=2HzoOTQL)H~Ac>f^Fb^yaL$oFxWs zN0?Cev>&2SW(rM#%ZD%dQ1mGghd$7GwCqDo-?9Kj;dY+UMb|8>rNXm#VUbv*=u|pG z^66Hn9L6T{3nG>n>}0v@cZWefH>`Zd!bB0tl%{6`B5`s~Myfc&-_f(sh(d=gO@@*_ z{iqE7u(bnFO7W zW+Oiz*U$~o3XUs9vA|U*I2o8xAc(q&DSr5aO~39~u4y)u6x5TTV@GgmVR;3vG=75% z!AwRy;YG8vFJHFHa!i6w{n0q+i9i*H>JujO#R7j-Bqt9aBk3owKTEw&2&ye zn~Io;(nqi|BWHpRXAA0*<)CGV2nMFdd0YVx#*jT{9%whP43(ozViz zxNvv@?E2@=>4x^yau!AtX=5FTc;K#;DAXEN2yULt52I8PgjPNpMkO7Kw3x-zG46U>-M-m%UQ#{e;L zcKR)dbH{ArrH#BxFYwLBSeOS#@GA}kPZuTw2b+acA>L>{#!Chlt_69iE&q#Crld;25`zm|iFPrDY||J`3RbeRjn|yZ z&2DZCuFnak#znWtx%{UoRJG2bn1BD#F|3MfF19an|ET^V3$znC(7A*jc9z0|K|;0q;0|5Se+PhKzka-_IdChZ@!At-q5iH=i~FcQVG5s-3>Kk!5<^D?g|?fD{Mw2l*9`wL>O5*x`Y;x1(;5ovOY$&>btKEaDbnWn z`G&EpwR92zS?S=Bkeu~6sR^H54?P1TuY|nxhNqO3&S*>ks%he~SKk;uZGGE01DHiF zsZsS+!j4~Khoo2%0a)n;8jE?>b?U?(Z*jWIM(c$8W{n*>3s0qK-@^>!A;SnIk!6^1 z&gTerDQxi$@?c$NOy0}DJ=9msHQ1_rtBFt*LpLlpAy}DE>}4m)*8Ppx+{YtfjuQrW zC?nvg@((wqrji>JT4eD}ulbzg0( zVfV+?Ok_Jz@8e6&sH5+v@(lQ+!2c86Y^OjY4a!8yIK_b~vF}D=>5UB9&NjnW!lyB5 zdDuU$Npc%LzmvW=P5fzwPu(==e$9jw2ZhsmkAZ6Rn(3b>037Y*+=xlO*@VnA8z=?% zHXAha$h20O=jS;Sy1%p(XA+Eh$!t~RPymKV!vBW4yl_3{m^kHJm+|>zz2!m_x!g3_ zB>Ru}mPa!8#P%$&#o;6~E$*D_!IzuhLbJZ%Ji+cKj#_O`nL8a`FJbJ0qkTI9eVJhjSqxluf|9{rnDP z%r7*l2rDB5f$(eJt6U9VV;lq%hH572I&V7)j!oS&ZQ345tc~5|$b-h&U;*#Z7R@>@ zC{`Sx(#s67^*O!DUk(80=VcqAp1fXH{m+c^UHvwklQF}goH{sfSuo)`Y!n>n$ zhpxjg$cZ{#Jb3^$TAeZCH9hFfwo2R}1799Ok7Ee7y?vHDk?4ybtJkn~xz+*qKr|CN ziPX&+6O-2TS87W`4K-B_`JY@K-uU~@3BLr)34m2H>jnB+6XUr*oPWyjUp^XF@~%I~ z?>TP=CM$8w9SY$^e_wwPAU&7)I_q|2mwLw4JWfxj*!DVfp5aG{3hEGTj0(FF0LQB5 z-aU@BJFC7ezNcJ~F}GuL$dP1%=LCA?+NnSN_h6MDirRlKS$6CWKqm!9jpW63Ic8?v zS13~(66$XH;IY&02rc)i*Cg>{FNQC7Eq_P^c=Jb8_7ZFC#2mj;feA%smr=Iel zW_#Q8xAdJ|`(%HROVpb;o-RKGdgkE41^As0f|r=q(g9uY(aFF^`khDp9rpqLVWX~s z=`^El`=p%b0`pSruD=YY3j;C%|Cg2Eur7DXHK^6IBG zP&SklU&b;>2X2Vp3-Qyq7`r@J{jw-lSRjRS;A{ABFS;LCa5!7F&o|Z1uq`!D+WQIpBAp&E zI;m_Y5RSf#zQtI!a2kH+nEtcp#PoMo$S=bj=7_cC29(nY7x6rKXBNJV_!y7tI-2pe z<;}fI-+SRh{W(qHt7cSA(BSOWMEGj)uR{0s!heE$5kcgI{{{j2L;>?q1?FUKg`_;M zyK`w+!AbCSTNduUi~FUyo#+4ii?W@-Jm@99T*tP$1L!V%FZc~+7ymeQ0oag3P3$i2 z!-^Zc+oW*4=)X6lzx!2nPwhL{@uaf(*9KklAN{7U)#l)&VOtjNe!F&*wr|5f<@j7!Z-&ofa^&i<*tJ(^-2{MZ z;knDcACOyCUIVt-m;kED2_n}YPzFw_N`p0WY5K^HCc6+ZE4wzkI*c5Sz^@7Tmhdal zwn3hazmDa(zmvRQH;)H(8%48<1b@`ciC&rJ|imk z&c6!$KL}s-&^HuFxswIMefEGO=+;qR{%b?Hw6lff^Z$=-(_EjD{U|e@#p=HZ!`ZRlIK~~Mulx))Z;Z{zwjm6 z9hzu$u)8#K4YZ9*(f;lkW9wfkEibgL%(^ZU8`txLRBKHGUi-6@)Y79RYfZCEc1EX$ zcCR~3TqMnHYkj1B=oTN4tz_(orkju88<&Rx^n4Jmg$yf4fES+fEtsn=mY=Jj=Ggt^ z8GjA)rYG)&Q`Pn1^dyz#(R^Tqvl_YG5qzx)R-f#wc}LT%B?vkue2JZ|XQ0j8V}gE? z*zbC9rV8#~$Znph0{Y0#;n$up9y5W2FBDxHm&+UVZdTt-o$FaMd{th(@(Y}`74FSO zee%PuTuAkSJ;_&eEGE%qAQHC}CxQ7xlsk0q=9hd|G4OedRe(oj&T(S8 z-p-+uZ5NwTh>MxR`R`MWoEiZ3#`+ZRTp#jO7XHH0wRcid&d~+`Iz6dG3u^#Ko;Z z6(&~ITy1c!s1Ko*(%jNM)Lkl`x{xskyA4T)q`!J~HPT~O(qwpLV`ViIRZn?9HwW7T z4R%xZ(3vUE1bzLNQBI+J+q3LbMAx8@@K^51mDwnA;OQDH5{mm)jNk95yod6ng?Gy>xLem}F#?yePq6ZcjTBa-jglY zNK^5uf?S0sf=ALjZxm7RaVSlbkL{~w=0T8C9;v5JRr;$1P|ve`e)krB_c;D$I5jIB z^DYuZL-sEwXYL=}{Et}qdtTrdvay%LaE!G2b&Js&AjHzKx(%V$I=h2@CDq!g>VKxUWw^#_ z`TOFQo}Y@B>ugirq*0-C2*>D-o-~aU8cy9)9RroYVtheQ3`_}R4jypFWMr*P7Okd z?BEeM#|PV%>n@T0>jCFl%h~*o-d78G;ERl}hDe6>l1FOiBVK%_En4i<{OozK@}pt6 zz&;PB`y|FIe4U6EOFAt=5n>ehue%Cy)ga6sLH#&vJ}pvhjJISrF;J~QK z3z448spGp<*_(>q-j(kIPeJ-7qIUFM0D(?z2`(X2vgOUJte+K%Id)tTz3XYyBu{w8 z^@j{NA+S15Kj_PitGi8imfSEyve7n1RsUU{7gVWNmat!{MojOF(uFH{h*#R@63i!< z1}4?!@}QUuhs8b}M0X$Al(t6b(*wv9c2@7QZEC$!S1sP0hS7_Rv${7e*Qh+=>!)14 zGFl?v;zO35Y=az0pUvuW-#6Ipv9AHqYxY9>9<#mQJ2TiFu*unMS`TB^eIZsP4JV!m z1?CmJ18o|Wh32Vdn;MVR(99w{jEX0M0pXy}cK55}&pgq6A_F6KwYeoe@yK%nPxxFv zxb8ko`|up6j?Vt&%ZA$LzWRKvL`<>gUEj)h2p~iD2+F;FI_q>=3T?;FuL<72e%}5k zvEPt8Da(_ackA;dv3QU%^Ss|*d{HBFC%EO5$F#lwtPCIufwkgfUlgcBEEOuWf{5lB zcD$}lJ4{E+z1wM zI&h$3Q7deiv*~$+ymxy+N%Z>oY!?1Er`_*99$7dH3rCc%QFxUDHa%VA&2sc9$l2*7 zVLC$zTpTCOsQPu1SlvLXI_Fi$lefX+=&v4n1J?5KT;3OeuYv0@hPY%8Qh|t4b*8P^ z!ldRgMt1_mcHX4A#=I9131f766HI=oeV?xn7H}ZfF)b*G7ajfeFmi*2Q>CrRWc zV4buBUv(+bsU2F8yB?oT=x$R*qW+?={00DlTmC(aJtqvG*PyUN=Fb`L+GP}Iy7?z4 z?{+8d*gsiTu^b~X@YrPK5@hpGZ}M@vm})IFk|{xYv?#J=<-9`X@t&s{DOLMM7^hT3 zI4`!hh_oUUOwlR01pm{OIuO!dkuE~^Apq8n)om`jjVr&u!q#FVtUu|}YQ)R6{-%B> zk5!MsZAjl3;0mdqbaJzkLvI3hot_`9aFgmhLcl=z5`S!Qe`triF`2|Xnrlu2p6M-i z%xf^$SnkNo@<(Y->S8>!4PQR=@mqfo5mIYpT@(Tg{s$_)^W(R(YN1)uTd8sy= z63g#QQaGtPXpp8$E_9)@H3SAll-Z1lY}A-JLjk%pBVS)`>1LL?Y@VHR>B$*{Y%UgheN@IM>(=EL*YaPKsd%!p>IQYYuZs zZs0t?`&M8 z@M05bLw@-#vXUSjuw2FEGll#^;EI{*KkGRgih}Q}{R8;#TEhKWl-HGvJ_5Y5EJ=0zJkC5DZkq1QA9 zvXbxCkT@=f`yi-)VJ1O5C@%`k$bLW^A^n%O-ia&zV?v(nh$Y>R9U>7%Jek~9Huir1 zEmnSxBQ?3i3vn!e!AU8QA@u|)uQ=?kQLabBmc~IbZ9!QBPp6C%gBw!Ord$E3A-U5IrfTJJt_HtrC`Jux0vRm%4JgaJXG`s zR--^Bk4-gf7QLT;x=y_`kw@5HsxO{^TYr%p49}Ul#>O z=74jp9Nt=qPLc_4XVRYb3_*B-o1L~`CFo^0>(jLI_>E@=hkJvWbUD}8DF!u{3v)0( z6l8bc8IJJ6hn$WLy?70KS|$h|5k7PADV>vPxzHMlpsX*rVQu;B$U5JyMPa z9(d5Y#mb|?GqU8dLCgNtv zO7vV^=G9!<@q|%`j<8hA@nV31j`rBu9* z%Ug{(CLsg#aR3yMH`purnDZZG`D-P~LfDNvj56-u;Pa5RzhwzY5b~9TGKVk}PZ14> zc{hFg)hUM6MH!D|y&FvmzojBw_Uoo30n#zDNZ;d(Ih>(b0l%>`@~R#mq5f(qtD`g0 zgXtUbM|^c)_n@P29->E zc>VBq91H4QpjCqKXEy_0#50VcZ*RkC-=y-bA6gGO{--$aD&bQou#q`rI`DG?oJj}}Z<8nQEyE4UXTt-i}aU%sOP!boJq^^)YLc{+dqQwmlOG0-*EZevCt zqGLg=#iC4xpDaL2b0;b8JSdGjtk&|7yGF05@7ROlBS1ER@naw88kr&z)pMnPUW--K z4i6@UCrS=$GkTg&2yH7y^)zh$hIjD!D=!c-kz=o8&=`a|(;DMI@-%OruDGX4N3B5I zfK&+MpWR;*eD7ptq|~!>-f5;CJN}~G5J!-r8F86|n4)!=)#MQfQCGm0okg>#-3y&D$i(I9P##iq`Kx?+F z!{2vdn?7Ax%GR>aIJ}67@^l?}*!WiG@UE{Ln)z#n$&8E30gjB2kKD5|1&^+G$kp*r zk;nN`zS?cZrXk`AzcodM$Ki!ToWHQ`!#>Tb{?X~pPI zhR3Y#;bXniynVH8O`qx1(eKYHzJ|BFx~X5x|7DV&d;i0bSc+4Z_td=*B;4L}SLSgE z4ZFo{gg$s$lO*$rM1r!yeFfv)U>3NV!{-&heUhoAeB}Wv2$}wg`)2qbVLD<$?5p&x zhs@1GDjQl@@^PPx!y$E;{ULu+q^!hG&Mtsz>JPXIvE%+wTPUu?#G>;pZW%M5NR~i0 zt|($LqOvgwy)8=;-Z%V$0g!gePtgUDH55#!HFGS%aG>QP*TdImXw{EjtaNlDJrmBg z94wSv-}d4hO2;Y75*Z@_rl=ZVU`7^zk^#B zsd2c75={zZ%YmzYgwnq9tylp^BfUiiTQCUk2D`K|kvSi#E7UridwZeLg{>jT4xE=-QoOGwkQc~0FEt-eey9PksKlbUiD7D z3cdo=(O9z(Ick)z`1k?-JJ102kV0tR^LN6hl$;|0{pK<1bD(oW<*?aLIX5!HW$ zJ{|z=pUH995vhN=Np&ZB5{N^g)_eQ$ie9?Il1@(I*!5{IS>^h7JdR*Df4bf!TWuJK4@h-!e&utxR!qyw=H1eeFSF!TvhLG^Kph%iQsiW$G^3&_ zCzK`RLV46H1lMLf^I(jVbTZfttQ)B%^A+BdX}}$J$8xQmAJj zmSU2g!@@@rzg==)HWt^gU+T&tqZZhKC5!Q%djud;u*6sfGP95#8U3pmJ(EZ~%hGzX zxO*_jGrN(4UEGxF(idw(UNY0GWkh~s6U&~(kD`6OP`_nrG9p7Lz9_4$f&|`Tph!2b zcQnOwf?>0?X`g;G<$&^c_Ho#QB5sU>;wuas)>)Me^w(W zcx9wpyDmy{jHm@VMD<2Dcyl?Lo8{Ogfi0Vry1KlYOTWdmJ9s+R#$)d>u}{6=-vkO` zHM)u`KR7J*$QZ3*9>&&EI4s^{9mu{v+f2UTZ-!(D0kw@=5?ORKj(U7!wW z_@phVnT{z4H{lwET>Im2HUWCx_?r@1GxNZv*%LN$Y1&S{z_n=4O}YX-J**SYaj*B! zuUv9rYd*0VZQtbhI^2H`CRC2Z)Xmn&WqRfQM^I{+0*l4<2YL{%fyHEGyFoWC+e;4| z=*z5SQ||9Mw(cIlKABuKe6t+Y?4g}tH;n#|Cqb=`Ux5>WZ94zgJs7a{w3Z^J+2?K^ zdoGH1vkF7&X!Ynsn?6LHuzUbSgDunsE0V|PwW z^>ITtBC} zd9K+7+d2Hq<6x|?k`tU)eto6z3gc72{5B8wXY_cxpeK!36oqOC-P=K3p*ZIn1|9cm zZyk8}fF^b@Nj<4*t)iMk5*P?^S^%Cg)>L*EW*j=PD7AML`M_EudNf@HFWii?(6 zi%MJGZ*EBq0RmB0oMZc&Vw<5p(iiF-tO*@Sr|`!cqL1?yWI_~J2Z;wcYQpw-NpEB< zhCuR&q*kftrZEh-LW$`0iu=`FFag(%zu$akd+LhctX1O|uy?=cfYh~(OKt>Ks_q-PFbV6<-``@9p+1;`E8k{7GkONC=9Y9Zqz>vDEp82X zR$biEFT^6&P#EI$oHJXe42zC02hOF6u6BM&w)b-2#3`qfhmp2dRVXIZ3Wc5BAXZfv zimjPPkE_uqQ}E5sq`|I@5|oeO*PO9U#MZy2Ri^0(7+!Jobot4(d!+;ti=1cPc0J|8 zMrW;jBthF6EY#>^CwIg0w-~CikX2ZQj-~UW3XG8KKp66^U-SBneQ}|ecjjZ8^!?si zc)=-8h0k;Z!Qb6Ys%Of zYeRrWej7^rU-0yIeJ}on8hc8gAiSuil)7v6(XbotPFbbCbE{ z8Nb-|cAL1I=T2=DaNMi0hy8&PH(cRl_N zV!4}&K=sd2!Fkmfif9#Dr8-L9j>C~zr<4R>h9vr;l3Vi^v&>N)`E5ty+%6Fw-VS<_ zOLaX;@kW0b02QWbf^7YVr1T84p~-EM=)+e%X7_uMrGmz(;+};3;gt~vghAl@Qy>{B zUO4-Pnj(YJ72Z+gcdV<7xIn4irzqj}Ufu(21`VgtYTpuV26cbaMXdggSI6IC)95T8 zRZ_#>LQ55J_fc*}O{?3R4vX?xWb%1btrrzv)=i#h>JV0y2iV>!evi(h2fhGn!x2QH zrFw5}Wx0hPme6yh&VB#w`m{CaL)K(_~=%PYQPAS-lC_Wgo?xq`|?uAwAXJ zNJ(2$!CVN;+I9deE0cR`iJ%-cW5oEFV@2qL@B}TxfdpZkGCcU_nKDYz^Op+zZErd6 z+sqL^_%N$l*8A^O3LMQ-Ff9C${#IT2dxV1IWKRp>*r-0fGYTQ{c`A1%xia#R@`?Eq zGjp%ZxzmU{0l}QOldNPyH^9a8&qcJ;ka0?DCR%bE$|y;*rLANZI9E2fP?A|*R9CNL ziGs1-LdTAigTv(r2`uMX>tsF?fa~n|IP+38T*pbmXk5hPUg12|1i4}yN>2ZQws;%1 zG*SlztoZDe{Thj*7c-$86V`l!Cs95O&5B`{NjVG8lC;F_&+g-FLXw4z4@C z0@cat6W^jJxf6aued4g~obzPs(eYpb1)6NVyBF25fL2qd)#+#8y#pWE%sAl775E*$ zf8ABxf13bmD822a9qcU*)kWwn2ks9F&hyddw{yLO%&PVEGe!8*TSw-vbGTg~Iw`ny zCoXaD!Rk?O=!5TLqv9%vKQivO^$7r^OCjVZ>YUR0c$;6=A7R|N=f%-AbFvT1F_AT) z5&8u5!PQ=|x1J8IaDuKcL@1|#KBgsHcJ6O$;BagKiivll{_6DYpw0rmd}bu}*!$w< z1}!W^^E(f)glau&n#8tgOn9hl2`%xrcy42OF$h4L<86UV+QHDF2*sD?UFdF620n`4 zgzc&KF;7y6emDVpXONlZAM{_bKzmC#J>DzhDhIGJsiC>S`d0*;>@;f%l<}+9GUyQyGkc8f}oKYj??~EH6HUSbG5UWH23FI6mhT9yHrek^J9Pa2)@ z!GlbnxG=fk`4=K3*!Cw_Iac1x3+b4w6tQ5!t;{qn_X6uz7o83+K-A%z*d=7>S)PWY3E!i`VPg9|VPmj}KP{H*y zlYw{Q(&y7qp&xv0m_rI5^6nSO*49;_J@WqN2V(x5>xhs<9^)dy6Xx6XnxoM$1K9E$ z!U>mQczx|DM)qxn(9_tdPiwjZJ&cT}>vvgCjq%W{8+tUR6#=Ad=2$~{Xr$X<>b@a6 zyxGZ4-$8u0K9}dqSSMyembCz);V0wMv`+{RYV_TNgb8OPD8Wpg?PXzW`BbzvA;JSO@IQ+! zmkF{l%v>a0xaBacev952D~rPvhiQkl^UT10vEVYkt@VyosUvw1A$hP!KG+hi1zG%= z#i`38bwJ*$2+lx6j1OuR;(66Z2rHa6Dad9#<_nH-6&&K=b6uS)nW*As)M|O}I9z5V z3_?Ua4n~8Mv<>#2Mc}5S5;o-X#j~YQ_zCy(%EZ4!Cu1GkEfyan>SVVcSwk#Z105xO zuh_!70c~=<;gs0t_30m2{l77;9r2H`EW4VamrNx;x=L=g*3*u{Owpde_ z>tUtHyUfkWSvL%&)q1St^;KUY0I_ZxS9x1Fop)-EO%YgL7ZhOqK}uts&DpAGo5SW+ z*(MO6;WV3y!3CAfi<&Z=Yb24lN#%4swRF`D!GQLRRE2dUf+7Z@hWUC(67O522eU_+ zp6P}S{2c>ixf1)@`PC&2QhfZO7_>Ziua0j70f>IXs#5HKxsX@0ro%o zv`_s;8=5rF@pgN6`L44tTSQ6?h8w`ka0M$PG?Xx%s%tlUyaP&PkNf(13NF0boa zb{mvh1X|bDHEEwPjv-+gXpZDAq9fUjta5tnpra`sj7x|uQ-@WyMJWI1Lw0D@9`M@u zd~4CdgRnGt2y-{IsR6!5)jK&2Eo#lT%-<4$jpLLJ)q{*fBUQK;>z&jg$f_<=zM@gv zjVR|yzZ|(>?!Ivm4zeHiX&`8GZ*C^h5MGBGO}0nb`#J5$^XKAn_h*0u*T+_xuP zW2-fpyv9&xvk~ZLvsOflpOdoQ3|305XSKSq$nx&gpf+gQB0s+{(jS2EHEm3<2EFWM zeR-xeSH7D;$<67vH>|Y!#$oPScdCxpTywC+$KMNSxh*oQq!7GtsldonaIRo4vd{gj zv15EO>e$qsY9FWByd$!tOFucEf(WhI>i45rYN}fyZ(seg-C*&^TfORsx_7Q-Go-;H z)(`d0k*c+3pk%$UA>B8O$N8N5=u>CId{gO>quESpuvq(C=@G2ijNe^}=%ub(`Mqe{ zr!|Xwv-tZu%=*SiHMY&dhW8R%oej6Qy6%PDK|)b_??LHJItWNFAylPGHK?G{q&EYR-VsgcNRwU+9YP1`MUbk9e&ciR_ulp1 z^_8`7OP(6_1$!q!B=kU+2f;GHyU>j^t;!>r`*N!aTTAG7^#vzX z3j~yoC0lbk=1GX-j80LB6HT^LyE;P&M+~Y1t`zH8NWgxj*H%P;Tg(uyFRoG^EuW zCulRFv}C&X3@E;udX}5xs6tFHUaAjWW({jdB4x}z$F53>{g49e{^e(eIWEC{oIx(P z=l63!r=r=Gvar!|LUD6h7@!knH8K}arcT|H`LC?G6D18jtU2f&V*2xm+kv<*#Fn4N zmA;wok=slJJTbkIHefr@&6m8^Vng3twQo~@J?R@T0F*h7BiK|P z7?_65MEI`h9ao69aI%Lz2q$Ui%#dw+oc^z)-y1dFCL_O)cnMzp9|Y0!%y zqd%VUqLqV3W!JSf?&tXJk8IwO`4EGh$_2b)FMKX}xFyH$_lnj=b&j8Y(CCkBz%}Oo zRE<1xJBtV!mEF?X$OZPVX>BY5``!Gk371%2w%@pjSY{=0Eox=Ss;F2d;7$T%BzH@h zVsAM?)@Fho7?^c6k_xao5W&&GrmSnGd-lL~AoPH+%?B!WloNo+X5TXPj5Wt;0Fq

    zMmDU;!gtsQ&%^^d!Fum*IOXhWtUD-+rPueV#$WI@Zj~s7zvjr)x$IS#NEo~&o%u2$ z7qEobeYy0IQMboqhK?IsESX@&8Pm)@7UpQLg}HZ)-0G>Voqisln^qyO&lM>^eLY2MT*8b}ztqbxQb?iBp1 zH|1CF@z2Dqv0j;i%5n)YO1JWg#78ez!j;A7mBm2ePLRvq!BcK=4er%9wd;Qy2+iTh zEC&YIlQ8HD>^tK&KH)x6P!=Qqd$9Yvza2fy|J#K7W$&Hu+#jbC29GX#o7)lw{edB4 zajM*__@$|f#D?~S!MJdzs9(KzPPl!OIWif4CO#-i)Ou5keB1ZwL4F!1nR|&K;LW+t zxgwzkY;rOjv=K5lWCwmY#py>Hsrd<=7Wls6MZaQUJF9I{8w=R~cg|XEneM6COxOVa z0YKXfkiFC#mktyS>U&>XzB3YuO1g)$@+J+Lx%+i1D4aSus%}sMEjQDQG>jz=-&WYF zI4tl@=S7d&mJPQu|qg7pRG2hVlY}N&U7myB=fC5MdYWcNFO1x8uF)GCP z-0boHM=bzP!KSn8rhASoxUJ#LU#mb%uDQtL7sc;Kz^jWZpVC>7x%iZ!7FW-+vQsU9 zR{$N|s*&;lz<|UDa7by#)U((e_XPk`Gr%XH6W`v#tQ#v;rzQXaDQ5~xOPdIc05yCE zgaI-QoH|z{n_9{)6U=ed07A;laf&t*pMW#i0g88qz7g7!Ho$?l=SAi?Z=lgyb6gm( z2S~n6K(S%!*{bfz9;@KxYW}JEFO)fe9yKyKfHXP~&{j@J08lesPPpG(#x@Q(L;E?v zuNJ)sp#2SiXFz)|0A>MLOEt%J0-UQf^i_U#$O?3L{QLj%s@#zE()Gf9Ct0FLb(Nh) z-cqefOHmI0pJy4dK(y^tID6^Y`3SxbvYCJIFHsN%UVjknYoa#VqkFe z@kr!$)oDmIG6FbWqZ-)*n4qtm0GRbR;LY{sxDdeHUF8JZmNGO@LmH?EXh~I#M;Q{yb^Uefc&VzaIvCTn0=RrlN( zFWB5%HZrlV{_V;(cl#tf;+-gPv!;+A)X#MwuFD1;HfL524UEKF@Q(wNUq086;Maxm z-JkOO<7}=XH&B)lJXZa#1HUXt$f+%nsV;ijzaO?mdy`8Z-}})-9@mxQL85$@Y^H|_ z*MFdm_leEJ!MkWdVTzuh%ec$=85Q@PY6$7(Rf-wZYk#XxFyb>DfBlY;k8OJ7#ZS69 z>4K#ylB)FKGj-d$-rsqSU2N~N!mm*QPvN<|>}tR381c?}FrX8%XH+iyiP%I$o?a*Q zZbQnR*WgJSA%+dK=23{q^PhQXeZh^qcFfo5melX{PHF7Ks>cPH}xAed+ZnSX_jS8~Na(VbYumJl@e@R~I1ZIY~0B*Z@ zEdA3gFsq-MqY(>!Q>haAt2>sr=Z9 zHv2ktp7%(N=d~)ch3UxsBpDr1&WQj0&hNN#Ym-A?TEV7vHUC z*Z=U9*dZ*U=cUY7daPe@kZ}Ey^&j8kug}$OzceA+FE;iGELaMM)Vrw)bp$RvDGzlGxyU!RZ3?$4Ii;7rcO4PnYQle&r_K+ ze=D}=$i5~JcWU}uiLd^onnOlBV$)_Bv_H-vn|{`_uO2~UQXJoK+&;{I1Pk0IpD!Jl zE0qRrS%;)Iv9@%f8#~a!hoO9%#Jd) z@$w3hwCLil&-Sjb{TXS+Fd8{}V)-JR0{`e!?NZvBPV&K6+S?RT677@$T`#292PN7G zkZ$v&S^M`Z)m) z992}O|9(>r8@bTu^SfIQezxHrT{~@u-u6K-A zliSvZwF&piduN6Fwcng-ZGH6ow*&{g8=YlCCxza@)@_?WU(1(YNYhb%ERU&fvL6|; zygJP^IxRT=8!{bD6MKdX@H?w*_td?7p-n7waK@X&g(<$*X$#H0aHYQ}^BHq}XVPZ% zPMgj2+}fi$V7;kavE_$dnl|Fygn?*Z&cI9xrlIerNb4&@+PRN9jrZ+Bc)m)+AYf}@ zG$L&IKf3x#-Htd*zdbYv>LF4FeUQ%S-s10=ZwT74!qttlg|P7Q$sbx!X0emw?IZ4M zZrvJ4Hr9c6tr`L7nD5vgd-Mm+h(~eEZ zR&7lW9#A}uet90G6)2^oKUyig_O20emRVSMFGlkxxvA{irQWKfkh5>ZWmbt7Ouijp zqa-}C64uz?QtupyY?b$KL(6UIqj&Vt8~QE4Y6WW@fz0$T0P7?rq!&^=f_PD8&T}eE zp?&LkBp+Shn*)UbF4_^|{c&K0g(J$yyO1n7Nx_h!GD=(T)H*#$`K!%wA>4m}QP@v9 z_~YLdBT}fH5A*YJFJv3;+7f%&e{;K?7HN3HC33!nt;^c+T@&88E%{;lW$xry2mL;A z^8`9LTmB>i@gu9@L2uC5Vg1)P@+XY@Y`b4L8 zou^EmsadeXt|+ZGzvI2xca@Q#G@{U+7V3yoZ8U8tjg%_6UO$73AtSZzW0&xm%!b*_ zAIign)iUC(+kEa=Mm;6W?zLtf_qPU?pn9DvJjfcGY0s=+r+zccqXg zt&2ypg}r22#(4QR@`EgvjC!wN72+Z#F3Id)WYR)Y!eGPY&-TIbdbZA9#^-gtHtcJ= z2P09=0cKiHylWkkz6+7KbPT_agQr{V#tx^<(Wj{cJ8%Ws`4n{x#@>O&Rer^vu9Z~P z96Kyo9n=FJbFGI=?6uly+5*I)RKz zou5a<3~LkCZO*>`?n=_`kD&@pNUQtDZ@Vm1`Y)(-@~x>B4*3V;!{|90cC#{u9bMS6 zPTmcAtb8>8Y?$xSAYNF`-u-&lNA_sB)nKp!U-Ry!*(>9j3z(9MLl^kwSG$! z?jQHM=RsC#+?dGl8rPJ7FZdatdu^&zld4GM`>Gw7^G#kKXu#lWl4*b24HKn1A!&ClgiN4%`@>4fc-v9i|0 zM?dZdm=%shg*+vV5IVdt58T!)DaoJEXf!_KDTV}!Q%i?2NYxWB>$|RRyv@udDasFN zUDBUVt#7{aH}K|Xkx!1bxmsCEf^Jge(3(9LE;d;fGTr&Mn+^xH=A&$1l}Xt?agMq3 zAc(@}`({Yd+?nIq_f_xJ843ED*UG9F`tpYE4lE3JR-ly^=dCr*hl zGjy3u=drWikC=~3clEaTgqVr!))BQ*OwAyzjA|rOziCBKp%`#AWYB+c7H_gUY_jVh z5MVBFT4A!gZ?c=C>VbGmBLiLcYF&q%>xTj>OKYA|M4##Qs*xu)J*uQ!u4?-8gINC3 z=G?QR$4mZ&GZ!i?R|4Ou$K=OK|128;9}{hj|yNN~}m@jEC|POlfE$R0S9h zHNYc|@zqZCTK{jlE&xJeX{IPqt%;JgTO932C^$3L9i`q zo`rs9m2*UtxY7GRZxEr4*C>e)v1*OM1)GVntfWkDX`gr8R8r!~ON7$$M|VbPhRPs5 z8*@F7zx$wA?wYIq&4iOu)|D9ck!zGvZnNs^l!@KpACOY@2vtcg|3}kHZ*FieQ-7n1 zj#R5qm8ddgqf=SxYcnvGOF8B;<#ynieoySNtiYPS*wHNQWOn~>K7}$hM%Y4C;=@gw z)m=`mtF$S8;#f72tb0wr)^qVf+<(G;N(8f~d&!UyC={Lh1z72`kDv_}QoU z_oUa|F(mRea!pL-=nrOp_@i*iHH{S(@=WDUU4&r^=i8G~-7HuKPv?p!W)*_5lDQuk zx_|hHI|>$5bo%)9u}UI@L+h`4R_n#$GCsgMNQMd5Dw`8gX&G=cmE_%kSW2rM9u4oc6hl)7>r43VpdM4ZRFVz^;=8HgN`D9#zECV-$+9D2bHKcU;Z-16PDE^W7#J-=8RV>s34@L!iT0c^TQEF3B+H&?&jlkz zmQ?_r7lI&*IVV=-nM(%1gmyR&EkYiYs)zA}N+5_loiR^fcm_z8H<70c<^_ye|9;J) zpcG0<41b->NbwU26~(7{avlt^R9ErTo~?Am(9;zNR61i)VR#mZr87~b3uXd_7l2sa zQ{kSNp=+&XSr=+|ir{gq#5q*jRrseAPX40@#yBETOCe)HFS3CRy+9#43F$W~Oc%kc zlgX88OY@J$FuNzyyWe#5taTW2tq>H5q4HH(o48IXHYt_b)uSj_K4>vpX~EM?uIolP&QMc%%;q6I_ZaMV_$OyJhcn|+3#uAfcgc*tTkNjY= zWeD|4ili(VbyoXn-7V+b#nNZN{YPc}RZXqFiY_s&Mrs#GY;zn*dS7G`k=n&=G9Wi> z;aFkO!{lB;zr*Jk_tAmPzT(iVqBB;q{k8wg8kkX978#|8K{8q7UVCKe6%LOm&kRx2 zfxYK7q$KKK#Q$2B7tylsFKUTSa7Q!?S4muCKxtyD>Z{OZ5W|DLl)|4*B%o9iY7a)G znPIpyErJz9kp;J6M&yuUU!c~r;n~cHccj=?D28&*g_|{9(js_j;?ii$4l{z8xU@KY zQWQ@{W;8Z!39)n|s~F^J(^Xc0;vPf^smDBg?~@dj0!33rANyogen5YeRLU2u7RO-0Us zqNpIaISv(&4HsuZP!Z#9Yv_$JBZP_H$r8Q+8rc%J2P6h(F>O92m3W1s%!1Q0Z@wXw zK%pqJ;j7G>FG(fhQSn)DN9N58Qi&*3d^S9vc@s<=XB_=<#D^zSAFLrdsnu`Pxnd2G zb0&&&!X)}M-H@{JxvK4XnC$a}Nu$7!uE2#r3zZ;%<%Z#oe2A#)FM5~|cZf@I^8N5t zT?{u=VgW2S2&dJ>+=EJtfaQAO$+{R2bX--1HC4AM7bQkl&}hd2!ezljm=TSn*l3hX z2E2*6WC%>?g73lb`jBlgRDg&#!1$>a@|UYL86+$tXSv>o+QIdV_istD6|#a9uxiLmbaQi(m(NN}?dlh-^|5 z7t9{a;1w#s0RLt?8T~$e3LG{%Y|+H0bE3rx+LJ=Lh~U|25ptkCNt7isB9}D99&-sZ zparpEFe($gd@5mLi8YjlY1_%9P`_y~%NMwYF6I%`Zyd}r0PoSoh(i75z$`;>UK47x zeGWP2ohg3EPr8NU59x!bTE|6$FtMZ>^_4iO_qQC;k@A5)UcN$(ZXt785Ef@5v>j#y zhJOTMaU^1M#MB79l-s%niZfSH$Ph8T;esKB0bZDeAYyaEXu%FpJz4}K2$v22FL+lH2|R&B1RqMT`tW@wiXjW0&4j2S#r_wG z=PuAz1rQtpFB|P7L6uS{v;RSiC}E950M4#Y(Tsb31J+t48Waqxi%NDsp)xk)LCS0yugw$BOD6GQz47b&p#NDPKof&jeo zVR-E+Jr5>y!o_tl^U!e@qCE#p08rhF7vyjFW_yqgY9fZJ6*rgx6T0C+w~WQR{{{vv zf*dp?jgk__-~C&c8zlG+=t)Y1nmuju&fjd~Li9J)!0`VIrRWME^Z#%PiKh_7Lue7C zAWsPtgE$^YiZ?-?QmFO+jDbR+{eCDG9XXDDLqU8ur!AVCyMu?MLmarQU~=%k;K`U8!iK&Z?}DNI3k^Vl0*uOu zyV}L_b5ccwOnQyJ;iQ8MN#`EPhGarA|Cc*5(NmcIw?spB!5~_jL>I@nT&D^{vkKZ+ zNy2OYw>8}cY1^HSPzf{Q5$01G2upQj{Xk^02vnjSEcY2stA}|8mFNS@wZQ>b3y1V) zz%Q6eCcuON_?R9B2#8KFVF>OC!vhllX;gri0VA=VQSc}wM8NP33-K&-i3gFVBSwW5 zaT_!wj`|xHvjX?i|J$id_J+IAAE~{4xqmbeV!Qm|P638JSJ|*Bu}PCn7;7%n%G8 z3L!WU4LM>eVR%lEr#K4GW*#i?k21V=KsdxinkfHE7DE**H&zUut z2c}-S7%iwD9-P$+kJiQfw@i8)885(Q|92t==$Fs5SwQM11VW3U)Wz_cZztP;M=U(f z+@iCNB`cDK;Q<(_sUXkUT`{6`*mHK-MKb-p{isrK8i=u(G9b35+X%p4K2%~D{C7sC zhw1#QMaQC_O0KR1ic$=Ji+1x0NHPqq! z2GCxMASYFM2&4WjLl>z{mtxqt^87l(EOWU>7opqYSxO;f%nXuM99fe5e`4et&Fp7* z48Nvi-T#ACNA9nC_l3k!e=|DJp6vBhvn=?zan`kepO%rNeyvYJO&S5((L=GrV9Rd! zjvfX`>UKne_Lw*r{=ZcvpYvq834j&?73Dzo$W&oE0}eC zrQ4;?HYEau9rer~gpL+E@7Y%{n^nxmPPlXtx-9n0D$ubL&Rv9di*{xU3#vvhkHJ;X z$J6NpX0^4VlNfsU+m1u|cO>;Z?J+;-u<8_;A#FYnmx>oDh1%W@m@YcHC$LEdwP0>X z!5RloyNa9rM&#HWR9Ytd{Fk!FJ9>eaXA}y=*eH})Ddz$`H8u-nmJKgwLd20`0lBi^ zx0w)VQfw@0G8=vmifsp5cEDNnFkMir7O|o0FpyJ#BDko$!5Xnz$5hE|z!a2mgFZz$9^CYbRt_8#~U! z#WF)(s?)3vrm-E>{L*cEopMO<+0H#|ZDOS2{X*4kBLkZBa#r9h^ECJpDH4;^si_Jm z6l;{?#uTHRX$DzXLV zVT;4r^Lt)ReP8#6FIv7`ECjaH33@=XhnPbCJgb5*O^;Z2r?oSYLT=2zr+?^6H?;1e zne>E`H8S&iSgv*URc<9>p;9e@Iw;)bgZROA<$aE0;TJTCKMpV=F#~*p=@XqX%eSZW zJK=5D8GUdwNzCh~cg(Ix$&8?k%b?+Ru42>y;tuV1vUMkh^6Qg@N?EOvjBN!3G%Z@ zGa5qH>2z<<-+)meIfqx0_%+@#u-guv0>3(N)DiWElbgNmBOWBkQ&DRDG5x*r@_g;= zMvP0xOB;qQk1bK-b&Q#$A4TuoT8=k?>a2gR@(n2nquJCXfd}jFv?jJvVkAO8OC{Y1 zw^Fy>nMh2c6{@?TJ{MJ#Es&Dvti!_e)PtsG`TCp~Km<3R0h`FYFp(cly|^ z>uw@cO~|vWM#&h=X#C4AO^Gk)szRy#1C@n^Un8H6WMYWah%IU6v3&}>Ocq-0?ut^= z2~0|PNqhC}S1r2wm)5*VAQ4Yd*kKe+T}&I20cBga&~%Eu_KZcgqJ2TH(C z^QeTZsHh(J_;_ms-g_-*%D>1u_2J9YoyNmui~-N7kOrM2n-<$%a~N|M*Ucz?SXuOD=j*0UdM}2uwy&CEFS2NCk#w;6iT~(FW)w~0kKq>fF zM}o*3^+e8_JY?-Fkf})PWO^^pL7&E{+t+P6=s#&NP5x<{2noktvx&CefxwR!EI6- zE;pqh4AKTM`Px}@>+AWJT2;f**rNLj_vh6-IubW|AH7yoS35X@ z58X4+$)+hxGy9kj(h{B)^C~pcFT)dM^9Q`Qbx;|Nzq~G{madho^{_GP?UVk%Lr

    zYeVHSCz)Wr7Jm;1`KK}>k81DVnn9k&E%d9)fB2Bec6G_av`2Wrju_%t*u?3vEy~S5 zpTupQTKnEC<9EQ60T1+f_2Wk}q)y4R3JpYK&bLo`zvsniXg{>ENDx%$gB45}u!Si- zj^J99RKLOXM*8|gr|Z(OmW^G5a=vcTmaz#Xg`A6}z0L8+t&7EK+vogQjFAc?yb_bi zfdf^GYJoMOLDVLd?^oS)pUzq^>w0|g6mHLWryeUDXm9VDO z(7;Xz=hs*H2JI5js+3y_oowMxKQ?jCxD*|$zx0~v$zh`+OXZ4Aj?wbYICdxizZBf$ zzg?wnp&TlIwykr9w>*AYe}XTBdTog|dp*#OTALA$&ER)16f+(bjvZpw?;0Ri<3Ie? cK6SUIVaD$r32ans;Ek>i?3eKmj=zlm57z_6LI3~& diff --git a/1ano/2semestre/lsd/pratica01/part4/incremental_db/compiled_partitions/EqCmpDemo.rrp.hdb b/1ano/2semestre/lsd/pratica01/part4/incremental_db/compiled_partitions/EqCmpDemo.rrp.hdb index 0335509d7b1333d7d149ce2cdfc88da467cc2eec..b5da8e99c98643cca2aca3e042f2ea372fa8879c 100644 GIT binary patch literal 32613 zcmaHR19W6T*KIQ4#5N|jZQFJ-nb_vUnb@{%+qP|cl636k^?d(Z|6A*6b?-W-chx<0 z>h!I9OK2bT3-IeATrJUiE1Pn%9NUDw?lEQr>YmY(y4 z#l;c>$#EKE|5l6oj7>(bjQ>FMbJvYm{LN?!W5j@!;gY?o2*wx+YB6ML&{ ziLdcH{*%H=YeFZQnU3aq{3G>oinT;%eyN_rBpoUW5BZ?i1DS5Ovm{4H*x6#JzKZwF z{h^dZb-m6#d+3!6|6YuGPF+!k$2) zkUM+?-POUxBpd_P#k%Ppvq>pD`zNc%-R9QAwy9aNQL#7qu*-HL$4&>J`=pHbJpY=) z(_ndMh~{Xr3f9dn$L-~!XRmWjT@B_`v#D04IYWa^31 zi~!bKp{@Sh?JPbso?&A7kd^7!QRWUhxIK9_6?(2~Mxy(| z$fY9QGpU-~#m5hte0N8`$>IQ^%VtIV>XS)&s_0708kzDomz_?_nBVwe<_KNr;kh?+;+}j zyi94}@XKM$tkp`5C;`Rvgi-ZfJXnjS?VoPmvgV8poelA?9+)qsV_d*^7-n}0!S_eZgwm#4a}{oKSN=Fs1}_fkx>*&_!f zy9j85dvCrX--@{pxh7dwN9rWk4x*J)4lSDQzEH2q28+6jE_ zQewA3;6ipsNq<$7U11$Eo0zu*c;%|>+ob?P};LCb--&I*X@%E_ROlq ziQn)<5Em5g=zVO&v8;u0WRzni&D`Sg1Bq-1=REhJedCb!bFv)Q<}pt0?l*~qaR-8U zPRR@M%mQcsQ~ggJ2dBZX-o1h^g1Dx^#u)rl1_O`5pGy3;hoR{ryT0iRHXr)mzDT^k zCl!34UU0@a{akRvid7}dR#^0~Bdq9k)lM1oWIZHjEynTb4yjq8^Tv8(!m!4jPABs5 zES&3hB_w|xnkNXW-!({Y*sXeUPaU-Bc$AA5>ng@~hlBma$;3)zb@vmjiNT5w$MLb* z>bYnSbFGEK(Pu5R;-Kb|hzmHxC8mXd!!fbbf zzuaFy-pDbmQ_K-e&pKLt0=V$r+Fo>V_=#yYFb^2iFs5a+RzG6SE;KP|E%;?4e-HSE zIri=V^bSogPlB(BLs<8#F)*J6tiIwxgRcuI>fh*H_`G#@W|AhQZ2I*CB=^O-ST*+F zf@wX4FwvjvDI01eyN3{oO&-ofC&si(xqIa$@o{Eem)056pRgSm=WLdD8)g6sXU_I0rC z?YgV_PGNJz-u3r{pvUb9V?}+?H;d-0s6xxH&y7RlrMhjYCq@)+;nzhgkW5Ob%_x48 zwyy=i1mA7tf#}ftA1_D(Zum>wdG^h6{_k(avcC*Syl*-C4Dk`+r$xJiH~CNso*p0l zYD2@rl~%up&4qxrAF|2maO)y-EF0COtO0~8Y>W} zf8BOPM7+^#{d~9c%Kq-{sxYV^QuE>Yu785bIQln3$t=U~GuQyhqo#skRrF$`_L?81 zL~COTWkzcQOVv19!(grP=BCxjapY1=n3BctT_Hg%t?OAKG1z(v`6-l;wvK!Q!U$m{ zn)6~#!oOFIA$cXGgrI~efqJ{hFQs-9h+HyDCx z&XdZz;k`@|-{#}KM;piApeirKj`H2Qf{5J|L-i*@Q#Amz<~4odz!Q?RoG;m>8|*nyI<&6KQG5zS zU)c4DNQXpmomxD^n#McI5iWzD`*j2suX-nKk2_26x^J!S?>DimU+vF&pAK1WHyzVJ zmj1)-!9$l&-YRDS?_|a))8LrXSK+N?xAr<*JpUX(bk2bCwD^U8uJ8mi!7CUg#Gmw)=beYc*K zKIk&wfeTr`X~()>i>Na!JU)vdW|r;~3#)#(C>ELP>W{`pjo^?EI2@+o`Y5ccQ4#*6 z7z7iNv?RVm1%n?;+c3=%)GbHNWYx=WUJ8H08xw*Q&bwAGyH^7Ojut_^ol18kh&tMk zQrbR@z=}GX=R9PnD4*F;uqfXDe*(zKg!1`j^^>FT*G00#VlsbSb-nfb7VvEo#8JCm zo@{f2M;|vH0NY71#$gNc!Nj;g260FT(aylFU{q z{u;&J{3R#tfjnr&+~2|#GJE;tDBnf3xWQ6u^RmiC()aM9DZvQXDXAK70B)(&`Kd$s z>#G1BPjFI7_$=u=m0IP(+SE+3)xkWvyEexC!t8v*37-x@Zxx!T@92SWEnmg%W@Uhl z7KBa%%y9!uvoX4LHR`0lLFw;YlMEP=qB`L+O=`FWmU;j2igS>^?=is-Y7sKb2Y_S5 z@(bEW6DTQMnV=uIpvNX8!Px8W#S&e$cj#}B;WD>IP8lq}Me?-06;B5wQxKumlFEa8 z%ukioa5&G1?43DUQ(Wh1-#}DMFbZY7M0l9DrIpunIb?!h{_xbdkb~(6`@bmuw4$t~ zL*wK?TP1+W#DvKtgwc(~4@E8`DH$ShhAWi#izO)mc*hRV8fGdEA6=ggfV+{xT80wM ziFa(xvOS|nT?5}PNl{EK$acn4tNmqYUP%mJ%wEnfh4`yGERvR<3d)ZcrAu0Q=*OG1 z*}cpg`QE)bbEGnB#+)?%r;PVT}xM zA}>@>6eZX``TQL`k44_CdS(`w=q*JoUg<&oyxKtE1oh+D2yevq^A01y++hh(P8z-E zGRDjW0to~l!6j+OyH_b7a5R91`^sp!ai*8+X2}% z(@gH`t^Z9tVq}Wf&(1@z12RG^hL>LVF{oh~enx#@);tatLshhUT!j!PN;#|MLwZ#i z*W!Hr2p%HC>iAJ#-L{v5_2|huFXX+JP_L9*n=*kd!36=o)1(BMYcEcH3-ezkiK@Dq zmioe?wQKIK;<_HyL=}o!VN4IYikL+l#e7dF<^ku2auNQe2yJ3V^QD;_hh0GfQ<;O_ zb4Al!+wY9%0pbR{dyOBa0FW$K<(%neS;U*U#q=DAj2Y58g1@Kv&bR7_kE0h4KP4PX zR6I~fcl+ihvt#zgEr~+gS3TiNGu9h`4`9fjdMbpn7U!tS8QQf$Y)#-${|z?3i8Y1| z7Znov-zpOrR^R0(>5L4++DvF!nOY))NKHi{NRN~2Y6sz3YX^0~AW4sLfRjOq-u7*7 zsCq%=U)|r6hZrMP=L>$po>k%C&FZjm=C)Yc3))rrf1o=3xjU_52HFu~cuEsHv!!>8 z#}mmfYLJ#zxt6xE?88`IM8RA9Z-5|3PAVmMu(IhR?eaotd(aAKu%|ek4&&w?v5Yw9 zXZ*SFvx9)G0aPLOGsG9_w(#N0nZ z1`ZR8p?jjfe#<+>1brHrp{HtQR4hl09WPu6v+W}|u#ZRr0SZ|Sfv`Nsltg0iJRyi} zw7||Hc0h?$#v)dtX8uPEeUT8shg2XhcLF4rCyGz19(y*{0F)Q8IOT1}E}EgbUb06t zrR<$Hb8@Os44J0x_5}f{%3Q*3uXQWh_q3c%HLNxL)+)_cTy;E}y@f5b;er;bNC`6) zteEa%Mgl!KLtfAHes^cqAcuRFbbGX6qef+{m^hd>Q#2_41swR>P;mHLXb7ML0vZx1 zfq;erN+6)2;bFp{bHXC#4_U0{a$`dQZBdnML85DkoTMxG%ar1 zj4FkQxboRZiM2%>MXe-78@U|^6-Fb!m%#EJu`ETcn;)GAC!T#9tzf1wJQWw-$>ZsF zXN5$dKprlj1G-HG1R2`!9MW+t&eF&n%UOJqc_#+f_b8m}=Ex`xvbG!TZ#Xqa)?dR` zTpK+%+S8AAoyiR!xc`f~tsCY^ue(9`Ec+x@6V>C-y}1RANfb-oOOTk z8@7sK+m56f)6k+e>z|$)i(0{%b*}>bzX+SUVV%Uf8&?VreI3DrCJ5I5Q*<9!$ZTcea5>ad70`97D2hZvtzKdFYhG#km zPR#?othyWOu_z7(;Dr#Z+ns*H8JM%~ci(XA^nq6IEOL0q|Eb}iS@ZgrYQuQb{e<(lTVPQ{S{Rs7ixxZ1wXYFVsUmTEdnfUg3&`J<)fw7+>Z)?3BhoxRV*+8OHHhy^hJ5>F2p7nTE}Ai+ObViNws1YW_44O9V}l1nWOzYMMKYnzMUigAYUZmmo?WBgu;OQEgDj` zgp9Y`NYof?j0Xxo#`z5=-T|DI@<6gug13x-vW@^$E#x7zl-pY8F&5aiL15dERmZR? z_(#?d2enva;_;?9Lj;++6%fvAx#Slo899xgTstv?ryTyM$2>DOdHxCc{t$|QdJY}k zIQ)H@GtdmmEVGs?8ZIoBD|*m#P^A+Ja@wI#9~ilX3uI+r2vf@kxC6pX@w_-k-*j-$ zMo(~Yh!vYu{bH)h$=K3k77sHC1_{%(mDLppBxLLxb_=dp`;=Zjk_&_Dd-qtpBnp3j`w&tUz#@ z$C3~r#2fqP`tMymLrpNr?2w~Cx;kr_YIE~O`^EQ`ER5Jk9~bW!(!f7{25Yi1v*!fB zu;UvSi=AO_Zo~Kt(OufsCllS#S=7!1dC2BS_yR{M?V@leb2PvKNi_0+$9)tqPJAdE zGY2@l#WN%}`+)@QTYS~s2Z9p5`D~G3e8S`i_n~Q=vn1yGf)b0p=bh=uW0^|j$uo)# z#LmJ5c4G1M0#&eJt4X`0T*~{UVRGLMg2WJMY@DfS5v4^c4ng5P=-1Z;pE;qaZ{H$o zRht^ib08eb`?=u}j=H+dpwYj}KAL$H5|3u+Pm758ja5URbc&GGz(`5@K8hiO5;cV_ ziwzUKpB84k`i799)fM$=<~l$SU+#e)#bH8^lTaZgl90@@p;+6Lt|;dy#7m^V!ZuMr zvW!6cgp4V|L(I&X1dENI`|yJK5SLms%>8NP5_$AjPI8rj{)vDWpZqK;gm;Pz?T{-$ zJLn&6p9_i$<%xi_&J_(xtW_}5No-p_GDwVYpQ<(JPoM(prLN91a-yH_e;+d``drc%Wo>+LByV9~PdWP?W@v@EE`P`nA z##5x$$_L#;9;~xoQDAJaaFIYoHA%7z#4HfwKnw!W4MZyt^*~foO(HL_N&}SX#v=d+ z#Qa)J!FQnvz;UG_@Nbj^4m5?yf}I9ox>l9B@@p0j#)b1byYZ#+!~>j*e72Ccs|9_u z2Ct!)YkCSm;3M(<=spt}eSznI)Oi0(7L5 za-WHDHIu>d2duaO;uvEJ1KJe&c^zSbJPOfZ?nWumjwLBspQ$FD0CDsVaQycOG>`gz z4{#>koM?|~6Cx%#IaWyF;te$YwRlvjAtT`fpt+VvqX%P%Cfl`8&=P5LSKoLEluBuD zuoz~4Bg?ZdkgEDNNtEbWpEZsm*S$Jx!QY+c) zh08lF!z!vDzuNV3u=6bNLmVQpVmj%;#04b%j|uLfQ%USEBjbKr{jQ-PEzH?P7EuS%)Xe#zYQ*rTb-@I~+K?^M4hMb(Om!31yjdw_9uAJC;eieX&r+n^2 z_}u?}FaDmO1-2v2RVdyjHziEDUMStXN?K+G*fYHeyvSJAZ~ly*s8r7lsuxWOlF7^C z$m$^%%A`ixcTyQV%K{er25zYU_ zF)Fh`h`=+!pwr{}cT>$uy-KIsXK9=X4sx9p3uf`hpMAb?IkVkL2V?U}O*+iriK4nI z>9FxRBw@H@3qbuI`-6DNkN_?UX6ei+U4DFBpZ|F+RZaoaPr{s_WdXsSv-g_`brlXO ziwt@=gNKR>f5rDR7XixZoberlCz1Vfv&)q6d_u`vuz_BZ-hGYu_uW&o4=ZOMP0dpD zRm*!47QA~wLMT0a#{vsNAJQf~hFinzVUym!hvDqdtPo-aeuk?ZR5t(G*llsFHT%xhk4$-tnRcqu-raSS4&BJ1EL1n(X&nJSDx~it1us2t z1b$4H3?49bB{@^-5B(f6?)%6)H5LDp5aK{%W^1~U*J>VEx!?bwH54?`5Pl#A4_B@= zbdx_Ug2%waJ9tx1B9!T~4%R`->F86>>e3Ob|1)J8bj8qd%3lw4xj#?ijBNro9HZowm2aYTaD;ZUBLa&gTt>a4^Xzp(~I9Q|bG&ekzv-@p);g1v!Q1DDoP5&HIbokgJXC zL|RJZcm`-N#mQ6he2v(E+bWL)H}BpsTd4V0Q4qD9pxK!^wFP}HPQu%MQ&^9n({xGM zc!t90!n<4d_)K0O4V$9Rux;tz6zMC637(UwOh<>mRi+>G_v4$%`Ns%=s!zVVuIJ%P z;VT(_3a3TMCiz&#i1!hh{&^B`Bg$%hNfahpvDGtpqh+81oIzLizc;$xOO8Qxd9}bX zsogz7UNB&%CpD^c`PsW&|LqIWXy|!YmUl1J)VU9yjmcm{~K{-V;sQ!Ld0tQYXEs?)YKPF{{PP)-Lv zm5;{wkbvL^UhyI5I~phIa2Adem?JpjQTL~uaUQONbBJ5O3Lr->wJ@^Y-A3&4U%aZF zRP*?Lp#NBCJaT(%-iG_rsQ%0+Ibg*g1RWe=Jv-9>dm;NP@yWE+Xsd1$`az(q8P}Vd zy|MdXHVdH_1;TO6m7}E^d!7R%f`N)+XTkr6%v8 ztHJ>ha-$jh14KntPXNo{_gZ?=#EKr1>sk1bm0+%gh%m*ft@F3UAxA#Z-m#{wVkp6s z&I+sLUAsvoT&G2G0jooQaRT%Id-7&WP}&cJ)V$*Wh^JxVS{=cp!|Z(p=bsKFvw#3t zYF4Boo}9tNkEI3Pxyx1~jNOICy=gToha`&Wzr>FmCKHT!d+6HA(R*a>15$zOvU1Ox z5Y^|po}WW0dW~KmFIEL=nM=m#W(bn3^#@xS_%+BCCME!H`A%c2Z9N@qx#LID+L6co zb(4cZqj-&V|Ib_#ykF>cXg@trI;8=Y#A8c^ z9OIlsmYIozR3@B90s9zxH4^@jXDCW?UGPr-SLHjGm6Y8P$@g4;8$4abWq8+zrk+Rw78t-zwdQ#){ zKt$&tYE7ezX!X&c*F4Pd##vLN^>&~*pb+e2e*5q|JDj!oj~6M6r&hQIF$=p12Qi~@Sw&5yqVc#jFq zM4kp9o2> znGyQ5hP}?DnMeZRVL(0^l-=;gadVZqm95@vbH0bZ&RYP4lSYkpHsQ>S-t6{#XKqu} z_=LBY{kkfPzDgkCo%T{Aea;HIuNS?!r9PY8()+T<%gxR4;}XpYdrOrip$T<@ow;jn>*?rG8zmjmhu3&gG>Eo#Pt)u6&c1&YL!;4U9=U>>Lie&b4xKb=>e-MyYuFoB%0%v=9X$pyR?*?oScnzOWvH4CJ>o|TZLyr?+!BW&X+Vf zU;h(zfY9%kT0UVXfHq$bnLu~D%hh;tTgAG1Q*~;K<@R|qgw0EH(u4+so&I*Xqp1+z z^K+EX&)2lL&?w*S+oU@UIy*ajVBTi4*LFFC)5*!k-Cf4W9qe(9CL0F~#aK+t%+J19 zmG?U<_-Fyd`sXgv16+J};RQ4BgPFfIUZvJ_g$%O#b^K%2`rc ztc@9+j;sFDsOOLo<4Fm>7fNru#X)kqvpKCM@c^jF{E^34A*kFc8A;ZSaF2_eru1x^cd)x5;ieQttB?pbyvoNqDte3Xt=g z0RX#BZ(!ETKm9!GlNq>+2YJGN|G{Ptm-9Ph0479*LMQ92j+R-K;Hlx4;&kGW*)192 z^w?py&2Oahz>yMdckA2x)cdS=IKOvUKVV0mLE#5OR}w~E*Zru^VKN#+gByol+y-CA7InfuY_jAybnX7Y?Jtz zVjTD9o4fnb1|}=V%m`WB@_PUm-R0f!$=yraR2AKny+3WxRFzgij<1pe`ZiSm8F`xd z_@|Hi0AS=Gx4j#HQ1w*?GBU9|Eo_jdOnX2(sy%OMPi_Ba<%<==69LwnJC|;n2LfS2 zS;L_f5PJeR`8GiF$tq}+nM@Nj-Ccjk+T!xc((P2+GwYaO*jF;W4KtdgyX{8s3IY{1Z4hY z&xA07sN+|zqrvs!fFojmhVlR)g5uFh&lH#HvXMBz`FLgReTvv}))$z1T{gO3*Dm-N zYm)rkF*JPEArE#5Fzg80yOxK%2SEO;Jrnq5{%jRar=89Pvb53r1x`9`K@GO_DQr;9 zIV-Tbp@DTJXDja|j9_4}_c$}*qi5mg-SfRk zalLr3>;hwMcV#>PEDZxT)wp1s;-x%ORIF0cn8qs6iV)Yc**%OP)pK$wpm-}=>yUU_OLt-`)zmdSq{{`45wKKBwD4*vTb&S z2mE_hX2fN2Q2&GnduITYFBxKY5|l4G;-@9SI~(saJHb0O!Fv<$^MyO$5|}m&JPD>p zIm(AzDcON=637sGQv+htarh-mzBFBrV5(g>^e{mvn!h*`E=!-awVd+bPts-&8jrz0 zB4o`MtElDQA7lK&QI9zFzXh%c&gI=8jv?$&;Y&pNsDHW|g$)`SYa^1nSjZf0H|!MAcs}!U{o@wDZw7)kLq_jP28s!a^cmpwE^9!0<3RBf z>_XdFJ)D+_tXoXcX{S3Gj=+_eq zH9{sG{P=Xcy934f&en8zGRc=F`pcSQ%A>{pyAKHXp3s|!;KAK4dgt;b&B!FV-Ml4$ zB=;w4F9Y*N4`E|2QR8N|oCl8=XFueZiEArPTiELk2woRQr!`W_#c0~9ZTgc>M%ybp z*cH<0`(~=}ExFv&wdUy#*m}#>m=U}$$n)4w^KQ14otz6Q%wQ*xt|YRyqOJkHHZLcq z>KdzB0gcltSBPz+H&n`A#7$L5>Z47nA^&m3Hg1@U@`9rhq_08Oi%hZpOQhMo4MvR^ z!HXB}h*Wm!-6_MCjp1*NZsrdYpwWaMeI**ho-{+2Z9Tf!^!HIFts(j+%5od51V1s- z%qpS%P%jxEIJHxF(JLFeLC$GMc0;@o1`bjqhM*isK|lXMi@O?9uIOOn zVNb(r{8qrlp@+LD>9>~;&0(O9OdN(O`c=k=*M*C7ep&tVppQzeHeF>;YDLSplJB`p zzE>Vn4O#*$!W(Re?4_=4>MY$>psvh9K9&5hptMSb%0g9c8J{ewEOELcQUUezA9ee=|5kKA$^S#f#q8`i20&9A9h$EmrV<2&l1!F#pPAmRac-BQGl^$;d6rW9VLbJFq6Y2%ba2+7BwknQA zKxcGS$cw6qkDvnKgt_#K&Iovcs-i2a>{=tYNp+#uH%cA?e(8t?Ol@XacK{eGGlmtL z6WP&NuC;V(y+j~$T0OBzTKp|?t(|si|A;1fd;BetA}5LLo^yq;hH^I;+BA&Hv*v$v ze6;EBDxoX#+&R0w=aSFw0bfW&z=Ha4pM?R9>nIo$>-ST4EvEy zE&HjFEdz^A_+CvSe${EYP!!c&OUj^*4pd#rJh`_S{b+pO6L%+lr99G{j$6?et-q@{ zp(q_l%Vh{nH8Zlc@{?H|NK4`hO+_=ZHH6}5;AlfxviI7I`u%WcF71;k^53(~Tr3>v zb!`RbM=Cx+%QGuwZFZh@;p0KQ$Aeo4oN#ydXI(TXeaN}Tk%N-ct4&Aoh^8em!MZUE z!~pQTBUG@I+WqN!rG0L-Dl7tH%r~CBRYe*y2cx5_uBhJX6`)^|#IK#oJyy*hUUI93 zV8i@V#uZiS@Q_s@G}X??<^=Rgok24P$1_cWs^MebTap9#rzBVcF(kxho%Duy=>!qDJU z2!b>nm)=td?G7C`y%@s3>&47?eB7`&R;o3o{>GZ4axuGelRXaCetJ=V-JjjY9 z(VSR=tMT-!x?q*-hMD1)wsXs>^(h{W^FM2KSq-SV;FRl9K?@+Y@yM#p0cjC4|MH6g z)g(BzX=CtmU_VlWR7;J_HF)5(<)($C;|Q@ho7Wb{*Tx7)-so?14#bcZCkZ+SXu7QC znX^-JBNdlY6py;*Mad-9enat&*++J8L%A=z#a$LokN6GWC-s_@YUy_ zD~wDhaWP2fs=7fL>`ff^2UVnPu90{MhCn;5?%*;$QOkV2K8+zRQ&nmJ;qrdE^pdau zZ-ipGc1>QHiCSzjTQub^VN`c{Ii`<0!AEXemBw_UE)IQ|L&Twb9!gtR?kdz?Y@aRe zO+zhB$$lXxwOOxk;3oU9%B@~3`}{j|xYJ%~F4O`42@ZdLYsh)4o-0M0F1LxI%MUMl zGTRm#W?}FBeFC*AF69xM4DV-q1~q={s)J@Zj?PxKTw0(u#ZYg5fuwP0wj8%%il#Mc zVnnZkV4yx?(@}b}uP${|$!)t)1b3AEJ+yI}CEv@DU2=aGl`KjA4HYSI4Lv|DX*kD( z(TV4%wEkr+u}u@Jp02~RPAeqT@qtS)ip1+MdOzNgm3oAL^p2Rm;u$Lfd4phWOqlk- zR@38!t2e?%nT2x|J>H!ZQCCR|;mhF|2{~o@)D6M7dQ`LAnt-FMEw4`Ws2H7`jYCfZ z?Lj&rJ)=S3RrNIe1}7Ew;tQ4t+MuGFA(}Wxabfo9rIVO(@N~dJKO(I=n+A zXvc%x&0K`~D*txu1*pkTU&$XOz{+0DI%qgt&}6{M*dBQ zJ3(y;p_5w4T3)5uZ{`n8#5Kw#F|{NT>z%|5HjfL?D^^TrOCk)!RXpZ9f9e)K&veO~|{bZ}Kv%U>ui$ zJ+%$rLDa*j0Z4>Qpxwy;OK|8G6TcQgHdJ6FoWVMZ{^#ZVv9^;Xh% zB`3FO2shX@6K+4cqns<>T7!B*) zByro3K#)-Qmoi$8s}1Zk3`M74vVz~q*I^wpMR8NvU10!Xn(mKxnxtb!0>} zzaIUwz`e@$qllRNNqB$XuR=eoUB47ABE^sn)-t!aSfdX?zdT|_2u@2tL#$1&7+rgj z6$UwJEER`{5jaeIjwqkArOry}+t%V%n zlbhw$%94W)zueJ)-eiT;xa;n4cVOKDGdq!pB2^7JwK*DwWu|P&Kksi^RfhR=M-^MG z?+b}a3ojl${r<(`FHjfQ`3n6paQkiP9&$CV?_1919->edH!}bHuk?wdtk)C85{Oj-U$ol#0u8mp0zfUgd*NmQyrIO}131Qbvy^A1} z)egV?4aQkL&5x)wx6MI~ZTb=`z<5{T3Z#&dbprD~2-3$3HbUW)&Q=K%#}0y0K6An> z`T>E{4stA2Jg8o=1H-Cg8}fvWiDS`Id$IQM5sQgq(6ez!VcD32blyxk1>9s zxZbwYZ8)^;LaYcv5HrcG&v(l;uR`q5gC>cx2Gq1uIY0rVK3g+>nldqI?iy12uW@|( zSFdu)zE8{;@Ywx01;kePHQQJllOAQ6Oof%4t*s_zc=($)IR{65ibYN*@Bt2Qi%tn) z@&qMo>+1K^SPHUZ5+70>S}sXtd5f5Sm=}>B-dQ*%U>x7EES7L3Or7#n3K4(^#&P*r zeH92+Y%`{|CKtbJJ8-p&8wV_T03ac6o474Rj*o2tF;nG-`LY-u@8JG)@BctNyD{-h ze8;j^iRma!_`AG#UVqOWn4Y1We1`SjDukb|l-L5hPLjjeD#8M@r{49M0Lj5q;B47Z z!_m6-y-4NlO$A8gIGVk{qxME6DtpvAfZ z`>wjmm%4Y}0Ta*+S4K;JPWD#gM_XJU%K5A;$>es%qh=7r)S!ahrgYnc#ln;1a%QrX z@oVy3wHOnjhrr0Rq`qDs=|em+Eo;2}-f@uw*g949#fn;vkyH_twD9(N(@*utG(Ls1 zd7n?$$Tcn*snh_Pj4{2XYY-NKng^i?ihhe_d;MEy3$wo^}FeNg@@&$oW8 zV>F36+qM)HLpYMEm&J4DA+yvr=EN!hYP!^>x97zJT@t-%;*AO@Sv=e=p-URudPUf> z0S5ZyYM%XzcKGjYaOOOyWYQ{lnZ(Z13zuYR^gK6cmd=*Mv)F%JYnobJUpLh_$2M6oe@(eH@7n{Oa88$s#j=y;?gPN;peNwIPUsMVV7}icfxIZduFFUJeC^ckj%CZ zU)3`z-f$tL!*YLggULE#2qgo@bn^K{kbsK5Z6@8)<7d#VPaA26jFuK6=7m3uEm7&1b$xUyQIqFXTq%`iA~yc;#Yp> zrld}~#>B^0@qcL-1rk&>H1qMM6wC!t=Wq_Pcg@^jQ%O`3tPG#pd83I{W*z&qVHyzj zCE48y`Ga}>#i6k4`(ufmtn+A09;}Z(Ky4AtA!XWfMCq4pCEdbB-BU?tUR^O9`HU%H zP1r_eUozTYcq*^jCy4alaCQoZ7KCsphgotNY zcGhQi3Z$Ym3`BXU9}uOx(+g~dukTYOrsCTkzI=r!|MF=)8|H}v7O2ue6^KMp5Ns_2 zwWB684bh3Q?F>=*<=PrI^UNoV+HyBgrDAX*5dW`eZdeJhw$`{9F23;p*goEy`;W;x zub8~SzxFieG9PFE2r{{MO*!5eLR({peTRR<*82X)Kchg0vH$(nOMm|UPa5}8ON6cM zi4)ZM5|g_U?EF&hJUnKPmd|v+7Pi(Z>m~)ovp#|lW6b; zjAS4=C$+B0GJdDy;dLaz|2@ya(X}Dk@>Rw9-oHDJN$QG;Z%BB?W>v}CgMCAs(7elX ztzN(1of=?77}l<8@hunxpS5JTY%New%dL1}fAOzju8hh|m`Vr&IJ5Go3S|jcL%7rX zWp!Qn!j77GdgBHrNjq7F|EK6$d;tG57o~+yj()XG-AxF4`C*Ou+a3GoY;w|jV0zEe z@QlrNc{i3_!%Yan)BI=oG`N$^dtO2JA>s1nhV6kH{HG57lVe1?(G-{u%X{0N2+Eol zEFXvGDBTqVhNmqcw&N3)?tXJsE8pnL0!WTWy_5zUi$$;k(=iqB543W;8?O#>7wuzSnSFE@(9@4A02H zqI=7fnID`S2MJ9X)ZaLv4&**6tPRgh!Kr0EbXdP{E;3eLUN_%#I0jm&Qk`utfxX`- zLF_Nw9G1~MI9t5x|Ho67{0N|jyF@Wg^mVgNMrL8rAN;@!=hyo`B|E~`;dmMgk5(5n z7@nV{`6wrTLD>T3l&?atd~T{lnD1tap6@=<-&@tSDm&EBPnSxGPbqe_#j4&A*Ph!f z3{^J-tpE8}-tA0K_s_x5W5T8zCzgTIV*|^Blj2|{KFchN1Ggi{l~U#9M+Pt-w(`+u z;}~jc-kJJYr-Bk49pC1lY+PO$){h2SU>wg@?q`~3okYyS5VD+T@2f{0qNw>ix%o}= zPNJzJ*gk;Vrjm)`n@`(6KTFllZ@4`_o5p|f<=23`K9@2Rtx%7@C$akg+cJEw#_nUQ zUwV$7x&%~yVa>gttOFN03{~%P%>Ma?oP{&p@-KM5Rh4d>Kmj8XNnx$(O81!Br)_f! zRb9Su|2zX&RoBiVC;f}zKkux74$NxhGdQhipX$XO5U4FmxB=sOCxI^Zr3=gFtEwc| zF)d8UT|PQ9>qh-3%(qg8eXJco)ndz*gfqw$UR zGo`~o;#>+85FVU^2U2tXGC>Qg`v`Ui3bID(ea>|?A)0WHzDFVa z2KlXrS>vYsc?YEPxl43(;+5H0tg3r&&x73M)JsU|BnUQxo})}8p{r0o|8)_a+{HX! zV{x1a7dR&&ttm|s$+lAtA$OPBsv$mv0A@OBELtu<2`6x~*2$dnKMbj5_~D=;4ZECT z%F?Kt03x7ifD`VD;g0xPbvl8HNbLFdaI`g4`-n4n;Mu4A%b`RUi`M~OiBH`(rNuFP zK{6P*{KE=rb}%}Axj7Ab7oO{8*`URMwyjA!NAn*BuK*O_8;6Ru#Gz*JL{1igE2E;WDrJcFuY$vssfs}{HUBDq(45@8V z=Vls#N9>zgI>-A+T2WWTL*m&|Z-f)?sh!&Af6blv_yj!rt(p)IC4<27;))}t-1S+iwB2beL75xOR}AU}Q) z&f<|p+SgiimoW4ich{n<@8hGf0%2FsSuOKC^fTu&U444~zU8PJ-~8s)>Srs@MvE~; zo3JwG(%^?>0(awzl;sC(*ENVGUgGRI@!LoqW<|xO8%hF;UX(h1^p*Num>Vb?|40G%Xm{dncqQbVy2!8r0T1)*~m&<0}n7pG~McY%8 z%VzbT7Cyt=_)QtKr#C+x*HP_E@MyJo&$@Orj~=Nhn=!KS4MN7UHuyG8F5?s+F)}oM zj+nk!ZR$+cGeoWDJ6ec<-)_wESW5a}2%JRUD+6z?4&coNDyvjs`W`BEi8Fw~t$gt- zNt|E0tit5!dUAqoV+=o61g$+(Nm=iBY`@ax`=G9Btjn@M`X>{kEP{%fdo+bN@*ujd zn8}h$Z^S%jvhlOBE~bMJ+x$papG<{rV29eM&o}pim|nP}kpSO2mDd)Xm%W7k%|0BP zT{9{M8;)D>&J@JE$F-CTH94c|mbU%R&wf9#sA5b3@DQP^Jqwc$i>qcCp4 z3GPtb-2((K7OYU*3luF{+yVs(6ez`t1g8`$THJycf?IJY6o=w^^ZS4AcjvwD+?hL* zIhkyBHz((L&e`nl+0C(0`!=?jyi&lurcEfMeY@H88bv^rZ2^$v$-l*hSzCBKRej_7 zRoaK8xLoGa;~*6!-iA<>9AVic)FqA_P8jJ z`vD@D+`+HcMB7lm#iSt5J9Mu{PYA@oQ_OR?_wJLeP+Yzm5E%g;7ppB({~W}(YifVH zMw7bJNW-33AP>?=Y_R(NhKRrjzFKj9lX!UuoBXzL30=w9U$j8wL09e9Z-7xEFy*iw zJ>-J+KI%g4%AP>C0`t?c<9EOiz3G$6GNRmDt_gqsPww|GkyW;J>nlrOHFNdeyJ3Ct z4{tBGtEmhAsfE3CX$>K(9TY)rlSn7Ooj(}5&DBT=D@yvhk|90Yevsq44YSaIYQ9cc zGgo6+N&&tv%jOBGM82JP@o>BByM1U`{O0{y1?wAs7INPEgBFXBJzjPCrgVj!bN*SS zF2MF=F}~V#+)Wj&*g^Y5ooRPics+@d|W&dv;#MKsN|E``a-qNLgcH3_!t=LG6{`UxnJeE66Z;f=f%eaU4tk4utE(B5ug3!&@qbX`-#3^B$b<%{S7cJ5i?R({?~!5YW&o(>(NQN7KpuM-$-Od8^< zCV;*d>MZf{Up?F6l#@q-ew+ksXx^QLE>?D&=F$kn5(voNHu}9LK|WEn9d1|#oO@rK zZ+_iWTj?-vt-=)%rijkKW&79EF3+7%+~hYM{Xz6jK2;AUYy2+W=1?!>INPpOaLG2xXK* z!`_|b$nZ29h7-rjADVuV?j1j#%>?m1 zW)gwl`t0B~gCYnGM~zMRox5@~oFA96tdL;tWpCT1hvJpVy2fm(&fhP%6@Lj$D>p>Y zPuA4yhdjPL5q>x;3~FdYVZ`Dx{L(_Bzr_2E%*`o%SoikHl8W97dyP6(mGXkf?}=G; ztmBDQvlAN`yes8@7(NbC3EeO03h1vC(!5B~CU2``iF!?N{ zI@bAT+TvP3;bWiJfY9@o=C8$~kLe2sPY+R*YbjPDAUamUBL-pIzeeybc)-t&A~l(t z6QNDSDl-8;H_wktsJ&au! zQ+YY9eWFOS3eW#f3A)sPy5nEh3CQjVsr%)=&C^qE5ggG?S)V6dUMG`y2VvjZoNYx= zaST%dl}5Q$j(>kEmsv#*r>TsbDf*IxT~>%@tL@FSH<^pvd7s|6d0bb3{rJdGdXJ-6 zPVj`!5KKZ8iklfTK;+}A7xfp!SxfVD?70{88zG(H>y*=p#xcpAo_tZUWJw=zBJ}XO zy!OyKU?2nGzEDxkGd$=-(`f3 zAmQ)Op1BR_sHVVtRr^+3Jsz7qUE@(<=S2g+weEd^lZ+HV+K#8 z;qv%5sxc!!dm+`P#|(dY481!RgLGq?{*)+)Yo`&s!fxGo3JUWmk&#%)k)wC&EzLk> zwVcK$pyVzAH!ADE{S`%U04}RVMr1@}% z=XGB!(z2%>CX#71p$~b|`w)kL92>sUavKK$Li&m7EQphK$=+RQdy>|hdpNY1R{`(d z!6RN8dt9qG3jU@Q|NCAnuRdmfgT%KmnIuth_PKDgwP5;(WB*}AF zP59C9hwi?5BB)p7ZxKptSNDfIDg_SLhYoj^VGt~vR;{*!i?sB;4? z^U^7;giWSsnyI_(hFeCMULDoi`dX zJCn|7xBak@iK5*NjW?FL-u>CHrIu zUw3+|=?#*A{7Sz!Gd10bMfp(DxY8QVPI`jM-wsqd3F_@hFo2JSyhciLKAjeu#rN8%Gxe}9#| z>@eg#3a51K_CJXU*9rdZWK>xN9h)5N&jM!b`iU^!=A)F2n{~SHEZnHPH8yV{E*TiN zXmp%y-8nF>qLT^xRdPV`k?60F@!e>;jRsG%iH#{gny*tYqFcSur1Z^L2-$m~?$fN! zm+xC@{G9?^3#KvxdZNwQLkZT;7P@IL$NdBf28`7>9-u-c94dEuI?;l2$Uqdc^MGVgqtaSO8KoiY@OHsRKbhh0$e-47lW+71dECmdltMJCK2>=yVZ6( zEd1tm*lX0_`*yyXvCaOr^>VjHKFsa&DkH|L!rqG>jcof@T+2-9(E}0tDFrewD<#>J zdiqZ7PWKE=T@q7Bf@aE%VB}@@LNBCXs@2Jk~_%?1=fI`i(>!Lj&}zN$`^vn!3&MA`oxPizJu1Orm2WFk5SQ}4e*mzaIdOr~@9>k@j}FnA z?W68#FuhZou$v}gN}nw{Mjn1)giJKE5kO{^BGctQys`)#Uh+K{e3eADzu;~`KD0>L z1L}*(K%;Y$^nfocjm}xUTMPu#FIUwohLX{$ci>sJ5F6N0nT0&5RPrZA4ChGa(OwqL z9w-nN(aDc4twOx+E#+tC8wV2 z^Qg^>oIm=Ufm{q%T$pm{;JVBas2=YIa+wn6TX_6>rV>DZh$Q%3EGTUg@KM*46H_h^ z9HFPKNrO4wdG7t^k188VpviZLfc-}tZ>%_=Q9zcFW<}(!Ua$+Mm;luF(@0M!3Y?lE z-r|cuh;|*?fwpEixg!fvf#3-z2IM2cv^x|98ZV?su2A>c1D+L(_wS2_g34Igw-j6U zcGdwBYw*czlMVc}NLdQ)D%(3>x{@t8%f$Q!9azYAc6AK(HERY7=vy z#Kp9|`$o*FAs|AC$@vUT{xgfs8X%Yj`#}(3Qp)jWFu)ftGw+A$!rO9;L%ZA($G{Q1 zRaLg&9%HZWnw{`7ejGka-&LKITHPpnB=*j}3x*+}r6TJGbTs6 zZWA+)Au2HY(S-2Ei|%wwYMq**cFcY}>1+TfDCdw^OK*sFRaa5`pIV`0>8F@oS;)Lu z?2m6L8xW^of5RrMbIF7k$r=nB)K(nDP0-+G2Aq2pK%Q0A9qW8kMcooedoGg3=D*4U zu=6)_gX8_rxT@KqK>B)@_a&5?)o1Ul`)SLEIdivSn|rkox;w)gapql(od^ zp80~{5;wrLZG+!qWj7T0o6AT=|1SCS0`sODAOA;b{`}3L;P{h&T<#kN58=9JN+5Xh z4Y2u~E7TQs+V1;I27n)Vj@h+d86XK6zBW*HL!H058ys(S%7wUZSg(~`Jn7xf021A! zvfkI+0K5Je(5)Lh#OR)d1;?+MatiLbt$aVmx}vA>)W@zwe@;SwlEZ$$CcI(7eo!O4 z(E*r@pZw+eN-_WbUKa`Hbf6{u4Ken^8^Rj_x>GBu^**}OHQx0x?Mr`vByy&UjU60~ z{=|X(AV7GtMt7=*EHt3s3NHew<4m>M_dbSed|+k^w-wIc3=NLox&dDA8qiIY1)$__ zMg+%WpK<9RbtB5(>@DrH5-?{~g+yHgg4YrZ80Wvf^xlJf{rv5rEtnf?x)hE4i$6sc6gFQbx zC#OC|k2REMm~cgz8G6{}_jl(ZABPcDZHVk0RB@gMd#o>^>#>p)7f7N*C{tXvSrsEN4G5sEb)o%CgEB){6llBrj^K*>{>0Crb$_V z_*>dvZ>%W=YXQ^?WkR*OZ>;lsy7_Ib^PPMAz}1aaP1$vmHa(6<_n644=DxzUDp*bZ z-Cw8|__3OnBY!Pj2k|a^cbggQ=6AHt5UdTsca=vsv$4jeT2MqbSd;JjuTCX}>s$+s zt#vH*0tuGi=Ln0D?mXxJIvxG5u@41$kU081FV@u}{-G59Ar<;P9hTou%$&xPWV71W z_fL5LeRP$*wXslbCG~@tHiBG(9{- z;B=VolowzUxN6>^b^72yF`4D_>5mNH4|H7?2@jZIL zLb6q+D+PVE2em5>x%r0uKtULQ0D=5XQvm!b8u$gla#9ZoXd1dl&WqL!4mS;gKk1$^ zgW$2Zz&2!29fbEnGnlavG6c9CrtfBZIkIz@R`PLyrRbxMcW)l?I%B;FGZ$x2JUMb~ z1C5v6VB~M61;-!U0`rkwW$T_%gWwDx_#5QwErZ}y@W!HnE-VE1Dn(BpVZgO=$YrPl z8OqD9t6#IO_)uJM$n`3?2fQIQy7(4$+OU}r9FMfP)uzD%QsL3y_>kcEnp3XMk+Pd? zXz#y!6!#yH0M*_3Tj1KdL2#O`mq`AmS#Z3^Kd#QcvIP&ABp|hPu;kdE510qLltV_K zyT?vw?{vJ`4NxBG%E&Lu3W8T516jftqZy~H*)~UTeEvC?`hN zIwND*&_g>&mE>dm0x>&g%5(WfZ!sxKqRzi`Gsk^dfNt{ zZ-5Q}C|#{c_4z}-TRDa){^>CNsqW{`MtS^PtW86E&Tx<0S3YLnPY)gCkl!`_x6S|0 z(#H?TA?7+bUjCGe@SN*usH`PT_lyGsM}nV!3k*XB^mJXXOx-gH5PamIz`+~fpBX}GIgy(ec79X}LRq?A@*ucZaQyv0t|VmK>n`YSwjgqHk!EPR zDNKBN7XZiz)<(DZ(~pH&6zPd8mYI`>l@TO)vUhS+O%W4>&25LC-svD_{uqW&FswX5 zv4KG|X(3b=_wprJ8~r7kw5cdZg^m+-!42x(hywhpsdU{6*e!lJm6riOc9RU($!lKS zDqnbVK|?%I~nukG;;?%mRF{BvrRmx!T&EX5?3D2CIKb71>pzRnAY7i+QJwYr#AU2PjP)>&K z&Q*7B(r*8raRQa|zXKHfKW9F$Uy4`w4q*5-lzpx`vHKKvPN$p3M%Xmk2irmkVS*T9 z#S9{s@7Q{2mbJf~-D*8#drr@ziSl~L&R2<6U0aPrSz(<@t^WpFSycx+*2zkK`!f=<^YqR^QbJHzR#NzTr#jCZ_bGdYjd)9}7Y)#OB^ckPsAOhV5b|v+4GIvN0Dlx3g*CBI|SM@oa={ z9Ar`P6XVP9;jaz#*QyF0)(WnBv`dyo2L$F8EV#qEYicGG>J%Za^^kQBar=S=PR=G% z&d|I>+q8lO7A|QNju8RtoSyh!k+${43zfTUUE`{CDiGIH;1tHfrbK)jt9PaK0w=Y! zD4b;B7bMv&(l)A?=`s`JClfky{j*L---$Jw{Y}vVGv}N--a8}ju!8XI$r)h|`Inh? zZB9EnJzf#pOr%68gg0-6JtTNYUbL7Je{Uj|B}ObT{QGrZBNX!%qp!ujMGqT}8wq2Z zjd_dNCzYyr)|b5NXuH5hHU2|TmWryj^!AS-s~;d<4*)O1P#N(BxwPm&4Ecb`%9g+*`5(kJi2tu+@HFFygvlDe;94C zE7l>vXZ>2Lzj>*a`0z!bBz%9p8m%DPd_Y|^yqkn;$TUeaPNY<7fl_Nl#ouCHjAp#Z z#Z-l@938C4yE#$q7Hz??RQwlK<5*a(HJ>{p@Z7QE(YwCoC$^Kb%T8ii{zNXNO&dQs zf||rDomn#a0@BkJ<#Z|?!!IW<@Qz4IsJ{=&no&_Rd>S0%i(f|MMnOixs_re{ZevDy+LicrOGR@O4@p*ee_mp(C5%7St<;k%s0P$Sfs0HH5{( zpEPsvot{aoYhm-~(n`C!kyZoxoe^aZ?`3cP7w;J!Zc!#k{WS=#(Yo!-U+jKwD1Q%I z4#4L&OtJ}zWOKFJE>!>|p+N~k9oX;F5CV=ciF$;`Bf_0Ts1qwi0RPuFXq)!8UX^Js&QFpC(hQJci`R$M__8BgUn&OEF)*DwO`e_uo} zbJcZ1p{ra7w?B4kBPq@n`&DQw*)95CV?t>GkA7cvi~$$zGq^sf6Kg%-E;7XFJaD_g zA9t4T4+n;@*n$|i@wO*MYuz6(YvXmtKylZ>d-2~AxNwnMM*Phs+3{+w4=ip?mL%y3 z2zYc>c$0O?zW7AV9!fNNH-ReM=kO}^EdLEx7t)9Tcga_LM>O|sS%LDg>7KTkJnBT3{DJm3|b_&Hy4*P9&Gl?5Uy>#Rq8ODNgl10>dqBe%Z;fLW8g|f<-8>f3`RG(0!?f`YC z+Fu?S34y1(na=qr_;`GceODyC;Xrw&_oNRgp2C`j%nUr9%CEvRPpO)57k~yBa2o*p z0^iYEtQ0Ml;MqhnZa3{sgcE9E9jSQCAUfT|<$ve);xw5*!~eu{plMS0m)_czHu8&V z=2#d;;GR=@A(hXQo_c-`MW6Uvl*D2EeOFQLc;EZ+ zFAB9>F+$&nOa4(^Zav(B#Sk(UTrD)Z#=eqgMbYWKei6A$Q@q3Z70TCz zr)?C;$tPfVpPE7Tm#Z%^K?9K#q>k7o2$*&NcejO9ZS_$cx(-3l+e_^-)YbYk+`uF< z0<=r-8A5HZ*7TmSHQzKmZNv;demOiv-b)|$=S6=6AF5}e+(cCK`8x7UsX0SC{rd5O z;^0KX_E?5Jm@yo;li*RG;?5y!a(^<7aAi*(-RBD^VIr?Q)=GWoQ7G+dnbVZVqZLWj z42qKgnhnYIW$sgB3Ct#*rJD8rr(wwZSWI8fg0vl*|IQ9ynP9$PXp(5-D#lcg5HRgT z!4}5gS0Ii{Z8&s*bq#^nhQMKQ&?hU3yHVZ?5XBu2?}hQ}y_!0N1gf->F*?`V^3dFp z2U^|>iPw8MsK3f@noUN!`oJe|U|pl&Fd_tlJNjP}=ZPk#Si#_|tU99go3H&&KbHXc zb2L$E`M+ui4EBk0`VCMS9%@NLEj_2*yP|Go}fDP>4 zWbaAK$@Pt^@ol7qk?A^W`6)yG8<^Say+VauGI?&51A2JtH?a$^ls{KWcRl7FOKen2vTsZ%J`$2tv z<@zC#SBbo=TZBYEVz-c&kw4m~}AD z_8Dg{P;yZ%gt%NgfX)hg9Xe>uca({*{6K23t=OhRdSAY4A7C@Xi1s>o!=FvyVYJRJ zC)D`<({{o}$P3~F8mC0r@bCT|7%tl`5f>-xo|?*yrL%)E>oiTP!x1)pv+u4&fmk&2 zQ)*IDg`Ne!h#LVjaGSvqtBi>AQCrPDegvo zT_4kUN^+r^`CB`d{d1}^diOBpFQww*ygV|d<5fGItP$wyfnQtA+7GN>j7=JCsH>yLCL+j{Kw}wXGN0my2<0fCT{XgpI-Ok3XC1gV58}d`qXa1VCN*B$b9cBFP$sd+3r)uboMh921K?8rr83WET7!LIOa0EYs zf7>;+HKky%`7(Zx{z03%#P81MOM1MxD_%B#2A1uxz{({(mZiwbGYx5`e_uv_T&a5f z{X|2DZ*s)c#o~8kN6-u5B!-HJgJV6i$vgjK`QN@?%XPifjP8W((45uXV}^Xtq;qT= zzhB&<=NfLta;;As`*jrt|^AX^evA0K)%a* z^B&KD92<(?81df-{uYii`cbapjea8~O&s!n|CIT`&x=UImomV&FMEZtVm7&S*RWUa zRK=9=!L(UZwQ+hQFV{5#=N)2LC{f`sBW30y&I!xk0%GTic&8*RK0{C{Pm#8-W?CNI z(PY6MEnPl7CEfQsJwbZovVY1++N7)`)ltpo5zOrC`#x!QxS6z3x9KHhsJ2!X4S zE6*|n)TeBhSQX8EQR4Kt#iSu6Rc7PPvCLPJUimMR_8ntdOP1)>)^`y6Bk`@GdqtG+ z90jPdNb+5+)mlAA+MNGxfw~)IT(Z;ArYb3;CPXt`UHQ{NoHB++mF5bBScMs?OlJtl zb1gIlL9yN0{bbPyV00-qu^aKa(znw%i>UG{yhIOZMB5u)2_NXMocV*EG|+w{{wRdujDZw&XA`@1u07sPrplI& ztoeaf$DBX}ZE=-~q#k2mJl^ys2-~GpVdWT_%?!bAhfHJc6AH|TMo8~Lv$-L$?GOWu zeL)p%|JzfH2x+jM%myk4z9O$)(8j#O^p&(_L6ZKATi9HAE@C7Nim>1NJp_bCa`^xq zr>O)6%W&yQz#PT6^b}x&sc1r6ScRbzrR;C=O8(Hus8`no3flj`E6kAT4hS}e3ziCO zzB@-xaekFO+EEWCga5q79qnkqJpJ5^1Cw$F-$NlF?L9~4nLKu%G-A_C_6A)XzL#XJ zdN{tNxGPN2ty9rgI54=OQ}f2y0Tcqo%NlRj!7-YS_5;B5xJ9WMc!~*vtB9pz@v`=- zNx)mqU}FGm))_1VMPMRjG$LCRb_90H;Mim)VDwTv!fdfU}avE~ zuTGZDAAO9J?9qg!xZ334*s17J99W+-m;(pK>I@zPz>1u~NTjyAQrz7GA2@k6m8WUB z?E@b^5KBkk(F-jd*Ai!gp<1O%U?-Psgd(#jpY|Rhv#6Z*UN~{KBJ}D(V|UEyoU@A- z&zo82%7d~z%d&hK!-Ya6>$1xT=T|9sJ_qYp%uo8<)fE9xYFQqF);Od*Y{TzDFx^Xw@oiLj*lQwwi4~I20htFF!U4Xy3Pv4}HcXcp zK%-{C_&L~u2eJzRVtu-h9e-0z0j|_${_6x>;)>>1CtG5Uo-4+6qXZvJMK|HX2%N!Y zP{e>Jj1TNYM!sa@NA!>ZK6usH;YElaogWHcM*@2;jZvTFHJ|glY#-$(O0-I6=$#n9&B6` zMjH(=&PFgWH%Myl1u`3IkhLN`tm4yq#RfB+M1AJzt+r^yYiIC09?ZKOp;r`9gY+{) zoY~3HW&<1xYrM&sdL^7H4YDQv=sBcUk%4KEc;Uekk$6QTN=0G6z)s%T2zKTMHf^UO z;-zf%21OjVBJll*XfY=O2Ljt-@tpVqOf@4R*<$+9r02c`wUyOyE@rE(z!};`H(1; zLuNgDz|1~mGU+`X% zD9jnW$3!kHa%9Nt^8qi5A)h6hunaesDLM%m^#r1mkUmHOPTQy!;>TX}zy&WQmMS`f z)uIu&&R`@;pG9H+H%5ub)?G?C+GXIRsIjPo2p#SnJo5bt9&RJ z8GLSzY&riIbTDv^R{lp?&>|nC2|JnBYn%o8sZih-2zv#Hsum}R+|ujO{N=^$qn(YA0`Dz}!jOip z7KQ0CH!K4Sb-v-i4z!sitMRRPqpyl_eQ{w(#z;dEiO%4JXhf$d3<};u^2U$Zr=CmM zLJ;Xzws<{WJCTdvy*W|XYw%u^C@c%ScO?p=2Jb0kBYc>BkhBqH_CWv(`7@DN<$yiS zf39ON`@GQJ3t;vU)pq(poc)neHp(_XrMo^w(wZ)x-A5TG_Y=F1368c9v>ZT)2XihZ z_U)kuI|XJVP?<$pwfFp(MMbpt#F<5rCVXCOvqQW}6(L-YMNeK2_x7?OCBx9`MpZKB zRD|N<;pjl$?e;?P$k~w+poH%Q1EYGCLzpA+$hi$$ARAz)lsT2HBsn)SS*8cNVJ_JN zWhOi$q2$-P%6XVS30m8K9Q-loKazlPlIO8@l9PMu=;!Cx_=7+E3$--1>16rMMDtsK zf5uN5*|2f}V(bqu|8mOMOOJkZkloNfnDaYOhHQaO}^Q%7FvC*UNP)nnk+U*fL z<-+(OJuGr~G77X5fAw038ipaXP6;pyM3YLZ4ncuM;-SorZ-HFmA*2E|o$4D}I`uac zBPwomBWiBcS*j)UZz2tV8EYr(@(R6W^JWk9M$sR`ztLPo8gLsX5Ll2{7z+Yb3A2D- z=|CZtX7SD0xxygLqGATR^yJVVE@Zk8C;H4AC-S||Fi`}x5?2AL5|6?zJ|DV;U;~Cv zMGC!-MgdQvt1y|uJU$=VieLkZ&nQ9`L9gVkaE<>9P0q<4dj%iyQP!R@&+M=u2wx}F z-J&q%*EBkUSLs^88-E4$mxvFbp&LPfU{RV^z>TR6PsO)D<8wx~!$ka070=pL;K3&d z*T)}ju2En6-_7-Tc8IXmuAp7j4?&Mw$ zE~suIGZ;&g$og1_YGj{Cd&RgYu*KLL@kLdSK`_gEA=Kp~5Zv<86nyE9=-=qy-r5_~ z0%j0SUt{5^n08+eQ1z*mX2Oabme zN+epAqWy;+A*zDMK${QKBy(NVteVV5K&D z<|R?wpMn8SW~^pq>ClPY-vYIm&tM zKvZ6xQZ>yFiGtA=uq!Pq@WnKRFX6kP9lf=W^&r_(DC(DAt#1BZwPIQO8By7QR@f>% z^2eTj?-NX{fHelmPW+v49jXzuOR5o+OKMY0X$MXMp8*J-lXVK{I3t3ecPgQstw1v- zRlyjaExcN+wJu+)OQO$~L{EZMg^y|fmD*yDD3Q;b6rCwvM3m|kb)AGlGR@-3FO**x zZ+fqFl#_dynK@D<@a0f`3HuO<4kG>!OCri`*avwEijh3ZP|(D;K;aYdA#CVHpm4$w z|1XB5S$uo)A4^lcLYU~#s@~cg<60C%sy3YvhR5UkhKo}zVK3R26Ewgf0H++-|7J#- zMI$gld2x!iBYFA%&d~t`1H^(=X3sBzhGuc@2t|x~3Cl&O0dr=q+;#$Vv_9OA(wZUr zwLY#qgg*UJ#f|A(Q4zzDhe6#vH_N_)+WTuG1w;Sha$jZoBw%Aqc~MH?`3GqRF7DX! zw3NahANCW0>57I{YHqiI@5%Mbq+j0gd=*;}p1*pBr-x2U&fglNTE(;l!6-XuY+A00nH!HwQ z=v7kuKSP9&4{F00LJEPWgkAttdZXZ+;3IsmK#i0^|BJ%^M-+{K{r~yvBfP9&M)B9a zDZGi=1?@<124%^ioUmaKLQvih`F~owH06Q6s9{X2=U{rh_lEUn@i?ZwW&SWLNvca$ zW1i(S!E~@JL5y`bhP>|J;BvIqKOvGnX6oQhR=O`%>L)|b(X{3sf0N#kt!A>YK@q~C zZ1;(WuRLyk;+PKXT27wE7aQOF+X&5)tE%Yw_>XFTV{KHDC2@9$(P38C?0z}UBf-$h za%-D)T-f}zXjz*6w6k1{mf3V(kaVur$jzrqnf#JcvBxlm;c04#r&XdnuS+^_M*X?x zBe$c8_TK08hBRT#+n{mzEvyORfC05d9(Fdy>A-&ZFfDKCUtEqjS=hfd*GMuypKas= zI7&*V1GWB;?8qArOnSdNJbharsrru2p?`8ogRAK8ue;)z3#D&^l0^u54#k=f))TB_ z4Eg9a)+>YIPoEE85<_t>u8n6TJ_>ehSG4&xfN z(~2_6GC|j>=T_l>nf`jpdHRONb1a#Y47Hlm>x3Y?thqj`fqNCnz0Q=_&KQ`|_# zQLNTwfs(SA_*%q?CUqdj&p-r${;CXfk3?E~+lF^rkCl`1gVgt?5)z}^t)pc6ec-?KDkPNg5)H@PK0UWE_R{SO zKd}7B*r46BG#|>`#MTe7xZJor1%%02n#4p8A4sg)$tMK|%Q!r!(`-*HR05uz`I01{ zk7Z(EbB`G`so%Z4Y1F8nnp*7K$2t>>N}s;oEuS;vKBFBGf$hO~93@>N1{i^T#(usV z(l4X$nQ!jrd-C6mwb&&Q%?kuJ*SVbo3#(6_XWufY7l-vfHZ<#z7s&l(#tTwT) zlL|(_SMJGjg#6>4_Nx+EhbPP}_L`LE4%`Wf;D9^$^A}6Hx0&j279;=50=M^nK5ylJ zW>-*G%CEC6x;?G2wwQaYnhiSRkV;y)8x2s5Wbxh@Tz!FkZM@WZNEjQnu^ zLdV0D`v*FP>f2TZl8rTD=LGrfl3w|N2ivi^yUM35iGvj3c=3J$E>nZ&mrE;6vkni4 z;~jw?HckUCv@D-fzY&uE(6g-OGdS}(68bgxDeG8ebrWFYU5XDRq8B_Z4R zp`YjBn*X6p?3wE?nP<_QP^5qW8}kuOwcW% zM6}pr5TtB=qBRWI+H5v(81n3OaI(BH&+v@=W98@P0My`&x2`}VV7P~-^ZPEYhW3%^ zAFp<1v6p?9+eG~RV~@vpMd}d*ajd9NTYVT`gkhrCQ$-9$Vhjd8meO}?RuW}V=1#N$ zc`P!rnJ^4e(M)y2NHna|ul3$<^4-#w+hneDyvOBgf{fodxYF4jMiE^{TiYhuQRfhN zn=v9As=ZE&{i^u(iDfO6SX+Uw0uMGz(bzf3XQD6QFV%=+~gHea)#?d5JTPQ7IjW$tkKk6XS4iINjELk zF>?j_PnT5*aT1WVOmM%_fu(Omgm`yK6tItE!7`&$KYbG3JD@Lezs zXa61E^czEQi|G?nGMVN?A1#v&FnX6MTOJ{4=BXLiM$aObdlU`jzlJ)98GW4X&D@V_ zq7d=1krY|TKXrXPnx6eMTx?ic?^epI5^gHzOrtPvFT?D=Do6W--7Wj?!N*TbE-O>T zRHg=BK=RPb+gkM>{`3?~)-{%viE1rd`>^Y*%g;u5{gc`FNWboE|6k*0&M+dTZ5P?B zRs?JBNQQK*96==|#jNfMj>y!kASZ_N_X#@mKl%^W=}*MD_^cAVV%tYzj2<$Hl@MaB zR|WJEe6dX%-%?EREO#SdsQsQpFa4%8P`hPcVH1U|h+VL1;pZkgC#o_F=EFCZtFCM0 z*#6BEr8}I73IT>lyZ3az?Hw&M;9p%``uLuhsCUC8bT2e%i!<=-;wsucgb+kx#l||m z3}g=G5Fl4(aF($B_E(x4RiEhjw6R2RD00QDEf6f#B)T0;DBzfn-n=`Yrlg<#yNz@{ zRa1R`@pzdMg{9&LRAJ6pXGz z&fD{Ck|C2+&Se|b&37+9_4kNm*ksK)L@GC8U}(O8!tj(PqdOvP9EdD`QYxo$bbq>& z&EKR=2C58ra8ety0LumT$=#R;=`DZrJX{cAk<0xB4@h&hDNmSa{Aq9b@t5gCVeXKK zsz&BZY*qg5KarJ$A%Cx6=w3)X8(oT3d$PgggY3c$}pA^{t&M)@O z^ZDiUWiX)H=1Ac%(6imjaVPjDX_-f*Exu_^en#*_Fz|;Up3YIDXL*&~J*wm?;!-o# z-(@~<%I-@Rw{S0tdPw-=jBrp?$DLSkLcTB%pc}4s8Q~<^uY3_@FGACB}8UsN`q&DvB*OxP0qO2}W zly3FpwNuMuf9+Y1(+&7b!-9Si6-96h&3Z8=?fKy4(!r)ZS~!SNJ~)k8&$xTBvIDSa)i-XVk&hFdT7hCy30~<;SDzF8OxL=x)~74x zEn8#f3bD6U;*Nt)S(%{CQf);;=Tp~uV?4L|;x*?dMR6=;n=2m*Ki_(hBDlmQmd7u8 U!Ili{KQYIn8Ntu!{|x~D7tQ-}-v9sr literal 32243 zcma&N1yE#7&@G6=;O;Ji)3`f>4DRmk?hFot4Ki5c?(XjHFu1$ByKH~|i`dwRefwgg z`&4G1%BsrBTYYayHzpVuSS12DCM_>)Zl(FD%V+w@XDWlo#r$&pZL{59 zPj3TbXnsKey(weKgz(v$JFJ?)@2=w)pgED?>Ew+;e(9z@5VE!2^qRk`Zi-^@G5&T| zqIGgNfe>A?`8H!e?n4K(NS#Z3l;!f+8s(M|TXVishp2Xm`Q=vLBK__5Q z08GE|2)nwi&Fw^uo&?IFNU{S=`H99eI&jHnX92!?&Sou*#M(*m47QP9F$tEphx!@c zrC;+Ir4UE|!#L{gxs7I6X6&`et3?*iIyicZ$|0-0d~@x5!eydidf<5~{r1p@MDU(J zNmB3r!}4mp?b)+1qxE6u^7Ky7|AQ-oYmMftbA?lIE9F+}>S~gI9%%k?z;oB~qCYlT z>9zSQ*7>k@`6-dU+Ao`Fl=kJ;R!$znBoow6h*vh$Y0TsulCZ(t(fXc;xiMRphH%n>g%RW zC^fN29co_$(|2lDP3HISYF)1rEKkh9#Pj`9mEu`b7cf=v-{cFXu%F(inDOMJzD}_4 zi)KvWyS3>%{B(TaZ!II&tWNs$1NGB7t*4Poy_|)KS;Ax1aKyEu*HEITLsIC!!T=56 z1`RVV4q+I|Fi*AXu=<)V6|wxkaqUpEe&UfMjgYaLV=ETQzJd{6Cv( zzG>bX5Z80033AniC3%r{l%?ZLn;b}J{zKae(-7z&#(VKb+}=O4T?PV3vJ?9m$H*l+ zmw`HCWCtfK>4XA+CtqjJthe~Kg3PMQ#L4<3_k+Jlth2?popw>IBhg2WG8cm;x19rd ze-b%jQ-=>;;uvq!0XUmfQAf7R6?-%wadg14 zgoTzNOR|glo5;YP%U!vDiK6@RkSuhE47Pmnd8dvkqc7C}a)nq4zi|x>NtmumeCy-~^soTkk=A>}!-Prx z{ZD-@;Fb*!dH1xQ-vB3z3p{au-Y)Pj#S~N)>W4>E1yzyQxv)X#7zIq!trMf<6iflhla^rQD@XC{k7TY(}n*mD49Pq>Ks3({)GOEsqFZr zSXt2WD`iytcFW)7tMbKu0Fvb+@31}X>N2La(M7;lb8EWr#;QJ{-7hXxMTF%-!BSFA zy=iDfJzDLWv$=XilS8nl*vmItLw@nc0=T>JsiMzf?8Mt2?vXWYj#fVZ&&-oOA1VKd zhH7k;FSVy$33|X*rilz=ve(P`=5Dt(L#$`ORQV;Nold#rqqc$mmZ2+mH6&v;LPO<( za~6(Y%P>sC-=XSp{TSQi>CcDDQ;S?B6zl=Yv&x!6&M}v!Gqbiux^A;xItxehm9Vyw zOP@|c)wso_6Ptc6k0^$TtEW6;n(7c=jkj~T0*TL$=F!nIlg|1}Bh%LqU9nE=o>b=^ zIg{e=7SjeC-Rm5Fc$Fz6Nz*-I`Ey-lcKwV)kQNzya*p#uJoo0S(~Vu`v6UTucsDc= zN-~5N&VQZu$b|RPbh6ajsnN3_|7Z?3joBg;F*uuu_{mrGU7?NJ>{*V74rR*_`x+hI zG5FX$U8BZ^o=txZ9g)?&|M@JiQ%mblwdkI98Z&T~hdX&i+vc z_Gkd`&p1{HYYnZ~Z0Xm$1MHYiZ)-2=w>-W#k7M6MMI-$pF_uZLh{pME&{=c^EOmN4 zEbfO?_oA8endlhqG{O3XxlK+#rcB+-R0>qIV($GNvMTzL(3zSg_HxUgtRsbSS(7ne z=yn3w9{4P)LC0ohAaoOl^C_kowsWlzyig-k^Rp^wPj%x?_p)ElKW!sq)=yDTRGH~a zl@lUja(3`u_2&~Y`Mr}tEWP}jjdkDla5oVl*=<`+;q&ub&|l8Wu6c)hG!wxa$nZWY z^}kAjjkcS`o_rX6hgUF4zo26E6#UzIaa0zgpnzI{ zf0Vt)(>>$zdHLwjYqAwAaO8X8BDnq3Cxcx9z#cH_z0hArJJ@vpbYPahNJLR|4oK3}APf9lCzQ8?9IO{Dsx=xEn)Lf?<#-mX)Bx zufTKtq3?y>6c2faBOw0+J+&Kyua5iDo=+Y&|3Sg&AO5!B)Vua}9Xn&_MbKRjn-Smb zne??pqv+{yf#awtuUD^q87UIo&p<^8w;Xi_?O|t64Few@UsC_vvGug>EEmpaG=oE@ z2)5Yqr=qU|Cl`eIg~>=*CDd`_V~on0LGvm*8^4l4kxG*JTVY0&|8ugQe%GU5gmm6x zppdEk#oT!xA(P`=d5%`o?K--fyOR{9!HB0_cb_&6&|z_%e9%Cu?m(ZKuYB7mRk@wR zhD+Fh&Zg-*P}92p76j}iXw#26LZv{cQq5-Eieogs)-Br(T-$~seiw2&WM9_r+D%(f z#eK@AolYosO^w3%wll(iJ>XGSbqZ@o_by}W8T~aUl7-xDpB*YBCJ-^42=e4xmXH|p zIQNk1U(Ge;I5I(){@3th6=mdR42BOVw)CE`dvy4_`GlB!cy)$*q@=>$d5Hz;6Ojq7 zh`)m`g>Z_(c^W^U-GVsLOsp;{=?T@<6P#=v3+coiOyaJfM|sck6?)f0w(-j z!#6t_cm!NA8U{nC+?s%!;64Zm6cE7&BKAo;Pj=&+C_)j!6EK-b6_r~8q<-!|h*E)w zBoLtqA}m2fA6017AK*}c7tF#EE*>EI@6GK0myiSH?ML9W;)YP=ZW@P7l0>7d(oNiMqO zU5-(wf{d4i3vrO@@OSR=z&bN#LJAtz-xPx1yXb7&yXbdt%;YSwU=-*s5EZADDr5+z zRELl?48OGol8Lc&WI>*UZ^RrO51Z>h_xb&mm-^OVrULKNDkFro| z@zV39dAHY>LhIqLev9u{ulxNW&Nb5+8&L-4a&s^8(sMB=MRl%)JUeHb}E9{_>5-?T74DKsu1ksk( z2X)UL`t8^fe(%JBeTPQ2$Fh(aoC51{k`h_8hyx!J^&1HAARvH%2m%ra$RMDAfQpZa zfkuXbQ%GyNm?y%5hUQG5WfK_@9!D^uts?s)WSw_0&6u2gzo>Q=(|K4k*x308h-M$$ z4=+jyx4hjC&yL5#kjLSb#wjIe935LA9(D!aS4&M}aiuUip2;+Xz=sS=fWnx;hR2w} z1OXj>&kD{(O73*;B-M3Pnq5CQBjkIuht1Rw0dT_;2`zfb(aCdD;E$8J7No+<(hv!2!k(M1r;r|

    RtCmT7wgT#-$N!f=EHy#29areE+Kb9S-AUigYodo;l1jr5#WCyBP zz3isxz_!B~WCQX@3uHqNvJq$BtN__y{qw9B#Yefqa=UlKxdBg}s%u-%pF=-WiC`w7 zYRPpDw*4?HNIU*b?vwBKc)0Y9D_m8;2j=yT4{kRbLX2M(deWil8`8BB7JIjP2T>f9 zQu&{t{hvh?!auJtXJCjOPM)6M-{It>rm0H;=;eaoTNWv4rWcA{1{{^E;rYYL9ajGI zX0z}bJ%_*2UkN^(1@e^vz|_WLj!~TctGDJGl4Je1hvzgVV9HDY!Y>Sb$4m!N0pJ@` zFr@mDTqQVt*>`rsLZa^V>>n;Ou%Bf$;5VwFNN^=|w!%Nuj37nJ;0aJw)HD?od!I&2 z-ZrdN6}*2{kBy}`X$NpSn2*Ni<5_fa?cEPqWNgO0=<=5Mh!wegXD1buwG{RQwRp^& zo3%YM#oc)=F32iqSzo4=jewu&c``N>0)&Rg}c#fPk_-P#ak8-k)(GZ9o-k5kqwa zk6K`u2X4TNO(vOel6zQ~rE41bw1r20c7mCE|H-`#XK2zXWHI2Gxe+EL4AdSn2B;N{ zy&s?+N9D80uMZmp8@J9jnEs@Z}TDU?H zuASgHS2zKs4u)!&UOWGBPFtJH$R{hs#%5cn?!>u6=f@ptgx|CNVh|6)WuUPs(-otK$>CBh^}(S_?vB zRq&0;M$NLd2tfG_GG5r%C9~WyS~-q{dPy4y`%CRWwEcj`nV|vrLhaWGn>aU>G=nyH8Zv|9jN^vkSP@?#_ zs0da$)P$BjMS+2kA?`620V9jJ%+*rq_{_CZkdWrsR)#(E5ZOr!Q{P&FmZXrz!@@97 zv7lKjF(e+UAPg(1AEK!+7~V(#9BUjnnJK9N%e~J?JS$ZxKkj`c*H7=^4Dqff7(f>? zi%W3>e6!@v(tx9kk6Zk?oO3<@E5i}W423_|IS!J_C4n@0Q`LNZ zYgi+IsArnov_>;=f~Jb3aP~Jz+?^ z!?1h+J-n~Z288f$bcJ2YBhwsk;=wOiMw-Z&bRS}apKyv)@R-;8VXW0cU?KSvhCblL zu{4QCuzzrlJE5r4tq{w$uxG4}w0IevDJ#hI)Po^-D?sxY4J7#E3Vh5NH4d7bd}~Ev zu7#Wc`A7}SWqGnK4y<8j8X(RldF0wk~4+HKyxQ0Iul~#~C}S4hNj*Xfnb4kzt)8>~DLIuKwzu z2?&}#+eYc4b{G&!<+!d)6thm$;gW-+A}BTumWywLA+g66YU#2+Xe_VpTC|4Nrjc>h zY5~Ld-Z-FV9Vmq$6YM9Gzk-6hfeGWDtP}l}CPvu#Jqk7Z1hsitaGcD(wsZ8qghhdW^zV<++tH8%3cE4K&(DGrXbyY2lBC8qE1UKg%Is= z6Y^{CHkX?6wlWoBg-NjxxqE^2O@gsenOUq-H$65CP{4fOqOY%}ZgF&)r`HS~mT1jH z!gcsDY53=m~HGo#99IK5VE>q%I#%h3jbMp26xl>n0IMaTx{yjYZ>&U zP$TB^f>EH;pI^QzxVVyY@;9^;Lh*-c8B@`}WNDCb@RO7cEE%MAEunU0rTIk;t4T-7 z;`u3&Hb)1i&7H2>9Fd@Wrkm{th=}ApD$t*!GIXO=T>R~MQ0KrzCK~KJ)TlzBo zxgUa34Xvh^Fu^l4kmyhU{t)bXKb%U*U!2Cazs_nBr-Nq~ZlP75%7x6T$2J!~FHt!w z5{jMZ@9+g4r94dnsoF|2kfOSesB7)?Lc3yev92>FBaIDWH7-o*a$8mNvkOkuGpb%~ z2TR@HYwXP=>hff{yJ#?F8H>FhX3TrWo2|}*&LHLQ`QjF-!Ao8z0{vntPrVoVUs7;5 zbtdQ~mMo3yev!GEW&(%n_xEr3Z0H|iAJtd+Ry)3D&zlVWp{3qRckX&Z2R3rtXg#KY z)cnD{If`kE1;AO$z)!vdPMJ-9VmiQ$a`i8vRh_viUS-FSz6Cahs{jr94o8;GrplLG zY}iIWM~6ws7Ee|jfa;G_xV0k&{YF#E=dmQ9KFhE(3Nm!9BtNuAjx1=SagvW4KgYtf z7vZ<^)xB|Pu91Y!-uW(r7ew>z-71{$@OBbwm*aEat*0lWUY)0x9uS6 zr_L{`^?BXS`#LpXbr&)8)BD3b?Js$-MvB1uQ+`VlN+BeD=Rb)$+y!IyJtj7WBFGUy zoqf%)W-0OB_RLHIea5{qff7kk=mv(E|JJJMDXzyNLX6ibSyJ1xI{T3QEnDl*L#!NYEptUB`;L#f^+?UK7D~%Vf z*{?fSA|0iY4rb(^DRGl4sP^o7Lh0j2f}u&)OSPwUeP2LfZb4K4P{_qHuiSHeOOSS~ z(C;X)@>o!ZX=xQ8IIrz&abMxSN!d?w&k?e0h58l#L|DTQu3vdwh6KOh*E6_&fvTZm zNbl>duoYBV#t`H_WKmnQJqP}PwZGR@pl?-g zLvL2!G@A@MXB}3uSmg00e5pTAa6a_%B5V!^UO5?b0pFbI&5WDlmwp3z_ZJgKd1IVC zW5#5(?phKD_ZkpIzxoM-%rH8%chtt~IfUkSa~4r9Bl!bbEsAkvtcb_!Q54na;)bt_ z_>ytkJ+y2~V3}T7?c$I22|3R?ya+ATXoELA!6Tr3oh+XC=4%N~BVCy0m|pZ_fVZ9N zV|$0#C90{g552Ll#=Q=61eLGn;OYMqYMSD-RpYvv?L<_Qcnom_7lWXAB*vLW>q*Z0 zhjT8$E?KT$aXb8=_yE6c#@LK}@H>D8uYoratj8LOZ-^aTFq(k@@m)HKJFo=L&moscJ5(=f9}Ml+dx9BbjMNeK)tW*>ZJxBKhmht*L9jVuWdK<7JndUaMC)yV{`in+M;MTsk*a27WPX9(hSwvehOg5?0k}JZ}l5)t?#yO^>>H$x6Uvc>s1@{jt)aqujicu zcD8;P%^5BG6P~B*B)&nvmxsCJ>I|57BKJm6!*6J@J`L>g^^|md3AbNGbam9*TEp&c zG;)re`{eID5%hGI2OP)F3=c=GdtyG4z`tp&;dTPR1eho0<%0d68Piw@pMFdrmtYhN zUO~JYUx%%4Vw|Oz&2d|*Wawrg*u4s*2#wfLbPT`zUeAKPI(XmZbL{xG>5tLGX87h+ z@`ezwcVOBMzqti=Wzt*@{-xcMzp>O~gK_TCJVJHR`*GBF=GH^stcc|xGrhcM52}eWo6CYQQ0BA8v~CHY3t@goPLM)qRK<$*ApZZkeLlh; za0aUdy@X{SHW;VfF~2K5Bx9#TAY}cLlZ;~Rm6Htnze_BM<80tPCm1{tv%cg6ad;0y zpLBwbZd$|kWiU=m>ZeNxAe};|OUEr1lp2Wjk${{?2CEIOuZ2K)180%F!st1GWsBir z#UNWUlMbuN%Jn%+A{zp~(qI0?T#^A_33B7T>_*Q~Xc?SoTl}mh&(-_E`sArBCy~90 zA%r3%uBoo=*+Mq-1gfvn2Y-J8=YAE=;u>w4-_uJdU;4biHs;T&+R)i|FsQPEwhqDp z=du*)yTsVP+Md1WHT3>=pnVmv3$Es4=An6R!l1U822aPE;_%axd{rg))qkOSpIgi= zC+~m5{uK$`&5fx;f_E*z>2OQPO$;`qVuIY$e7ZyMOMvLd@Vem-MS_f;;@jHA=LCf3 z9pehu#WP67X5Cu-C4L2lykVE1(I79zh7(b3MmKvhG4xX)+5ZPzf*jXZk^R?AJ` zFrU((zW|4yE$mzO%9YTg!Q~V9^=KHmZvcs_Xm*znI?7CYq3$>B6V2^4E|a0}{#ei3 zay>rKwp$F(fOgntXgeU_7w$7zA7@7JHX+OrrzXkErv&$J6xe>U(Eied%Bg!zVm!Lo zH!l_%i?LlO{o9=k*~_&i$y`BCLLoJ)+vWWemJ`00oQ7YH+V%%G7Vz1Dkp-l%Q=B($ z5Ys#0O8SU84f(}L7QjW(9jMfiE~rno?K7`y;O%zxZZ8)E;m1Ff-~Nlcx9i`9nfaUX zw(Spa8JBly)P!(8BW@*@1(EfU>H#VLm|e8zDpu+(CQ~-q8;uSt5bz1`)6HcaEK}S? z@-exZ%`@%a;nLIp`?u#Ym4!pus`xOKfpT%KhtZ?OF7a#)mQ4n$=%embYE(S1y6=RqKP zs;zO(EEL8TJ=M_F32mFz^ZTG>^!zRM{3z6@(yeXia;XvQMzTz#i3%9r74^bzR#PLT z27r57^8{zeT&VwzbN6)jBf##p6sb^9wVj6D0uK&H`XnQM?+25NT7SVzDmSN$=~Z}P zUH6|rk#wK`Q(cLDMf9{9i%1{xp~HaaKR#F^&1~Dm{Jt?BK&%gm$oWAHhUD*7y6hXKIG5Nq2`^!y%b41s!bP)I$P95u}FH z&nB^t8mb={)^w^nIR4uBP=0PalRIztf^z z&`%g3xn{QDFV)lTecd;ut>}aOzT${J9NZ`DAB%+uTYf#M?aY$#!zr` zWVRr*!PoC3bm)E7{-btB^yy+1AX4Y%>;p0cyX2fhK$c0cKLA30{mZxfqHeKeFwKni z3p`_D&Z+LY8SYoDBCS%UtHEh=VKhna&wD-7^)K9Qscd_06&^Z$DuODlYyqg5Yc$)a zkpOy13adZa;isOjed=jHB1D9cIN|8ClQ8|0r&Ac7EBT+N!DHrcXdiI+A^i{>J0>Gd zM7btpBIC%h`+RJ`S7e1Y1+{;4L*}gqzpKi4eh|Ppo2H4O>Yq_|Xe~8EEE8TwV^;lh z^L^P_zCKpge!c5OYm>lNxQ2Y$PVe<3_!S3h&=YKsNMi4@f!gyg^OL=JhLV$Ji|J|mv=Z%wo@Wn zKzIPg-jaAvq4lyo7`OZbU7@Ik1qr3AGgIRke5l5?ttS=ACmr!G3Y1T4;&%@IXB)3; zIsRuP{^v8#>!rKxbgyf!{jjUw?CJX%<{SB&aE|9@&K$3n8*Y3Q z+XIi;`*lQETa-5PiCf7C0ZW zDQenUAC7xScI|HhvxL3DmuMZvaQZZ1z`P4948*d7+{wG1%WZ~7v&6cMxJ$xwKi@XzEm3;tpi(v4pK z@!=XJdP`+tG;n)T?*nZaQQh zTC{4?x&g;NLaTBKpJvK+%dF0RQ%sQG{gI*A{_!>r7PDxk09|hDuy_p&%jamZ7h}3t zea5TZyB0Pl@Pi9+C3;VS{&OyB;A_$9k<;DPJ?o`!;Gb(Cg!S7+^xX_}Q#n)2ZCutq zR@i)aaBBkA-fSn*X-?i;SDft&#qhtD%J;}4tHDV^M(GI*zC??$6}55@3D#HHTW5Sf z7nW8@(3m5eVP})akcCPpY%h@47l#%3Hy|X=gAy-&>!?wxdzua7Tkc10F}g zCs}95U9p8ho=k8-v$Cc)|5`$^gDh{v5BlpevZtH`3v8J*gC+hTB)oKsuPf~(~5^uNKSpt|(E#2q&5*$5=B_*=pm zH<=73!eQ`CcFlgps)BX6Tglyq9gCdWXl8!=-|s)Y&`WrUi{THM$_DX&-%=jj%V-Fn~cOPqfD)T|(uJ$~_&1uq5DWiT|m zA*o@vH8u#h6Y~=ng8T)~&&#iF4`*APsRgk zlvcMh&-$-(q=BWa8gfwG5Nb(`vFs{35!FoQ9_r=|EqT~OaE$NGXGqRJzfN%~*3-#Ps{The1*A1PSx-@0 z7x^%4aR#P6I9V5=aj@}QUl;%68Y;CSwKn&juYlx8-<#haZZH*|zAe#$w4RDQ%aqHJ zxR*EJCkfv4YMX~()^c`DKOv=Wc<%FJ&=n^B5>t&CdgB(20;CNGCsR0Sn_Dw>8D>Fg z+egf?%GlftI#XD#kQ5(4R1V^dG3JM*-sLa}HcrjMBiVrDJf@A@lMc0N3}x z+8SIzdF#J)hUsGCDvil2#EjHA8dVWZS5njmRR-;l39ff;U3p*<6=bv$Q z$w=m`G@HpcL$++?keA`J>@{UqEV)NfdliT*3do~yisV&}w8dFv=AG{i61kO&q#oG# zIa54lVT7`=xu!*IZI>8!F@Ngr2yyFviUPL{44Ianj3@*B<>^GNJP+yUj&e~h2#3Pl(fSoh6+U= zW=nKo$Z;nFGx8DI0QxB9CvCsKW>mRNC1%@>R>)%H1AdybhOqV`cW!_``zu|U{_~F4 z4{Q6gBsMDGZyDotavuE>N_zm!qdvE3&6>nJ|M^%cH?90w==Bz9bM#H(FTMgKpaF~% zQwHX};p&lYKP;w)0ao+$B?C~V_`VD|WV*26qDfAoMB&$Za2W?|S&LnLEb1ln7hyDPSTS<>aYUZj7|-KlYN+FcdzOjwFlWIo6J55hz4LHW!LGfMpcY7rX^+$~ zSkX0db{XEV$6D7&o3HJ!lBLv(e5FfB<2!yVBh@leb{Sr;vmQ?R-smu*caU1mq^NFy zG~dwt<~^w%=Ye!+mZX_&gS%nbUY}fCKdyeRK3^_toxRYtlcR%lw3ISmtEZ>EQCOmp zK3^-Kr;Jhp{-K?~+mtVJ8d>KZ{d4l-2rKC|%R<@Z%}YG=!Rlh%cK)Tgdt$v$sn2AbLp0lzna0+ zSL0m5cv%=IX}AK4Cz76{#8Zo4vF>0D)q(m5((C0j>YWg;@PJT>RT#`EtLll=2FD&H(LmN|GDBslJ0EF_Krc-D6sJ@kdvYBr`2EQ(Kzz9t!zJYC$-9@y6((IvcIC>LKsNHY4y zT4D4ES5kGkP!kM1-E~3rU7S`^vd^{(h2fa&h4yCPd>%>t`!#<+g*4oV$v}3kjwI<} z@+}aDKow;WrVky$VZrg9HkrBQ6k$2IHl!!2?GmmZ9Y$mIrk_Pqbh{GiwCrL6%@wsn z{3tL?b9=L@sIePnTZ#q!Uz|8AiF?jM0({7&sn}kfnn&uAHrDeYuOKvZrD1|vpacA| z%1j>Cx}h2>Uvd0NRnFSBTaK^=mSu4K!CV^IrNh7V>gwI5Uukq9?aL+KY10D#t+hV+t>ti#3U&t@PV^l$iDK| zOf<62dY1?oHw;v`ybjC3&V(beXPG>@O_nfQ)k3>g7dRCnhuF;1Isc1xotK5jPY0nt zvr7G=N2k&K{Tekn&JbWZy(bx1^I!MNcZz*#LVDHO_h8*?6kDpxvE0HuF@z6L=b72H z#T0Xu)(--J=j;07|`pPt`rBF3{s!<*&SXL+qwHTQeTO&~QQ3siJc zh)*EZBHP_GVX|;Ftg_$msSMO2NH~W8PKJCmB2(J+=XnW^<8SSstJj#^8^ie>3egXu zd;VxhUw;!`#E_ZA3yo)e6%dZflvTbX(X>`szv5^$+0%L{$kDm+{m#Qxgiv`pd-^>g zonSFEZ`ZGTbTaxpbd{Qfxz!|C2U=QWOf3FoNqS)P^$S<*P)JVdW#K)Sn+!Ba2zoO@FK`S+iWVMZSJ(Nf}@Wqa58-&?0| zE70QOxWFuw1!2CdhOF=1-go}Ik<9KPJkY#2Z5I(gDOs#kmM)l`$OosTD`TJHzK4hq zr7I;iBdk(nv$vSA!SASdeyYH5@#QyIwtL{MpNGumeSBC2r)Mf?yDq)_>AjGnCUrQw zV}(Gtpv&AGDK{Yw6(FO@46jp$hndnC}4zBgJ@!ITeCAjh}oLTm1hZnZR#t-vzu z?qcQ=X8tJ}$4TaWTBbwNf^Z_(h)=;_bhcNMP7iwEB09fSv2h;aKQu(JRkTD2eQ66)*6uUQ zstZ$AO8>0Wym&{ZW{$1i*Ifo;vI!-fg!VgtyezFK!1>XgYHVIy$Jt5vP>IRLmxT2| zcRopbxU5=~S5=VOooQ@7t+LvX-JM|kIHk;5v^{3-tntv^^JiG{HRk|hhuy{#;I-Po zhOC?*x#KO#<_`dMdi{d;xGUS(+{50P?*tYUtfR{r&aHT3;?OApNVtSsvFGYp7m9Y5 z<}>VfB~Wl$Wq21pxc@6iw0bB;d#H50emXR)pghqvmHE(7c)GsNla>upf4bh~D2UiM z^|!si0pjyd8sSGnA#1DNR&G1Te`W1f53`F?d^fN4x!sQ61e*&}By(N3z>Spsz`xXR zY>hoG-R;<#n#>>FE^F~9A~R+H^9pV6=;3M;wZ0Aj6>VG9wAIsSn>C~! zQL&1~e(`hlYg>xO?lBaxzz-5C^J4DXFq7w7(seSK_}G)kDr2TSKh^fou&a>P97tq=&`1)aq;H?WIJ1P{U(~VAt&?-{fpBmzv;rXz@j?He z5|AZFSPaS`n7O&;8Y3XEuznTG>U(bgZvO|FU9>`?)nC!rzJPI_(Ie>gmO^gjO<`<2 zx*?QT)=3QHAtdS&^!M2m7LMWot*6;X9~5L-64T%=2xn-@ZD}T zmhpRU__}K?V5-hj4XpY2?c+(BXB*dYU63YPLh;y@WQ6a(Ln`|v5hs7?iI^s2xp%PtWW0R` z8x4yP=zjI3xVvnr60I?t@C2)D?B4Qs+L~VqV|qJGM@ff22ED#Nn*Zy|PATkh7=u<)&q z3}+CFM6B#c2;N;w{g0_vm!uM?F06;bPbd)4tSqgr+N%c&+v$~`<>cGQ`-JT^xAa4H|RAmQq7da zR$8H8IXSlkNa$e-IVIu6qvP5Z^IsvsOg{DeaAp@{X(>MG)EPwNEQ zwYM4b5BCvUwWsMdS})1Myut=973_h2T*xTIVq&wv(A|9Y5K9g55N0tsdf0?6hWZb2=?J%1(U$H;GxV+#{1hIZBMK(rPa!!5-x=6Oh$T?!A_g{>6vGKOs7Mtpm%KMQ~$?gl}Eujh+`{0%R8R8sBZud?icJ z?Qp$y+zQm0C$ho5PT!eEB8eYis+n=g4_n{OvdcY!L1{e`8Wx?7&V1p0%(l)w`YjJ6 zHqJKBeG-pxFkY;z^lu9le3WlQe2*;3w#kj{G+;b=xbJFTWQ-fu^T1eZxJoZS z_K`GFRpPQk5Y8r6^L{aKSuLzLkBZJt#~yo4R{l3MJ&Dkn*1a$^Er-~-Dnvyf#KorS zy68}U1!P0->9S$u9m_OBd}#Dn4Z zf&gW3Af76nkrQ+SxSl1F;+y=*uFI9ghp2s2m3CT3K#|LGxBD2x_O`#CSh}AE{q54E zSZ7XXTyz=<6jE2F+L<@B>jswP^r(7JoVDB^5d5! zy1V;uKiZjM$t0y#b)`cWe0}i_rwS89fDvI!{Bu}u-r7<*1sEp?INc;FDKhkp8c2aZniGRD@Z`&{BXdqkC57W(-O))|=f##(w@Rropi?PUB#kHq$}Zqc87e;u5k?h0^4Z(^30P^tS12 z+P5RHZ`)2sBN0Tr=&zDA8WBb_j;s~z7@K(JT{vvO&$dt9C5e=A5Ch>_&*R~t|Y;yA_ z-iy6SkYO`LY-tyn`1zT~nZR^U!wCv+2U_@G3%JvSuHyIXB`=JF0~msrvK+=lAc>7N z`1@ockidFhMc5&?V_hn}csm-@)&$`A3JLg z$%bug(3>06O8abD_W)zm_kXx=K6*<&XV8#3%}Z->09`(x%!0-4nK${IA(3yDOm+T* z-dSy9zBnvAOAD5Rd&lk^*`8dAdoJsi&Z0!Vb82n*dXs_n6}yLRZF-HnK4mQ?2^kIT zaxeRxYU{NT@=o;aTN;Bz>F1Ol?P)$+s)H9Yp6P5bbfW?WPTeFeW?_U82_v=A%F`-9 zauVj!Rz@BJueV^(B9!ciHq4f*wDp2pLFI@La=1aagp~fL?jr zHaZY2I9R5c53xo5gop|Ks?b?`PJp22pz@N6)o&d%(I!7*0D})`II0xBLAV_`eH<-% zq36_rTrY64278M44t~;KP4q&)q*K=TLs(EwfXa^XY^@M9mbGin0=M3k3 zRhq|U-jq7p{@bGdO0s@k*2>NUSigQO+}E-R9lT&STHY*JKQ51En`_938I+qJO?|y$ zy)2cF`mzaM#eO!FojigYDp)|cJJ8YII;j4=<4Yj_GRNX+2Q-#=Ej5>on6$^k1LMiN zTO;|KC}?l7IW%6~LD>W+FLxegBP*R!)3;qZ;!~GD=roblo!(w&$fX*al7tU#ITysb zVfRN15GCjSJy#NNEc=qAB+!Df9z8b_{9kQ-byQo?vo1~oDH_~Lan}OHNznoYin~K_ zcZVRQ1xhJaG{vE~OVLssLeWC;BE?+;y!7|(TKC?!-alFU?0xpxb7toI=EzLW5xeP| zf^))f>U7nQTGXXC*4`?n`pZ_HXI}B1Lxk$CzOOjSCLfhp|K0d-w1+u+)|1AYIZE1i z-kqwm0Wa*`p-C~;p|O^>DW(-poajw}8j8q15zbdT@xN@x5LGbHWQ zg_$#}4^G3hk6v2wt)`HMd?l27Bjw1e&LHBqOo%rak)4^gMW#%2vM zjIC>ymx~0(#$cT;B?-_7O*Avh)<))cUA=0au~hs4IcYg^mN~UP)lf$`Amc$DAep+- z8)1A&)o&&obA~5>iFYEMYuj3hq4z;k6LGQ>sfSyD1~WJI@A~`<<|%R8vZ{Gces5gx`rwu@K z`9Jr*eZJr79*y}yZqrZxlM@^|A%rlr8(My@v6297o@ZHG*Qx64{q!M0_#Lu%_b8l_uMKw*|Jd>aB%rgJv1sgz_)v^qCi2tKQS>Cx?>vXm z!CUUpVw>U3_uqRmb$^0{6-K|^GO5mlsS(##-=9CbpyRMQOl7#4yp}=n{9H)o!n!r8 zN0;G-UZI|zb@pm?z+Kjo-*!+np+CqH}%h8Dm0YZUsohD0HkuVhe9DnedTo9OfnbiL{L zT{T&S+aHE0zzW@`rpv9JrRez+o1#Gybk7iROgggH)~3y|K3~NcTc{_+yhh7VLbnq) z-2b~%E_5qM4YiD$^1C6v?j&{M0bc?YNsX(myTUl@pjgm4!OY%k)qjgS@Za>`-WA8S zev3lZT>q7QpJD@w?~LAj=I5$AO?MP3o1iPVE2laTrLBTq+g3(&zVrHb$)wr*w**;)76w2No~Q2Ohg_t-xs%n0zgfxb+IYSf<4^S=r)VgU zOX|RVud}`Wx7o^Krc4U7w=Er$$&BFQf?~Kb`W4rU)CKI?hFImWWug%|;SLP3kK5S! zyrxCBL~2@04*p zCwP*TaZQ722%J>guZv>l&N-+kcUlS$EsK4&aidnR8}N=iWYcum&yiRgsaCCp3lGd%q@L~ zbTJ^r{`jUz+{NaPT%D5v{TwOOM?V*bpuLU>4F3?ukFosqgds89$$LrZ*=pg9FB&pg z7WYi`no{XVHY~)c$cxB6`XDto!t+6eu53~%fHee!Iy#jW1MW^~LYIV6%06j<%H_}` z^Bp+reZvFq)1Vz?d7m_a{9CS^?Vjh5Fpe;Obd$7+_q_xM_8tT zz%|%$J63ySRlRBMoh+QZlxtW^_vz(#U~Rm`&bg<%z<(8ebMXz+)O7pFIZ14hZ%^dt zi$OlQ2$nAsKXjjKm9H{$J^&3Q8Qc??94znn$ZuDCr+{HrMzi~S%!HxwxD1$1Jl#YM z#fx7ne2uWOg%>l4-77m>NeM$SyEme?d?YukamA|Whq-*-R8FH{l~SJ-f!SAXX%ymz z8_GRwWCC(Si>aXzkng8Kr#6Wnhl(Q)jV_-2H^B_C5i~M_Jb5bPs5gLIayE7?Soa)2TAC9nexFVEpS{xARb6`WME&#(^ZU=_rSfoAk|S*14hk8U z!Vf}arWE~m*I>~Tj^&AxYqY%esNnd6;CR9l4rvg$r6b{LbkA7gE?z3C=+$%qIRiQ? zZPk}vFI-%t7QwYOT1?l@>&}$7k65ISDy$FMYDiwzhpF%+>PnU6+fjD+U|+D9ZxY79 zc6gM)awz?3#`ldMi+snQj@Ej*2BVHPd%CcWcaV*Q-8+{p`1{ppw{J6|%E{aCH*X_6 z&E3l8f6W>?Rvzs+$I`?ypK7=3M)}oCf6Lp>u8zOOhLL5ehmBN89!c&m`nFX4jypPW z#5do;G3xA#V!#rUzM3-p5vy{$hiM}F^_srs`_;%%Jwj&n#VR>HGM0NW?7Q}Zb-0q> zZt9h7(RxL|@%HIzh5xY=8a+dGMZj?NX3yO5>cmD*HKu>ZcGWgVm~{6EahQ+!yTbfw zUZadue)H94^txCIEJ{m`(Cg-NW_ty{krylORFaG@< z0d=S6W|97=Tp4^Mt;(Ry@npA1HHO#RW6Tk2BSqw3^YBe8!*U^>k@`q#K7snq9#tt= z_56LS+p}s6O6d%J-U~mU%L~GbPwj%$fgeV`SEEVN?-@qe*T=s#0+!l%Hai=VVwI!6rm}e0sf9Hht64 zi%*>*>?F}}0@vP&7Z}dU>#hZ8mF}T!a@p}z7 zQtVw|hufH}&#=tV`1jAtB?_eM1P+2Mv#Wg2UY6GYg0;ZA{aU5z^6*(DxEXbHpaOVT zH~bU}7pF&$sE^~s<@g(eAPxpY5pIl*WEb@*O_he3*XBp~wuuC{;TTU0Hsr)sanUIp zL!acMR)0^#^ZDbfu?kNb>Q|t4a>Rb++e@sS%S#ZJvx8w+Cx=9xLzG>dbh-2zPeph@TP9B#DVX{i|ea;yZ+w5mkC_ZUj zS2`(RQbNrrl*OuAM$HnH>{tj=ET0slEK?k{D5QRl>iF}oV`?d%?di{y-|~TDx`+h# zm?T2{uc_#sF=F#tZBV02L=;cLiZhHZnVf6$5jq^B^(8pJxB>Y+ya7<@9HGz4HB$)5 zCzj;p6Qe4_CK3hwP%0!D8}qj*G`K%|`XQ2~pzWq8+V#pAsd}NGYe+SK&XgD$lD5K3 zmd4EbI+86XrS$1uv49un5YX6!U2*t_gEmdE-Rs|zeC*NH-<4`oi)eh0%Uxmc&b`wa z)jd_!=?>-i$;x*GL11ab62H^ysr?s1cC&MS6rBBuw+{8=m2TN-Ar9}o;sF%NC|CB4; zECnM)R9Euuyl7-kj-Y^6W@KS`W(tF0EYc0X@5YD0Qu#n{GP!=cZ>WAPWCb~HcD-JE z`KA@daKhP4*mF1D1W%l;f*O^&VkYDssnhceW>CgM(f{>p4+OPhfp8BCyWiN zK{pO=x9VO5@vq}!Lte>gykOtbY88vW3C7z5%aLgj(~3dm@LohFxy;(rc6L84h<=0E zAix8$7@2^@?i{nl3qmz9^0Nx@E+FG^{PrJ|wIb3DpDU4#PE2WYl?D*Rn{nda5rZj= z*qe+y&u1*LXS31(T%*pka+b?laru<_jp6qIJTmJ@&PXftmH2np;4Pk%xcH1DMW%jc zf9&(*cQ<4KtaFZE zLa+3UOp{@r{JpM)0fB1j)3FxVE7cEn9YPxT*i##N^&S`LX;1loi1Nr;n4lN30N(G7 zHDE=Yw6{Tl7}l~sNw7ls6?#L7uGI*x8OdwZG?o)jsBtrL>lEjm%CPpWbBgQ&hw+x> zSs*>4?%mZJ;U{`HJaRtEYRNSkQ8v!?(hffJ{vp!83M>Ngdgd{CoR0koW5^vlM0J*B zQM+8AoP(|M$3|a91C~Q5O4`s5cEJtr$nlB?D(yDrmJz7+vHq=3d9?md7Vy8eKL#!m zN^mVedu;t;^(}eLs0~Ue*8eLMIVbwvyv`dTDO>EW%vZ3;m0t9&RLmcZ_(o9wM3f`e zj8kRqdl+&4DsUB|;f`8&A;1e9w(MUlqutl$+Tp z{(nrXbOUCn*965@Hii6#5E~@t1jlQj<_wiwgY&E?^46z<<0lN*^?GW<(H_4>KjY_h zfBPXVF8SUIOo(#*ylw5^2#wlUjS~gwelzL*^lLEcI>)?8bFdLnJ9b-W{nMbj=%W<1 z(F^;o$G!ag5?a0B=;0pe#>iYx&HWP3$Y<_tm%1qbw11?|Ti*+g#|(~lJLc#_El-}e zZYSN}d;?yo)x>%|*XaZYWLMEO{By^vYw*gpHZokp13zy)HaMQ)gd=pcMC^OX_1oxY z$FuhuJSgWi==MIaN1ZTQSE|N|mvp~NaJ>032jZ?_wMJ58zjrtN-w80?RbPXq1m#*=vt(6$WOkD&9;F84Hk=^4omMk&+g|ymeve{*W7R$r;DtKuL>C-a2}4ywElH zv9HAcY2LbAaJ)xwJnk9C_#bUan1(>E#)+nMf8RBja9caOzr-IaZ#_9UUg?B`^_YWx zti&Ibw_Y0@|28;&;DlrM2AtHaIXE;qZzpx){`I?znJP=rAiI727Ekols>z&%QyxsM zt~A|%Bg$CE%_^_E`)75tVyL4~XL^6}RW{l;T?(TV%x=5ryuohiw-F(Nm=Y{##+zSD z+`G3uySF{MwNZmKd2T&+OS6KtQ{3|%Ib{(ME;bffl=FW<`yd?OV(fMS>&$8A0z-1= zWs$bGw&1Z@I~BK_zY?SHp-(Tcm!)I zLC$X@T&yh?dFDGpy80r=78P?q`;s`m(wK|=-M&IKwm40(5eJPHXP5W*|Jlc?XDgvX z8mB2Qg3iJMmuemxw6B0#y0Z)MZ}L0J`FB+F_JTDNRu+7g7O|A`_Chu9{!I*!9a;W zao&1=aD2J}`)g#t9~#(z$ex+i7{MOT>IosOp^m^ERM#x93_E*9qN1B`Biwu(-EB48 zya&DOBW5TWZbvd^Xd`y^^LJH_+j9optxXY>7JQ)c0@K z{)?RIG;LUZypQi#gb?q%qd~FNns!IJ#)-6azdCvR7!?P1fx@yI9N?V%zey@MKIxdl z7sWx2@C?$lYiT?9hq-m@tdxdKq}Lx*%4V4jA(gH7XVS~}Xpb%Zcq($g==ApgXa`4X zoTy0mqj;+wMO|Ck!6-Z%O82`;_iv-NQ9RWHA)eRHlfNU`XDTQ}G11cgkj`t(!Q9XF z^+&Zj@^3VKW68`>{4(x&iHf_G*CcsX40-D#!SRoq+QHEpwWz45E8RbbqPJ#EtWbt- zbQEoQhem0fsH12Kb#r&d(K%LfO^~YozwPB}t{g5y<6zTsv+$$Htn%9zCXWP`gdk!{H>VZrl)0K z;2ppWy*m8u?2{*YvU^@&PLq}W9F^1 z=dC9N$4gv;!`8KFH?)zN8YdLe{pL5|fg5lbYCA{cgiyL4DBUk}1AbiBMxp}VM-7j7 z4a?UD9|ZWLk_7BxiNV%4;Hx$5Y?K1|^47hj`|r8IE>{&55_AJLo>c&K?DQa?16wcO zm`IhLAM%uPECa^a-ZjwiCn;0ceE3F@q}Qz*o*KWhCj)8^ATAnNFP@x1f;QmSPtlBi z|EpCxr<8bVL#?=EHAXK+D2@l2+({4-_w`++<#@n(O)>OUp=(97p)?SV($X zN_uNap0E4w)MLQeG|H+?JFxOml@FR>7tig)hOP`Ng_vui=qhh+rT@Yv2!st6Fc$ky z5SHP~4D7>F_b)L+iu8IvFN~F+Y^ovS{ipOXgn8X0=c=b??yA>%s@WX~bj2m#)!sy;Yg}ySGy2MT1~M3 z30Z5=7|~u^#^vqx@oUom*eNlseQ2c2veW4;XN5)O#-9-IzIzJLH>Vz}k@Jc@?zwr? z#>U!={toX9#qDl8ZriQIJN$R1nWU~Zw4wWejf8IH!BsU=x(9g>{YdVsXMKV_HDkT* zPbvC5dunESj}uHlTv&bN_Y0$)i$Sv;WYGyWIU_6hm(Ht=q@&I!3%Jx%8Z&D9C1 zKlui1>>>v2t|LmdW}08q!DHd1n-n!;mi;(#wXfgo&v;dsZx_t7v(LW5bHH#u85z7! zZdKv`nhYBIypFZMRXSiNhkAwgA@F^~cy^T4yLoYB9GVllscB^1JpQh|- zsZ+*+m~w`8J9JZ|r&i6A=UWn~rsuO8 zv(slLSvBWp*uyhEBv~cpL+dpq#Tojgo#-#(MEpB@nLrn+EnbE09pRg zTK?(niXUTjflC#~b4pP=u4Gs#ED=BEaxiyxXNA?pxG7mxa6a;tpkX$p7r5m|GRb;e>(A6DH}}BUT9tXo zI4_F!XH@@WAfZzF&wNVnp1JGjN4{D$%r;!ZzjF9B0ttH?y*vO3%Zz?7C%@(5J`W|o zt>8YNGuWxFN8qC66x4@*dal#e^X5UF{MMNJd@S5f2f4_-xnc_^tV66=!@CG~vR#eO z@I6kHJr{)s$Hd-oqrCn@Uzw>|Y!=(>4CAs|Lc)z_5P2|__PuTB#SX0wVQ9IrZCQ_3 zIEK?^=ls$$N<9Ng-YdbUk9rn0@btTi)kt%6cjs=ZsX8_)USujHWaIs4Yc+l-S;Zk& z2h>^WoVID%^WBktAQj@)rQ71A`I8;V$-HRu&6&hYCh7xoyV^%;KFozMCjNOu1$s`w znSD>SEr)rZ_JbrnQk)(cNFU5Y_z)bv{kHvUOc4620B@aF8keKljwpQ;UaIvxfpPDp zLMUFtDr|oeOk_m(ZsmCc0r+kY_4wPB z3rOpI;=G!9f=7=`mApnhpG!cMc@F)j%yYDJ`|s&Wg;K=E^MdY^1+CS2VLV#V_ZS0v z;h3&v(-#+M>(rYU1s31!R+UM-ige=s8n{`kuMB?gqB^E*IgDWBs_jgTUgkthjZO5i zE48&vFJiR~1@Q5WoHo}Zjw}p2)${FNuptc7x>BM;De~8*nx<37JL*vT^3x!P7-_po zS3|m{UF6|1OFH|CRY|IOsm-jpe9#SKl-mK-^NDogY~HV!z> zJ6mlAwroEnJB6Uj@NOQScdCAqx!Vf|i*>Heth1z5^mkozAbuRkT1IVbdzSCX9?7aS zxFl>d+{B^q;&{z>)X zg~=YyBUAZ$3)v2SG47TyW&se>GZ{2!=g%}81*4)*IwS%|eT@7)9n7xToo8SCjg%5> z2c^W{DTyq!H&|#zx(M%?L$|^bRh9T0=E2q_@sWxbdqh~GZK7X7Plj1KO zL>@=}l$y)Z+Mm1o#KfvUgEJRCWYlW2!JNkvD6zF$n%OS%CX%(hzO8Hmg$fnr+_L2>gR=QrlIlsQK z%bMMh(ef1xdu#z#g7!Ndvtl7vZ1p!~hn~3~{VFC+UVcg7w(EU# zXn^avxI;j_>vy_=zh#=(jDoY*rJkQ110Jqexh9&O}4ePiPj<1xxKaWX@q3F z)=vXPRsAxf&7L8C<@tCRcIxD3WvAmBPWrOs!<+3^IKHQls9_c!%w#2LQt zt?98;J>h^aj3Tr+0OQv{V$#6L%eiChvLWeE?|V5LhA4pTvDolXh>3Ae$e>!uIQWju z;t_7ca3S~cYv0DTI0m0&(^+-N>mk|id;$u&g6v|(`vtjPtI+p%8u$4Nl$v7J2$3d_Qh0eRynYP zgsX~@jy;*zWV09@D3EM@Bph!%KG(bC(4MLN{9ZDhSdOVpyv`g_sNd^$3vF;&e_?M* zf7A%Bc6eh}eL4W0-qbRaKt3pQ`!wMPmrqT3hVneVAQ201*_dAO>@!QuesEW`>?LMR zhBejKT0fzA@>3$G&-~uzvwO*6L<))DdzL-oho%eT-EH?*s|_PAf1&Ta#C~}>4N;|q zh9Q-d^OIdsC3PORE1MTVIxY^?vk6jiF)J_RbH;2#T;n1C6?uFiY?{ zL;ZcDIx~COqwLS*dm{Vx>qZ@wYx}&c4zmG#55M;FKo(>Gx4@ENNEclKN^t#z2g4QA zAFq7WHMKWIHhyzr_DrN7@4mPyorK=E|6q7%yFO@{reO#r=*ZkT^zuv4U^r^*GpA_( zuG5klKH_3vWRWl)l925#R)F9;A=2spqr4vFDLJb8859hDW9y*%@%6$i@I+O|L8W+G z?I)*dMZ@psxF4BAfGyG|QP5ePMZFj7H_lo0w|)tKiH*v4NqRgqB7SG>dTN}Mtt6I* z-kHyXaKkFQPD_Uwd?{W>2kE^ndD_R!befYZqgY^yeEDVu+ia%B?kcwacIZ6&)irLX z{D|F8-WM}fPY*uIHU+*&BaOQk{Peq6c5ma87&5I&oV_+&~bE2>(PP{4IWKLFoYESeQ3qsRgzF8g<$&AOryq0hDF~7h_ zI>GmAv^{qrK0}NnyV93SERz$Jw-Zlo@R8tJ$zyy;elq%I<$24;r0YM1WCJ;#7Q#kd z!!?{g%Q1C71a(K0H>5TY#x!;4J7s?=(?uF4tB7GCmC`zs6N&^&S`WIJ!mss1Q*4RF z8v@8#BN69?{b+?H0^ujT@B+vVH)jJK{oyNJ3K><|ilBB`bpV8pxb&@DX&=V(w_gyA ztEYwePJ}=>ht7^~-(xcXUZykXTo?r@on-N@C;I%CMEogis|9w64{zm#hd@gESsIi8 zjjb?We0Tsa+!Ru(7VS$p{+k2FsWa%kMZhS$L$bgNBiDl09vd*atkOgy_{jukTu31! zCJ^Ijgl9T}oUx&orNIzT=?QcpfjFr#8nr)xKyx^lgBX8Y2QoG+voyR0xVQr=$sjc9 zjE+;Bj18H1IRs-67b8YkQwuB&A6}`m6GyBu0z-&!+K3$25VfI%eM{6f#L}P)aPb2^ z!GqKB!sCe+{*rLw!%cOd^X@&8j15_MnY>U^NRSDH2LjDgg;o(Q9Fcrxf)TX9?C{`O zrjP{4PQEI%oT#mzMOXnq=Lu9Nhcv%|_r(mb0pWc*gXh=sjNac_gyjHBha}>v06HIF z0tIBi1hN4`c%&n!AUiPC9dAbOpDe<9fQ0)jr$9_Df}!&myi9r-M%Z{hqf6LlLdI|hUtP?WaH zm4;xMXBKbHJJ$nWmcnf1P)`vI7@>Wpt1yFJbD;mKJYSVSv+Ea z2xi2kNy9O`U+n}#uyQv15-xS7Y;&($#24ervay%o=(@u zE_2RcIYiTPj|q08>~i@l6WWF26#i zbmmi-t7vqo#yAN?$rQ2?jSxsjh%tKqX7P?85=Y_36p-T$Odx|;Ke~>x+s1w;mqD-i zB32+7g_oJ0KM;)&P`*O=RYKEx&U=w zARP%r8#VY3Q_v`ZGHG@gb=il%C0Wr3wR8kJqwoMrgE?T#2Y5gVK?y7cDIH^JFb0fy z0S`zZw&{r1j5;2`10qP2&S1yW|A2~dYC{wVS2cl%;=wIBy?;V2Iwr$S9jVA5J`F1_ z#1Jku#?OHw_;6ibxH<%ypb9M@GTI|qcnX_sh0Wr_FL>cT5NMPtw329Hhs1~pCejMi z1H#92c2?*)Y^R_TXK2MQqkfEO;u z*bs$BC;Fx48O-+$W4*5}W5WoGum<437uX1dOYy={5T9TX)(0H;0mq0TxTTu??mcRZ z-a=%8rU-TzK^v?X4{mG%(S{)y(-Ag|-W96Qk3{18B%j$~i7hZz0DRFDVh@BD@WM|Z zJCtOCLI)@VW&eZGOF)i0FozfRx()UN4_@p3l2Si#zZr|MfYr&47fuS<0i`267=_1K zywv~+e!%~RSLuhpCny6wBNLPgH2|o40+%>ptnDxY6!Y=IjbR9#bfSl877qcyK&2Bl}yM`Qstq2qSr|VhvXkNO}v*6%6U)g}XqYnW|6}vJOcWp2755 zVE@de1I_%K(io#5f;VjxFBnoM2dMM{R#HIrOd)$P1Wr1_h_PXkr9lzk;t8xIfn=#O zimwnxPBelb zT~h&YARJwqvIT@M6%*0w$29-{EM@``ibjm_!W9|45#TSp8P8w@nGkoARtprKW>|z( z0Cc`UbudK91VRc!pgf`;hR{k!_%nKsqV^U;8Hqo7wZ*gNFJz}s75bHk`duDJlvQ4G zw{?=3MK+2%QEccAq@#drm_Ys!p>|x`sxPB(&%epfV61I00(`g%$}G_cn{)&tqi{cq z_dBA`yCmZO5QO+5v)igrH{5}qD^o}jCyGC<7B5J;^}q2nv`t)ZWuHXk=p}GK4mmS{ zw8J!iu{3A{bWI=9@Fv+iv;a@CAi{VkHQ4gPDh+BTGE3ED<35 zISP8(omzm(B8Xk7GZAFZ1hN;62uw$aGdA?FH0S|byn&U(kSr5O1q@-Aj=*DVKm|w? zbc!I0@AockAy67J!JHS|FjsG&=`)zCCy<8(f^zOC2sBo;6a}9)*f0c2K_-~j?}Um> zr5~ugQL%}EOz{4{(epoT!6K{+SXw9fjIu{7j1?blYzj$%AuKsOx9YWXL4~s9GF2ye{XNMEVtTh zFC-3Y$jHC|P)pehCVyFzVFl1OFfdTFz{AG}l0&$;L8vX%D8GeeTr?vN2o$h=_~??j zo$$CpW4|;x%2n4c+T|rP-hMP5at0JGPtNhqlHH*GrP7Qp3{M`L5=St4q0m zabk|~H{c16An*{hFGpn0K&j#6!gVQCfKM}nto3N0td&JZ1^<#$4P$On8DSY&vE%Ak zu;c4YE3@V-LwM0rh3hynaE)e5`$E6&JT)@BQ17GtqUWt6V&uDV*?0Dt%W>o^I(T+e zPbkW9xQ)8`#6e#7jRNX2hDUdr`OB=&r?E9GM2tdUtQ1aztyZ>Rz$Fw6&4Pmv1TUFn z+d)q-4+Mm<8YU1=5$G>5Wn)1Xm~;ZuxZb0hdei>R*!orCftTJ{Vd4i-i3winNHW=XD{R z=sf&7Si^!kXu|>xSj^P}OD*JoB2Ga(7(4=>Fgf`@VRJg_h*S(?XsR$}jRxe~#%zV_ zQGUiYvW4Q5jv|CL6__MK*dk`1@7`>5-Rt=~`mrs%+A&?g`Xb`}X>3Rg!TQo#))5L0S1HQ?!fiBQ;1gD>P7f)v1 z+uRmqlAFefYmm*nEybs^Wz)t*6e)g5oj-QWurg`m`?;6 zaD_(^ya>Qc30bO`rU*r<1q|w`!Q~(~j07iUsyT{YaYUh_Z+Z=ma8a_9A6dRb%&@Ew zs1jpLumMAO03nEwe94lJ9TP8W7=tH^(k-tg5UPdJmZWAbTp^z&##0tMrcPENhCKWg z%Iif58@?vfEzu(IUe?Q2fX2{LpARo8<<0CIydwt1cD%5s)*_=zJ^4~h)L2|lez~aQ zY@8BjG^gciOd7YKO!hpnbWBIfI&R?=+4K0)105|Y`GdlEYPo6G@={CrgTJMQN8E&r zj^;{3H}U#oHDitT0ccvWBAmgY8S(w!#zvf%US0KuY(`;tO7hrE9-hI>aftkX4>x~vsXXq zZj?-j+Df(^42}=kaf(Qc21yFszO2J80aC6VMNu=mHgD*P2`RK)Z9Ta-mwi*a z6MskLQjz+jnxHbf$uoY>yz10_hYgK>9C?3T|F!pAk?yIx#-q_Enf$5|``?X;2y7d*}J8d)iPw!Yw#3u8ORAY9g^g(fNE>TX&g+w=3RTz5DGnR6Z}YoGZGm{cPoV3^koDnW{D%kddY)$Em@tg?4e^ z;mJ7W6%D$hSAJO*+z)+5OlB;X4713ucg@t6E&k-Oy7u?PM=!6}8=1()kP7Hd26E*%eP^OXaLz}rR9MS>AiC1G z=&jx^`>{kZmpbTi3;Y8Z=wmuZ=OoVsANYGZ8E0qgW6~8)(rnhqW?C>2I+Yu944UO) zaAEowJmOMIueyHt+_|%{bfe?CT0zw6EN92y%O0G;o4eV>6RA)Jgn`b7H3##@wrxc_}z5+mPgry-txMQ0k}rN z{9`?KnhW`2>zzd6?9r`|=-ylLVrS)yHw`5ZJQn`n6_|%K7+G zu`*G}+TR_7@j~RCd2iTu)C1IhV#8DCbdLE@U*>&$^hbxK zJ2``O!Qp&GpF}qe*)`ddtPJ%xwHE43@%`+N4hfb5_=WJDm1%+O+^l3!V4>rijXX!? z4^ZW93;yqTA|!J1r0ZPM*8>(-k+(^*kw8|G)ckO`|M;)g`iWWfVn4q_zlj%D9fvL- z6Rb8Utr>z_L_wHN)P3{Zl%$h!P8o@gJ5}Wpz40CjIyF=LQ#JQfG^kfZ_VG%)CZtWk zL8vc@8QtB!<&hdCaV8caUVU`rPfkOw4l%FIA3#b##vyvHNUX8P+I!1{a043&Ip$A}pHr=fr)vP7|<#FmVsF*SE9Z z_LA5Y%2_&?DLg)mX+}gbJH@cQTlAo7_)DPgW2s&Q`kEnl{`n7ofF`C#(`wpBcM17G z>P2i)s;i=+Mr*1pe2qrJ1xJ%P*rA$zSa*A)-^7gaz>s%S!Y9<%*(x$)2>yVY@IAMe z@DIZJA~DX8-oCnHYU9k6##K+I>CRey@qYOlJVJtpz< zLuo)$)Cmv^Y1Qs(iK7*N#h4z#RNE6_0UsNn#d$JkTbmyYV!CuEm?J?!?25pFMQ=s(U(D{1I72yw%k|Y(|rskO<44G786aQo$k3jJsn2} z@8-9=558S!b?LBNRWa*$38;KS1Y8NqGNbB+2E}Jo+Et!gd2k(kHfc=zkQcNJ?MUQj zE;LWoig^);Rd~30u#Wz7a;17TOi=du_hF$Js?1XtV$LDk)iFOJRwP^rsAZcc&v`@6}Hu-od1yMh$5HyWdY!@KF6HwWujm+J-=G#eCpXU&5T-9_Aj4(OBGO{X}0fpT@9K^<(nEUUuh}f4%*yyHghJ`-gyx6!wYrKoj{4pp15u zed&<$o=4m2r$YQlh=Y{>COI#oR-IwsJ^eva+PUd3k$4FWS%h9ic_96(fYW`Q+WmZh zwc^+Bbd2F6?M!p&F&B#2RhzN7UG%A(wglVcDa%-Y`;}FQ+P^DGRF-|E=*$VZPsN4u z1j?F)?2zNm-GY}V_Mfn%rFU6c6%Q)3s&RB!`hF54bCUoU?RU{cF!yg=ww;;s%he`l R+Ir$=10NTVxc}(>{{U8=ac%$r diff --git a/1ano/2semestre/lsd/pratica01/part4/output_files/EqCmpDemo.asm.rpt b/1ano/2semestre/lsd/pratica01/part4/output_files/EqCmpDemo.asm.rpt index 6a30fb0..4188696 100644 --- a/1ano/2semestre/lsd/pratica01/part4/output_files/EqCmpDemo.asm.rpt +++ b/1ano/2semestre/lsd/pratica01/part4/output_files/EqCmpDemo.asm.rpt @@ -1,5 +1,5 @@ Assembler report for EqCmpDemo -Tue Mar 7 18:06:05 2023 +Tue Mar 7 20:55:16 2023 Quartus Prime Version 20.1.1 Build 720 11/11/2020 SJ Lite Edition @@ -38,7 +38,7 @@ https://fpgasoftware.intel.com/eula. +---------------------------------------------------------------+ ; Assembler Summary ; +-----------------------+---------------------------------------+ -; Assembler Status ; Successful - Tue Mar 7 18:06:05 2023 ; +; Assembler Status ; Successful - Tue Mar 7 20:55:16 2023 ; ; Revision Name ; EqCmpDemo ; ; Top-level Entity Name ; EqCmpDemo ; ; Family ; Cyclone IV E ; @@ -78,15 +78,15 @@ https://fpgasoftware.intel.com/eula. Info: ******************************************************************* Info: Running Quartus Prime Assembler Info: Version 20.1.1 Build 720 11/11/2020 SJ Lite Edition - Info: Processing started: Tue Mar 7 18:06:03 2023 + Info: Processing started: Tue Mar 7 20:55:13 2023 Info: Command: quartus_asm --read_settings_files=off --write_settings_files=off EqCmpDemo -c EqCmpDemo Warning (18236): Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance. Info (115031): Writing out detailed assembly data for power analysis Info (115030): Assembler is generating device programming files Info: Quartus Prime Assembler was successful. 0 errors, 1 warning - Info: Peak virtual memory: 365 megabytes - Info: Processing ended: Tue Mar 7 18:06:05 2023 - Info: Elapsed time: 00:00:02 - Info: Total CPU time (on all processors): 00:00:02 + Info: Peak virtual memory: 366 megabytes + Info: Processing ended: Tue Mar 7 20:55:16 2023 + Info: Elapsed time: 00:00:03 + Info: Total CPU time (on all processors): 00:00:03 diff --git a/1ano/2semestre/lsd/pratica01/part4/output_files/EqCmpDemo.done b/1ano/2semestre/lsd/pratica01/part4/output_files/EqCmpDemo.done index 90e5cb2..184a80f 100644 --- a/1ano/2semestre/lsd/pratica01/part4/output_files/EqCmpDemo.done +++ b/1ano/2semestre/lsd/pratica01/part4/output_files/EqCmpDemo.done @@ -1 +1 @@ -Tue Mar 7 18:06:09 2023 +Tue Mar 7 20:55:19 2023 diff --git a/1ano/2semestre/lsd/pratica01/part4/output_files/EqCmpDemo.eda.rpt b/1ano/2semestre/lsd/pratica01/part4/output_files/EqCmpDemo.eda.rpt index 6b5518c..0387fc4 100644 --- a/1ano/2semestre/lsd/pratica01/part4/output_files/EqCmpDemo.eda.rpt +++ b/1ano/2semestre/lsd/pratica01/part4/output_files/EqCmpDemo.eda.rpt @@ -1,5 +1,5 @@ EDA Netlist Writer report for EqCmpDemo -Tue Mar 7 18:06:08 2023 +Tue Mar 7 20:57:58 2023 Quartus Prime Version 20.1.1 Build 720 11/11/2020 SJ Lite Edition @@ -37,7 +37,7 @@ https://fpgasoftware.intel.com/eula. +-------------------------------------------------------------------+ ; EDA Netlist Writer Summary ; +---------------------------+---------------------------------------+ -; EDA Netlist Writer Status ; Successful - Tue Mar 7 18:06:08 2023 ; +; EDA Netlist Writer Status ; Successful - Tue Mar 7 20:57:58 2023 ; ; Revision Name ; EqCmpDemo ; ; Top-level Entity Name ; EqCmpDemo ; ; Family ; Cyclone IV E ; @@ -66,13 +66,13 @@ https://fpgasoftware.intel.com/eula. +---------------------------------------------------------------------------------------------------+------------------------+ -+-------------------------------------------------------------------------------------------------------+ -; Simulation Generated Files ; -+-------------------------------------------------------------------------------------------------------+ -; Generated Files ; -+-------------------------------------------------------------------------------------------------------+ -; /home/tiagorg/repos/uaveiro-leci/1ano/2semestre/lsd/pratica01/part4/simulation/modelsim/EqCmpDemo.vho ; -+-------------------------------------------------------------------------------------------------------+ ++----------------------------------------------------------------------------------------------------+ +; Simulation Generated Files ; ++----------------------------------------------------------------------------------------------------+ +; Generated Files ; ++----------------------------------------------------------------------------------------------------+ +; /home/tiagorg/repos/uaveiro-leci/1ano/2semestre/lsd/pratica01/part4/simulation/qsim//EqCmpDemo.vho ; ++----------------------------------------------------------------------------------------------------+ +-----------------------------+ @@ -81,14 +81,28 @@ https://fpgasoftware.intel.com/eula. Info: ******************************************************************* Info: Running Quartus Prime EDA Netlist Writer Info: Version 20.1.1 Build 720 11/11/2020 SJ Lite Edition - Info: Processing started: Tue Mar 7 18:06:08 2023 -Info: Command: quartus_eda --read_settings_files=off --write_settings_files=off EqCmpDemo -c EqCmpDemo + Info: Copyright (C) 2020 Intel Corporation. All rights reserved. + Info: Your use of Intel Corporation's design tools, logic functions + Info: and other software and tools, and any partner logic + Info: functions, and any output files from any of the foregoing + Info: (including device programming or simulation files), and any + Info: associated documentation or information are expressly subject + Info: to the terms and conditions of the Intel Program License + Info: Subscription Agreement, the Intel Quartus Prime License Agreement, + Info: the Intel FPGA IP License Agreement, or other applicable license + Info: agreement, including, without limitation, that your use is for + Info: the sole purpose of programming logic devices manufactured by + Info: Intel and sold by Intel or its authorized distributors. Please + Info: refer to the applicable agreement for further details, at + Info: https://fpgasoftware.intel.com/eula. + Info: Processing started: Tue Mar 7 20:57:57 2023 +Info: Command: quartus_eda --write_settings_files=off --simulation=on --functional=on --flatten_buses=off --tool=modelsim_oem --format=vhdl --output_directory=/home/tiagorg/repos/uaveiro-leci/1ano/2semestre/lsd/pratica01/part4/simulation/qsim/ EqCmpDemo -c EqCmpDemo Warning (18236): Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance. -Info (204019): Generated file EqCmpDemo.vho in folder "/home/tiagorg/repos/uaveiro-leci/1ano/2semestre/lsd/pratica01/part4/simulation/modelsim/" for EDA simulation tool +Info (204019): Generated file EqCmpDemo.vho in folder "/home/tiagorg/repos/uaveiro-leci/1ano/2semestre/lsd/pratica01/part4/simulation/qsim//" for EDA simulation tool Info: Quartus Prime EDA Netlist Writer was successful. 0 errors, 1 warning - Info: Peak virtual memory: 614 megabytes - Info: Processing ended: Tue Mar 7 18:06:08 2023 - Info: Elapsed time: 00:00:00 + Info: Peak virtual memory: 613 megabytes + Info: Processing ended: Tue Mar 7 20:57:58 2023 + Info: Elapsed time: 00:00:01 Info: Total CPU time (on all processors): 00:00:00 diff --git a/1ano/2semestre/lsd/pratica01/part4/output_files/EqCmpDemo.fit.rpt b/1ano/2semestre/lsd/pratica01/part4/output_files/EqCmpDemo.fit.rpt index e2e7bed..747b54c 100644 --- a/1ano/2semestre/lsd/pratica01/part4/output_files/EqCmpDemo.fit.rpt +++ b/1ano/2semestre/lsd/pratica01/part4/output_files/EqCmpDemo.fit.rpt @@ -1,5 +1,5 @@ Fitter report for EqCmpDemo -Tue Mar 7 18:06:02 2023 +Tue Mar 7 20:55:13 2023 Quartus Prime Version 20.1.1 Build 720 11/11/2020 SJ Lite Edition @@ -64,7 +64,7 @@ https://fpgasoftware.intel.com/eula. +----------------------------------------------------------------------------------+ ; Fitter Summary ; +------------------------------------+---------------------------------------------+ -; Fitter Status ; Successful - Tue Mar 7 18:06:02 2023 ; +; Fitter Status ; Successful - Tue Mar 7 20:55:13 2023 ; ; Quartus Prime Version ; 20.1.1 Build 720 11/11/2020 SJ Lite Edition ; ; Revision Name ; EqCmpDemo ; ; Top-level Entity Name ; EqCmpDemo ; @@ -151,12 +151,12 @@ https://fpgasoftware.intel.com/eula. ; Number detected on machine ; 8 ; ; Maximum allowed ; 4 ; ; ; ; -; Average used ; 1.01 ; +; Average used ; 1.00 ; ; Maximum used ; 4 ; ; ; ; ; Usage by Processor ; % Time Used ; ; Processor 1 ; 100.0% ; -; Processors 2-4 ; 0.2% ; +; Processors 2-4 ; 0.0% ; +----------------------------+-------------+ @@ -2171,14 +2171,14 @@ Note: Pin directions (input, output or bidir) are based on device operating in u +----------+--------------------------------------+ -+----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Fitter Resource Utilization by Entity ; -+----------------------------+-------------+---------------------------+---------------+-------------+------+--------------+---------+-----------+------+--------------+--------------+-------------------+------------------+------------------------+-------------+--------------+ -; Compilation Hierarchy Node ; Logic Cells ; Dedicated Logic Registers ; I/O Registers ; Memory Bits ; M9Ks ; DSP Elements ; DSP 9x9 ; DSP 18x18 ; Pins ; Virtual Pins ; LUT-Only LCs ; Register-Only LCs ; LUT/Register LCs ; Full Hierarchy Name ; Entity Name ; Library Name ; -+----------------------------+-------------+---------------------------+---------------+-------------+------+--------------+---------+-----------+------+--------------+--------------+-------------------+------------------+------------------------+-------------+--------------+ -; |EqCmpDemo ; 3 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 73 ; 0 ; 3 (0) ; 0 (0) ; 0 (0) ; |EqCmpDemo ; EqCmpDemo ; work ; -; |EqCmp4:inst| ; 3 (3) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 3 (3) ; 0 (0) ; 0 (0) ; |EqCmpDemo|EqCmp4:inst ; EqCmp4 ; work ; -+----------------------------+-------------+---------------------------+---------------+-------------+------+--------------+---------+-----------+------+--------------+--------------+-------------------+------------------+------------------------+-------------+--------------+ ++-----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; Fitter Resource Utilization by Entity ; ++----------------------------+-------------+---------------------------+---------------+-------------+------+--------------+---------+-----------+------+--------------+--------------+-------------------+------------------+-------------------------+-------------+--------------+ +; Compilation Hierarchy Node ; Logic Cells ; Dedicated Logic Registers ; I/O Registers ; Memory Bits ; M9Ks ; DSP Elements ; DSP 9x9 ; DSP 18x18 ; Pins ; Virtual Pins ; LUT-Only LCs ; Register-Only LCs ; LUT/Register LCs ; Full Hierarchy Name ; Entity Name ; Library Name ; ++----------------------------+-------------+---------------------------+---------------+-------------+------+--------------+---------+-----------+------+--------------+--------------+-------------------+------------------+-------------------------+-------------+--------------+ +; |EqCmpDemo ; 3 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 73 ; 0 ; 3 (0) ; 0 (0) ; 0 (0) ; |EqCmpDemo ; EqCmpDemo ; work ; +; |EqCmp4:inst1| ; 3 (3) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 3 (3) ; 0 (0) ; 0 (0) ; |EqCmpDemo|EqCmp4:inst1 ; EqCmp4 ; work ; ++----------------------------+-------------+---------------------------+---------------+-------------+------+--------------+---------+-----------+------+--------------+--------------+-------------------+------------------+-------------------------+-------------+--------------+ Note: For table entries with two numbers listed, the numbers in parentheses indicate the number of resources of the given type used by the specific entity alone. The numbers listed outside of parentheses indicate the total resources of the given type used by the specific entity and all of its sub-entities in the hierarchy. @@ -2199,28 +2199,28 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi +---------+----------+---------------+---------------+-----------------------+-----+------+ -+---------------------------------------------------------+ -; Pad To Core Delay Chain Fanout ; -+---------------------------+-------------------+---------+ -; Source Pin / Fanout ; Pad To Core Index ; Setting ; -+---------------------------+-------------------+---------+ -; SW[4] ; ; ; -; - EqCmp4:inst|inst~0 ; 0 ; 6 ; -; SW[5] ; ; ; -; - EqCmp4:inst|inst~0 ; 0 ; 6 ; -; SW[1] ; ; ; -; - EqCmp4:inst|inst~0 ; 0 ; 6 ; -; SW[0] ; ; ; -; - EqCmp4:inst|inst~0 ; 0 ; 6 ; -; SW[6] ; ; ; -; - EqCmp4:inst|inst~1 ; 0 ; 6 ; -; SW[7] ; ; ; -; - EqCmp4:inst|inst~1 ; 1 ; 6 ; -; SW[3] ; ; ; -; - EqCmp4:inst|inst~1 ; 0 ; 6 ; -; SW[2] ; ; ; -; - EqCmp4:inst|inst~1 ; 0 ; 6 ; -+---------------------------+-------------------+---------+ ++----------------------------------------------------------+ +; Pad To Core Delay Chain Fanout ; ++----------------------------+-------------------+---------+ +; Source Pin / Fanout ; Pad To Core Index ; Setting ; ++----------------------------+-------------------+---------+ +; SW[4] ; ; ; +; - EqCmp4:inst1|inst~0 ; 0 ; 6 ; +; SW[5] ; ; ; +; - EqCmp4:inst1|inst~0 ; 0 ; 6 ; +; SW[1] ; ; ; +; - EqCmp4:inst1|inst~0 ; 0 ; 6 ; +; SW[0] ; ; ; +; - EqCmp4:inst1|inst~0 ; 0 ; 6 ; +; SW[6] ; ; ; +; - EqCmp4:inst1|inst~1 ; 0 ; 6 ; +; SW[7] ; ; ; +; - EqCmp4:inst1|inst~1 ; 1 ; 6 ; +; SW[3] ; ; ; +; - EqCmp4:inst1|inst~1 ; 0 ; 6 ; +; SW[2] ; ; ; +; - EqCmp4:inst1|inst~1 ; 0 ; 6 ; ++----------------------------+-------------------+---------+ +------------------------------------------------+ @@ -3058,7 +3058,7 @@ Info (334003): Started post-fitting delay annotation Info (334004): Delay annotation completed successfully Info (334003): Started post-fitting delay annotation Info (334004): Delay annotation completed successfully -Info (11218): Fitter post-fit operations ending: elapsed time is 00:00:01 +Info (11218): Fitter post-fit operations ending: elapsed time is 00:00:00 Warning (171167): Found invalid Fitter assignments. See the Ignored Assignments panel in the Fitter Compilation Report for more information. Warning (169177): 25 pins must meet Intel FPGA requirements for 3.3-, 3.0-, and 2.5-V interfaces. For more information, refer to AN 447: Interfacing Cyclone IV E Devices with 3.3/3.0/2.5-V LVTTL/LVCMOS I/O Systems. Info (169178): Pin AUD_ADCDAT uses I/O standard 3.3-V LVTTL at D2 @@ -3088,10 +3088,10 @@ Warning (169177): 25 pins must meet Intel FPGA requirements for 3.3-, 3.0-, and Info (169178): Pin UART_RXD uses I/O standard 3.3-V LVTTL at G12 Info (144001): Generated suppressed messages file /home/tiagorg/repos/uaveiro-leci/1ano/2semestre/lsd/pratica01/part4/output_files/EqCmpDemo.fit.smsg Info: Quartus Prime Fitter was successful. 0 errors, 534 warnings - Info: Peak virtual memory: 1155 megabytes - Info: Processing ended: Tue Mar 7 18:06:02 2023 - Info: Elapsed time: 00:00:07 - Info: Total CPU time (on all processors): 00:00:10 + Info: Peak virtual memory: 1157 megabytes + Info: Processing ended: Tue Mar 7 20:55:13 2023 + Info: Elapsed time: 00:00:10 + Info: Total CPU time (on all processors): 00:00:15 +----------------------------+ diff --git a/1ano/2semestre/lsd/pratica01/part4/output_files/EqCmpDemo.fit.summary b/1ano/2semestre/lsd/pratica01/part4/output_files/EqCmpDemo.fit.summary index 4782e9a..49dc7e3 100644 --- a/1ano/2semestre/lsd/pratica01/part4/output_files/EqCmpDemo.fit.summary +++ b/1ano/2semestre/lsd/pratica01/part4/output_files/EqCmpDemo.fit.summary @@ -1,4 +1,4 @@ -Fitter Status : Successful - Tue Mar 7 18:06:02 2023 +Fitter Status : Successful - Tue Mar 7 20:55:13 2023 Quartus Prime Version : 20.1.1 Build 720 11/11/2020 SJ Lite Edition Revision Name : EqCmpDemo Top-level Entity Name : EqCmpDemo diff --git a/1ano/2semestre/lsd/pratica01/part4/output_files/EqCmpDemo.flow.rpt b/1ano/2semestre/lsd/pratica01/part4/output_files/EqCmpDemo.flow.rpt index e3cd9fc..2c4a393 100644 --- a/1ano/2semestre/lsd/pratica01/part4/output_files/EqCmpDemo.flow.rpt +++ b/1ano/2semestre/lsd/pratica01/part4/output_files/EqCmpDemo.flow.rpt @@ -1,5 +1,5 @@ Flow report for EqCmpDemo -Tue Mar 7 18:06:08 2023 +Tue Mar 7 20:57:58 2023 Quartus Prime Version 20.1.1 Build 720 11/11/2020 SJ Lite Edition @@ -41,7 +41,7 @@ https://fpgasoftware.intel.com/eula. +----------------------------------------------------------------------------------+ ; Flow Summary ; +------------------------------------+---------------------------------------------+ -; Flow Status ; Successful - Tue Mar 7 18:06:08 2023 ; +; Flow Status ; Successful - Tue Mar 7 20:57:58 2023 ; ; Quartus Prime Version ; 20.1.1 Build 720 11/11/2020 SJ Lite Edition ; ; Revision Name ; EqCmpDemo ; ; Top-level Entity Name ; EqCmpDemo ; @@ -65,7 +65,7 @@ https://fpgasoftware.intel.com/eula. +-------------------+---------------------+ ; Option ; Setting ; +-------------------+---------------------+ -; Start date & time ; 03/07/2023 18:05:48 ; +; Start date & time ; 03/07/2023 20:54:55 ; ; Main task ; Compilation ; ; Revision Name ; EqCmpDemo ; +-------------------+---------------------+ @@ -76,7 +76,7 @@ https://fpgasoftware.intel.com/eula. +-------------------------------------+----------------------------------------+---------------+-------------+-----------------------------------+ ; Assignment Name ; Value ; Default Value ; Entity Name ; Section Id ; +-------------------------------------+----------------------------------------+---------------+-------------+-----------------------------------+ -; COMPILER_SIGNATURE_ID ; 198516037997543.167821234811082 ; -- ; -- ; -- ; +; COMPILER_SIGNATURE_ID ; 2690080394329.167822249510628 ; -- ; -- ; -- ; ; EDA_GENERATE_FUNCTIONAL_NETLIST ; Off ; -- ; -- ; eda_board_design_timing ; ; EDA_GENERATE_FUNCTIONAL_NETLIST ; Off ; -- ; -- ; eda_board_design_boundary_scan ; ; EDA_GENERATE_FUNCTIONAL_NETLIST ; Off ; -- ; -- ; eda_board_design_signal_integrity ; @@ -101,12 +101,14 @@ https://fpgasoftware.intel.com/eula. +----------------------+--------------+-------------------------+---------------------+------------------------------------+ ; Module Name ; Elapsed Time ; Average Processors Used ; Peak Virtual Memory ; Total CPU Time (on all processors) ; +----------------------+--------------+-------------------------+---------------------+------------------------------------+ -; Analysis & Synthesis ; 00:00:07 ; 1.0 ; 399 MB ; 00:00:17 ; -; Fitter ; 00:00:07 ; 1.0 ; 1155 MB ; 00:00:10 ; -; Assembler ; 00:00:02 ; 1.0 ; 365 MB ; 00:00:02 ; -; Timing Analyzer ; 00:00:01 ; 1.0 ; 533 MB ; 00:00:01 ; -; EDA Netlist Writer ; 00:00:00 ; 1.0 ; 614 MB ; 00:00:00 ; -; Total ; 00:00:17 ; -- ; -- ; 00:00:30 ; +; Analysis & Synthesis ; 00:00:09 ; 1.0 ; 433 MB ; 00:00:20 ; +; Fitter ; 00:00:10 ; 1.0 ; 1157 MB ; 00:00:15 ; +; Assembler ; 00:00:03 ; 1.0 ; 366 MB ; 00:00:03 ; +; Timing Analyzer ; 00:00:01 ; 1.0 ; 534 MB ; 00:00:01 ; +; EDA Netlist Writer ; 00:00:00 ; 1.0 ; 612 MB ; 00:00:00 ; +; EDA Netlist Writer ; 00:00:01 ; 1.0 ; 609 MB ; 00:00:00 ; +; EDA Netlist Writer ; 00:00:01 ; 1.0 ; 613 MB ; 00:00:00 ; +; Total ; 00:00:25 ; -- ; -- ; 00:00:39 ; +----------------------+--------------+-------------------------+---------------------+------------------------------------+ @@ -120,6 +122,8 @@ https://fpgasoftware.intel.com/eula. ; Assembler ; rendlaptop ; Ubuntu 22.04.2 ; 22 ; x86_64 ; ; Timing Analyzer ; rendlaptop ; Ubuntu 22.04.2 ; 22 ; x86_64 ; ; EDA Netlist Writer ; rendlaptop ; Ubuntu 22.04.2 ; 22 ; x86_64 ; +; EDA Netlist Writer ; rendlaptop ; Ubuntu 22.04.2 ; 22 ; x86_64 ; +; EDA Netlist Writer ; rendlaptop ; Ubuntu 22.04.2 ; 22 ; x86_64 ; +----------------------+------------------+----------------+------------+----------------+ @@ -131,6 +135,8 @@ quartus_fit --read_settings_files=off --write_settings_files=off EqCmpDemo -c Eq quartus_asm --read_settings_files=off --write_settings_files=off EqCmpDemo -c EqCmpDemo quartus_sta EqCmpDemo -c EqCmpDemo quartus_eda --read_settings_files=off --write_settings_files=off EqCmpDemo -c EqCmpDemo +quartus_eda --gen_testbench --tool=modelsim_oem --format=vhdl --write_settings_files=off EqCmpDemo -c EqCmpDemo --vector_source=/home/tiagorg/repos/uaveiro-leci/1ano/2semestre/lsd/pratica01/part4/EqCmp4.vwf --testbench_file=/home/tiagorg/repos/uaveiro-leci/1ano/2semestre/lsd/pratica01/part4/simulation/qsim/EqCmp4.vwf.vht +quartus_eda --write_settings_files=off --simulation=on --functional=on --flatten_buses=off --tool=modelsim_oem --format=vhdl --output_directory=/home/tiagorg/repos/uaveiro-leci/1ano/2semestre/lsd/pratica01/part4/simulation/qsim/ EqCmpDemo -c EqCmpDemo diff --git a/1ano/2semestre/lsd/pratica01/part4/output_files/EqCmpDemo.map.rpt b/1ano/2semestre/lsd/pratica01/part4/output_files/EqCmpDemo.map.rpt index fb2cd59..e7e75bd 100644 --- a/1ano/2semestre/lsd/pratica01/part4/output_files/EqCmpDemo.map.rpt +++ b/1ano/2semestre/lsd/pratica01/part4/output_files/EqCmpDemo.map.rpt @@ -1,5 +1,5 @@ Analysis & Synthesis report for EqCmpDemo -Tue Mar 7 18:05:54 2023 +Tue Mar 7 20:55:03 2023 Quartus Prime Version 20.1.1 Build 720 11/11/2020 SJ Lite Edition @@ -43,7 +43,7 @@ https://fpgasoftware.intel.com/eula. +----------------------------------------------------------------------------------+ ; Analysis & Synthesis Summary ; +------------------------------------+---------------------------------------------+ -; Analysis & Synthesis Status ; Successful - Tue Mar 7 18:05:54 2023 ; +; Analysis & Synthesis Status ; Successful - Tue Mar 7 20:55:03 2023 ; ; Quartus Prime Version ; 20.1.1 Build 720 11/11/2020 SJ Lite Edition ; ; Revision Name ; EqCmpDemo ; ; Top-level Entity Name ; EqCmpDemo ; @@ -172,46 +172,46 @@ https://fpgasoftware.intel.com/eula. +----------------------------------+-----------------+------------------------------------+-----------------------------------------------------------------------------------+---------+ -+------------------------------------------------------------------+ -; Analysis & Synthesis Resource Usage Summary ; -+---------------------------------------------+--------------------+ -; Resource ; Usage ; -+---------------------------------------------+--------------------+ -; Estimated Total logic elements ; 3 ; -; ; ; -; Total combinational functions ; 3 ; -; Logic element usage by number of LUT inputs ; ; -; -- 4 input functions ; 2 ; -; -- 3 input functions ; 0 ; -; -- <=2 input functions ; 1 ; -; ; ; -; Logic elements by mode ; ; -; -- normal mode ; 3 ; -; -- arithmetic mode ; 0 ; -; ; ; -; Total registers ; 0 ; -; -- Dedicated logic registers ; 0 ; -; -- I/O registers ; 0 ; -; ; ; -; I/O pins ; 9 ; -; ; ; -; Embedded Multiplier 9-bit elements ; 0 ; -; ; ; -; Maximum fan-out node ; EqCmp4:inst|inst~0 ; -; Maximum fan-out ; 1 ; -; Total fan-out ; 20 ; -; Average fan-out ; 0.95 ; -+---------------------------------------------+--------------------+ ++-------------------------------------------------------------------+ +; Analysis & Synthesis Resource Usage Summary ; ++---------------------------------------------+---------------------+ +; Resource ; Usage ; ++---------------------------------------------+---------------------+ +; Estimated Total logic elements ; 3 ; +; ; ; +; Total combinational functions ; 3 ; +; Logic element usage by number of LUT inputs ; ; +; -- 4 input functions ; 2 ; +; -- 3 input functions ; 0 ; +; -- <=2 input functions ; 1 ; +; ; ; +; Logic elements by mode ; ; +; -- normal mode ; 3 ; +; -- arithmetic mode ; 0 ; +; ; ; +; Total registers ; 0 ; +; -- Dedicated logic registers ; 0 ; +; -- I/O registers ; 0 ; +; ; ; +; I/O pins ; 9 ; +; ; ; +; Embedded Multiplier 9-bit elements ; 0 ; +; ; ; +; Maximum fan-out node ; EqCmp4:inst1|inst~0 ; +; Maximum fan-out ; 1 ; +; Total fan-out ; 20 ; +; Average fan-out ; 0.95 ; ++---------------------------------------------+---------------------+ -+-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Analysis & Synthesis Resource Utilization by Entity ; -+----------------------------+---------------------+---------------------------+-------------+--------------+---------+-----------+------+--------------+------------------------+-------------+--------------+ -; Compilation Hierarchy Node ; Combinational ALUTs ; Dedicated Logic Registers ; Memory Bits ; DSP Elements ; DSP 9x9 ; DSP 18x18 ; Pins ; Virtual Pins ; Full Hierarchy Name ; Entity Name ; Library Name ; -+----------------------------+---------------------+---------------------------+-------------+--------------+---------+-----------+------+--------------+------------------------+-------------+--------------+ -; |EqCmpDemo ; 3 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 9 ; 0 ; |EqCmpDemo ; EqCmpDemo ; work ; -; |EqCmp4:inst| ; 3 (3) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |EqCmpDemo|EqCmp4:inst ; EqCmp4 ; work ; -+----------------------------+---------------------+---------------------------+-------------+--------------+---------+-----------+------+--------------+------------------------+-------------+--------------+ ++--------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; Analysis & Synthesis Resource Utilization by Entity ; ++----------------------------+---------------------+---------------------------+-------------+--------------+---------+-----------+------+--------------+-------------------------+-------------+--------------+ +; Compilation Hierarchy Node ; Combinational ALUTs ; Dedicated Logic Registers ; Memory Bits ; DSP Elements ; DSP 9x9 ; DSP 18x18 ; Pins ; Virtual Pins ; Full Hierarchy Name ; Entity Name ; Library Name ; ++----------------------------+---------------------+---------------------------+-------------+--------------+---------+-----------+------+--------------+-------------------------+-------------+--------------+ +; |EqCmpDemo ; 3 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 9 ; 0 ; |EqCmpDemo ; EqCmpDemo ; work ; +; |EqCmp4:inst1| ; 3 (3) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |EqCmpDemo|EqCmp4:inst1 ; EqCmp4 ; work ; ++----------------------------+---------------------+---------------------------+-------------+--------------+---------+-----------+------+--------------+-------------------------+-------------+--------------+ Note: For table entries with two numbers listed, the numbers in parentheses indicate the number of resources of the given type used by the specific entity alone. The numbers listed outside of parentheses indicate the total resources of the given type used by the specific entity and all of its sub-entities in the hierarchy. @@ -261,7 +261,7 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi Info: ******************************************************************* Info: Running Quartus Prime Analysis & Synthesis Info: Version 20.1.1 Build 720 11/11/2020 SJ Lite Edition - Info: Processing started: Tue Mar 7 18:05:47 2023 + Info: Processing started: Tue Mar 7 20:54:54 2023 Info: Command: quartus_map --read_settings_files=on --write_settings_files=off EqCmpDemo -c EqCmpDemo Warning (18236): Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance. Info (20030): Parallel compilation is enabled and will use 4 of the 4 processors detected @@ -269,8 +269,11 @@ Info (12021): Found 1 design units, including 1 entities, in source file EqCmp4. Info (12023): Found entity 1: EqCmp4 Info (12021): Found 1 design units, including 1 entities, in source file EqCmpDemo.bdf Info (12023): Found entity 1: EqCmpDemo +Info (12021): Found 2 design units, including 1 entities, in source file EqCmp8.vhd + Info (12022): Found design unit 1: EqCmp8-Behavioral File: /home/tiagorg/repos/uaveiro-leci/1ano/2semestre/lsd/pratica01/part4/EqCmp8.vhd Line: 13 + Info (12023): Found entity 1: EqCmp8 File: /home/tiagorg/repos/uaveiro-leci/1ano/2semestre/lsd/pratica01/part4/EqCmp8.vhd Line: 4 Info (12127): Elaborating entity "EqCmpDemo" for the top level hierarchy -Info (12128): Elaborating entity "EqCmp4" for hierarchy "EqCmp4:inst" +Info (12128): Elaborating entity "EqCmp4" for hierarchy "EqCmp4:inst1" Info (286030): Timing-Driven Synthesis is running Info (16010): Generating hard_block partition "hard_block:auto_generated_inst" Info (16011): Adding 0 node(s), including 0 DDIO, 0 PLL, 0 transceiver and 0 LCELL @@ -279,9 +282,9 @@ Info (21057): Implemented 12 device resources after synthesis - the final resour Info (21059): Implemented 1 output pins Info (21061): Implemented 3 logic cells Info: Quartus Prime Analysis & Synthesis was successful. 0 errors, 1 warning - Info: Peak virtual memory: 403 megabytes - Info: Processing ended: Tue Mar 7 18:05:54 2023 - Info: Elapsed time: 00:00:07 - Info: Total CPU time (on all processors): 00:00:17 + Info: Peak virtual memory: 433 megabytes + Info: Processing ended: Tue Mar 7 20:55:03 2023 + Info: Elapsed time: 00:00:09 + Info: Total CPU time (on all processors): 00:00:20 diff --git a/1ano/2semestre/lsd/pratica01/part4/output_files/EqCmpDemo.map.summary b/1ano/2semestre/lsd/pratica01/part4/output_files/EqCmpDemo.map.summary index a1a9a52..c58dcd9 100644 --- a/1ano/2semestre/lsd/pratica01/part4/output_files/EqCmpDemo.map.summary +++ b/1ano/2semestre/lsd/pratica01/part4/output_files/EqCmpDemo.map.summary @@ -1,4 +1,4 @@ -Analysis & Synthesis Status : Successful - Tue Mar 7 18:05:54 2023 +Analysis & Synthesis Status : Successful - Tue Mar 7 20:55:03 2023 Quartus Prime Version : 20.1.1 Build 720 11/11/2020 SJ Lite Edition Revision Name : EqCmpDemo Top-level Entity Name : EqCmpDemo diff --git a/1ano/2semestre/lsd/pratica01/part4/output_files/EqCmpDemo.sof b/1ano/2semestre/lsd/pratica01/part4/output_files/EqCmpDemo.sof index 37c9161f43da08ef20a976dc7608dedcf1746a3e..f99b8e7190ab0a4345c160c492441035e72fe9de 100644 GIT binary patch delta 244 zcmWl~IZgsm007X;=QC^qDj+JThzq-b+XXFbZMXp!Ffp<95+o*6a}8s`qj-7Q>Nm;w z_zPd_&;K;hTMX|pB<#--$&*r`NQp8P4yaP2&LKw})1XO<6WW||#yK6jTyV)1J+A3< i!!38*GhoPwF%zcDcwo+gC67Gu%*%HSuiIky+3WyNo-t1V delta 244 zcmWl~J5s_>006+r^AS;u$VWj@Ku{2WC~9s;WnpV$$swq?3Nu!@21CJ8>}>J3P6~cE zA)7C^X`=5Kequ=2e?X)}${}TrIHtl08K+b^qeh(uP0qQXMVm{m=y1&qU3&DnWx$X- g?in%WfeDX1@yrXaOnKv-86SM|wTdDCFNa0G1Ky7=@c;k- diff --git a/1ano/2semestre/lsd/pratica01/part4/output_files/EqCmpDemo.sta.rpt b/1ano/2semestre/lsd/pratica01/part4/output_files/EqCmpDemo.sta.rpt index f763a92..4f64170 100644 --- a/1ano/2semestre/lsd/pratica01/part4/output_files/EqCmpDemo.sta.rpt +++ b/1ano/2semestre/lsd/pratica01/part4/output_files/EqCmpDemo.sta.rpt @@ -1,5 +1,5 @@ Timing Analyzer report for EqCmpDemo -Tue Mar 7 18:06:07 2023 +Tue Mar 7 20:55:18 2023 Quartus Prime Version 20.1.1 Build 720 11/11/2020 SJ Lite Edition @@ -457,7 +457,7 @@ No non-DPA dedicated SERDES Receiver circuitry present in device or used in desi Info: ******************************************************************* Info: Running Quartus Prime Timing Analyzer Info: Version 20.1.1 Build 720 11/11/2020 SJ Lite Edition - Info: Processing started: Tue Mar 7 18:06:06 2023 + Info: Processing started: Tue Mar 7 20:55:17 2023 Info: Command: quartus_sta EqCmpDemo -c EqCmpDemo Info: qsta_default_script.tcl version: #1 Warning (18236): Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance. @@ -505,8 +505,8 @@ Info (332140): No Minimum Pulse Width paths to report Info (332102): Design is not fully constrained for setup requirements Info (332102): Design is not fully constrained for hold requirements Info: Quartus Prime Timing Analyzer was successful. 0 errors, 5 warnings - Info: Peak virtual memory: 533 megabytes - Info: Processing ended: Tue Mar 7 18:06:07 2023 + Info: Peak virtual memory: 534 megabytes + Info: Processing ended: Tue Mar 7 20:55:18 2023 Info: Elapsed time: 00:00:01 Info: Total CPU time (on all processors): 00:00:01 diff --git a/1ano/2semestre/lsd/pratica01/part4/simulation/modelsim/EqCmpDemo.vho b/1ano/2semestre/lsd/pratica01/part4/simulation/modelsim/EqCmpDemo.vho index e7b7561..0d06772 100644 --- a/1ano/2semestre/lsd/pratica01/part4/simulation/modelsim/EqCmpDemo.vho +++ b/1ano/2semestre/lsd/pratica01/part4/simulation/modelsim/EqCmpDemo.vho @@ -17,7 +17,7 @@ -- PROGRAM "Quartus Prime" -- VERSION "Version 20.1.1 Build 720 11/11/2020 SJ Lite Edition" --- DATE "03/07/2023 18:06:08" +-- DATE "03/07/2023 20:55:19" -- -- Device: Altera EP4CE115F29C7 Package FBGA780 @@ -294,13 +294,13 @@ SIGNAL \SW[1]~input_o\ : std_logic; SIGNAL \SW[0]~input_o\ : std_logic; SIGNAL \SW[5]~input_o\ : std_logic; SIGNAL \SW[4]~input_o\ : std_logic; -SIGNAL \inst|inst~0_combout\ : std_logic; +SIGNAL \inst1|inst~0_combout\ : std_logic; SIGNAL \SW[7]~input_o\ : std_logic; SIGNAL \SW[6]~input_o\ : std_logic; SIGNAL \SW[3]~input_o\ : std_logic; SIGNAL \SW[2]~input_o\ : std_logic; -SIGNAL \inst|inst~1_combout\ : std_logic; -SIGNAL \inst|inst~combout\ : std_logic; +SIGNAL \inst1|inst~1_combout\ : std_logic; +SIGNAL \inst1|inst~combout\ : std_logic; COMPONENT hard_block PORT ( @@ -330,7 +330,7 @@ GENERIC MAP ( open_drain_output => "false") -- pragma translate_on PORT MAP ( - i => \inst|inst~combout\, + i => \inst1|inst~combout\, devoe => ww_devoe, o => \LEDG[0]~output_o\); @@ -379,9 +379,9 @@ PORT MAP ( o => \SW[4]~input_o\); -- Location: LCCOMB_X114_Y15_N24 -\inst|inst~0\ : cycloneive_lcell_comb +\inst1|inst~0\ : cycloneive_lcell_comb -- Equation(s): --- \inst|inst~0_combout\ = (\SW[1]~input_o\ & (\SW[5]~input_o\ & (\SW[0]~input_o\ $ (!\SW[4]~input_o\)))) # (!\SW[1]~input_o\ & (!\SW[5]~input_o\ & (\SW[0]~input_o\ $ (!\SW[4]~input_o\)))) +-- \inst1|inst~0_combout\ = (\SW[1]~input_o\ & (\SW[5]~input_o\ & (\SW[0]~input_o\ $ (!\SW[4]~input_o\)))) # (!\SW[1]~input_o\ & (!\SW[5]~input_o\ & (\SW[0]~input_o\ $ (!\SW[4]~input_o\)))) -- pragma translate_off GENERIC MAP ( @@ -393,7 +393,7 @@ PORT MAP ( datab => \SW[0]~input_o\, datac => \SW[5]~input_o\, datad => \SW[4]~input_o\, - combout => \inst|inst~0_combout\); + combout => \inst1|inst~0_combout\); -- Location: IOIBUF_X115_Y15_N1 \SW[7]~input\ : cycloneive_io_ibuf @@ -440,9 +440,9 @@ PORT MAP ( o => \SW[2]~input_o\); -- Location: LCCOMB_X114_Y15_N10 -\inst|inst~1\ : cycloneive_lcell_comb +\inst1|inst~1\ : cycloneive_lcell_comb -- Equation(s): --- \inst|inst~1_combout\ = (\SW[7]~input_o\ & (\SW[3]~input_o\ & (\SW[6]~input_o\ $ (!\SW[2]~input_o\)))) # (!\SW[7]~input_o\ & (!\SW[3]~input_o\ & (\SW[6]~input_o\ $ (!\SW[2]~input_o\)))) +-- \inst1|inst~1_combout\ = (\SW[7]~input_o\ & (\SW[3]~input_o\ & (\SW[6]~input_o\ $ (!\SW[2]~input_o\)))) # (!\SW[7]~input_o\ & (!\SW[3]~input_o\ & (\SW[6]~input_o\ $ (!\SW[2]~input_o\)))) -- pragma translate_off GENERIC MAP ( @@ -454,12 +454,12 @@ PORT MAP ( datab => \SW[6]~input_o\, datac => \SW[3]~input_o\, datad => \SW[2]~input_o\, - combout => \inst|inst~1_combout\); + combout => \inst1|inst~1_combout\); -- Location: LCCOMB_X114_Y15_N28 -\inst|inst\ : cycloneive_lcell_comb +\inst1|inst\ : cycloneive_lcell_comb -- Equation(s): --- \inst|inst~combout\ = (\inst|inst~0_combout\ & \inst|inst~1_combout\) +-- \inst1|inst~combout\ = (\inst1|inst~0_combout\ & \inst1|inst~1_combout\) -- pragma translate_off GENERIC MAP ( @@ -467,9 +467,9 @@ GENERIC MAP ( sum_lutc_input => "datac") -- pragma translate_on PORT MAP ( - datab => \inst|inst~0_combout\, - datad => \inst|inst~1_combout\, - combout => \inst|inst~combout\); + datab => \inst1|inst~0_combout\, + datad => \inst1|inst~1_combout\, + combout => \inst1|inst~combout\); ww_LEDG(0) <= \LEDG[0]~output_o\; END structure; diff --git a/1ano/2semestre/lsd/pratica01/part4/simulation/modelsim/EqCmpDemo_modelsim.xrf b/1ano/2semestre/lsd/pratica01/part4/simulation/modelsim/EqCmpDemo_modelsim.xrf index 75d52c0..c16151c 100644 --- a/1ano/2semestre/lsd/pratica01/part4/simulation/modelsim/EqCmpDemo_modelsim.xrf +++ b/1ano/2semestre/lsd/pratica01/part4/simulation/modelsim/EqCmpDemo_modelsim.xrf @@ -1,6 +1,12 @@ vendor_name = ModelSim source_file = 1, /home/tiagorg/repos/uaveiro-leci/1ano/2semestre/lsd/pratica01/part4/EqCmp4.bdf source_file = 1, /home/tiagorg/repos/uaveiro-leci/1ano/2semestre/lsd/pratica01/part4/EqCmpDemo.bdf +source_file = 1, /home/tiagorg/repos/uaveiro-leci/1ano/2semestre/lsd/pratica01/part4/EqCmp8.vhd +source_file = 1, /home/tiagorg/repos/uaveiro-leci/1ano/2semestre/lsd/pratica01/part4/EqCmp8.vwf +source_file = 1, /home/tiagorg/intelFPGA_lite/20.1/quartus/libraries/vhdl/ieee/prmtvs_b.vhd +source_file = 1, /home/tiagorg/intelFPGA_lite/20.1/quartus/libraries/vhdl/ieee/prmtvs_p.vhd +source_file = 1, /home/tiagorg/intelFPGA_lite/20.1/quartus/libraries/vhdl/ieee/timing_b.vhd +source_file = 1, /home/tiagorg/intelFPGA_lite/20.1/quartus/libraries/vhdl/ieee/timing_p.vhd source_file = 1, /home/tiagorg/repos/uaveiro-leci/1ano/2semestre/lsd/pratica01/part4/db/EqCmpDemo.cbx.xml design_name = hard_block design_name = EqCmpDemo @@ -9,10 +15,10 @@ instance = comp, \SW[1]~input\, SW[1]~input, EqCmpDemo, 1 instance = comp, \SW[0]~input\, SW[0]~input, EqCmpDemo, 1 instance = comp, \SW[5]~input\, SW[5]~input, EqCmpDemo, 1 instance = comp, \SW[4]~input\, SW[4]~input, EqCmpDemo, 1 -instance = comp, \inst|inst~0\, inst|inst~0, EqCmpDemo, 1 +instance = comp, \inst1|inst~0\, inst1|inst~0, EqCmpDemo, 1 instance = comp, \SW[7]~input\, SW[7]~input, EqCmpDemo, 1 instance = comp, \SW[6]~input\, SW[6]~input, EqCmpDemo, 1 instance = comp, \SW[3]~input\, SW[3]~input, EqCmpDemo, 1 instance = comp, \SW[2]~input\, SW[2]~input, EqCmpDemo, 1 -instance = comp, \inst|inst~1\, inst|inst~1, EqCmpDemo, 1 -instance = comp, \inst|inst\, inst|inst, EqCmpDemo, 1 +instance = comp, \inst1|inst~1\, inst1|inst~1, EqCmpDemo, 1 +instance = comp, \inst1|inst\, inst1|inst, EqCmpDemo, 1 diff --git a/1ano/2semestre/lsd/pratica01/part4/simulation/qsim/EqCmp4.vwf.vht b/1ano/2semestre/lsd/pratica01/part4/simulation/qsim/EqCmp4.vwf.vht new file mode 100644 index 0000000..904ac82 --- /dev/null +++ b/1ano/2semestre/lsd/pratica01/part4/simulation/qsim/EqCmp4.vwf.vht @@ -0,0 +1,143 @@ +-- Copyright (C) 2020 Intel Corporation. All rights reserved. +-- Your use of Intel Corporation's design tools, logic functions +-- and other software and tools, and any partner logic +-- functions, and any output files from any of the foregoing +-- (including device programming or simulation files), and any +-- associated documentation or information are expressly subject +-- to the terms and conditions of the Intel Program License +-- Subscription Agreement, the Intel Quartus Prime License Agreement, +-- the Intel FPGA IP License Agreement, or other applicable license +-- agreement, including, without limitation, that your use is for +-- the sole purpose of programming logic devices manufactured by +-- Intel and sold by Intel or its authorized distributors. Please +-- refer to the applicable agreement for further details, at +-- https://fpgasoftware.intel.com/eula. + +-- ***************************************************************************** +-- This file contains a Vhdl test bench with test vectors .The test vectors +-- are exported from a vector file in the Quartus Waveform Editor and apply to +-- the top level entity of the current Quartus project .The user can use this +-- testbench to simulate his design using a third-party simulation tool . +-- ***************************************************************************** +-- Generated on "03/07/2023 20:57:57" + +-- Vhdl Test Bench(with test vectors) for design : EqCmpDemo +-- +-- Simulation tool : 3rd Party +-- + +LIBRARY ieee; +USE ieee.std_logic_1164.all; + +ENTITY EqCmpDemo_vhd_vec_tst IS +END EqCmpDemo_vhd_vec_tst; +ARCHITECTURE EqCmpDemo_arch OF EqCmpDemo_vhd_vec_tst IS +-- constants +-- signals +SIGNAL LEDG : STD_LOGIC_VECTOR(0 DOWNTO 0); +SIGNAL SW : STD_LOGIC_VECTOR(7 DOWNTO 0); +COMPONENT EqCmpDemo + PORT ( + LEDG : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); + SW : IN STD_LOGIC_VECTOR(7 DOWNTO 0) + ); +END COMPONENT; +BEGIN + i1 : EqCmpDemo + PORT MAP ( +-- list connections between master ports and signals + LEDG => LEDG, + SW => SW + ); +-- SW[7] +t_prcs_SW_7: PROCESS +BEGIN + SW(7) <= '0'; + WAIT FOR 400000 ps; + SW(7) <= '1'; + WAIT FOR 400000 ps; + SW(7) <= '0'; +WAIT; +END PROCESS t_prcs_SW_7; +-- SW[6] +t_prcs_SW_6: PROCESS +BEGIN + FOR i IN 1 TO 2 + LOOP + SW(6) <= '0'; + WAIT FOR 200000 ps; + SW(6) <= '1'; + WAIT FOR 200000 ps; + END LOOP; + SW(6) <= '0'; +WAIT; +END PROCESS t_prcs_SW_6; +-- SW[5] +t_prcs_SW_5: PROCESS +BEGIN +LOOP + SW(5) <= '0'; + WAIT FOR 100000 ps; + SW(5) <= '1'; + WAIT FOR 100000 ps; + IF (NOW >= 1000000 ps) THEN WAIT; END IF; +END LOOP; +END PROCESS t_prcs_SW_5; +-- SW[4] +t_prcs_SW_4: PROCESS +BEGIN +LOOP + SW(4) <= '0'; + WAIT FOR 50000 ps; + SW(4) <= '1'; + WAIT FOR 50000 ps; + IF (NOW >= 1000000 ps) THEN WAIT; END IF; +END LOOP; +END PROCESS t_prcs_SW_4; +-- SW[3] +t_prcs_SW_3: PROCESS +BEGIN +LOOP + SW(3) <= '0'; + WAIT FOR 25000 ps; + SW(3) <= '1'; + WAIT FOR 25000 ps; + IF (NOW >= 1000000 ps) THEN WAIT; END IF; +END LOOP; +END PROCESS t_prcs_SW_3; +-- SW[2] +t_prcs_SW_2: PROCESS +BEGIN +LOOP + SW(2) <= '0'; + WAIT FOR 12500 ps; + SW(2) <= '1'; + WAIT FOR 12500 ps; + IF (NOW >= 1000000 ps) THEN WAIT; END IF; +END LOOP; +END PROCESS t_prcs_SW_2; +-- SW[1] +t_prcs_SW_1: PROCESS +BEGIN +LOOP + SW(1) <= '0'; + WAIT FOR 6250 ps; + SW(1) <= '1'; + WAIT FOR 6250 ps; + IF (NOW >= 1000000 ps) THEN WAIT; END IF; +END LOOP; +END PROCESS t_prcs_SW_1; +-- SW[0] +t_prcs_SW_0: PROCESS +BEGIN + FOR i IN 1 TO 148 + LOOP + SW(0) <= '0'; + WAIT FOR 3375 ps; + SW(0) <= '1'; + WAIT FOR 3375 ps; + END LOOP; + SW(0) <= '0'; +WAIT; +END PROCESS t_prcs_SW_0; +END EqCmpDemo_arch; diff --git a/1ano/2semestre/lsd/pratica01/part4/simulation/qsim/EqCmp8.vwf.vht b/1ano/2semestre/lsd/pratica01/part4/simulation/qsim/EqCmp8.vwf.vht new file mode 100644 index 0000000..26a6fbf --- /dev/null +++ b/1ano/2semestre/lsd/pratica01/part4/simulation/qsim/EqCmp8.vwf.vht @@ -0,0 +1,3346 @@ +-- Copyright (C) 2020 Intel Corporation. All rights reserved. +-- Your use of Intel Corporation's design tools, logic functions +-- and other software and tools, and any partner logic +-- functions, and any output files from any of the foregoing +-- (including device programming or simulation files), and any +-- associated documentation or information are expressly subject +-- to the terms and conditions of the Intel Program License +-- Subscription Agreement, the Intel Quartus Prime License Agreement, +-- the Intel FPGA IP License Agreement, or other applicable license +-- agreement, including, without limitation, that your use is for +-- the sole purpose of programming logic devices manufactured by +-- Intel and sold by Intel or its authorized distributors. Please +-- refer to the applicable agreement for further details, at +-- https://fpgasoftware.intel.com/eula. + +-- ***************************************************************************** +-- This file contains a Vhdl test bench with test vectors .The test vectors +-- are exported from a vector file in the Quartus Waveform Editor and apply to +-- the top level entity of the current Quartus project .The user can use this +-- testbench to simulate his design using a third-party simulation tool . +-- ***************************************************************************** +-- Generated on "03/07/2023 20:53:51" + +-- Vhdl Test Bench(with test vectors) for design : EqCmpDemo +-- +-- Simulation tool : 3rd Party +-- + +LIBRARY ieee; +USE ieee.std_logic_1164.all; + +ENTITY EqCmpDemo_vhd_vec_tst IS +END EqCmpDemo_vhd_vec_tst; +ARCHITECTURE EqCmpDemo_arch OF EqCmpDemo_vhd_vec_tst IS +-- constants +-- signals +SIGNAL LEDG : STD_LOGIC_VECTOR(0 DOWNTO 0); +SIGNAL SW : STD_LOGIC_VECTOR(15 DOWNTO 0); +COMPONENT EqCmpDemo + PORT ( + LEDG : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); + SW : IN STD_LOGIC_VECTOR(15 DOWNTO 0) + ); +END COMPONENT; +BEGIN + i1 : EqCmpDemo + PORT MAP ( +-- list connections between master ports and signals + LEDG => LEDG, + SW => SW + ); +-- SW[15] +t_prcs_SW_15: PROCESS +BEGIN + SW(15) <= '0'; + WAIT FOR 5000 ps; + SW(15) <= '1'; + WAIT FOR 10000 ps; + SW(15) <= '0'; + WAIT FOR 10000 ps; + SW(15) <= '1'; + WAIT FOR 10000 ps; + SW(15) <= '0'; + WAIT FOR 15000 ps; + SW(15) <= '1'; + WAIT FOR 10000 ps; + SW(15) <= '0'; + WAIT FOR 30000 ps; + SW(15) <= '1'; + WAIT FOR 5000 ps; + SW(15) <= '0'; + WAIT FOR 25000 ps; + SW(15) <= '1'; + WAIT FOR 50000 ps; + SW(15) <= '0'; + WAIT FOR 5000 ps; + SW(15) <= '1'; + WAIT FOR 10000 ps; + SW(15) <= '0'; + WAIT FOR 10000 ps; + SW(15) <= '1'; + WAIT FOR 10000 ps; + SW(15) <= '0'; + WAIT FOR 10000 ps; + SW(15) <= '1'; + WAIT FOR 5000 ps; + SW(15) <= '0'; + WAIT FOR 35000 ps; + SW(15) <= '1'; + WAIT FOR 5000 ps; + SW(15) <= '0'; + WAIT FOR 15000 ps; + SW(15) <= '1'; + WAIT FOR 10000 ps; + SW(15) <= '0'; + WAIT FOR 10000 ps; + SW(15) <= '1'; + WAIT FOR 10000 ps; + SW(15) <= '0'; + WAIT FOR 5000 ps; + SW(15) <= '1'; + WAIT FOR 5000 ps; + SW(15) <= '0'; + WAIT FOR 5000 ps; + SW(15) <= '1'; + WAIT FOR 5000 ps; + SW(15) <= '0'; + WAIT FOR 5000 ps; + SW(15) <= '1'; + WAIT FOR 15000 ps; + SW(15) <= '0'; + WAIT FOR 5000 ps; + SW(15) <= '1'; + WAIT FOR 50000 ps; + SW(15) <= '0'; + WAIT FOR 5000 ps; + SW(15) <= '1'; + WAIT FOR 10000 ps; + SW(15) <= '0'; + WAIT FOR 25000 ps; + SW(15) <= '1'; + WAIT FOR 5000 ps; + SW(15) <= '0'; + WAIT FOR 10000 ps; + SW(15) <= '1'; + WAIT FOR 5000 ps; + SW(15) <= '0'; + WAIT FOR 5000 ps; + SW(15) <= '1'; + WAIT FOR 5000 ps; + SW(15) <= '0'; + WAIT FOR 15000 ps; + SW(15) <= '1'; + WAIT FOR 15000 ps; + SW(15) <= '0'; + WAIT FOR 10000 ps; + SW(15) <= '1'; + WAIT FOR 10000 ps; + SW(15) <= '0'; + WAIT FOR 10000 ps; + SW(15) <= '1'; + WAIT FOR 15000 ps; + SW(15) <= '0'; + WAIT FOR 20000 ps; + SW(15) <= '1'; + WAIT FOR 5000 ps; + SW(15) <= '0'; + WAIT FOR 20000 ps; + SW(15) <= '1'; + WAIT FOR 20000 ps; + SW(15) <= '0'; + WAIT FOR 5000 ps; + SW(15) <= '1'; + WAIT FOR 5000 ps; + SW(15) <= '0'; + WAIT FOR 25000 ps; + SW(15) <= '1'; + WAIT FOR 5000 ps; + SW(15) <= '0'; + WAIT FOR 5000 ps; + SW(15) <= '1'; + WAIT FOR 20000 ps; + SW(15) <= '0'; + WAIT FOR 10000 ps; + SW(15) <= '1'; + WAIT FOR 15000 ps; + SW(15) <= '0'; + WAIT FOR 5000 ps; + SW(15) <= '1'; + WAIT FOR 5000 ps; + SW(15) <= '0'; + WAIT FOR 5000 ps; + SW(15) <= '1'; + WAIT FOR 10000 ps; + SW(15) <= '0'; + WAIT FOR 5000 ps; + SW(15) <= '1'; + WAIT FOR 5000 ps; + SW(15) <= '0'; + WAIT FOR 5000 ps; + SW(15) <= '1'; + WAIT FOR 30000 ps; + SW(15) <= '0'; + WAIT FOR 5000 ps; + SW(15) <= '1'; + WAIT FOR 15000 ps; + SW(15) <= '0'; + WAIT FOR 5000 ps; + SW(15) <= '1'; + WAIT FOR 10000 ps; + SW(15) <= '0'; + WAIT FOR 35000 ps; + SW(15) <= '1'; + WAIT FOR 5000 ps; + SW(15) <= '0'; + WAIT FOR 10000 ps; + SW(15) <= '1'; + WAIT FOR 5000 ps; + SW(15) <= '0'; + WAIT FOR 15000 ps; + SW(15) <= '1'; + WAIT FOR 5000 ps; + SW(15) <= '0'; + WAIT FOR 10000 ps; + SW(15) <= '1'; + WAIT FOR 5000 ps; + SW(15) <= '0'; + WAIT FOR 5000 ps; + SW(15) <= '1'; + WAIT FOR 20000 ps; + SW(15) <= '0'; + WAIT FOR 5000 ps; + SW(15) <= '1'; + WAIT FOR 10000 ps; + SW(15) <= '0'; + WAIT FOR 20000 ps; + SW(15) <= '1'; + WAIT FOR 5000 ps; + SW(15) <= '0'; +WAIT; +END PROCESS t_prcs_SW_15; +-- SW[14] +t_prcs_SW_14: PROCESS +BEGIN + SW(14) <= '1'; + WAIT FOR 25000 ps; + SW(14) <= '0'; + WAIT FOR 5000 ps; + SW(14) <= '1'; + WAIT FOR 5000 ps; + SW(14) <= '0'; + WAIT FOR 5000 ps; + SW(14) <= '1'; + WAIT FOR 5000 ps; + SW(14) <= '0'; + WAIT FOR 15000 ps; + SW(14) <= '1'; + WAIT FOR 5000 ps; + SW(14) <= '0'; + WAIT FOR 10000 ps; + SW(14) <= '1'; + WAIT FOR 15000 ps; + SW(14) <= '0'; + WAIT FOR 5000 ps; + SW(14) <= '1'; + WAIT FOR 5000 ps; + SW(14) <= '0'; + WAIT FOR 10000 ps; + SW(14) <= '1'; + WAIT FOR 25000 ps; + SW(14) <= '0'; + WAIT FOR 5000 ps; + SW(14) <= '1'; + WAIT FOR 5000 ps; + SW(14) <= '0'; + WAIT FOR 15000 ps; + SW(14) <= '1'; + WAIT FOR 5000 ps; + SW(14) <= '0'; + WAIT FOR 10000 ps; + SW(14) <= '1'; + WAIT FOR 5000 ps; + SW(14) <= '0'; + WAIT FOR 5000 ps; + SW(14) <= '1'; + WAIT FOR 5000 ps; + SW(14) <= '0'; + WAIT FOR 5000 ps; + SW(14) <= '1'; + WAIT FOR 20000 ps; + SW(14) <= '0'; + WAIT FOR 10000 ps; + SW(14) <= '1'; + WAIT FOR 10000 ps; + SW(14) <= '0'; + WAIT FOR 10000 ps; + SW(14) <= '1'; + WAIT FOR 5000 ps; + SW(14) <= '0'; + WAIT FOR 5000 ps; + SW(14) <= '1'; + WAIT FOR 20000 ps; + SW(14) <= '0'; + WAIT FOR 5000 ps; + SW(14) <= '1'; + WAIT FOR 10000 ps; + SW(14) <= '0'; + WAIT FOR 5000 ps; + SW(14) <= '1'; + WAIT FOR 10000 ps; + SW(14) <= '0'; + WAIT FOR 10000 ps; + SW(14) <= '1'; + WAIT FOR 10000 ps; + SW(14) <= '0'; + WAIT FOR 10000 ps; + SW(14) <= '1'; + WAIT FOR 15000 ps; + SW(14) <= '0'; + WAIT FOR 5000 ps; + SW(14) <= '1'; + WAIT FOR 5000 ps; + SW(14) <= '0'; + WAIT FOR 5000 ps; + SW(14) <= '1'; + WAIT FOR 5000 ps; + SW(14) <= '0'; + WAIT FOR 20000 ps; + SW(14) <= '1'; + WAIT FOR 10000 ps; + SW(14) <= '0'; + WAIT FOR 25000 ps; + SW(14) <= '1'; + WAIT FOR 10000 ps; + SW(14) <= '0'; + WAIT FOR 5000 ps; + SW(14) <= '1'; + WAIT FOR 10000 ps; + SW(14) <= '0'; + WAIT FOR 5000 ps; + SW(14) <= '1'; + WAIT FOR 5000 ps; + SW(14) <= '0'; + WAIT FOR 30000 ps; + SW(14) <= '1'; + WAIT FOR 25000 ps; + SW(14) <= '0'; + WAIT FOR 5000 ps; + SW(14) <= '1'; + WAIT FOR 5000 ps; + SW(14) <= '0'; + WAIT FOR 15000 ps; + SW(14) <= '1'; + WAIT FOR 15000 ps; + SW(14) <= '0'; + WAIT FOR 5000 ps; + SW(14) <= '1'; + WAIT FOR 30000 ps; + SW(14) <= '0'; + WAIT FOR 10000 ps; + SW(14) <= '1'; + WAIT FOR 5000 ps; + SW(14) <= '0'; + WAIT FOR 5000 ps; + SW(14) <= '1'; + WAIT FOR 10000 ps; + SW(14) <= '0'; + WAIT FOR 10000 ps; + SW(14) <= '1'; + WAIT FOR 20000 ps; + SW(14) <= '0'; + WAIT FOR 15000 ps; + SW(14) <= '1'; + WAIT FOR 5000 ps; + SW(14) <= '0'; + WAIT FOR 5000 ps; + SW(14) <= '1'; + WAIT FOR 5000 ps; + SW(14) <= '0'; + WAIT FOR 5000 ps; + SW(14) <= '1'; + WAIT FOR 5000 ps; + SW(14) <= '0'; + WAIT FOR 15000 ps; + SW(14) <= '1'; + WAIT FOR 5000 ps; + SW(14) <= '0'; + WAIT FOR 5000 ps; + SW(14) <= '1'; + WAIT FOR 10000 ps; + SW(14) <= '0'; + WAIT FOR 10000 ps; + SW(14) <= '1'; + WAIT FOR 5000 ps; + SW(14) <= '0'; + WAIT FOR 10000 ps; + SW(14) <= '1'; + WAIT FOR 5000 ps; + SW(14) <= '0'; + WAIT FOR 5000 ps; + SW(14) <= '1'; + WAIT FOR 5000 ps; + SW(14) <= '0'; + WAIT FOR 5000 ps; + SW(14) <= '1'; + WAIT FOR 5000 ps; + SW(14) <= '0'; + WAIT FOR 10000 ps; + SW(14) <= '1'; + WAIT FOR 5000 ps; + SW(14) <= '0'; + WAIT FOR 5000 ps; + SW(14) <= '1'; + WAIT FOR 5000 ps; + SW(14) <= '0'; + WAIT FOR 5000 ps; + SW(14) <= '1'; + WAIT FOR 20000 ps; + SW(14) <= '0'; + WAIT FOR 10000 ps; + SW(14) <= '1'; + WAIT FOR 5000 ps; + SW(14) <= '0'; + WAIT FOR 10000 ps; + SW(14) <= '1'; + WAIT FOR 5000 ps; + SW(14) <= '0'; + WAIT FOR 20000 ps; + SW(14) <= '1'; + WAIT FOR 5000 ps; + SW(14) <= '0'; + WAIT FOR 5000 ps; + SW(14) <= '1'; + WAIT FOR 5000 ps; + SW(14) <= '0'; + WAIT FOR 10000 ps; + SW(14) <= '1'; + WAIT FOR 5000 ps; + SW(14) <= '0'; + WAIT FOR 5000 ps; + SW(14) <= '1'; + WAIT FOR 25000 ps; + SW(14) <= '0'; + WAIT FOR 5000 ps; + SW(14) <= '1'; + WAIT FOR 5000 ps; + SW(14) <= '0'; + WAIT FOR 10000 ps; + SW(14) <= '1'; + WAIT FOR 10000 ps; + SW(14) <= '0'; + WAIT FOR 5000 ps; + SW(14) <= '1'; + WAIT FOR 10000 ps; + SW(14) <= '0'; + WAIT FOR 15000 ps; + SW(14) <= '1'; +WAIT; +END PROCESS t_prcs_SW_14; +-- SW[13] +t_prcs_SW_13: PROCESS +BEGIN + SW(13) <= '0'; + WAIT FOR 5000 ps; + SW(13) <= '1'; + WAIT FOR 5000 ps; + SW(13) <= '0'; + WAIT FOR 10000 ps; + SW(13) <= '1'; + WAIT FOR 5000 ps; + SW(13) <= '0'; + WAIT FOR 10000 ps; + SW(13) <= '1'; + WAIT FOR 5000 ps; + SW(13) <= '0'; + WAIT FOR 25000 ps; + SW(13) <= '1'; + WAIT FOR 5000 ps; + SW(13) <= '0'; + WAIT FOR 10000 ps; + SW(13) <= '1'; + WAIT FOR 30000 ps; + SW(13) <= '0'; + WAIT FOR 5000 ps; + SW(13) <= '1'; + WAIT FOR 20000 ps; + SW(13) <= '0'; + WAIT FOR 5000 ps; + SW(13) <= '1'; + WAIT FOR 20000 ps; + SW(13) <= '0'; + WAIT FOR 5000 ps; + SW(13) <= '1'; + WAIT FOR 5000 ps; + SW(13) <= '0'; + WAIT FOR 5000 ps; + SW(13) <= '1'; + WAIT FOR 5000 ps; + SW(13) <= '0'; + WAIT FOR 10000 ps; + SW(13) <= '1'; + WAIT FOR 5000 ps; + SW(13) <= '0'; + WAIT FOR 5000 ps; + SW(13) <= '1'; + WAIT FOR 5000 ps; + SW(13) <= '0'; + WAIT FOR 15000 ps; + SW(13) <= '1'; + WAIT FOR 5000 ps; + SW(13) <= '0'; + WAIT FOR 5000 ps; + SW(13) <= '1'; + WAIT FOR 20000 ps; + SW(13) <= '0'; + WAIT FOR 10000 ps; + SW(13) <= '1'; + WAIT FOR 5000 ps; + SW(13) <= '0'; + WAIT FOR 15000 ps; + SW(13) <= '1'; + WAIT FOR 5000 ps; + SW(13) <= '0'; + WAIT FOR 15000 ps; + SW(13) <= '1'; + WAIT FOR 5000 ps; + SW(13) <= '0'; + WAIT FOR 10000 ps; + SW(13) <= '1'; + WAIT FOR 10000 ps; + SW(13) <= '0'; + WAIT FOR 15000 ps; + SW(13) <= '1'; + WAIT FOR 10000 ps; + SW(13) <= '0'; + WAIT FOR 5000 ps; + SW(13) <= '1'; + WAIT FOR 5000 ps; + SW(13) <= '0'; + WAIT FOR 10000 ps; + SW(13) <= '1'; + WAIT FOR 10000 ps; + SW(13) <= '0'; + WAIT FOR 5000 ps; + SW(13) <= '1'; + WAIT FOR 10000 ps; + SW(13) <= '0'; + WAIT FOR 5000 ps; + SW(13) <= '1'; + WAIT FOR 5000 ps; + SW(13) <= '0'; + WAIT FOR 5000 ps; + SW(13) <= '1'; + WAIT FOR 20000 ps; + SW(13) <= '0'; + WAIT FOR 5000 ps; + SW(13) <= '1'; + WAIT FOR 20000 ps; + SW(13) <= '0'; + WAIT FOR 10000 ps; + SW(13) <= '1'; + WAIT FOR 5000 ps; + SW(13) <= '0'; + WAIT FOR 10000 ps; + SW(13) <= '1'; + WAIT FOR 10000 ps; + SW(13) <= '0'; + WAIT FOR 15000 ps; + SW(13) <= '1'; + WAIT FOR 5000 ps; + SW(13) <= '0'; + WAIT FOR 10000 ps; + SW(13) <= '1'; + WAIT FOR 10000 ps; + SW(13) <= '0'; + WAIT FOR 10000 ps; + SW(13) <= '1'; + WAIT FOR 5000 ps; + SW(13) <= '0'; + WAIT FOR 5000 ps; + SW(13) <= '1'; + WAIT FOR 5000 ps; + SW(13) <= '0'; + WAIT FOR 15000 ps; + SW(13) <= '1'; + WAIT FOR 5000 ps; + SW(13) <= '0'; + WAIT FOR 5000 ps; + SW(13) <= '1'; + WAIT FOR 15000 ps; + SW(13) <= '0'; + WAIT FOR 10000 ps; + SW(13) <= '1'; + WAIT FOR 5000 ps; + SW(13) <= '0'; + WAIT FOR 5000 ps; + SW(13) <= '1'; + WAIT FOR 10000 ps; + SW(13) <= '0'; + WAIT FOR 10000 ps; + SW(13) <= '1'; + WAIT FOR 10000 ps; + SW(13) <= '0'; + WAIT FOR 5000 ps; + SW(13) <= '1'; + WAIT FOR 15000 ps; + SW(13) <= '0'; + WAIT FOR 10000 ps; + SW(13) <= '1'; + WAIT FOR 10000 ps; + SW(13) <= '0'; + WAIT FOR 10000 ps; + SW(13) <= '1'; + WAIT FOR 5000 ps; + SW(13) <= '0'; + WAIT FOR 5000 ps; + SW(13) <= '1'; + WAIT FOR 5000 ps; + SW(13) <= '0'; + WAIT FOR 5000 ps; + SW(13) <= '1'; + WAIT FOR 5000 ps; + SW(13) <= '0'; + WAIT FOR 5000 ps; + SW(13) <= '1'; + WAIT FOR 30000 ps; + SW(13) <= '0'; + WAIT FOR 20000 ps; + SW(13) <= '1'; + WAIT FOR 5000 ps; + SW(13) <= '0'; + WAIT FOR 10000 ps; + SW(13) <= '1'; + WAIT FOR 5000 ps; + SW(13) <= '0'; + WAIT FOR 5000 ps; + SW(13) <= '1'; + WAIT FOR 10000 ps; + SW(13) <= '0'; + WAIT FOR 5000 ps; + SW(13) <= '1'; + WAIT FOR 5000 ps; + SW(13) <= '0'; + WAIT FOR 10000 ps; + SW(13) <= '1'; + WAIT FOR 20000 ps; + SW(13) <= '0'; + WAIT FOR 15000 ps; + SW(13) <= '1'; + WAIT FOR 40000 ps; + SW(13) <= '0'; + WAIT FOR 5000 ps; + SW(13) <= '1'; + WAIT FOR 30000 ps; + SW(13) <= '0'; + WAIT FOR 10000 ps; + SW(13) <= '1'; + WAIT FOR 5000 ps; + SW(13) <= '0'; + WAIT FOR 10000 ps; + SW(13) <= '1'; + WAIT FOR 5000 ps; + SW(13) <= '0'; + WAIT FOR 5000 ps; + SW(13) <= '1'; +WAIT; +END PROCESS t_prcs_SW_13; +-- SW[12] +t_prcs_SW_12: PROCESS +BEGIN + SW(12) <= '1'; + WAIT FOR 5000 ps; + SW(12) <= '0'; + WAIT FOR 5000 ps; + SW(12) <= '1'; + WAIT FOR 25000 ps; + SW(12) <= '0'; + WAIT FOR 5000 ps; + SW(12) <= '1'; + WAIT FOR 10000 ps; + SW(12) <= '0'; + WAIT FOR 10000 ps; + SW(12) <= '1'; + WAIT FOR 10000 ps; + SW(12) <= '0'; + WAIT FOR 10000 ps; + SW(12) <= '1'; + WAIT FOR 5000 ps; + SW(12) <= '0'; + WAIT FOR 15000 ps; + SW(12) <= '1'; + WAIT FOR 5000 ps; + SW(12) <= '0'; + WAIT FOR 5000 ps; + SW(12) <= '1'; + WAIT FOR 5000 ps; + SW(12) <= '0'; + WAIT FOR 5000 ps; + SW(12) <= '1'; + WAIT FOR 5000 ps; + SW(12) <= '0'; + WAIT FOR 15000 ps; + SW(12) <= '1'; + WAIT FOR 30000 ps; + SW(12) <= '0'; + WAIT FOR 10000 ps; + SW(12) <= '1'; + WAIT FOR 20000 ps; + SW(12) <= '0'; + WAIT FOR 5000 ps; + SW(12) <= '1'; + WAIT FOR 5000 ps; + SW(12) <= '0'; + WAIT FOR 5000 ps; + SW(12) <= '1'; + WAIT FOR 5000 ps; + SW(12) <= '0'; + WAIT FOR 5000 ps; + SW(12) <= '1'; + WAIT FOR 10000 ps; + SW(12) <= '0'; + WAIT FOR 10000 ps; + SW(12) <= '1'; + WAIT FOR 10000 ps; + SW(12) <= '0'; + WAIT FOR 5000 ps; + SW(12) <= '1'; + WAIT FOR 5000 ps; + SW(12) <= '0'; + WAIT FOR 15000 ps; + SW(12) <= '1'; + WAIT FOR 5000 ps; + SW(12) <= '0'; + WAIT FOR 5000 ps; + SW(12) <= '1'; + WAIT FOR 10000 ps; + SW(12) <= '0'; + WAIT FOR 10000 ps; + SW(12) <= '1'; + WAIT FOR 5000 ps; + SW(12) <= '0'; + WAIT FOR 5000 ps; + SW(12) <= '1'; + WAIT FOR 5000 ps; + SW(12) <= '0'; + WAIT FOR 5000 ps; + SW(12) <= '1'; + WAIT FOR 10000 ps; + SW(12) <= '0'; + WAIT FOR 5000 ps; + SW(12) <= '1'; + WAIT FOR 5000 ps; + SW(12) <= '0'; + WAIT FOR 5000 ps; + SW(12) <= '1'; + WAIT FOR 5000 ps; + SW(12) <= '0'; + WAIT FOR 5000 ps; + SW(12) <= '1'; + WAIT FOR 5000 ps; + SW(12) <= '0'; + WAIT FOR 5000 ps; + SW(12) <= '1'; + WAIT FOR 5000 ps; + SW(12) <= '0'; + WAIT FOR 5000 ps; + SW(12) <= '1'; + WAIT FOR 15000 ps; + SW(12) <= '0'; + WAIT FOR 5000 ps; + SW(12) <= '1'; + WAIT FOR 10000 ps; + SW(12) <= '0'; + WAIT FOR 15000 ps; + SW(12) <= '1'; + WAIT FOR 15000 ps; + SW(12) <= '0'; + WAIT FOR 15000 ps; + SW(12) <= '1'; + WAIT FOR 5000 ps; + SW(12) <= '0'; + WAIT FOR 5000 ps; + SW(12) <= '1'; + WAIT FOR 15000 ps; + SW(12) <= '0'; + WAIT FOR 5000 ps; + SW(12) <= '1'; + WAIT FOR 5000 ps; + SW(12) <= '0'; + WAIT FOR 10000 ps; + SW(12) <= '1'; + WAIT FOR 5000 ps; + SW(12) <= '0'; + WAIT FOR 10000 ps; + SW(12) <= '1'; + WAIT FOR 5000 ps; + SW(12) <= '0'; + WAIT FOR 10000 ps; + SW(12) <= '1'; + WAIT FOR 5000 ps; + SW(12) <= '0'; + WAIT FOR 20000 ps; + SW(12) <= '1'; + WAIT FOR 5000 ps; + SW(12) <= '0'; + WAIT FOR 5000 ps; + SW(12) <= '1'; + WAIT FOR 10000 ps; + SW(12) <= '0'; + WAIT FOR 10000 ps; + SW(12) <= '1'; + WAIT FOR 5000 ps; + SW(12) <= '0'; + WAIT FOR 5000 ps; + SW(12) <= '1'; + WAIT FOR 5000 ps; + SW(12) <= '0'; + WAIT FOR 10000 ps; + SW(12) <= '1'; + WAIT FOR 15000 ps; + SW(12) <= '0'; + WAIT FOR 25000 ps; + SW(12) <= '1'; + WAIT FOR 5000 ps; + SW(12) <= '0'; + WAIT FOR 20000 ps; + SW(12) <= '1'; + WAIT FOR 40000 ps; + SW(12) <= '0'; + WAIT FOR 10000 ps; + SW(12) <= '1'; + WAIT FOR 10000 ps; + SW(12) <= '0'; + WAIT FOR 5000 ps; + SW(12) <= '1'; + WAIT FOR 15000 ps; + SW(12) <= '0'; + WAIT FOR 25000 ps; + SW(12) <= '1'; + WAIT FOR 15000 ps; + SW(12) <= '0'; + WAIT FOR 5000 ps; + SW(12) <= '1'; + WAIT FOR 10000 ps; + SW(12) <= '0'; + WAIT FOR 5000 ps; + SW(12) <= '1'; + WAIT FOR 25000 ps; + SW(12) <= '0'; + WAIT FOR 10000 ps; + SW(12) <= '1'; + WAIT FOR 10000 ps; + SW(12) <= '0'; + WAIT FOR 10000 ps; + SW(12) <= '1'; + WAIT FOR 10000 ps; + SW(12) <= '0'; + WAIT FOR 10000 ps; + SW(12) <= '1'; + WAIT FOR 5000 ps; + SW(12) <= '0'; + WAIT FOR 15000 ps; + SW(12) <= '1'; + WAIT FOR 5000 ps; + SW(12) <= '0'; + WAIT FOR 5000 ps; + SW(12) <= '1'; + WAIT FOR 5000 ps; + SW(12) <= '0'; + WAIT FOR 5000 ps; + SW(12) <= '1'; + WAIT FOR 5000 ps; + SW(12) <= '0'; + WAIT FOR 5000 ps; + SW(12) <= '1'; + WAIT FOR 15000 ps; + SW(12) <= '0'; + WAIT FOR 5000 ps; + SW(12) <= '1'; + WAIT FOR 5000 ps; + SW(12) <= '0'; + WAIT FOR 10000 ps; + SW(12) <= '1'; + WAIT FOR 5000 ps; + SW(12) <= '0'; + WAIT FOR 10000 ps; + SW(12) <= '1'; +WAIT; +END PROCESS t_prcs_SW_12; +-- SW[11] +t_prcs_SW_11: PROCESS +BEGIN + SW(11) <= '1'; + WAIT FOR 20000 ps; + SW(11) <= '0'; + WAIT FOR 5000 ps; + SW(11) <= '1'; + WAIT FOR 20000 ps; + SW(11) <= '0'; + WAIT FOR 15000 ps; + SW(11) <= '1'; + WAIT FOR 10000 ps; + SW(11) <= '0'; + WAIT FOR 15000 ps; + SW(11) <= '1'; + WAIT FOR 10000 ps; + SW(11) <= '0'; + WAIT FOR 5000 ps; + SW(11) <= '1'; + WAIT FOR 5000 ps; + SW(11) <= '0'; + WAIT FOR 5000 ps; + SW(11) <= '1'; + WAIT FOR 5000 ps; + SW(11) <= '0'; + WAIT FOR 25000 ps; + SW(11) <= '1'; + WAIT FOR 10000 ps; + SW(11) <= '0'; + WAIT FOR 10000 ps; + SW(11) <= '1'; + WAIT FOR 5000 ps; + SW(11) <= '0'; + WAIT FOR 5000 ps; + SW(11) <= '1'; + WAIT FOR 15000 ps; + SW(11) <= '0'; + WAIT FOR 5000 ps; + SW(11) <= '1'; + WAIT FOR 15000 ps; + SW(11) <= '0'; + WAIT FOR 15000 ps; + SW(11) <= '1'; + WAIT FOR 5000 ps; + SW(11) <= '0'; + WAIT FOR 10000 ps; + SW(11) <= '1'; + WAIT FOR 5000 ps; + SW(11) <= '0'; + WAIT FOR 5000 ps; + SW(11) <= '1'; + WAIT FOR 5000 ps; + SW(11) <= '0'; + WAIT FOR 10000 ps; + SW(11) <= '1'; + WAIT FOR 5000 ps; + SW(11) <= '0'; + WAIT FOR 5000 ps; + SW(11) <= '1'; + WAIT FOR 5000 ps; + SW(11) <= '0'; + WAIT FOR 5000 ps; + SW(11) <= '1'; + WAIT FOR 10000 ps; + SW(11) <= '0'; + WAIT FOR 5000 ps; + SW(11) <= '1'; + WAIT FOR 10000 ps; + SW(11) <= '0'; + WAIT FOR 15000 ps; + SW(11) <= '1'; + WAIT FOR 10000 ps; + SW(11) <= '0'; + WAIT FOR 5000 ps; + SW(11) <= '1'; + WAIT FOR 10000 ps; + SW(11) <= '0'; + WAIT FOR 5000 ps; + SW(11) <= '1'; + WAIT FOR 5000 ps; + SW(11) <= '0'; + WAIT FOR 5000 ps; + SW(11) <= '1'; + WAIT FOR 10000 ps; + SW(11) <= '0'; + WAIT FOR 5000 ps; + SW(11) <= '1'; + WAIT FOR 35000 ps; + SW(11) <= '0'; + WAIT FOR 10000 ps; + SW(11) <= '1'; + WAIT FOR 30000 ps; + SW(11) <= '0'; + WAIT FOR 5000 ps; + SW(11) <= '1'; + WAIT FOR 30000 ps; + SW(11) <= '0'; + WAIT FOR 5000 ps; + SW(11) <= '1'; + WAIT FOR 5000 ps; + SW(11) <= '0'; + WAIT FOR 20000 ps; + SW(11) <= '1'; + WAIT FOR 10000 ps; + SW(11) <= '0'; + WAIT FOR 5000 ps; + SW(11) <= '1'; + WAIT FOR 5000 ps; + SW(11) <= '0'; + WAIT FOR 5000 ps; + SW(11) <= '1'; + WAIT FOR 10000 ps; + SW(11) <= '0'; + WAIT FOR 15000 ps; + SW(11) <= '1'; + WAIT FOR 5000 ps; + SW(11) <= '0'; + WAIT FOR 5000 ps; + SW(11) <= '1'; + WAIT FOR 5000 ps; + SW(11) <= '0'; + WAIT FOR 10000 ps; + SW(11) <= '1'; + WAIT FOR 5000 ps; + SW(11) <= '0'; + WAIT FOR 5000 ps; + SW(11) <= '1'; + WAIT FOR 15000 ps; + SW(11) <= '0'; + WAIT FOR 5000 ps; + SW(11) <= '1'; + WAIT FOR 30000 ps; + SW(11) <= '0'; + WAIT FOR 5000 ps; + SW(11) <= '1'; + WAIT FOR 10000 ps; + SW(11) <= '0'; + WAIT FOR 5000 ps; + SW(11) <= '1'; + WAIT FOR 5000 ps; + SW(11) <= '0'; + WAIT FOR 5000 ps; + SW(11) <= '1'; + WAIT FOR 10000 ps; + SW(11) <= '0'; + WAIT FOR 10000 ps; + SW(11) <= '1'; + WAIT FOR 10000 ps; + SW(11) <= '0'; + WAIT FOR 5000 ps; + SW(11) <= '1'; + WAIT FOR 10000 ps; + SW(11) <= '0'; + WAIT FOR 10000 ps; + SW(11) <= '1'; + WAIT FOR 5000 ps; + SW(11) <= '0'; + WAIT FOR 5000 ps; + SW(11) <= '1'; + WAIT FOR 5000 ps; + SW(11) <= '0'; + WAIT FOR 5000 ps; + SW(11) <= '1'; + WAIT FOR 40000 ps; + SW(11) <= '0'; + WAIT FOR 5000 ps; + SW(11) <= '1'; + WAIT FOR 5000 ps; + SW(11) <= '0'; + WAIT FOR 10000 ps; + SW(11) <= '1'; + WAIT FOR 5000 ps; + SW(11) <= '0'; + WAIT FOR 20000 ps; + SW(11) <= '1'; + WAIT FOR 5000 ps; + SW(11) <= '0'; + WAIT FOR 15000 ps; + SW(11) <= '1'; + WAIT FOR 10000 ps; + SW(11) <= '0'; + WAIT FOR 10000 ps; + SW(11) <= '1'; + WAIT FOR 5000 ps; + SW(11) <= '0'; + WAIT FOR 5000 ps; + SW(11) <= '1'; + WAIT FOR 10000 ps; + SW(11) <= '0'; + WAIT FOR 15000 ps; + SW(11) <= '1'; + WAIT FOR 5000 ps; + SW(11) <= '0'; + WAIT FOR 5000 ps; + SW(11) <= '1'; + WAIT FOR 5000 ps; + SW(11) <= '0'; + WAIT FOR 5000 ps; + SW(11) <= '1'; + WAIT FOR 20000 ps; + SW(11) <= '0'; + WAIT FOR 10000 ps; + SW(11) <= '1'; + WAIT FOR 5000 ps; + SW(11) <= '0'; + WAIT FOR 10000 ps; + SW(11) <= '1'; + WAIT FOR 5000 ps; + SW(11) <= '0'; + WAIT FOR 5000 ps; + SW(11) <= '1'; + WAIT FOR 5000 ps; + SW(11) <= '0'; +WAIT; +END PROCESS t_prcs_SW_11; +-- SW[10] +t_prcs_SW_10: PROCESS +BEGIN + SW(10) <= '0'; + WAIT FOR 5000 ps; + SW(10) <= '1'; + WAIT FOR 5000 ps; + SW(10) <= '0'; + WAIT FOR 5000 ps; + SW(10) <= '1'; + WAIT FOR 5000 ps; + SW(10) <= '0'; + WAIT FOR 5000 ps; + SW(10) <= '1'; + WAIT FOR 10000 ps; + SW(10) <= '0'; + WAIT FOR 15000 ps; + SW(10) <= '1'; + WAIT FOR 5000 ps; + SW(10) <= '0'; + WAIT FOR 15000 ps; + SW(10) <= '1'; + WAIT FOR 10000 ps; + SW(10) <= '0'; + WAIT FOR 5000 ps; + SW(10) <= '1'; + WAIT FOR 15000 ps; + SW(10) <= '0'; + WAIT FOR 5000 ps; + SW(10) <= '1'; + WAIT FOR 15000 ps; + SW(10) <= '0'; + WAIT FOR 10000 ps; + SW(10) <= '1'; + WAIT FOR 5000 ps; + SW(10) <= '0'; + WAIT FOR 10000 ps; + SW(10) <= '1'; + WAIT FOR 10000 ps; + SW(10) <= '0'; + WAIT FOR 20000 ps; + SW(10) <= '1'; + WAIT FOR 5000 ps; + SW(10) <= '0'; + WAIT FOR 5000 ps; + SW(10) <= '1'; + WAIT FOR 10000 ps; + SW(10) <= '0'; + WAIT FOR 5000 ps; + SW(10) <= '1'; + WAIT FOR 5000 ps; + SW(10) <= '0'; + WAIT FOR 5000 ps; + SW(10) <= '1'; + WAIT FOR 5000 ps; + SW(10) <= '0'; + WAIT FOR 10000 ps; + SW(10) <= '1'; + WAIT FOR 10000 ps; + SW(10) <= '0'; + WAIT FOR 10000 ps; + SW(10) <= '1'; + WAIT FOR 5000 ps; + SW(10) <= '0'; + WAIT FOR 50000 ps; + SW(10) <= '1'; + WAIT FOR 5000 ps; + SW(10) <= '0'; + WAIT FOR 10000 ps; + SW(10) <= '1'; + WAIT FOR 20000 ps; + SW(10) <= '0'; + WAIT FOR 15000 ps; + SW(10) <= '1'; + WAIT FOR 10000 ps; + SW(10) <= '0'; + WAIT FOR 10000 ps; + SW(10) <= '1'; + WAIT FOR 10000 ps; + SW(10) <= '0'; + WAIT FOR 5000 ps; + SW(10) <= '1'; + WAIT FOR 10000 ps; + SW(10) <= '0'; + WAIT FOR 10000 ps; + SW(10) <= '1'; + WAIT FOR 10000 ps; + SW(10) <= '0'; + WAIT FOR 15000 ps; + SW(10) <= '1'; + WAIT FOR 5000 ps; + SW(10) <= '0'; + WAIT FOR 15000 ps; + SW(10) <= '1'; + WAIT FOR 10000 ps; + SW(10) <= '0'; + WAIT FOR 10000 ps; + SW(10) <= '1'; + WAIT FOR 5000 ps; + SW(10) <= '0'; + WAIT FOR 5000 ps; + SW(10) <= '1'; + WAIT FOR 5000 ps; + SW(10) <= '0'; + WAIT FOR 5000 ps; + SW(10) <= '1'; + WAIT FOR 15000 ps; + SW(10) <= '0'; + WAIT FOR 5000 ps; + SW(10) <= '1'; + WAIT FOR 10000 ps; + SW(10) <= '0'; + WAIT FOR 5000 ps; + SW(10) <= '1'; + WAIT FOR 5000 ps; + SW(10) <= '0'; + WAIT FOR 15000 ps; + SW(10) <= '1'; + WAIT FOR 25000 ps; + SW(10) <= '0'; + WAIT FOR 5000 ps; + SW(10) <= '1'; + WAIT FOR 15000 ps; + SW(10) <= '0'; + WAIT FOR 10000 ps; + SW(10) <= '1'; + WAIT FOR 5000 ps; + SW(10) <= '0'; + WAIT FOR 5000 ps; + SW(10) <= '1'; + WAIT FOR 5000 ps; + SW(10) <= '0'; + WAIT FOR 5000 ps; + SW(10) <= '1'; + WAIT FOR 5000 ps; + SW(10) <= '0'; + WAIT FOR 5000 ps; + SW(10) <= '1'; + WAIT FOR 10000 ps; + SW(10) <= '0'; + WAIT FOR 10000 ps; + SW(10) <= '1'; + WAIT FOR 20000 ps; + SW(10) <= '0'; + WAIT FOR 15000 ps; + SW(10) <= '1'; + WAIT FOR 10000 ps; + SW(10) <= '0'; + WAIT FOR 5000 ps; + SW(10) <= '1'; + WAIT FOR 10000 ps; + SW(10) <= '0'; + WAIT FOR 5000 ps; + SW(10) <= '1'; + WAIT FOR 5000 ps; + SW(10) <= '0'; + WAIT FOR 20000 ps; + SW(10) <= '1'; + WAIT FOR 5000 ps; + SW(10) <= '0'; + WAIT FOR 5000 ps; + SW(10) <= '1'; + WAIT FOR 5000 ps; + SW(10) <= '0'; + WAIT FOR 5000 ps; + SW(10) <= '1'; + WAIT FOR 5000 ps; + SW(10) <= '0'; + WAIT FOR 20000 ps; + SW(10) <= '1'; + WAIT FOR 10000 ps; + SW(10) <= '0'; + WAIT FOR 15000 ps; + SW(10) <= '1'; + WAIT FOR 5000 ps; + SW(10) <= '0'; + WAIT FOR 25000 ps; + SW(10) <= '1'; + WAIT FOR 5000 ps; + SW(10) <= '0'; + WAIT FOR 5000 ps; + SW(10) <= '1'; + WAIT FOR 15000 ps; + SW(10) <= '0'; + WAIT FOR 20000 ps; + SW(10) <= '1'; + WAIT FOR 10000 ps; + SW(10) <= '0'; + WAIT FOR 10000 ps; + SW(10) <= '1'; + WAIT FOR 10000 ps; + SW(10) <= '0'; + WAIT FOR 5000 ps; + SW(10) <= '1'; + WAIT FOR 20000 ps; + SW(10) <= '0'; + WAIT FOR 20000 ps; + SW(10) <= '1'; + WAIT FOR 10000 ps; + SW(10) <= '0'; + WAIT FOR 5000 ps; + SW(10) <= '1'; + WAIT FOR 5000 ps; + SW(10) <= '0'; + WAIT FOR 15000 ps; + SW(10) <= '1'; +WAIT; +END PROCESS t_prcs_SW_10; +-- SW[9] +t_prcs_SW_9: PROCESS +BEGIN + SW(9) <= '0'; + WAIT FOR 5000 ps; + SW(9) <= '1'; + WAIT FOR 10000 ps; + SW(9) <= '0'; + WAIT FOR 5000 ps; + SW(9) <= '1'; + WAIT FOR 5000 ps; + SW(9) <= '0'; + WAIT FOR 30000 ps; + SW(9) <= '1'; + WAIT FOR 5000 ps; + SW(9) <= '0'; + WAIT FOR 5000 ps; + SW(9) <= '1'; + WAIT FOR 5000 ps; + SW(9) <= '0'; + WAIT FOR 5000 ps; + SW(9) <= '1'; + WAIT FOR 15000 ps; + SW(9) <= '0'; + WAIT FOR 5000 ps; + SW(9) <= '1'; + WAIT FOR 10000 ps; + SW(9) <= '0'; + WAIT FOR 15000 ps; + SW(9) <= '1'; + WAIT FOR 45000 ps; + SW(9) <= '0'; + WAIT FOR 5000 ps; + SW(9) <= '1'; + WAIT FOR 5000 ps; + SW(9) <= '0'; + WAIT FOR 10000 ps; + SW(9) <= '1'; + WAIT FOR 10000 ps; + SW(9) <= '0'; + WAIT FOR 10000 ps; + SW(9) <= '1'; + WAIT FOR 20000 ps; + SW(9) <= '0'; + WAIT FOR 5000 ps; + SW(9) <= '1'; + WAIT FOR 5000 ps; + SW(9) <= '0'; + WAIT FOR 20000 ps; + SW(9) <= '1'; + WAIT FOR 5000 ps; + SW(9) <= '0'; + WAIT FOR 25000 ps; + SW(9) <= '1'; + WAIT FOR 5000 ps; + SW(9) <= '0'; + WAIT FOR 15000 ps; + SW(9) <= '1'; + WAIT FOR 25000 ps; + SW(9) <= '0'; + WAIT FOR 25000 ps; + SW(9) <= '1'; + WAIT FOR 25000 ps; + SW(9) <= '0'; + WAIT FOR 25000 ps; + SW(9) <= '1'; + WAIT FOR 5000 ps; + SW(9) <= '0'; + WAIT FOR 25000 ps; + SW(9) <= '1'; + WAIT FOR 15000 ps; + SW(9) <= '0'; + WAIT FOR 5000 ps; + SW(9) <= '1'; + WAIT FOR 5000 ps; + SW(9) <= '0'; + WAIT FOR 5000 ps; + SW(9) <= '1'; + WAIT FOR 10000 ps; + SW(9) <= '0'; + WAIT FOR 10000 ps; + SW(9) <= '1'; + WAIT FOR 5000 ps; + SW(9) <= '0'; + WAIT FOR 10000 ps; + SW(9) <= '1'; + WAIT FOR 10000 ps; + SW(9) <= '0'; + WAIT FOR 10000 ps; + SW(9) <= '1'; + WAIT FOR 5000 ps; + SW(9) <= '0'; + WAIT FOR 5000 ps; + SW(9) <= '1'; + WAIT FOR 15000 ps; + SW(9) <= '0'; + WAIT FOR 5000 ps; + SW(9) <= '1'; + WAIT FOR 10000 ps; + SW(9) <= '0'; + WAIT FOR 45000 ps; + SW(9) <= '1'; + WAIT FOR 10000 ps; + SW(9) <= '0'; + WAIT FOR 5000 ps; + SW(9) <= '1'; + WAIT FOR 20000 ps; + SW(9) <= '0'; + WAIT FOR 10000 ps; + SW(9) <= '1'; + WAIT FOR 5000 ps; + SW(9) <= '0'; + WAIT FOR 5000 ps; + SW(9) <= '1'; + WAIT FOR 5000 ps; + SW(9) <= '0'; + WAIT FOR 10000 ps; + SW(9) <= '1'; + WAIT FOR 5000 ps; + SW(9) <= '0'; + WAIT FOR 15000 ps; + SW(9) <= '1'; + WAIT FOR 10000 ps; + SW(9) <= '0'; + WAIT FOR 5000 ps; + SW(9) <= '1'; + WAIT FOR 10000 ps; + SW(9) <= '0'; + WAIT FOR 5000 ps; + SW(9) <= '1'; + WAIT FOR 5000 ps; + SW(9) <= '0'; + WAIT FOR 10000 ps; + SW(9) <= '1'; + WAIT FOR 5000 ps; + SW(9) <= '0'; + WAIT FOR 5000 ps; + SW(9) <= '1'; + WAIT FOR 5000 ps; + SW(9) <= '0'; + WAIT FOR 5000 ps; + SW(9) <= '1'; + WAIT FOR 5000 ps; + SW(9) <= '0'; + WAIT FOR 20000 ps; + SW(9) <= '1'; + WAIT FOR 5000 ps; + SW(9) <= '0'; + WAIT FOR 15000 ps; + SW(9) <= '1'; + WAIT FOR 5000 ps; + SW(9) <= '0'; + WAIT FOR 5000 ps; + SW(9) <= '1'; + WAIT FOR 5000 ps; + SW(9) <= '0'; + WAIT FOR 10000 ps; + SW(9) <= '1'; + WAIT FOR 10000 ps; + SW(9) <= '0'; + WAIT FOR 10000 ps; + SW(9) <= '1'; + WAIT FOR 10000 ps; + SW(9) <= '0'; + WAIT FOR 10000 ps; + SW(9) <= '1'; + WAIT FOR 5000 ps; + SW(9) <= '0'; + WAIT FOR 10000 ps; + SW(9) <= '1'; + WAIT FOR 25000 ps; + SW(9) <= '0'; + WAIT FOR 5000 ps; + SW(9) <= '1'; + WAIT FOR 5000 ps; + SW(9) <= '0'; + WAIT FOR 10000 ps; + SW(9) <= '1'; + WAIT FOR 5000 ps; + SW(9) <= '0'; + WAIT FOR 5000 ps; + SW(9) <= '1'; + WAIT FOR 10000 ps; + SW(9) <= '0'; + WAIT FOR 5000 ps; + SW(9) <= '1'; + WAIT FOR 10000 ps; + SW(9) <= '0'; + WAIT FOR 15000 ps; + SW(9) <= '1'; + WAIT FOR 15000 ps; + SW(9) <= '0'; +WAIT; +END PROCESS t_prcs_SW_9; +-- SW[8] +t_prcs_SW_8: PROCESS +BEGIN + SW(8) <= '1'; + WAIT FOR 5000 ps; + SW(8) <= '0'; + WAIT FOR 5000 ps; + SW(8) <= '1'; + WAIT FOR 5000 ps; + SW(8) <= '0'; + WAIT FOR 5000 ps; + SW(8) <= '1'; + WAIT FOR 15000 ps; + SW(8) <= '0'; + WAIT FOR 15000 ps; + SW(8) <= '1'; + WAIT FOR 15000 ps; + SW(8) <= '0'; + WAIT FOR 10000 ps; + SW(8) <= '1'; + WAIT FOR 20000 ps; + SW(8) <= '0'; + WAIT FOR 20000 ps; + SW(8) <= '1'; + WAIT FOR 5000 ps; + SW(8) <= '0'; + WAIT FOR 5000 ps; + SW(8) <= '1'; + WAIT FOR 5000 ps; + SW(8) <= '0'; + WAIT FOR 15000 ps; + SW(8) <= '1'; + WAIT FOR 20000 ps; + SW(8) <= '0'; + WAIT FOR 5000 ps; + SW(8) <= '1'; + WAIT FOR 10000 ps; + SW(8) <= '0'; + WAIT FOR 5000 ps; + SW(8) <= '1'; + WAIT FOR 15000 ps; + SW(8) <= '0'; + WAIT FOR 5000 ps; + SW(8) <= '1'; + WAIT FOR 10000 ps; + SW(8) <= '0'; + WAIT FOR 10000 ps; + SW(8) <= '1'; + WAIT FOR 5000 ps; + SW(8) <= '0'; + WAIT FOR 5000 ps; + SW(8) <= '1'; + WAIT FOR 5000 ps; + SW(8) <= '0'; + WAIT FOR 5000 ps; + SW(8) <= '1'; + WAIT FOR 20000 ps; + SW(8) <= '0'; + WAIT FOR 5000 ps; + SW(8) <= '1'; + WAIT FOR 10000 ps; + SW(8) <= '0'; + WAIT FOR 5000 ps; + SW(8) <= '1'; + WAIT FOR 15000 ps; + SW(8) <= '0'; + WAIT FOR 5000 ps; + SW(8) <= '1'; + WAIT FOR 5000 ps; + SW(8) <= '0'; + WAIT FOR 5000 ps; + SW(8) <= '1'; + WAIT FOR 5000 ps; + SW(8) <= '0'; + WAIT FOR 5000 ps; + SW(8) <= '1'; + WAIT FOR 10000 ps; + SW(8) <= '0'; + WAIT FOR 20000 ps; + SW(8) <= '1'; + WAIT FOR 5000 ps; + SW(8) <= '0'; + WAIT FOR 15000 ps; + SW(8) <= '1'; + WAIT FOR 5000 ps; + SW(8) <= '0'; + WAIT FOR 20000 ps; + SW(8) <= '1'; + WAIT FOR 10000 ps; + SW(8) <= '0'; + WAIT FOR 15000 ps; + SW(8) <= '1'; + WAIT FOR 5000 ps; + SW(8) <= '0'; + WAIT FOR 10000 ps; + SW(8) <= '1'; + WAIT FOR 5000 ps; + SW(8) <= '0'; + WAIT FOR 5000 ps; + SW(8) <= '1'; + WAIT FOR 5000 ps; + SW(8) <= '0'; + WAIT FOR 5000 ps; + SW(8) <= '1'; + WAIT FOR 5000 ps; + SW(8) <= '0'; + WAIT FOR 5000 ps; + SW(8) <= '1'; + WAIT FOR 5000 ps; + SW(8) <= '0'; + WAIT FOR 10000 ps; + SW(8) <= '1'; + WAIT FOR 5000 ps; + SW(8) <= '0'; + WAIT FOR 10000 ps; + SW(8) <= '1'; + WAIT FOR 10000 ps; + SW(8) <= '0'; + WAIT FOR 15000 ps; + SW(8) <= '1'; + WAIT FOR 15000 ps; + SW(8) <= '0'; + WAIT FOR 5000 ps; + SW(8) <= '1'; + WAIT FOR 5000 ps; + SW(8) <= '0'; + WAIT FOR 5000 ps; + SW(8) <= '1'; + WAIT FOR 10000 ps; + SW(8) <= '0'; + WAIT FOR 5000 ps; + SW(8) <= '1'; + WAIT FOR 10000 ps; + SW(8) <= '0'; + WAIT FOR 5000 ps; + SW(8) <= '1'; + WAIT FOR 5000 ps; + SW(8) <= '0'; + WAIT FOR 10000 ps; + SW(8) <= '1'; + WAIT FOR 10000 ps; + SW(8) <= '0'; + WAIT FOR 20000 ps; + SW(8) <= '1'; + WAIT FOR 10000 ps; + SW(8) <= '0'; + WAIT FOR 5000 ps; + SW(8) <= '1'; + WAIT FOR 10000 ps; + SW(8) <= '0'; + WAIT FOR 25000 ps; + SW(8) <= '1'; + WAIT FOR 20000 ps; + SW(8) <= '0'; + WAIT FOR 5000 ps; + SW(8) <= '1'; + WAIT FOR 10000 ps; + SW(8) <= '0'; + WAIT FOR 25000 ps; + SW(8) <= '1'; + WAIT FOR 5000 ps; + SW(8) <= '0'; + WAIT FOR 5000 ps; + SW(8) <= '1'; + WAIT FOR 5000 ps; + SW(8) <= '0'; + WAIT FOR 5000 ps; + SW(8) <= '1'; + WAIT FOR 5000 ps; + SW(8) <= '0'; + WAIT FOR 10000 ps; + SW(8) <= '1'; + WAIT FOR 20000 ps; + SW(8) <= '0'; + WAIT FOR 10000 ps; + SW(8) <= '1'; + WAIT FOR 10000 ps; + SW(8) <= '0'; + WAIT FOR 5000 ps; + SW(8) <= '1'; + WAIT FOR 5000 ps; + SW(8) <= '0'; + WAIT FOR 5000 ps; + SW(8) <= '1'; + WAIT FOR 5000 ps; + SW(8) <= '0'; + WAIT FOR 5000 ps; + SW(8) <= '1'; + WAIT FOR 5000 ps; + SW(8) <= '0'; + WAIT FOR 5000 ps; + SW(8) <= '1'; + WAIT FOR 5000 ps; + SW(8) <= '0'; + WAIT FOR 10000 ps; + SW(8) <= '1'; + WAIT FOR 10000 ps; + SW(8) <= '0'; + WAIT FOR 5000 ps; + SW(8) <= '1'; + WAIT FOR 10000 ps; + SW(8) <= '0'; + WAIT FOR 5000 ps; + SW(8) <= '1'; + WAIT FOR 5000 ps; + SW(8) <= '0'; + WAIT FOR 5000 ps; + SW(8) <= '1'; + WAIT FOR 5000 ps; + SW(8) <= '0'; + WAIT FOR 5000 ps; + SW(8) <= '1'; + WAIT FOR 15000 ps; + SW(8) <= '0'; + WAIT FOR 5000 ps; + SW(8) <= '1'; + WAIT FOR 5000 ps; + SW(8) <= '0'; + WAIT FOR 5000 ps; + SW(8) <= '1'; + WAIT FOR 5000 ps; + SW(8) <= '0'; + WAIT FOR 10000 ps; + SW(8) <= '1'; + WAIT FOR 25000 ps; + SW(8) <= '0'; + WAIT FOR 10000 ps; + SW(8) <= '1'; +WAIT; +END PROCESS t_prcs_SW_8; +-- SW[7] +t_prcs_SW_7: PROCESS +BEGIN + SW(7) <= '0'; + WAIT FOR 20000 ps; + SW(7) <= '1'; + WAIT FOR 5000 ps; + SW(7) <= '0'; + WAIT FOR 5000 ps; + SW(7) <= '1'; + WAIT FOR 5000 ps; + SW(7) <= '0'; + WAIT FOR 10000 ps; + SW(7) <= '1'; + WAIT FOR 15000 ps; + SW(7) <= '0'; + WAIT FOR 5000 ps; + SW(7) <= '1'; + WAIT FOR 15000 ps; + SW(7) <= '0'; + WAIT FOR 5000 ps; + SW(7) <= '1'; + WAIT FOR 5000 ps; + SW(7) <= '0'; + WAIT FOR 5000 ps; + SW(7) <= '1'; + WAIT FOR 5000 ps; + SW(7) <= '0'; + WAIT FOR 35000 ps; + SW(7) <= '1'; + WAIT FOR 5000 ps; + SW(7) <= '0'; + WAIT FOR 5000 ps; + SW(7) <= '1'; + WAIT FOR 5000 ps; + SW(7) <= '0'; + WAIT FOR 20000 ps; + SW(7) <= '1'; + WAIT FOR 20000 ps; + SW(7) <= '0'; + WAIT FOR 15000 ps; + SW(7) <= '1'; + WAIT FOR 10000 ps; + SW(7) <= '0'; + WAIT FOR 5000 ps; + SW(7) <= '1'; + WAIT FOR 10000 ps; + SW(7) <= '0'; + WAIT FOR 5000 ps; + SW(7) <= '1'; + WAIT FOR 10000 ps; + SW(7) <= '0'; + WAIT FOR 15000 ps; + SW(7) <= '1'; + WAIT FOR 5000 ps; + SW(7) <= '0'; + WAIT FOR 15000 ps; + SW(7) <= '1'; + WAIT FOR 5000 ps; + SW(7) <= '0'; + WAIT FOR 5000 ps; + SW(7) <= '1'; + WAIT FOR 10000 ps; + SW(7) <= '0'; + WAIT FOR 5000 ps; + SW(7) <= '1'; + WAIT FOR 25000 ps; + SW(7) <= '0'; + WAIT FOR 10000 ps; + SW(7) <= '1'; + WAIT FOR 15000 ps; + SW(7) <= '0'; + WAIT FOR 15000 ps; + SW(7) <= '1'; + WAIT FOR 5000 ps; + SW(7) <= '0'; + WAIT FOR 5000 ps; + SW(7) <= '1'; + WAIT FOR 10000 ps; + SW(7) <= '0'; + WAIT FOR 5000 ps; + SW(7) <= '1'; + WAIT FOR 10000 ps; + SW(7) <= '0'; + WAIT FOR 20000 ps; + SW(7) <= '1'; + WAIT FOR 5000 ps; + SW(7) <= '0'; + WAIT FOR 5000 ps; + SW(7) <= '1'; + WAIT FOR 5000 ps; + SW(7) <= '0'; + WAIT FOR 20000 ps; + SW(7) <= '1'; + WAIT FOR 10000 ps; + SW(7) <= '0'; + WAIT FOR 10000 ps; + SW(7) <= '1'; + WAIT FOR 5000 ps; + SW(7) <= '0'; + WAIT FOR 15000 ps; + SW(7) <= '1'; + WAIT FOR 20000 ps; + SW(7) <= '0'; + WAIT FOR 15000 ps; + SW(7) <= '1'; + WAIT FOR 5000 ps; + SW(7) <= '0'; + WAIT FOR 5000 ps; + SW(7) <= '1'; + WAIT FOR 5000 ps; + SW(7) <= '0'; + WAIT FOR 5000 ps; + SW(7) <= '1'; + WAIT FOR 10000 ps; + SW(7) <= '0'; + WAIT FOR 5000 ps; + SW(7) <= '1'; + WAIT FOR 5000 ps; + SW(7) <= '0'; + WAIT FOR 5000 ps; + SW(7) <= '1'; + WAIT FOR 15000 ps; + SW(7) <= '0'; + WAIT FOR 25000 ps; + SW(7) <= '1'; + WAIT FOR 5000 ps; + SW(7) <= '0'; + WAIT FOR 5000 ps; + SW(7) <= '1'; + WAIT FOR 5000 ps; + SW(7) <= '0'; + WAIT FOR 10000 ps; + SW(7) <= '1'; + WAIT FOR 5000 ps; + SW(7) <= '0'; + WAIT FOR 5000 ps; + SW(7) <= '1'; + WAIT FOR 5000 ps; + SW(7) <= '0'; + WAIT FOR 20000 ps; + SW(7) <= '1'; + WAIT FOR 15000 ps; + SW(7) <= '0'; + WAIT FOR 25000 ps; + SW(7) <= '1'; + WAIT FOR 5000 ps; + SW(7) <= '0'; + WAIT FOR 5000 ps; + SW(7) <= '1'; + WAIT FOR 15000 ps; + SW(7) <= '0'; + WAIT FOR 5000 ps; + SW(7) <= '1'; + WAIT FOR 10000 ps; + SW(7) <= '0'; + WAIT FOR 10000 ps; + SW(7) <= '1'; + WAIT FOR 5000 ps; + SW(7) <= '0'; + WAIT FOR 15000 ps; + SW(7) <= '1'; + WAIT FOR 5000 ps; + SW(7) <= '0'; + WAIT FOR 5000 ps; + SW(7) <= '1'; + WAIT FOR 5000 ps; + SW(7) <= '0'; + WAIT FOR 5000 ps; + SW(7) <= '1'; + WAIT FOR 5000 ps; + SW(7) <= '0'; + WAIT FOR 10000 ps; + SW(7) <= '1'; + WAIT FOR 10000 ps; + SW(7) <= '0'; + WAIT FOR 5000 ps; + SW(7) <= '1'; + WAIT FOR 20000 ps; + SW(7) <= '0'; + WAIT FOR 30000 ps; + SW(7) <= '1'; + WAIT FOR 10000 ps; + SW(7) <= '0'; + WAIT FOR 15000 ps; + SW(7) <= '1'; + WAIT FOR 5000 ps; + SW(7) <= '0'; + WAIT FOR 5000 ps; + SW(7) <= '1'; + WAIT FOR 15000 ps; + SW(7) <= '0'; + WAIT FOR 5000 ps; + SW(7) <= '1'; + WAIT FOR 5000 ps; + SW(7) <= '0'; + WAIT FOR 15000 ps; + SW(7) <= '1'; + WAIT FOR 5000 ps; + SW(7) <= '0'; + WAIT FOR 10000 ps; + SW(7) <= '1'; + WAIT FOR 5000 ps; + SW(7) <= '0'; + WAIT FOR 10000 ps; + SW(7) <= '1'; +WAIT; +END PROCESS t_prcs_SW_7; +-- SW[6] +t_prcs_SW_6: PROCESS +BEGIN + SW(6) <= '0'; + WAIT FOR 20000 ps; + SW(6) <= '1'; + WAIT FOR 20000 ps; + SW(6) <= '0'; + WAIT FOR 5000 ps; + SW(6) <= '1'; + WAIT FOR 15000 ps; + SW(6) <= '0'; + WAIT FOR 20000 ps; + SW(6) <= '1'; + WAIT FOR 20000 ps; + SW(6) <= '0'; + WAIT FOR 10000 ps; + SW(6) <= '1'; + WAIT FOR 10000 ps; + SW(6) <= '0'; + WAIT FOR 15000 ps; + SW(6) <= '1'; + WAIT FOR 35000 ps; + SW(6) <= '0'; + WAIT FOR 5000 ps; + SW(6) <= '1'; + WAIT FOR 10000 ps; + SW(6) <= '0'; + WAIT FOR 10000 ps; + SW(6) <= '1'; + WAIT FOR 10000 ps; + SW(6) <= '0'; + WAIT FOR 20000 ps; + SW(6) <= '1'; + WAIT FOR 5000 ps; + SW(6) <= '0'; + WAIT FOR 5000 ps; + SW(6) <= '1'; + WAIT FOR 10000 ps; + SW(6) <= '0'; + WAIT FOR 20000 ps; + SW(6) <= '1'; + WAIT FOR 10000 ps; + SW(6) <= '0'; + WAIT FOR 35000 ps; + SW(6) <= '1'; + WAIT FOR 5000 ps; + SW(6) <= '0'; + WAIT FOR 10000 ps; + SW(6) <= '1'; + WAIT FOR 5000 ps; + SW(6) <= '0'; + WAIT FOR 5000 ps; + SW(6) <= '1'; + WAIT FOR 10000 ps; + SW(6) <= '0'; + WAIT FOR 5000 ps; + SW(6) <= '1'; + WAIT FOR 25000 ps; + SW(6) <= '0'; + WAIT FOR 5000 ps; + SW(6) <= '1'; + WAIT FOR 10000 ps; + SW(6) <= '0'; + WAIT FOR 5000 ps; + SW(6) <= '1'; + WAIT FOR 5000 ps; + SW(6) <= '0'; + WAIT FOR 5000 ps; + SW(6) <= '1'; + WAIT FOR 5000 ps; + SW(6) <= '0'; + WAIT FOR 5000 ps; + SW(6) <= '1'; + WAIT FOR 5000 ps; + SW(6) <= '0'; + WAIT FOR 15000 ps; + SW(6) <= '1'; + WAIT FOR 5000 ps; + SW(6) <= '0'; + WAIT FOR 5000 ps; + SW(6) <= '1'; + WAIT FOR 5000 ps; + SW(6) <= '0'; + WAIT FOR 10000 ps; + SW(6) <= '1'; + WAIT FOR 5000 ps; + SW(6) <= '0'; + WAIT FOR 5000 ps; + SW(6) <= '1'; + WAIT FOR 5000 ps; + SW(6) <= '0'; + WAIT FOR 5000 ps; + SW(6) <= '1'; + WAIT FOR 5000 ps; + SW(6) <= '0'; + WAIT FOR 10000 ps; + SW(6) <= '1'; + WAIT FOR 20000 ps; + SW(6) <= '0'; + WAIT FOR 15000 ps; + SW(6) <= '1'; + WAIT FOR 5000 ps; + SW(6) <= '0'; + WAIT FOR 5000 ps; + SW(6) <= '1'; + WAIT FOR 5000 ps; + SW(6) <= '0'; + WAIT FOR 25000 ps; + SW(6) <= '1'; + WAIT FOR 10000 ps; + SW(6) <= '0'; + WAIT FOR 10000 ps; + SW(6) <= '1'; + WAIT FOR 10000 ps; + SW(6) <= '0'; + WAIT FOR 5000 ps; + SW(6) <= '1'; + WAIT FOR 20000 ps; + SW(6) <= '0'; + WAIT FOR 10000 ps; + SW(6) <= '1'; + WAIT FOR 5000 ps; + SW(6) <= '0'; + WAIT FOR 10000 ps; + SW(6) <= '1'; + WAIT FOR 5000 ps; + SW(6) <= '0'; + WAIT FOR 5000 ps; + SW(6) <= '1'; + WAIT FOR 5000 ps; + SW(6) <= '0'; + WAIT FOR 10000 ps; + SW(6) <= '1'; + WAIT FOR 5000 ps; + SW(6) <= '0'; + WAIT FOR 5000 ps; + SW(6) <= '1'; + WAIT FOR 25000 ps; + SW(6) <= '0'; + WAIT FOR 5000 ps; + SW(6) <= '1'; + WAIT FOR 15000 ps; + SW(6) <= '0'; + WAIT FOR 5000 ps; + SW(6) <= '1'; + WAIT FOR 5000 ps; + SW(6) <= '0'; + WAIT FOR 15000 ps; + SW(6) <= '1'; + WAIT FOR 5000 ps; + SW(6) <= '0'; + WAIT FOR 30000 ps; + SW(6) <= '1'; + WAIT FOR 15000 ps; + SW(6) <= '0'; + WAIT FOR 15000 ps; + SW(6) <= '1'; + WAIT FOR 5000 ps; + SW(6) <= '0'; + WAIT FOR 15000 ps; + SW(6) <= '1'; + WAIT FOR 5000 ps; + SW(6) <= '0'; + WAIT FOR 10000 ps; + SW(6) <= '1'; + WAIT FOR 10000 ps; + SW(6) <= '0'; + WAIT FOR 5000 ps; + SW(6) <= '1'; + WAIT FOR 25000 ps; + SW(6) <= '0'; + WAIT FOR 10000 ps; + SW(6) <= '1'; + WAIT FOR 5000 ps; + SW(6) <= '0'; + WAIT FOR 5000 ps; + SW(6) <= '1'; + WAIT FOR 5000 ps; + SW(6) <= '0'; + WAIT FOR 5000 ps; + SW(6) <= '1'; + WAIT FOR 5000 ps; + SW(6) <= '0'; + WAIT FOR 10000 ps; + SW(6) <= '1'; + WAIT FOR 5000 ps; + SW(6) <= '0'; + WAIT FOR 15000 ps; + SW(6) <= '1'; + WAIT FOR 5000 ps; + SW(6) <= '0'; + WAIT FOR 10000 ps; + SW(6) <= '1'; + WAIT FOR 10000 ps; + SW(6) <= '0'; + WAIT FOR 5000 ps; + SW(6) <= '1'; +WAIT; +END PROCESS t_prcs_SW_6; +-- SW[5] +t_prcs_SW_5: PROCESS +BEGIN + SW(5) <= '1'; + WAIT FOR 20000 ps; + SW(5) <= '0'; + WAIT FOR 5000 ps; + SW(5) <= '1'; + WAIT FOR 10000 ps; + SW(5) <= '0'; + WAIT FOR 15000 ps; + SW(5) <= '1'; + WAIT FOR 5000 ps; + SW(5) <= '0'; + WAIT FOR 10000 ps; + SW(5) <= '1'; + WAIT FOR 10000 ps; + SW(5) <= '0'; + WAIT FOR 5000 ps; + SW(5) <= '1'; + WAIT FOR 10000 ps; + SW(5) <= '0'; + WAIT FOR 5000 ps; + SW(5) <= '1'; + WAIT FOR 5000 ps; + SW(5) <= '0'; + WAIT FOR 10000 ps; + SW(5) <= '1'; + WAIT FOR 5000 ps; + SW(5) <= '0'; + WAIT FOR 40000 ps; + SW(5) <= '1'; + WAIT FOR 5000 ps; + SW(5) <= '0'; + WAIT FOR 15000 ps; + SW(5) <= '1'; + WAIT FOR 5000 ps; + SW(5) <= '0'; + WAIT FOR 5000 ps; + SW(5) <= '1'; + WAIT FOR 5000 ps; + SW(5) <= '0'; + WAIT FOR 10000 ps; + SW(5) <= '1'; + WAIT FOR 10000 ps; + SW(5) <= '0'; + WAIT FOR 5000 ps; + SW(5) <= '1'; + WAIT FOR 5000 ps; + SW(5) <= '0'; + WAIT FOR 15000 ps; + SW(5) <= '1'; + WAIT FOR 10000 ps; + SW(5) <= '0'; + WAIT FOR 5000 ps; + SW(5) <= '1'; + WAIT FOR 25000 ps; + SW(5) <= '0'; + WAIT FOR 5000 ps; + SW(5) <= '1'; + WAIT FOR 10000 ps; + SW(5) <= '0'; + WAIT FOR 15000 ps; + SW(5) <= '1'; + WAIT FOR 20000 ps; + SW(5) <= '0'; + WAIT FOR 30000 ps; + SW(5) <= '1'; + WAIT FOR 5000 ps; + SW(5) <= '0'; + WAIT FOR 20000 ps; + SW(5) <= '1'; + WAIT FOR 5000 ps; + SW(5) <= '0'; + WAIT FOR 15000 ps; + SW(5) <= '1'; + WAIT FOR 5000 ps; + SW(5) <= '0'; + WAIT FOR 10000 ps; + SW(5) <= '1'; + WAIT FOR 5000 ps; + SW(5) <= '0'; + WAIT FOR 15000 ps; + SW(5) <= '1'; + WAIT FOR 5000 ps; + SW(5) <= '0'; + WAIT FOR 5000 ps; + SW(5) <= '1'; + WAIT FOR 10000 ps; + SW(5) <= '0'; + WAIT FOR 10000 ps; + SW(5) <= '1'; + WAIT FOR 15000 ps; + SW(5) <= '0'; + WAIT FOR 15000 ps; + SW(5) <= '1'; + WAIT FOR 10000 ps; + SW(5) <= '0'; + WAIT FOR 10000 ps; + SW(5) <= '1'; + WAIT FOR 15000 ps; + SW(5) <= '0'; + WAIT FOR 10000 ps; + SW(5) <= '1'; + WAIT FOR 10000 ps; + SW(5) <= '0'; + WAIT FOR 10000 ps; + SW(5) <= '1'; + WAIT FOR 10000 ps; + SW(5) <= '0'; + WAIT FOR 10000 ps; + SW(5) <= '1'; + WAIT FOR 5000 ps; + SW(5) <= '0'; + WAIT FOR 5000 ps; + SW(5) <= '1'; + WAIT FOR 5000 ps; + SW(5) <= '0'; + WAIT FOR 10000 ps; + SW(5) <= '1'; + WAIT FOR 10000 ps; + SW(5) <= '0'; + WAIT FOR 5000 ps; + SW(5) <= '1'; + WAIT FOR 5000 ps; + SW(5) <= '0'; + WAIT FOR 15000 ps; + SW(5) <= '1'; + WAIT FOR 15000 ps; + SW(5) <= '0'; + WAIT FOR 5000 ps; + SW(5) <= '1'; + WAIT FOR 5000 ps; + SW(5) <= '0'; + WAIT FOR 20000 ps; + SW(5) <= '1'; + WAIT FOR 15000 ps; + SW(5) <= '0'; + WAIT FOR 10000 ps; + SW(5) <= '1'; + WAIT FOR 10000 ps; + SW(5) <= '0'; + WAIT FOR 5000 ps; + SW(5) <= '1'; + WAIT FOR 5000 ps; + SW(5) <= '0'; + WAIT FOR 5000 ps; + SW(5) <= '1'; + WAIT FOR 5000 ps; + SW(5) <= '0'; + WAIT FOR 10000 ps; + SW(5) <= '1'; + WAIT FOR 20000 ps; + SW(5) <= '0'; + WAIT FOR 10000 ps; + SW(5) <= '1'; + WAIT FOR 20000 ps; + SW(5) <= '0'; + WAIT FOR 5000 ps; + SW(5) <= '1'; + WAIT FOR 5000 ps; + SW(5) <= '0'; + WAIT FOR 10000 ps; + SW(5) <= '1'; + WAIT FOR 5000 ps; + SW(5) <= '0'; + WAIT FOR 5000 ps; + SW(5) <= '1'; + WAIT FOR 5000 ps; + SW(5) <= '0'; + WAIT FOR 5000 ps; + SW(5) <= '1'; + WAIT FOR 5000 ps; + SW(5) <= '0'; + WAIT FOR 5000 ps; + SW(5) <= '1'; + WAIT FOR 5000 ps; + SW(5) <= '0'; + WAIT FOR 10000 ps; + SW(5) <= '1'; + WAIT FOR 5000 ps; + SW(5) <= '0'; + WAIT FOR 15000 ps; + SW(5) <= '1'; + WAIT FOR 5000 ps; + SW(5) <= '0'; + WAIT FOR 15000 ps; + SW(5) <= '1'; + WAIT FOR 10000 ps; + SW(5) <= '0'; + WAIT FOR 5000 ps; + SW(5) <= '1'; + WAIT FOR 15000 ps; + SW(5) <= '0'; + WAIT FOR 15000 ps; + SW(5) <= '1'; + WAIT FOR 5000 ps; + SW(5) <= '0'; + WAIT FOR 5000 ps; + SW(5) <= '1'; + WAIT FOR 5000 ps; + SW(5) <= '0'; + WAIT FOR 10000 ps; + SW(5) <= '1'; +WAIT; +END PROCESS t_prcs_SW_5; +-- SW[4] +t_prcs_SW_4: PROCESS +BEGIN + SW(4) <= '0'; + WAIT FOR 5000 ps; + SW(4) <= '1'; + WAIT FOR 5000 ps; + SW(4) <= '0'; + WAIT FOR 10000 ps; + SW(4) <= '1'; + WAIT FOR 5000 ps; + SW(4) <= '0'; + WAIT FOR 25000 ps; + SW(4) <= '1'; + WAIT FOR 5000 ps; + SW(4) <= '0'; + WAIT FOR 5000 ps; + SW(4) <= '1'; + WAIT FOR 5000 ps; + SW(4) <= '0'; + WAIT FOR 25000 ps; + SW(4) <= '1'; + WAIT FOR 5000 ps; + SW(4) <= '0'; + WAIT FOR 10000 ps; + SW(4) <= '1'; + WAIT FOR 10000 ps; + SW(4) <= '0'; + WAIT FOR 10000 ps; + SW(4) <= '1'; + WAIT FOR 5000 ps; + SW(4) <= '0'; + WAIT FOR 15000 ps; + SW(4) <= '1'; + WAIT FOR 20000 ps; + SW(4) <= '0'; + WAIT FOR 5000 ps; + SW(4) <= '1'; + WAIT FOR 30000 ps; + SW(4) <= '0'; + WAIT FOR 40000 ps; + SW(4) <= '1'; + WAIT FOR 5000 ps; + SW(4) <= '0'; + WAIT FOR 15000 ps; + SW(4) <= '1'; + WAIT FOR 10000 ps; + SW(4) <= '0'; + WAIT FOR 10000 ps; + SW(4) <= '1'; + WAIT FOR 15000 ps; + SW(4) <= '0'; + WAIT FOR 25000 ps; + SW(4) <= '1'; + WAIT FOR 10000 ps; + SW(4) <= '0'; + WAIT FOR 15000 ps; + SW(4) <= '1'; + WAIT FOR 5000 ps; + SW(4) <= '0'; + WAIT FOR 10000 ps; + SW(4) <= '1'; + WAIT FOR 10000 ps; + SW(4) <= '0'; + WAIT FOR 25000 ps; + SW(4) <= '1'; + WAIT FOR 5000 ps; + SW(4) <= '0'; + WAIT FOR 5000 ps; + SW(4) <= '1'; + WAIT FOR 5000 ps; + SW(4) <= '0'; + WAIT FOR 5000 ps; + SW(4) <= '1'; + WAIT FOR 10000 ps; + SW(4) <= '0'; + WAIT FOR 5000 ps; + SW(4) <= '1'; + WAIT FOR 5000 ps; + SW(4) <= '0'; + WAIT FOR 5000 ps; + SW(4) <= '1'; + WAIT FOR 10000 ps; + SW(4) <= '0'; + WAIT FOR 5000 ps; + SW(4) <= '1'; + WAIT FOR 20000 ps; + SW(4) <= '0'; + WAIT FOR 5000 ps; + SW(4) <= '1'; + WAIT FOR 10000 ps; + SW(4) <= '0'; + WAIT FOR 10000 ps; + SW(4) <= '1'; + WAIT FOR 5000 ps; + SW(4) <= '0'; + WAIT FOR 10000 ps; + SW(4) <= '1'; + WAIT FOR 10000 ps; + SW(4) <= '0'; + WAIT FOR 10000 ps; + SW(4) <= '1'; + WAIT FOR 10000 ps; + SW(4) <= '0'; + WAIT FOR 10000 ps; + SW(4) <= '1'; + WAIT FOR 5000 ps; + SW(4) <= '0'; + WAIT FOR 30000 ps; + SW(4) <= '1'; + WAIT FOR 10000 ps; + SW(4) <= '0'; + WAIT FOR 5000 ps; + SW(4) <= '1'; + WAIT FOR 10000 ps; + SW(4) <= '0'; + WAIT FOR 5000 ps; + SW(4) <= '1'; + WAIT FOR 5000 ps; + SW(4) <= '0'; + WAIT FOR 5000 ps; + SW(4) <= '1'; + WAIT FOR 15000 ps; + SW(4) <= '0'; + WAIT FOR 10000 ps; + SW(4) <= '1'; + WAIT FOR 10000 ps; + SW(4) <= '0'; + WAIT FOR 10000 ps; + SW(4) <= '1'; + WAIT FOR 30000 ps; + SW(4) <= '0'; + WAIT FOR 20000 ps; + SW(4) <= '1'; + WAIT FOR 10000 ps; + SW(4) <= '0'; + WAIT FOR 5000 ps; + SW(4) <= '1'; + WAIT FOR 5000 ps; + SW(4) <= '0'; + WAIT FOR 10000 ps; + SW(4) <= '1'; + WAIT FOR 5000 ps; + SW(4) <= '0'; + WAIT FOR 5000 ps; + SW(4) <= '1'; + WAIT FOR 10000 ps; + SW(4) <= '0'; + WAIT FOR 10000 ps; + SW(4) <= '1'; + WAIT FOR 10000 ps; + SW(4) <= '0'; + WAIT FOR 15000 ps; + SW(4) <= '1'; + WAIT FOR 5000 ps; + SW(4) <= '0'; + WAIT FOR 15000 ps; + SW(4) <= '1'; + WAIT FOR 15000 ps; + SW(4) <= '0'; + WAIT FOR 10000 ps; + SW(4) <= '1'; + WAIT FOR 10000 ps; + SW(4) <= '0'; + WAIT FOR 5000 ps; + SW(4) <= '1'; + WAIT FOR 5000 ps; + SW(4) <= '0'; + WAIT FOR 15000 ps; + SW(4) <= '1'; + WAIT FOR 10000 ps; + SW(4) <= '0'; + WAIT FOR 10000 ps; + SW(4) <= '1'; + WAIT FOR 30000 ps; + SW(4) <= '0'; + WAIT FOR 5000 ps; + SW(4) <= '1'; + WAIT FOR 5000 ps; + SW(4) <= '0'; + WAIT FOR 15000 ps; + SW(4) <= '1'; + WAIT FOR 5000 ps; + SW(4) <= '0'; + WAIT FOR 5000 ps; + SW(4) <= '1'; + WAIT FOR 15000 ps; + SW(4) <= '0'; + WAIT FOR 5000 ps; + SW(4) <= '1'; +WAIT; +END PROCESS t_prcs_SW_4; +-- SW[3] +t_prcs_SW_3: PROCESS +BEGIN + SW(3) <= '1'; + WAIT FOR 5000 ps; + SW(3) <= '0'; + WAIT FOR 5000 ps; + SW(3) <= '1'; + WAIT FOR 10000 ps; + SW(3) <= '0'; + WAIT FOR 5000 ps; + SW(3) <= '1'; + WAIT FOR 5000 ps; + SW(3) <= '0'; + WAIT FOR 5000 ps; + SW(3) <= '1'; + WAIT FOR 5000 ps; + SW(3) <= '0'; + WAIT FOR 10000 ps; + SW(3) <= '1'; + WAIT FOR 5000 ps; + SW(3) <= '0'; + WAIT FOR 5000 ps; + SW(3) <= '1'; + WAIT FOR 10000 ps; + SW(3) <= '0'; + WAIT FOR 10000 ps; + SW(3) <= '1'; + WAIT FOR 10000 ps; + SW(3) <= '0'; + WAIT FOR 10000 ps; + SW(3) <= '1'; + WAIT FOR 5000 ps; + SW(3) <= '0'; + WAIT FOR 15000 ps; + SW(3) <= '1'; + WAIT FOR 5000 ps; + SW(3) <= '0'; + WAIT FOR 5000 ps; + SW(3) <= '1'; + WAIT FOR 10000 ps; + SW(3) <= '0'; + WAIT FOR 5000 ps; + SW(3) <= '1'; + WAIT FOR 5000 ps; + SW(3) <= '0'; + WAIT FOR 10000 ps; + SW(3) <= '1'; + WAIT FOR 15000 ps; + SW(3) <= '0'; + WAIT FOR 20000 ps; + SW(3) <= '1'; + WAIT FOR 5000 ps; + SW(3) <= '0'; + WAIT FOR 10000 ps; + SW(3) <= '1'; + WAIT FOR 10000 ps; + SW(3) <= '0'; + WAIT FOR 5000 ps; + SW(3) <= '1'; + WAIT FOR 10000 ps; + SW(3) <= '0'; + WAIT FOR 15000 ps; + SW(3) <= '1'; + WAIT FOR 5000 ps; + SW(3) <= '0'; + WAIT FOR 25000 ps; + SW(3) <= '1'; + WAIT FOR 5000 ps; + SW(3) <= '0'; + WAIT FOR 5000 ps; + SW(3) <= '1'; + WAIT FOR 15000 ps; + SW(3) <= '0'; + WAIT FOR 5000 ps; + SW(3) <= '1'; + WAIT FOR 15000 ps; + SW(3) <= '0'; + WAIT FOR 10000 ps; + SW(3) <= '1'; + WAIT FOR 5000 ps; + SW(3) <= '0'; + WAIT FOR 5000 ps; + SW(3) <= '1'; + WAIT FOR 5000 ps; + SW(3) <= '0'; + WAIT FOR 20000 ps; + SW(3) <= '1'; + WAIT FOR 15000 ps; + SW(3) <= '0'; + WAIT FOR 20000 ps; + SW(3) <= '1'; + WAIT FOR 5000 ps; + SW(3) <= '0'; + WAIT FOR 5000 ps; + SW(3) <= '1'; + WAIT FOR 10000 ps; + SW(3) <= '0'; + WAIT FOR 5000 ps; + SW(3) <= '1'; + WAIT FOR 5000 ps; + SW(3) <= '0'; + WAIT FOR 5000 ps; + SW(3) <= '1'; + WAIT FOR 10000 ps; + SW(3) <= '0'; + WAIT FOR 5000 ps; + SW(3) <= '1'; + WAIT FOR 5000 ps; + SW(3) <= '0'; + WAIT FOR 5000 ps; + SW(3) <= '1'; + WAIT FOR 5000 ps; + SW(3) <= '0'; + WAIT FOR 15000 ps; + SW(3) <= '1'; + WAIT FOR 5000 ps; + SW(3) <= '0'; + WAIT FOR 30000 ps; + SW(3) <= '1'; + WAIT FOR 5000 ps; + SW(3) <= '0'; + WAIT FOR 5000 ps; + SW(3) <= '1'; + WAIT FOR 10000 ps; + SW(3) <= '0'; + WAIT FOR 5000 ps; + SW(3) <= '1'; + WAIT FOR 10000 ps; + SW(3) <= '0'; + WAIT FOR 5000 ps; + SW(3) <= '1'; + WAIT FOR 10000 ps; + SW(3) <= '0'; + WAIT FOR 15000 ps; + SW(3) <= '1'; + WAIT FOR 5000 ps; + SW(3) <= '0'; + WAIT FOR 5000 ps; + SW(3) <= '1'; + WAIT FOR 20000 ps; + SW(3) <= '0'; + WAIT FOR 25000 ps; + SW(3) <= '1'; + WAIT FOR 5000 ps; + SW(3) <= '0'; + WAIT FOR 20000 ps; + SW(3) <= '1'; + WAIT FOR 5000 ps; + SW(3) <= '0'; + WAIT FOR 5000 ps; + SW(3) <= '1'; + WAIT FOR 5000 ps; + SW(3) <= '0'; + WAIT FOR 10000 ps; + SW(3) <= '1'; + WAIT FOR 15000 ps; + SW(3) <= '0'; + WAIT FOR 5000 ps; + SW(3) <= '1'; + WAIT FOR 40000 ps; + SW(3) <= '0'; + WAIT FOR 15000 ps; + SW(3) <= '1'; + WAIT FOR 5000 ps; + SW(3) <= '0'; + WAIT FOR 10000 ps; + SW(3) <= '1'; + WAIT FOR 10000 ps; + SW(3) <= '0'; + WAIT FOR 20000 ps; + SW(3) <= '1'; + WAIT FOR 5000 ps; + SW(3) <= '0'; + WAIT FOR 10000 ps; + SW(3) <= '1'; + WAIT FOR 10000 ps; + SW(3) <= '0'; + WAIT FOR 5000 ps; + SW(3) <= '1'; + WAIT FOR 5000 ps; + SW(3) <= '0'; + WAIT FOR 15000 ps; + SW(3) <= '1'; + WAIT FOR 15000 ps; + SW(3) <= '0'; + WAIT FOR 5000 ps; + SW(3) <= '1'; + WAIT FOR 10000 ps; + SW(3) <= '0'; + WAIT FOR 5000 ps; + SW(3) <= '1'; + WAIT FOR 5000 ps; + SW(3) <= '0'; + WAIT FOR 15000 ps; + SW(3) <= '1'; + WAIT FOR 5000 ps; + SW(3) <= '0'; + WAIT FOR 5000 ps; + SW(3) <= '1'; + WAIT FOR 5000 ps; + SW(3) <= '0'; + WAIT FOR 35000 ps; + SW(3) <= '1'; + WAIT FOR 15000 ps; + SW(3) <= '0'; + WAIT FOR 5000 ps; + SW(3) <= '1'; + WAIT FOR 10000 ps; + SW(3) <= '0'; +WAIT; +END PROCESS t_prcs_SW_3; +-- SW[2] +t_prcs_SW_2: PROCESS +BEGIN + SW(2) <= '1'; + WAIT FOR 5000 ps; + SW(2) <= '0'; + WAIT FOR 20000 ps; + SW(2) <= '1'; + WAIT FOR 5000 ps; + SW(2) <= '0'; + WAIT FOR 10000 ps; + SW(2) <= '1'; + WAIT FOR 25000 ps; + SW(2) <= '0'; + WAIT FOR 5000 ps; + SW(2) <= '1'; + WAIT FOR 10000 ps; + SW(2) <= '0'; + WAIT FOR 5000 ps; + SW(2) <= '1'; + WAIT FOR 5000 ps; + SW(2) <= '0'; + WAIT FOR 5000 ps; + SW(2) <= '1'; + WAIT FOR 10000 ps; + SW(2) <= '0'; + WAIT FOR 15000 ps; + SW(2) <= '1'; + WAIT FOR 5000 ps; + SW(2) <= '0'; + WAIT FOR 10000 ps; + SW(2) <= '1'; + WAIT FOR 5000 ps; + SW(2) <= '0'; + WAIT FOR 10000 ps; + SW(2) <= '1'; + WAIT FOR 5000 ps; + SW(2) <= '0'; + WAIT FOR 25000 ps; + SW(2) <= '1'; + WAIT FOR 10000 ps; + SW(2) <= '0'; + WAIT FOR 5000 ps; + SW(2) <= '1'; + WAIT FOR 25000 ps; + SW(2) <= '0'; + WAIT FOR 5000 ps; + SW(2) <= '1'; + WAIT FOR 15000 ps; + SW(2) <= '0'; + WAIT FOR 10000 ps; + SW(2) <= '1'; + WAIT FOR 5000 ps; + SW(2) <= '0'; + WAIT FOR 5000 ps; + SW(2) <= '1'; + WAIT FOR 5000 ps; + SW(2) <= '0'; + WAIT FOR 10000 ps; + SW(2) <= '1'; + WAIT FOR 20000 ps; + SW(2) <= '0'; + WAIT FOR 10000 ps; + SW(2) <= '1'; + WAIT FOR 5000 ps; + SW(2) <= '0'; + WAIT FOR 20000 ps; + SW(2) <= '1'; + WAIT FOR 20000 ps; + SW(2) <= '0'; + WAIT FOR 5000 ps; + SW(2) <= '1'; + WAIT FOR 10000 ps; + SW(2) <= '0'; + WAIT FOR 5000 ps; + SW(2) <= '1'; + WAIT FOR 5000 ps; + SW(2) <= '0'; + WAIT FOR 5000 ps; + SW(2) <= '1'; + WAIT FOR 20000 ps; + SW(2) <= '0'; + WAIT FOR 15000 ps; + SW(2) <= '1'; + WAIT FOR 5000 ps; + SW(2) <= '0'; + WAIT FOR 35000 ps; + SW(2) <= '1'; + WAIT FOR 5000 ps; + SW(2) <= '0'; + WAIT FOR 5000 ps; + SW(2) <= '1'; + WAIT FOR 10000 ps; + SW(2) <= '0'; + WAIT FOR 5000 ps; + SW(2) <= '1'; + WAIT FOR 5000 ps; + SW(2) <= '0'; + WAIT FOR 5000 ps; + SW(2) <= '1'; + WAIT FOR 10000 ps; + SW(2) <= '0'; + WAIT FOR 5000 ps; + SW(2) <= '1'; + WAIT FOR 10000 ps; + SW(2) <= '0'; + WAIT FOR 5000 ps; + SW(2) <= '1'; + WAIT FOR 10000 ps; + SW(2) <= '0'; + WAIT FOR 20000 ps; + SW(2) <= '1'; + WAIT FOR 5000 ps; + SW(2) <= '0'; + WAIT FOR 5000 ps; + SW(2) <= '1'; + WAIT FOR 15000 ps; + SW(2) <= '0'; + WAIT FOR 15000 ps; + SW(2) <= '1'; + WAIT FOR 5000 ps; + SW(2) <= '0'; + WAIT FOR 20000 ps; + SW(2) <= '1'; + WAIT FOR 30000 ps; + SW(2) <= '0'; + WAIT FOR 10000 ps; + SW(2) <= '1'; + WAIT FOR 5000 ps; + SW(2) <= '0'; + WAIT FOR 5000 ps; + SW(2) <= '1'; + WAIT FOR 5000 ps; + SW(2) <= '0'; + WAIT FOR 10000 ps; + SW(2) <= '1'; + WAIT FOR 5000 ps; + SW(2) <= '0'; + WAIT FOR 5000 ps; + SW(2) <= '1'; + WAIT FOR 5000 ps; + SW(2) <= '0'; + WAIT FOR 20000 ps; + SW(2) <= '1'; + WAIT FOR 5000 ps; + SW(2) <= '0'; + WAIT FOR 10000 ps; + SW(2) <= '1'; + WAIT FOR 5000 ps; + SW(2) <= '0'; + WAIT FOR 15000 ps; + SW(2) <= '1'; + WAIT FOR 5000 ps; + SW(2) <= '0'; + WAIT FOR 5000 ps; + SW(2) <= '1'; + WAIT FOR 10000 ps; + SW(2) <= '0'; + WAIT FOR 10000 ps; + SW(2) <= '1'; + WAIT FOR 5000 ps; + SW(2) <= '0'; + WAIT FOR 20000 ps; + SW(2) <= '1'; + WAIT FOR 5000 ps; + SW(2) <= '0'; + WAIT FOR 15000 ps; + SW(2) <= '1'; + WAIT FOR 5000 ps; + SW(2) <= '0'; + WAIT FOR 5000 ps; + SW(2) <= '1'; + WAIT FOR 15000 ps; + SW(2) <= '0'; + WAIT FOR 5000 ps; + SW(2) <= '1'; + WAIT FOR 15000 ps; + SW(2) <= '0'; + WAIT FOR 10000 ps; + SW(2) <= '1'; + WAIT FOR 5000 ps; + SW(2) <= '0'; + WAIT FOR 5000 ps; + SW(2) <= '1'; + WAIT FOR 5000 ps; + SW(2) <= '0'; + WAIT FOR 5000 ps; + SW(2) <= '1'; + WAIT FOR 15000 ps; + SW(2) <= '0'; + WAIT FOR 10000 ps; + SW(2) <= '1'; + WAIT FOR 30000 ps; + SW(2) <= '0'; + WAIT FOR 5000 ps; + SW(2) <= '1'; + WAIT FOR 5000 ps; + SW(2) <= '0'; + WAIT FOR 5000 ps; + SW(2) <= '1'; + WAIT FOR 5000 ps; + SW(2) <= '0'; + WAIT FOR 5000 ps; + SW(2) <= '1'; + WAIT FOR 5000 ps; + SW(2) <= '0'; +WAIT; +END PROCESS t_prcs_SW_2; +-- SW[1] +t_prcs_SW_1: PROCESS +BEGIN + SW(1) <= '0'; + WAIT FOR 5000 ps; + SW(1) <= '1'; + WAIT FOR 5000 ps; + SW(1) <= '0'; + WAIT FOR 5000 ps; + SW(1) <= '1'; + WAIT FOR 15000 ps; + SW(1) <= '0'; + WAIT FOR 10000 ps; + SW(1) <= '1'; + WAIT FOR 10000 ps; + SW(1) <= '0'; + WAIT FOR 5000 ps; + SW(1) <= '1'; + WAIT FOR 10000 ps; + SW(1) <= '0'; + WAIT FOR 15000 ps; + SW(1) <= '1'; + WAIT FOR 5000 ps; + SW(1) <= '0'; + WAIT FOR 10000 ps; + SW(1) <= '1'; + WAIT FOR 5000 ps; + SW(1) <= '0'; + WAIT FOR 15000 ps; + SW(1) <= '1'; + WAIT FOR 5000 ps; + SW(1) <= '0'; + WAIT FOR 5000 ps; + SW(1) <= '1'; + WAIT FOR 5000 ps; + SW(1) <= '0'; + WAIT FOR 10000 ps; + SW(1) <= '1'; + WAIT FOR 15000 ps; + SW(1) <= '0'; + WAIT FOR 15000 ps; + SW(1) <= '1'; + WAIT FOR 10000 ps; + SW(1) <= '0'; + WAIT FOR 5000 ps; + SW(1) <= '1'; + WAIT FOR 10000 ps; + SW(1) <= '0'; + WAIT FOR 10000 ps; + SW(1) <= '1'; + WAIT FOR 45000 ps; + SW(1) <= '0'; + WAIT FOR 10000 ps; + SW(1) <= '1'; + WAIT FOR 10000 ps; + SW(1) <= '0'; + WAIT FOR 5000 ps; + SW(1) <= '1'; + WAIT FOR 5000 ps; + SW(1) <= '0'; + WAIT FOR 10000 ps; + SW(1) <= '1'; + WAIT FOR 15000 ps; + SW(1) <= '0'; + WAIT FOR 5000 ps; + SW(1) <= '1'; + WAIT FOR 5000 ps; + SW(1) <= '0'; + WAIT FOR 5000 ps; + SW(1) <= '1'; + WAIT FOR 5000 ps; + SW(1) <= '0'; + WAIT FOR 5000 ps; + SW(1) <= '1'; + WAIT FOR 5000 ps; + SW(1) <= '0'; + WAIT FOR 15000 ps; + SW(1) <= '1'; + WAIT FOR 5000 ps; + SW(1) <= '0'; + WAIT FOR 15000 ps; + SW(1) <= '1'; + WAIT FOR 15000 ps; + SW(1) <= '0'; + WAIT FOR 5000 ps; + SW(1) <= '1'; + WAIT FOR 5000 ps; + SW(1) <= '0'; + WAIT FOR 5000 ps; + SW(1) <= '1'; + WAIT FOR 10000 ps; + SW(1) <= '0'; + WAIT FOR 5000 ps; + SW(1) <= '1'; + WAIT FOR 10000 ps; + SW(1) <= '0'; + WAIT FOR 5000 ps; + SW(1) <= '1'; + WAIT FOR 5000 ps; + SW(1) <= '0'; + WAIT FOR 15000 ps; + SW(1) <= '1'; + WAIT FOR 25000 ps; + SW(1) <= '0'; + WAIT FOR 5000 ps; + SW(1) <= '1'; + WAIT FOR 15000 ps; + SW(1) <= '0'; + WAIT FOR 15000 ps; + SW(1) <= '1'; + WAIT FOR 5000 ps; + SW(1) <= '0'; + WAIT FOR 5000 ps; + SW(1) <= '1'; + WAIT FOR 5000 ps; + SW(1) <= '0'; + WAIT FOR 5000 ps; + SW(1) <= '1'; + WAIT FOR 5000 ps; + SW(1) <= '0'; + WAIT FOR 5000 ps; + SW(1) <= '1'; + WAIT FOR 25000 ps; + SW(1) <= '0'; + WAIT FOR 10000 ps; + SW(1) <= '1'; + WAIT FOR 5000 ps; + SW(1) <= '0'; + WAIT FOR 25000 ps; + SW(1) <= '1'; + WAIT FOR 5000 ps; + SW(1) <= '0'; + WAIT FOR 10000 ps; + SW(1) <= '1'; + WAIT FOR 5000 ps; + SW(1) <= '0'; + WAIT FOR 35000 ps; + SW(1) <= '1'; + WAIT FOR 25000 ps; + SW(1) <= '0'; + WAIT FOR 15000 ps; + SW(1) <= '1'; + WAIT FOR 5000 ps; + SW(1) <= '0'; + WAIT FOR 10000 ps; + SW(1) <= '1'; + WAIT FOR 10000 ps; + SW(1) <= '0'; + WAIT FOR 5000 ps; + SW(1) <= '1'; + WAIT FOR 5000 ps; + SW(1) <= '0'; + WAIT FOR 5000 ps; + SW(1) <= '1'; + WAIT FOR 5000 ps; + SW(1) <= '0'; + WAIT FOR 10000 ps; + SW(1) <= '1'; + WAIT FOR 5000 ps; + SW(1) <= '0'; + WAIT FOR 5000 ps; + SW(1) <= '1'; + WAIT FOR 5000 ps; + SW(1) <= '0'; + WAIT FOR 5000 ps; + SW(1) <= '1'; + WAIT FOR 10000 ps; + SW(1) <= '0'; + WAIT FOR 5000 ps; + SW(1) <= '1'; + WAIT FOR 10000 ps; + SW(1) <= '0'; + WAIT FOR 15000 ps; + SW(1) <= '1'; + WAIT FOR 10000 ps; + SW(1) <= '0'; + WAIT FOR 20000 ps; + SW(1) <= '1'; + WAIT FOR 20000 ps; + SW(1) <= '0'; + WAIT FOR 10000 ps; + SW(1) <= '1'; + WAIT FOR 30000 ps; + SW(1) <= '0'; + WAIT FOR 5000 ps; + SW(1) <= '1'; + WAIT FOR 15000 ps; + SW(1) <= '0'; + WAIT FOR 10000 ps; + SW(1) <= '1'; + WAIT FOR 10000 ps; + SW(1) <= '0'; + WAIT FOR 5000 ps; + SW(1) <= '1'; + WAIT FOR 5000 ps; + SW(1) <= '0'; + WAIT FOR 5000 ps; + SW(1) <= '1'; + WAIT FOR 30000 ps; + SW(1) <= '0'; +WAIT; +END PROCESS t_prcs_SW_1; +-- SW[0] +t_prcs_SW_0: PROCESS +BEGIN + SW(0) <= '0'; + WAIT FOR 5000 ps; + SW(0) <= '1'; + WAIT FOR 5000 ps; + SW(0) <= '0'; + WAIT FOR 10000 ps; + SW(0) <= '1'; + WAIT FOR 5000 ps; + SW(0) <= '0'; + WAIT FOR 10000 ps; + SW(0) <= '1'; + WAIT FOR 10000 ps; + SW(0) <= '0'; + WAIT FOR 10000 ps; + SW(0) <= '1'; + WAIT FOR 5000 ps; + SW(0) <= '0'; + WAIT FOR 25000 ps; + SW(0) <= '1'; + WAIT FOR 20000 ps; + SW(0) <= '0'; + WAIT FOR 5000 ps; + SW(0) <= '1'; + WAIT FOR 5000 ps; + SW(0) <= '0'; + WAIT FOR 5000 ps; + SW(0) <= '1'; + WAIT FOR 10000 ps; + SW(0) <= '0'; + WAIT FOR 5000 ps; + SW(0) <= '1'; + WAIT FOR 10000 ps; + SW(0) <= '0'; + WAIT FOR 10000 ps; + SW(0) <= '1'; + WAIT FOR 10000 ps; + SW(0) <= '0'; + WAIT FOR 15000 ps; + SW(0) <= '1'; + WAIT FOR 5000 ps; + SW(0) <= '0'; + WAIT FOR 25000 ps; + SW(0) <= '1'; + WAIT FOR 5000 ps; + SW(0) <= '0'; + WAIT FOR 5000 ps; + SW(0) <= '1'; + WAIT FOR 5000 ps; + SW(0) <= '0'; + WAIT FOR 5000 ps; + SW(0) <= '1'; + WAIT FOR 5000 ps; + SW(0) <= '0'; + WAIT FOR 5000 ps; + SW(0) <= '1'; + WAIT FOR 25000 ps; + SW(0) <= '0'; + WAIT FOR 10000 ps; + SW(0) <= '1'; + WAIT FOR 5000 ps; + SW(0) <= '0'; + WAIT FOR 15000 ps; + SW(0) <= '1'; + WAIT FOR 30000 ps; + SW(0) <= '0'; + WAIT FOR 15000 ps; + SW(0) <= '1'; + WAIT FOR 5000 ps; + SW(0) <= '0'; + WAIT FOR 5000 ps; + SW(0) <= '1'; + WAIT FOR 5000 ps; + SW(0) <= '0'; + WAIT FOR 10000 ps; + SW(0) <= '1'; + WAIT FOR 10000 ps; + SW(0) <= '0'; + WAIT FOR 10000 ps; + SW(0) <= '1'; + WAIT FOR 10000 ps; + SW(0) <= '0'; + WAIT FOR 5000 ps; + SW(0) <= '1'; + WAIT FOR 5000 ps; + SW(0) <= '0'; + WAIT FOR 35000 ps; + SW(0) <= '1'; + WAIT FOR 35000 ps; + SW(0) <= '0'; + WAIT FOR 5000 ps; + SW(0) <= '1'; + WAIT FOR 5000 ps; + SW(0) <= '0'; + WAIT FOR 5000 ps; + SW(0) <= '1'; + WAIT FOR 5000 ps; + SW(0) <= '0'; + WAIT FOR 10000 ps; + SW(0) <= '1'; + WAIT FOR 10000 ps; + SW(0) <= '0'; + WAIT FOR 5000 ps; + SW(0) <= '1'; + WAIT FOR 10000 ps; + SW(0) <= '0'; + WAIT FOR 10000 ps; + SW(0) <= '1'; + WAIT FOR 5000 ps; + SW(0) <= '0'; + WAIT FOR 10000 ps; + SW(0) <= '1'; + WAIT FOR 5000 ps; + SW(0) <= '0'; + WAIT FOR 10000 ps; + SW(0) <= '1'; + WAIT FOR 10000 ps; + SW(0) <= '0'; + WAIT FOR 5000 ps; + SW(0) <= '1'; + WAIT FOR 5000 ps; + SW(0) <= '0'; + WAIT FOR 25000 ps; + SW(0) <= '1'; + WAIT FOR 35000 ps; + SW(0) <= '0'; + WAIT FOR 15000 ps; + SW(0) <= '1'; + WAIT FOR 5000 ps; + SW(0) <= '0'; + WAIT FOR 5000 ps; + SW(0) <= '1'; + WAIT FOR 5000 ps; + SW(0) <= '0'; + WAIT FOR 15000 ps; + SW(0) <= '1'; + WAIT FOR 10000 ps; + SW(0) <= '0'; + WAIT FOR 5000 ps; + SW(0) <= '1'; + WAIT FOR 5000 ps; + SW(0) <= '0'; + WAIT FOR 10000 ps; + SW(0) <= '1'; + WAIT FOR 5000 ps; + SW(0) <= '0'; + WAIT FOR 15000 ps; + SW(0) <= '1'; + WAIT FOR 5000 ps; + SW(0) <= '0'; + WAIT FOR 5000 ps; + SW(0) <= '1'; + WAIT FOR 15000 ps; + SW(0) <= '0'; + WAIT FOR 10000 ps; + SW(0) <= '1'; + WAIT FOR 15000 ps; + SW(0) <= '0'; + WAIT FOR 5000 ps; + SW(0) <= '1'; + WAIT FOR 10000 ps; + SW(0) <= '0'; + WAIT FOR 25000 ps; + SW(0) <= '1'; + WAIT FOR 15000 ps; + SW(0) <= '0'; + WAIT FOR 20000 ps; + SW(0) <= '1'; + WAIT FOR 15000 ps; + SW(0) <= '0'; + WAIT FOR 15000 ps; + SW(0) <= '1'; + WAIT FOR 5000 ps; + SW(0) <= '0'; + WAIT FOR 5000 ps; + SW(0) <= '1'; + WAIT FOR 5000 ps; + SW(0) <= '0'; + WAIT FOR 10000 ps; + SW(0) <= '1'; + WAIT FOR 5000 ps; + SW(0) <= '0'; + WAIT FOR 10000 ps; + SW(0) <= '1'; + WAIT FOR 10000 ps; + SW(0) <= '0'; + WAIT FOR 5000 ps; + SW(0) <= '1'; + WAIT FOR 10000 ps; + SW(0) <= '0'; + WAIT FOR 15000 ps; + SW(0) <= '1'; + WAIT FOR 10000 ps; + SW(0) <= '0'; + WAIT FOR 5000 ps; + SW(0) <= '1'; +WAIT; +END PROCESS t_prcs_SW_0; +END EqCmpDemo_arch; diff --git a/1ano/2semestre/lsd/pratica01/part4/simulation/qsim/EqCmpDemo.do b/1ano/2semestre/lsd/pratica01/part4/simulation/qsim/EqCmpDemo.do new file mode 100644 index 0000000..d3c3e9b --- /dev/null +++ b/1ano/2semestre/lsd/pratica01/part4/simulation/qsim/EqCmpDemo.do @@ -0,0 +1,17 @@ +onerror {exit -code 1} +vlib work +vcom -work work EqCmpDemo.vho +vcom -work work EqCmp4.vwf.vht +vsim -c -t 1ps -L cycloneive -L altera -L altera_mf -L 220model -L sgate -L altera_lnsim work.EqCmpDemo_vhd_vec_tst +vcd file -direction EqCmpDemo.msim.vcd +vcd add -internal EqCmpDemo_vhd_vec_tst/* +vcd add -internal EqCmpDemo_vhd_vec_tst/i1/* +proc simTimestamp {} { + echo "Simulation time: $::now ps" + if { [string equal running [runStatus]] } { + after 2500 simTimestamp + } +} +after 2500 simTimestamp +run -all +quit -f diff --git a/1ano/2semestre/lsd/pratica01/part4/simulation/qsim/EqCmpDemo.msim.vcd b/1ano/2semestre/lsd/pratica01/part4/simulation/qsim/EqCmpDemo.msim.vcd new file mode 100644 index 0000000..5253dce --- /dev/null +++ b/1ano/2semestre/lsd/pratica01/part4/simulation/qsim/EqCmpDemo.msim.vcd @@ -0,0 +1,2883 @@ +$comment + File created using the following command: + vcd file EqCmpDemo.msim.vcd -direction +$end +$date + Tue Mar 7 20:57:58 2023 +$end +$version + ModelSim Version 2020.1 +$end +$timescale + 1ps +$end + +$scope module eqcmpdemo_vhd_vec_tst $end +$var wire 1 ! LEDG [0] $end +$var wire 1 " SW [7] $end +$var wire 1 # SW [6] $end +$var wire 1 $ SW [5] $end +$var wire 1 % SW [4] $end +$var wire 1 & SW [3] $end +$var wire 1 ' SW [2] $end +$var wire 1 ( SW [1] $end +$var wire 1 ) SW [0] $end + +$scope module i1 $end +$var wire 1 * gnd $end +$var wire 1 + vcc $end +$var wire 1 , unknown $end +$var wire 1 - devoe $end +$var wire 1 . devclrn $end +$var wire 1 / devpor $end +$var wire 1 0 ww_devoe $end +$var wire 1 1 ww_devclrn $end +$var wire 1 2 ww_devpor $end +$var wire 1 3 ww_LEDG [0] $end +$var wire 1 4 ww_SW [7] $end +$var wire 1 5 ww_SW [6] $end +$var wire 1 6 ww_SW [5] $end +$var wire 1 7 ww_SW [4] $end +$var wire 1 8 ww_SW [3] $end +$var wire 1 9 ww_SW [2] $end +$var wire 1 : ww_SW [1] $end +$var wire 1 ; ww_SW [0] $end +$var wire 1 < \LEDG[0]~output_o\ $end +$var wire 1 = \SW[1]~input_o\ $end +$var wire 1 > \SW[0]~input_o\ $end +$var wire 1 ? \SW[5]~input_o\ $end +$var wire 1 @ \SW[4]~input_o\ $end +$var wire 1 A \inst1|inst~0_combout\ $end +$var wire 1 B \SW[7]~input_o\ $end +$var wire 1 C \SW[6]~input_o\ $end +$var wire 1 D \SW[3]~input_o\ $end +$var wire 1 E \SW[2]~input_o\ $end +$var wire 1 F \inst1|inst~1_combout\ $end +$var wire 1 G \inst1|inst~combout\ $end +$upscope $end +$upscope $end +$enddefinitions $end +#0 +$dumpvars +0* +1+ +x, +1- +1. +1/ +10 +11 +12 +1< +0= +0> +0? +0@ +1A +0B +0C +0D +0E +1F +1G +0" +0# +0$ +0% +0& +0' +0( +0) +13 +04 +05 +06 +07 +08 +09 +0: +0; +1! +$end +#3375 +1) +1; +1> +0A +0G +0< +03 +0! +#6250 +1( +1: +1= +#6750 +0) +0; +0> +#10125 +1) +1; +1> +#12500 +0( +1' +0: +19 +1E +0= +0F +#13500 +0) +0; +0> +1A +#16875 +1) +1; +1> +0A +#18750 +1( +1: +1= +#20250 +0) +0; +0> +#23625 +1) +1; +1> +#25000 +0( +0' +1& +0: +09 +18 +1D +0E +0= +#27000 +0) +0; +0> +1A +#30375 +1) +1; +1> +0A +#31250 +1( +1: +1= +#33750 +0) +0; +0> +#37125 +1) +1; +1> +#37500 +0( +1' +0: +19 +1E +0= +#40500 +0) +0; +0> +1A +#43750 +1( +1: +1= +0A +#43875 +1) +1; +1> +#47250 +0) +0; +0> +#50000 +0( +0' +0& +1% +0: +09 +08 +17 +1@ +0D +0E +0= +1F +#50625 +1) +1; +1> +1A +1G +1< +13 +1! +#54000 +0) +0; +0> +0A +0G +0< +03 +0! +#56250 +1( +1: +1= +#57375 +1) +1; +1> +#60750 +0) +0; +0> +#62500 +0( +1' +0: +19 +1E +0= +0F +#64125 +1) +1; +1> +1A +#67500 +0) +0; +0> +0A +#68750 +1( +1: +1= +#70875 +1) +1; +1> +#74250 +0) +0; +0> +#75000 +0( +0' +1& +0: +09 +18 +1D +0E +0= +#77625 +1) +1; +1> +1A +#81000 +0) +0; +0> +0A +#81250 +1( +1: +1= +#84375 +1) +1; +1> +#87500 +0( +1' +0: +19 +1E +0= +1A +#87750 +0) +0; +0> +0A +#91125 +1) +1; +1> +1A +#93750 +1( +1: +1= +0A +#94500 +0) +0; +0> +#97875 +1) +1; +1> +#100000 +0( +0' +0& +0% +1$ +0: +09 +08 +07 +16 +1? +0@ +0D +0E +0= +1F +#101250 +0) +0; +0> +#104625 +1) +1; +1> +#106250 +1( +1: +1= +#108000 +0) +0; +0> +1A +1G +1< +13 +1! +#111375 +1) +1; +1> +0A +0G +0< +03 +0! +#112500 +0( +1' +0: +19 +1E +0= +0F +#114750 +0) +0; +0> +#118125 +1) +1; +1> +#118750 +1( +1: +1= +#121500 +0) +0; +0> +1A +#124875 +1) +1; +1> +0A +#125000 +0( +0' +1& +0: +09 +18 +1D +0E +0= +#128250 +0) +0; +0> +#131250 +1( +1: +1= +1A +#131625 +1) +1; +1> +0A +#135000 +0) +0; +0> +1A +#137500 +0( +1' +0: +19 +1E +0= +0A +#138375 +1) +1; +1> +#141750 +0) +0; +0> +#143750 +1( +1: +1= +1A +#145125 +1) +1; +1> +0A +#148500 +0) +0; +0> +1A +#150000 +0( +0' +0& +1% +0: +09 +08 +17 +1@ +0D +0E +0= +1F +0A +#151875 +1) +1; +1> +#155250 +0) +0; +0> +#156250 +1( +1: +1= +#158625 +1) +1; +1> +1A +1G +1< +13 +1! +#162000 +0) +0; +0> +0A +0G +0< +03 +0! +#162500 +0( +1' +0: +19 +1E +0= +0F +#165375 +1) +1; +1> +#168750 +0) +1( +0; +1: +1= +0> +#172125 +1) +1; +1> +1A +#175000 +0( +0' +1& +0: +09 +18 +1D +0E +0= +0A +#175500 +0) +0; +0> +#178875 +1) +1; +1> +#181250 +1( +1: +1= +1A +#182250 +0) +0; +0> +0A +#185625 +1) +1; +1> +1A +#187500 +0( +1' +0: +19 +1E +0= +0A +#189000 +0) +0; +0> +#192375 +1) +1; +1> +#193750 +1( +1: +1= +1A +#195750 +0) +0; +0> +0A +#199125 +1) +1; +1> +1A +#200000 +0( +0' +0& +0% +0$ +1# +0: +09 +08 +07 +06 +15 +1C +0? +0@ +0D +0E +0= +0A +#202500 +0) +0; +0> +1A +#205875 +1) +1; +1> +0A +#206250 +1( +1: +1= +#209250 +0) +0; +0> +#212500 +0( +1' +0: +19 +1E +0= +1A +1F +1G +1< +13 +1! +#212625 +1) +1; +1> +0A +0G +0< +03 +0! +#216000 +0) +0; +0> +1A +1G +1< +13 +1! +#218750 +1( +1: +1= +0A +0G +0< +03 +0! +#219375 +1) +1; +1> +#222750 +0) +0; +0> +#225000 +0( +0' +1& +0: +09 +18 +1D +0E +0= +1A +0F +#226125 +1) +1; +1> +0A +#229500 +0) +0; +0> +1A +#231250 +1( +1: +1= +0A +#232875 +1) +1; +1> +#236250 +0) +0; +0> +#237500 +0( +1' +0: +19 +1E +0= +1A +#239625 +1) +1; +1> +0A +#243000 +0) +0; +0> +1A +#243750 +1( +1: +1= +0A +#246375 +1) +1; +1> +#249750 +0) +0; +0> +#250000 +0( +0' +0& +1% +0: +09 +08 +17 +1@ +0D +0E +0= +#253125 +1) +1; +1> +1A +#256250 +1( +1: +1= +0A +#256500 +0) +0; +0> +#259875 +1) +1; +1> +#262500 +0( +1' +0: +19 +1E +0= +1A +1F +1G +1< +13 +1! +#263250 +0) +0; +0> +0A +0G +0< +03 +0! +#266625 +1) +1; +1> +1A +1G +1< +13 +1! +#268750 +1( +1: +1= +0A +0G +0< +03 +0! +#270000 +0) +0; +0> +#273375 +1) +1; +1> +#275000 +0( +0' +1& +0: +09 +18 +1D +0E +0= +1A +0F +#276750 +0) +0; +0> +0A +#280125 +1) +1; +1> +1A +#281250 +1( +1: +1= +0A +#283500 +0) +0; +0> +#286875 +1) +1; +1> +#287500 +0( +1' +0: +19 +1E +0= +1A +#290250 +0) +0; +0> +0A +#293625 +1) +1; +1> +1A +#293750 +1( +1: +1= +0A +#297000 +0) +0; +0> +#300000 +0( +0' +0& +0% +1$ +0: +09 +08 +07 +16 +1? +0@ +0D +0E +0= +#300375 +1) +1; +1> +#303750 +0) +0; +0> +#306250 +1( +1: +1= +1A +#307125 +1) +1; +1> +0A +#310500 +0) +0; +0> +1A +#312500 +0( +1' +0: +19 +1E +0= +0A +1F +#313875 +1) +1; +1> +#317250 +0) +0; +0> +#318750 +1( +1: +1= +1A +1G +1< +13 +1! +#320625 +1) +1; +1> +0A +0G +0< +03 +0! +#324000 +0) +0; +0> +1A +1G +1< +13 +1! +#325000 +0( +0' +1& +0: +09 +18 +1D +0E +0= +0A +0F +0G +0< +03 +0! +#327375 +1) +1; +1> +#330750 +0) +0; +0> +#331250 +1( +1: +1= +1A +#334125 +1) +1; +1> +0A +#337500 +0) +0( +1' +0; +0: +19 +1E +0= +0> +#340875 +1) +1; +1> +#343750 +1( +1: +1= +#344250 +0) +0; +0> +1A +#347625 +1) +1; +1> +0A +#350000 +0( +0' +0& +1% +0: +09 +08 +17 +1@ +0D +0E +0= +#351000 +0) +0; +0> +#354375 +1) +1; +1> +#356250 +1( +1: +1= +1A +#357750 +0) +0; +0> +0A +#361125 +1) +1; +1> +1A +#362500 +0( +1' +0: +19 +1E +0= +0A +1F +#364500 +0) +0; +0> +#367875 +1) +1; +1> +#368750 +1( +1: +1= +1A +1G +1< +13 +1! +#371250 +0) +0; +0> +0A +0G +0< +03 +0! +#374625 +1) +1; +1> +1A +1G +1< +13 +1! +#375000 +0( +0' +1& +0: +09 +18 +1D +0E +0= +0A +0F +0G +0< +03 +0! +#378000 +0) +0; +0> +#381250 +1( +1: +1= +#381375 +1) +1; +1> +1A +#384750 +0) +0; +0> +0A +#387500 +0( +1' +0: +19 +1E +0= +#388125 +1) +1; +1> +#391500 +0) +0; +0> +#393750 +1( +1: +1= +#394875 +1) +1; +1> +1A +#398250 +0) +0; +0> +0A +#400000 +0( +0' +0& +0% +0$ +0# +1" +0: +09 +08 +07 +06 +05 +14 +1B +0C +0? +0@ +0D +0E +0= +1A +#401625 +1) +1; +1> +0A +#405000 +0) +0; +0> +1A +#406250 +1( +1: +1= +0A +#408375 +1) +1; +1> +#411750 +0) +0; +0> +#412500 +0( +1' +0: +19 +1E +0= +1A +#415125 +1) +1; +1> +0A +#418500 +0) +0; +0> +1A +#418750 +1( +1: +1= +0A +#421875 +1) +1; +1> +#425000 +0( +0' +1& +0: +09 +18 +1D +0E +0= +1F +#425250 +0) +0; +0> +1A +1G +1< +13 +1! +#428625 +1) +1; +1> +0A +0G +0< +03 +0! +#431250 +1( +1: +1= +#432000 +0) +0; +0> +#435375 +1) +1; +1> +#437500 +0( +1' +0: +19 +1E +0= +0F +#438750 +0) +0; +0> +1A +#442125 +1) +1; +1> +0A +#443750 +1( +1: +1= +#445500 +0) +0; +0> +#448875 +1) +1; +1> +#450000 +0( +0' +0& +1% +0: +09 +08 +17 +1@ +0D +0E +0= +1A +#452250 +0) +0; +0> +0A +#455625 +1) +1; +1> +1A +#456250 +1( +1: +1= +0A +#459000 +0) +0; +0> +#462375 +1) +1; +1> +#462500 +0( +1' +0: +19 +1E +0= +1A +#465750 +0) +0; +0> +0A +#468750 +1( +1: +1= +#469125 +1) +1; +1> +#472500 +0) +0; +0> +#475000 +0( +0' +1& +0: +09 +18 +1D +0E +0= +1F +#475875 +1) +1; +1> +1A +1G +1< +13 +1! +#479250 +0) +0; +0> +0A +0G +0< +03 +0! +#481250 +1( +1: +1= +#482625 +1) +1; +1> +#486000 +0) +0; +0> +#487500 +0( +1' +0: +19 +1E +0= +0F +#489375 +1) +1; +1> +1A +#492750 +0) +0; +0> +0A +#493750 +1( +1: +1= +#496125 +1) +1; +1> +#499500 +0) +0; +0> +#500000 +0( +0' +0& +0% +1$ +0: +09 +08 +07 +16 +1? +0@ +0D +0E +0= +#502875 +1) +1; +1> +#506250 +0) +1( +0; +1: +1= +0> +1A +#509625 +1) +1; +1> +0A +#512500 +0( +1' +0: +19 +1E +0= +#513000 +0) +0; +0> +#516375 +1) +1; +1> +#518750 +1( +1: +1= +#519750 +0) +0; +0> +1A +#523125 +1) +1; +1> +0A +#525000 +0( +0' +1& +0: +09 +18 +1D +0E +0= +1F +#526500 +0) +0; +0> +#529875 +1) +1; +1> +#531250 +1( +1: +1= +#533250 +0) +0; +0> +1A +1G +1< +13 +1! +#536625 +1) +1; +1> +0A +0G +0< +03 +0! +#537500 +0( +1' +0: +19 +1E +0= +0F +#540000 +0) +0; +0> +#543375 +1) +1; +1> +#543750 +1( +1: +1= +#546750 +0) +0; +0> +1A +#550000 +0( +0' +0& +1% +0: +09 +08 +17 +1@ +0D +0E +0= +0A +#550125 +1) +1; +1> +#553500 +0) +0; +0> +#556250 +1( +1: +1= +#556875 +1) +1; +1> +1A +#560250 +0) +0; +0> +0A +#562500 +0( +1' +0: +19 +1E +0= +#563625 +1) +1; +1> +#567000 +0) +0; +0> +#568750 +1( +1: +1= +#570375 +1) +1; +1> +1A +#573750 +0) +0; +0> +0A +#575000 +0( +0' +1& +0: +09 +18 +1D +0E +0= +1F +#577125 +1) +1; +1> +#580500 +0) +0; +0> +#581250 +1( +1: +1= +#583875 +1) +1; +1> +1A +1G +1< +13 +1! +#587250 +0) +0; +0> +0A +0G +0< +03 +0! +#587500 +0( +1' +0: +19 +1E +0= +0F +#590625 +1) +1; +1> +#593750 +1( +1: +1= +1A +#594000 +0) +0; +0> +0A +#597375 +1) +1; +1> +1A +#600000 +0( +0' +0& +0% +0$ +1# +0: +09 +08 +07 +06 +15 +1C +0? +0@ +0D +0E +0= +0A +#600750 +0) +0; +0> +1A +#604125 +1) +1; +1> +0A +#606250 +1( +1: +1= +#607500 +0) +0; +0> +#610875 +1) +1; +1> +#612500 +0( +1' +0: +19 +1E +0= +#614250 +0) +0; +0> +1A +#617625 +1) +1; +1> +0A +#618750 +1( +1: +1= +#621000 +0) +0; +0> +#624375 +1) +1; +1> +#625000 +0( +0' +1& +0: +09 +18 +1D +0E +0= +#627750 +0) +0; +0> +1A +#631125 +1) +1; +1> +0A +#631250 +1( +1: +1= +#634500 +0) +0; +0> +#637500 +0( +1' +0: +19 +1E +0= +1A +1F +1G +1< +13 +1! +#637875 +1) +1; +1> +0A +0G +0< +03 +0! +#641250 +0) +0; +0> +1A +1G +1< +13 +1! +#643750 +1( +1: +1= +0A +0G +0< +03 +0! +#644625 +1) +1; +1> +#648000 +0) +0; +0> +#650000 +0( +0' +0& +1% +0: +09 +08 +17 +1@ +0D +0E +0= +0F +#651375 +1) +1; +1> +1A +#654750 +0) +0; +0> +0A +#656250 +1( +1: +1= +#658125 +1) +1; +1> +#661500 +0) +0; +0> +#662500 +0( +1' +0: +19 +1E +0= +#664875 +1) +1; +1> +1A +#668250 +0) +0; +0> +0A +#668750 +1( +1: +1= +#671625 +1) +1; +1> +#675000 +0) +0( +0' +1& +0; +0: +09 +18 +1D +0E +0= +0> +#678375 +1) +1; +1> +1A +#681250 +1( +1: +1= +0A +#681750 +0) +0; +0> +#685125 +1) +1; +1> +#687500 +0( +1' +0: +19 +1E +0= +1A +1F +1G +1< +13 +1! +#688500 +0) +0; +0> +0A +0G +0< +03 +0! +#691875 +1) +1; +1> +1A +1G +1< +13 +1! +#693750 +1( +1: +1= +0A +0G +0< +03 +0! +#695250 +0) +0; +0> +#698625 +1) +1; +1> +#700000 +0( +0' +0& +0% +1$ +0: +09 +08 +07 +16 +1? +0@ +0D +0E +0= +0F +#702000 +0) +0; +0> +#705375 +1) +1; +1> +#706250 +1( +1: +1= +#708750 +0) +0; +0> +1A +#712125 +1) +1; +1> +0A +#712500 +0( +1' +0: +19 +1E +0= +#715500 +0) +0; +0> +#718750 +1( +1: +1= +1A +#718875 +1) +1; +1> +0A +#722250 +0) +0; +0> +1A +#725000 +0( +0' +1& +0: +09 +18 +1D +0E +0= +0A +#725625 +1) +1; +1> +#729000 +0) +0; +0> +#731250 +1( +1: +1= +1A +#732375 +1) +1; +1> +0A +#735750 +0) +0; +0> +1A +#737500 +0( +1' +0: +19 +1E +0= +0A +1F +#739125 +1) +1; +1> +#742500 +0) +0; +0> +#743750 +1( +1: +1= +1A +1G +1< +13 +1! +#745875 +1) +1; +1> +0A +0G +0< +03 +0! +#749250 +0) +0; +0> +1A +1G +1< +13 +1! +#750000 +0( +0' +0& +1% +0: +09 +08 +17 +1@ +0D +0E +0= +0F +0A +0G +0< +03 +0! +#752625 +1) +1; +1> +#756000 +0) +0; +0> +#756250 +1( +1: +1= +#759375 +1) +1; +1> +1A +#762500 +0( +1' +0: +19 +1E +0= +0A +#762750 +0) +0; +0> +#766125 +1) +1; +1> +#768750 +1( +1: +1= +1A +#769500 +0) +0; +0> +0A +#772875 +1) +1; +1> +1A +#775000 +0( +0' +1& +0: +09 +18 +1D +0E +0= +0A +#776250 +0) +0; +0> +#779625 +1) +1; +1> +#781250 +1( +1: +1= +1A +#783000 +0) +0; +0> +0A +#786375 +1) +1; +1> +1A +#787500 +0( +1' +0: +19 +1E +0= +0A +1F +#789750 +0) +0; +0> +#793125 +1) +1; +1> +#793750 +1( +1: +1= +1A +1G +1< +13 +1! +#796500 +0) +0; +0> +0A +0G +0< +03 +0! +#799875 +1) +1; +1> +1A +1G +1< +13 +1! +#800000 +0( +0' +0& +0% +0$ +0# +0" +0: +09 +08 +07 +06 +05 +04 +0B +0C +0? +0@ +0D +0E +0= +0A +0G +0< +03 +0! +#803250 +0) +0; +0> +1A +1G +1< +13 +1! +#806250 +1( +1: +1= +0A +0G +0< +03 +0! +#806625 +1) +1; +1> +#810000 +0) +0; +0> +#812500 +0( +1' +0: +19 +1E +0= +1A +0F +#813375 +1) +1; +1> +0A +#816750 +0) +0; +0> +1A +#818750 +1( +1: +1= +0A +#820125 +1) +1; +1> +#823500 +0) +0; +0> +#825000 +0( +0' +1& +0: +09 +18 +1D +0E +0= +1A +#826875 +1) +1; +1> +0A +#830250 +0) +0; +0> +1A +#831250 +1( +1: +1= +0A +#833625 +1) +1; +1> +#837000 +0) +0; +0> +#837500 +0( +1' +0: +19 +1E +0= +1A +#840375 +1) +1; +1> +0A +#843750 +0) +1( +0; +1: +1= +0> +#847125 +1) +1; +1> +#850000 +0( +0' +0& +1% +0: +09 +08 +17 +1@ +0D +0E +0= +1F +1A +1G +1< +13 +1! +#850500 +0) +0; +0> +0A +0G +0< +03 +0! +#853875 +1) +1; +1> +1A +1G +1< +13 +1! +#856250 +1( +1: +1= +0A +0G +0< +03 +0! +#857250 +0) +0; +0> +#860625 +1) +1; +1> +#862500 +0( +1' +0: +19 +1E +0= +1A +0F +#864000 +0) +0; +0> +0A +#867375 +1) +1; +1> +1A +#868750 +1( +1: +1= +0A +#870750 +0) +0; +0> +#874125 +1) +1; +1> +#875000 +0( +0' +1& +0: +09 +18 +1D +0E +0= +1A +#877500 +0) +0; +0> +0A +#880875 +1) +1; +1> +1A +#881250 +1( +1: +1= +0A +#884250 +0) +0; +0> +#887500 +0( +1' +0: +19 +1E +0= +#887625 +1) +1; +1> +1A +#891000 +0) +0; +0> +0A +#893750 +1( +1: +1= +#894375 +1) +1; +1> +#897750 +0) +0; +0> +#900000 +0( +0' +0& +0% +1$ +0: +09 +08 +07 +16 +1? +0@ +0D +0E +0= +1F +#901125 +1) +1; +1> +#904500 +0) +0; +0> +#906250 +1( +1: +1= +1A +1G +1< +13 +1! +#907875 +1) +1; +1> +0A +0G +0< +03 +0! +#911250 +0) +0; +0> +1A +1G +1< +13 +1! +#912500 +0( +1' +0: +19 +1E +0= +0A +0F +0G +0< +03 +0! +#914625 +1) +1; +1> +#918000 +0) +0; +0> +#918750 +1( +1: +1= +1A +#921375 +1) +1; +1> +0A +#924750 +0) +0; +0> +1A +#925000 +0( +0' +1& +0: +09 +18 +1D +0E +0= +0A +#928125 +1) +1; +1> +#931250 +1( +1: +1= +#931500 +0) +0; +0> +1A +#934875 +1) +1; +1> +0A +#937500 +0( +1' +0: +19 +1E +0= +#938250 +0) +0; +0> +#941625 +1) +1; +1> +#943750 +1( +1: +1= +#945000 +0) +0; +0> +1A +#948375 +1) +1; +1> +0A +#950000 +0( +0' +0& +1% +0: +09 +08 +17 +1@ +0D +0E +0= +1F +#951750 +0) +0; +0> +#955125 +1) +1; +1> +#956250 +1( +1: +1= +1A +1G +1< +13 +1! +#958500 +0) +0; +0> +0A +0G +0< +03 +0! +#961875 +1) +1; +1> +1A +1G +1< +13 +1! +#962500 +0( +1' +0: +19 +1E +0= +0A +0F +0G +0< +03 +0! +#965250 +0) +0; +0> +#968625 +1) +1; +1> +#968750 +1( +1: +1= +1A +#972000 +0) +0; +0> +0A +#975000 +0( +0' +1& +0: +09 +18 +1D +0E +0= +#975375 +1) +1; +1> +#978750 +0) +0; +0> +#981250 +1( +1: +1= +#982125 +1) +1; +1> +1A +#985500 +0) +0; +0> +0A +#987500 +0( +1' +0: +19 +1E +0= +#988875 +1) +1; +1> +#992250 +0) +0; +0> +#993750 +1( +1: +1= +#995625 +1) +1; +1> +1A +#999000 +0) +0; +0> +0A +#1000000 diff --git a/1ano/2semestre/lsd/pratica01/part4/simulation/qsim/EqCmpDemo.sft b/1ano/2semestre/lsd/pratica01/part4/simulation/qsim/EqCmpDemo.sft new file mode 100644 index 0000000..0c5034b --- /dev/null +++ b/1ano/2semestre/lsd/pratica01/part4/simulation/qsim/EqCmpDemo.sft @@ -0,0 +1 @@ +set tool_name "ModelSim-Altera (VHDL)" diff --git a/1ano/2semestre/lsd/pratica01/part4/simulation/qsim/EqCmpDemo.vho b/1ano/2semestre/lsd/pratica01/part4/simulation/qsim/EqCmpDemo.vho new file mode 100644 index 0000000..e93df62 --- /dev/null +++ b/1ano/2semestre/lsd/pratica01/part4/simulation/qsim/EqCmpDemo.vho @@ -0,0 +1,477 @@ +-- Copyright (C) 2020 Intel Corporation. All rights reserved. +-- Your use of Intel Corporation's design tools, logic functions +-- and other software and tools, and any partner logic +-- functions, and any output files from any of the foregoing +-- (including device programming or simulation files), and any +-- associated documentation or information are expressly subject +-- to the terms and conditions of the Intel Program License +-- Subscription Agreement, the Intel Quartus Prime License Agreement, +-- the Intel FPGA IP License Agreement, or other applicable license +-- agreement, including, without limitation, that your use is for +-- the sole purpose of programming logic devices manufactured by +-- Intel and sold by Intel or its authorized distributors. Please +-- refer to the applicable agreement for further details, at +-- https://fpgasoftware.intel.com/eula. + +-- VENDOR "Altera" +-- PROGRAM "Quartus Prime" +-- VERSION "Version 20.1.1 Build 720 11/11/2020 SJ Lite Edition" + +-- DATE "03/07/2023 20:57:58" + +-- +-- Device: Altera EP4CE115F29C7 Package FBGA780 +-- + +-- +-- This VHDL file should be used for ModelSim-Altera (VHDL) only +-- + +LIBRARY CYCLONEIVE; +LIBRARY IEEE; +USE CYCLONEIVE.CYCLONEIVE_COMPONENTS.ALL; +USE IEEE.STD_LOGIC_1164.ALL; + +ENTITY hard_block IS + PORT ( + devoe : IN std_logic; + devclrn : IN std_logic; + devpor : IN std_logic + ); +END hard_block; + +-- Design Ports Information +-- AUD_ADCDAT => Location: PIN_D2, I/O Standard: 3.3-V LVTTL, Current Strength: Default +-- CLOCK2_50 => Location: PIN_AG14, I/O Standard: 3.3-V LVTTL, Current Strength: Default +-- CLOCK3_50 => Location: PIN_AG15, I/O Standard: 3.3-V LVTTL, Current Strength: Default +-- CLOCK_50 => Location: PIN_Y2, I/O Standard: 2.5 V, Current Strength: Default +-- ENET0_INT_N => Location: PIN_A21, I/O Standard: 2.5 V, Current Strength: Default +-- ENET0_LINK100 => Location: PIN_C14, I/O Standard: 3.3-V LVTTL, Current Strength: Default +-- ENET0_MDIO => Location: PIN_B21, I/O Standard: 2.5 V, Current Strength: Default +-- ENET0_RX_CLK => Location: PIN_A15, I/O Standard: 2.5 V, Current Strength: Default +-- ENET0_RX_COL => Location: PIN_E15, I/O Standard: 2.5 V, Current Strength: Default +-- ENET0_RX_CRS => Location: PIN_D15, I/O Standard: 2.5 V, Current Strength: Default +-- ENET0_RX_DATA[0] => Location: PIN_C16, I/O Standard: 2.5 V, Current Strength: Default +-- ENET0_RX_DATA[1] => Location: PIN_D16, I/O Standard: 2.5 V, Current Strength: Default +-- ENET0_RX_DATA[2] => Location: PIN_D17, I/O Standard: 2.5 V, Current Strength: Default +-- ENET0_RX_DATA[3] => Location: PIN_C15, I/O Standard: 2.5 V, Current Strength: Default +-- ENET0_RX_DV => Location: PIN_C17, I/O Standard: 2.5 V, Current Strength: Default +-- ENET0_RX_ER => Location: PIN_D18, I/O Standard: 2.5 V, Current Strength: Default +-- ENET0_TX_CLK => Location: PIN_B17, I/O Standard: 2.5 V, Current Strength: Default +-- ENET1_INT_N => Location: PIN_D24, I/O Standard: 2.5 V, Current Strength: Default +-- ENET1_LINK100 => Location: PIN_D13, I/O Standard: 3.3-V LVTTL, Current Strength: Default +-- ENET1_MDIO => Location: PIN_D25, I/O Standard: 2.5 V, Current Strength: Default +-- ENET1_RX_CLK => Location: PIN_B15, I/O Standard: 2.5 V, Current Strength: Default +-- ENET1_RX_COL => Location: PIN_B22, I/O Standard: 2.5 V, Current Strength: Default +-- ENET1_RX_CRS => Location: PIN_D20, I/O Standard: 2.5 V, Current Strength: Default +-- ENET1_RX_DATA[0] => Location: PIN_B23, I/O Standard: 2.5 V, Current Strength: Default +-- ENET1_RX_DATA[1] => Location: PIN_C21, I/O Standard: 2.5 V, Current Strength: Default +-- ENET1_RX_DATA[2] => Location: PIN_A23, I/O Standard: 2.5 V, Current Strength: Default +-- ENET1_RX_DATA[3] => Location: PIN_D21, I/O Standard: 2.5 V, Current Strength: Default +-- ENET1_RX_DV => Location: PIN_A22, I/O Standard: 2.5 V, Current Strength: Default +-- ENET1_RX_ER => Location: PIN_C24, I/O Standard: 2.5 V, Current Strength: Default +-- ENET1_TX_CLK => Location: PIN_C22, I/O Standard: 2.5 V, Current Strength: Default +-- ENETCLK_25 => Location: PIN_A14, I/O Standard: 3.3-V LVTTL, Current Strength: Default +-- FL_RY => Location: PIN_Y1, I/O Standard: 3.3-V LVTTL, Current Strength: Default +-- HSMC_CLKIN0 => Location: PIN_AH15, I/O Standard: 3.3-V LVTTL, Current Strength: Default +-- IRDA_RXD => Location: PIN_Y15, I/O Standard: 3.3-V LVTTL, Current Strength: Default +-- KEY[0] => Location: PIN_M23, I/O Standard: 2.5 V, Current Strength: Default +-- KEY[1] => Location: PIN_M21, I/O Standard: 2.5 V, Current Strength: Default +-- KEY[2] => Location: PIN_N21, I/O Standard: 2.5 V, Current Strength: Default +-- KEY[3] => Location: PIN_R24, I/O Standard: 2.5 V, Current Strength: Default +-- OTG_INT => Location: PIN_D5, I/O Standard: 3.3-V LVTTL, Current Strength: Default +-- SD_WP_N => Location: PIN_AF14, I/O Standard: 3.3-V LVTTL, Current Strength: Default +-- SMA_CLKIN => Location: PIN_AH14, I/O Standard: 3.3-V LVTTL, Current Strength: Default +-- SW[10] => Location: PIN_AC24, I/O Standard: 2.5 V, Current Strength: Default +-- SW[11] => Location: PIN_AB24, I/O Standard: 2.5 V, Current Strength: Default +-- SW[12] => Location: PIN_AB23, I/O Standard: 2.5 V, Current Strength: Default +-- SW[13] => Location: PIN_AA24, I/O Standard: 2.5 V, Current Strength: Default +-- SW[14] => Location: PIN_AA23, I/O Standard: 2.5 V, Current Strength: Default +-- SW[15] => Location: PIN_AA22, I/O Standard: 2.5 V, Current Strength: Default +-- SW[16] => Location: PIN_Y24, I/O Standard: 2.5 V, Current Strength: Default +-- SW[17] => Location: PIN_Y23, I/O Standard: 2.5 V, Current Strength: Default +-- SW[8] => Location: PIN_AC25, I/O Standard: 2.5 V, Current Strength: Default +-- SW[9] => Location: PIN_AB25, I/O Standard: 2.5 V, Current Strength: Default +-- TD_CLK27 => Location: PIN_B14, I/O Standard: 3.3-V LVTTL, Current Strength: Default +-- TD_DATA[0] => Location: PIN_E8, I/O Standard: 3.3-V LVTTL, Current Strength: Default +-- TD_DATA[1] => Location: PIN_A7, I/O Standard: 3.3-V LVTTL, Current Strength: Default +-- TD_DATA[2] => Location: PIN_D8, I/O Standard: 3.3-V LVTTL, Current Strength: Default +-- TD_DATA[3] => Location: PIN_C7, I/O Standard: 3.3-V LVTTL, Current Strength: Default +-- TD_DATA[4] => Location: PIN_D7, I/O Standard: 3.3-V LVTTL, Current Strength: Default +-- TD_DATA[5] => Location: PIN_D6, I/O Standard: 3.3-V LVTTL, Current Strength: Default +-- TD_DATA[6] => Location: PIN_E7, I/O Standard: 3.3-V LVTTL, Current Strength: Default +-- TD_DATA[7] => Location: PIN_F7, I/O Standard: 3.3-V LVTTL, Current Strength: Default +-- TD_HS => Location: PIN_E5, I/O Standard: 3.3-V LVTTL, Current Strength: Default +-- TD_VS => Location: PIN_E4, I/O Standard: 3.3-V LVTTL, Current Strength: Default +-- UART_RTS => Location: PIN_J13, I/O Standard: 3.3-V LVTTL, Current Strength: Default +-- UART_RXD => Location: PIN_G12, I/O Standard: 3.3-V LVTTL, Current Strength: Default +-- ~ALTERA_ASDO_DATA1~ => Location: PIN_F4, I/O Standard: 3.3-V LVTTL, Current Strength: Default +-- ~ALTERA_FLASH_nCE_nCSO~ => Location: PIN_E2, I/O Standard: 3.3-V LVTTL, Current Strength: Default +-- ~ALTERA_DCLK~ => Location: PIN_P3, I/O Standard: 3.3-V LVTTL, Current Strength: Default +-- ~ALTERA_DATA0~ => Location: PIN_N7, I/O Standard: 3.3-V LVTTL, Current Strength: Default +-- ~ALTERA_nCEO~ => Location: PIN_P28, I/O Standard: 2.5 V, Current Strength: 8mA + + +ARCHITECTURE structure OF hard_block IS +SIGNAL gnd : std_logic := '0'; +SIGNAL vcc : std_logic := '1'; +SIGNAL unknown : std_logic := 'X'; +SIGNAL ww_devoe : std_logic; +SIGNAL ww_devclrn : std_logic; +SIGNAL ww_devpor : std_logic; +SIGNAL \AUD_ADCDAT~padout\ : std_logic; +SIGNAL \CLOCK2_50~padout\ : std_logic; +SIGNAL \CLOCK3_50~padout\ : std_logic; +SIGNAL \CLOCK_50~padout\ : std_logic; +SIGNAL \ENET0_INT_N~padout\ : std_logic; +SIGNAL \ENET0_LINK100~padout\ : std_logic; +SIGNAL \ENET0_MDIO~padout\ : std_logic; +SIGNAL \ENET0_RX_CLK~padout\ : std_logic; +SIGNAL \ENET0_RX_COL~padout\ : std_logic; +SIGNAL \ENET0_RX_CRS~padout\ : std_logic; +SIGNAL \ENET0_RX_DATA[0]~padout\ : std_logic; +SIGNAL \ENET0_RX_DATA[1]~padout\ : std_logic; +SIGNAL \ENET0_RX_DATA[2]~padout\ : std_logic; +SIGNAL \ENET0_RX_DATA[3]~padout\ : std_logic; +SIGNAL \ENET0_RX_DV~padout\ : std_logic; +SIGNAL \ENET0_RX_ER~padout\ : std_logic; +SIGNAL \ENET0_TX_CLK~padout\ : std_logic; +SIGNAL \ENET1_INT_N~padout\ : std_logic; +SIGNAL \ENET1_LINK100~padout\ : std_logic; +SIGNAL \ENET1_MDIO~padout\ : std_logic; +SIGNAL \ENET1_RX_CLK~padout\ : std_logic; +SIGNAL \ENET1_RX_COL~padout\ : std_logic; +SIGNAL \ENET1_RX_CRS~padout\ : std_logic; +SIGNAL \ENET1_RX_DATA[0]~padout\ : std_logic; +SIGNAL \ENET1_RX_DATA[1]~padout\ : std_logic; +SIGNAL \ENET1_RX_DATA[2]~padout\ : std_logic; +SIGNAL \ENET1_RX_DATA[3]~padout\ : std_logic; +SIGNAL \ENET1_RX_DV~padout\ : std_logic; +SIGNAL \ENET1_RX_ER~padout\ : std_logic; +SIGNAL \ENET1_TX_CLK~padout\ : std_logic; +SIGNAL \ENETCLK_25~padout\ : std_logic; +SIGNAL \FL_RY~padout\ : std_logic; +SIGNAL \HSMC_CLKIN0~padout\ : std_logic; +SIGNAL \IRDA_RXD~padout\ : std_logic; +SIGNAL \KEY[0]~padout\ : std_logic; +SIGNAL \KEY[1]~padout\ : std_logic; +SIGNAL \KEY[2]~padout\ : std_logic; +SIGNAL \KEY[3]~padout\ : std_logic; +SIGNAL \OTG_INT~padout\ : std_logic; +SIGNAL \SD_WP_N~padout\ : std_logic; +SIGNAL \SMA_CLKIN~padout\ : std_logic; +SIGNAL \TD_CLK27~padout\ : std_logic; +SIGNAL \TD_DATA[0]~padout\ : std_logic; +SIGNAL \TD_DATA[1]~padout\ : std_logic; +SIGNAL \TD_DATA[2]~padout\ : std_logic; +SIGNAL \TD_DATA[3]~padout\ : std_logic; +SIGNAL \TD_DATA[4]~padout\ : std_logic; +SIGNAL \TD_DATA[5]~padout\ : std_logic; +SIGNAL \TD_DATA[6]~padout\ : std_logic; +SIGNAL \TD_DATA[7]~padout\ : std_logic; +SIGNAL \TD_HS~padout\ : std_logic; +SIGNAL \TD_VS~padout\ : std_logic; +SIGNAL \UART_RTS~padout\ : std_logic; +SIGNAL \UART_RXD~padout\ : std_logic; +SIGNAL \~ALTERA_ASDO_DATA1~~padout\ : std_logic; +SIGNAL \~ALTERA_FLASH_nCE_nCSO~~padout\ : std_logic; +SIGNAL \~ALTERA_DATA0~~padout\ : std_logic; +SIGNAL \AUD_ADCDAT~ibuf_o\ : std_logic; +SIGNAL \CLOCK2_50~ibuf_o\ : std_logic; +SIGNAL \CLOCK3_50~ibuf_o\ : std_logic; +SIGNAL \CLOCK_50~ibuf_o\ : std_logic; +SIGNAL \ENET0_INT_N~ibuf_o\ : std_logic; +SIGNAL \ENET0_LINK100~ibuf_o\ : std_logic; +SIGNAL \ENET0_MDIO~ibuf_o\ : std_logic; +SIGNAL \ENET0_RX_CLK~ibuf_o\ : std_logic; +SIGNAL \ENET0_RX_COL~ibuf_o\ : std_logic; +SIGNAL \ENET0_RX_CRS~ibuf_o\ : std_logic; +SIGNAL \ENET0_RX_DATA[0]~ibuf_o\ : std_logic; +SIGNAL \ENET0_RX_DATA[1]~ibuf_o\ : std_logic; +SIGNAL \ENET0_RX_DATA[2]~ibuf_o\ : std_logic; +SIGNAL \ENET0_RX_DATA[3]~ibuf_o\ : std_logic; +SIGNAL \ENET0_RX_DV~ibuf_o\ : std_logic; +SIGNAL \ENET0_RX_ER~ibuf_o\ : std_logic; +SIGNAL \ENET0_TX_CLK~ibuf_o\ : std_logic; +SIGNAL \ENET1_INT_N~ibuf_o\ : std_logic; +SIGNAL \ENET1_LINK100~ibuf_o\ : std_logic; +SIGNAL \ENET1_MDIO~ibuf_o\ : std_logic; +SIGNAL \ENET1_RX_CLK~ibuf_o\ : std_logic; +SIGNAL \ENET1_RX_COL~ibuf_o\ : std_logic; +SIGNAL \ENET1_RX_CRS~ibuf_o\ : std_logic; +SIGNAL \ENET1_RX_DATA[0]~ibuf_o\ : std_logic; +SIGNAL \ENET1_RX_DATA[1]~ibuf_o\ : std_logic; +SIGNAL \ENET1_RX_DATA[2]~ibuf_o\ : std_logic; +SIGNAL \ENET1_RX_DATA[3]~ibuf_o\ : std_logic; +SIGNAL \ENET1_RX_DV~ibuf_o\ : std_logic; +SIGNAL \ENET1_RX_ER~ibuf_o\ : std_logic; +SIGNAL \ENET1_TX_CLK~ibuf_o\ : std_logic; +SIGNAL \ENETCLK_25~ibuf_o\ : std_logic; +SIGNAL \FL_RY~ibuf_o\ : std_logic; +SIGNAL \HSMC_CLKIN0~ibuf_o\ : std_logic; +SIGNAL \IRDA_RXD~ibuf_o\ : std_logic; +SIGNAL \KEY[0]~ibuf_o\ : std_logic; +SIGNAL \KEY[1]~ibuf_o\ : std_logic; +SIGNAL \KEY[2]~ibuf_o\ : std_logic; +SIGNAL \KEY[3]~ibuf_o\ : std_logic; +SIGNAL \OTG_INT~ibuf_o\ : std_logic; +SIGNAL \SD_WP_N~ibuf_o\ : std_logic; +SIGNAL \SMA_CLKIN~ibuf_o\ : std_logic; +SIGNAL \SW[10]~ibuf_o\ : std_logic; +SIGNAL \SW[11]~ibuf_o\ : std_logic; +SIGNAL \SW[12]~ibuf_o\ : std_logic; +SIGNAL \SW[13]~ibuf_o\ : std_logic; +SIGNAL \SW[14]~ibuf_o\ : std_logic; +SIGNAL \SW[15]~ibuf_o\ : std_logic; +SIGNAL \SW[16]~ibuf_o\ : std_logic; +SIGNAL \SW[17]~ibuf_o\ : std_logic; +SIGNAL \SW[8]~ibuf_o\ : std_logic; +SIGNAL \SW[9]~ibuf_o\ : std_logic; +SIGNAL \TD_CLK27~ibuf_o\ : std_logic; +SIGNAL \TD_DATA[0]~ibuf_o\ : std_logic; +SIGNAL \TD_DATA[1]~ibuf_o\ : std_logic; +SIGNAL \TD_DATA[2]~ibuf_o\ : std_logic; +SIGNAL \TD_DATA[3]~ibuf_o\ : std_logic; +SIGNAL \TD_DATA[4]~ibuf_o\ : std_logic; +SIGNAL \TD_DATA[5]~ibuf_o\ : std_logic; +SIGNAL \TD_DATA[6]~ibuf_o\ : std_logic; +SIGNAL \TD_DATA[7]~ibuf_o\ : std_logic; +SIGNAL \TD_HS~ibuf_o\ : std_logic; +SIGNAL \TD_VS~ibuf_o\ : std_logic; +SIGNAL \UART_RTS~ibuf_o\ : std_logic; +SIGNAL \UART_RXD~ibuf_o\ : std_logic; +SIGNAL \~ALTERA_ASDO_DATA1~~ibuf_o\ : std_logic; +SIGNAL \~ALTERA_FLASH_nCE_nCSO~~ibuf_o\ : std_logic; +SIGNAL \~ALTERA_DATA0~~ibuf_o\ : std_logic; +SIGNAL SW : std_logic_vector(7 DOWNTO 0); + +BEGIN + +ww_devoe <= devoe; +ww_devclrn <= devclrn; +ww_devpor <= devpor; +END structure; + + +LIBRARY CYCLONEIVE; +LIBRARY IEEE; +USE CYCLONEIVE.CYCLONEIVE_COMPONENTS.ALL; +USE IEEE.STD_LOGIC_1164.ALL; + +ENTITY EqCmpDemo IS + PORT ( + LEDG : OUT std_logic_vector(0 DOWNTO 0); + SW : IN std_logic_vector(7 DOWNTO 0) + ); +END EqCmpDemo; + +-- Design Ports Information +-- LEDG[0] => Location: PIN_E21, I/O Standard: 2.5 V, Current Strength: Default +-- SW[4] => Location: PIN_AB27, I/O Standard: 2.5 V, Current Strength: Default +-- SW[5] => Location: PIN_AC26, I/O Standard: 2.5 V, Current Strength: Default +-- SW[1] => Location: PIN_AC28, I/O Standard: 2.5 V, Current Strength: Default +-- SW[0] => Location: PIN_AB28, I/O Standard: 2.5 V, Current Strength: Default +-- SW[6] => Location: PIN_AD26, I/O Standard: 2.5 V, Current Strength: Default +-- SW[7] => Location: PIN_AB26, I/O Standard: 2.5 V, Current Strength: Default +-- SW[3] => Location: PIN_AD27, I/O Standard: 2.5 V, Current Strength: Default +-- SW[2] => Location: PIN_AC27, I/O Standard: 2.5 V, Current Strength: Default + + +ARCHITECTURE structure OF EqCmpDemo IS +SIGNAL gnd : std_logic := '0'; +SIGNAL vcc : std_logic := '1'; +SIGNAL unknown : std_logic := 'X'; +SIGNAL devoe : std_logic := '1'; +SIGNAL devclrn : std_logic := '1'; +SIGNAL devpor : std_logic := '1'; +SIGNAL ww_devoe : std_logic; +SIGNAL ww_devclrn : std_logic; +SIGNAL ww_devpor : std_logic; +SIGNAL ww_LEDG : std_logic_vector(0 DOWNTO 0); +SIGNAL ww_SW : std_logic_vector(7 DOWNTO 0); +SIGNAL \LEDG[0]~output_o\ : std_logic; +SIGNAL \SW[1]~input_o\ : std_logic; +SIGNAL \SW[0]~input_o\ : std_logic; +SIGNAL \SW[5]~input_o\ : std_logic; +SIGNAL \SW[4]~input_o\ : std_logic; +SIGNAL \inst1|inst~0_combout\ : std_logic; +SIGNAL \SW[7]~input_o\ : std_logic; +SIGNAL \SW[6]~input_o\ : std_logic; +SIGNAL \SW[3]~input_o\ : std_logic; +SIGNAL \SW[2]~input_o\ : std_logic; +SIGNAL \inst1|inst~1_combout\ : std_logic; +SIGNAL \inst1|inst~combout\ : std_logic; + +COMPONENT hard_block + PORT ( + devoe : IN std_logic; + devclrn : IN std_logic; + devpor : IN std_logic); +END COMPONENT; + +BEGIN + +LEDG <= ww_LEDG; +ww_SW <= SW; +ww_devoe <= devoe; +ww_devclrn <= devclrn; +ww_devpor <= devpor; +auto_generated_inst : hard_block +PORT MAP ( + devoe => ww_devoe, + devclrn => ww_devclrn, + devpor => ww_devpor); + +-- Location: IOOBUF_X107_Y73_N9 +\LEDG[0]~output\ : cycloneive_io_obuf +-- pragma translate_off +GENERIC MAP ( + bus_hold => "false", + open_drain_output => "false") +-- pragma translate_on +PORT MAP ( + i => \inst1|inst~combout\, + devoe => ww_devoe, + o => \LEDG[0]~output_o\); + +-- Location: IOIBUF_X115_Y14_N1 +\SW[1]~input\ : cycloneive_io_ibuf +-- pragma translate_off +GENERIC MAP ( + bus_hold => "false", + simulate_z_as => "z") +-- pragma translate_on +PORT MAP ( + i => ww_SW(1), + o => \SW[1]~input_o\); + +-- Location: IOIBUF_X115_Y17_N1 +\SW[0]~input\ : cycloneive_io_ibuf +-- pragma translate_off +GENERIC MAP ( + bus_hold => "false", + simulate_z_as => "z") +-- pragma translate_on +PORT MAP ( + i => ww_SW(0), + o => \SW[0]~input_o\); + +-- Location: IOIBUF_X115_Y11_N8 +\SW[5]~input\ : cycloneive_io_ibuf +-- pragma translate_off +GENERIC MAP ( + bus_hold => "false", + simulate_z_as => "z") +-- pragma translate_on +PORT MAP ( + i => ww_SW(5), + o => \SW[5]~input_o\); + +-- Location: IOIBUF_X115_Y18_N8 +\SW[4]~input\ : cycloneive_io_ibuf +-- pragma translate_off +GENERIC MAP ( + bus_hold => "false", + simulate_z_as => "z") +-- pragma translate_on +PORT MAP ( + i => ww_SW(4), + o => \SW[4]~input_o\); + +-- Location: LCCOMB_X114_Y15_N24 +\inst1|inst~0\ : cycloneive_lcell_comb +-- Equation(s): +-- \inst1|inst~0_combout\ = (\SW[1]~input_o\ & (\SW[5]~input_o\ & (\SW[0]~input_o\ $ (!\SW[4]~input_o\)))) # (!\SW[1]~input_o\ & (!\SW[5]~input_o\ & (\SW[0]~input_o\ $ (!\SW[4]~input_o\)))) + +-- pragma translate_off +GENERIC MAP ( + lut_mask => "1000010000100001", + sum_lutc_input => "datac") +-- pragma translate_on +PORT MAP ( + dataa => \SW[1]~input_o\, + datab => \SW[0]~input_o\, + datac => \SW[5]~input_o\, + datad => \SW[4]~input_o\, + combout => \inst1|inst~0_combout\); + +-- Location: IOIBUF_X115_Y15_N1 +\SW[7]~input\ : cycloneive_io_ibuf +-- pragma translate_off +GENERIC MAP ( + bus_hold => "false", + simulate_z_as => "z") +-- pragma translate_on +PORT MAP ( + i => ww_SW(7), + o => \SW[7]~input_o\); + +-- Location: IOIBUF_X115_Y10_N1 +\SW[6]~input\ : cycloneive_io_ibuf +-- pragma translate_off +GENERIC MAP ( + bus_hold => "false", + simulate_z_as => "z") +-- pragma translate_on +PORT MAP ( + i => ww_SW(6), + o => \SW[6]~input_o\); + +-- Location: IOIBUF_X115_Y13_N8 +\SW[3]~input\ : cycloneive_io_ibuf +-- pragma translate_off +GENERIC MAP ( + bus_hold => "false", + simulate_z_as => "z") +-- pragma translate_on +PORT MAP ( + i => ww_SW(3), + o => \SW[3]~input_o\); + +-- Location: IOIBUF_X115_Y15_N8 +\SW[2]~input\ : cycloneive_io_ibuf +-- pragma translate_off +GENERIC MAP ( + bus_hold => "false", + simulate_z_as => "z") +-- pragma translate_on +PORT MAP ( + i => ww_SW(2), + o => \SW[2]~input_o\); + +-- Location: LCCOMB_X114_Y15_N10 +\inst1|inst~1\ : cycloneive_lcell_comb +-- Equation(s): +-- \inst1|inst~1_combout\ = (\SW[7]~input_o\ & (\SW[3]~input_o\ & (\SW[6]~input_o\ $ (!\SW[2]~input_o\)))) # (!\SW[7]~input_o\ & (!\SW[3]~input_o\ & (\SW[6]~input_o\ $ (!\SW[2]~input_o\)))) + +-- pragma translate_off +GENERIC MAP ( + lut_mask => "1000010000100001", + sum_lutc_input => "datac") +-- pragma translate_on +PORT MAP ( + dataa => \SW[7]~input_o\, + datab => \SW[6]~input_o\, + datac => \SW[3]~input_o\, + datad => \SW[2]~input_o\, + combout => \inst1|inst~1_combout\); + +-- Location: LCCOMB_X114_Y15_N28 +\inst1|inst\ : cycloneive_lcell_comb +-- Equation(s): +-- \inst1|inst~combout\ = (\inst1|inst~0_combout\ & \inst1|inst~1_combout\) + +-- pragma translate_off +GENERIC MAP ( + lut_mask => "1100110000000000", + sum_lutc_input => "datac") +-- pragma translate_on +PORT MAP ( + datab => \inst1|inst~0_combout\, + datad => \inst1|inst~1_combout\, + combout => \inst1|inst~combout\); + +ww_LEDG(0) <= \LEDG[0]~output_o\; +END structure; + + diff --git a/1ano/2semestre/lsd/pratica01/part4/simulation/qsim/EqCmpDemo_20230307205001.sim.vwf b/1ano/2semestre/lsd/pratica01/part4/simulation/qsim/EqCmpDemo_20230307205001.sim.vwf new file mode 100644 index 0000000..955b9f3 --- /dev/null +++ b/1ano/2semestre/lsd/pratica01/part4/simulation/qsim/EqCmpDemo_20230307205001.sim.vwf @@ -0,0 +1,1863 @@ +/* +WARNING: Do NOT edit the input and output ports in this file in a text +editor if you plan to continue editing the block that represents it in +the Block Editor! File corruption is VERY likely to occur. +*/ + +/* +Copyright (C) 2020 Intel Corporation. All rights reserved. +Your use of Intel Corporation's design tools, logic functions +and other software and tools, and any partner logic +functions, and any output files from any of the foregoing +(including device programming or simulation files), and any +associated documentation or information are expressly subject +to the terms and conditions of the Intel Program License +Subscription Agreement, the Intel Quartus Prime License Agreement, +the Intel FPGA IP License Agreement, or other applicable license +agreement, including, without limitation, that your use is for +the sole purpose of programming logic devices manufactured by +Intel and sold by Intel or its authorized distributors. Please +refer to the applicable agreement for further details, at +https://fpgasoftware.intel.com/eula. +*/ + +HEADER +{ + VERSION = 1; + TIME_UNIT = ns; + DATA_OFFSET = 0.0; + DATA_DURATION = 1000.0; + SIMULATION_TIME = 0.0; + GRID_PHASE = 0.0; + GRID_PERIOD = 10.0; + GRID_DUTY_CYCLE = 50; +} + +SIGNAL("LEDG") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = OUTPUT; + PARENT = ""; +} + +SIGNAL("LEDG[0]") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = OUTPUT; + PARENT = ""; +} + +SIGNAL("SW") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = BUS; + WIDTH = 16; + LSB_INDEX = 0; + DIRECTION = INPUT; + PARENT = ""; +} + +SIGNAL("SW[15]") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = INPUT; + PARENT = "SW"; +} + +SIGNAL("SW[14]") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = INPUT; + PARENT = "SW"; +} + +SIGNAL("SW[13]") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = INPUT; + PARENT = "SW"; +} + +SIGNAL("SW[12]") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = INPUT; + PARENT = "SW"; +} + +SIGNAL("SW[11]") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = INPUT; + PARENT = "SW"; +} + +SIGNAL("SW[10]") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = INPUT; + PARENT = "SW"; +} + +SIGNAL("SW[9]") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = INPUT; + PARENT = "SW"; +} + +SIGNAL("SW[8]") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = INPUT; + PARENT = "SW"; +} + +SIGNAL("SW[7]") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = INPUT; + PARENT = "SW"; +} + +SIGNAL("SW[6]") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = INPUT; + PARENT = "SW"; +} + +SIGNAL("SW[5]") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = INPUT; + PARENT = "SW"; +} + +SIGNAL("SW[4]") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = INPUT; + PARENT = "SW"; +} + +SIGNAL("SW[3]") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = INPUT; + PARENT = "SW"; +} + +SIGNAL("SW[2]") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = INPUT; + PARENT = "SW"; +} + +SIGNAL("SW[1]") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = INPUT; + PARENT = "SW"; +} + +SIGNAL("SW[0]") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = INPUT; + PARENT = "SW"; +} + +TRANSITION_LIST("LEDG") +{ + NODE + { + REPEAT = 1; + LEVEL X FOR 1000.0; + } +} + +TRANSITION_LIST("LEDG[0]") +{ + NODE + { + REPEAT = 1; + NODE + { + REPEAT = 1; + LEVEL 1 FOR 1000.0; + } + } +} + +TRANSITION_LIST("SW[15]") +{ + NODE + { + REPEAT = 1; + NODE + { + REPEAT = 1; + LEVEL 0 FOR 400.0; + LEVEL 1 FOR 400.0; + LEVEL 0 FOR 200.0; + } + } +} + +TRANSITION_LIST("SW[14]") +{ + NODE + { + REPEAT = 1; + NODE + { + REPEAT = 1; + LEVEL 0 FOR 200.0; + LEVEL 1 FOR 200.0; + LEVEL 0 FOR 200.0; + LEVEL 1 FOR 200.0; + LEVEL 0 FOR 200.0; + } + } +} + +TRANSITION_LIST("SW[13]") +{ + NODE + { + REPEAT = 1; + NODE + { + REPEAT = 1; + LEVEL 0 FOR 100.0; + LEVEL 1 FOR 100.0; + LEVEL 0 FOR 100.0; + LEVEL 1 FOR 100.0; + LEVEL 0 FOR 100.0; + LEVEL 1 FOR 100.0; + LEVEL 0 FOR 100.0; + LEVEL 1 FOR 100.0; + LEVEL 0 FOR 100.0; + LEVEL 1 FOR 100.0; + } + } +} + +TRANSITION_LIST("SW[12]") +{ + NODE + { + REPEAT = 1; + NODE + { + REPEAT = 1; + LEVEL 0 FOR 50.0; + LEVEL 1 FOR 50.0; + LEVEL 0 FOR 50.0; + LEVEL 1 FOR 50.0; + LEVEL 0 FOR 50.0; + LEVEL 1 FOR 50.0; + LEVEL 0 FOR 50.0; + LEVEL 1 FOR 50.0; + LEVEL 0 FOR 50.0; + LEVEL 1 FOR 50.0; + LEVEL 0 FOR 50.0; + LEVEL 1 FOR 50.0; + LEVEL 0 FOR 50.0; + LEVEL 1 FOR 50.0; + LEVEL 0 FOR 50.0; + LEVEL 1 FOR 50.0; + LEVEL 0 FOR 50.0; + LEVEL 1 FOR 50.0; + LEVEL 0 FOR 50.0; + LEVEL 1 FOR 50.0; + } + } +} + +TRANSITION_LIST("SW[11]") +{ + NODE + { + REPEAT = 1; + NODE + { + REPEAT = 1; + LEVEL 0 FOR 25.0; + LEVEL 1 FOR 25.0; + LEVEL 0 FOR 25.0; + LEVEL 1 FOR 25.0; + LEVEL 0 FOR 25.0; + LEVEL 1 FOR 25.0; + LEVEL 0 FOR 25.0; + LEVEL 1 FOR 25.0; + LEVEL 0 FOR 25.0; + LEVEL 1 FOR 25.0; + LEVEL 0 FOR 25.0; + LEVEL 1 FOR 25.0; + LEVEL 0 FOR 25.0; + LEVEL 1 FOR 25.0; + LEVEL 0 FOR 25.0; + LEVEL 1 FOR 25.0; + LEVEL 0 FOR 25.0; + LEVEL 1 FOR 25.0; + LEVEL 0 FOR 25.0; + LEVEL 1 FOR 25.0; + LEVEL 0 FOR 25.0; + LEVEL 1 FOR 25.0; + LEVEL 0 FOR 25.0; + LEVEL 1 FOR 25.0; + LEVEL 0 FOR 25.0; + LEVEL 1 FOR 25.0; + LEVEL 0 FOR 25.0; + LEVEL 1 FOR 25.0; + LEVEL 0 FOR 25.0; + LEVEL 1 FOR 25.0; + LEVEL 0 FOR 25.0; + LEVEL 1 FOR 25.0; + LEVEL 0 FOR 25.0; + LEVEL 1 FOR 25.0; + LEVEL 0 FOR 25.0; + LEVEL 1 FOR 25.0; + LEVEL 0 FOR 25.0; + LEVEL 1 FOR 25.0; + LEVEL 0 FOR 25.0; + LEVEL 1 FOR 25.0; + } + } +} + +TRANSITION_LIST("SW[10]") +{ + NODE + { + REPEAT = 1; + NODE + { + REPEAT = 1; + LEVEL 0 FOR 12.5; + LEVEL 1 FOR 12.5; + LEVEL 0 FOR 12.5; + LEVEL 1 FOR 12.5; + LEVEL 0 FOR 12.5; + LEVEL 1 FOR 12.5; + LEVEL 0 FOR 12.5; + LEVEL 1 FOR 12.5; + LEVEL 0 FOR 12.5; + LEVEL 1 FOR 12.5; + LEVEL 0 FOR 12.5; + LEVEL 1 FOR 12.5; + LEVEL 0 FOR 12.5; + LEVEL 1 FOR 12.5; + LEVEL 0 FOR 12.5; + LEVEL 1 FOR 12.5; + LEVEL 0 FOR 12.5; + LEVEL 1 FOR 12.5; + LEVEL 0 FOR 12.5; + LEVEL 1 FOR 12.5; + LEVEL 0 FOR 12.5; + LEVEL 1 FOR 12.5; + LEVEL 0 FOR 12.5; + LEVEL 1 FOR 12.5; + LEVEL 0 FOR 12.5; + LEVEL 1 FOR 12.5; + LEVEL 0 FOR 12.5; + LEVEL 1 FOR 12.5; + LEVEL 0 FOR 12.5; + LEVEL 1 FOR 12.5; + LEVEL 0 FOR 12.5; + LEVEL 1 FOR 12.5; + LEVEL 0 FOR 12.5; + LEVEL 1 FOR 12.5; + LEVEL 0 FOR 12.5; + LEVEL 1 FOR 12.5; + LEVEL 0 FOR 12.5; + LEVEL 1 FOR 12.5; + LEVEL 0 FOR 12.5; + LEVEL 1 FOR 12.5; + LEVEL 0 FOR 12.5; + LEVEL 1 FOR 12.5; + LEVEL 0 FOR 12.5; + LEVEL 1 FOR 12.5; + LEVEL 0 FOR 12.5; + LEVEL 1 FOR 12.5; + LEVEL 0 FOR 12.5; + LEVEL 1 FOR 12.5; + LEVEL 0 FOR 12.5; + LEVEL 1 FOR 12.5; + LEVEL 0 FOR 12.5; + LEVEL 1 FOR 12.5; + LEVEL 0 FOR 12.5; + LEVEL 1 FOR 12.5; + LEVEL 0 FOR 12.5; + LEVEL 1 FOR 12.5; + LEVEL 0 FOR 12.5; + LEVEL 1 FOR 12.5; + LEVEL 0 FOR 12.5; + LEVEL 1 FOR 12.5; + LEVEL 0 FOR 12.5; + LEVEL 1 FOR 12.5; + LEVEL 0 FOR 12.5; + LEVEL 1 FOR 12.5; + LEVEL 0 FOR 12.5; + LEVEL 1 FOR 12.5; + LEVEL 0 FOR 12.5; + LEVEL 1 FOR 12.5; + LEVEL 0 FOR 12.5; + LEVEL 1 FOR 12.5; + LEVEL 0 FOR 12.5; + LEVEL 1 FOR 12.5; + LEVEL 0 FOR 12.5; + LEVEL 1 FOR 12.5; + LEVEL 0 FOR 12.5; + LEVEL 1 FOR 12.5; + LEVEL 0 FOR 12.5; + LEVEL 1 FOR 12.5; + LEVEL 0 FOR 12.5; + LEVEL 1 FOR 12.5; + } + } +} + +TRANSITION_LIST("SW[9]") +{ + NODE + { + REPEAT = 1; + NODE + { + REPEAT = 1; + LEVEL 0 FOR 6.25; + LEVEL 1 FOR 6.25; + LEVEL 0 FOR 6.25; + LEVEL 1 FOR 6.25; + LEVEL 0 FOR 6.25; + LEVEL 1 FOR 6.25; + LEVEL 0 FOR 6.25; + LEVEL 1 FOR 6.25; + LEVEL 0 FOR 6.25; + LEVEL 1 FOR 6.25; + LEVEL 0 FOR 6.25; + LEVEL 1 FOR 6.25; + LEVEL 0 FOR 6.25; + LEVEL 1 FOR 6.25; + LEVEL 0 FOR 6.25; + LEVEL 1 FOR 6.25; + LEVEL 0 FOR 6.25; + LEVEL 1 FOR 6.25; + LEVEL 0 FOR 6.25; + LEVEL 1 FOR 6.25; + LEVEL 0 FOR 6.25; + LEVEL 1 FOR 6.25; + LEVEL 0 FOR 6.25; + LEVEL 1 FOR 6.25; + LEVEL 0 FOR 6.25; + LEVEL 1 FOR 6.25; + LEVEL 0 FOR 6.25; + LEVEL 1 FOR 6.25; + LEVEL 0 FOR 6.25; + LEVEL 1 FOR 6.25; + LEVEL 0 FOR 6.25; + LEVEL 1 FOR 6.25; + LEVEL 0 FOR 6.25; + LEVEL 1 FOR 6.25; + LEVEL 0 FOR 6.25; + LEVEL 1 FOR 6.25; + LEVEL 0 FOR 6.25; + LEVEL 1 FOR 6.25; + LEVEL 0 FOR 6.25; + LEVEL 1 FOR 6.25; + LEVEL 0 FOR 6.25; + LEVEL 1 FOR 6.25; + LEVEL 0 FOR 6.25; + LEVEL 1 FOR 6.25; + LEVEL 0 FOR 6.25; + LEVEL 1 FOR 6.25; + LEVEL 0 FOR 6.25; + LEVEL 1 FOR 6.25; + LEVEL 0 FOR 6.25; + LEVEL 1 FOR 6.25; + LEVEL 0 FOR 6.25; + LEVEL 1 FOR 6.25; + LEVEL 0 FOR 6.25; + LEVEL 1 FOR 6.25; + LEVEL 0 FOR 6.25; + LEVEL 1 FOR 6.25; + LEVEL 0 FOR 6.25; + LEVEL 1 FOR 6.25; + LEVEL 0 FOR 6.25; + LEVEL 1 FOR 6.25; + LEVEL 0 FOR 6.25; + LEVEL 1 FOR 6.25; + LEVEL 0 FOR 6.25; + LEVEL 1 FOR 6.25; + LEVEL 0 FOR 6.25; + LEVEL 1 FOR 6.25; + LEVEL 0 FOR 6.25; + LEVEL 1 FOR 6.25; + LEVEL 0 FOR 6.25; + LEVEL 1 FOR 6.25; + LEVEL 0 FOR 6.25; + LEVEL 1 FOR 6.25; + LEVEL 0 FOR 6.25; + LEVEL 1 FOR 6.25; + LEVEL 0 FOR 6.25; + LEVEL 1 FOR 6.25; + LEVEL 0 FOR 6.25; + LEVEL 1 FOR 6.25; + LEVEL 0 FOR 6.25; + LEVEL 1 FOR 6.25; + LEVEL 0 FOR 6.25; + LEVEL 1 FOR 6.25; + LEVEL 0 FOR 6.25; + LEVEL 1 FOR 6.25; + LEVEL 0 FOR 6.25; + LEVEL 1 FOR 6.25; + LEVEL 0 FOR 6.25; + LEVEL 1 FOR 6.25; + LEVEL 0 FOR 6.25; + LEVEL 1 FOR 6.25; + LEVEL 0 FOR 6.25; + LEVEL 1 FOR 6.25; + LEVEL 0 FOR 6.25; + LEVEL 1 FOR 6.25; + LEVEL 0 FOR 6.25; + LEVEL 1 FOR 6.25; + LEVEL 0 FOR 6.25; + LEVEL 1 FOR 6.25; + LEVEL 0 FOR 6.25; + LEVEL 1 FOR 6.25; + LEVEL 0 FOR 6.25; + LEVEL 1 FOR 6.25; + LEVEL 0 FOR 6.25; + LEVEL 1 FOR 6.25; + LEVEL 0 FOR 6.25; + LEVEL 1 FOR 6.25; + LEVEL 0 FOR 6.25; + LEVEL 1 FOR 6.25; + LEVEL 0 FOR 6.25; + LEVEL 1 FOR 6.25; + LEVEL 0 FOR 6.25; + LEVEL 1 FOR 6.25; + LEVEL 0 FOR 6.25; + LEVEL 1 FOR 6.25; + LEVEL 0 FOR 6.25; + LEVEL 1 FOR 6.25; + LEVEL 0 FOR 6.25; + LEVEL 1 FOR 6.25; + LEVEL 0 FOR 6.25; + LEVEL 1 FOR 6.25; + LEVEL 0 FOR 6.25; + LEVEL 1 FOR 6.25; + LEVEL 0 FOR 6.25; + LEVEL 1 FOR 6.25; + LEVEL 0 FOR 6.25; + LEVEL 1 FOR 6.25; + LEVEL 0 FOR 6.25; + LEVEL 1 FOR 6.25; + LEVEL 0 FOR 6.25; + LEVEL 1 FOR 6.25; + LEVEL 0 FOR 6.25; + LEVEL 1 FOR 6.25; + LEVEL 0 FOR 6.25; + LEVEL 1 FOR 6.25; + LEVEL 0 FOR 6.25; + LEVEL 1 FOR 6.25; + LEVEL 0 FOR 6.25; + LEVEL 1 FOR 6.25; + LEVEL 0 FOR 6.25; + LEVEL 1 FOR 6.25; + LEVEL 0 FOR 6.25; + LEVEL 1 FOR 6.25; + LEVEL 0 FOR 6.25; + LEVEL 1 FOR 6.25; + LEVEL 0 FOR 6.25; + LEVEL 1 FOR 6.25; + LEVEL 0 FOR 6.25; + LEVEL 1 FOR 6.25; + LEVEL 0 FOR 6.25; + LEVEL 1 FOR 6.25; + LEVEL 0 FOR 6.25; + LEVEL 1 FOR 6.25; + LEVEL 0 FOR 6.25; + LEVEL 1 FOR 6.25; + LEVEL 0 FOR 6.25; + LEVEL 1 FOR 6.25; + LEVEL 0 FOR 6.25; + LEVEL 1 FOR 6.25; + LEVEL 0 FOR 6.25; + LEVEL 1 FOR 6.25; + } + } +} + +TRANSITION_LIST("SW[8]") +{ + NODE + { + REPEAT = 1; + NODE + { + REPEAT = 1; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 1.0; + } + } +} + +TRANSITION_LIST("SW[7]") +{ + NODE + { + REPEAT = 1; + NODE + { + REPEAT = 1; + LEVEL 0 FOR 400.0; + LEVEL 1 FOR 400.0; + LEVEL 0 FOR 200.0; + } + } +} + +TRANSITION_LIST("SW[6]") +{ + NODE + { + REPEAT = 1; + NODE + { + REPEAT = 1; + LEVEL 0 FOR 200.0; + LEVEL 1 FOR 200.0; + LEVEL 0 FOR 200.0; + LEVEL 1 FOR 200.0; + LEVEL 0 FOR 200.0; + } + } +} + +TRANSITION_LIST("SW[5]") +{ + NODE + { + REPEAT = 1; + NODE + { + REPEAT = 1; + LEVEL 0 FOR 100.0; + LEVEL 1 FOR 100.0; + LEVEL 0 FOR 100.0; + LEVEL 1 FOR 100.0; + LEVEL 0 FOR 100.0; + LEVEL 1 FOR 100.0; + LEVEL 0 FOR 100.0; + LEVEL 1 FOR 100.0; + LEVEL 0 FOR 100.0; + LEVEL 1 FOR 100.0; + } + } +} + +TRANSITION_LIST("SW[4]") +{ + NODE + { + REPEAT = 1; + NODE + { + REPEAT = 1; + LEVEL 0 FOR 50.0; + LEVEL 1 FOR 50.0; + LEVEL 0 FOR 50.0; + LEVEL 1 FOR 50.0; + LEVEL 0 FOR 50.0; + LEVEL 1 FOR 50.0; + LEVEL 0 FOR 50.0; + LEVEL 1 FOR 50.0; + LEVEL 0 FOR 50.0; + LEVEL 1 FOR 50.0; + LEVEL 0 FOR 50.0; + LEVEL 1 FOR 50.0; + LEVEL 0 FOR 50.0; + LEVEL 1 FOR 50.0; + LEVEL 0 FOR 50.0; + LEVEL 1 FOR 50.0; + LEVEL 0 FOR 50.0; + LEVEL 1 FOR 50.0; + LEVEL 0 FOR 50.0; + LEVEL 1 FOR 50.0; + } + } +} + +TRANSITION_LIST("SW[3]") +{ + NODE + { + REPEAT = 1; + NODE + { + REPEAT = 1; + LEVEL 0 FOR 25.0; + LEVEL 1 FOR 25.0; + LEVEL 0 FOR 25.0; + LEVEL 1 FOR 25.0; + LEVEL 0 FOR 25.0; + LEVEL 1 FOR 25.0; + LEVEL 0 FOR 25.0; + LEVEL 1 FOR 25.0; + LEVEL 0 FOR 25.0; + LEVEL 1 FOR 25.0; + LEVEL 0 FOR 25.0; + LEVEL 1 FOR 25.0; + LEVEL 0 FOR 25.0; + LEVEL 1 FOR 25.0; + LEVEL 0 FOR 25.0; + LEVEL 1 FOR 25.0; + LEVEL 0 FOR 25.0; + LEVEL 1 FOR 25.0; + LEVEL 0 FOR 25.0; + LEVEL 1 FOR 25.0; + LEVEL 0 FOR 25.0; + LEVEL 1 FOR 25.0; + LEVEL 0 FOR 25.0; + LEVEL 1 FOR 25.0; + LEVEL 0 FOR 25.0; + LEVEL 1 FOR 25.0; + LEVEL 0 FOR 25.0; + LEVEL 1 FOR 25.0; + LEVEL 0 FOR 25.0; + LEVEL 1 FOR 25.0; + LEVEL 0 FOR 25.0; + LEVEL 1 FOR 25.0; + LEVEL 0 FOR 25.0; + LEVEL 1 FOR 25.0; + LEVEL 0 FOR 25.0; + LEVEL 1 FOR 25.0; + LEVEL 0 FOR 25.0; + LEVEL 1 FOR 25.0; + LEVEL 0 FOR 25.0; + LEVEL 1 FOR 25.0; + } + } +} + +TRANSITION_LIST("SW[2]") +{ + NODE + { + REPEAT = 1; + NODE + { + REPEAT = 1; + LEVEL 0 FOR 12.5; + LEVEL 1 FOR 12.5; + LEVEL 0 FOR 12.5; + LEVEL 1 FOR 12.5; + LEVEL 0 FOR 12.5; + LEVEL 1 FOR 12.5; + LEVEL 0 FOR 12.5; + LEVEL 1 FOR 12.5; + LEVEL 0 FOR 12.5; + LEVEL 1 FOR 12.5; + LEVEL 0 FOR 12.5; + LEVEL 1 FOR 12.5; + LEVEL 0 FOR 12.5; + LEVEL 1 FOR 12.5; + LEVEL 0 FOR 12.5; + LEVEL 1 FOR 12.5; + LEVEL 0 FOR 12.5; + LEVEL 1 FOR 12.5; + LEVEL 0 FOR 12.5; + LEVEL 1 FOR 12.5; + LEVEL 0 FOR 12.5; + LEVEL 1 FOR 12.5; + LEVEL 0 FOR 12.5; + LEVEL 1 FOR 12.5; + LEVEL 0 FOR 12.5; + LEVEL 1 FOR 12.5; + LEVEL 0 FOR 12.5; + LEVEL 1 FOR 12.5; + LEVEL 0 FOR 12.5; + LEVEL 1 FOR 12.5; + LEVEL 0 FOR 12.5; + LEVEL 1 FOR 12.5; + LEVEL 0 FOR 12.5; + LEVEL 1 FOR 12.5; + LEVEL 0 FOR 12.5; + LEVEL 1 FOR 12.5; + LEVEL 0 FOR 12.5; + LEVEL 1 FOR 12.5; + LEVEL 0 FOR 12.5; + LEVEL 1 FOR 12.5; + LEVEL 0 FOR 12.5; + LEVEL 1 FOR 12.5; + LEVEL 0 FOR 12.5; + LEVEL 1 FOR 12.5; + LEVEL 0 FOR 12.5; + LEVEL 1 FOR 12.5; + LEVEL 0 FOR 12.5; + LEVEL 1 FOR 12.5; + LEVEL 0 FOR 12.5; + LEVEL 1 FOR 12.5; + LEVEL 0 FOR 12.5; + LEVEL 1 FOR 12.5; + LEVEL 0 FOR 12.5; + LEVEL 1 FOR 12.5; + LEVEL 0 FOR 12.5; + LEVEL 1 FOR 12.5; + LEVEL 0 FOR 12.5; + LEVEL 1 FOR 12.5; + LEVEL 0 FOR 12.5; + LEVEL 1 FOR 12.5; + LEVEL 0 FOR 12.5; + LEVEL 1 FOR 12.5; + LEVEL 0 FOR 12.5; + LEVEL 1 FOR 12.5; + LEVEL 0 FOR 12.5; + LEVEL 1 FOR 12.5; + LEVEL 0 FOR 12.5; + LEVEL 1 FOR 12.5; + LEVEL 0 FOR 12.5; + LEVEL 1 FOR 12.5; + LEVEL 0 FOR 12.5; + LEVEL 1 FOR 12.5; + LEVEL 0 FOR 12.5; + LEVEL 1 FOR 12.5; + LEVEL 0 FOR 12.5; + LEVEL 1 FOR 12.5; + LEVEL 0 FOR 12.5; + LEVEL 1 FOR 12.5; + LEVEL 0 FOR 12.5; + LEVEL 1 FOR 12.5; + } + } +} + +TRANSITION_LIST("SW[1]") +{ + NODE + { + REPEAT = 1; + NODE + { + REPEAT = 1; + LEVEL 0 FOR 6.25; + LEVEL 1 FOR 6.25; + LEVEL 0 FOR 6.25; + LEVEL 1 FOR 6.25; + LEVEL 0 FOR 6.25; + LEVEL 1 FOR 6.25; + LEVEL 0 FOR 6.25; + LEVEL 1 FOR 6.25; + LEVEL 0 FOR 6.25; + LEVEL 1 FOR 6.25; + LEVEL 0 FOR 6.25; + LEVEL 1 FOR 6.25; + LEVEL 0 FOR 6.25; + LEVEL 1 FOR 6.25; + LEVEL 0 FOR 6.25; + LEVEL 1 FOR 6.25; + LEVEL 0 FOR 6.25; + LEVEL 1 FOR 6.25; + LEVEL 0 FOR 6.25; + LEVEL 1 FOR 6.25; + LEVEL 0 FOR 6.25; + LEVEL 1 FOR 6.25; + LEVEL 0 FOR 6.25; + LEVEL 1 FOR 6.25; + LEVEL 0 FOR 6.25; + LEVEL 1 FOR 6.25; + LEVEL 0 FOR 6.25; + LEVEL 1 FOR 6.25; + LEVEL 0 FOR 6.25; + LEVEL 1 FOR 6.25; + LEVEL 0 FOR 6.25; + LEVEL 1 FOR 6.25; + LEVEL 0 FOR 6.25; + LEVEL 1 FOR 6.25; + LEVEL 0 FOR 6.25; + LEVEL 1 FOR 6.25; + LEVEL 0 FOR 6.25; + LEVEL 1 FOR 6.25; + LEVEL 0 FOR 6.25; + LEVEL 1 FOR 6.25; + LEVEL 0 FOR 6.25; + LEVEL 1 FOR 6.25; + LEVEL 0 FOR 6.25; + LEVEL 1 FOR 6.25; + LEVEL 0 FOR 6.25; + LEVEL 1 FOR 6.25; + LEVEL 0 FOR 6.25; + LEVEL 1 FOR 6.25; + LEVEL 0 FOR 6.25; + LEVEL 1 FOR 6.25; + LEVEL 0 FOR 6.25; + LEVEL 1 FOR 6.25; + LEVEL 0 FOR 6.25; + LEVEL 1 FOR 6.25; + LEVEL 0 FOR 6.25; + LEVEL 1 FOR 6.25; + LEVEL 0 FOR 6.25; + LEVEL 1 FOR 6.25; + LEVEL 0 FOR 6.25; + LEVEL 1 FOR 6.25; + LEVEL 0 FOR 6.25; + LEVEL 1 FOR 6.25; + LEVEL 0 FOR 6.25; + LEVEL 1 FOR 6.25; + LEVEL 0 FOR 6.25; + LEVEL 1 FOR 6.25; + LEVEL 0 FOR 6.25; + LEVEL 1 FOR 6.25; + LEVEL 0 FOR 6.25; + LEVEL 1 FOR 6.25; + LEVEL 0 FOR 6.25; + LEVEL 1 FOR 6.25; + LEVEL 0 FOR 6.25; + LEVEL 1 FOR 6.25; + LEVEL 0 FOR 6.25; + LEVEL 1 FOR 6.25; + LEVEL 0 FOR 6.25; + LEVEL 1 FOR 6.25; + LEVEL 0 FOR 6.25; + LEVEL 1 FOR 6.25; + LEVEL 0 FOR 6.25; + LEVEL 1 FOR 6.25; + LEVEL 0 FOR 6.25; + LEVEL 1 FOR 6.25; + LEVEL 0 FOR 6.25; + LEVEL 1 FOR 6.25; + LEVEL 0 FOR 6.25; + LEVEL 1 FOR 6.25; + LEVEL 0 FOR 6.25; + LEVEL 1 FOR 6.25; + LEVEL 0 FOR 6.25; + LEVEL 1 FOR 6.25; + LEVEL 0 FOR 6.25; + LEVEL 1 FOR 6.25; + LEVEL 0 FOR 6.25; + LEVEL 1 FOR 6.25; + LEVEL 0 FOR 6.25; + LEVEL 1 FOR 6.25; + LEVEL 0 FOR 6.25; + LEVEL 1 FOR 6.25; + LEVEL 0 FOR 6.25; + LEVEL 1 FOR 6.25; + LEVEL 0 FOR 6.25; + LEVEL 1 FOR 6.25; + LEVEL 0 FOR 6.25; + LEVEL 1 FOR 6.25; + LEVEL 0 FOR 6.25; + LEVEL 1 FOR 6.25; + LEVEL 0 FOR 6.25; + LEVEL 1 FOR 6.25; + LEVEL 0 FOR 6.25; + LEVEL 1 FOR 6.25; + LEVEL 0 FOR 6.25; + LEVEL 1 FOR 6.25; + LEVEL 0 FOR 6.25; + LEVEL 1 FOR 6.25; + LEVEL 0 FOR 6.25; + LEVEL 1 FOR 6.25; + LEVEL 0 FOR 6.25; + LEVEL 1 FOR 6.25; + LEVEL 0 FOR 6.25; + LEVEL 1 FOR 6.25; + LEVEL 0 FOR 6.25; + LEVEL 1 FOR 6.25; + LEVEL 0 FOR 6.25; + LEVEL 1 FOR 6.25; + LEVEL 0 FOR 6.25; + LEVEL 1 FOR 6.25; + LEVEL 0 FOR 6.25; + LEVEL 1 FOR 6.25; + LEVEL 0 FOR 6.25; + LEVEL 1 FOR 6.25; + LEVEL 0 FOR 6.25; + LEVEL 1 FOR 6.25; + LEVEL 0 FOR 6.25; + LEVEL 1 FOR 6.25; + LEVEL 0 FOR 6.25; + LEVEL 1 FOR 6.25; + LEVEL 0 FOR 6.25; + LEVEL 1 FOR 6.25; + LEVEL 0 FOR 6.25; + LEVEL 1 FOR 6.25; + LEVEL 0 FOR 6.25; + LEVEL 1 FOR 6.25; + LEVEL 0 FOR 6.25; + LEVEL 1 FOR 6.25; + LEVEL 0 FOR 6.25; + LEVEL 1 FOR 6.25; + LEVEL 0 FOR 6.25; + LEVEL 1 FOR 6.25; + LEVEL 0 FOR 6.25; + LEVEL 1 FOR 6.25; + LEVEL 0 FOR 6.25; + LEVEL 1 FOR 6.25; + LEVEL 0 FOR 6.25; + LEVEL 1 FOR 6.25; + LEVEL 0 FOR 6.25; + LEVEL 1 FOR 6.25; + LEVEL 0 FOR 6.25; + LEVEL 1 FOR 6.25; + } + } +} + +TRANSITION_LIST("SW[0]") +{ + NODE + { + REPEAT = 1; + NODE + { + REPEAT = 1; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 1.0; + } + } +} + +DISPLAY_LINE +{ + CHANNEL = "SW"; + EXPAND_STATUS = EXPANDED; + RADIX = Binary; + TREE_INDEX = 0; + TREE_LEVEL = 0; + CHILDREN = 1, 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16; +} + +DISPLAY_LINE +{ + CHANNEL = "SW[15]"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 1; + TREE_LEVEL = 1; + PARENT = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "SW[14]"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 2; + TREE_LEVEL = 1; + PARENT = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "SW[13]"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 3; + TREE_LEVEL = 1; + PARENT = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "SW[12]"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 4; + TREE_LEVEL = 1; + PARENT = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "SW[11]"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 5; + TREE_LEVEL = 1; + PARENT = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "SW[10]"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 6; + TREE_LEVEL = 1; + PARENT = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "SW[9]"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 7; + TREE_LEVEL = 1; + PARENT = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "SW[8]"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 8; + TREE_LEVEL = 1; + PARENT = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "SW[7]"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 9; + TREE_LEVEL = 1; + PARENT = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "SW[6]"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 10; + TREE_LEVEL = 1; + PARENT = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "SW[5]"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 11; + TREE_LEVEL = 1; + PARENT = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "SW[4]"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 12; + TREE_LEVEL = 1; + PARENT = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "SW[3]"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 13; + TREE_LEVEL = 1; + PARENT = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "SW[2]"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 14; + TREE_LEVEL = 1; + PARENT = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "SW[1]"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 15; + TREE_LEVEL = 1; + PARENT = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "SW[0]"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 16; + TREE_LEVEL = 1; + PARENT = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "LEDG"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 17; + TREE_LEVEL = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "LEDG[0]"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 18; + TREE_LEVEL = 0; +} + +TIME_BAR +{ + TIME = 0; + MASTER = TRUE; +} +; diff --git a/1ano/2semestre/lsd/pratica01/part4/simulation/qsim/EqCmpDemo_20230307205136.sim.vwf b/1ano/2semestre/lsd/pratica01/part4/simulation/qsim/EqCmpDemo_20230307205136.sim.vwf new file mode 100644 index 0000000..955b9f3 --- /dev/null +++ b/1ano/2semestre/lsd/pratica01/part4/simulation/qsim/EqCmpDemo_20230307205136.sim.vwf @@ -0,0 +1,1863 @@ +/* +WARNING: Do NOT edit the input and output ports in this file in a text +editor if you plan to continue editing the block that represents it in +the Block Editor! File corruption is VERY likely to occur. +*/ + +/* +Copyright (C) 2020 Intel Corporation. All rights reserved. +Your use of Intel Corporation's design tools, logic functions +and other software and tools, and any partner logic +functions, and any output files from any of the foregoing +(including device programming or simulation files), and any +associated documentation or information are expressly subject +to the terms and conditions of the Intel Program License +Subscription Agreement, the Intel Quartus Prime License Agreement, +the Intel FPGA IP License Agreement, or other applicable license +agreement, including, without limitation, that your use is for +the sole purpose of programming logic devices manufactured by +Intel and sold by Intel or its authorized distributors. Please +refer to the applicable agreement for further details, at +https://fpgasoftware.intel.com/eula. +*/ + +HEADER +{ + VERSION = 1; + TIME_UNIT = ns; + DATA_OFFSET = 0.0; + DATA_DURATION = 1000.0; + SIMULATION_TIME = 0.0; + GRID_PHASE = 0.0; + GRID_PERIOD = 10.0; + GRID_DUTY_CYCLE = 50; +} + +SIGNAL("LEDG") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = OUTPUT; + PARENT = ""; +} + +SIGNAL("LEDG[0]") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = OUTPUT; + PARENT = ""; +} + +SIGNAL("SW") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = BUS; + WIDTH = 16; + LSB_INDEX = 0; + DIRECTION = INPUT; + PARENT = ""; +} + +SIGNAL("SW[15]") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = INPUT; + PARENT = "SW"; +} + +SIGNAL("SW[14]") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = INPUT; + PARENT = "SW"; +} + +SIGNAL("SW[13]") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = INPUT; + PARENT = "SW"; +} + +SIGNAL("SW[12]") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = INPUT; + PARENT = "SW"; +} + +SIGNAL("SW[11]") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = INPUT; + PARENT = "SW"; +} + +SIGNAL("SW[10]") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = INPUT; + PARENT = "SW"; +} + +SIGNAL("SW[9]") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = INPUT; + PARENT = "SW"; +} + +SIGNAL("SW[8]") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = INPUT; + PARENT = "SW"; +} + +SIGNAL("SW[7]") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = INPUT; + PARENT = "SW"; +} + +SIGNAL("SW[6]") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = INPUT; + PARENT = "SW"; +} + +SIGNAL("SW[5]") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = INPUT; + PARENT = "SW"; +} + +SIGNAL("SW[4]") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = INPUT; + PARENT = "SW"; +} + +SIGNAL("SW[3]") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = INPUT; + PARENT = "SW"; +} + +SIGNAL("SW[2]") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = INPUT; + PARENT = "SW"; +} + +SIGNAL("SW[1]") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = INPUT; + PARENT = "SW"; +} + +SIGNAL("SW[0]") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = INPUT; + PARENT = "SW"; +} + +TRANSITION_LIST("LEDG") +{ + NODE + { + REPEAT = 1; + LEVEL X FOR 1000.0; + } +} + +TRANSITION_LIST("LEDG[0]") +{ + NODE + { + REPEAT = 1; + NODE + { + REPEAT = 1; + LEVEL 1 FOR 1000.0; + } + } +} + +TRANSITION_LIST("SW[15]") +{ + NODE + { + REPEAT = 1; + NODE + { + REPEAT = 1; + LEVEL 0 FOR 400.0; + LEVEL 1 FOR 400.0; + LEVEL 0 FOR 200.0; + } + } +} + +TRANSITION_LIST("SW[14]") +{ + NODE + { + REPEAT = 1; + NODE + { + REPEAT = 1; + LEVEL 0 FOR 200.0; + LEVEL 1 FOR 200.0; + LEVEL 0 FOR 200.0; + LEVEL 1 FOR 200.0; + LEVEL 0 FOR 200.0; + } + } +} + +TRANSITION_LIST("SW[13]") +{ + NODE + { + REPEAT = 1; + NODE + { + REPEAT = 1; + LEVEL 0 FOR 100.0; + LEVEL 1 FOR 100.0; + LEVEL 0 FOR 100.0; + LEVEL 1 FOR 100.0; + LEVEL 0 FOR 100.0; + LEVEL 1 FOR 100.0; + LEVEL 0 FOR 100.0; + LEVEL 1 FOR 100.0; + LEVEL 0 FOR 100.0; + LEVEL 1 FOR 100.0; + } + } +} + +TRANSITION_LIST("SW[12]") +{ + NODE + { + REPEAT = 1; + NODE + { + REPEAT = 1; + LEVEL 0 FOR 50.0; + LEVEL 1 FOR 50.0; + LEVEL 0 FOR 50.0; + LEVEL 1 FOR 50.0; + LEVEL 0 FOR 50.0; + LEVEL 1 FOR 50.0; + LEVEL 0 FOR 50.0; + LEVEL 1 FOR 50.0; + LEVEL 0 FOR 50.0; + LEVEL 1 FOR 50.0; + LEVEL 0 FOR 50.0; + LEVEL 1 FOR 50.0; + LEVEL 0 FOR 50.0; + LEVEL 1 FOR 50.0; + LEVEL 0 FOR 50.0; + LEVEL 1 FOR 50.0; + LEVEL 0 FOR 50.0; + LEVEL 1 FOR 50.0; + LEVEL 0 FOR 50.0; + LEVEL 1 FOR 50.0; + } + } +} + +TRANSITION_LIST("SW[11]") +{ + NODE + { + REPEAT = 1; + NODE + { + REPEAT = 1; + LEVEL 0 FOR 25.0; + LEVEL 1 FOR 25.0; + LEVEL 0 FOR 25.0; + LEVEL 1 FOR 25.0; + LEVEL 0 FOR 25.0; + LEVEL 1 FOR 25.0; + LEVEL 0 FOR 25.0; + LEVEL 1 FOR 25.0; + LEVEL 0 FOR 25.0; + LEVEL 1 FOR 25.0; + LEVEL 0 FOR 25.0; + LEVEL 1 FOR 25.0; + LEVEL 0 FOR 25.0; + LEVEL 1 FOR 25.0; + LEVEL 0 FOR 25.0; + LEVEL 1 FOR 25.0; + LEVEL 0 FOR 25.0; + LEVEL 1 FOR 25.0; + LEVEL 0 FOR 25.0; + LEVEL 1 FOR 25.0; + LEVEL 0 FOR 25.0; + LEVEL 1 FOR 25.0; + LEVEL 0 FOR 25.0; + LEVEL 1 FOR 25.0; + LEVEL 0 FOR 25.0; + LEVEL 1 FOR 25.0; + LEVEL 0 FOR 25.0; + LEVEL 1 FOR 25.0; + LEVEL 0 FOR 25.0; + LEVEL 1 FOR 25.0; + LEVEL 0 FOR 25.0; + LEVEL 1 FOR 25.0; + LEVEL 0 FOR 25.0; + LEVEL 1 FOR 25.0; + LEVEL 0 FOR 25.0; + LEVEL 1 FOR 25.0; + LEVEL 0 FOR 25.0; + LEVEL 1 FOR 25.0; + LEVEL 0 FOR 25.0; + LEVEL 1 FOR 25.0; + } + } +} + +TRANSITION_LIST("SW[10]") +{ + NODE + { + REPEAT = 1; + NODE + { + REPEAT = 1; + LEVEL 0 FOR 12.5; + LEVEL 1 FOR 12.5; + LEVEL 0 FOR 12.5; + LEVEL 1 FOR 12.5; + LEVEL 0 FOR 12.5; + LEVEL 1 FOR 12.5; + LEVEL 0 FOR 12.5; + LEVEL 1 FOR 12.5; + LEVEL 0 FOR 12.5; + LEVEL 1 FOR 12.5; + LEVEL 0 FOR 12.5; + LEVEL 1 FOR 12.5; + LEVEL 0 FOR 12.5; + LEVEL 1 FOR 12.5; + LEVEL 0 FOR 12.5; + LEVEL 1 FOR 12.5; + LEVEL 0 FOR 12.5; + LEVEL 1 FOR 12.5; + LEVEL 0 FOR 12.5; + LEVEL 1 FOR 12.5; + LEVEL 0 FOR 12.5; + LEVEL 1 FOR 12.5; + LEVEL 0 FOR 12.5; + LEVEL 1 FOR 12.5; + LEVEL 0 FOR 12.5; + LEVEL 1 FOR 12.5; + LEVEL 0 FOR 12.5; + LEVEL 1 FOR 12.5; + LEVEL 0 FOR 12.5; + LEVEL 1 FOR 12.5; + LEVEL 0 FOR 12.5; + LEVEL 1 FOR 12.5; + LEVEL 0 FOR 12.5; + LEVEL 1 FOR 12.5; + LEVEL 0 FOR 12.5; + LEVEL 1 FOR 12.5; + LEVEL 0 FOR 12.5; + LEVEL 1 FOR 12.5; + LEVEL 0 FOR 12.5; + LEVEL 1 FOR 12.5; + LEVEL 0 FOR 12.5; + LEVEL 1 FOR 12.5; + LEVEL 0 FOR 12.5; + LEVEL 1 FOR 12.5; + LEVEL 0 FOR 12.5; + LEVEL 1 FOR 12.5; + LEVEL 0 FOR 12.5; + LEVEL 1 FOR 12.5; + LEVEL 0 FOR 12.5; + LEVEL 1 FOR 12.5; + LEVEL 0 FOR 12.5; + LEVEL 1 FOR 12.5; + LEVEL 0 FOR 12.5; + LEVEL 1 FOR 12.5; + LEVEL 0 FOR 12.5; + LEVEL 1 FOR 12.5; + LEVEL 0 FOR 12.5; + LEVEL 1 FOR 12.5; + LEVEL 0 FOR 12.5; + LEVEL 1 FOR 12.5; + LEVEL 0 FOR 12.5; + LEVEL 1 FOR 12.5; + LEVEL 0 FOR 12.5; + LEVEL 1 FOR 12.5; + LEVEL 0 FOR 12.5; + LEVEL 1 FOR 12.5; + LEVEL 0 FOR 12.5; + LEVEL 1 FOR 12.5; + LEVEL 0 FOR 12.5; + LEVEL 1 FOR 12.5; + LEVEL 0 FOR 12.5; + LEVEL 1 FOR 12.5; + LEVEL 0 FOR 12.5; + LEVEL 1 FOR 12.5; + LEVEL 0 FOR 12.5; + LEVEL 1 FOR 12.5; + LEVEL 0 FOR 12.5; + LEVEL 1 FOR 12.5; + LEVEL 0 FOR 12.5; + LEVEL 1 FOR 12.5; + } + } +} + +TRANSITION_LIST("SW[9]") +{ + NODE + { + REPEAT = 1; + NODE + { + REPEAT = 1; + LEVEL 0 FOR 6.25; + LEVEL 1 FOR 6.25; + LEVEL 0 FOR 6.25; + LEVEL 1 FOR 6.25; + LEVEL 0 FOR 6.25; + LEVEL 1 FOR 6.25; + LEVEL 0 FOR 6.25; + LEVEL 1 FOR 6.25; + LEVEL 0 FOR 6.25; + LEVEL 1 FOR 6.25; + LEVEL 0 FOR 6.25; + LEVEL 1 FOR 6.25; + LEVEL 0 FOR 6.25; + LEVEL 1 FOR 6.25; + LEVEL 0 FOR 6.25; + LEVEL 1 FOR 6.25; + LEVEL 0 FOR 6.25; + LEVEL 1 FOR 6.25; + LEVEL 0 FOR 6.25; + LEVEL 1 FOR 6.25; + LEVEL 0 FOR 6.25; + LEVEL 1 FOR 6.25; + LEVEL 0 FOR 6.25; + LEVEL 1 FOR 6.25; + LEVEL 0 FOR 6.25; + LEVEL 1 FOR 6.25; + LEVEL 0 FOR 6.25; + LEVEL 1 FOR 6.25; + LEVEL 0 FOR 6.25; + LEVEL 1 FOR 6.25; + LEVEL 0 FOR 6.25; + LEVEL 1 FOR 6.25; + LEVEL 0 FOR 6.25; + LEVEL 1 FOR 6.25; + LEVEL 0 FOR 6.25; + LEVEL 1 FOR 6.25; + LEVEL 0 FOR 6.25; + LEVEL 1 FOR 6.25; + LEVEL 0 FOR 6.25; + LEVEL 1 FOR 6.25; + LEVEL 0 FOR 6.25; + LEVEL 1 FOR 6.25; + LEVEL 0 FOR 6.25; + LEVEL 1 FOR 6.25; + LEVEL 0 FOR 6.25; + LEVEL 1 FOR 6.25; + LEVEL 0 FOR 6.25; + LEVEL 1 FOR 6.25; + LEVEL 0 FOR 6.25; + LEVEL 1 FOR 6.25; + LEVEL 0 FOR 6.25; + LEVEL 1 FOR 6.25; + LEVEL 0 FOR 6.25; + LEVEL 1 FOR 6.25; + LEVEL 0 FOR 6.25; + LEVEL 1 FOR 6.25; + LEVEL 0 FOR 6.25; + LEVEL 1 FOR 6.25; + LEVEL 0 FOR 6.25; + LEVEL 1 FOR 6.25; + LEVEL 0 FOR 6.25; + LEVEL 1 FOR 6.25; + LEVEL 0 FOR 6.25; + LEVEL 1 FOR 6.25; + LEVEL 0 FOR 6.25; + LEVEL 1 FOR 6.25; + LEVEL 0 FOR 6.25; + LEVEL 1 FOR 6.25; + LEVEL 0 FOR 6.25; + LEVEL 1 FOR 6.25; + LEVEL 0 FOR 6.25; + LEVEL 1 FOR 6.25; + LEVEL 0 FOR 6.25; + LEVEL 1 FOR 6.25; + LEVEL 0 FOR 6.25; + LEVEL 1 FOR 6.25; + LEVEL 0 FOR 6.25; + LEVEL 1 FOR 6.25; + LEVEL 0 FOR 6.25; + LEVEL 1 FOR 6.25; + LEVEL 0 FOR 6.25; + LEVEL 1 FOR 6.25; + LEVEL 0 FOR 6.25; + LEVEL 1 FOR 6.25; + LEVEL 0 FOR 6.25; + LEVEL 1 FOR 6.25; + LEVEL 0 FOR 6.25; + LEVEL 1 FOR 6.25; + LEVEL 0 FOR 6.25; + LEVEL 1 FOR 6.25; + LEVEL 0 FOR 6.25; + LEVEL 1 FOR 6.25; + LEVEL 0 FOR 6.25; + LEVEL 1 FOR 6.25; + LEVEL 0 FOR 6.25; + LEVEL 1 FOR 6.25; + LEVEL 0 FOR 6.25; + LEVEL 1 FOR 6.25; + LEVEL 0 FOR 6.25; + LEVEL 1 FOR 6.25; + LEVEL 0 FOR 6.25; + LEVEL 1 FOR 6.25; + LEVEL 0 FOR 6.25; + LEVEL 1 FOR 6.25; + LEVEL 0 FOR 6.25; + LEVEL 1 FOR 6.25; + LEVEL 0 FOR 6.25; + LEVEL 1 FOR 6.25; + LEVEL 0 FOR 6.25; + LEVEL 1 FOR 6.25; + LEVEL 0 FOR 6.25; + LEVEL 1 FOR 6.25; + LEVEL 0 FOR 6.25; + LEVEL 1 FOR 6.25; + LEVEL 0 FOR 6.25; + LEVEL 1 FOR 6.25; + LEVEL 0 FOR 6.25; + LEVEL 1 FOR 6.25; + LEVEL 0 FOR 6.25; + LEVEL 1 FOR 6.25; + LEVEL 0 FOR 6.25; + LEVEL 1 FOR 6.25; + LEVEL 0 FOR 6.25; + LEVEL 1 FOR 6.25; + LEVEL 0 FOR 6.25; + LEVEL 1 FOR 6.25; + LEVEL 0 FOR 6.25; + LEVEL 1 FOR 6.25; + LEVEL 0 FOR 6.25; + LEVEL 1 FOR 6.25; + LEVEL 0 FOR 6.25; + LEVEL 1 FOR 6.25; + LEVEL 0 FOR 6.25; + LEVEL 1 FOR 6.25; + LEVEL 0 FOR 6.25; + LEVEL 1 FOR 6.25; + LEVEL 0 FOR 6.25; + LEVEL 1 FOR 6.25; + LEVEL 0 FOR 6.25; + LEVEL 1 FOR 6.25; + LEVEL 0 FOR 6.25; + LEVEL 1 FOR 6.25; + LEVEL 0 FOR 6.25; + LEVEL 1 FOR 6.25; + LEVEL 0 FOR 6.25; + LEVEL 1 FOR 6.25; + LEVEL 0 FOR 6.25; + LEVEL 1 FOR 6.25; + LEVEL 0 FOR 6.25; + LEVEL 1 FOR 6.25; + LEVEL 0 FOR 6.25; + LEVEL 1 FOR 6.25; + LEVEL 0 FOR 6.25; + LEVEL 1 FOR 6.25; + LEVEL 0 FOR 6.25; + LEVEL 1 FOR 6.25; + LEVEL 0 FOR 6.25; + LEVEL 1 FOR 6.25; + LEVEL 0 FOR 6.25; + LEVEL 1 FOR 6.25; + } + } +} + +TRANSITION_LIST("SW[8]") +{ + NODE + { + REPEAT = 1; + NODE + { + REPEAT = 1; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 1.0; + } + } +} + +TRANSITION_LIST("SW[7]") +{ + NODE + { + REPEAT = 1; + NODE + { + REPEAT = 1; + LEVEL 0 FOR 400.0; + LEVEL 1 FOR 400.0; + LEVEL 0 FOR 200.0; + } + } +} + +TRANSITION_LIST("SW[6]") +{ + NODE + { + REPEAT = 1; + NODE + { + REPEAT = 1; + LEVEL 0 FOR 200.0; + LEVEL 1 FOR 200.0; + LEVEL 0 FOR 200.0; + LEVEL 1 FOR 200.0; + LEVEL 0 FOR 200.0; + } + } +} + +TRANSITION_LIST("SW[5]") +{ + NODE + { + REPEAT = 1; + NODE + { + REPEAT = 1; + LEVEL 0 FOR 100.0; + LEVEL 1 FOR 100.0; + LEVEL 0 FOR 100.0; + LEVEL 1 FOR 100.0; + LEVEL 0 FOR 100.0; + LEVEL 1 FOR 100.0; + LEVEL 0 FOR 100.0; + LEVEL 1 FOR 100.0; + LEVEL 0 FOR 100.0; + LEVEL 1 FOR 100.0; + } + } +} + +TRANSITION_LIST("SW[4]") +{ + NODE + { + REPEAT = 1; + NODE + { + REPEAT = 1; + LEVEL 0 FOR 50.0; + LEVEL 1 FOR 50.0; + LEVEL 0 FOR 50.0; + LEVEL 1 FOR 50.0; + LEVEL 0 FOR 50.0; + LEVEL 1 FOR 50.0; + LEVEL 0 FOR 50.0; + LEVEL 1 FOR 50.0; + LEVEL 0 FOR 50.0; + LEVEL 1 FOR 50.0; + LEVEL 0 FOR 50.0; + LEVEL 1 FOR 50.0; + LEVEL 0 FOR 50.0; + LEVEL 1 FOR 50.0; + LEVEL 0 FOR 50.0; + LEVEL 1 FOR 50.0; + LEVEL 0 FOR 50.0; + LEVEL 1 FOR 50.0; + LEVEL 0 FOR 50.0; + LEVEL 1 FOR 50.0; + } + } +} + +TRANSITION_LIST("SW[3]") +{ + NODE + { + REPEAT = 1; + NODE + { + REPEAT = 1; + LEVEL 0 FOR 25.0; + LEVEL 1 FOR 25.0; + LEVEL 0 FOR 25.0; + LEVEL 1 FOR 25.0; + LEVEL 0 FOR 25.0; + LEVEL 1 FOR 25.0; + LEVEL 0 FOR 25.0; + LEVEL 1 FOR 25.0; + LEVEL 0 FOR 25.0; + LEVEL 1 FOR 25.0; + LEVEL 0 FOR 25.0; + LEVEL 1 FOR 25.0; + LEVEL 0 FOR 25.0; + LEVEL 1 FOR 25.0; + LEVEL 0 FOR 25.0; + LEVEL 1 FOR 25.0; + LEVEL 0 FOR 25.0; + LEVEL 1 FOR 25.0; + LEVEL 0 FOR 25.0; + LEVEL 1 FOR 25.0; + LEVEL 0 FOR 25.0; + LEVEL 1 FOR 25.0; + LEVEL 0 FOR 25.0; + LEVEL 1 FOR 25.0; + LEVEL 0 FOR 25.0; + LEVEL 1 FOR 25.0; + LEVEL 0 FOR 25.0; + LEVEL 1 FOR 25.0; + LEVEL 0 FOR 25.0; + LEVEL 1 FOR 25.0; + LEVEL 0 FOR 25.0; + LEVEL 1 FOR 25.0; + LEVEL 0 FOR 25.0; + LEVEL 1 FOR 25.0; + LEVEL 0 FOR 25.0; + LEVEL 1 FOR 25.0; + LEVEL 0 FOR 25.0; + LEVEL 1 FOR 25.0; + LEVEL 0 FOR 25.0; + LEVEL 1 FOR 25.0; + } + } +} + +TRANSITION_LIST("SW[2]") +{ + NODE + { + REPEAT = 1; + NODE + { + REPEAT = 1; + LEVEL 0 FOR 12.5; + LEVEL 1 FOR 12.5; + LEVEL 0 FOR 12.5; + LEVEL 1 FOR 12.5; + LEVEL 0 FOR 12.5; + LEVEL 1 FOR 12.5; + LEVEL 0 FOR 12.5; + LEVEL 1 FOR 12.5; + LEVEL 0 FOR 12.5; + LEVEL 1 FOR 12.5; + LEVEL 0 FOR 12.5; + LEVEL 1 FOR 12.5; + LEVEL 0 FOR 12.5; + LEVEL 1 FOR 12.5; + LEVEL 0 FOR 12.5; + LEVEL 1 FOR 12.5; + LEVEL 0 FOR 12.5; + LEVEL 1 FOR 12.5; + LEVEL 0 FOR 12.5; + LEVEL 1 FOR 12.5; + LEVEL 0 FOR 12.5; + LEVEL 1 FOR 12.5; + LEVEL 0 FOR 12.5; + LEVEL 1 FOR 12.5; + LEVEL 0 FOR 12.5; + LEVEL 1 FOR 12.5; + LEVEL 0 FOR 12.5; + LEVEL 1 FOR 12.5; + LEVEL 0 FOR 12.5; + LEVEL 1 FOR 12.5; + LEVEL 0 FOR 12.5; + LEVEL 1 FOR 12.5; + LEVEL 0 FOR 12.5; + LEVEL 1 FOR 12.5; + LEVEL 0 FOR 12.5; + LEVEL 1 FOR 12.5; + LEVEL 0 FOR 12.5; + LEVEL 1 FOR 12.5; + LEVEL 0 FOR 12.5; + LEVEL 1 FOR 12.5; + LEVEL 0 FOR 12.5; + LEVEL 1 FOR 12.5; + LEVEL 0 FOR 12.5; + LEVEL 1 FOR 12.5; + LEVEL 0 FOR 12.5; + LEVEL 1 FOR 12.5; + LEVEL 0 FOR 12.5; + LEVEL 1 FOR 12.5; + LEVEL 0 FOR 12.5; + LEVEL 1 FOR 12.5; + LEVEL 0 FOR 12.5; + LEVEL 1 FOR 12.5; + LEVEL 0 FOR 12.5; + LEVEL 1 FOR 12.5; + LEVEL 0 FOR 12.5; + LEVEL 1 FOR 12.5; + LEVEL 0 FOR 12.5; + LEVEL 1 FOR 12.5; + LEVEL 0 FOR 12.5; + LEVEL 1 FOR 12.5; + LEVEL 0 FOR 12.5; + LEVEL 1 FOR 12.5; + LEVEL 0 FOR 12.5; + LEVEL 1 FOR 12.5; + LEVEL 0 FOR 12.5; + LEVEL 1 FOR 12.5; + LEVEL 0 FOR 12.5; + LEVEL 1 FOR 12.5; + LEVEL 0 FOR 12.5; + LEVEL 1 FOR 12.5; + LEVEL 0 FOR 12.5; + LEVEL 1 FOR 12.5; + LEVEL 0 FOR 12.5; + LEVEL 1 FOR 12.5; + LEVEL 0 FOR 12.5; + LEVEL 1 FOR 12.5; + LEVEL 0 FOR 12.5; + LEVEL 1 FOR 12.5; + LEVEL 0 FOR 12.5; + LEVEL 1 FOR 12.5; + } + } +} + +TRANSITION_LIST("SW[1]") +{ + NODE + { + REPEAT = 1; + NODE + { + REPEAT = 1; + LEVEL 0 FOR 6.25; + LEVEL 1 FOR 6.25; + LEVEL 0 FOR 6.25; + LEVEL 1 FOR 6.25; + LEVEL 0 FOR 6.25; + LEVEL 1 FOR 6.25; + LEVEL 0 FOR 6.25; + LEVEL 1 FOR 6.25; + LEVEL 0 FOR 6.25; + LEVEL 1 FOR 6.25; + LEVEL 0 FOR 6.25; + LEVEL 1 FOR 6.25; + LEVEL 0 FOR 6.25; + LEVEL 1 FOR 6.25; + LEVEL 0 FOR 6.25; + LEVEL 1 FOR 6.25; + LEVEL 0 FOR 6.25; + LEVEL 1 FOR 6.25; + LEVEL 0 FOR 6.25; + LEVEL 1 FOR 6.25; + LEVEL 0 FOR 6.25; + LEVEL 1 FOR 6.25; + LEVEL 0 FOR 6.25; + LEVEL 1 FOR 6.25; + LEVEL 0 FOR 6.25; + LEVEL 1 FOR 6.25; + LEVEL 0 FOR 6.25; + LEVEL 1 FOR 6.25; + LEVEL 0 FOR 6.25; + LEVEL 1 FOR 6.25; + LEVEL 0 FOR 6.25; + LEVEL 1 FOR 6.25; + LEVEL 0 FOR 6.25; + LEVEL 1 FOR 6.25; + LEVEL 0 FOR 6.25; + LEVEL 1 FOR 6.25; + LEVEL 0 FOR 6.25; + LEVEL 1 FOR 6.25; + LEVEL 0 FOR 6.25; + LEVEL 1 FOR 6.25; + LEVEL 0 FOR 6.25; + LEVEL 1 FOR 6.25; + LEVEL 0 FOR 6.25; + LEVEL 1 FOR 6.25; + LEVEL 0 FOR 6.25; + LEVEL 1 FOR 6.25; + LEVEL 0 FOR 6.25; + LEVEL 1 FOR 6.25; + LEVEL 0 FOR 6.25; + LEVEL 1 FOR 6.25; + LEVEL 0 FOR 6.25; + LEVEL 1 FOR 6.25; + LEVEL 0 FOR 6.25; + LEVEL 1 FOR 6.25; + LEVEL 0 FOR 6.25; + LEVEL 1 FOR 6.25; + LEVEL 0 FOR 6.25; + LEVEL 1 FOR 6.25; + LEVEL 0 FOR 6.25; + LEVEL 1 FOR 6.25; + LEVEL 0 FOR 6.25; + LEVEL 1 FOR 6.25; + LEVEL 0 FOR 6.25; + LEVEL 1 FOR 6.25; + LEVEL 0 FOR 6.25; + LEVEL 1 FOR 6.25; + LEVEL 0 FOR 6.25; + LEVEL 1 FOR 6.25; + LEVEL 0 FOR 6.25; + LEVEL 1 FOR 6.25; + LEVEL 0 FOR 6.25; + LEVEL 1 FOR 6.25; + LEVEL 0 FOR 6.25; + LEVEL 1 FOR 6.25; + LEVEL 0 FOR 6.25; + LEVEL 1 FOR 6.25; + LEVEL 0 FOR 6.25; + LEVEL 1 FOR 6.25; + LEVEL 0 FOR 6.25; + LEVEL 1 FOR 6.25; + LEVEL 0 FOR 6.25; + LEVEL 1 FOR 6.25; + LEVEL 0 FOR 6.25; + LEVEL 1 FOR 6.25; + LEVEL 0 FOR 6.25; + LEVEL 1 FOR 6.25; + LEVEL 0 FOR 6.25; + LEVEL 1 FOR 6.25; + LEVEL 0 FOR 6.25; + LEVEL 1 FOR 6.25; + LEVEL 0 FOR 6.25; + LEVEL 1 FOR 6.25; + LEVEL 0 FOR 6.25; + LEVEL 1 FOR 6.25; + LEVEL 0 FOR 6.25; + LEVEL 1 FOR 6.25; + LEVEL 0 FOR 6.25; + LEVEL 1 FOR 6.25; + LEVEL 0 FOR 6.25; + LEVEL 1 FOR 6.25; + LEVEL 0 FOR 6.25; + LEVEL 1 FOR 6.25; + LEVEL 0 FOR 6.25; + LEVEL 1 FOR 6.25; + LEVEL 0 FOR 6.25; + LEVEL 1 FOR 6.25; + LEVEL 0 FOR 6.25; + LEVEL 1 FOR 6.25; + LEVEL 0 FOR 6.25; + LEVEL 1 FOR 6.25; + LEVEL 0 FOR 6.25; + LEVEL 1 FOR 6.25; + LEVEL 0 FOR 6.25; + LEVEL 1 FOR 6.25; + LEVEL 0 FOR 6.25; + LEVEL 1 FOR 6.25; + LEVEL 0 FOR 6.25; + LEVEL 1 FOR 6.25; + LEVEL 0 FOR 6.25; + LEVEL 1 FOR 6.25; + LEVEL 0 FOR 6.25; + LEVEL 1 FOR 6.25; + LEVEL 0 FOR 6.25; + LEVEL 1 FOR 6.25; + LEVEL 0 FOR 6.25; + LEVEL 1 FOR 6.25; + LEVEL 0 FOR 6.25; + LEVEL 1 FOR 6.25; + LEVEL 0 FOR 6.25; + LEVEL 1 FOR 6.25; + LEVEL 0 FOR 6.25; + LEVEL 1 FOR 6.25; + LEVEL 0 FOR 6.25; + LEVEL 1 FOR 6.25; + LEVEL 0 FOR 6.25; + LEVEL 1 FOR 6.25; + LEVEL 0 FOR 6.25; + LEVEL 1 FOR 6.25; + LEVEL 0 FOR 6.25; + LEVEL 1 FOR 6.25; + LEVEL 0 FOR 6.25; + LEVEL 1 FOR 6.25; + LEVEL 0 FOR 6.25; + LEVEL 1 FOR 6.25; + LEVEL 0 FOR 6.25; + LEVEL 1 FOR 6.25; + LEVEL 0 FOR 6.25; + LEVEL 1 FOR 6.25; + LEVEL 0 FOR 6.25; + LEVEL 1 FOR 6.25; + LEVEL 0 FOR 6.25; + LEVEL 1 FOR 6.25; + LEVEL 0 FOR 6.25; + LEVEL 1 FOR 6.25; + LEVEL 0 FOR 6.25; + LEVEL 1 FOR 6.25; + LEVEL 0 FOR 6.25; + LEVEL 1 FOR 6.25; + LEVEL 0 FOR 6.25; + LEVEL 1 FOR 6.25; + } + } +} + +TRANSITION_LIST("SW[0]") +{ + NODE + { + REPEAT = 1; + NODE + { + REPEAT = 1; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 1.0; + } + } +} + +DISPLAY_LINE +{ + CHANNEL = "SW"; + EXPAND_STATUS = EXPANDED; + RADIX = Binary; + TREE_INDEX = 0; + TREE_LEVEL = 0; + CHILDREN = 1, 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16; +} + +DISPLAY_LINE +{ + CHANNEL = "SW[15]"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 1; + TREE_LEVEL = 1; + PARENT = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "SW[14]"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 2; + TREE_LEVEL = 1; + PARENT = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "SW[13]"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 3; + TREE_LEVEL = 1; + PARENT = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "SW[12]"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 4; + TREE_LEVEL = 1; + PARENT = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "SW[11]"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 5; + TREE_LEVEL = 1; + PARENT = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "SW[10]"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 6; + TREE_LEVEL = 1; + PARENT = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "SW[9]"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 7; + TREE_LEVEL = 1; + PARENT = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "SW[8]"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 8; + TREE_LEVEL = 1; + PARENT = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "SW[7]"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 9; + TREE_LEVEL = 1; + PARENT = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "SW[6]"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 10; + TREE_LEVEL = 1; + PARENT = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "SW[5]"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 11; + TREE_LEVEL = 1; + PARENT = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "SW[4]"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 12; + TREE_LEVEL = 1; + PARENT = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "SW[3]"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 13; + TREE_LEVEL = 1; + PARENT = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "SW[2]"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 14; + TREE_LEVEL = 1; + PARENT = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "SW[1]"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 15; + TREE_LEVEL = 1; + PARENT = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "SW[0]"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 16; + TREE_LEVEL = 1; + PARENT = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "LEDG"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 17; + TREE_LEVEL = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "LEDG[0]"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 18; + TREE_LEVEL = 0; +} + +TIME_BAR +{ + TIME = 0; + MASTER = TRUE; +} +; diff --git a/1ano/2semestre/lsd/pratica01/part4/simulation/qsim/EqCmpDemo_20230307205353.sim.vwf b/1ano/2semestre/lsd/pratica01/part4/simulation/qsim/EqCmpDemo_20230307205353.sim.vwf new file mode 100644 index 0000000..fdfad9c --- /dev/null +++ b/1ano/2semestre/lsd/pratica01/part4/simulation/qsim/EqCmpDemo_20230307205353.sim.vwf @@ -0,0 +1,2252 @@ +/* +WARNING: Do NOT edit the input and output ports in this file in a text +editor if you plan to continue editing the block that represents it in +the Block Editor! File corruption is VERY likely to occur. +*/ + +/* +Copyright (C) 2020 Intel Corporation. All rights reserved. +Your use of Intel Corporation's design tools, logic functions +and other software and tools, and any partner logic +functions, and any output files from any of the foregoing +(including device programming or simulation files), and any +associated documentation or information are expressly subject +to the terms and conditions of the Intel Program License +Subscription Agreement, the Intel Quartus Prime License Agreement, +the Intel FPGA IP License Agreement, or other applicable license +agreement, including, without limitation, that your use is for +the sole purpose of programming logic devices manufactured by +Intel and sold by Intel or its authorized distributors. Please +refer to the applicable agreement for further details, at +https://fpgasoftware.intel.com/eula. +*/ + +HEADER +{ + VERSION = 1; + TIME_UNIT = ns; + DATA_OFFSET = 0.0; + DATA_DURATION = 1000.0; + SIMULATION_TIME = 0.0; + GRID_PHASE = 0.0; + GRID_PERIOD = 10.0; + GRID_DUTY_CYCLE = 50; +} + +SIGNAL("LEDG") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = OUTPUT; + PARENT = ""; +} + +SIGNAL("LEDG[0]") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = OUTPUT; + PARENT = ""; +} + +SIGNAL("SW") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = BUS; + WIDTH = 16; + LSB_INDEX = 0; + DIRECTION = INPUT; + PARENT = ""; +} + +SIGNAL("SW[15]") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = INPUT; + PARENT = "SW"; +} + +SIGNAL("SW[14]") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = INPUT; + PARENT = "SW"; +} + +SIGNAL("SW[13]") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = INPUT; + PARENT = "SW"; +} + +SIGNAL("SW[12]") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = INPUT; + PARENT = "SW"; +} + +SIGNAL("SW[11]") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = INPUT; + PARENT = "SW"; +} + +SIGNAL("SW[10]") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = INPUT; + PARENT = "SW"; +} + +SIGNAL("SW[9]") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = INPUT; + PARENT = "SW"; +} + +SIGNAL("SW[8]") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = INPUT; + PARENT = "SW"; +} + +SIGNAL("SW[7]") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = INPUT; + PARENT = "SW"; +} + +SIGNAL("SW[6]") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = INPUT; + PARENT = "SW"; +} + +SIGNAL("SW[5]") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = INPUT; + PARENT = "SW"; +} + +SIGNAL("SW[4]") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = INPUT; + PARENT = "SW"; +} + +SIGNAL("SW[3]") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = INPUT; + PARENT = "SW"; +} + +SIGNAL("SW[2]") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = INPUT; + PARENT = "SW"; +} + +SIGNAL("SW[1]") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = INPUT; + PARENT = "SW"; +} + +SIGNAL("SW[0]") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = INPUT; + PARENT = "SW"; +} + +TRANSITION_LIST("LEDG") +{ + NODE + { + REPEAT = 1; + LEVEL X FOR 1000.0; + } +} + +TRANSITION_LIST("LEDG[0]") +{ + NODE + { + REPEAT = 1; + NODE + { + REPEAT = 1; + LEVEL 0 FOR 525.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 395.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 70.0; + } + } +} + +TRANSITION_LIST("SW[15]") +{ + NODE + { + REPEAT = 1; + NODE + { + REPEAT = 1; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 15.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 30.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 25.0; + LEVEL 1 FOR 50.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 35.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 15.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 15.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 50.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 25.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 15.0; + LEVEL 1 FOR 15.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 15.0; + LEVEL 0 FOR 20.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 20.0; + LEVEL 1 FOR 20.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 25.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 20.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 15.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 30.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 15.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 35.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 15.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 20.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 20.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 40.0; + } + } +} + +TRANSITION_LIST("SW[14]") +{ + NODE + { + REPEAT = 1; + NODE + { + REPEAT = 1; + LEVEL 1 FOR 25.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 15.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 15.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 25.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 15.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 20.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 20.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 15.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 20.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 25.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 30.0; + LEVEL 1 FOR 25.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 15.0; + LEVEL 1 FOR 15.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 30.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 20.0; + LEVEL 0 FOR 15.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 15.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 20.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 20.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 25.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 15.0; + LEVEL 1 FOR 5.0; + } + } +} + +TRANSITION_LIST("SW[13]") +{ + NODE + { + REPEAT = 1; + NODE + { + REPEAT = 1; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 25.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 30.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 20.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 20.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 15.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 20.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 15.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 15.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 15.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 20.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 20.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 15.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 15.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 15.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 15.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 30.0; + LEVEL 0 FOR 20.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 20.0; + LEVEL 0 FOR 15.0; + LEVEL 1 FOR 40.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 30.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 25.0; + } + } +} + +TRANSITION_LIST("SW[12]") +{ + NODE + { + REPEAT = 1; + NODE + { + REPEAT = 1; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 25.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 15.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 15.0; + LEVEL 1 FOR 30.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 20.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 15.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 15.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 15.0; + LEVEL 1 FOR 15.0; + LEVEL 0 FOR 15.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 15.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 20.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 15.0; + LEVEL 0 FOR 25.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 20.0; + LEVEL 1 FOR 40.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 15.0; + LEVEL 0 FOR 25.0; + LEVEL 1 FOR 15.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 25.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 15.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 15.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 5.0; + } + } +} + +TRANSITION_LIST("SW[11]") +{ + NODE + { + REPEAT = 1; + NODE + { + REPEAT = 1; + LEVEL 1 FOR 20.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 20.0; + LEVEL 0 FOR 15.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 15.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 25.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 15.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 15.0; + LEVEL 0 FOR 15.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 15.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 35.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 30.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 30.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 20.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 15.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 15.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 30.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 40.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 20.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 15.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 15.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 20.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + } + } +} + +TRANSITION_LIST("SW[10]") +{ + NODE + { + REPEAT = 1; + NODE + { + REPEAT = 1; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 15.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 15.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 15.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 15.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 20.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 50.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 20.0; + LEVEL 0 FOR 15.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 15.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 15.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 15.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 15.0; + LEVEL 1 FOR 25.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 15.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 20.0; + LEVEL 0 FOR 15.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 20.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 20.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 15.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 25.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 15.0; + LEVEL 0 FOR 20.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 20.0; + LEVEL 0 FOR 20.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 15.0; + LEVEL 1 FOR 5.0; + } + } +} + +TRANSITION_LIST("SW[9]") +{ + NODE + { + REPEAT = 1; + NODE + { + REPEAT = 1; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 30.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 15.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 15.0; + LEVEL 1 FOR 45.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 20.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 20.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 25.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 15.0; + LEVEL 1 FOR 25.0; + LEVEL 0 FOR 25.0; + LEVEL 1 FOR 25.0; + LEVEL 0 FOR 25.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 25.0; + LEVEL 1 FOR 15.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 15.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 45.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 20.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 15.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 20.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 15.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 25.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 15.0; + LEVEL 1 FOR 15.0; + LEVEL 0 FOR 5.0; + } + } +} + +TRANSITION_LIST("SW[8]") +{ + NODE + { + REPEAT = 1; + NODE + { + REPEAT = 1; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 15.0; + LEVEL 0 FOR 15.0; + LEVEL 1 FOR 15.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 20.0; + LEVEL 0 FOR 20.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 15.0; + LEVEL 1 FOR 20.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 15.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 20.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 15.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 20.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 15.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 20.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 15.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 15.0; + LEVEL 1 FOR 15.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 20.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 25.0; + LEVEL 1 FOR 20.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 25.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 20.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 15.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 25.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 5.0; + } + } +} + +TRANSITION_LIST("SW[7]") +{ + NODE + { + REPEAT = 1; + NODE + { + REPEAT = 1; + LEVEL 0 FOR 20.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 15.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 15.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 35.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 20.0; + LEVEL 1 FOR 20.0; + LEVEL 0 FOR 15.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 15.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 15.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 25.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 15.0; + LEVEL 0 FOR 15.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 20.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 20.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 15.0; + LEVEL 1 FOR 20.0; + LEVEL 0 FOR 15.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 15.0; + LEVEL 0 FOR 25.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 20.0; + LEVEL 1 FOR 15.0; + LEVEL 0 FOR 25.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 15.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 15.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 20.0; + LEVEL 0 FOR 30.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 15.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 15.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 15.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 5.0; + } + } +} + +TRANSITION_LIST("SW[6]") +{ + NODE + { + REPEAT = 1; + NODE + { + REPEAT = 1; + LEVEL 0 FOR 20.0; + LEVEL 1 FOR 20.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 15.0; + LEVEL 0 FOR 20.0; + LEVEL 1 FOR 20.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 15.0; + LEVEL 1 FOR 35.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 20.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 20.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 35.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 25.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 15.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 20.0; + LEVEL 0 FOR 15.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 25.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 20.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 25.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 15.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 15.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 30.0; + LEVEL 1 FOR 15.0; + LEVEL 0 FOR 15.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 15.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 25.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 15.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 10.0; + } + } +} + +TRANSITION_LIST("SW[5]") +{ + NODE + { + REPEAT = 1; + NODE + { + REPEAT = 1; + LEVEL 1 FOR 20.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 15.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 40.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 15.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 15.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 25.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 15.0; + LEVEL 1 FOR 20.0; + LEVEL 0 FOR 30.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 20.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 15.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 15.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 15.0; + LEVEL 0 FOR 15.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 15.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 15.0; + LEVEL 1 FOR 15.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 20.0; + LEVEL 1 FOR 15.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 20.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 20.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 15.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 15.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 15.0; + LEVEL 0 FOR 15.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 25.0; + } + } +} + +TRANSITION_LIST("SW[4]") +{ + NODE + { + REPEAT = 1; + NODE + { + REPEAT = 1; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 25.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 25.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 15.0; + LEVEL 1 FOR 20.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 30.0; + LEVEL 0 FOR 40.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 15.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 15.0; + LEVEL 0 FOR 25.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 15.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 25.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 20.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 30.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 15.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 30.0; + LEVEL 0 FOR 20.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 15.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 15.0; + LEVEL 1 FOR 15.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 15.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 30.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 15.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 15.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + } + } +} + +TRANSITION_LIST("SW[3]") +{ + NODE + { + REPEAT = 1; + NODE + { + REPEAT = 1; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 15.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 15.0; + LEVEL 0 FOR 20.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 15.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 25.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 15.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 15.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 20.0; + LEVEL 1 FOR 15.0; + LEVEL 0 FOR 20.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 15.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 30.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 15.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 20.0; + LEVEL 0 FOR 25.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 20.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 15.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 40.0; + LEVEL 0 FOR 15.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 20.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 15.0; + LEVEL 1 FOR 15.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 15.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 35.0; + LEVEL 1 FOR 15.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 5.0; + } + } +} + +TRANSITION_LIST("SW[2]") +{ + NODE + { + REPEAT = 1; + NODE + { + REPEAT = 1; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 20.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 25.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 15.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 25.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 25.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 15.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 20.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 20.0; + LEVEL 1 FOR 20.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 20.0; + LEVEL 0 FOR 15.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 35.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 20.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 15.0; + LEVEL 0 FOR 15.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 20.0; + LEVEL 1 FOR 30.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 20.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 15.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 20.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 15.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 15.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 15.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 15.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 30.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 15.0; + } + } +} + +TRANSITION_LIST("SW[1]") +{ + NODE + { + REPEAT = 1; + NODE + { + REPEAT = 1; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 15.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 15.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 15.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 15.0; + LEVEL 0 FOR 15.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 45.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 15.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 15.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 15.0; + LEVEL 1 FOR 15.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 15.0; + LEVEL 1 FOR 25.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 15.0; + LEVEL 0 FOR 15.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 25.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 25.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 35.0; + LEVEL 1 FOR 25.0; + LEVEL 0 FOR 15.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 15.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 20.0; + LEVEL 1 FOR 20.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 30.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 15.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 30.0; + LEVEL 0 FOR 10.0; + } + } +} + +TRANSITION_LIST("SW[0]") +{ + NODE + { + REPEAT = 1; + NODE + { + REPEAT = 1; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 25.0; + LEVEL 1 FOR 20.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 15.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 25.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 25.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 15.0; + LEVEL 1 FOR 30.0; + LEVEL 0 FOR 15.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 35.0; + LEVEL 1 FOR 35.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 25.0; + LEVEL 1 FOR 35.0; + LEVEL 0 FOR 15.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 15.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 15.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 15.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 15.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 25.0; + LEVEL 1 FOR 15.0; + LEVEL 0 FOR 20.0; + LEVEL 1 FOR 15.0; + LEVEL 0 FOR 15.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 15.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + } + } +} + +DISPLAY_LINE +{ + CHANNEL = "SW"; + EXPAND_STATUS = EXPANDED; + RADIX = Binary; + TREE_INDEX = 0; + TREE_LEVEL = 0; + CHILDREN = 1, 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16; +} + +DISPLAY_LINE +{ + CHANNEL = "SW[15]"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 1; + TREE_LEVEL = 1; + PARENT = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "SW[14]"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 2; + TREE_LEVEL = 1; + PARENT = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "SW[13]"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 3; + TREE_LEVEL = 1; + PARENT = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "SW[12]"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 4; + TREE_LEVEL = 1; + PARENT = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "SW[11]"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 5; + TREE_LEVEL = 1; + PARENT = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "SW[10]"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 6; + TREE_LEVEL = 1; + PARENT = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "SW[9]"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 7; + TREE_LEVEL = 1; + PARENT = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "SW[8]"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 8; + TREE_LEVEL = 1; + PARENT = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "SW[7]"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 9; + TREE_LEVEL = 1; + PARENT = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "SW[6]"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 10; + TREE_LEVEL = 1; + PARENT = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "SW[5]"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 11; + TREE_LEVEL = 1; + PARENT = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "SW[4]"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 12; + TREE_LEVEL = 1; + PARENT = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "SW[3]"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 13; + TREE_LEVEL = 1; + PARENT = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "SW[2]"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 14; + TREE_LEVEL = 1; + PARENT = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "SW[1]"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 15; + TREE_LEVEL = 1; + PARENT = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "SW[0]"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 16; + TREE_LEVEL = 1; + PARENT = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "LEDG"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 17; + TREE_LEVEL = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "LEDG[0]"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 18; + TREE_LEVEL = 0; +} + +TIME_BAR +{ + TIME = 0; + MASTER = TRUE; +} +; diff --git a/1ano/2semestre/lsd/pratica01/part4/simulation/qsim/EqCmpDemo_20230307205759.sim.vwf b/1ano/2semestre/lsd/pratica01/part4/simulation/qsim/EqCmpDemo_20230307205759.sim.vwf new file mode 100644 index 0000000..54f277d --- /dev/null +++ b/1ano/2semestre/lsd/pratica01/part4/simulation/qsim/EqCmpDemo_20230307205759.sim.vwf @@ -0,0 +1,1053 @@ +/* +WARNING: Do NOT edit the input and output ports in this file in a text +editor if you plan to continue editing the block that represents it in +the Block Editor! File corruption is VERY likely to occur. +*/ + +/* +Copyright (C) 2020 Intel Corporation. All rights reserved. +Your use of Intel Corporation's design tools, logic functions +and other software and tools, and any partner logic +functions, and any output files from any of the foregoing +(including device programming or simulation files), and any +associated documentation or information are expressly subject +to the terms and conditions of the Intel Program License +Subscription Agreement, the Intel Quartus Prime License Agreement, +the Intel FPGA IP License Agreement, or other applicable license +agreement, including, without limitation, that your use is for +the sole purpose of programming logic devices manufactured by +Intel and sold by Intel or its authorized distributors. Please +refer to the applicable agreement for further details, at +https://fpgasoftware.intel.com/eula. +*/ + +HEADER +{ + VERSION = 1; + TIME_UNIT = ns; + DATA_OFFSET = 0.0; + DATA_DURATION = 1000.0; + SIMULATION_TIME = 0.0; + GRID_PHASE = 0.0; + GRID_PERIOD = 10.0; + GRID_DUTY_CYCLE = 50; +} + +SIGNAL("LEDG") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = OUTPUT; + PARENT = ""; +} + +SIGNAL("LEDG[0]") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = OUTPUT; + PARENT = ""; +} + +SIGNAL("SW") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = BUS; + WIDTH = 8; + LSB_INDEX = 0; + DIRECTION = INPUT; + PARENT = ""; +} + +SIGNAL("SW[7]") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = INPUT; + PARENT = "SW"; +} + +SIGNAL("SW[6]") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = INPUT; + PARENT = "SW"; +} + +SIGNAL("SW[5]") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = INPUT; + PARENT = "SW"; +} + +SIGNAL("SW[4]") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = INPUT; + PARENT = "SW"; +} + +SIGNAL("SW[3]") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = INPUT; + PARENT = "SW"; +} + +SIGNAL("SW[2]") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = INPUT; + PARENT = "SW"; +} + +SIGNAL("SW[1]") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = INPUT; + PARENT = "SW"; +} + +SIGNAL("SW[0]") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = INPUT; + PARENT = "SW"; +} + +TRANSITION_LIST("LEDG") +{ + NODE + { + REPEAT = 1; + LEVEL X FOR 1000.0; + } +} + +TRANSITION_LIST("LEDG[0]") +{ + NODE + { + REPEAT = 1; + NODE + { + REPEAT = 1; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 47.25; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 54.0; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 47.25; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 50.5; + LEVEL 1 FOR 0.125; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 2.75; + LEVEL 0 FOR 43.75; + LEVEL 1 FOR 0.75; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 2.125; + LEVEL 0 FOR 50.0; + LEVEL 1 FOR 1.875; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 1.0; + LEVEL 0 FOR 43.75; + LEVEL 1 FOR 2.5; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 0.375; + LEVEL 0 FOR 50.25; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 47.25; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 54.0; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 47.25; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 50.25; + LEVEL 1 FOR 0.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 2.5; + LEVEL 0 FOR 43.75; + LEVEL 1 FOR 1.0; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 1.875; + LEVEL 0 FOR 50.0; + LEVEL 1 FOR 2.125; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 0.75; + LEVEL 0 FOR 43.75; + LEVEL 1 FOR 2.75; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 0.125; + LEVEL 0 FOR 3.25; + LEVEL 1 FOR 3.0; + LEVEL 0 FOR 43.75; + LEVEL 1 FOR 0.5; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 2.375; + LEVEL 0 FOR 50.0; + LEVEL 1 FOR 1.625; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 1.25; + LEVEL 0 FOR 43.75; + LEVEL 1 FOR 2.25; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 0.625; + LEVEL 0 FOR 37.5; + } + } +} + +TRANSITION_LIST("SW[7]") +{ + NODE + { + REPEAT = 1; + NODE + { + REPEAT = 1; + LEVEL 0 FOR 400.0; + LEVEL 1 FOR 400.0; + LEVEL 0 FOR 200.0; + } + } +} + +TRANSITION_LIST("SW[6]") +{ + NODE + { + REPEAT = 1; + NODE + { + REPEAT = 1; + LEVEL 0 FOR 200.0; + LEVEL 1 FOR 200.0; + LEVEL 0 FOR 200.0; + LEVEL 1 FOR 200.0; + LEVEL 0 FOR 200.0; + } + } +} + +TRANSITION_LIST("SW[5]") +{ + NODE + { + REPEAT = 1; + NODE + { + REPEAT = 1; + LEVEL 0 FOR 100.0; + LEVEL 1 FOR 100.0; + LEVEL 0 FOR 100.0; + LEVEL 1 FOR 100.0; + LEVEL 0 FOR 100.0; + LEVEL 1 FOR 100.0; + LEVEL 0 FOR 100.0; + LEVEL 1 FOR 100.0; + LEVEL 0 FOR 100.0; + LEVEL 1 FOR 100.0; + } + } +} + +TRANSITION_LIST("SW[4]") +{ + NODE + { + REPEAT = 1; + NODE + { + REPEAT = 1; + LEVEL 0 FOR 50.0; + LEVEL 1 FOR 50.0; + LEVEL 0 FOR 50.0; + LEVEL 1 FOR 50.0; + LEVEL 0 FOR 50.0; + LEVEL 1 FOR 50.0; + LEVEL 0 FOR 50.0; + LEVEL 1 FOR 50.0; + LEVEL 0 FOR 50.0; + LEVEL 1 FOR 50.0; + LEVEL 0 FOR 50.0; + LEVEL 1 FOR 50.0; + LEVEL 0 FOR 50.0; + LEVEL 1 FOR 50.0; + LEVEL 0 FOR 50.0; + LEVEL 1 FOR 50.0; + LEVEL 0 FOR 50.0; + LEVEL 1 FOR 50.0; + LEVEL 0 FOR 50.0; + LEVEL 1 FOR 50.0; + } + } +} + +TRANSITION_LIST("SW[3]") +{ + NODE + { + REPEAT = 1; + NODE + { + REPEAT = 1; + LEVEL 0 FOR 25.0; + LEVEL 1 FOR 25.0; + LEVEL 0 FOR 25.0; + LEVEL 1 FOR 25.0; + LEVEL 0 FOR 25.0; + LEVEL 1 FOR 25.0; + LEVEL 0 FOR 25.0; + LEVEL 1 FOR 25.0; + LEVEL 0 FOR 25.0; + LEVEL 1 FOR 25.0; + LEVEL 0 FOR 25.0; + LEVEL 1 FOR 25.0; + LEVEL 0 FOR 25.0; + LEVEL 1 FOR 25.0; + LEVEL 0 FOR 25.0; + LEVEL 1 FOR 25.0; + LEVEL 0 FOR 25.0; + LEVEL 1 FOR 25.0; + LEVEL 0 FOR 25.0; + LEVEL 1 FOR 25.0; + LEVEL 0 FOR 25.0; + LEVEL 1 FOR 25.0; + LEVEL 0 FOR 25.0; + LEVEL 1 FOR 25.0; + LEVEL 0 FOR 25.0; + LEVEL 1 FOR 25.0; + LEVEL 0 FOR 25.0; + LEVEL 1 FOR 25.0; + LEVEL 0 FOR 25.0; + LEVEL 1 FOR 25.0; + LEVEL 0 FOR 25.0; + LEVEL 1 FOR 25.0; + LEVEL 0 FOR 25.0; + LEVEL 1 FOR 25.0; + LEVEL 0 FOR 25.0; + LEVEL 1 FOR 25.0; + LEVEL 0 FOR 25.0; + LEVEL 1 FOR 25.0; + LEVEL 0 FOR 25.0; + LEVEL 1 FOR 25.0; + } + } +} + +TRANSITION_LIST("SW[2]") +{ + NODE + { + REPEAT = 1; + NODE + { + REPEAT = 1; + LEVEL 0 FOR 12.5; + LEVEL 1 FOR 12.5; + LEVEL 0 FOR 12.5; + LEVEL 1 FOR 12.5; + LEVEL 0 FOR 12.5; + LEVEL 1 FOR 12.5; + LEVEL 0 FOR 12.5; + LEVEL 1 FOR 12.5; + LEVEL 0 FOR 12.5; + LEVEL 1 FOR 12.5; + LEVEL 0 FOR 12.5; + LEVEL 1 FOR 12.5; + LEVEL 0 FOR 12.5; + LEVEL 1 FOR 12.5; + LEVEL 0 FOR 12.5; + LEVEL 1 FOR 12.5; + LEVEL 0 FOR 12.5; + LEVEL 1 FOR 12.5; + LEVEL 0 FOR 12.5; + LEVEL 1 FOR 12.5; + LEVEL 0 FOR 12.5; + LEVEL 1 FOR 12.5; + LEVEL 0 FOR 12.5; + LEVEL 1 FOR 12.5; + LEVEL 0 FOR 12.5; + LEVEL 1 FOR 12.5; + LEVEL 0 FOR 12.5; + LEVEL 1 FOR 12.5; + LEVEL 0 FOR 12.5; + LEVEL 1 FOR 12.5; + LEVEL 0 FOR 12.5; + LEVEL 1 FOR 12.5; + LEVEL 0 FOR 12.5; + LEVEL 1 FOR 12.5; + LEVEL 0 FOR 12.5; + LEVEL 1 FOR 12.5; + LEVEL 0 FOR 12.5; + LEVEL 1 FOR 12.5; + LEVEL 0 FOR 12.5; + LEVEL 1 FOR 12.5; + LEVEL 0 FOR 12.5; + LEVEL 1 FOR 12.5; + LEVEL 0 FOR 12.5; + LEVEL 1 FOR 12.5; + LEVEL 0 FOR 12.5; + LEVEL 1 FOR 12.5; + LEVEL 0 FOR 12.5; + LEVEL 1 FOR 12.5; + LEVEL 0 FOR 12.5; + LEVEL 1 FOR 12.5; + LEVEL 0 FOR 12.5; + LEVEL 1 FOR 12.5; + LEVEL 0 FOR 12.5; + LEVEL 1 FOR 12.5; + LEVEL 0 FOR 12.5; + LEVEL 1 FOR 12.5; + LEVEL 0 FOR 12.5; + LEVEL 1 FOR 12.5; + LEVEL 0 FOR 12.5; + LEVEL 1 FOR 12.5; + LEVEL 0 FOR 12.5; + LEVEL 1 FOR 12.5; + LEVEL 0 FOR 12.5; + LEVEL 1 FOR 12.5; + LEVEL 0 FOR 12.5; + LEVEL 1 FOR 12.5; + LEVEL 0 FOR 12.5; + LEVEL 1 FOR 12.5; + LEVEL 0 FOR 12.5; + LEVEL 1 FOR 12.5; + LEVEL 0 FOR 12.5; + LEVEL 1 FOR 12.5; + LEVEL 0 FOR 12.5; + LEVEL 1 FOR 12.5; + LEVEL 0 FOR 12.5; + LEVEL 1 FOR 12.5; + LEVEL 0 FOR 12.5; + LEVEL 1 FOR 12.5; + LEVEL 0 FOR 12.5; + LEVEL 1 FOR 12.5; + } + } +} + +TRANSITION_LIST("SW[1]") +{ + NODE + { + REPEAT = 1; + NODE + { + REPEAT = 1; + LEVEL 0 FOR 6.25; + LEVEL 1 FOR 6.25; + LEVEL 0 FOR 6.25; + LEVEL 1 FOR 6.25; + LEVEL 0 FOR 6.25; + LEVEL 1 FOR 6.25; + LEVEL 0 FOR 6.25; + LEVEL 1 FOR 6.25; + LEVEL 0 FOR 6.25; + LEVEL 1 FOR 6.25; + LEVEL 0 FOR 6.25; + LEVEL 1 FOR 6.25; + LEVEL 0 FOR 6.25; + LEVEL 1 FOR 6.25; + LEVEL 0 FOR 6.25; + LEVEL 1 FOR 6.25; + LEVEL 0 FOR 6.25; + LEVEL 1 FOR 6.25; + LEVEL 0 FOR 6.25; + LEVEL 1 FOR 6.25; + LEVEL 0 FOR 6.25; + LEVEL 1 FOR 6.25; + LEVEL 0 FOR 6.25; + LEVEL 1 FOR 6.25; + LEVEL 0 FOR 6.25; + LEVEL 1 FOR 6.25; + LEVEL 0 FOR 6.25; + LEVEL 1 FOR 6.25; + LEVEL 0 FOR 6.25; + LEVEL 1 FOR 6.25; + LEVEL 0 FOR 6.25; + LEVEL 1 FOR 6.25; + LEVEL 0 FOR 6.25; + LEVEL 1 FOR 6.25; + LEVEL 0 FOR 6.25; + LEVEL 1 FOR 6.25; + LEVEL 0 FOR 6.25; + LEVEL 1 FOR 6.25; + LEVEL 0 FOR 6.25; + LEVEL 1 FOR 6.25; + LEVEL 0 FOR 6.25; + LEVEL 1 FOR 6.25; + LEVEL 0 FOR 6.25; + LEVEL 1 FOR 6.25; + LEVEL 0 FOR 6.25; + LEVEL 1 FOR 6.25; + LEVEL 0 FOR 6.25; + LEVEL 1 FOR 6.25; + LEVEL 0 FOR 6.25; + LEVEL 1 FOR 6.25; + LEVEL 0 FOR 6.25; + LEVEL 1 FOR 6.25; + LEVEL 0 FOR 6.25; + LEVEL 1 FOR 6.25; + LEVEL 0 FOR 6.25; + LEVEL 1 FOR 6.25; + LEVEL 0 FOR 6.25; + LEVEL 1 FOR 6.25; + LEVEL 0 FOR 6.25; + LEVEL 1 FOR 6.25; + LEVEL 0 FOR 6.25; + LEVEL 1 FOR 6.25; + LEVEL 0 FOR 6.25; + LEVEL 1 FOR 6.25; + LEVEL 0 FOR 6.25; + LEVEL 1 FOR 6.25; + LEVEL 0 FOR 6.25; + LEVEL 1 FOR 6.25; + LEVEL 0 FOR 6.25; + LEVEL 1 FOR 6.25; + LEVEL 0 FOR 6.25; + LEVEL 1 FOR 6.25; + LEVEL 0 FOR 6.25; + LEVEL 1 FOR 6.25; + LEVEL 0 FOR 6.25; + LEVEL 1 FOR 6.25; + LEVEL 0 FOR 6.25; + LEVEL 1 FOR 6.25; + LEVEL 0 FOR 6.25; + LEVEL 1 FOR 6.25; + LEVEL 0 FOR 6.25; + LEVEL 1 FOR 6.25; + LEVEL 0 FOR 6.25; + LEVEL 1 FOR 6.25; + LEVEL 0 FOR 6.25; + LEVEL 1 FOR 6.25; + LEVEL 0 FOR 6.25; + LEVEL 1 FOR 6.25; + LEVEL 0 FOR 6.25; + LEVEL 1 FOR 6.25; + LEVEL 0 FOR 6.25; + LEVEL 1 FOR 6.25; + LEVEL 0 FOR 6.25; + LEVEL 1 FOR 6.25; + LEVEL 0 FOR 6.25; + LEVEL 1 FOR 6.25; + LEVEL 0 FOR 6.25; + LEVEL 1 FOR 6.25; + LEVEL 0 FOR 6.25; + LEVEL 1 FOR 6.25; + LEVEL 0 FOR 6.25; + LEVEL 1 FOR 6.25; + LEVEL 0 FOR 6.25; + LEVEL 1 FOR 6.25; + LEVEL 0 FOR 6.25; + LEVEL 1 FOR 6.25; + LEVEL 0 FOR 6.25; + LEVEL 1 FOR 6.25; + LEVEL 0 FOR 6.25; + LEVEL 1 FOR 6.25; + LEVEL 0 FOR 6.25; + LEVEL 1 FOR 6.25; + LEVEL 0 FOR 6.25; + LEVEL 1 FOR 6.25; + LEVEL 0 FOR 6.25; + LEVEL 1 FOR 6.25; + LEVEL 0 FOR 6.25; + LEVEL 1 FOR 6.25; + LEVEL 0 FOR 6.25; + LEVEL 1 FOR 6.25; + LEVEL 0 FOR 6.25; + LEVEL 1 FOR 6.25; + LEVEL 0 FOR 6.25; + LEVEL 1 FOR 6.25; + LEVEL 0 FOR 6.25; + LEVEL 1 FOR 6.25; + LEVEL 0 FOR 6.25; + LEVEL 1 FOR 6.25; + LEVEL 0 FOR 6.25; + LEVEL 1 FOR 6.25; + LEVEL 0 FOR 6.25; + LEVEL 1 FOR 6.25; + LEVEL 0 FOR 6.25; + LEVEL 1 FOR 6.25; + LEVEL 0 FOR 6.25; + LEVEL 1 FOR 6.25; + LEVEL 0 FOR 6.25; + LEVEL 1 FOR 6.25; + LEVEL 0 FOR 6.25; + LEVEL 1 FOR 6.25; + LEVEL 0 FOR 6.25; + LEVEL 1 FOR 6.25; + LEVEL 0 FOR 6.25; + LEVEL 1 FOR 6.25; + LEVEL 0 FOR 6.25; + LEVEL 1 FOR 6.25; + LEVEL 0 FOR 6.25; + LEVEL 1 FOR 6.25; + LEVEL 0 FOR 6.25; + LEVEL 1 FOR 6.25; + LEVEL 0 FOR 6.25; + LEVEL 1 FOR 6.25; + LEVEL 0 FOR 6.25; + LEVEL 1 FOR 6.25; + LEVEL 0 FOR 6.25; + LEVEL 1 FOR 6.25; + LEVEL 0 FOR 6.25; + LEVEL 1 FOR 6.25; + LEVEL 0 FOR 6.25; + LEVEL 1 FOR 6.25; + } + } +} + +TRANSITION_LIST("SW[0]") +{ + NODE + { + REPEAT = 1; + NODE + { + REPEAT = 1; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 3.375; + LEVEL 1 FOR 3.375; + LEVEL 0 FOR 1.0; + } + } +} + +DISPLAY_LINE +{ + CHANNEL = "SW"; + EXPAND_STATUS = EXPANDED; + RADIX = Binary; + TREE_INDEX = 0; + TREE_LEVEL = 0; + CHILDREN = 1, 2, 3, 4, 5, 6, 7, 8; +} + +DISPLAY_LINE +{ + CHANNEL = "SW[7]"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 1; + TREE_LEVEL = 1; + PARENT = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "SW[6]"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 2; + TREE_LEVEL = 1; + PARENT = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "SW[5]"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 3; + TREE_LEVEL = 1; + PARENT = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "SW[4]"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 4; + TREE_LEVEL = 1; + PARENT = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "SW[3]"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 5; + TREE_LEVEL = 1; + PARENT = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "SW[2]"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 6; + TREE_LEVEL = 1; + PARENT = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "SW[1]"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 7; + TREE_LEVEL = 1; + PARENT = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "SW[0]"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 8; + TREE_LEVEL = 1; + PARENT = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "LEDG"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 9; + TREE_LEVEL = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "LEDG[0]"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 10; + TREE_LEVEL = 0; +} + +TIME_BAR +{ + TIME = 0; + MASTER = TRUE; +} +; diff --git a/1ano/2semestre/lsd/pratica01/part4/simulation/qsim/EqCmpDemo_modelsim.xrf b/1ano/2semestre/lsd/pratica01/part4/simulation/qsim/EqCmpDemo_modelsim.xrf new file mode 100644 index 0000000..c16151c --- /dev/null +++ b/1ano/2semestre/lsd/pratica01/part4/simulation/qsim/EqCmpDemo_modelsim.xrf @@ -0,0 +1,24 @@ +vendor_name = ModelSim +source_file = 1, /home/tiagorg/repos/uaveiro-leci/1ano/2semestre/lsd/pratica01/part4/EqCmp4.bdf +source_file = 1, /home/tiagorg/repos/uaveiro-leci/1ano/2semestre/lsd/pratica01/part4/EqCmpDemo.bdf +source_file = 1, /home/tiagorg/repos/uaveiro-leci/1ano/2semestre/lsd/pratica01/part4/EqCmp8.vhd +source_file = 1, /home/tiagorg/repos/uaveiro-leci/1ano/2semestre/lsd/pratica01/part4/EqCmp8.vwf +source_file = 1, /home/tiagorg/intelFPGA_lite/20.1/quartus/libraries/vhdl/ieee/prmtvs_b.vhd +source_file = 1, /home/tiagorg/intelFPGA_lite/20.1/quartus/libraries/vhdl/ieee/prmtvs_p.vhd +source_file = 1, /home/tiagorg/intelFPGA_lite/20.1/quartus/libraries/vhdl/ieee/timing_b.vhd +source_file = 1, /home/tiagorg/intelFPGA_lite/20.1/quartus/libraries/vhdl/ieee/timing_p.vhd +source_file = 1, /home/tiagorg/repos/uaveiro-leci/1ano/2semestre/lsd/pratica01/part4/db/EqCmpDemo.cbx.xml +design_name = hard_block +design_name = EqCmpDemo +instance = comp, \LEDG[0]~output\, LEDG[0]~output, EqCmpDemo, 1 +instance = comp, \SW[1]~input\, SW[1]~input, EqCmpDemo, 1 +instance = comp, \SW[0]~input\, SW[0]~input, EqCmpDemo, 1 +instance = comp, \SW[5]~input\, SW[5]~input, EqCmpDemo, 1 +instance = comp, \SW[4]~input\, SW[4]~input, EqCmpDemo, 1 +instance = comp, \inst1|inst~0\, inst1|inst~0, EqCmpDemo, 1 +instance = comp, \SW[7]~input\, SW[7]~input, EqCmpDemo, 1 +instance = comp, \SW[6]~input\, SW[6]~input, EqCmpDemo, 1 +instance = comp, \SW[3]~input\, SW[3]~input, EqCmpDemo, 1 +instance = comp, \SW[2]~input\, SW[2]~input, EqCmpDemo, 1 +instance = comp, \inst1|inst~1\, inst1|inst~1, EqCmpDemo, 1 +instance = comp, \inst1|inst\, inst1|inst, EqCmpDemo, 1 diff --git a/1ano/2semestre/lsd/pratica01/part4/simulation/qsim/transcript b/1ano/2semestre/lsd/pratica01/part4/simulation/qsim/transcript new file mode 100644 index 0000000..3de5311 --- /dev/null +++ b/1ano/2semestre/lsd/pratica01/part4/simulation/qsim/transcript @@ -0,0 +1,47 @@ +# do EqCmpDemo.do +# ** Warning: (vlib-34) Library already exists at "work". +# Model Technology ModelSim - Intel FPGA Edition vcom 2020.1 Compiler 2020.02 Feb 28 2020 +# Start time: 20:57:58 on Mar 07,2023 +# vcom -work work EqCmpDemo.vho +# -- Loading package STANDARD +# -- Loading package TEXTIO +# -- Loading package std_logic_1164 +# -- Loading package VITAL_Timing +# -- Loading package VITAL_Primitives +# -- Loading package cycloneive_atom_pack +# -- Loading package cycloneive_components +# -- Compiling entity hard_block +# -- Compiling architecture structure of hard_block +# -- Compiling entity EqCmpDemo +# -- Compiling architecture structure of EqCmpDemo +# End time: 20:57:58 on Mar 07,2023, Elapsed time: 0:00:00 +# Errors: 0, Warnings: 0 +# Model Technology ModelSim - Intel FPGA Edition vcom 2020.1 Compiler 2020.02 Feb 28 2020 +# Start time: 20:57:58 on Mar 07,2023 +# vcom -work work EqCmp4.vwf.vht +# -- Loading package STANDARD +# -- Loading package TEXTIO +# -- Loading package std_logic_1164 +# -- Compiling entity EqCmpDemo_vhd_vec_tst +# -- Compiling architecture EqCmpDemo_arch of EqCmpDemo_vhd_vec_tst +# End time: 20:57:58 on Mar 07,2023, Elapsed time: 0:00:00 +# Errors: 0, Warnings: 0 +# vsim -c -t 1ps -L cycloneive -L altera -L altera_mf -L 220model -L sgate -L altera_lnsim work.EqCmpDemo_vhd_vec_tst +# Start time: 20:57:58 on Mar 07,2023 +# Loading std.standard +# Loading std.textio(body) +# Loading ieee.std_logic_1164(body) +# Loading work.eqcmpdemo_vhd_vec_tst(eqcmpdemo_arch) +# Loading ieee.vital_timing(body) +# Loading ieee.vital_primitives(body) +# Loading cycloneive.cycloneive_atom_pack(body) +# Loading cycloneive.cycloneive_components +# Loading work.eqcmpdemo(structure) +# Loading work.hard_block(structure) +# Loading ieee.std_logic_arith(body) +# Loading cycloneive.cycloneive_io_obuf(arch) +# Loading cycloneive.cycloneive_io_ibuf(arch) +# Loading cycloneive.cycloneive_lcell_comb(vital_lcell_comb) +# after#33 +# End time: 20:57:58 on Mar 07,2023, Elapsed time: 0:00:00 +# Errors: 0, Warnings: 0 diff --git a/1ano/2semestre/lsd/pratica01/part4/simulation/qsim/vwf_sim_transcript b/1ano/2semestre/lsd/pratica01/part4/simulation/qsim/vwf_sim_transcript new file mode 100644 index 0000000..ba96e97 --- /dev/null +++ b/1ano/2semestre/lsd/pratica01/part4/simulation/qsim/vwf_sim_transcript @@ -0,0 +1,60 @@ +Determining the location of the ModelSim executable... + +Using: /home/tiagorg/intelFPGA_lite/20.1/modelsim_ase/linuxaloem/ + +To specify a ModelSim executable directory, select: Tools -> Options -> EDA Tool Options +Note: if both ModelSim-Altera and ModelSim executables are available, ModelSim-Altera will be used. + +**** Generating the ModelSim Testbench **** + +quartus_eda --gen_testbench --tool=modelsim_oem --format=vhdl --write_settings_files=off EqCmpDemo -c EqCmpDemo --vector_source="/home/tiagorg/repos/uaveiro-leci/1ano/2semestre/lsd/pratica01/part4/EqCmp4.vwf" --testbench_file="/home/tiagorg/repos/uaveiro-leci/1ano/2semestre/lsd/pratica01/part4/simulation/qsim/EqCmp4.vwf.vht" + +Info: *******************************************************************Info: Running Quartus Prime EDA Netlist Writer Info: Version 20.1.1 Build 720 11/11/2020 SJ Lite Edition Info: Copyright (C) 2020 Intel Corporation. All rights reserved. Info: Your use of Intel Corporation's design tools, logic functions Info: and other software and tools, and any partner logic Info: functions, and any output files from any of the foregoing Info: (including device programming or simulation files), and any Info: associated documentation or information are expressly subject Info: to the terms and conditions of the Intel Program License Info: Subscription Agreement, the Intel Quartus Prime License Agreement, Info: the Intel FPGA IP License Agreement, or other applicable license Info: agreement, including, without limitation, that your use is for Info: the sole purpose of programming logic devices manufactured by Info: Intel and sold by Intel or its authorized distributors. Please Info: refer to the applicable agreement for further details, at Info: https://fpgasoftware.intel.com/eula. Info: Processing started: Tue Mar 7 20:57:56 2023Info: Command: quartus_eda --gen_testbench --tool=modelsim_oem --format=vhdl --write_settings_files=off EqCmpDemo -c EqCmpDemo --vector_source=/home/tiagorg/repos/uaveiro-leci/1ano/2semestre/lsd/pratica01/part4/EqCmp4.vwf --testbench_file=/home/tiagorg/repos/uaveiro-leci/1ano/2semestre/lsd/pratica01/part4/simulation/qsim/EqCmp4.vwf.vhtWarning (18236): Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance. +Completed successfully. + +**** Generating the functional simulation netlist **** + +quartus_eda --write_settings_files=off --simulation --functional=on --flatten_buses=off --tool=modelsim_oem --format=vhdl --output_directory="/home/tiagorg/repos/uaveiro-leci/1ano/2semestre/lsd/pratica01/part4/simulation/qsim/" EqCmpDemo -c EqCmpDemo + +Info: *******************************************************************Info: Running Quartus Prime EDA Netlist Writer Info: Version 20.1.1 Build 720 11/11/2020 SJ Lite Edition Info: Copyright (C) 2020 Intel Corporation. All rights reserved. Info: Your use of Intel Corporation's design tools, logic functions Info: and other software and tools, and any partner logic Info: functions, and any output files from any of the foregoing Info: (including device programming or simulation files), and any Info: associated documentation or information are expressly subject Info: to the terms and conditions of the Intel Program License Info: Subscription Agreement, the Intel Quartus Prime License Agreement, Info: the Intel FPGA IP License Agreement, or other applicable license Info: agreement, including, without limitation, that your use is for Info: the sole purpose of programming logic devices manufactured by Info: Intel and sold by Intel or its authorized distributors. Please Info: refer to the applicable agreement for further details, at Info: https://fpgasoftware.intel.com/eula. Info: Processing started: Tue Mar 7 20:57:57 2023Info: Command: quartus_eda --write_settings_files=off --simulation=on --functional=on --flatten_buses=off --tool=modelsim_oem --format=vhdl --output_directory=/home/tiagorg/repos/uaveiro-leci/1ano/2semestre/lsd/pratica01/part4/simulation/qsim/ EqCmpDemo -c EqCmpDemoWarning (18236): Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance.Info (204019): Generated file EqCmpDemo.vho in folder "/home/tiagorg/repos/uaveiro-leci/1ano/2semestre/lsd/pratica01/part4/simulation/qsim//" for EDA simulation toolInfo: Quartus Prime EDA Netlist Writer was successful. 0 errors, 1 warning Info: Peak virtual memory: 613 megabytes Info: Processing ended: Tue Mar 7 20:57:58 2023 Info: Elapsed time: 00:00:01 Info: Total CPU time (on all processors): 00:00:00 +Completed successfully. + +**** Generating the ModelSim .do script **** + +/home/tiagorg/repos/uaveiro-leci/1ano/2semestre/lsd/pratica01/part4/simulation/qsim/EqCmpDemo.do generated. + +Completed successfully. + +**** Running the ModelSim simulation **** + +/home/tiagorg/intelFPGA_lite/20.1/modelsim_ase/linuxaloem//vsim -c -do EqCmpDemo.do + +Reading pref.tcl +# 2020.1 +# do EqCmpDemo.do +# ** Warning: (vlib-34) Library already exists at "work". +# Model Technology ModelSim - Intel FPGA Edition vcom 2020.1 Compiler 2020.02 Feb 28 2020 +# Start time: 20:57:58 on Mar 07,2023# vcom -work work EqCmpDemo.vho # -- Loading package STANDARD# -- Loading package TEXTIO# -- Loading package std_logic_1164# -- Loading package VITAL_Timing# -- Loading package VITAL_Primitives# -- Loading package cycloneive_atom_pack# -- Loading package cycloneive_components# -- Compiling entity hard_block# -- Compiling architecture structure of hard_block# -- Compiling entity EqCmpDemo# -- Compiling architecture structure of EqCmpDemo# End time: 20:57:58 on Mar 07,2023, Elapsed time: 0:00:00# Errors: 0, Warnings: 0# Model Technology ModelSim - Intel FPGA Edition vcom 2020.1 Compiler 2020.02 Feb 28 2020# Start time: 20:57:58 on Mar 07,2023# vcom -work work EqCmp4.vwf.vht # -- Loading package STANDARD# -- Loading package TEXTIO# -- Loading package std_logic_1164# -- Compiling entity EqCmpDemo_vhd_vec_tst# -- Compiling architecture EqCmpDemo_arch of EqCmpDemo_vhd_vec_tst +# End time: 20:57:58 on Mar 07,2023, Elapsed time: 0:00:00# Errors: 0, Warnings: 0 +# vsim -c -t 1ps -L cycloneive -L altera -L altera_mf -L 220model -L sgate -L altera_lnsim work.EqCmpDemo_vhd_vec_tst # Start time: 20:57:58 on Mar 07,2023# Loading std.standard# Loading std.textio(body)# Loading ieee.std_logic_1164(body)# Loading work.eqcmpdemo_vhd_vec_tst(eqcmpdemo_arch)# Loading ieee.vital_timing(body)# Loading ieee.vital_primitives(body)# Loading cycloneive.cycloneive_atom_pack(body)# Loading cycloneive.cycloneive_components# Loading work.eqcmpdemo(structure)# Loading work.hard_block(structure)# Loading ieee.std_logic_arith(body)# Loading cycloneive.cycloneive_io_obuf(arch)# Loading cycloneive.cycloneive_io_ibuf(arch)# Loading cycloneive.cycloneive_lcell_comb(vital_lcell_comb) +# after#33 +# End time: 20:57:58 on Mar 07,2023, Elapsed time: 0:00:00# Errors: 0, Warnings: 0 +Completed successfully. + +**** Converting ModelSim VCD to vector waveform **** + +Reading /home/tiagorg/repos/uaveiro-leci/1ano/2semestre/lsd/pratica01/part4/EqCmp4.vwf... + +Reading /home/tiagorg/repos/uaveiro-leci/1ano/2semestre/lsd/pratica01/part4/simulation/qsim/EqCmpDemo.msim.vcd... + +Processing channel transitions... + +Warning: LEDG - signal not found in VCD. + +Writing the resulting VWF to /home/tiagorg/repos/uaveiro-leci/1ano/2semestre/lsd/pratica01/part4/simulation/qsim/EqCmpDemo_20230307205759.sim.vwf + +Finished VCD to VWF conversion. + +Completed successfully. + +All completed. \ No newline at end of file diff --git a/1ano/2semestre/lsd/pratica01/part4/simulation/qsim/work/_info b/1ano/2semestre/lsd/pratica01/part4/simulation/qsim/work/_info new file mode 100644 index 0000000..bb7ec70 --- /dev/null +++ b/1ano/2semestre/lsd/pratica01/part4/simulation/qsim/work/_info @@ -0,0 +1,150 @@ +m255 +K4 +z2 +!s11e vcom 2020.1 2020.02, Feb 28 2020 +13 +!s112 1.1 +!i10d 8192 +!i10e 25 +!i10f 100 +cModel Technology +Z0 d/home/tiagorg/repos/uaveiro-leci/1ano/2semestre/lsd/pratica01/part4/simulation/qsim +Eeqcmpdemo +Z1 w1678222678 +Z2 DPx4 ieee 16 vital_primitives 0 22 G>kiXP8Q9dRClKfK1Zn7j1 +Z3 DPx10 cycloneive 20 cycloneive_atom_pack 0 22 WOh:M[al;oVzG5c`D0 +Z4 DPx4 ieee 12 vital_timing 0 22 J>EBealN09f8GzldA[z2>3 +Z5 DPx3 std 6 textio 0 22 zE1`LPoLg^DX3Oz^4Fj1K3 +Z6 DPx4 ieee 14 std_logic_1164 0 22 cVAk:aDinOX8^VGI1ekP<3 +Z7 DPx10 cycloneive 21 cycloneive_components 0 22 zGMDhP>8e@2k@f0e0 +!s100 EAzGZnge^cK[[`MOG6]P?1 +R10 +32 +R11 +!i10b 1 +R12 +Z20 !s90 -work|work|EqCmp4.vwf.vht| +!s107 EqCmp4.vwf.vht| +!i113 1 +R15 +R16 +Aeqcmpdemo_arch +R5 +R6 +Z21 DEx4 work 21 eqcmpdemo_vhd_vec_tst 0 22 b:JYio=d0EkMR9d]fR]j>0 +!i122 7 +l45 +L34 110 +VjJYZm]EZdnJY9lR<;VGY63 +!s100 aKZFbkF_ioJomXi5[`cD`bFC`UBKAVK81oX[5d;^2 +!s100 iaEzY@oUOcmJQf1 +R10 +32 +R11 +!i10b 1 +R12 +R13 +R14 +!i113 1 +R15 +R16 diff --git a/1ano/2semestre/lsd/pratica01/part4/simulation/qsim/work/_lib.qdb b/1ano/2semestre/lsd/pratica01/part4/simulation/qsim/work/_lib.qdb new file mode 100644 index 0000000000000000000000000000000000000000..2ad73fc7fc6353dfa91523b0896e6da18433336f GIT binary patch literal 49152 zcmeI*Pi)&%90zbe$7`CE{gtY+HSy0y>e5P8Aypws|EI0nM!=>`En-M0vJ`EGdd02uJz5kH5W_$TuXf!&}z29kh8=m`wE_ zqQ8U#a$2Bmx-X|`ntG`W8WH;;009U<00Izz00bZa0SG_<0uX=z1Rwwb2tWV=5P$## zAOHafK;ZusxT=Q2LqzP_c}vqvMp-LXE)+|K+1ij+&Z(JARoToezo06u-O8BO*e@28 z?CDK)T|GCU*j4TQLZhOU4P{e(d2>Q(+cb-p4Zq4N@{B)5N!vF4?$+t-*)7#Qk#~ae z38lH)J%Ky+_;}(#-;l~zq>Gh;v2X5{ik6Yn8dlZm=USJYn`&+LC8XX;60MR07g%^` zaFAVn+Ql*~K1E9tJBj!nW$dDHsX6yOt<*3Q{;Zt-l;3YohZjsJZgzY;F7^%04hGJK zpCOm9&m7`khJO1CafrXe)DY+o`ibt*Cv=0}n-jYNcPqhi!Gt5~fJOH!H%)=u3pcdDgAuD!l+A|yS_gql&$8x^bL(22vM z6cYtI?fis77+}(HB(i7JO+M&Beyw5J7w7}pr?=?=eM7hD&qpSJE(9O|0SG_<0uX=z z1Rwwb2tWV=5P$##AOHafKmY;|fB*y_009U<00LbFj;J6l`hVyMU;lT0ZQ!o|ivw-z|A(T#3CE+mLi8*8p6<{a(HnH0 z5)_etls}8^%J0dq$fshz#y*Yh#};BS9>fa*5P$##AOHafKmY;|fB*y_009U<00Izz z00bZa0SG_<0uX?JCoq=jk1&ddBr#*`=F7E$QLdU+y^*&Xbz`z-mKobGgm1mXLgTYM zIbtVgceHvTrsRYkT=>S>L^AlvAVbaH$O*aE9CPD+7m{ ztkqu=RriWh>t5x0PnBkQ{flPhnpe5jOJzZ`B1_GyRlQ2Jr%K%nyC22C)T&)+`>uAa z^r;>)~-;`}_zt(vw^obz3G5#mc;aH&g>&-rLybHqh2 zxY#YIn@`AY@YI4Gw5Pbx3bs#+z1qypd%5{8IX;z#ZfBK>|X34J&4_=kLhcw(YyA)$NjUz{2>4V2tWV=5P$##AOHaf zKmY;|fB*y_009U<00Izz00bZa0SE*O$Y+E>=00AaRNdY&r>$o$PxH99v-Qk@?65R4 z%DUaot((aSDVbpY4e9^-41$f`!~C%WJ%{;?>TA7+byGbhB}cnobNij@tG&c@b7fef zxIbFQo%YLt$INmj=op{QQs6P&Ts$dJ`_;D|HlbMyL5Fm6J}QmG+djjN%bW{7XqIOK T5AqA02|lQs>A>%eW~KfC5Xvlr literal 0 HcmV?d00001 diff --git a/1ano/2semestre/lsd/pratica01/part4/simulation/qsim/work/_lib1_1.qdb b/1ano/2semestre/lsd/pratica01/part4/simulation/qsim/work/_lib1_1.qdb new file mode 100644 index 0000000000000000000000000000000000000000..10c7db041f3043450771dcb98b8c30900eaf81eb GIT binary patch literal 32768 zcmeI4Yit}>8HVSwm)TuAjYAx}nHir=VkfraIKHgywb!?`yjd7m?xojLPpHh<>Lb}o!3XP2kug5#s7gQYEf%3o^x9fU(dNMCO>9x{wp@X2G{@_U;}J`4X^<=zy{a=8(;%$ zfDNz#Hoykh02^QfY=8~00XDz}*Z><~1OL&$nyz`XY+GIHTNxRhO^&RlMrRksW;RoA z?v6zhv0x(F)Da7AZVl#7OwA^z#)Iu$iC8?=T@+kSF8U{Ep*}}xca&qN^c~4jS z(Vp1*N06T^C^)ElvYKsGnVKI@rj{3Hr&f|fBdaS5at?A9HB`Du^q}3KYZ_3!S*EG3 zWo)jV7KXhjw2!WxHhy&J!6V)6ozd>T;Ne(bQ81ZG&YfAAADK%A6S3YzLAv;}vQ0eY z5jVxJ#LvZR;#Khj@uK*axGt`VE8=PKl=z3ZFa99zh+Ei|Uu=L4umLu}2G{@_U;}J` z4X^<=zy{a=8(;%$fDNz#Hoykh02^QfY=8~00XDz}*uZ}`pf~IIPxei^@=%E@D#u1S zHpsDFj&*XZm19JXHFB($V_1$=Gzz^9M-zG+qoTLch?g52lUrIarduy6dFG&EUAL}S zm#lT`oOQ;Uu==fTtIevnLRO(=TN#$yd|=)+e`~&FzG2=lUpBvMK5t$%zhqu8Q|630 zDDH`*=oiOCOoT-d_>=IyhgE&(?OZ>PY=8~00XDz}*Z><~18jf|umLu}2G{@_U;}J` z4X^<=zy{a=8(;%$;D0p`Saz$1rne~9lqY@>Sdx=w^{{f`@xY>-G-;QW^>@?GxK< zqlTJN)>6g-W`-K|4jJM3^?REX7oFxcN?c1(vEsh$^}M_c>8c3LybJ*@0BO4 z$;s@yqO2lNEzyqspfaM&LQp=@_5x52(Wml3+ljXA1Nn(E_JS0m zt$AWe`76;wxu8E0ZP^35O_aVH^cGRtF3>ND0zuGgM1BGKA(1Z!^c^C@23;reTA;5H zc}&n3iQGFupCxiV4EhX_o()jtjialoc z+dX!d9k(0puw7#3+d(_q-fA1RVm+|_V!dPi&bnpYwBE3OYW>LizV&VEdFxs0%hqM< zbJn_eATEiE;&G7@i((r5Nq9fNsy_6F0e-OoHoykh02^QfY=8~00XDz}*Z><~18jf| zumLu}2G{@_U;}J`4X^<=@P9Snp~vGb%QBjmWHc>$U8<@^jWbSq7o7CWJL#Tt(lzU( zKI5b|jgR}(=#)H4bW%p+X&DU@GU}5u>c(Z%j>!*3e4|bpBTjmUo%9Sj=^k{_HQ=P) z@1%ALhw-VAlk#YhJ{dK=GOAC=2p^YG)gv}EuUeUqQBiXYnTf7KH!>Yfg`-F}dJ0Fx zhWZ{_3XdS~qodG;yo-iHC-QCd6FQK$&`vmvd=uS-L&(?BOlU{mKrf*U`3hPIapX(r zB(x%5KqDcBd=7nt7UWg55t@-3=pr;BpF|TOioAdxLL>4qv=ADQtLPxqBj?aSs6!^v zKd40xpnVWQ_Mm%EgX~1}pc)xN?;wn zWyo|i4N8%2^bAVGhVmYs$sa`C$0PY-L7(RNPWKXEOEsZWicm0RPF%4l81_ibD)4{Sm9qZ^QpOrRN%hU`EufVu)LXa!JH vpcb6~>IsC<2%wfg5&8hs5y(XwfEof88UWM}*pBUpbZka=)G~Rh*vh{Ev8PeZ literal 0 HcmV?d00001 diff --git a/1ano/2semestre/lsd/pratica01/part4/simulation/qsim/work/_lib1_1.qpg b/1ano/2semestre/lsd/pratica01/part4/simulation/qsim/work/_lib1_1.qpg new file mode 100644 index 0000000000000000000000000000000000000000..70fbf25621c5f07f73b8ccb700776dd42f0fde10 GIT binary patch literal 794624 zcmeF4d7xF(|Az0aTiqs=Lgpfbq=`Z(8i|TjXwpFQtU{5**$63;gd~Izg;EqkDnua) zsgNc~QY!t{+V?$vyY)R=w&&k<|L|D*oc(#;^R6@8Zg-QF<-dFbz5(BWZ@@R;8}JSI z27Cj)0pEacz&GF<@D2C|d;`7#-+*txH{cua4fqCp1HJ*@fN#Jz;2ZD__y&9fz5(BW zZ@@R;8}JSI27Cj)0pEacz&GF<@D2C|d;`7#-+*txH{cua4fqCp1HJ*@fN#Jz;2ZD_ z_y&9fz5(BWZ@@R;8}JSI27Cj)0pEacz&GF<@D2C|d;`7#-+*txH{cua4fqCp1HJ*@ zfN#Jz;2ZD__y&9fz5(BWZ@@R;8}JSI27Cj)0pEacz&GF<@D2C|d;`7#-+*txH{cua z4fqCp1HJ*@fN#Jz;2ZD__y&9fz5(BWZ@@R;8}JSI27Cj)0pEacz&GF<@D2C|d;`7# z-+*txH{cua4fqCp1HJ*@fN#Jz;2ZD__y&9fz5(BWZ@@R;8}JSI27Cj)0pEacz&GF< z@D2C|d;`7#-+*txH{cua4fqCp1HJ*@fN#Jz;2ZD__y&9fz5(BWZ@@R;8}JSI27Cj) z0pEacz&GF<@D2C|d;`7#-+*txH{cua4fqCp1HJ*@fN#Jz;2ZD__y&9fz5(BWZ@@R; z8}JSI27Cj)0pEacz&GF<@D2C|d;`7#-+*txH{cua4fqCp1HJ*@fN#Jz;2ZD__y&9f zz5(BWZ@@R;8}JSI27Cj)0pEacz&GF<@D2C|d;`7#-+*txH{cua4fqCp1HJ*@fN#Jz z;2ZD__y&9fz5(BWZ@@R;8}JSI27Cj)0pEacz&GF<@D2C|d;`7#-+*txH{cua4fqCp z1HJ*@fN#Jz;2ZD__y&9fz5(BWZ@@R;8}JSI27Cj)0pEacz&GF<@D2C|d;`7#-+*tx zH{cua4fqCp1HJ*@fN#Jz;2ZD__y&9fz5(BWZ@@R;8}JSI27Cj)0pEacz&GF<@D2C| zd;`7#-+*txH{cua4fqCp1HJ*@fN#Jz;2ZD__y&9fz5(BWZ@@R;8}JSI27Cj)0pEac zz&GF<@D2C|d;`7#-+*txH{cua4fqCp1HJ*@fN#Jz;2ZD__y&9fz5(BWZ@@R;8}JSI z27Cj)0pEacz&GF<@D2C|d;`7#-+*txH{cua4fqCp1HJ*@fN#Jz;2ZD__y&9fz5(BW zZ@@R;8}JSI27Cj)0pEacz&GF<@D2C|d;`7#-+*txH{cua4fqCp1HJ*@fN#Jz;2ZD_ z_y&9fz5(BWZ@@R;8}JSI27Cj)0pEacz&GF<@D2C|d;`7#-+*txH{cua4fqCp1HJ*@ zfN#Jz;2ZD__y&9fz5(BWZ@@R;8}JSI27Cj)0pEacz&GF<@D2C|d;`7#-+*txH{cua z4fqCp1HJ*@fN#Jz;2ZD__y&9fz5(BWZ@@R;8}JSI27Cj)0pEacz&GF<@D2C|d;`7# z-+*txH{cua4fqCp1HJ*@fN#Jz;2ZD__y&9fz5(BWZ@@R;8}JSI27Cj)0pEacz&GF< z@D2C|d;`7#-+*txH{cua4fqCp1HJ*@fN#Jz;2ZD__y&9fz5(BWZ@@R;8}JSI27Cj) z0pEacz&GF<@D2C|d;`7#-+*txH{cua4fqCp1HOTD12u9Q*2&5$l+UiS4Zkdwm6cEH z{9=w+!0?8GSy=@QSD5=1GTdp_xrWD?^9vhZZCJ#xqhV1)gREnIR) zxt%Sm)bDYLwKSdw#?E_Qb4w1uEq+f444O!ueT-cHV%2o!i^{ z_v~|BH_J}#t5&r3x?%pauc;T_uXoedwe0=cwQShfvTBze%`9tI z&uV7RYnJCLaJ;<6lVxuo*k#B-%Wi$L21e)KSifoqd%Q`rK20pIYgezeW%Z^vwzI6! zpnI6>;_7+1(({WRV7}c)^Y{yv(fyJ>{_qK5&bQA4yN~tzU6{9TwJHy?xdvaG$F2jj z2Bja5x!=6-?uI+|?c0}?mA}}JdCdM@>oHny*ZM@Qf75#2U*UP#+q7=3^{-n0xHs?i ztykYf|Za1;*<1eZnivFPWI&rPIM*Ln}Eq*6{D}E!c5?6{}i(iQ=#4p7!#Lva$;xh3w z@l$cB_=)(j_>s6oTr4gUKNJ^=ABgXZ?}-bwR`M|?w^EzS~O z7he-!6=#Yw#8?QUTdx+h|ZemyQ zI`LYui`ZH0Bz6?95j%+O#dcy_v5k1Oc$Iji*jj8QwiK@rTZos7mx;~AW@1zEQt=Y8 ziFmPik=R&lBsLTqi1o#K;)UV`VqLM0c)oa^c&=DmJV!iRJWH%4))dba&k$>f)x~OJ zRk4bAx>#8}O{^rIDxM;qELIdx61R&dh!w=+#pA^CVma|x@fh)F@hI^~@d)v7v8;HQ zc&K=YSVk-@9xRp;ONu4LgT&%uF|nvvL@X@kiiO02VgWHn%rE8>v&AfN-(Pv3pMQya z#XaI~@lSD=xKsQ?+#&uhZWn(Ow~4=sTg6|*E#hWzlekgbApR__7k?6e6n_xciEG6* z;`ice@jLNb@f&fKxKjLD{7PIQekpz-el9K-mx-T=pNdPxPsESKkHjV7VsVl9p}0`| zKzv_(Ph23rE50MXEzTEz)AQght=|;qigUy_#M$C3@pbVv@l|oAI756zd|8|>z9haV zz93E$pBJAKpB1Ny&xlWpPl;2+C&kI)6XGQCaq%(nQE{U9h&VxfSR5}tB#sjw6dw@B zietq4#nIw@;=STM;wbTM@hk!66mJlR zh=avJ;`QP{ae&xg>?igW`-r{8USdzNhuB^0CUzCC6R#D!h@HhwVn^{Bv4hxNY$vuA z+lW_-SBY1Ot;JSiOYsV^g?PDmnYc}_w`N*56)zPp5u1n?ix-KF#YSR7v4L1$tS4S5 zULe*L>xk!z=ZWWvwZ(J9v&FN-T4GJ{Oz{k{hFD#!CRP=zh^LE{#nZ$};;G^(;>lt~ z@g(s?@dUAgc)WO=SY9kA9xEOr9xWav9w{Cn9xj#@4-*d+4-w0VrNx8AQesK5gm{ox zTr4IQ6^n?4#aywFSWqk==7{;ld}6kkCGOj+&wp{RxJTSA{weMfcZz?AJH+3`?c#6Z zHt|<+tN4q!McgcI5;uw)#Gl3W;!onQdR_gX^*V8_xJLY5TrGYlek*<>t`b*@UyEOf zE5t9wFT~Hq<>E5&Gx1Y#srZTbvG|d=L|iN`5gG#COGa#J9!y;ym#! z@lA2AI7fU#oGs20Ul(5!UlnJHGsIWKm&NJgOX7>-3*t2KdGR^%S#hfPjQF(plsH9v zQk*P4Ax;t>7atQJ6(@?1h!ezz#qr`p;yCd^@d0tHI7Yl*94+1_-YecCjuP({?-K76 z12HAuA>J;I6mJtph_{Nv#jSeX+^qF5aj1Bcc%yiOI7A#Q4ic{y2Z{s4{$f9|uh>WI zE%p+7iao^cVmGm?c%68y*hTCtb`m>^*N7d&_F_A+t=LAqTD(fUQfw`@5?hK_h%Lm+ z#mmIzVl%O+c&T`a*hIWoyhv;;HWC|(4aE9lJ@G>E0StazAssCbB2Ml3BJES3^WiY3H@#NuKxv8Y%?EG*`Vg~Wnl0Wn9+ zFXj`o#Vm2(9)13cd&NECZt+iXm$*~>L);<$E^Zfp6Ss-Kid)5B#4X}xag(@F+#voe zt`~n2e-wWZ*NJPzHRAW;YVkYqTk#ulmAF#;TKq~}A$}=-A$~3{7ng~jiJyu~#ZSbK z#gD`#;$m@;_@TH^{6KtPd{0~;zAL^XzAerd=ZSBLZ;ErpIpQ1QY;l(Oy7-#-syI`e zA-*EMEKV0+5?>Uz==C^F>*vMi#An5+;xppY;#1-j@kw#A_=Gq~d|Z4?d{mq$J|a#K z9~Q@p4~gT%2gL`(vEmrv?hka)c~P#hrk7yF5Q#Xe$hv6t9W>>+j+yNO-J>%?otE@Ee~ zlh{$bM(iNA7u$(##Wv#A;#K06Vr#LL*iyVgY$0AQUM4mdn~6=uOT|mXCgR27MPg&I zk=Rh&tk+F_t?P*wiWi7=#X92o;(6k^Vr}sp@oe!dv6fgbEQ9MaJQ9MDcARaFsCzcn>iN}h^h)0V@iARb@h=+@1#lyry#Y4n0 zVrlVUv6NU+EFm5w78i?&Ma3dwVKG-MBo-74h&f_@F`t+%W{LZD>+@gSEAA0@i+_r{ z#GT?F;tuh5al81NxJ~?3+$#PeZV@+&o5YRc2JvTcz4(*(qxgfkPTZu|#Tu=@7gvkl ziQkIfh^xev;@9F=;tKIg@eA>Dak;om{7n2*Tq=Gdek^_@E)f@ti^LDbh2jU|`{H}z z0`Xn(9r0~(zBo^OOMFwDE6x$$5NC_C#Mi~w#8<_c;tcT>@nvzk_>%ad_<}f1d|rG` zd{&$)J|jLYJ|#{OpA;vHPl%Jm$Hm9QN5zTaBjN<{VR5|pkT_0!P<%ifD~=KG7e|Zt ziT8^4h@-^2#k<5i#XwAncZj!(BgNaq5#p`laPb!LW^tolFGIDyNxV_KK^!6u76*yf zivz_0Vt=up*jMZ$_7;1IJ;fekcd?t;RlH8TR_r2n7CVU@#cRY4VtcWj*j8*KUM*fF zUMaQ~TZt{jE5sJ!<>F;xbFrD&RJ>HYL~J5nEM6ow78{8T#Rg)1v7UILc!5|~tRtQ; zo+q9w))vnZ&lb-TYl$_*GsQE+8e(;^npjn=BAzZ*7Eco^iKmLEh$o8`#goJn#S_E| z;_>2fVtKKgc&xZV&-z$Kprg5^=G(Nc>P-D1IQmFTN)(5Z@Kw5#JW)i}S>{#5cvc;vDe} zake;1d|iA^{8_J~nOe^fUlCsxr;9I%FN!aS)5Pb+=fr2lsp2!@)8bR&6!A%MviO8J zNqk&x=co3&jh>x?&yieDOT-T(P!zj(E0smRL)yDV`~w zA=VJ9i`B%cVioapv9frYSV=rpJViWNtSFu&o+zFmRuGRDj}yy_<-}vfW5lDyqr@Y{ zBgDhSvf^Rlq2eK88L_l@uvkhgDV7iq5{rw)#G+ymv9Opc77`1J1;iXNznD+V7PG{C zyY%@l?iKflyTw1nUE)sh4{?Y1ySQEaP248_DsB~j5x0n&#ZBTy@h81Le%5+@`ndR` z_=C7kTq~{-zZX}F--+Lf--xTkmEzapSKBrXvb zi;Kh$#f9Pr;``!z;sWtq@g4DPalSZDd`o;&oGZ=|-weeG zd{BHq94n3y?-xgl_lft4_lTp!yT!Z2JH&-=u!MhUWUC+$=+LeO9i$o-NBBH&<-si_jjm_qPns z*LsNI?tQuc=(9QgZLSlQ>+)7v4b62>xp~)J9XQX4$}{C(=IK^-|M~wmpS=#x_OQ(q zW&26f9Nw>=^!?_WV0`z`Plj0^0V{n=CJci6=3HtgXhmSm*S@1$L+o(?f=d~?EL1r?EGU3v-7`$ z=g%m@{I?Zl=U-Cn-<@yYSJJP$H0|r}471^xUf*%|uURPB{c9CScK@>y&ObZh{Bsh{ zubpuIxe4c=mvH|13Fp^IIKOVf`4=Rde__J;^%BmnpKyMIg!3CFoZl$n{Kg6AUzBkE z#R=y(NjU$Kg!3;=IKOGa`OOl}Z=P`eWeMkBo^XDPg!8XRIKO4W`K=PpZ=G=dl?mrx zm2m#m3Fo&-IKOSe`Rx+UZ=Y~}hlKO5NjSe_!ug#N&hMOXewT#vuT41rx`gw)CY;|b z;r#9i=l4iBzh}bvy%Nsvop64ig!B6*oZm0u{Qe2&4@fwFV8Z#=C!9Yh;rzh~=MPCZ z|AvI~Z%jDKLc;kkCY=9L!uit^&VM=K{8tjrpOJ9>%!Kn_ zO*sFxg!5ldIDb~c`Lh$we_O*sF}g!A7@IDcNk`STObe>>s)cM{HjH{tvR z3Fp6;aQ^!V=YNoJ{=$UwKTJ4(QNsC)6V6|faQ;UL=YO1V{wE3NFHJcA(}eRsOE`a7 z!uiV+&i_2&{4WyD|1#nH6$$5mm2m#o3Fog&IDeIX|IGb;l(@ei|0d@5U*hinUBbVA z|2|>e|^IFKPQ~OA>sUu3FmK0IDd1(`CAgs|0Uu4 ztqJG`M)Qeza!!NKN8O0nQ;EDg!BJQIDdD-`Fj%1-Cj&V`l~{JHR!Jn z{WYNf4Cp@-`fEaeE$BZB`p<^`bD+OA^q&j;=RyDZ&|e4o>q7qp(0?KH*Mt80(BA<1 z8$y30=x+@D7eW8U(BB05FM<9`p}#5gH-rA>(0>{9Uk?2(p#KW!ZwdXapuaWrUkUwJ zLI2gz-v;{ILVr8xZx8()p#K`^?+E>!puaQpcY*$Eq5nGQ?+X3hpuaox_kjMM(BBLC zdqaO8=E`hyF>>{{-|;hW;m^e+u+J1^rJ$|1;1( z75blr{^y|odFY=8{VzcOi_rfP^iPNWm!ba^=$`@oGok-g=zlFcANu|2*Z<{zzc%jo zw`XVPNB%kR@y~_*zX|KU8 z{}Sl`2>L&U{!gHPDfE8|{hvYqGU#6p{hves7tsGD^sj*aub}^H=wAu_tDyfI=>Hb_ zzk~kO(EmO3uYvxx(7z7)e}MiUq5mi7Ul091L;nWo-w6Gipno&;Z-M?_pnog${|f!v zp#L}M-wyr1L;nuw{{#AWLjNx4{}cLmL;oJ=-wXYJLH|DJ&&mg{zt0D+zlZ+((4PbS z1)#qm^cRBuT<9+h{Y9X^DD)SD{^HPo5cHRT{*usN3i=O*{?gE22KoLc@4*fNt{|x9q6Z&gHe=X=g3;NH7{&S$eHuRqh{pUgd z`Osen`s+gf1<-#X^w)#_`q1A1`Wr%jBj|4o{TD(1#n9gb`Y(b0OQF9h^f!b4=Foo` z^j{ABEujAj=x+)At)Ra(^j``6S3&>P(BB67+d_Xk=x-1G9iaaj=& zYoY%-=s-Jria^!I@Np3vV5`g=ovAL#E3{r#Z7KlBfP{(;bcJ@gNP{=v{c1p04) z{u`nHCg>ju{llRDX6U~K`iDdRtU4N6xmxHdKxicTT{^oA@ z_(#G1?}7e%q5nSU9}WHYL;o1)9}E2tK>vf#KMwjIg8uQ)|1k7Vfc{6Iejk)B=k>#{->b-Y3P3j`lmwwv(Wz>^gj>%)1dza=zkIVUxNPW(El>@ zzXJU;pnoRxzY6`YLI3N}KMVS2L;oAlKL`5fLjRl4{}%MmgZ}x@|2Fi$1O4wp{{rZL z5BlGS{tuvkA@qL;{fnS~G4wBi{*R#lW9a_``jH1(zlQ#m(7y`$zk&X5q5nJRUk&}=L;o7+Ukm-~p#KNx{}K9sg8uc;|1Wfc`(Ae<$?sg8o0De>e2+f&RVF{}=S{ zgZ`}i@cR4w@cMh`&ky}M&|d)h3qpS(=+A}z!q8s?`inw;G3YN2{RcsR3Ft2g{iUG) zVCXLm{biv45a>S?`VWKtve17x^dABJM?(Km(0?@a9|QfzLVr2vFAx34LI3g4Ujh10 zfc_Jq|0L+I2>mBR|0&RaD)d)^{?nkpGW4Gg{Z*j9D)d)_{_4*5Uk&|jpua8jw}bxn z(BA?2uYvxK(BBFAJLhNDzjevau7A5OKfC^|8+`oTVgEg#zbEwfg8tsn-v|2pLVrK# z?+^V0pno9rUl09*pnov*4}tz0p#MhbzX|$>LjN%6zZv>(f&Sspe=GElfd1Q{ePzz67)X-{ga{pN$8&f{ZB#v)6oA6^iPHUXQBT&=zkvi zr$PS<(ElRzzXbi$q5oy*e+BwyK>tkWe--*)gZ|f{e-`x5hWG!xzl8o3(EkO)}=ZF3r=q~{M1);wX^yflu1oW4L{!-9?F!Yy({xZ;i2=pHc{f9w+S?E6;`j3GABccB&=sz0zkAePU zp}!pTmxuo2p#OO2uK@igK>vx*e-iXpg#MGE{}kvy75Xbd|7p-)8TwC${wmO475b|| ze|6}u0sUt{|C!KV6Z&gG|5?y~HuRqZ{k3!0^;hTSuqCD7=x+%9jiA3V^j`%17ejv&=)VN|FNOZ5(BBODn?wI)(0@7fw}AdDpuZ*bw}SrG z(0?WLUj_YFLw_6SZwvkHpuauzcYywDpuZ#ZcY^-T(BB36uZ8~Wpua2hcZ2@!(BA|4 zdqRIN=Q?r=UN8{yU-nF6h4-`bRmL z=zjzH=Rp5l=zkOX--7;m&_5sg--iBop#NRyUjY5@LI3;E{{i$bg#Hhqe-ZRAhW;hc z{}J?m4E>)#|5E7x6#74d{$HY^w?Y4J z(7zq}e~11Z(EkVY?}Ywc(Elg&?}q+8(7zY@|APK~(4SQRUVmQzUVjh$`Jq1t`U^mR zLFg|8{khOz82XDqe^KZ!R)Af9Q@jAX{-#6$cKuB$`1lWo{g;ORGSGhr^dAcShe3Z? z=sz6#kAVIoq5ml8KN|Xvf&OEmzZ~?JhyLTB|9I%H0R1OG|B29l67*Mu{*$5q6zD${ z`YS>IY0zI8`cH@cD$rjQ`l~^Ib?C1F{bxY`nb2Po`fEY|SW^Ukd$Ap}!gQH;4Yq zp#O5{Zvp*RKz~c!E)T^bdyqA<%yV z^xp{mH$neU=pP3CH$(p|&_5jdZ-xF5(0?2BkA(i)q5lr(PeFeG{dYqDUC@6w^pAr6 zd!YYb=)Vv8M??Sp&_4$H$3p)D(ElLxkAwb)pnp8{KMeg7p#Kr*p9uYrLjPmX|2Xtd zg8nC8A(Eld%zXkpCpnpE}zYYEGK>xeYzX1B*gZ}rS z{{!e>2>l;I|03vL4E;->|0C%C82lzYIS9<*@(Hq5li${}TFFK>t_J z|26clg#J~~{|)qi3;o|g|7z&}9{Sfn|61r@2mL=l|BulB6ZEf#{-2?L1N3i%{!P%o z8Tz+C|1Z$L75aaL{%z3z8}x67{@^8mxcbrq5lZzKN9+ng8rkS{}|{$7W&IUe|hLX4*HLW{tD240`#8< z{UwxC-xT_rL4R}TzYO{>hyE7Oe+Bfng#K30-x~U_g#N3b|7z%O1O08Gza8|qhyD)G ze+~3^g#J#@-x>P5K>xMSe;xF9h5l~P-yQmUKz~o@?*;w6p}!CG_l5p`(BB{W2SEQo z=)WHN2SNW}=pO?8H$eZ5(0>#34~70=(0?=Z-va%^q5oFs9|8ThLH|hTza9GTfc_Np z2he{f^xp;jcSHXu=)VX0?}h&Rpno*<-w*v`pnoj%KLGs?LjO4Ee+c@=L;u6jKLPq5 zf&Pim|0wi72K|pi|0L*t0{SOI|C7)^1^Se;8R(x1{m(-GbI|`h^iPBS7oh(| z=zj_Nr$hhC(Ekeb&w&1!1=;`q=+%Pk|9|v)LH7SYnhhWS8?gU5&_5UY--Q0Rpno3p z&xiiEq5mD|e;4`}K>vHt|33780R0Q0|3m0s1pSMle+l$|1pOaF|0mGD6#74f{?DL) z8T2oQ{?DQR3+Vq6`d2{zSJ3}8^sj{eRnY$p^nVNe-$DOs=>H!2*FgVT=wAo@KS2MF z(Ek(kuZRAhp??GPZ-o9$(7ze_w?O|d(7zS>e}(>S(El6sZ-@Thp??ST{{j6wp???j z{|WuOp??qb?}h%qpno6qXBC3i-xq?{-$Q?X=+A-v0?=O&`U^pSF7y|M{vyy{6#9!n ze{twP2>MGve@W;s1^ov@e`)A11O10U|Dn)-81$Eg{==dF2B-p9uXYL4QT)KN#pzZUeL1^s73|2fcK8~V?M{_~*!eCV$O{dJ-L0_eXG`s+b|eduoh z{SBeN5%f2P{)?dhV(4!I{g*)hrO@9L`kO(2bLhVe`Y(t67SMkM^tXimR?y!X`mcok ztDygC=x+o4ZK1y%^tXrp4$yxM^ml~*PSD>O`ny2?wa|YZ^mm2+ZqVNy`g=fsPw4Lj z{k@^T5A^qi{(jKkANmJC|3K)!9{LAC|6u4J0{u5Y|BcXp6Z8*-{$bF6GxXmA{llUE zR_GrA{kK8?$U^M;o7)Sq>u*wp*!4Ge!pDCX?Eh})9|isQK>xkae;@RZhW`7Ze+=}G zh5iSi|3T;<2mKF0|9I$s82Tqb|0B>p5&9p6{>PyIap<1}{ZByuWaxhq`lmquQ_%l3 z^gjdrQ=$J^=zk9SpNIZw(EkGTzX<&=LH~5H7*mqGt>=>Hu0zkvQPp??MRe+B(tL;p(XUj_Z&K>xSU{~h$N zhW_uNe+~4nh5mKW{{!^@2>m}n|9a^E8TvOs|3>KF1pS+#e+%^g0{vT||5xbW2K~Q5 z|90sA9r|}b{~yr56Z&^S|DVvm8~XP^|6b_-3;Op#e^xHM{yrC8e-Hinp+5)u3qXHC z=r08QxzJx2`inq+QRpuQ{l%gGAm}dv{UxEl6!aeq{iUJ54D=rY{f9#TVbEU|`VWWx zBcT6C=sybjkB0tZp#NCtF9-eQq5nAOKOXukK>rEQe!puaQpcY*$E zq5nGQ?+X3hpuaox_kjMM(BBLCdqaO8=E`hyF>> z{{-|;hW;m^e+u+J1^rJ$|1;1(75blr{^y|odFY=8{VzcOi_rfP^iPNWm!ba^=$`@o zGok-g=zk6RUx)r#&_5gc-+=x(&_5UY--Q0Rpno3p&xiiEq5mD|e;4`}K>vHt|3378 z0R0Q0|3m0s1pSMle+l$|1pOaF|0mGD6#74f{?DL)8T2oQ{?DQR3+Vq6`d2{zSJ3}8 z^sj{eRnY$p^nVNe-$DOs=>H!2*FgVT=wAo@KS2MF(Ek(kuZRAhp??GPZ-o9$(7ze_ zw?O|d(7zS>e}(>S(El6sZ-@Thp??ST{{j6wp???j{|WuOp??qb?}h%qpno6qXBCFm z-xr40-$Q?X=+A-v0?=O&`U^pSF7y|M{vyy{6#9!ne{twP2>MGve@W;s1^ov@e`)A1 z1O10U|Dn)-81$Eg{==dFh{Ejpmm>?a>tBv8%&vbq7C!!Ru>bPVe;o855B(LO{{-ki z5&BPp{)*6lGW4GU{ii~ICFnm5`YS{K>Cj&V`l~{JHR!Jn{WYNf4Cp@-`fEaeE$BZB z`p<^`bD+OA^q&j;=RyDZ&|e4o>q7qp(0?KH*Mt80(BA<18$y30=x+@D7eW8U(BB05 zFM<9`p}#5gH-rA>(0>{9Uk?2(p#KW!ZwdXapuaWrUkUwJLI2gz-v;{ILVr8xZx8() zp#K`^?+E>!puaQpcY*$Eq5nGQ?+X3hpuaox_kjMM(BBLCdqaO8=E`hyF>>{{-|;hW;m^e+u+J1^rJ$|1;1(75blr{^y|odFY=8{VzcO zi_rfP^iPNWm!ba^=$`@oGok-g=zk6RUx)r#&_5gc-+=x(&_5UY--Q0Rpno3p&xiiE zq5mD|e;4`}K>vHt|33780R0Q0|3m0s1pSMle+l$|1pOaF|0mGD6#74f{?DL)8T2oQ z{?DQR3+Vq6`d2{zSJ3}8{Qdit@b~Y(fxmzM9en(&VgKJl{~G9D3;pY${|D&*5&D0E z{`JuRGxTqO{*BPT3Hmof{}$-~1^Tx_|F6)$4f=nB{_W8JJM`~>{y(69C-m=v{y(9A zH}vm;{=Lxu7xeFg{;VSK`u8I6`giEh5B)jNUjX_GLVqFX&xQWN&|d`li$Z@f=r0ca z2SI-c=r0NVrJ(;{=r0ZZWuX5M=sy(t4}<=)(0@4e9|8SGLjO_Fe>C(T1O3NBe>vzc z5BRiM8r^jCxa>d;>U z`pv1Ukv?Cp#Ku+zZCkLLVq*pZw~#JLI35@-vautfc}=y-wOI$L;sb~e--p! z4gGDPzb*8)gZ}o=-vRosf&Pxr-wFCVLw^_OzZUwhgZ{42-wpb^Lw^tG?+N|Apuact z_ksSt(BBXG`$PW#=pP9E*F*mx=pPLIL!kc#=)V#AZ-V}z&_4|NZ-)L`pno{@-wORB zp#L`L9|`@pL;oGnpMw4X`tOAPyP*GW=pP0B_dx%>(0?EFkB0vHp??hYkA?mRp#MSW z9|!#pLH~H@e;E2FK>s7qKN0#Lh5pB&|8eM_1pQAy|77TY68fh=|5MQaH1t0M{Zpa; zS?GTb`k#mXY0&=y^uJhy{r^8+D#HH%A1@bS|NoB}@bS-t{l5zRuR;Io&_4_MXG8xR z&_4(I=R*IR(Ek?n&x8K?(Em2{zXSd6LjMBje-HZKhyD+sepwoe=+ngf&P!6 z|6}O?1p1dk|EJLZ8T2oM{^ijBIrM)4{a-@=3h4g|`oD($mC(Nm`oDqxZ=wG?=wA)} z-$VZz=wA!{>!AM!=>HM=e}ew?(El^^Z-D-d(7y@#H$(px=>G-!w?hA~(7z4(e}n$* z(EmI1?|}Y4pnoUy?}Gk6p?^2@?}7fk(Ek_o?}PrVqVW3rqVW2A=+6)RInZAK`U^sT zA?VMA{=(2-1p13Ye=+DU4*dr~e+lR>3H_y@|6u4Z4gF=H{}AXu6#5T?{<6@2IP@O@ z{YOIoQP6)h^dAHL$3lNO=r0fb$3g$`&|d-iPk{atq5mZ4uL%7oL;oqze=77>g8tK> zzcTcn4*gZ2zbf=sgZ}E!UjzEjfc`U~zb5q8g8s9h|7_?#2l{J6|GChA9`v6N{dJ(f zF7#gj{TD)iJ?O6w{SBbMA@nzb{>IRM5%gaS{Y{|%66n7a`kO+3Gw5#){g*-i<( z`mccgmeAh{`ddT)mC%0`^j{7AZJ@s`^tXfl_R!w}`mcfhj?mu;`a45^7wEqh`mclj zuF&5N`nyAa59sd+{k@>SH}v;`{=U%P5BmE<{{ZM82>sVX{~+ie4E;l({|4y45&Ca} z{-Mx64Ek>_%C5h;r6{}p=GLO@`kUL};~xq8za9GTfc_Np2he{f^xp;jcSHXu=)VX0 z?}h&Rpno*<-w*v`pnoj%KLGs?LjO4Ee+c@=L;u6jKLPq5f&Pim|0wi72K|pi|0L*t z0{SOI|C7)^1^Se;8R(x1{m(-GbI|`h^iPBS7oh(|=zj_Nr$hhC(Ekeb&w&1! z(Elp*zXtuUL;o!3pAG$QK>r-*p9}qOLjPOPKM(rnL;u^*{|@xO3;heA|2^n`ANoIl z{)N#0A@nbT{>9M01o}UM{*R&m6X;(G{hvbrXVAY4`j@?=>Hn} zS3>_P=>G=#zlHwqpno;=e-Hg@pnom&uY>*{p#Mkc{|WlnL;ugvzXAF;LjNY{-wgd* zp#K->-wOS|LjN}C{|)-LL;vs4zXSUJfc~A(zYF^Rg#O*ozX$sFLjPaTzYqGeioxse zi^1#fp+7(L=Rkh}=r0KUg`htd`U^vU5$G=p{l%caIP@O`{UxBkB=nbp{)3^vH1wB& z{zIVuQ0PAl`pZK9;n05s^dAZRM?wG5(0>f{9}E5Epuary9|!%%Lw^P6KLPqrg#MGD zzasRX4E?7-|EbVl3Hnci{>spQI`mh8{;JSl4f?A?e+}qA1NzT|{+iHV3;NH3{&4*e~l{|e}D3H`00zcut<3H?_=|JBgn2Kw7Ve>>=J5B(jW z{~GA;2>qR)zcciAf&Ocu|2pXJ3jN)nzdQ8zfc~D)-wXPCLw_IW?+g9?pua!#4}kuG z(0@Jj4}$)|&_4wFZ-D+Aq5mf69}4}$p#Ns*zXke-L;tPNKLYx1gZ`1we>?Qw0sSfH z51{`}=)Vj4?}q+S(0>o~-wXZsLH}szzaRR?K>t|ie*pR)g#K~R{}A+#hyI75e**MB z0{s)A|550F4Ei63{z=gP1oTga{wJY-3iLk({ZB*xGtfU3`k#gV=b-<2=${7tFF^l` z(Ek$jPlx`Oq5l==p8@?dq5oCre+~LyhyGd6KO6erfc`nqKNtGng#Ndne;)MDhyJ&r z{~hRm7y1`K|9jB?KJ10 z&!PVd=>HP>S3v()(El~`uY~?p(Eknee+&KJLH}y#{~r3+K>u3kUkCj^K>v@>{}c4D zhyI_Te*^Syg#Jy?zZv?sK>sh$zZLp_h5l{O{~PpghyLH8e+Tsc0sT9ne;4%s3H`gF ze-HHUh5o;we;@Q`6^GZ~7l+s1Lw|ng&w>5|&|eVx3qgM_^cRNyBG6wH`int-ap*q? z`b$86$>Qw#i&Dk+zy6|rzYBZiWEITqTdzyVKJBmRZ`Yl=X7$f+`1kF#Di5;92Va}V zt^>0M+1s{o%@o%{Cf%gV}MXy)Rqtlj%^bM4U_bNnxJJhwppJM!+AFFU75R@T0K zW%}CNv$FDKn`Ks`to+&UnLF&;SGuyfyP1!gKfM3A@NibXao3xFG54P! z@3@2V<*mcJncH)6^4116&)?^z®narS=svPzFDZn$?}>2YIArM!|465 zwD&T(ZT@JkaA~~h`Po^whPM|A`!7AgXtG9RWoMT$H1jHl>zwTD@n&svmCZXoF8%o3 zTIp_Wd%9Wm<<>a!D*XF8_H?MNIHo4M$%s0nv59`C*OHZ&l?ES^wW@*0i zWgleznPZ+;^9}9&Z!iaJ@Ah$;+aIyL*!zX&upJde9AF#ohYtk-!% z^45RvlkMBiO+QYvG++6~tt_19nPOJ<_H=(~#@#>t_>^zlQk}m}+#(v7um6Ak?KCUv zu`fhR%^CNDS=+~JbJ~6?8rpph*QU?B=W+gSJHppn{&B_3?RIXO>StU@%?)ohx2N~L ziaB7Phw0-5^t}500P~KHnO7rb-u45`J0)hG?K@Mx-wrUZX3V_WG4r+^U|zkLd39pu z{d$0T&12@(imD<&NzA-02beb~W?r+Hd7BR~Z$!+z7BTZS z9bn$QG4oo-%-eW?dG-y;zK+f5=Ij4oZ*9yf)AM=50p>j&Gp|F;yq^y+@0FN&onz*$ zKft`VV&-*?nfKEH<}HeuXFqQ<^=CitH<|Z+`+bOg-%o#kV#b)SeAz|KKkes8`u%yn zS=;?tZ)o3N&BuE9{wnr1OY@a4+s<8Y&eNQ~KX1bOna>;D-aWkgKm5b`qq&qL+rCei zH1o?FM!%m(=d|zN_VK1a_wzpIOODIir_vJ&n%nK?g1(=q9Ihju|LHkpH7ET!T_Ifm z|C~aaQ!ej*mBaNvd|umJ)+_$q*J0+k?b+=syr247W%kM5FZ%gh(X96ED>-hbK6h7` z0cL;73^B*;KI!rO{W-12_4n&*h0a^2^QP#$a^c+n|9n@j|2c1$&Np`tzwExQFgf!+ zNB{r%q|eRpd0}qX=W)q#74^B9_jy{IJ=UeZ2 znw$51O7eZ$W6rnF%W`I#eO<=wlkLa)(!CsPR<@VYhX1rr;q9iE^!e$>X{EC= z!#>qA_qXp0c^mleUr%;l{>?tw*LifGjx;OV%Tb1zdQCqc!`n?S>GRY3badW%*~jF~ zX&kQ2<0_YT{C{4b>3s@skIYN&Q+acq%@gJ_w}*2L-F*u0XO1V`CpZ6bc{#I>&+|48 z*O7e+k7wAYyzi@%?^9V*z`xn2y!YY%e?3)DFDK;X{hwab`xM@8dP$$3-lr4wI8V~! z4A3yoMJYg<#yZxNZ^!XIt??3F5o8NxU*nK)9&)Ya$NA@W^ zo?)Nrnfw2jeX8{@_sM=g5Z$LU&C2dmO~ZfMr|@>uOZxouKH2wa`#8_ao6|U4o5yud z%)InIg||oMrT59cpV&NME^~W0*U)`Eh4(YZlfItZ{O2nFdCDKIBl{E{&#+I8%>Dn% zJ~jH6`((e5itf|-W@US+WB5<|6y9!nNuQtIr@DFPWnW-uUr*uMJTCiu%QP>&PvPy6 zdFg$spEoBv%w=v5=Nh{E6yDDqPr6TT{szk5Q2E1kWS_$08TP4(x&MFJr`D!`fAjfd zzu%1RlYL&;eQIo&sn_)9Q+T`SC4GK+pDxNfFZ<%WIgP`$d0dyo%uDZ6cza}CdY>-M zo0A>pGPj3w4c&bT?`MuD-6uDHQ{``_{NXyXPvP+l`(%&*mwoE`FZZdCnIGM!=4NI0 z=`zEA+Nbb#(@XmN^gdmlcV2djyg7}-wRv1EW9FszDZD*0FTGE#^5$fRxywfM`Iq}t(9DnS(^Y0=_sPC){%N1W+f6U& z^V9p(ChxrLws~_Jhimh=+Q-aG?^AetWL|ooI^@mC4s)5?!?}j;K85!)$CK`poBx`; zoY@`oyp6+kWS_$08TQHU=YQF!z!dOr-uDWa`O$sqWL9>cIvf7eK83fNUef2M_o++X zdD++I&1oF2&Ex7CGta~{U-`y_w@2npHb?FE<=qTzo-miWJ)CRk?o)U_b3Exjx%s;r zpLyKbJ@UMb!*yhz!s8kC$vz+d%RY_&m-}QNZ*-q}nw9OPm*GF{Q+T`jvgfDwsdwIa z*?scnG!ED1arKLtm)@uF_Q<^SKK0L=lO5(Vw}*3ezv$16!uy%yN%txJ_|y3ZDE~m^ z57&`>3Xf;lrw-=+|7D-1{>y#JZ{|n$>3XxW`!vY#pY|!d-Sm<^Km9ld=be{5ByUdR zaBUvfjWP4m`xM?DnU~(DoATylhq=t{;ao%a^%UOE98bDWZvLUlKTP?ui#k4ejeGT${)BVBYcA z*Hd_VWL|ootia|8bD7)2xrXjOh4(YZlkSrlZ@&Kj^YtOKviZjw+Wg@luf4U0v7e=GgGO#eRCQ;Wmb(>QateKyB@GsV7-tS^}N zxI^Y-+mCtkl`s3tVp&-g&EJ2e=Y+qIV$Mtdo=ND9%=uY!Ec4}re_fe3O8;DF=Golt zy!7vxjB#>p&^*gb^FEB3m;ODI6PEAPH?#$b)d6t>xEs2?z{ymc)%@ZJ+Z;R$xW}5d=%)IpPncU*c`$h9CGtK)rW?uUD zOpbNtZPh%>O!Gd8nK#J1;hE2caWCxI|MC8+d6t>xEsdEsLh~9p^R{W8Wu|$b#>`9q zp2^zX`{(;j^DHyX`z&T&`u9wRIrFw_o@J(a%VOrGf6wF?XWs9cXPIf<@|bz)-!pmj z&;1|o4$ZU7H1G46dFkIXxzw5Whvr#kn)gM_y!7vxY}mDbzMYz9nQ5MV|FiE`_Mi4E ze1A3XxAyn&4l(buc}MeQuQzXK_WP8>!vp!dZPz;2tp759uc`ODaj%)ReZS2+&-~MT zBW%x0zaRb(zF*}ZcfNT(+WS3h?qWZWLPp+~k2J^a?_aDm`Rx7d+U5*OXJut)zrKn0oYt2Be{+?$D_II+U6>G(*C(LFA!4| zoLq5zRdI5yb#gsoY&KWONUmee@n|l)&Xh~@0x?zF$z_)2>wo)dS6p8moLt{KxgIq(n=51_*YW0fG?!i5T;tE)e;+k35K}#! zTxMy${$F zQ)T2!e-6de!4%*pkolgs{j)#eHr$yL)FkLI%LOt~~K5L0cOTycGM zb#i^- z%YFaSyg*DXcXGw`wc5$`sgvskW3#zJMsi(fjz@FZb*5aJ7l^4%POiAVb~?G1I=Nmn zHk&JCBv*ZNJetd{Gv(5}KuqNn*#FNRaebB4{dD`7Pn=vY8Jo=&GLoyIIUdbr*EW~? zKB0Mmm^#YI71viqC)dYLuIa{RbA^oLYHW^2bJ=yKT$&e%sTxkMxW4K*xju4oy=-hY zSI9`Ni_P(9F1yZ@OY;IT)x^ma*H>#N*Age!E5>GXg^c97#2k<2vg=H_G%pZSot<29 zef4#6Ep~FvFgBYjWF%Kpb3B^Mt~2G*yg*D1b#le^6*##TIk{#Uo6Qw6lB>Bn9?fOf zHkbRps(FE!dcesQ*ViN`*N0B7SB=f)3K_|Dxj7!qW!IVd(!4-SO>=U^^)<`Mwb03B zf6iiag^c97!W@t0vTK{m{r+C_0x`9~$rabvQYY63POjID&E^Uj$<@jnkLI%LOnqry zAf{G1x#Id-@8o*l$u-N^Y_5=zTvwXo(Oh8>#LEI>m4W8Tw}Aj zLPm0#Kko0B%dRu^rFnsvYT@LH>#Kv4>uo33o5p5yg^c9tWR6Gsvg=H_G%pZSJ)K-} zeGPGP&3AIWWo$NA$Vjd(=6E!hU1!Rrd4ZT3>Ew#*YqXPVo|9{yvDsW9Be||K$D_II z+U9aUhcqt`QxlzBaeYm7a=qo`ns012SI9`NZsvG2mtAMdrFnsvn(5?<>ubJ~>rE%u z+s0;dg^c9tVU9<0*|p8(zOQOtAf}c$x#Id-;pCd@0Y_5=zT)oWkXfC_XluPph zF}2Rg71x*jd%o!V{TwIPyT)d7g^c9tV~$62*>$E|niq(vJx;E;zH$rgpX&`L*8*d+ zxk5&A^)tt#x$HXA^GovrF;zys^yg4qU*()!vz=V;8Jo=&GLmb6IUdbr*EUzVOZWfz zgysces*;l{uCH27u31j5_l?cw3K_|Dy*VDuW!E;B`{y*x3&d1CCs$lw&754XJGnkE zHk&JCB-dbbJetd{Gxeo;ftYIJa@}B#M|0VArd*mAh^c{2 zuDHI2JGowUa(!rQHdn|h^bLduDHI&JGo{$xfU6l%@s0|YnVA6 z&1Kh_a%o;5rlvT#;`*BIrjF1xn5-0xR4FA!66om_E!Ep&3d z;^bOlY&KWONUmGW@n|l)&eWIY1!8KslPj*T)lROLom?Loo6Qw6lIu2eJetd{Gv(5} zKum3Na>ezv)5$g6$@Q_Z*<2wbxo$Vdqq*$b=5pUxH7^iTIp&Ste(oLU{l28`r#{EL zpVPOjy~W^;v%^f6lniq(vX-=-VzGgYOraHO4FgBYjWF*&v=6E!hU1!Rrd4ZT(;N*(yYpIj# z87J45#%6PcjO2RA9FOL*>rA;cFA!6!oLq5zt#@)g?c`cvY&KWONUn#?@n|l)wz=Hj z>(IPFOl@~^#r2g{c>h0lJmuv2%GhkKkda)EnB&o0cAcp&%?rd-G5Jiq@b}W<`YP+> zn&Ra8+MI85g^c8S)EtlIvTK{meZSYdKulF|a>eyk#mV)glWV21*<2wbxgIyiqq*!l zQ(u}Fh^g96uDHG$Ik_e~xmFpQ%@s0|>j`r_n#-8OimeIUdbr*EW~?_uHBmh^d}VuDHI2IJqV{xxO_vn=51_*Hh+rG?!gx+DFX` z#MDS9S6pACom`JQxxOsfO=n#-;;^`&`%n40P2itB5>lj~6@*Z0O|bA^oLdfpt5=CW&>%YC2Fyg*DXadO4= zW&b;2(VsI-baJgRHk&JCB-ab(cr=$?XX;Dy0x`AD$rabvRwvgZPOi1aW^;v%^f6lniq(vGV-NAhvNDw z=j3|W$@PP=*<2wbxn`K-(Oh<&DVOF2VycppE3U6vPOkAzt{;uf<_a0f^{P1@&1Kg% zm;2{O%?rd-JttROU(K9c4>`GhGB%qlWF*(?=6E!hU1#b`^8zu|#>o}eS63(3I49S7 zW3#zJMsm$I$D_II+U9aUA2lx!Qv;n`aeWPUay{te`q|iQu8@&jbIkE*F1yaOkD3>V zsZma@xW2|axgKzGZ7?>QD`X_so91{lmtAMtN6ibw)D$OITwl|jTw|SF8;#B83K_{Y z&m6b8zAlz`U4mWPTsl7xQ*$-{@Vwi*?=bTZNnhVqFn_l()@QDB``sRoTz|1p=grSM zuiJ9x@x=AK+Ic+pJCA3RnP(qQ$jIY)#~hFLY}fYjxIfR+yg*ECa&pD>ywk}w+R3%q z*lezlkzDrgC!)FRI@A7ZULdA&ithjOskpvM>VC>S<~}Fa7GtxyLPm1gzXyosvg=H_ zG%pZSM>)CT`l{&Uy4T6|i?P{UAtSjKn&Z)2cAY7g<^^J^hLbCrA;cFA!5roLq5zwRUoia&rA@Y&KWONG|*H<7h6swz=Hz+cYl_Q=Oe$ zaeei5a^3CZ+GcDvSI9^%`*Yf8F1yaum*xdxYN(SduCKt!b(fRtH)FH8LPm1gpNB?s z*|p8(etv0QAf_I0a>eyE$;ox6lWV)N*<2wbx$Ms!qq*!l(>`imAf~1{x#Ieo<>U&S zT)!Ke%@s0|%l>>Xn#-;;?W5)eVrqetE3U7lPOg-bYlpGfTp=U5?9b7nx$HVqF3k(X z)G8-eTwm**Tz5FR{xCM1D`X^>{drY1mtALieraAHrnWn|;`+)ew*Q|CZg+C+G&Y+n zWF(jUxllBhUE5sl=cDEYVyc*Yx#r&gblqfGC)Y?P*DiCu%@s0|%l`Z&n#-;;?W5)e zVyc3ZE3U69POjUWTz?vy%@s0|%l@1rn#-;;<pSC)ZwMv$;Y>a@jv0M|0V=&E>w|YhECx#yh#<`kLzGy2Z)$m$BJgAtSl$pF^X$ z>^f6lniq(vSx&CFz7{&UZgz6*Gd7zmWF(jU^IkNUU1!Rrd4ZT(;pB?zYrT_en3Ky~ zoEhc{8OgQ5$z|7>a%o;5rgl2H;`+)hzW@8`P$!rDFPYoELPm0Ja&p;qrd*mAh^eyj zrQcWM`l{&Uy2;6vPq{)ya@p_qqx)#rHkbQz4b2P0R4pf0TwjfxTsJzo@+()!NG|(* zbu^b<+g$GJQS$;Z)!NAw*H>33*9}gt9OVib$z{KPjpnlJOt~~K5K}{(TycE`POc$N zt^&#xGLp-FpBT+$*O_u@ULdB%JGtWen(E{l?Bpt_Tp=U5?Du2QTy|}9xxY82d4ZUk z<>ZR%YoU{Ckdv#Ba)pfKvfuYabJ=yKT$&e%sTEGHxW3jqxvqC|+5eA}eV+&!$z{L4 zh~~0uo6CJYYF;3wb~?G@`pP|M|M#zfPOier6*7{`evU_T*>$GAG%pZSW#v2I`ex(IJx>dxr!@S$Ve{xJ{!$t z*O_u@ULdB%JGtWen(E~0xk5&A+4r+(F1yZ@OY;ITHOt8r*VjTPS8pd*3FQhI z$z|U+qPgrkQ!dR5#MBBWS6pA~om{<~T=u^sX5YU;MsnHLXEc{x+g$FSJ2Wp4Q#+kp zaed{M*#G^jr<1Fca)pfKvaf?^F1xn5+|MD+3&d1e`40I0<>cz&ZR%tC5qdyOXQ5a)pfKvilm%W!ITzrJNDp$xzF1wEAvTK{m{e0BCKupbYa>ezv(8+bJlj|_$3K_{&*2!hpHkbQzCd~`P z)Cwn8Twm**TwR=8)@R?pLPl~O;pDRGOnqryAf|RYx#IfDExG^uS7#^J;mQ>FFA!6;oLq5zHF9!wbaEZ3 zTp=U5$~n30I#XYo7l^6WPOiA;S63(3HBK(OKen%skzB_)x$HVqF3k(X)DS0ETwj5c ztAmrv{`WdTcoitB5tldHXx%RX;xu8@&jCpx+8+U9cK zCp0e*Q?s00aeXaxaa8vwek(a#eA1*>$FU)Vx4UwRUpF zJ-@m-xvq3_+1J1AD`X^BH7A!{+g$GZgysceYKW67uCKt!)!NB*f^vn7n-$rabvR3}#}CzpL+vVDb&#LEI>oOgwcb?&PwcFSf6ckz5xz zx$N5Ja=(w(yg*D1adO4=6*#$?Ik`?#u8@&j^_*OGohg^*1!8KvlPj*TsZOq@PA>bo zXZs2n$<@HgW!ITxtch+?AqpXUyqs> zh^eyj9q|3j$#t=l%YL6=`wAJ!b*Yoft~2$ed4ZU!<>ZR%tC5rIA}3dMa>mF+8JBv(r(mtAMtN6ibw)GQ}g zTwe>FTn(ID_WwU&bA^oLYVG8*>r8!VULdAcIJx5bTJPkl@8q)I@7Y`-Be||}a@lpJ zzBDfoQ#+kpaed{M+5h=f&&hR`a)pfKYUAXx>rA;cFA!5@pX z_WNVoSI9`Nj!rJS&Xh~@0x>nj$raaE;N+^~IeYvj?_Srj-~H!2hW0-1*G}%| zTIsV(aoq{7n8U?$Rei3s8C<=6&EYx}uK2t?_LW&&cY!PB*1pu|N?X9y+t(7VE5H^1 ze-`1&EUrDcVs5$A=So|_)!Ww^uFJy}Ur&T9v$zh2E9RCuEw4v^|@A(DSW#Njib7Eha#dR;ZVh$I-epH_;Z3I_uUt_o~1J_mL z$}Fz?z!h`2_~%i5uCxhUz2|EWu1mueUw_5EGK=eeaK+qu9o6Sbo5I!Gm%}xJ>uPdk z7S{vdin+Bf^|{h!aP{^zhwD;s#n*+gugv0l5L_|0_N6{o+5)cLzLs!Z60U2=m04U< zxMB_$pL_MW(pGTw_O*uV5^%-WtFf=l;(91tF}L=mK3CcXuHL=|r`|okE)Lf<<;pCs zhr<o>g{U`*G1vFwp^LT^=P#B4_C}B zm-<|3Gq`&Dn!|M=xZ?W`v9HYHdLmpghiiV=?(bt%pDS$vS8rcSxGo6S_2tSeE{7}T z*1pu|N?XCz+t(Vd3&0iM4~czc7T1&Ein--dpDS$xS8rc~)9jvK=ZEWta%C3RQ{jp^ zT>SH>K3CdM_uKdUg6n*6#rJ7qUzx@Abhu(}xzy)M8^P7v*BGwz!gXW0GK=e(aK+qu z9o6Sbo50n3zV_fc4_xv6qu5twaUB6y%&mQ?&y_ZXtG6$Q>kznZDpzK4JqNCs!^Q8f zs?U`+gR8f%Ib7$4E55H4`^qe?Bjq~!=l5d{m#%lEE!6*!_{RqveE8qC{qghrN5p-$ zKfiyj$nDSX|26*i`t$p`?s@86;r;3Dd5!nyoY8;4|NsA=cyql!ndSX?zTTgs|Nj$X zj`t_NZ(0BU+SKPt+rZV^^I*7pzMKQDiCme*^+LE}Zn@OwN*n5aN1rdfeH{YV+2Oi{ zT$#o7Vz^=s7tcNQxza{(_4YM}>uhk{Qm)M6dMR8nxAvtzSK0)w-oEzWIxAeak}I>g zUJh5xt$nG_l{ST|w=ak5EO6aguFT?kC0sGL_N6{o+6=DVzUFY98Lr#Nm04V`hAZZ9 z@$)0~xzZMJ_4c)d>r8OnR<6wAdM#WrxAvtzSK11$-oDmwoe{3v$(31LuY)V**7K!4 zSK0=y-o6IgU%*oT`^_2Py1iVP#q|cbVh$JoUXS`*X+zy_-}4Ku)5CR`T$#o7Cb(j5 zy^iX0rH$a~?Q0Cz>EOD9T$#o77Pw*#7r%d?K3CcVuHN&t2iIxgx}#i~#q~D0Vs7nA zeXg`AT)llcTtm3-Bv)o}y#ub8Tl-RZ(nn`P6OAS<;pCscfl2NYhUVfr7hs< z?Q03wso}bdT$#o79=Kv|xzy)MTfx=a*BY)Sh?eXg_-T)lmb;W{~7 zhs%{&Tpxxj=GMN{=SrKv)qB47;5r#xcb6-(xIPM3%q^GtTxnCddi!#?P72pO%?%~ORmh~`ZQcI zxAvtzSK11$-oDmwod~Xb%avJNpMfjpmP>uEv<+OneGN{(dw!h|uKUQ9SzMokE9P+V z^9l92(uTUq~IO+)3ETSgy?C`ZioKhl_t6)#pmvz}4H=;0(Lx*RkM=|4tg;XUr_F@4^*x%cVY7+EDk~ z_xytEV7MM4S7vd2AFh~N&zJgKX(PCL`x?V_5M1%!Z)0DX#q~qDVs7nAeXg_#T)pRO z53U2@dYD|9#r0#jVh$I-zp6f0+7zzdz8tOt;EMmQ9Q(>FuAjmcbIYYZSK17&-oEB= z9reH6??*pEuFT^4Ib1QfUPtx0(iU*__O*oTe{jWr?~Z+C7S}J~in--dpDS$zS8rcy zxc&>*qvXmgu3y0wbL(|fpDS$xS8rc~Gwz;W|A8z1JACXbv$%c(SIn(_sn3-*)cy87 zzu@{eT#u0}v$%c-SIn(_sn3-*f~&W$F?^al{s33ZEtmRSX%o15&(|JY z|Agyta%C3RpWuqQuK0Tkv9HYH`U_k!hl`)ztIw4-gR8f%Ib8pM z>j`pY7T4e4in+Bf^|{g(aP{`JgzN8c#owohePtHcKj4bFwJ-I#(pGTw_O*uVZ*ZAh znZ@-_xMB_$KmSsnD{TW;Z(oBm?VewMg)9D^N9-%Jxc&`S%&pf^eXg{j?zivx1=nBT zdXik3#r0pfVs7nAeXg_-T)lmb;rcUN@%K++Uzx>q6kIX4TF z_x9?ejylB)q9xwuaQy+Ur^%IBT*rnh=GN<|K3CcTuHL?uaQz;x`1?Asugu~)E?hCU zTDVb8v9zq^*gwpAy;N`9S^RUTQ2pv(l&7Q_BA;3?)mjwxZ>{-#lA9&>jZGc z+TzOezUu(F24%hSK$}Fza!WDD4`1zOmTxlD)dixrjW%vC08C)~DGK=f&I}tP_E44IxAc;w_NITrA^`L?aSf%5nL~lE3>%H4p+=Am-<|3Gr01y z#=hoo{SdAf%avJN=Y%Wf*7K!4SK0!u-oBP_{Q$0)$dy@K=Y}ihaPi}i`dn!%xbm{b zzSeMkAFh|mm04Wpfh*?L>!?0g+6J!Pz6NLAJ-@yO*URL}EUxpx6?4m_K3CdM_uKdU zg6q3*yo>g{U`*LUE0gqu0B`V1g^ZS@qF#U z^=-IbDOYB3T?DR}TQ2pv(x!0r_T_M`;Chu@nZo>g{U`*H__syWaDLQlBerq5B=}>g{W(e=lpd`}!hW zZuEw4v^Iv@0)b{CPYCuFt{sHn}p3>$-5o z+$+4_D0L;<>6mSK0)wysY8cgX=SJy+f|d;<_PR zF^7v^cc{;mHihfpxUAuFxR!9eQ?AV7x-ncahwF+j+WomX^|{h!aOGtU*Bq`-!}Tt? zGK=e`aK#)hejcqpSK0!ugX6M>YYEq<;Ci=QnZRtRlzCTO6KOe&T^Fh5ondSYti{783 ze~vlk)}GbpN?XB|mo;AhHC!Kr>qBy77S|qJF}GambER$I>b?GhbMBrmAAsw_a%C3R z;c&$quK8iRAHUS+N*n5a`<^dwy&tZR$dy@K_kb(r*1pu|N*lq|+t(Pb_rdj1xiX9E zUU0=6E`FR)pDS$wS6%n16Rx~m-<|3Q@DEja<~?7eO#{0;<_JP zF}GambEVDT%F7!2n#1)TxIQ6QW^p|Lu9#ac^|{g(aP{`JgzMdKeNwK>;(8EVF^7wv zx2eySwt_1!YwT+c*Sp~Qlw6s`HH9nYaPjkR^|{hEaP{^zIM?p^^-j1xEmvl7Jru5( zTl-R<;pCs$HNtKxcKK$eXg_xT)llQ;d(P%Uyv)axSj}C%;DnKcj|Mct>DVb z8v9zq^(MH!C|722Ib1P^iyybu=Stha)!WzL+`H%38{ztrT$#o7WVm7u7r)=4K3CdM z_uKdUg6j=%eOa!|;(97vF^7vEht%gv8^P7v*BGwX!}S%pGK=f!aK+qmsn3-*fh#X- zJYRcoy$-Ig%9UAM&x9-HmP>uEv?*M@eK}lnxV|P=W^o+>SIjM!`dn!gOMR}i1zf#-E#Z0%T;Gr@v$&3gE9P+VoKT-DZ3S0e*4Wn?u2;kL zO}R3QYX(=$EtmRSX&bnD`x+dwdw#tNu5ZbeSzIrGE9RCSqZ_AZgTrYtu=9Wu+uCxhUd0FH6+Jo!maD7Lv%;I_( zTrr1>pHHaIl{ST|w=ak5WpI60uFT?k1za(=_N6{o+6=C|tg){-TrY*|dvawK*Q?-) zx#d!yD{TQ+Z(mEeUIN$m<;pCs*T5BXxOjf4&y}`uEv=LmreU0II0bD%X3|Gu8m-<|36S(rS#=iF8dOln~kt?&f z-U?UDEtmRSX;Zj*`*OHuaQ#%S%;I`GTrr1>e;(E6N}Iuzmo@e^hwFK8{Ylbom7S{r(6hPvOr=NDYhhHEWXW^sK0u9#ac^|{hU zaP{^zhU*BpekE6CaeWA`m|HIOxzZ+ZW z{Z6jT;`$U^F^7wvN2|}3wt_1!YwT+c*VEwoygz6e*$EtmRSX%o2evc~hZ2iKF}`m#uTU7T4F|in--dpDS$vS8rcSxSj~t-{i_Hu5ZE> zbL(|fpDS$zS6pO78 z+;XYUl{VD<_C3GgdK_FExiX9EdvL`ZE}pCEbES>o>g{U`*JI)Or(BuE^#izKZtY8b zuCxhUd0FH6+JoycaQ#cJ%;NeHTrs!yr9M~M6t3RB9Ii*h^>4W{i|Z$F#oXGL`dn!< zxbm{bzUFW}3aJt|?px%avJNe}*gO*6XM~SK17&ysWXW zIb08h>sWGS7S~_lin--dpDS$vS8rcSxE=)8vE|AvuD`<-bIYYZSK11$ysWXWHCzvb z>o{^{7S{%@m|M@6`dn!nxO)2T{(Hb-#VjFSzax z*Fdh!;`$FsM;yNWEMdFN;EK8BQlBer1Xo_x*w+}YJHT~XxiX9EOmM~Aa;eXiHi2tfzkO?* zUvM1;*XiWSEUvS_6?4m_K3CcluDq<_a=2~}*XiZTEUvS`6?3@waa(<^v>9Bzea+#z z9b9LSE3>%H0awi7;>T_ExzZMJr8TG7S|zg#oThK&y}`;D=%y8YjBa>^Xt}domsBT;yN!}F}GambEOS+zkSayxNZg4 zS>(zruJgkcbIYYZSK0`!ysWXWF#TBR7S{#gin--dpDS$w*S3E9);Pc5x&>Tk zlPj~hE(}-9EtmRSX;ZlJvWCmyn!t5-xiX9EqHx6=E}j$WbEVDT>g{U|*UjNNhg_M( zb#b_2ZtY8buCxVQd0As$OSo)H-hUta%C3R734bl_Z`F>E?w_Ro2dUz+n((|KRkc0!S?qZ zd^qm2{e1^FjNJadgFoZ<9qj44L)AO|e|&!&@6QeJ{+w6uPiA?4uB7+p=-+n`bG$$N zzM1-5X*0O;-E8c64%hYJI-gvb#dQ_9Vh$HSZ&RNuZ2?za)^IK1x*lBTmn*Zlt_D}k zt$nG_m9~Pbx34u^*M;i>a%C3RHQLnpK3CcXuDql$!fOs>r0x-ncaw_NITr7hsf%NqMy!gY1HE-qJQaorTIm|HIO zxzbi}_4c)f>uPXaLaxl>x;b1ihl}4gQ=cns16N+w*w^6VyXV(c;ku+;nZB8egZUa}$t>;U9 zuCxhU+xqQW(46c~N#gE(S zbEVDT>g{U|*P(D-R<6wAx+7dMxAvtzSK0!uysWXWC0tj4>vD2s7T2BOin+Bf^|{hk zaP{`JhU@ZhU0$xt;<_tbF}GambER$I%F7!28eC%c{JI=mSCA{Sxb6m5%&pf^eXg{j z?zivx1=nTaI#jOA;<`IrF^7xis`^}MBe?Ri#=gdIT?Vcz%9UAM_k=6v*1pu|N}Is7 zt>3;i&M&ww4cC?A$}FyX!xeMOr9M~M6t29i;c~b}a9vrh%;LH)Trsy?>T{*d;Ogyb z4%emNx{6$x#dUwUVs1TO>T{(n;L6Jy`&z!$}Fx2!xeMOr9M~M2ClrUv9G};ch9ej!*zAJGK=dWaK+qmsn3-*)cy87zu>wU zT-T5*v$!4xSIjM!`dn!vxbm{bzQ%A}6t1ycnZ@-8xMB_$&sFug(k5_i>$h)>^9!zv zz;#WzGK=d`aK+r(m-<|3Q@HZ7hRfl)FkIJ?E3>#B16RzgeW}ltHiN6TuQ^;7g6rCH zWfs@t;EFk1{JcT{*7;Ogyb4c7(Wx~^QA z#bt2C+W^p|iu9(BM@ZG;xtv*-U3a;M1)^ME@uA9o0SzOP9 zE9Tbgs6JQP2ClrUv9G~s_xw5sTsM;|v$&oQSIpt!?_*S-D{ZLz?R$Q~b#}OJE>~u8 zy%4ULThEvJTxlb?^0LOh#&Detu8CZk#r0yiVs7nAeXg_#T-*BXTjTtK>#T6yLaxl> zdMR8nxAvtzSK1V=ysY7JxXuFCE#=BAu9w3VbIYYZSK17&-oEB=of)oM$(31LuY@b+ zaPhy3s?U|SfGaO+>}v_vnc%v$T$#o7YPez!7eBvOpDS$zS8rcyxXuXIZRE-F`_?$W;5sc_hsl*$TyKFZ z=5X=rA@#Y^rf}tD4VS|;gzFA+Wfs@l;EK8RI;zi=HiN6TuQ^<&f$NTPWfs>v;EK8B zQlBer0asqu*w+%SQ^OU1?tt}EE zxAvtzSK1V=ysY7JxK0Y!;c{gb*GJ)sIb8hwUVW~#*yq2G8k};!=W7nvN#MG>T$#o7 zakye`?Mr>Gv;|ywSz}*IxK0e$J><$Pu1~@hbGUd;sLz$Qf@@p9eLLp!wTA0NaNSd` z%;NeqTrs!yr9M~M2ClrU;Tl|a_xw5`T=$YIv$#G3SIjM!`dn#4-EY6=>kzn30N1_c z$}FzW!4-4Mr9M~M2(G-Wv9B>)$A{}aa%C3R7vPGy^?a$%l{SHETfcof=JT}&*YV)G zuUwhM^(DAsZn@OwN}Ix!mo;1t*8r~j$(31LUx6#;mP>uEv>9Bzea+!IE?oDQE3>%1 z23O3j=SzLAv;|ywSz}*IxQ+wY1LVpqu5Z8g{U{*RkPxpj?^7^)0w! zZn@OwO54Ddmo@e^xZLjfbu73ZBv)o}eH*TrTd$+~TxmnyZ{PC^u7lxvuw0qN^rgCK#*Z1Lyx#d!yD{TVTwtoB8IKSXJ5Uz*Fm04Urge&Hj zOMR}iDO`D3!{u-t0M|q1$}FxQ!xeM5`1>K$=SrKw)!Ww`uA~0D{kek!4nFeG?eB9s z>Znt^;9+uQ7S~VVin+Bf^|{g(aOGu$M4p+=Am-<|3E4X_5TEq2U zxE>)_W^w%zu9(Bc&kNP(O54Ddmo@e^xcu(<^&hw%DOYB3{R*y_Tl-R4TyC0Ax~{RXa>!^Q8fs?U`+f-5g;>}w3yzuGv`t4ie z{DSMBa6Lw@%;Ne3Trr0$z79L^fMY#xx}Dxzy)MTfmi+f(qUarjI`Wsv^x1KNc zxzbi}_4c)f>u+#9L9Wc=`UhMww_NITrETEK%NqL{Tw(Y8`YT*dlq<8i{s~vit=Ca~ zuC$@vdG0D{ZLz z?R$Q~^&7aJAy;N`odB+wTl-R-;EK8BQlBer3RiDm4%Zs4Bjm~~u9LwPbIYYZSK17&-oEB={SvNc z%avJNr;zLDpOcR{T)N(swow0}xtN0w&!30i{+#>`U2!%i;PFT+f#)v$)Oz zSIpt!$5r*Y(q?e=_BDs=hj6_>uFT>(8(cAmi=Th1&y}`-tGBNuTt9&8g>q#U*E!&d zx%E1#&y}`!?0g+6b=RzQ%BU2d!?0g+61oNzV_hyHe4^0E3>#R2v^LleW}ltHifIVFNbRd*URO~EUpW~6?1D}>T{*d z;Ogyb4%fHfdWBq>#dT4*Vh$J2RrR^j7I5|UwS?=NaJ^El%;LH@Trsy^NAd%;LHvTrs!yr9M~M2Cm+|23OuazrGIFtL4fpt`S@@w_NITr44nzea|nr zz6RH84^S7vct5w4h9&zJgKX*0OC_1m{&?rRR$ zm*9H6T$#mnWw>H)?Mr>Gv;|zfeJ$boB3y5fE3>$+3Rlc6m-<|3E4a4x+qYxxYYo>I z;CiE6nZuEw4v^|@A(DS=iqv? zT$#mnEx2M17e79#&y_ZU>)^Po@j8y-`Yc>;kt?&ft^-%hEtmRSX%o1%_1m{&K3{uq zeFm<#%9UAM*MlqOmP>uEv?*K%$7Kzd!?lF#ZE|H6*A3u`x%GUh&y_ZVtGBN?T%U&P z?Q&%n*NxzcIb1jR`0jsCRi7(u0oTECSz}*IxIP8fJLJkNuA9IWb8BDfbEU1|>g{U{ z*C*k6r(BuEbu+kPZarV>bER$IIyf$C>}zn<-Sg`caJ@^e%;K8B6?3@wd5-#AX+zy_ z-}4KukHhtDxiX9EmT<)!E`EPPeXg_-T)lmb;rbX{?~yCBxNZ$s%&pf^eXg_#T-*BX zTjTtK>!WZjaK+qmsn3-*gR8f%Ib0ux z>wR)%7S|o%iaA{TeT?dJr7hsGv=v;veXZg8AY31i zE3>%n0$0o}m-<|38@PJ=8eDDn{Q3Y~ACxPzxc1(%56hKVT=#%0=5X=3SD!0w0@t>F`_?$W;Ce4yACW7w zxb6j4%&mQ?&y_ZXtG6$QYXR3s<;pCs`@j`*>-kcjD{Tf>Z(nn`-UHXie!UZ}Ps){9TvNDWZn@OwN*n5a`<`ELy#uaK$(31L4}~k{mP>uEv=Lmr zeU0IIJ6xZZE3>#B4p+?K;<>6mSK0)wZTX;flGnFZH?7rf~K4 z<#4?fuFuGoSzM2XE9P+V`vB^5rOn{#?Q0I#Tj2VvT$#o7Sh!+t?Mr>Gv;|zfeJ$a7 zGhCmOE3>#B4_C}Bm-<|3E4X_5TEq1wxIQmeW^p|cu9(Bc=U#oTv<+OneGRU$dw#tU zt}n=ySzHcR%;DniomQVKZK(V0dw#+72DrW`S7vcN8LpUHucP{0X(PCL`x?XbdbqwM zS7vcN6|R_DucP{0X%o1%_1m|``32YO;QF#$nZ@;VxMB_$&k6Op(x!0r_T_NR;rfbP znZ@-?xMFU-j_Pxz&EV?oYYx|I;rgmvnZT{(n;Ogyb3D;}j`kGvs#q}Jx zVs5$A=So|_)!Ww^u2;kLb-6N&>qxj_Zn@OwO54EI+t*;cdw#tNu5ZYdSzI%?Vs5$A z=Smyue*2zZaJ>?)Z_1TfTrYqt=9Wu+uCx(cy?u@0dIenHk}I>gUIbUn;o>==K3CcV zu5JDHt#N+A^>VmYa%C3ROW=yR)nZ@-oxMB_$zrU(JSK17& z-oEB=y%etR$dy@KuYfD&aPjjA^|{g(aP{`JgzF`6eOIo`;(8TaF}Gev^|{hkaP{`J zhU>*}eNV2;;(85SF^7xaH&LG}Z39-%zL7S|lEm|Oc&pDS&s`wh1L z_WzDL>5;vC9Rk-2;rfAGnZ@;bxMFVYOMR}i5nR1}jp2F$TtAd6v$);}SIjM!`dn!f zxO)5AgX{Tl{Yb9N;(9Y&F^7xig!)`*Q@DEja=2!2{aCKd;(9AwF}L=mK3CcduHL@p za6J#MpU9P2TyKXf=9Wu+uCxVQy?rg=Iufp*%9UAM?}RJnmP>uEv=v;veXZeoE?hs8 zE3>%X4Oh%9m-<|38@PJ=8eD7l{CW;tKbI@BxE655+;XYUl{VD<_C3GgdNy3YkSnve z-UnCA;o_f1^|{hUaP{^zhU*BpekoUGaeV-;n8U@-ztrbSo4~cL-@Y|I|AOmTaINLa zEUpj16?3@w`GophX;Zj*`*OIR3D>XW$}Fyrz!h`r`BI-NZ3b6wUvs#g0oSkP$}Fyr z!4-43_*;X)My|}_`UG4txAvtzSK11$-oDmwJq@nk%9UAMpMopq zmP>uEv<+OneGRU?dwx9?uHVU(SzJrFVh$Idd-b`}hPvOr=NDX0f$R5jWfs?G;fgt2 zJipZEN*lq|+t(PbC&TpzxiX9E^Kiu+E}mcNbEQq-+SYI18s`^WPlD@@a%C3R7vYMz zJnCTz{1-v$(zqSIjM!`dn!nxO)2< zTxa+EdOTczlPj~hR&d4KdcM@>N*n5a`<`ELJr1tF%avJN-+?RU*7K!4SK0`!-oD0g zJr=Hi$dy@K--9dWmP>uEv`t4ie{DSK-aBbwuEUq8G6?3@waY%ixv?*M@eK}l@ zhU=elWfs?uG zw4v^I^y}E$*CB8{46gsmm04WBf-B~hOMR}i5nR1}jp2GIT>q0Rv$%c(SIpt!?-N#^ zD{TT-Z(n^RffGg&fOMR}i z8C<=6&Ea}5TnEaPSzLdDE9RCg{sC9at$nG_l{VD<_C3Gg zx<6dUmMgQk{s~vi;o^C(K3CcZuHL@JaNQ5CpkE=GN<|K3CcVu5JDHt?~I0 zT=#|RxN>C{*MH%PIb6%P?Ebup`dn#KxO)3?xb6ejK(5TfcmT$#mnY`9`>xzy)M+rZV^*WmiQ=hr>pI-y*d#dTb`Vs5$A=Smyue*2zZaNQlQ z6UmiXT*ree=5X=rboIH?MsW4^HHPbOxK1orW^tVWu9(Bc?`x>fl{SHETfcp4oL_L= z4X%^Om04UTf-B~hOMR}iDO|mMIb3^iom8&O;yMXjF}Gev^|{h!aP{^zhwH9zolLIG z;yM{zF}L=mK3CcTuHL?uaNPy2lgpJ^T&I95=5X=*{_1n3t>EhIYYo?(;W~v}nZF`_?$W;5rPh)5w)sTxWzU z=9Wu+uCys!y?r@cw})#eS7vdY8LpUHF7>(6W^ncPHHYhVaGh4J%;GvLTrr1>ABWWE zN?X9y+t(7V+ro7^xiX9E>~O{0+L!uVX)CyT`&z?w8@Ns{S7vdY6Rw!U#dAV^uCxtY zy?qUCxO;xx8m=?Qm04WphAZaQ>!?0g+EDk~_xytER&bqBuFT>(4_q;~Tg{U|*UjNNt6Z7IbrHB?ZoQ7`bEPfd>g{U@*UjKM zn_QX2buqYNZtY8buCx_gy?w3Wx+z>|mn*ZlE&*4}EtmRSX&bnD`x@M6_x!pET<4H0 zv$!q=SIjM!`dn#4-EZIX3$7c(bxyf5i|f*G#oT(n)aObY!PVQ>7_J+^buPIwi|ev* z#oThK&y_ZTYg@m4Yn)$j-4L#G%avJNmxn9naPi}#`dn#KxO)3?xNZQ~A#!CF*P(F5 z+}fA=Txm17di$Egb$z(bBUff|T?ww3!^QJneXg_xT)llQ;kq7N=ann7xUK?M%;Dnq zG1ccvTfx=a*BY+t!gW5mGK=eKaK+r(m-<|38@PJ=8r*pI{JIWY=a(z9xUKwm04WZhAZaQ>!?0g z+61m`{r0VKe!+E3xGp4DW^r8?u9#b|qxxKFQ@DEja=6BDU0ANn;<`RuF}GambEVDT z>g{U|*EQg}h+LV)bwjvfZn@OwN?X9y+t(7VtHX6sxiX9E#&E^ldcM@>N?XCz+t(Vd ztHE_KxiX9Erf|jF+L!uVX&bnD`x@M2_x!plTo;!sv$$>!SIpt!$8Ghw(uTUB8e^*XB0l{SHETfcp4oL_KV z39d`Ym04W3fh*?LzSQSRo5I!Gm&0{MxJGhi7T4|Iin+Bf^|{h!aP{^zhwD(dE-hDP zaUBL%%q^GtTxkoqdiz?!bp^OCBUff|-4U*sTd$+~Txlz~diz?#b$PfhD_3T5-5IW! zTl-RiPE zk@EXMXt=^dLUdexAvtzSK0=y z-o6Gm+daQ74%b!X$}Fx2!xeMOr9M~MQ1{#S{DSLZa9vHV%;I_oTrsy?>T{)y;Ogyb z4A({By1HDM#q}__Vh$I-Z=ya|+61m`{r0VKe!+DSxUL~rW^p|Nu9#ac^|{ifaP{`( za9tR#v0RzO^(eSvZn@OwN}Ive+t(bf3&C|wxiX9EF>uA)a;eXiwt%a*uO(a;gzH*z zWfs@t;EK8BQlBer1y^rhYq%}|*R|!!EUqWO6?3@w-)q(9O54EI+t=XcyXV*W;ku4o znZ;#r#oXGL`dn#4-EZIX3$F9QbzQkKi|a{n#T+jFJs9=5(nfIg_BDp$h)>^9!!?z;%7OGK=eJaK+qmsn3-*g{!wOhwBiyZXj1?aXkaB zm|M@6`dn!$h)>^9!!C!gX`GGK=fQaK+qmsn3-*g{!wOhwChGP2|cfu9wR7ofH1w&%4DOE?w_R zo2g$vXLxx29OCxp6CV}#+5UXunIpG9pZEvIuYYtb;EtmRSX(PCL`x?V_I=F5l zS7vd&99In&Ab$huoi|eg$#T+hvovuDt+5)cLzLs#E8m`0S$}Fz8!xeM5 z`29Tfxzbi}_4c)f>r`;vL9Wc=dM8{lw_NITrETEq?Q3w$-Sg{|aNSX^%;I`CTrr1> z=e_z|X+zy_-}4KuQ^0j6xiX7u0awf|m-<|3Be;6|8pCyRxb7@hW^ug_u9#ac^|{g} zaP^+AJ-AK=*InewEUpj06?3?FuBy+KHifIVFNf=-aNSj|%;NeGTrr1>e;(E6N}Ive z+t(bflfbnnS7vd21g@A{ucP{0X$!b|`&z9IoTSbsxDhi|fm9 z#oThK&y}`-tGBNuT*rayzH((2*H__+x#d!yD{Tc=Z(nP;jt$rSA0O4{N}Isdd%pJIIuNc0$(31L--9dW*1pu|N}Iyf z+n2+209+52E3>$M09VZ6;>Snzxzc8E_4YM~>!|;1zmIjm!ABl?;QxI;Y${h~as3Fc zm|Oc&pDS$vS8rcSxc&#%L*&XVuAjgabIYYZSK11$-oDmw{THr>%9UAMKZ7ggmP>uE zv<+OneGP82dw%@~u7}B$SzNz>E9RCuEv;|zfeJ$boJzP(cE3>!`f-B~h zOMR}i6O9|Tu+uOv$&20SIjM!`dn!nxO)2<+-~>$`Yl{fkt?&fjssWBEtmRS zX+zy_-}4Ku-@x@$xiX7u09VW{m-<|3Be;6|8pHK#xSl3gW^o-Ku9#ac^|{g}aP^+A zJ-B`a*VE<7EUpv66?3@waY%ixv?*M@eK}lfxSkT{(n;Ogyb3D+;+dX`+7#dUJHVs5$A=So|_)!Ww^uAjqogj|`$ zbxOEm4i`UPRi7(u16OZfgWK<(Uq6HE*>YtT*Qw!(x#d!yD{ZLz?R$Q~^;5W>BUff| z4dIG8T>S5%>T{)y;Ogyb4A)QKdahiV#dSKkVs5$A=SrKv)qB47;QBFKN6M91TxWnQ z=9Wu+uCys!y?r@cKZ5Ida%C3Rnc#{!Ts&9R=SrKw)!Ww`t{=iRlPj~h&H`7=t$nG_ zm9~JZx348!KY;7`a%C3R+2D$~wJ-I#(pGTw_O*uV`*6KLuFT>(2V60?_N6{o+6J!P zz6OWwo?qXC>xFV<7T3Apin--dpDS&s`|W#v!S!9ZUL;p$aUB9z%&pf^eXg_-T)lmb z;rb3-FP1B_xXue#%q^GtTxk=yde7G$T;GQ4C30mJ*ZJX!Ib8hym-<|3Q@DEja=2D- zy;QEu;<_MQF}L=mK3CcduHL@paD5A|m&uh`To;Bb=5X=jqxxKF3%GjwTEg{BxLz(- zW^r8^{|6p$tmjR)Q~dvBsLz$QfvdN# z!5wzbudl=PO1UzN>ymKA94T{(Hb-#VjFSx!2*Q?~pEUpn;F}I#C^|{hUaP{^z zhU=?vy;`o!;<^l6F}I#C^|{g}a2*tvwf=rQTwj6fHF9MZ*X7`fx%GUh&y_ZXtG6$Q z>&tMxR<6wAx&mA=w_Zo}xzc8E9Tb-}_BDs=OK{EQ$}Fxc!WDDNr9M~M0TnEKvjeV`*`T|_9mn*Zlt_oMoEtmRSX&bnD`x@MF_x$=i zTyKyov$(DfSIjM!`dn#4-EZIX3$D+>^+vfei)##5%;DnK9qMzXjo{kWZ{Lpjb2MYP zJ`2~IH&y_ZTtM`2E!Sxxq-Yi#Uaa{+lm|Oc&pDS$&*S3E9cFcV_ zTuZp#B3EW{T@S99TQ2pv(q?e=_BDs=({R02uFT@P0bDVMi=Tg~&y}`-Yg@m4JLbNY zaD57{x5<@RTsML%=9Wu+uCx_gy?w3W`XpR$mn*ZlZUR@#EtmRSX&bn<_1m{&?rU(T z-Sg`caJ@sW%;LHkTrsy?>T{(Hb-#VjFStGq*E{9PEUpP$F}GambES>o>g{U`*T>*` zmt2{}bxXKnZn@OwN}Isdd%pJI`Y2rQmMgQkZVgw=EtmRSX;Zj*`*OHG0@r)w$}Fzi z!WDDNr9M~M46fe3=5T!&u7zBg#dUkQVh$HSKB~`^wt%a*uO(a`g6q9R;EK8B zQlBer1y^rhYq&lL*ZbtkEUr7j6?5x#RG%wt16OZfgFEk@Umt+${c>d%*InR>xwS9# zxzdKZ-@fM;T%($o7T3Mtin--dpDS$!S8rc)xZVTT zN94*ZuKU0hbIYYZSK0!u-oBP_y&JBN%9UAM_k%0uaPhzMsn3tk|d z7S{vdin+Bf^|{hEaP{^zxXbSO^-j1xE>~u8JqWItTQ2pv(uTU(6MsW4^HHPc$aD7s)%;I_|Trsy?>T{({;OaeJdvLuCu20F8SzHf?E9P+V z^9l92(x!0r_T_NB6|PUqm04Vmge&H7@%z5&bEVDT>g{U|*IVFP%9UAMkA^Ge*1pu| zN?X9y+t(7VH^cQAxiX9Ev2q>#`!r$>m#%lEtBS&uFT?k5?nF2 zTq~NF7S}W2iaA{TJVbr2v;|zfeJ$a74P0NAE3>$s1y{_i*HL}0v=v;v zeXZeoHC$hjE3>$s4Oh&qeW}ltwt=g+ufg8#`SmKezA9H{aXlBVm|Oc&pDS&s`|W#v z!SzbGz9v^@aXk;Nm|HIOxza{(_4YM}>lJW)U9QaHdOln+w_NITrA^@4)^FdA`F+Mc zxLyv|H{{AJt{1`;bIYYZSK1V=-o6~Jm%;T-xiX9E#c;(Ou7&UZcX9Q((q?e=_BDs= zrEq;quFT?kDO@qP_N6{o+5)cLzLs#k1g@1_nZ@;TxMFU()aOcD!PVQ>8mw9u#7T4?GiaA{TxUD`{+61oNzV_gH zK3w0IE3>%X09VZ6;^#T)bEQq;>g~(nn!)u0xiX9EO>o5=E`A=ZK3CcduHL@pa6J#M zAIg3;iKL3L2S#bSauFT?k zKU^`l_N6{o+7zzdz8tP+!u1QeGK=ejaK+qmsn3-*gR8f%Ib6?x>z8t67T1U2iaA_7 z@73o@Tfo)Z*AlL$!?l(xv$#GASIn(_sn3sNAR7T3q&iaA{TKBM|v zX&bnD`x@MR_xyS)T)&npv$#G9SIn)~QGKqoq3*Zu`32Wg;QEbRnZ@;KxMB_$&sFug z(nfIg_BDp<$#DHvuFT^43|uj{TvwWx7T4$CiaA{TJV$-5 zv?*M@eK}kX*YD-ZEUquW6?4m_K3CcduHL@pa2Z^GkSnvez64jy;o|wFK3CcTuHL?u za6J*OKgyL^Twj4J=GMN{=So|_)!Ww^t|!3tC%H0<>uYeu+}fA=TxlD)dixsOWB2@e zJY0X4E3>%10awi7;@6MrbEOS+zkSayxE=@BU*yUxu5ZB=bL(|fpDS$yS8rcqxE>4F zU**ayu5ZH?bIYYZSK0)wZTS7vd27p|CFF7>(6rf~K4<#0V3uD{Eb zSzOT{*7;Ogyb4c8;!`lno(#r0FTVs1TO>T{)S;OgybaL?WI>)~+yORmh~`Z-)N zhl`)*sLz!))cy87zuq9Uv$%cuEv;|zfeJ$a75M0~;=%4>Tn3=`(C%9s6xzy)M zTfx=a*BY(|!gZirnZ@-NxMB_$&wKT`(l&7Q_BFWI?)miqxDJvlv$*~SSIn(_sn3-* z)cy87zu>w*TnEdQSzP~sE9RCL6t3RB9IpGobsV`ei|fB|#T+hv-$Z?`v>9Bzea+#z zH(bY+E3>$cf-C0M>!?0g+5)cLzLs#^3$B4&nZ$c4Oh(J;<>6mSK3hb+xPr}>+W!! zK(5TxAvtzSK0`!-oD0g9S+wC<;pCs(4O}s|o-g&e z(nfIg_BDp<4se}PuFT>(EnG3T_N6{o+61oN^R)-pVQ`&FuFT>(JzOz|iyw#7=SrKx z)!UcDb$hr@Emvl7oe{2>TQ2pv(q?e=_BDs=c5t0WuFT>(Gh8vZUPtx0(iU*__O*oT zwr~yQ$}Fz4!WDD4c&@6?m9~Pbx34u^w}I=la%C3R+2M-0wJ-I#(l&7Q_BFWg?)i0V zxK1ZmW^tVpu9#ac^|{i9y5GL%7hJc3>-2JE7T3Aqin--dpDS$yS8rcqxNZs88RW_= zuJgbZbIYYZSK0)w-t)Bw*Dc^Wqg3;EFk1 zJXh7{N}Ive+t(bfo5OWxxiX9ELU6_0a;eXiwt%a*uO(bJgX=7EWfs>(;EK8RI;zi= zwt}m-uQgmZh3l+xWfs@P;EFk1{5)EHuCxtYy?qVtw|jow1g^8mm04VufGg&3UHE~! ze?MA%uC$@bES>o>g{U`*Nxyhhg_M(b!oU_4i`T@ zs?U`+fvfj??ZI_JxXvk8W^r8>u9(BczdxZqSK1V=-o6~J8^CogxiX9E@^Ho6a;eXi zHiN6TuQ^=ThwI#OWfs?=aK+qu9o6SbTfo)Z*AlMl!F7mSnZL;ZT<4K1v$(DTSIjM!`dn!nxO)2<+<*7{x(;0Dl`FHjt_D}kt=Ca~uC$@T{({;OaeJdvIM7 zt_#SOSzOnKE9Ta|)aOc@!qwZC!!?HMf^uaR*LC5FxwS9#xzc8E_4YM~>l$!fNUqG{ zy1raT{~UA7;nMZ4w1xT~_kZ8tKRkb4dHZwBZ;JbDe~$U;k=vhR{vCdfd8zAepxzbU zpWdF=cz>>j_vgZTe=^Jab0fV!-#y{~{hrd8ZQ$zddGLVU^W~~=T|}nd7_KYBbuqaz zi)#W`%;DnaN9uE>P2lS7YY(m~!F6%DGK=e$aK+qu9o6Sbo5I!Gm&0{MxGo`AW^vsb zu9#ac^|{h!aP{^zhwD(dE-6=LaorZKn8U@76Y6uNE#T_yYYEpC;JTDtnZ8m`O3HIgf{xb6T~%;Dm5uRd4W2Cm+|2HUU4{(aQolyV<&Ik+w@S7veD z39gvK#h-swpDS&s`|W#v!F5@kyw*L~oMIb3}1)#plE z!PVQ>8m>#gbw#-{i|c-H#oThK&y}`;tGBPggLcoai^Fv#xiX9E0dU3KdcM@>N*n5a z`<`ELT@0=(%avJN4}vS^*7K!4SK0`!-oD0gT@GvV|Dai4j2DCs?U`+gR8f%Ib0Wl z>*{i47S|);in;YVs?U|SfUCE!C0rMT>l$)p7T2TUin+Bf^|{hkaP{`JhU)@wjpfQL zuE)X^bIYYZSK0=y-o6G8-aWt057#y2$}FzO!xeMubyS}#ZK(V0dw#)nKDe$WS7vcN z5w4h9`%<4PZ3I_uUt_q=3)i*f$}BF2E9P+V@6V{ul{SH^_k8Wabso5`BUff|JsGZ; zTl-RHQ+TsM#_v$&3cE9RCho5__~TrYtu=9Wu+uCxVQy?rg=Iul$smn*Zl zUItgpEtmRSX)CyT`&z?wMz|(&Wfs>f;EFk1{C=VOTxlD)dixqYWcU0!16;R|E3>#> z1y{_ieW}ltHq`z0J-^^OJzTexE3>#>16Rx~m-<|3Be;6|8pCxuxNap^W^v8oin--d zpDS$wSMT}SgX^?#-CC~9;(9$?F}GambEQq;>g~(n8p3rOxiX9Ejc~=>a;eXiHiN6T zuQ^<&f$O$%Wfs?);fgt2{PUT{*7;Ogyb z4cDpQy1iVP#r1Z$Vh$HSKB~`^wt=g+ufaoi&#zO$b(mb4#r00OVs1TO>T{(Hb-#Vj zFSt$t*B#`_EUtIM6?4m_K3CcZuHL@JaGe~kJIa+=Tno5jZn@OwN}Isdd%pJIIvHGd zk}I>g-UnCA;o{e|>T{({;p*+n;W{Z?ca|%&xIO?^%;DlWp*~mI46fe3=5U<^uDi&U zSzI52E9RCJt^r*4lq<8iJ`Y#St$nG_l{SN`x34)|$A#-&a%C3R7vYMzOKWxU^A7YAq^puEHLn`ER{zVt-*afp@*PKY-e-9dT$X|B=j75lHZSxOq^pxF zHLnJ_{zI;l;j#=|&yY*&m<#;`>6+w9&8tPOf0L^Mmu2Ak6}hyIok#Q&q-&EaHLu)h z!}qV3$n`O}ECbgna%ml#7y1d(<#D{8`xm+XMXpoevJ70mC70If;?EtSpCDa!z||s`*0J-5eu8u*a;4T+nOy%M*Qsz>2CiqxrFG1Oeu8ura;4@akPOo z1K0E9(mFOT^b@3Ok}EZ@7P?psf0662DuH<%`11t@crvKa(x0W%fL03Tw2Fm z=qE^*$MN*$`tJj!<~502e<0WSa9IYfb;+f*x_G}wKS8zJ5;98GdTC0nnr=g!9U4>k!c?r2%Dhyc*>CExA4omu27@PcE%vF7y+m zYmzH9uNJv}L#}0TSq82R$fb4cJffcoag!2CfNkbw6jSwYu>A zf^>QGpVfJsI>tUv>OW_?o4yX>KWF-DJ)ZxZ>2vfs(@FTg*P(9#wU%r8chQQt9nQl= zzoPqd5$=y=aDQHp`_uiLsn&Xb`1cX$CrDSq@w%?m`7e{}m*lz_F3Z6626AZ~JOAh> zNLL|O>ii42o*~yIa9IYfH<3$gb@Af_`U%oi$(5Q{jaFVT4&8tDKpOb4jT$X|Bt>n@=b{^4BkgiFt)Vx~c`Wd+{h08KG=J5UHX>wKJvJ6~rCzsYS7y1d(<#9Z{`5Lc}$n{fleGV?m!1WGtX&pO{=qE^5 zAXjQ$MRNUwT$jOR8Mxj_F0EtpLO(&e61h_At4yvRlk4+vSq83mkxT2?ywFdOu0pQV zyo6j$a$OFWW#D=bxwMYC&`*%AO0LwrYUKJ6xvqfAGH|_@Tw2G@Bl-!_)yb8bSA$$X zB-a<GC+9-h7Sw7rDMquB+j)3|w20OKWxU<0JYB(iO;+ znpcrr-y_!-;j#=|+mK7^*u2nBkgi0o(fVz%fB%A9-zC>Ia9IYfZONr|%!Ph}bQN-? z<|X8Ml3Xj`vJ70?kxT2C3;hJ?s^l82-xiryja=U$*R^n22Cff~OKWxUbs_o*($&e8 znpcBd-zL|W;Ia%{JCaN5m<#;`>6+vkt=|@zSBqTVBG+|rSq841$fb4cJffczm~IGF+B{Yj<*K9a~@MCrDQ!S89Eg$@LhyZh*@&aP2`Ztz+jA{RHVM zGC+9-h7Sw7r7oH*DY{a2Cn_d zrM0^F{SD|RNLL_NYF>f-kaqn{vMiCn4mRVLR1+9sY11`(JHHTbU$L588f^j-jb9h(>W3DV_pJiYlE_b+nYO|HA(vJ71F$)$B{ zeW9NqU4dMwc@@d^HFA9oF3Z4m6uGpH%?tem=}P2Et*zVw~_09xGV$L3FOi`b{^4BkS>qo>CM-;f064}ayC`U%oC$(5Q{i(EI6>ruEY1J{}4(mLisKS8=Sxl;4Wx#9cQjpS;;Wf{26 zCYRRg;^#x?CrFpa@$}|v+`q_m1Gyf9%QA4COD?TrF7y+mE08NSuOhj=Os;RjWf`~} zxwMYC&`*%AM6T5ODwFGaay<^0W#IY*xwMY0FZ2_ntB@--FCkZrTu;Dd8Mr=4F0ErO z^b@43k}EZ@8o90`*SFxZ3|yZgm)5cKh<<`}b#kTV)gafG$n|ZwECbi4$)$D7g?@r` zO>(8?)gsrm(iLz#y}7zl^D5$YUDp@M^*y*O z1J|X#ap=-I=0ZO~x)P43H(%4d%D7$Ebv3!Z50_=&`kZeZy0lgozmAE1f^-!ePj9}a zc?oXUbzMcSr{J;-T%Y%iLzmXE^@Vq>I{04~eGb%k#ny0lgo zKd(hULApAQr#D~Iyc)P&*YyQ*{SYq8z;&f>9J;iQxzJCLu8HI6&DS)q7H-#dT|urN z!DSh^uJ(;Xm)7dy>m2kGq-*1Ndh<2SEBA@v``6{Ma$QWWU&3V>xNawx*0J@4eu8uba;4@~B-cgc`W0N3f$L6kX&svv`U%pN z$dy`OWpaInT)&3PGH~5RF0ErO^b@43kSjGWA=ff;t%A!kaNSKVtz$0q6Qrw>D>bhg zxjs#<-@s)Vxb7vF)-f0Q3DVWcm6}(BTo;n-w{TeouKUQPb(6jm2Cnat zOY7KqL_a~gHn~#s%3Uyg|2l_U&%^!2MAYF-Esr6MR*O}z{D_oX=>&N8M zI_5$@LAnaLQu7jWEhX2Ba9IYfpOQ=Km<#;`>8j*P&8tSPGsyKfxGV$L&&Z{$t;g}77d}nX ze_r?x^m*YHzV8|IZPWcp%{lj};rq*}bbtO2_s250Kfl8L>3&{V>)826KS8=Yj;A+Y z)9YrF$n|k@wc)Z1T&u{Xb$l|6I<_v+Pmr!euGG3L zlj~#T`X^kLfvZI>tz+{-KS8<*xl;2Ia#hInFSslN*R$l(T3!79c=QvbtCA}$fb2`Ug#%CS0`6$UJY`cM6Q3sWf{2sL@upkF7y+mYmzH9uNJvZB-el7 zvJ70$lS}KE3;hJ?+T=>jD|g}W{c8!iR>Nf(xLzQa*0J@4eu8v)98Yh)#{G+2Cy;As zouQ$#hDMFD3|udgOY7LY&`*%AK(5rhisU+;T%+K!3|xOFm)7dy$5r$bq$`mtwZ6*a z`Y5?Z!(|z`+T_wY=0ZO~x(c~c^Ad6`Cf69aECbg+$)$D7g?@r`RdS`~RU_9Ta*c({ zGH|^_F0Eth3;hJ?>f}nzt3j@XbhoxsD;%E8(&XTaz)y0oP=qE^5Cs%4-4RRexu2;il8MxLbm)0>C`U%oC$(5Q{i(K=`wLV;y zfonXuw2qxe^b@3OlPfi^+_K^O*F18)1}@9MwE?-bRu^C2qn{vM9>?psf0645a*c<} zGH^{Gm)5cKh<<`}1#+e4RV3Hpm%fP9bA@y>n-HcT3!6}h<<`}O>(8?)gsrS%fK~}Tw2H0 z7y1d(waJy5SMD>z_pd|9^?JB01J~QgrFG1Oeu8v)9Ixm8MXrO%m50kRaBWI1tz$0q z6QnDUD>bhoxjsy;H^5~XxHcn~)-f0Q3DT9wm0DkAavemjH^OBZxF(THYjyGU1o{cm zRmhc^myoMOt~bGD8Mxj}F0EtpLO(&eD!Eegs*&qJa%~KkW#HPJTw2Fm=qE^5Cs%4- z4RReot~bMF8Mr2sOY4{m{RHWnJH zU$e>eR=6w!*B0c`IyNu#6Qs-Ics=(oa_vX1iEvp4u4&}bT3!5n8~p_73gk-7t4OYW z$+ZbwmVs+)a%ml#7y1d(mB^J^UuANAh+J=j%QA2k$fb2`eW9NqU4>k!c?r2@k?ZYn zSq856lS}KE3;hJ?s^m(|t46MU$h9e4mVs+~a%mkqkLV{zS0`6$UJY{XO|EyqWf{13 zAeYv$d7+;mU6Wj?d9}#37r8cr%QA3HCzsYS7y1d(waJy5SMK8B``1izy%R3Wz_l~E zw2ryZPmnH;zE7u1nCOoO3kZCt`CyyU2s_juHDF`b9dn_dAYGGOsd=@?wH3K`fXgy)Ehd-NvGa(2 zf^=m2kGq$`mtwZ6*ano6#n;j#=|6>@2lAWn9h(>W3DQ-`m6}(LT<;^-47e-<*Qw;vI_5$@LApA*QuAt% zYcjcZh08K z?E#l%;5v_7TC0oqFZ2_nE0HU;zRKi!7r8zNmu27*a%mk~U+5=DS0PtwUP7)(NY^G;>ip+EH++BD zm|O?IWf{1xCYRPR7y1d(<#D{8`wO|=M6LtjvJ70;kV|WI@pUfx3DOnFm6}(PTyG>- z2`_EKCFB}UuEXK73|x1SOY4{m z{RHW%j=0k1J~W;(pp{oJPQ2;>FVT4&8tDK^~p64F3Z4mFS)c<7e8M? zKS8=Cxl;3Lk?Ymunh%#{;JS}oTF2&veu8vua;4^#`~2|zYaF?bgv&B;JwPt4V=nX) zq|4)YJ@+qiy^35%!DSh^9wL|4>f*;C^b@2jkSjH>BDvNhR~atL!1V~Zw2sXS{RHVs zqN1uE)rwb?iK%pCDb8T&a20 z$n^?x9SfIb;Ch@~TC0oq3G@@BtCK4=uLil+CD#JDECbiK$fdQq`1kebCrH;MS884@ za;-zIOh&Tnpi{3|!wOm)5cMg?@r`c^t3j z{za}a)y1DrL_a~g zCb?4cYLV;T9%fR&ua%ml#7y1d(waJy5SMG}8``1h4Itebz!1YUVX&rN+pCDZx z$LqO&k?UXNIvFm@!1Zf#X{|0^_vj}`S0GnvUPW^KlUxD>bhg zx&Dt_ABW2_aQ%T?TF1^K`U%q2$(5Q{gIs?n*Qsz>2ChGnOY4{m{RHWnomA51J|F)rFG1Oeu8vua;4^#`@-=3>qT;%4wq%%`U|?psf0662 z;K55b!>g1pCDa@T&Z~pxt=H2S#Vhfu78kA>zE7u1nH{eO3kZAu0NCOY`81~ z*T2Z6wYqp;ML$8hI=NEwYLM$sT_D6QpaBD>bhcxqd^gPr+pwxL!jptz+{-KS8=S zxl;4WT{V3FT1Bo4;j#=|uO*k(>f-m=p`RdK9>?psf066gW}6*E8h02rkRO^#*cj9h(>W3DQ-`m6}(LT)!aK#c){$t~ZfO>)5=|Pmr!ouGG95 z3Ib4>3YZG#5tuEg0(NB;rkK^^+zsU7da$O3SW#D={xwMX*NAweDhyc*>CA-OJx z%QA4imt0!M&LjE>(lyDInpcZlKOol?a9IYf_mNBM*u2nBkgiRx)Vy+E9KL@&MXoQv zWf{1pl1uBD3;hJ?@;F}4{fk`RC)bs5Sq82x$)$D7g?@r`1#*qnZyOq&`FClHpSGS1}@9MwH>*%Ru}(0-RLJsS0~qK{kF)w z8sz#mxmLhs8Mr<`F0Etd5&Z<|n&e8&t3|GFk?UHxECbh$8j)!qu&;pSB+eclIsSzECbh`ep_T-Epk0VuAAVp3|#w=OY4{m{RHXS?psf064Ua@`DGJy7=c2{RHWnmG95 z0heXqI*eRe$6V+qNSDX)dhTE3x|>{g!etq_jv$xTF&Fv?(iO;+npcrrUn5r?F3Z3* zpIln2t1d%BqlU&_TJhED)f--heu8u*a;4T+nOt{~>n^w~1J_aH(pp`-?$J+>u0pQV zyo6kJa(xXh%fNLsxwMX*NAweke|=1D9psIu5Sx=f1U87rtMRu8ICvbl%5sjD5b_f9~5p@9jVLeY+mdfA0JD^ttaA zzHcG=wo&)`InI4)`2KPm-Jg4Le=LLhvl#cM`?+teW9J|J1nKfPUe}eH^CWWJO0KWN zWf{1RCzsah;^$H5CrDQyS881r$@Nuo-3OOt;95d1tz+{-KS8<@xl;2glj|08-4B;# z;5vz1TC0nHUeHgFu0pQVyo6jU$@KtSmVv86F0IwYudkz@AYGMQsd?4Nbu+mhgv&B; zokA|HW9Je51nKJJO3kZ5uCI{mA-F69*Qw;vI(8n>Pmr!juGG9*)0> z=0ZO~x&paU^D2_-%j9|#F3Z4m4!N|BtuOQwq$`mtwZ6*ax}IDOxGV$LdF0YsU3`6n zeu8ura;4@aA*m*=hLAolrQuC^j>pF6M6E4fZbw0VYj-5yJ6Qrw? zD>bhMxxPfM$KkRJTo;f_>)5=|Pmr!juGG9*GC*U&;5&B*O2Sma9IYfi^!$5y7+M${RHU>pO5+2ChrUrFHB)qMsmLiCn4mRVLTfjD|h|y{p)ga z{Qxe@z;zY5w2qxe^b@4Z<9IoLYyJ1DQ}dccuFsR}hj3X2t}l{H>zE7u1nCOoO3kZC zuFJ^vBe*OB*9vlJ9dn_dAYF-Esd<&j^*M4i;j#=|Um};*vGs+1f^-#frRF8%s*>x+ za9IYf8o9Jq7rzdGeu8vWa;4@~BiE(m`UzZ?f$PiU(mFOT^b@43lPfi^2Dz4#>!)y8 z2Cf^)rFCq5p`RdKlU%8JwaE2Zay<=~W#IY>xwMX*NAwet}FT z2CkLl(mLisKS8=Yj@NVlBG<*_`Z-*df$OW}(mHk?(NB=BK(5rhisZV8T)%+JGH~5S zF0IwYk3;AuNLM0PYJHW-^%-(K1D9psx`SL=$L588f^-#frRF8%T1Kv4!etq_>g3Wo z=0ZO~x+=L+^Qw{S)8zUUT$X|BYvj^8=0ZO~x;nX1^JTwf=b*6QNxLi7`)Ym+NAuiOp8_pb}c^&7Y>1K0iJ(mHk? z(NB;rkK^^+zsU7Ta{U%A%fR&@xwKXn{~iwg1nCOoO3kZCuJg(DJGd+Z*Tdw}IyNu# z6QnDVE49ALzE7u1nDZ|O3h2iCFJ@&T$X{WK`yP;#rr+_3DQ-` zm6}(LT#j7N!etq_zDX{vWAj2kLApA*QuAt%>pXJ(0WQnH^#r-Jj=9iJkgiFt)Vx~c zI+t9}!DSh^zD+KzV=nX)q-&EaHLu)_!}qUq$n{6KECbh*V*HZSxOq$`mtwZ6*aI+I+_ z!(|z`en>8@WAj2kLAnaLQu7jWEhX1q;Ia%{O>$`+n-}^C(pAZonpcfnXOQa!xGV$L zPspXUy7)Q={RHXi$n}44Sq83Epg2GH^XhF0IwY z*M;aONLMFUYF-U;okXsG!DSh^o+FpmvGs+1f^`a(ZJ zx&paU^D2_-cyg_V%QA4iNG`2o^FlvCx)Qlk>#IzzkCJO>-JzkghDMFD3|xOFm)0>C z`U%oi$d#IxkZUoyM!{tnxZ32>I_5$@LAolrQuC^jYZ19d!(|z`{z)#a)y4Zg`U%q2 z$(5Q{gIo*AH3lxr!1WTjw2sXS{RHWnH5M+*!1W(;X{|2a@6k_?u1&7g zymB`W-@g`+YaO^O1J}^2hX4IsTF2&veu8v)9Ixm8MXqDXwJuzifon9mv{n~i7owja zU4dMwc@@cZ47pwbmu27@OD?Tr^FlvCx)Qlk>#Izzqsf(n%QA4SOD?TrF7y+mtB@-- zFCkZ%T(5-7GH~U{rM0?v-J_o%U6ov^dDX~u6uH)e%QA4SM=q^nF7y+mtCK4=uLik} zB-g9pvJ70~$fb4cJffcx5t_kGQIyNu#6QnDVE49ALzE7u1nDZ|O3h2iHJ4nkh08K< z<;kUW>^!2MAYGMQsd?4NHHTaqz-1Y@-bgO3WAj2kLApA*QuAt%>m%ga5H8EWwK2K0 zj?D}G1nHXOO3kZ9u0zQ+0WQnH^%inztuB5XLO(&eHn~#s%H1-2|2l+RuY=1na7`qa z*0J-5eu8v)9Ixm8MXrO%wGmvFf$MGL(mLisKS8y2<(2CjFLOKWxU&m;N?($&e8npcBd2axMca9IYf&B>*;y7=`%^b@3O zk}EZ@7Po8htyTvN!UbNt zujl?nuKmdM7Pu?}*B0c`I_5$@LAnCDQu8X3YhQA`6)wxbHH}lS!;FS`vvLh=)bV@de1TTd1e1O=G*l+{&UQGYx>VIx9D@s4Se5r=-V9O z{b|wt*^BPa+i`y^gZuLV+@J2}n6-}08T|z5+T==||J<#^_m`RE+7vF!z_lZ}w2ryZ zPmnH;Zn!K1*Pi6kIyNu#6QpaBD>bhc zxppPjd*HGRTzipAYjyFuM?XQjHn~#s%H1}6|C&Lr&Ec{PT>Fqq>)3fjKS8=Yj@NVl zBG)eDdM{j-f$Kx$(mHk?(NB=BK(5rhisagvT$ACl3|#w>OY7LY&`*%AM6T5ODwAs` za=i~O%fPiixwMY0FZ2_ntB@--FCo`-a!rBDGH@M8F0EtpLO(&eD!Eegs*!6)a!rNH zGH@M4F0ErO^b@43lPfi^2Dx@1*A{SD2Cjq2rFG1Oeu8vOa;4_gBG(7VwIy7Zf$LCm zX{|1Pd_+G%x;D8|^UB>meE-^>T+`sP3|w=_rM0?vUqwGbx;&28bN?dOcI4U$F3Z4m z7`e2LxzJCLu0XETyo%&{Ke@Js%QA2sK`yOh=Mnt`=}P2Et*bhMxwabhMxh9iqXSgf_*T>1Fbojs{9dn_dAYGeWsd?q@ z9KL^TPOcenSq82%$fb46g?@r`c^t3j{zb0$kZV`CECbh>My?OSWf{0W zNiMBpF7y+mYmzH9uNJx9L9RXFvJ70GBA3>&^N4wKrUrf$L&&X{|1P z9RU3V=}P2Et*+c%?tem z>8j*P&8tSPx035aa9IYf&yh>(*u2nBkgiUy)VvzxdJDPsh08Kf*;o^b@3OlPfi^++D->uZ_ty8!pShbtSp9Ru}(0e&{Di zm&fsX?qB436S?+>%QA3XO)jlt=Mnt`=?dgZ&8tYRHkZ^O5H8EWbuGEHj=9iJkgh_m)VzdTd2*HDvJ70;kxT2?c|<=!x+=L+^Qw{S z_2fDTF3Z4mJ-M`wxzJCLu1>Dhyc*=%h+H3r%QA4?KrXFgF7y+mYmzH9uNJvpN3Mh6 zvJ6}|kxT2C3;hJ?+T=>jEBCeG`_}|=9RinS;JTSyTE|@ICrFpa@p|rGuz#s9h(>W3DPymm6}(JT(2hA5pY=su6xO)b!>g1 zpCDbET&a2G?jF8>jU(4QxGV$LedN+QHZSxOq|4)YJ@+qiy^37(;j#=|50Fc1b@AWN zg?@r`1#+e4RV3GX)852KS8=Gxl;40k?R%YIvOs^!1Wlpv{o1I_vj}` zS0`6$UJY`sORi(!vJ700lS}KE3;hJ?n&e8&t3|GL$aO4SmVxVAYF0uJ4me>zE7u1nElTO0BOlxkiy|5nPsm>j&i0T3!6}h<<`}6>_EKCFB|+ z*J8LV1J{qprFCpx=qE^5C0A-*HFB-~hkw8N(3s^rj_Uk7a36)sGI0HvTw2Fm=qE^5 zCs%4-4RZa5T*t#@8MuB*F0ErO^b@3Ok}EZ@7PC`U%pt$(5Q{ z?%v`1*GuGD0+(gr`USbPRu`{(^b@4Z<9I#yFLM2hTqnY18MuB)F0EtpLO(&e0=ZK2 zDw6A;Dhyc*>C zJGoAQ%QA5Nfm~Y0T<9lA*CbbJUM+I{ja(mx%QA5Nkz88G&LjE>(zVHznpf`Y!}qTj z$#p7RmVxWf_zxc)*et<}Zv|3W`Ox&paU^D2_- z1#+DZmu2AkE4j2*7w;43CrDQ!S89Eg$@Ld z3|#*rm)0>C`U%oC$(5Q{i(G#s*V%Ac2CjdTOY4{m{RHXSp60r1D9ps zT1_sk)y4Z4`U%qIalD@U7rFjGu5;nC3|yneb^hM4?&n{%Ru{fskgkCK+jZQ>*ymjR z=U?~M=QsZIug~i7{O4bPN1uN!c3tRO8sYsZcfU_LL!&SHJ>8%4aDOa=`!g2zr~CO= ztz+jO{RHVMl1KU2CntUrFCpx=qE_mBv)!)Epq*aT<61O8MwxgOY7LY&`*%A zO|I0ua`zA4UsjRplWNtujl?ku3wYu0=O&#*LZSi9dn_dAYFl6 zsd*L2^(%6H3NFjQwE?-bj;$~B6QnDVE49AL_V7;F?G-tz+{-KS8C`U%oi$d#IxkgG|qOX0E% zT$_zE7u1nH{eO3kZAt{;)B3YTTznnW(G)y2=#(NB=BPOj9v8sz#RxjqM%W#D=@ zxwKXnzpjCPf^q&B5372Ky+L~Ni$6V+qNLMA-X#KXxylUk74!N#^%QA2k$fb46g?@r`b#kTV)gafm z$#pecmVxX2Ntujl?nuE)u>0xrwIHJw~qtBW5W(NB=BK&~B-b~|buC<$foo@SX{|1PJrDf^=}P2Et*A4Rt`Cw+>zE7u1nHXOO3kZ9u1CoAWwGC*U&;5&B50UFexGV$LEOKcbbD^IgU4dMw zc@@d^Ah~XW%QA57OD?TrF7y+mE0HU;zRKi!fLvdJ%QA4yCYRRg;^!UcCrDQzS885D zuKUS#GhCK|>i}|T9dn_dAYGMQsd?4NbsxD_!etq_O61a7UHtxK^b@43lPfi^2D!dY zu3O-;3|t>3m)0>C`U%oC$(5Q{i(L1T>#J~C2ChTMrFHB)qMsmLn_Q`Rucn?9WKkj zbvU`Sj=9iJkgi0o)cPuu>n?KL0heXqnny0J)y0pG=qE^5Ay;Z%LasWw?u5%Sa2-i5 ztz$0q6Qrw>D>bhgx$Y!a9WKkjRVJ6#vGa(2f^>CqrRLQj*B#`#3ogsRbqu+*j?D}G z1nHXOO3kZ9uG`7=HMlGT*8*~B9dn_dAYGeWsd?qTF?|2Jja+xbWf`~@l1uB@c|<=! zx;&28bN?dOt>n4~F3Z5Rm|R-N=7oNObOmyy=2axuSIKoRT$X|BcyehSn-}^C(v`@S zT3=;y-9oOf!(|z`mXJ&9*u2nBkgh_m)VzdTE6H^qT$X|BBywpTbD^IgU6ov^dDX~u zGr8`E%QA3P$fb4cJffc>cQmu28OgEzN{U3?vleu8v)9Ixm8MXnpj z^)OtPfomzbv{o0t{)K*mbOmyy=2axum&x@AT$X|BEOKcbTVLoWNLM0PYJHW-bv?Ph z0heXqI)_|Z$6V+qNLL|OYFz+1V|SlEH1rBPjiY>y8|7a$L_G#M7P*ekSNiVj`n=fZD}0Xkx6k=}o3CH# z^Fg2M`Fzaht9<$xdMoO2$XEM(+<$$2pZa{{H9lYSrlFznJ~#IHTI2@E4Sl}C-+m*X zehfpeN9KL{a_9{{J!5DSpX>X4n@{aC0e}8RpSkuy?|zfdOJBVB;~V>Y_T*_pZ}xfb z`ddBx7N09N9U6L_&q>;FX!Mwq7af1>*r9dD4*B%|2=>_WVaLzYdd}es7HJ-F?9iey z`se;X{qvmiErw?3_wB0RI5c{lZ96&U zh>sm%-?wPt{G;`DC(R!^T5p&A`kW*5&lerH*c=PzFI=Ma2}28)jP|MR)N{)2d)e{I zvvw%xpU!)Dm+7VcBB&RR56^Qe!^C~0oF&nX|#oVMFB=Gt@1 zj;njW-krE#Cmp@yF#Wy-bC#I*xT6;yrS*_cz2Ew6njhR@S395c4_mOe{`r(`kF@)> z`zZ@-UF>x5DO>2*ci3`hi|~FGaNO*9OrBr!ELn7%&3o}-M|9Sq&(L$=KIQl8@%B2n zv);B_bigTE@4nR$HlOW_^LNmC#+0EQLeJ!$b69-5e&5l@9o?D#N%K$8ddO#Z{M~mr z*5*~3Rrrwp`L^@+-9>Z3o=5JfIrYN}x6wRi>d-df@i$t_Ep*JUu&7lmsW3>dxt;K`hD}}pQQU-ZvN0oi~MnO9p^eZ|HJPe zr~a4PPj9bj-;a8R&ws7X<#gSoj;CKAscW=wOBoijvrd=f9`Uf_0rq*PPkSK*ySIT?EW9M(DwD7=S}CL=kX~xd<^8g zoe0NCM;u}2t9yRBsmBS|&xxpC@~P)Z|9lYZ=Oj2zTzKq4J5ML~w|-87Yg2!|2kAN) zu9HumqmO4f|6`|*|2h9-r;q=+&i>YW9i0r{>;d~K8J}G*<@}G|0$u0+aQ?sEz6yNj z4A}RvjL)vma{kBA_AUR%Bl3L=zS{=uI|aT&cG_RB3v+XJnxT)^xfwgnK3#M1Ve@RC zJ#on)Bl`I`1e^+`E%Z7lx7C!>ZC~@u6Selt6Z<*uABT5i-+z!l zH>YO2TMoo~D!j7?>^&{xowJqB(~noLk8*yzdfk`vXWM?|*@tND*@x(UrOy{f;yxYjhX?FFBkT4LHS6Z~ z*n3ZXev+G#SkEJUygmc&v3_G7F#8GG#Wvi6CkaQY{`19hI6b?&Rq(wtpv z&U+@-^GL5B&xHHb0lUviyKTRlxt8v;;J#)UC+VKCldhN9 zI~_bl^U#Fff4l#$_x^Vd{M-8{0)zZ{Jh!*s_LH^sp9}w~1NNWS+pn*ito8iQga4iZ z`(1DUmV@v+_+J{ZU()^q)a{r3T0MSBcisC3u0gK*PbA&D+J3XP?oV{r`+(i&XWg@P z&VzbfI={Ql2kibNyQdEJI_s0&^*vy>UT^C2_CvbYhg0Va^g8Q;?)vr@4uf3ZpUS$| z>N@LF-Ss?R=Y?74K(Dhdgj?SiImr2bI_)0Fb=IfhesRF=Woh?7uCtcGJUGD?-U)Lh<~nP6cfAkTeQDM`(Ce&AyX$4Qb?!FPUeDb3p!n;r{&LR$*ZcYL=ipp0VCQ9BXK_Efe%ht` z`p+JF+w=OheV(8nc-Q@I#em(P@4ENc*Itj`Y0kd-K8DW*#mZdft_2do%0KDUNB(imF(Pd z?VMM_`S5_9S9P8H%--Gh(>?aK$Ai?*zkiw0|JQq+UgdA4KmRWc*n4%?yWb4kUk{wM z=HF+G)O$6&)BN8fImpL@FaFoNm%VQ4w^@7K+GFqd3U~( z=Ign3{kzRt?yuLtyJEoJ73B55m$f;k_Sx?H7P_g^)^)0>?|)f=`so2XuT41<`)t?O zP4$J&Yf(?|?*kp=di_$$nb>E$zHYMfOK{E{u=Bc*!b(5VnIPV#-^ZJx?tC#1z9?lmB?EG@dx%JC)ei_cqUp?6KenZN+&C7G%0Oz~` zJ8vXs(f>kHuLJn~A|rjiawD9V57>DVIS*J%=S^@vHDKpgy3YM~vezAF&)L_mr&7P? z^*8tb^?tnn3cTa|8%+nfKi!=54&?dN&G60`uy zx57Ddz|Pyc&g}d9^z#Hc&$Q=5o;jzV_xIff?>PhZ-k$OP_x*iq<-Hx=dj{;iBjfG9 zzwhY7b-sSQ_C8NP-oD{-Pim3?u2{u@q_(& zt7qM|j|cDXi>{B6UVqf#UNB(yU0L_A-#hoaPxyb9+n&$eIAG`3vQE1W`QQ5};_KP} zI@bT!`|;5KbG+tWHDLGMX*YiFyms!p;okJMgI&+}q}?|6{Z3yyxBut&Y~J$*?7lbY z?p{B2-`^Ma`)~LE^+r7{u>Zc^etX^R(8T%~>HP15 ze^dVfy+J;2xWBg_zjt1{`QH!!yaD?kNc%g#cV5fK&j;XMF<|$DNjHA)yq4|<;a)Xh z_d{7X{oXmgPDc8;^bp*eZaCO=uAgtv*Ik46$qoM;#ahn$;qLk#u=|m$d!W}@k961f zfZgB7y4UJD>l@wmJYeUeS?55nvmS+e;|YUZ&yBQuAlF$9xDOey`?0ipAlF%s!F~CF z-QP^Q2Ya3MP57T4u>bMi{()R)J>FgKuN&-of1bl3fW{om^CAINpqx4P?p z!2WNi{R6qq`gV8S57_;kqNfdcmEfA4D!1C$*g;z*I7??*ZF|m-(@$wzpvld zSH9a_&jWUTuj~BZ`}@}F^{Vf|`P6`&-|sq8@9$g7>(=kXJ?`~`UB6Ft-I@3I#h)Me zoBRKIzYg&fyfX*v{Xy4D@9*RFI@0&|{Q%B$2JHMHJMq4gm+Sl?oc9da`6G7XeJ3y1 z`6D=A9I&&=PQ35riZk`|P&(n{)PJVx%hsJ(CFw);6{uthQ1NQ!;>*e?Nt)=%T z@LoP(?@#~h&Ah)a`nWLC_xJr2-lqoaefq!N0}s~oKNasse!1)RX*kEdVX*7=XY5?d z{px3M&KR)s=j>d|{p#m%o;qOXFW9-3`_(VtylueFXV{7N$-Uh5`3#)T4cPfhcH(_< zFW31?I5&OcV1JH&#ZJ6W?&Ugv1?M3HcK({3c%R(Mb^aR8O9t#*Mb3i%1&!{b{QirP z{=Rw@oKFnc`5SU>yOz%1z&Z9!gI%A$?K(5>H($H!{olg7{eZo{BQL+-oY!mjeZ1ZD zEc<L&}+W9PRqL$<9B(IeWm)=TgqZ<7n5{O?Ey9=LG|H{xRiD zJdSpK-DKw<;e2?&&OfD`iO12dubb@r6Pzy%*!gF2`uCf!)#K-%;hgs7!TuaQPfq`S z^R;q559fjbJO9#kX5MeUc8{Nbfp^7#y)R_Fc)$7Dd0&9{=>dEH+Vzg~{pS7xAojY- zNZ)V%SKN;YZyD@*elc}FdcEH~aeqeoI?;=`KZgwX{`@UzmqYVLj~VrdzrpI&8&38&U+w?q@==TJ z4MC%qck=~Xh@+R|`<9>R|Bt`@WjOBg^*u!E^Xvwrmyh)eKxkMtU+<#1H=-;b1Tz;{CAGdzLwe$Z@@TUHWp4Np{(p5Y{$XElH)0?9W_)`8)i<%zZ?e_i zw<&6T->9mu)iv=(;M{TL*I-~myi0Ubox!U+WV%V#`lfd#Oe(% zYxq=Wq4VdPW=@bbl^&KT*%TPQS@kdrt+Pa_8$A&pH0<>RATQg3j$me7?{(RH_B zXZylg-cy5TN$2YsPj}zEAD&8|9`wz4{9m(To>Sqm+IyPtEbV+fKLf?$X|Mdgrxunx? zven*Ggs0m1dd8#cUOi=aF7MoK#2)m`c*glYI-jdL{U%%OJ^J@v>wH#pzMk>ux>Jw- zJtMmR)H=5tu?KxK9(_Dk&yAgaldbk1{d|#nR(8Ig@#uL~kN%!PJ-2mkH)0R^W<37m zl;){-`c1ald)n~a)A@SFGtPfqJrn)Lt)BaR)z5lH-;Bp!rkLm9PQS@kdrzTroC%H2 z*E1eBZp0q+ z&3OFBIn48Pr{83&y=Nsn&vd??@%XpJ`~UpoJ3Om8w;QqN8Qh*9FSM`Dr`7rM$yR&M z^YA>^`Fh4P!GB#n`LUh#^L*!aBle(gW(tXDdF)?Z~cFM|A9v@Ap1FA=$rBAI?(y(b*Ik9YF$6@ zOiOqsDo583JcT|z=$rBQUq_o~dw8tY^#jlJgy(JWG~t=irw4sA9$l9@pCUX~>-vFb zX2P>6Jb8cNq3dT>pC0thc>J$#%rhGvt9AW!jx(W@@N5Q82_FBU=f2ht`er=(bE5N^ z3y;;he&Csx@Jxbd89e1aJ?NY9_?J1&vj85ey{87x;)Lhj@H`36l0H4?oAKz+t-bx_;ofs`K^CR6AkM3)_eroVot?LJ#8xx*s@QlxO*3Zg5J?NY9yd9p~;IUfQPvIu)*@XUhe zo<2S3oAKyAtMj=Z9;;;{&{ok`gvz~?uTb= z=XN9Bf6zDM(Z@yo`O;rp==Gu1-qVC;pz!gCco)B5zFZ^on74LY9!JXU*89iHtIo|*78;hEm22YoZ1_rWs* z9;?0Qd3f}7#MpfHhG)Eg!BO|anSFZDH{;Rk7@f~7c&zrGNuA?Nn4R#isZS63 zW;|2jIRqZ7y=N{wa}%C@;aLjLygohXoAKy%mCmOOkJa8&g=ay+^AULJ@GS1rgT5Kh zmhdcr$7=6+5}r!JGZ&uc;W@QW5Bg?2dYz~9SqhKU-jf^G*$>Z2cn*hWQs;KdrB4s~ zW;|QLa{)Y7d(U)umL)v%;F$~0C4G9(H{;nFo+><6drt+P%M+d>;i~l1kV!*&q8=A@I2Y42YoZ1 z?cjL|9;>})89dE|XE8i0;d#1G5Bg?2`aD7B^9(#zdrt$NRSD1W@U-A*_31(1jOPRJ zJO_`}-ZQj*XFq&C;aLLD#Ln%Ozu2b-eKQ_?-lFqq!(+Ag6ySL&;W-JO5eW7j_wc&>tHT%R8F&3LB6GaeqRy{8V(goNi*cv|q} z`}Cl1#^`uoAK-n&m?%P_MYkR=o?#N zo>B13g=b2i9`wz4c7bOaJXU*81)f6Z>zmu*gZH=iFZ=)e?+f7BzH_?~KR-p^j7Ohm z>d)8oPQS@kd(TRE^xuh&&BwodZ=NUNDfa0>-;8HhcxJ+5wfD5(nU(PPm-Eb%8{c_6 zo!zGgeKQ_?-mCK|!DF@eOza$I!XXKdf7))IB0O{Z^q_CXvpYQV;IZ0!=E74>c>HCv zc?6yXeR|M0~l3eSp!M}IG_p8Mgc_31(1jAt)+ZiL5bT|e-w zOnCJ7(CQi5ptF8%>(hh28PDGE)ZwvO*H7m-6YfcP^!L8%nGVnWeR|M0A{C;IfDZ^knVo+sh4TGtOePbED1drezE@HG4Mpl`vY1#3D3LW zDRgePd}5y-^v!q#(-}z_X-J5Bg?2`g;g{eo=wPYF$4Y zb=J?R36H++sh&dTcFULc=|SI&XAV5)z+<(pA9y6;(bpN(Q-SA#K0WB0@yzvIbw11B zv0B#;JeMRq`nsNaR>D*5(}TVl&tbl+dM<~@YVT>lb5+8lucN7_1<#5;J?NY991c$n z9;>})$X~GQ{&Qo(vlBd%I=5TCvQH2CW;{p0a~nKXd(U)u>Iu&-@XUqho<2S3oAJzp z=YDvs_MQqn4|l$vx!%y%W%PVi;c0YkH{$&eeKVf<@H_#J)!wrbo+lF?eH}zSPr~z5 zpC0thc=Y#Jx}KZxSnWM6c%Dvp^mPaIXDM0l+Bo&r3b&p~IOf6a!c1ka>C zJ?NY990$+l@L26Vi{Y8l`FdtQ+#jB0@J#E|gT5KhLU;=BSnWMEc(zY?4uGct&-6Y$ z=$r8@f@cOiR(sDg@DvlC1K}BZV`o2{*{276GoHop%!0>i@5y(LGhueZQ-Y@ePpMB2 z`er;Ih361>toEK+@XSqk4uWSfJoEbWpl`-=JUnH1to9y(XFmntJkY>a1t!(}TVl z&x!C{0FTw)Go^E!3Cj{5eV(SCS@2xarw4sAo|E9I!eh1fl;OEN;nC+s>JfPUzrFVl ztm?YXM6Z4r-^3(Vf|8H~C$d8vk`P(gvP~S~%CQ_njBUjxHi>b>56PBn87Uwzafqv= zv{n)fM#b-3qTDKCES`ToITowN40zrFT4YwxqqK1cfs@)2$gpC`p*#m98;IVC=aGWc-bPd*pL=Wszj!mZ)+S@Ag{KBj|D+k!B@ zAI;!1C_Y`mu5zp(AK}*Uc}jeai;wBxvs`>$$l$|yE&VejJ|_zD5pE5i&xy}T@i85I zCdB7d1|QBN$!DMVoG!>mxHWvXi_aPHF&%ski_eQ0d^m3-pHt#Hgj>VsY4N!zKBj}uqEJu!OBsAPFCm}h;!~PaY`spn zHGFN=S;?pfYrh`wP_$`UBR-}x ze#ECYgAd0Q@;NF#%M0=mZVjIw7N3>kV>v~+%p7U&e4-3l!W@iHSE*-zAM5O9>l70jl<9dyFnGRmB!|~-!Eswp{09uCiy|K?U zncnQ@gKEdZKmJ`Z@ot)n!`)3SO-mtpAMWibLnnX#u0xyYZIuC&^PYPKlDt?RcAch! z*JL>U-f+CFX+CtcC;5JtorfLQYtr@6E?r-T>DBsv6uMpC!LHME;Cv|@e=mj!l&{ve zPsu7gC++JB<&*Y(89M7@LS_3*2hOwM_xBLvrRar1BdThru{P!&L?p)X?m5!xftOveIRcpdGBk&c}X~?(?1bTtCg#c4|5}& zpAt?v*mast|3o-#5f1ZHn$J%Qr(HOv(?1c;f(U0}gtJdL9l|l4{=qyx?dL@iPFIBU zb>S=)j_LGIgwq|)C;h(6^s1k~A)KYcF`fR2aC#yf=H0Y^{;6%aMlRNbowX48HjL3BAkCNoFUm z;W$bEoDq)c^v`Ri`sZwfb3VfP1>u|%j_JVh?;d#kT!?U9ig12WI2VOuI&l1Z1&&j~ zf70`fvgy@4_DjNP4R)QT1INEJ;5c(59NuW8^BCs`^bhAvod1{(9REIm>nBH@@09Dg6*ak?U$r4i1r3a48*rUS>{qj#KT5l(M} zb67Y%!Z95<{{Fk;aK4!Cuf7Q9UkPWWa7+h|zqjr{%XXX{ z5zey_&i^KyN#U3d9DkqMadt*Hdm^0Q6wYqpm<}9&5883|MmYN;oTI|oCmhp({J9eBy5zdha=eLD(IM{WX4jg}97>Ds|-gPvbPv%j_O|Ryk ze=VG2!LHME;P`vKj`Ko>94KEk;e;rv_STo8`w!14E39p|M8r?q8jem*Xok{vI1nhqR) z|I~5H;e66xZ4u7z3TLixOb3p?H|jX;5zc}L=YJQ@eBqc*|6DnBJne{Z7DYJ!hj11O z$8_NMdz#K?afH(y;qZQv<5!n(Ob3p?U+Fl!Urp!do(SjngtJUIrUS>{i*%gc2xn!4 z^Y4VSTsWo!$KPjkoW2NWb%gW#!dWF8(}CmfAv(^Q2xlO|;e9y$vsO5!1IOPVbey3G zXDq_`1L2Ga$8_NMdw-5I9^q_{aQ;v@+k|5}aQuBe$C-$5CL^3b63!0cm<}9&&(3k4 zjc|5HI9zX_e|8GTbl~{=agMVm!r2$${IPKM3deNd_RlKEnAE;T#Z->GaPV zre0qMBb>t#&YueBkZ??=f8ID1=SYNeEW+VB3;lCcIHuD-5zg@l=R}0_XTo_wIHuD- z5zfg7=X8Yg=fXK99MkEa2<7vWrpa83*7yl_mXe{-*KEp5l&Zx^B;t>SU9Ew$KShgobCu`S%mXf!dWUD(}Cmf z%Q#L?gtI)t`H#Zs6^`lj&zq*k&&mjARfO}RaQcK}I{g#jtPbas@qMl7)%n=Bg|kLD zrqe$W&On4S65;$O;S34KbowX48H;eXML7RiIOD=Go&I_ARR3&`aCSsEXN5B%9MkEa z2xl_F*%{&dwQ!ylj_LGIgtI%s*&E^fjd1n|$8_NM`wkvI`y!kJ5zc=R&VJ#T4jg|^ z!Ev6Ca1KQ{=Y(@mIHm)~-!E{S!x7HW2f#dH5IL@&M=Y4jkXt?Kle~oW&8&{}9e1;g}8_-;eD$T@lXG z2u^E&i@q7O5vCe9N)L>IIAL@ zH4)DLC7jj5F&#L*-`H{1MmR$e&i@k5fN)F)j_(t8oRJ7;Ji>WNIAg*w9XP(f*KxK* zI1>@hcZIWEIHm)~_vJdyjtJ-32)nrAFSi- zjd1oyIHjgAf8Hk?(}CmrR~_d-gmW;$!4+xq@aKhNI&gg7spA}qaE?Sc&B8e>9Mgg0 z`$Zk+XoPb-!f6rCG2xgF9N*{ZI4?vvCnKCz;hYeT>A>;*nT~TR!Z{P+Tqc~;!Z95< zzOT}8UW{@*!XzW>m1%5$cU7j33jab6=F?L+J|9XP&k&~f5@eqmo<73a0W(f+|s(}Cmr{TwIW zpSLLDbA@oU@2}Hz;P^g0$BFmVg?)5YK68b`=cRmpVLEVpf1TsR`{Ba=w<^x-grj|S zou<=2@0dDYjrXyIeQQ;m*9&L4_?QkH-_PcJ`oekqoyIR9(==DYKC>#$mBP_}wocQ5 z^Ez0!ms%d13yHt{>HE*daNCGq*nfueC~bcizQXZ=_@#GRJ1VA@TE7}(bIsq0^y4nq z;6E?F{2G<3?wea{$J_MxC)+AP=Y1)E&B@=TbUjPn4W|BgF!6WnD;$Sd{S1|HvK)ywo1^ed>7dHsty&uYI|Le`EtCYU3-Ik$5DDZzT510oBlp!TP5gKev9pV zRfmdSHebdKYSD@eHVY2bZ+YJrg~iZ-$8wc+T(w}^e9TRpV}0cl+CU7=Rygb z{C3&@T80fD^&rggYi=1B+&YTC``S8K+B%BA``Y?;%zvBl_gi_Mf5+9VAQ{Khd4Q5} zPT3|2k=XON+w(Z_{Ji}q)VqQ8j%?b9a`o$-FMP%W@jE0Ta@Bh|4MV+StZ#Hv3D;)* zdKU?w{mOc~Bq4Iu3wPqz-16wg0od2CZ>eyXN%lxW6sm9Qh7Gyu>lN;D$(2z(P_5p2 z{kdi1;~UMdPv+~-KH;vCTrCNatDUc*A^iH}ll=p%7h%l5hun%V9&F0t=QXNlt>l0t zM6UX;py8DIH;k};g!4$AxEfMDBa&m15V`7qEe)sCKW5i4!aCP=%>2f9X8xkwE(wvV z{&pIo{x!FKqL=ONzpeLnO8j~5rzywQ4H%y-<7`3K9E_<_$I_uRpHS9SHTpuA^Q z|2>qTW!E)mt)UKDYw}#z2UY(e$-|Nmxp=*WhEsX1Wc@4dxoZh!SA+3%MD-t)JSGW| zi&t{JRsD50`Sh;1x1sCpxaxgD@Lugp%`}+mr~Alfb^q<=ue%zItCOntl;mki zh+KZU1TV`AD;wl>M)kiac{bt$wNZbW-|laa*E!XDUh;w@L@s~5jfT_qWAAP3&sDcQ z)J6GlgZwUv$4io2XJMXat!bH+w{q~a>#|XPT-P8E-oI1wJ~hqDdCq{}^)vAw=kw&# zE;)bp`Q0!Rehb8>Lvmq3e$$Kt$|JoGkQc(Pp>bf5cr2Fenl)Z`7{5k&b&JPR$z=t3 zO_SeN(N8nYyFKF3E4jQNubO^bGCSk>O7ZBETvd=)&3L|~e^$ox)#8CkiCv#-3-YQN z&zJPiXgnWK{X>!?1^Lv()slW)hcg?`$HZe?a@*|jn$dW^T|6cvcg!9y^IN0i`J{L} zE4i~EubS~P>Brd_&v%Q@9?8A4&u>QK`9AU4FL_}0`CUJg@$z}`IVgE(_W8|dJU=Wx zM(&Tq5I9Vs{fqi`GS0|nQhqvaxlY3##{`qw_*6lG{9@RkAGU_a82^?n9FGofY@ldfaXO_pN4s^)`6lHdpnxNwyc{ z(?-JVue9ORGhg*CknET#k0p)qSg3jzNiLo#kLw%b(WQF3C6~^W#|@3~ zSf+Y=Bzp_;z_#fSANQ`h)AGuSdo1rYdi^X{{VOH=8s&3;ujQ8q`)0Ndxk~k~mRwVi zPqLnU|J}9@gEV9Lcf~!8<-N74e?W4mAfF_^tP1`C9h(Q;++cngQT=0*SadG5?vjj8 z;)CZ7=BGyU%r@1(U2A$hVOpJYC& z{IeW4{2a%MK4(0odQVH9nIVq{8szb!>OCuYt{{(OeE7s|cE7i(|2`Yf8(jxEulg@Y zUYt3f8O?`Z^7=6W=X!jrBxf<{=LK2w^Q&mc`S8=X+4|$EJMIhP`fc~yeEr^jU!PfG z^W;Y7LELylK65483i7-?+wYLPKRkbIlyAHE&6iwIknj8~zL*g3 zdc3Xc>4xq*I>ck4zWa8IuNHGQgo zmE`Jze3EsB>hu1o`y&uQ@mL*jwWQ#ihQrD6^uEI6daL?t%JY<>*W0-2-zK@eAfK9by{`CqN@H;~As#ysj&GDY<+0c-eg7{-+z`wMRVmO71JjtLAx1(vLn~`~HZ=`0W>;1Cr0rK0iHA zX^h`N@i`=Uc=q|(I>N&Z_U{q#IVyQ<_W9{~N@M;zEUhp3>kr za8f)@NuHiHUV5I=Ag?px@uK9}g1n~5yV3KM#`^J`c$}BKP>@$mKgRnb8uZsi@p#Gk zv{uK*JohCv<9Y4=h=#`VR`DoH&MnBRW;~DfM-&~;+f;wMF1c;ic+G4)-!2{#k~<3WnkJ7RWYhZ{8_T$SYajso5XVSo|DT{YNB^ z7UYw)KcZ;d98gK5yP*0nO1{)6pLl;ngM3Q(z5?fwt&(L)U*~g)+ZwZ@o?h=u*0XE( zM>Lf8=BoZS$@YSLlI!{Y2OnbpEYW_aqH!}{^)8U?m?@72ujhrTcah}cneu4xdhSxa z-I7aZ%A>*Sd70|%k?fr*5A8E38XwD5?@GzOnex#7f}%WDsovF+Yi7zr`wEKkSgU#m zB!_0oL;DGe@)%LQW0K@I`dn_C>fJ6mF+(07Y>>we)jKKqY(XB$ ze641`S!46HovMGgYL62UP#_k_TstPlI{?km^4y zd1SWuG?@R7s{Uh=$7hRAgX6#ps{e%K$=Tx5;COIK^`DkJGh2Kb92Z_x{bwc5739<1 zdS_3mbUAkY@Gr_;-uyrDo^wf>Nms^7kR%Ao)hgd64|B&6^?ly`Hy7&WD7G zPeoqd%>P^s*$a6MByrkx{%w#q-gw0{Z?0Rl0CNB8Yd?JzqhLm9bF%M#M*V|Q=#8)d*~@!55Dz=()H{g~Om#%Fx=3G?^Zx(y)? zA=7*wym#mp^11t=bt9A?8hzj?$~$k|VE$RQeDGH4yzr|XRr}%6 z^&>su*F2{q$RgLxCH-oN1h_sQ%jP$Iobdjgy!?AfS#fLu1v{T-#r4#?&XC@%9^b!M zAg(T%H}svfIj;}+Eq8q8_K@#-mG3&YT0R>sZ5{GFgZBPruk07zeXr%4J686uV!z*U z`||53J3Frn*Vp)<#nrm&0?xq5brx5fHe6@Ckp67n8PeZYmK(fo!)GaR*zzY1TR!UF zFdBFvQS$u8@y(r-TZcm4P<;HR(E>kyZw}v`yMo_?qin}U$`0gtp69wuw;AS_{2o^m z%CT5lvR>Em9l`SD_&};ZKjiV1cl7f8pK=Kj*HJmd8;&C&xZdNExLz*c1bqc6z~AGu za$e7LGB3Y!#P9wGOLyWrO?YJSDT4?3Z4sYzyJy1Ztxe5IyNRRk$d$wQ?5h6B;`LVX z`kHvrkJIt-<9Yq`wuqO$HZ zwfycUuLn#0_hY`2JKwxZ{60U+{1!(1)?h+Fes|(UPRgglcL~d5TgEx>FYEn;x^cNs ze7`x%eBT}O4ePaKEW2^uU)~6!l-Q16sQ=$BzTci@zSm~(#p9_N@x4}juR_9|&Fkqs z8GNxUH6y<75#LYDGT%iRe6g%IBfg8o_bao^_q{RS;f=iCD334M9{6q^=lfFSF5FO1 zKC#}un_AX)Q*)26?-l>wnPvX(i}~yN!(*En`TKq1dl@ERvl-9t&)|z?%^C51zxaN5 zmiaEu;EQGR8Sz~#zFTIQ?*}sY;<3Yw_k?j$e;DtdUN?Fg}YDk=Or&OZ(76p-T&T>yneVh!w>b!qgaXS zG;e9XEb$N59ma>pfEE$w5inPUCl3`6T{j9{B?-_)(6Ih5Xh(u!-x( z<>8H?f47W}abIA0yfn6jJiUCqer7G?xnh=hHen@-JlAj8Z1-zJqxKvKd2VEY@mI88 zuZj9&1NhQ^pOtjo{Wz-Q8ztSJ!_v1#$NQ!Gc`xtBU0*M|Et1}k7+&$ato(NTJEt_S z{9d(xWXzsZmB&9j#`XAe36kwcda-F%ZQbOY~;FqPxIU< zakshO-e)i0xTK%Y0n68y`j_On|M%3i_x!aE(Z+uF z{27l!ew}eVVSf8%3@FU+1H+Fz!s}viQy7oNhwXWLx&Mh#o8JwVMh6-hhdwb&i2jpNBy%w_5VLL&JC-+^^);8N1o|%?gR3}a7KR2+wQp;`TqKD<$K8V_~*|#U6K{^ zm-}%p98djW@$12P@8{{H=WmyeN6EPG{5T&CV!vnSaod+#E}F;Vb=XGpI3^cU@@U@c z+vAjy*Y_XDZ}s}m{j)Z@Zt~XadBx|q-ziw{x~Sf)>-BZmcw+qq!tDQ7%Jt;!_j%)d z@$|TMNk4xtF4aTec&Exee@>||`eLRYrM&tFH1?wG&>dlJR z-}`?_yq@|##jD57nCh<=ukmx3M&tE!vlOp)&kgw~Z@g}e;-=AfeWGB!Pe%1-#p_pu z`%0Uyc%Jb5x<@=cue$tR=U0!LF4dnIuNlt)y4IAQE^;5u_Hf?vY!t6~?>D^sj;Q}x zp5rI;?xp1S%Fg+tSvF6(3V%44|b`>m}(2`U8)j%^&;ZkF0p0b-KOpQhQfn!#Cq<fV7&X*jho*~ka@1_xZd}wUSGc%SZD7wl-I@2S4*S0 z*3D!5_hsO(pC$bFXW(y`CH%!1_yeuduHTm&te|*WnG0>(77Dag2j?>+C(I z!sAhQ7T)^C@NUe)+t3)^O<8yYjp5xK{?$LGf`nfKD`5VuOl%ZZk^d!TdtgqT()*J)|o)<<1OcTLgZ?kPIlWqO=1HfUdH z9FM+^=aPKLn=(BwKCk)sH0yaImY)VkOd<{Py_)j+(kS0+OrEb~&f_!oqcwWp`Bfw` z=THCod~Y4vL*3^^_15jbrhdwb3+7AjKl*7`G#@Tnug`~nqhP&19@U$5-}x89^?BfI z&qs&l51(K9ywi{SJTqP=$K`}y^?C4F@$~D+C9Tre(`>I>d)!Q@{(A8m??-GjUcWI* z@%k`6fW-M=-gy056gQ2=>*ovB`%_W9S@HTc;l9%2^?-PKoVxs8$E(LpkLs@%ukn7= zM&tFHvlOpa;RV?u@p>SNn?~dH!v*X8*{I&Eczsy7uk?5w5>Jm)m*4AnP2xuN*NfM9 zKXRk->VKb=?VHJb;4ccs%`Zi9(`dYYw_v@8qI$F9^(uT2j@RESJzf`yr^l(w?{&O- z+#IbJuNmvmOB!5<{@p0Qwy>d?ALSj_e=F*LmS^0*Qr4lrss7B{p5KnzlXsoj=aoLM z{$tha^HaYbUE+4p{7ipwJ^1YR`1J6-|fl0@6PK@|D9?2nf+Yk zy86Q?4q4uDrn|oCov(L2);sU~c^}Gn{fhcC?{)P@QF|J_u6|kddR+ST~?7 z{5RY8p?=*s{%l`Yr^G+&y1JIOuUk+t^U|7}ygdK7ByV3AqMtk;tW|$y z<)N(h(O>jCafx>u4miH&g(CUoBQq?2cZ22G4)XVX-)v72{$AeqyB94_d)9wXgZ#bx zCmSs9{Cz#CQT}*YV@CatmyKpr{;3(3pPXU&ADvtj9XeDU=emVbVR<$raC<$q^}<^RnL%m3RMmOoywd>k)69_5XfKbc{D%RqqROWyka zG^%f8*uHaC?(e_B-e>AA-C*xC!3@9rxVJR5PRUa-?u`xNey9%aO~Q@qyE)_d(wgJl z{Ei^L)7E`6pG$2X-(>G2Y#85S?<)?uB`9Vl}Ez&>B{;(U0L6!E9?7oWqqHntnbs6^?kaszE4-y_vy<19BnLopRTO$ z)0Opoy5#=lV)!22<-deKOvd@zZ%MLO887^9UHP(>9|`^3+|>HoQt9QF+sAkwZ)P_^ zE$wJ-Y2x+#^2_r&Vg53YALk87sk!2k^C;^4my*wMVE>%zue=`i)UVa~R%|4q&izE- zm6Ws7DIC>Tq1|Prc@=CsDt-6md6gf(GL+|cFVp42KA0+xdgXaPkS-4uzx?I*!8%>O zX#FRn^6H05xG!qvFoIumh3;j$`Q5~H`#G^s*YEbR%qmZG;&UGr`(s(aYv;rjkzsx( z{vjOadF%F4^R9QOTwk!S;IY^g`%gk=f62b4e;vQ6^jolOu3T<$H}5&XmA+VNYQnUe zc>L@_>5HvRO+%p`epb=y@qUkay%Xn&w=LLLI-s!~ZtuK^OFI*gt~-8H=?iw=d9fYj zwGfiLOb4&4O`rGNP5{79?TfHs^^5J+y zKBj}udGYB9$NBjZ{HlC9f?nZ5B>D70FT$rs_OX9>9g)xSaGu+pES@?-J?$&Q@f!bd zpELcuRDAk^U2gvfx5huX%{4x&0$!)-@K2xktPaO(_`D6r$!AD>)&#rUe1u!Whxv;B zSsU;=O$VO|@firmYxv-^*Z4J8_K43=u*=OyxHWvrz#$*zWyX)`;B!!XI56QjFCYA> z@$;^r+jzwI8HZjZeuN7?Um$Lhk2s$qpKSrJ({%7TBR<>1@ftqY;5czE%0Cm(itrI` z4IhrjN@K*YC!0@>(rk`=HTJZtwZJm1eLrk&=!d_uE_;(og##f!Ap|cww`+`RPD7 zUfBmZ9WS4!Op4dR$i5yg$B%h&{z6`d0$!)-;KjeA&Hg(ajxUD5uNvP7BkC3YU1st< z0JPEgk52kg-=Y@dR zX*&3{AppqdL^xi<2dD9Cu5<;v%E@4tn~!j7__P6s{y7!!I!yGTX9IxR+KavkN zTbqB*Kr7N;!mZ(h?HtDE#emmoI`~Y8&)IOihR<9aC!c-db1vBB_K$FD_~7xU@i`yx zI!y@`LVUs(&YA^#R1-55)bEp zQvDmswKnbLI54-=@>s~LEyE*f|5=sOce|786_>l+zksGm2>hBW-@*ir_2@g=x$X5` z>)iJ454ESVUHz~#lFrMeo-E985_Ct&ABPnqi z;d_4zD*O&N`{9D@n{eY{dAE-J++f$v`{{3$nwFCno^J=bXwO5?QG?xYf2*|#@oRc= zf3J2Wx}SGmzm5as#q|x~CwQgkK^K0g3)t;rd(E4m%Ee=1sQS&O`The+I`{ z&r-GLj-2i9ll{Xv?T2K)C8zy_?7x!Jey{9*R`&ES*QZ=U#jm--@7J@v2W9^oIqi?h z{*3HT0*!IPcxOGQ?D!iJzh01i8xjcZVtans|9`z)wO+1kzWexDPLzad!%3-f?^&ux>vpZ^2YOaJ)w>+6=2s&68~|0L|w>oYiw zU-kZ|%7dnOY$SsOY##gu1{K4 z`CTJ^y8~XQ>EL%t^>|))>Ghx(e%1W$?QuJoS@zzqJkS1f>3%l&6n@e1=FbcAKP`K& zw;q3w8}gZDCd3zXlp1&ypJMBERXx{KVpzEnJAwTSnB&x%&I$n4lI3T+?4_pgtwttV>Z#vq~ z>oc7P^xdN*54=a^B$GVA`(Wk)xA#1tdXqe0<&Xz>pUOPIa;)!I;Q4AE2=kp}zQs7= zyvW>y{`GlRy*zNr{8_zD&&a=7dEjDXk3Yi6>%P4$l&i@DZFatjUoQ`IMfQH(#ChOI zh{w!4ur%x7Mb=)UE1cmg2d(A-eWxqQ z10PU1$s`YOy^?vr?L7~m+wiO27g#yu0j{et4}^8jmf@bj^VK{sOL<_m`Lmh_*2=$G zd0<;)KjHQnd0=N`UoQ_FjO?@Wz_}2QnR(!F;8i&v>~hZsgjD$SIphJZ*DXUH2>WANhD%6voQL}QK%4ZXLDsD6blLH0ocGFpt?c9V!_|@fnCvHI zPyeLvuO}k=-Lm)fM&1Xe?GH!xM`iy)kTvssxE;UhJpZ)p^R5q^m3`jzhYPYVy*`X1 ztUtZp8}peP?Aqt&v|lLu9@+CgHtnCKcD&kOeX?I8`+Dm+W3u0#(|)Jy_vW-eDElKh z?N7-5bWZzovcH(qzKn%(UJva-TE4r~de=hPcjdJ2k^Rb?_G@H6l+%8j?04j}-zj@O zFyuU^UOXI-{h^%pM`iy)PWw}`e=(>1cVy@HxffKv6$?9j&a3sEy4I!#Aj?@&E?*RQTEXur6i{q+Uy-M@MHFD;1QBYU_Hzvi0x zg&+6l(CW`!8s93*Rent*y_`#5uN#+LJT6}kL-yZABxJ7Jn~whDc**-_uUFqQO6KP` zsGQ_RGskmPK4;~)?Djq`hvxv*aoNgYT;}sx&d*tn_3aEilX3agb^EE~rnxCKj@MhS zJ7E5-j)Mo~->muh@yPy!+h@$r&qVh1=I12@Li)LC*8F@y$S2u(%y7yT?$0ig$Anvx z$GYKIUIz;UUZ?4=;Q6_(r%H$XkavFGE&DhR+^F`qsr{y-{o#FtY97#cqLMstlgddZ zd4T&Mm7JksyeKd5q&Ngn9HapnQH_dI~d!T444fR#fY zxEe>92Re8f^MSTd&#QT0mh!+N^Jg^=bjiP2d0=^D-{= zFd2AN_c8hb@^8{D8_yCV5~Xj@$Zx z>hnDCX2PZNfR#fY;QlGD+p`?&+ZoCwd0?OPtabaNcD@?t$7P?jZht1SueWZ0KC-X3 zZr_Flob+|{>bm{Zb^DIUKYg#ad1m{XAnUHvj>&#|PWzp*-<#9^pzM$2v_B#H(>d*5 zUAMeBxee-|4IC_Lue#+>2lHE{$)M9`$41b^BGakH_WPfXeC?EjCg->| zCj0Fk&(xV$^$*UbCPvRxNt`@T()`%U52jLWwp zn=-HO4fS@Kj=b*AyZw28N2s@bzxZi-Fyn_;Ih#-;ek8(B&{-eUeFi z@56D{C*H|>OlF7r-jDNbSofeE>+|>L&&&z;!{I&4wu-;c==Vu{?~eN>{e5}2^Y`Xs zJAY4p1!}p}d-8UE2Jgv#EZ`^a$(x>fPyQ~QcfS69yd8&~x9c;oWBdGlc(?cWF5~w3 zd+=`O@59G-{{Fk$`FrrOoxk_)cK-f*Z0GO0`+MyEe!9PR?(dVcpV_}2Kl9Ol>E~)I zahCBTnZ(ax9B2HnUc`A4KW2yc`2dcV5kIse{-S`}?)z!N`+3>%vt0IW=kLMB?ezCv z-7c#i{C!uq^Y>n3yh*QD()YLc`={T;vxEO`z)|{-cEtDhR@{GQFmSy5 za((}~T|NJ~T|NJ~T~Yt}dm;Y5hsPh=nf9OW=lA&Q!&&-IGVxzGj?;g*ZO5?ut_$vGVqT#g@qNFo z=asPkuYO)}yLx%W?ds(fw=0@gd_Sx2Q}w*UcBcL3`w%^^+=sLDpJd`c?sua9u4M64 zUNJlPkNcG9KiU!B_bIyn!oI2c{&Tx}{&Tx}{&Tyc{_}l+zQ51?$9AUuS9;^r_`4rx z=|9QDf7~ZS|6%%uUp4;B4*ugl68evJ#BU3@$-Fe|H>vMGx2xwrx2xwrw=3$uF7fY^ zbpNrPY5)1Y7mvSHI7|OYCjR687y3`n@soL}*};F@e?b4yj`$}c|ApuD_5J5|_59~{ z_59~{Mg4bP{Cz)(`;YBR`!C*K@Clry|0EOtaeo5+_huGP#h=;1f81BVc>(Q+@B0aS zAA#==n5}uin5|>9Ro1*dTt9K1_j!TaZO^dtd4b#Q&9L)%f!iI)u=9C=+nvs^^Lc^W z)teW%UA=jM+tr&FxLv(@f!o!a7r0%$d4b#2n-{oUy?KG#)teW%UDmvS@teLb{CS<{ zl?QN^*M;OpGsnE32mj%9G0zTE^Rn6Dy5N1>P*clev?IPh&-3Sa{(Nq>u8YZ>*M-~F zyDr?W-gV)2^{xxIt9MVjPt_!!TcU`z$ zz3am5vaSmYTl~W~?n4}h`|9fYu-4a_aC=_rXliL%hWi}e5Bj=W8OQnj#{bSpyXkF} z0h9Bddk~V>6{g{|>ogtf(nAr>{BRyPU%>zH_fkx+;;e>FoDMr)?lc`ZSDRk_`ykG1 zQLwA{_Yb>Fui||YI`O#9M_#4_?`XuUJHp}of$Im}zE25<^IGDV4xIB5PER)2QJ}gfh z(}6P);RHWaaYiDXPYY*AIHm*VV1zRk&V$bv@IU;08PltL`k|B0c(Cg<9XMwqobBO! zg0mySSqq&w6L!4ZX*zKH?>hhvzvf+&_&4|`@Y!j4mCrip#CbN@b(#*G9xRNe`)f~x zvp>RFFPweBuG4hj_}@`*J_o}2q+N$hukzUdoqP@o$8_NAi})OlaE_W@#bJLE=SZ;Y zG#xnp_X?cPv2Z@&^Fo9(D4gTMF&#MmcLp5iM1*rH!g)kECxv4=aQyEBIL_$^=fw!; zQQ@2kcAcgJXQFj#f1M5IlXjgqz3QJK==9Gy;g}8_{~o{dxe(#J6yXdD=b~^-2abP7 z-*HM!Q{%8~dX>*(!f6e5ou&iFzklyIb0eJg2n@9sDp*V5N} zZ-g@{oF3tr4jeD<-&qgwGw-=E*-Iw#$u&66`Q%$6k!OE z5ub}#AmDSneQyf>^zY;Ir?otdc=G4Jd(>}!{bjvp z%i3yzZCfne8ZI{JMpj$=Q;`wl{4UT4n>Q9j8tb{^05iAvmW znBBbRo`fWxNu2*Mo?9|*OK%Q&g7Zn(?>dBkIbSU6{5I(Lj(@Vhz|a4_+0A?Ivpf%6 zZ#9T zKkIRv@q=jv5#OJGAGCgMtK^NJBW7QnS07V(kDu*8C%>b?uG4h% zn*A#*g=l$InUQkw1Re&*}JypZ|XmXW1UfB!1X`j33@_r{l-$5I>wJGk$1Ce1HCb z-1<3x{G2rVYW$p5d5@nT0=oG-*matY_}PKumx>=dkA6}9O!ChU3(sUKe!A+!&yTRa zOXQzt0-q#)Oi$JSb)0AXOsam*Kc+VjKd0n3h)a%p-Y*_MXN*Vw_+dY%<0sz#@S`}( z{*g@Lhxb2>pLqX+*&%*-U&HvJ9r1nt!x?K={`fg3Klu1{LFGMuz65mgJ0I*iO-Da| z8OJXbKXxAd(n@-%@r(0G;+agvPfwlr`3lNiDt>;9=Yi|_$MjVFU&ndI&p%TA9zUix z5I^!q-TdSI;_-9Nc;t^C_H#OZ;{6{xahCp+OyXw<#~DBEEMBUPUuK8+8OBk@5ABHW z`#&zK|9$-O?|k`u`ofiAp5cCO#ec&66bhoB=bB!F*J(Q9r%(Oe(H7=& z$6=S}{$#Dn`TpZLpOXc7n1DR>n$YiKD(Cye&%hv0eG@9@-$#r4FRQ+tD(C)F`|HMw z_k({gP3s0pIr+V&eLi1izoz45LjFB#?Vb1BE=cx=WD+lr;W*>v4Up-0F+0Qy*9jOe zv?IRni)d4Sd%XDk&f~@Z&V+dHS`gv@{6oAfRC&)gKMr*A<9aCir_*%A%WfRMRKBtE zxQ;I;y;Q#W324MKnaVeN)jwJDWjgp$`R1!U4_t4T>8bj^j`PendsM&Y8`B%eH}Xdk z2bpmf`n%opO)Cu3aW1}@@xy*j$4|U3flLzA-z*57%`VKeQvh z?+aO^{?8jf-61ZL_*tg%9zV|ko&CKu*matY`1wg3zf}C#dGyO1(o4n9UTDNKnTnt1 z>%`C3Q0`Lk^HV&ZiXYQc^?UsMwCeZxF};EKkw5CjkN1nmPg}wGVLzwiC*Bvc4`=C5 z$s~UGAI1;THGb9j*#`}Ow|?&^B;zL(sP2pDDHuO1qxe}B#Si^XeteF?{9`)e=NmYF zsra$;=$97QrQ_$HLL;8ZRQw#N6F>V=?o#pd&v-r+Kc=VZ_xSnes^8=YPoQ|J(U(nB}J(5ZMjNv%rNBKWlZ#6r_57!YHKeQvh?+fyMIeGKX zK!}SZ|BRSko$nj~I{SMl*matY`1x5Jzf}C#dGrg4;a6Sn`8jCBGntB?7wW{%&!gO> z;^%puPsNYvsro&BzNz{>eoSv5e&mn3@#FpC@uTMgNjdiqXyI3#-}(H9{hW@Ucwf}N zz*+iJGKrr}IL`QiTk)&rAG1UJaJ`oCLp$R8zNj(vzsHZy_k8{n&zHA{xJcq>hsyi- z^)G==eiOm2({#koY4vx9=5z75j>`oX@k$y`*wJUyiTita5YT60U!r zFUS3tRW6<{tNls8XO^26>ht-sp8q7};KphF;_ATU(xuq)Seo{N@*4G=wcN}k7^~Le6{;FG_$BoB#4=N}!pJ#9L$9U{Q zJkB+(y6;Ex6yxIquem=qCFX8W^-C_-Gu6#V$BR=_S{c4c4-&rZ+ z#LM`5u}ELUs~A4U5w~~A`y<-9o>x9&ewp{&xcL7v3QjlP5|8{pB>uxW`Ewj6FT(}z z4%6Fec&-!=oVI$Fsh%(7tcT^&d3+4o%P+sCrXJ=M`ms+k=v)Wp4}b3-|1wTYO^pk& zes{$8!{Ynp2Kn;&#B_Wo>^S!MN(Q~kFC4GxFvG7pp1B_Ly;XdFqCvis0G^KTvv$0# zG9(%FD!*{Nsyn|}I^UMpvYvUJIo; zJlB1l#`Q(Y%dY!wIVj&bAHGVq*_oloI?1NP+e>j4Mo;X3_u`(JbAryGnTYhS7! z;xc}XFODC9#_QGUP1%Lx`QwOrob!o)z#ptA&uRJ6cOmCq*774pKlS|hZ!NJmSAJIQ z@xO0z6s6gI*315&Y;Lt}oA_V#x7GgJGHm#$=Q7iqTUOrDduMBDPHPFW3G(f&C||m) z%ypAiNSaY+_0)NQl6jx9T@oU(=W*WmQ}Q^=1J-nnPc8R9h*syQZ@$WPNG_CwNbskt zZ>i3+UNC5RbmIW*>$h)_$}N`cl7yI2pZkNy**-t-^=;j-Ay<9f!gapOq&uF=top2C zv~SDC$2YQlqfc(kRd0{#>6KhA36ZOvT32fs7~DF_dIw8eN1Jo_cct(-p0VFnMfE|o zwtCi&rvc#)NsdJILA_*qwSLy} zXCEBm8}m`=k1xTK#dJ z^!V`W3-#X5`W`Ix-=F9DIw0JGl7}QArnEP%cc0Gd{xJUyy`oh(}k0JWi;-lai;R`k@-1y#BbcK^~`7&l$-VB_SH}M|Xof&Z@q1lINrP zpl@^;Q1x;> zlspy}}fkQbH}@youRHjF;8p4SnEgEf>T$UO59uA7h#*Sjct z3-W3guXS6-?RxHibkOFzgPYdbI50TS@7F!>=d`}R6|F!$@qG;Mn^-?c8xPmBB&(%8 z&lAt>-XK1g7$>Lrryd`!7kE9Fl39Fwp0v5Y|3LtnI}G;75+}i-BJC{Bdh+`dw*oi6Oi`D>4$p#ut)WJKW9nj z!}IC5i2ZPVxBLK^#}E5e{{hM8XXuA`o|4xO2fxpLIHLNGN*oQ&5!YXG;bVm-TC`@JuFcD9g+)Y=!bYd zoYxOrZ~uP!p} zAR$Wcls*@d&w*YC$@R(CLtc%5xDxX3@j$2zavN@#-XQr#$lpVU%!6dyycv>!Z;_l2 z3AOZANDPamt05Uj*FdsdyUxE2a`W)#zw~yt=-58u!~9@c+KyF3orheZC2W(yQk^3HgjQXuzrN=n_-@$^V=F1ib*)lvh7}nb% ziMM%tz}7!UMjy5N%?^|$J?$Q#LXEEAsc;GhUf6Kbwa9?`w>ZPpb(+}Laf^x~= z<9(FNZYcG!Twkc~vUjOo)Pp#}de-1JyT2VCEsdw~pSokoTAp9oH)`wBkAAv$HT4_r zed^Pc-5-6-c-?hF;N|$fo}Y!!enk7{`2BX#_FF)pyyufUK688Mx9-lZ`g`erBmJ+X>v+=z>EG7*zmxtSr2nn-zmWdlrT>}q z^V0uV`hS!D2hvYS*YPGkrv<+&#Pf>pNIxg}UnIrvinG%Hv*dr06z?lul>Q$j|4LHr zxZ;fTzm)vO^3NsJ&MQty|5M37kyN{{I4S*)B>zxS?Y-jnrT;t0-;?BX zWcr~8`Jw~YE&GpokNF92;AKkQA2(@S%97a6Q+_uPiKpk&Wj&v^=g`DQ&?8S)C2OTU zrInX{1`_X=+wrXWcswt!YsCxCukS?sayb^iDi7zyqiH_ZN}r1YdH4)3nTns6AM+>c z<$eMB$7RgN?YMqPKDtiJW4)GN%cG^iCy+9PbGa1qb6I(!Tnc&8*4^lr zwZcd8w0g$-$2K7kls9a)=UU|l`)>x{Ipx~pc3(8j@f$PjyEBg8ka7I_jN?l(j(6Gd zy#265{gBuG`hxa1BmUXXdGT*AXn$`(`%e|L$Dmq>KOVXlvj1E``)4xjnb_jI!TfR~ zuCM9*pJjhDHV_oCUyAuy5&I7o#J{B={)Y%yTd=)-1^esc z1@Z4Ih<|^<_TG;NN=5o>RYCuMqG10%SP=h{1>5_ng8qM~p#Rqt#DBOT{-+DJ_tORY z7n^+w#lzZy{ky&(zCSO`JH8AS^#3CT{r_k|e14y=$n`Z`uz!aO_AeGc3h}|>Mj`tr z3gV9zZ0}e>|9_^S|2GxH-&_!XykL9B3-&KIJr(LNEY=jV-&PR+se)Z0`>i?B9uk{{KS3{{5kX_&-u`zWq!=|4$b5|Bn{L=L-@=^7EIA`lnz# zJX?@IzxP>$|BopiYSw}J*M;?(q0%OS()bE@k{VV$?E zb>6bpd6RX!i(%dH@@uhf=<8tQY45+J-g{|9{J;Ei`xwFqzvd>WrEa{>_&e|$-@5_~ z)*qYg?}$J`yek>Za2

    ><%_rkCUW4z{v;}!RJ%**?=E!bB&BKvy09N)iZ?!4Gu z@>&@1I!y;J_lMR|lkb?42kj-3_sqFZjqjPez5m^LwiEtIzH?#a@ZLF}qwu@JVSii8 z@M8JlEDo^0&fq-z-}}j>w-cxFtNxu|tv@IJb3bO;d;7$%vQ&OuDfxh;*W*&_z7-@1 z<0qWTx1p0adD1_NAlc8R!=K@~QOmHNuTG@5u@^%^=9Dd`BNs|(G0xh8F+eLmGo=ezb|Cqt<1nHP24J+BYOtLJI@mG8X{$R5MEwUc~d*J*kxUpr2m zR~JS&950y%)2cQ(1-lH=eR z9A{jsefC{#j%%LJa2mhrcWYi$InT2lI7|O|e#L40s^j1(EMU;@{{0d=jepp0Bj6eG zO10mVm+bovIIsL!eb2lL<=8)7kH-gb{{cKgKUCx7fZ5M`?!0(+m|m`MpCNg9|KTvo zzoF)Pd|ZEFKkz!?d*B_AsK1Fk(9Y!h)OH^4N&CFW-veh{qMXGca8{U(e&={M9iH>C z^C`S?@PO$UF*8}K^qIIjo$HCOn31LFF198NS>yq{Dqv!7l<0mfwq@EBKdKZWvdsQK>Q z8(~ks%!8cHuij2O55Mp_rk}i>yzbdfz8}JNnvQnyI+_m8`^nCy@VuWGhvZ|taNcks zTXCG%7X<%jeqjH3KgIru`zda}^M5PQ$p3ASxunxseVGW zc0Dogvz_jjw?m^}7C=ttSMMh~55IVMZ|7B^T+=%O&I;4fu8wfL=KA!0vhyiC=W{j6 zk&p4hdBcT_`^oHZ-1`Z|a$Qd`|7%c={q(L-?+VkwU;W-`$EWG1Fb^u;Pwj9(o_=C` z)BVKpi+*`G?fbMyI(#K_%(Gx zUaFt$JpAJ2y`9&EayFj9zbi~fySQItIy~EbhPV38F(tc%g(3pz5#n)Ps}ImC*y_lh6~B-AbmZV9gY{ho?`wV4m_J~4fU=t zJ=ITke42h*4*N#>iSG^M$$OY?;8z`=egGQ%(gQhN{ChvydHBW4dq3S4$~AoilGl^z zXcyycIz0Jlmz_`HeFOIFr#k{plkviN!-b6d$?R~vXg|gLKN@&8-5Kg#VS1{c?D#bO zw9@$2j!*G?24?s*R}SD`wyzfsxKuxd^40IFxnCF;?57ow)A`l=$U~Sre9x*+ z@xK=b$iFYtyTWwv_xYY3pQfK)XF%2OG1)%k%kj$;~2L8UT74|hH?b| zBCaj&c;CgNJibu%{2Vm4n|#6nyy)Eoxui|}Hc$CxOJ(_{%>uq5ld=>90 z;ZaV9Huq5leHHI>!ZVqQ7hVsSio386zlyV6I3^>Ublm;0@vFw&KInPkj(nzz zJ3EYj{5xt~r+yRiLO9->{Jqw<+JTngH3(GZ?~upZl6lLo;5_3yl*8YmmYv77-Ycm5 z0OF8#ABQ31*kr1`Z^v=A_giy=9d+`ac-x#(6TaWcxMF$XO-ep99`W*dW6WzJ;-&W- z5?=QKpS(<_c=5h7&5QbUyo5I?`OLEsFZrVq^V$>f(t8&PulrFOd6`V{dMA#P*PqK@ z)X7WbCncZRFMRdmW7g03Zl2}kIW5DMPcbg=xk9@C52{>;aF0l*iahrkjsdoo{Wb=@+waZQ^T<_%%0OV=|0u{IB&J;=%t8alQUpAb!Hz zrT2jnzpMr({bDlZmqj?9j$7*Vi^@+*KC?*p9pU_A7N3Y)i&yZp@ey&x=U3^t?N&Li zFA&!R{^`+i?yt?0$5zYE+o$)NlJZ3LaGv!{M*h?LU#w>IE4nM{?xMddwysFSD4Pf9++dU$-U z>QR4Z#*f7b;>Y3!@niE}#1EfOr{ia#@IEAb6Zoe~$CpXgi=UdmFCO*NI$*M&Os3lZ z12~@UC+ckH0T`y^NAFusN4U z1pZ0jp9KC%;GYEkN#LIZ{z>4U1pZ0jp9KC%;GYEkN#Osl3GkCIZ1}fWAp^cZdf_p$ z{QX;U+sNznX`|7Ge)CJwDSgx4!Qa1WG)AE_M%BB@qS02;FbtMVY#!B)j%~teG$xIK zJt9lcAHZb0*;$e_8b)IlrI85msJ|>!W==xmjrc<2{>@h`kFPyEzOEr(u;lVpz~c*G z;H%i~-+iI}vQU|s#^dWxd1dkV+RfwZI`RceE?{bivtvw_FgpYm$s@m0d(>n8FAODnP=k1v2ndmmAMS*XmM#N+EvdByVh+REeW9`XfCE?=cQ zz5oWkDyIMY{6hU@p)xa#$Jd|o%Hr`=%;W1m@&!vSUu8VL03L0Aq5iT^nOV%^>rZ)A z@c1g?@$~@tf+d%)3Lako17E7+{yi_$UluAe8+d&EDX%sjUxhrr9wJ|`n)G3Ej+$DkS|zr`Ksda1@LITP=8sd%pBnH^{2e}FaP`btANMX zBjgK~T)qzR_yQRC;{85>`pZIPrW_jYKc2sMd~N3O^%(hrC6})n9$x^D<_qreA5md95@&!vSU#EC{0X*9Ki2BPyW##~nuRrC*-~R9Cuk}2>ULs$xnx8ifPpXGzlTtNS*Xmk;qmpSynJ|k zW%KxYjeNn9%h!1xUjUDm7wRtym6?-xeEn&D#q#)C$K&e_@&!vSUl)0N0X*9LLj7f- zGBb_G*Prsr;_;Qmls>2H_wV1*EJpTw zP>;o!%?Fy%=nQ{P4{s)o#iWrXMwSGVWNCc-ay7%HVuNf!UA z4|D-84EiIxg*wWE5j>G}{a=hHhuW#4QWKSA@&7uW4bn%(n{7&sr~il7N5=CT_~xK? zBlu6{$oN19uWzKD1=D*PjmC!45hF`4vZ6FuekMy*#Dj4>=ex&~x$`G_M8h&6a(WWL zGh(67QS=3|K%W`8AR5+-Oi2c13fV6ml#z*4`{c-Az)y@cwFD(8lfT2T_)*@Y)wAHP zH%dR||3NqV54!1p&`ti|bzAa%9_{tn{DHUr18?;Q-trH;#UFU{Kk#OM;7$L)n?T;w zT-AJ*t?CjBvM^I!VrHvqVHT~rM0LqB@*i`nDW;YY#RRL%dUT2QK)8IUck zcpIBjvW0~yDO;NJ%4U>oWnoLoRwlf%DJ9!jgVt6vd1VtyHZ`%aCUxuSJi0BC&1@}6 z*@oAf4U#Qvz~HuKJgu#fY;6kVXdc-L$+l)-?CHE?qtMJup&Z3K_DE{x<{-8iykn!( z%q-1-^BKHjqtwi-&B53+dB;Ynnb}%^*3rCUqtwhzEkJAXf8Yo;A6pe8Ga!$3@;m}gHeO5<19Aq@Xf6<8oa#rUb3_7}&qc74v6qm3PAUs|YrrLCy)_>a9W zJc0}@#~yVE>bcc;eOFQPTkSal-g_O-7C(HO|FG9Gye)Zs^PNkFr6z7$r_g((Q6PqJ zRnIIRFMF-!z@zi$2e;n)#mK(OSX}*yZ=qTJxJ(b9=S%mv-di{3`91HXz($w$eVP%| zQ=O%z9euDeLF$-%iqoQv%*E}BbJmp^C;nbty3}CHjb&C1NA`>8yA;e6?#*3+vZ-N*WLNf-5Vgc~q!@r$%nQr?r zJFHGzA1kFB)9WN0>6hs0ZuN9sR#2^kEi14QQ**Dz=p0s1orDbqt1!ATU(itrXFgI@ z4?IFf3(o5_8u;90ssltmRLKEV#V{xEoP129VH(qzUhp}IOlX*vDqY~0gcY0`0S_Cl zT_gW_IG{_smUoU`aW~;-)$*6+*BDOae2ebauL$LD{Y{g8B@x_qCj08FXEFSjUSq#J z@TV3%MD9sC=icg0H{X|TwoD})+i{j5A;4~6*k-th znF2%Qr#13B^E3CaO43ZozH)S$8TJJ;tl-OB)nZp$hAV$z?i@6t9F6qL5ccyb8su z;spFchp8c6o#HicLLAoDM7$QoYvY6jhKM(! zcw?N9hV{|83^S#8Gn|ltyg90GLGhM2Aq#mc#9LFm4Nkx>ikL0p?I_+JC*)y$2gEy4 zyc13+K;9YgE)?&I69nYl5bsX$9yp;0c~8W9QM@-!C_&x_@xB!AhZD+>AA@**iXV#; zDv%$C_yCG0XOk-A0}&rY@xeHu2Kf-gPoVfvoB-z#CN>fAlPG>NPG~?r4DnMaJ{%`B zAs>PGsT3cH6Izf*(-@mh@liOT4fz?U{!EIG#t9wB&q90*#mC|V2lBHKKZoMua6%XI za}gg;@$+y(5Aq3!r;Zu|yx z^4W;bq4@PUVFCGE#BZSZJe;tEJoy0)P7YW;#c#q1E68s~d;!I8!3k@~7b3oh;)`(t z{=tK7Mf^63-;NWuu>KCj@1*z=oFK1*lCNtQ;;B;=wg)HdA-@;#`>6V*IN<>K{fIB4 z_;Q?ZgnR|!D=Gc}PJjT8cl46Rwc2Lwr5O zAHxYZ$R9_11I0JuggfLPIy870^%=H zd^1jXL%s#^trXve6F!i?g!s!8-;NW$kiUX>aQU3PT7C^D{2+fF@zkdRb`vMYK>ilu z!R0-&{vDj~hx}c{gUdxEe;+5tLjD2b!Q}^%@4yN2x(Av6BgBKBvq}C5P6R;yDdNG; zqa^L_g?RAu4avX3i6F>#A^t7Jzr%@O$iGK?H^ukhL*`1RcZwgtiAj(jMEnnmAHs>r zkpGGJUlc!#6Je15P4RT{Ph=7^=op3*Q-DIp=pzyXNlcswrzE}+34U27Td{E>f|B@0 zBmvY)5GSTmlF*2RBS{!1A}L8^L=r`k7*0&1B=HeR0!flMF`bg6MkHw@$>2m3CCQFR za!8WLi5ZlnFd`91QpAawl%zBwDI-Y*C!#4ybwpA_k~&Vzq9lzGNfSw0I1xih+9Q$< zk~laKOG&yTk{*)uabh+l8H`AVNHW5SIh15PBAFn`6er>+$!tV2N0J3j%%vpD5y=Wk z);JMQNj4*rEt2eTVjd;gk4O$ka>R)QN^%;JoRQ>$6N!}MIwH9t$sH%=Ql0Nx>sh2$Ck? z#6n669g!v?X%bGPQPSiQDGW(daAFZ9g^x%PNScZhizz8`M4E=A={T{3lA=bW8AzIm z6H6&6dPJIqq!^r7MoFoo7Yon0gWGr>K4?t5U54DfTLr@EIRpw_R`ZNcKc%)U9&n* zlb|0xg%P(3&-tvl|7_KIiw!>COM2^yIx~W-EkkdZKb<{yYG?KP>#r0i1)D?`E=soh z+^m~eytP21wz_jw;n8K)_`y%AheVq#zI+K9)Tg@#U2UD)))(cV@kvDA@Va*21E!m5 zz2DTMl8S>IN$k$}?}~Wyyp@Fg;)lDuGCA=FOJ=+aN(=t}$Y_;%@I#Mw!CA_k)vTAv zm0f-38I36g8s}FPeyKevlx6w0aOIQBCSj|Wev$UN`8q3Zs4;(o1iwss|HqCi_@VFz zK@oN`Q@1@c3H_}(b$5&3!r<)t##^MMicYnd&E|_%-?&9ibWr5m&$|}un^wpOK3lo{ zriuOOl*B+G$rC|W4K6N^`RSGSJT&@qKvL^~%a`Sh>c|sQy0c=H<93`McDvc9Z8n%u zc04+7%*uUx7cYCHZ{edVU}qFDbjfb94z6Uff1*j(S)p%)oP%P4YE}NvQ^W7=Cp@~F zaZ+|5ogFcQ-tenpTQ^-K#I-{FVyc97lUBlYXKjYn&hkacWxRyTgMZF@Qd#z>qTX*eX zIX#8U+hZr+{}HI;oWFDm-Nsx8KlY^}zRuwN#AnULXB0~8uX5P4ww;R7X)Byqe4)Fu zXLCpKu`Bb`_T8Nx)n)W+j1?1av@i+%_9}}RJmL1#%HMNO-dJFHcxCx4|bYat?wB{lt!hml%2FA zJkQoLL-+Suo!M*q)>US0Ik&5=R^KH2#ZR>g#}gC1ZPjmPuliKtxhLAxqA6Z;Lq>r_ zTj3SM5-Z}X&0dF@7L)i)OZyU5$lI)v(zV@7vm5(Zf%Irc_ucd~-V+j5pB}Qi+=KVR!K*YTYb32TwoyIUHM$l1lBgRoR zw=&EbJU0f<4`7%LGw8TDhe?8i7-q{1YQV&G0jkC@J7&-cOk5A3Dh#t{1~p>h`T!lG zV-C!qlXP(dSd)%9k~Qh#h6p)9WCYvMF=w(JUECO;!*tAsFX$9qoWn<=N(N@j7t}-- z*9C~|(~b}HsRvL61GDD~I!zbXN5}yp1B4tQG6blKfjRL7H8RAF5ORjd7$F-L&^Ror zrY%Ie0FhqoSip-OLiP~p14NGQzyhNiAk7hKh5(VSomjxN5kRDCXBKd6jM~|-K|2nc z>cti!U4-l)(gTQeZO;bI^bvA^$N(Wnhzt>Og2)IU(zP=ixHd+}h9Bn1kH+H%qw4|$ z^5lnk0#r%I?D>P5$oCH*vKI%~&H$h)^8Mon?~x%u2k4j+f6y6B+z26Oh>Q`k5da!T zfU0Q=kuE?7$?*h&8pu2mvWG|?plWhF0Wd=h00QF)!0`YgeL4vMpGF8dLu8DQjUdoC zf>cdgh;$LMgGdh{dx-QAa)8JHAxDS|0V0!d5(G&YA><5^F+ij<8zJC~BSaxvh;#uu z%)sn~f=)5S^#D4^#O#HF8kpkx039Ibi%`%R^7R2kz9L5<;Mx!%^0hk&f!A(?kTXQa z0DIYDFu5IN7B z$q^Xh#t7L6!{b4i(rh8pMaT{!J%C6r_QJr6K0*!<8306%?kEgKH$<8f)QkWkT{{Z{ z*Tw*mu5Co%`zJ!RvlW5!6QQki`Z3H%kUR^C>mkY>R?r8CwC*4R%o-r%2$3N|P7oO( z?mcV&D+bN5}yp1AxeB;wT1BqNtq{ zY-fa!GepJ+*@(mO#L;*V=^|tYksd>$zuh;(Kz37qL8zb%SGaeF=4`QDY?Imaz)gA1!dIs;8gR)A3iL{* zY?e|QKP$_A?E9zeEerUVu}`)Mzq4cvPQ|+v2Hvu2iNd)*NZlApPY6ZJfO3*Xl z+DECUDU3A}l)`U%D9FsV-of^nBJ`v_z+3Wa597!kMalC`MrX7S&thNtj9)Wc(xSBT zH)G6m?Gw4$cbxK04YPkG3uicGd{)%2Vcb^Gve&fq7ZmyOfz9?7;mnukS3DrkIJ;6y zbpPVWKD)5J>|LitD<24MBua`IdHI^(@^6d9o_!?5Uauv#bF$|u<(X9s?Wa2NaY2ns zCiP~sFIb7k#P?iL{Ta$AU#PqM%Pbp_^_4fl~Vv_uWtt*u?l`!;xpn&f^%|yw28&mKF@Q z*Hg)|XS~?WG0dE_?3P?wI{Wl4nVcV-T8&dD7}ZA&xDu2F32MLu4Y(4N0|{!t1P!x;QD#HYIxDq5lg32&K9j*iwL4qnUK@L}fN+3aHn4k_RbsLg9PQ_98~9;gC-zBc{m5vx#plLNKhUosLqw38Awn8&Or^XIcN?NRDg3(gKG|2 zfCLrc9Ms~PgO(sc0w$=*m7vw=N3k_XPzffe&6S|d=n2|_1eIWd+FS|RfdrM{9MtBT zgZ87(L5Ijm5=>B=D?ulapd3t4jVnQCkf0n)P>m}=7my$U6V&8N&=n*|zyvk9 z5_AIzYQqGLxe{~-3F^TF&AAfv012wWqgapYDE1sZL9fxzgWe!PMVO!#SAsquK>{YI z$(5ilNKhHhK^?9+=m!#1g>z7sYYvVXJwgA`kK(bTp9jZ*1XW;y9IgZdMn8(jkA4&f zj(#2t0tsrs1P!bri>g z1Qp>?ti^Q{&jSg{!#SwVH3t(wg7WY@sLpjBOauwa!#SwVH3#Q|1m)ozROgz5NgzRa zn4mgWg2^C3d3Y47a~;JgAi=+XlBABF;DXW5g9}GL52lTN9$W+xRD$P0ZLag+VvwK` zOi-IE!6hI;C77T#SAt7Hf`9)$xNP+E;Bt`Q-#?32j6Mg`L4tq(B*_2?%E1KHxDs3m z5|o2;P>pL2t^x@X@RLN7>yut9Ck(A6n?s>>H<_^EvUtmx|k; z+~&WGd|f?ejoib@k@JrJu6Pjh;lfqVgd=X{PU%#3yl{D9r$+L$_QV4D z$rq*%K0fCeH2nO>)FqkDd*8b3T=gq&Uq?^dv;HG$@8%^bZU3knT%8@G-%I=+xHng7 zuFWzxDa>!?%BBV6Zw?mAyppeFx~)-wr}QyXr6leGIbAtU5ie!8{I!*Dz( zZEV(qdAr$~UbRz|)K9A7S3+nGXj@-OvZCY z%kUj+oZ3S^R^@(9Sje$rsYFkKaC}OSaA$&c`an{R1wAFp?TC+WnZ!_ayW0bm_8)y0 z28ga>QwwWkrv1|C3OM2y>-|c_Oxl1ru)}g+)i;H21$om#vYIw`&5)lb&-Q!cD1N8o zx3$}pDCg4pvZ0kTW>twsGk&+yp1oF>Ja75Kr>7-eRAwGrzd_trl|(qNVcr)FM7!*&8qMZ zA!Z&rvo(2N+v^Hh58?Dnk#W6h`xbm2uHRoNP`#`Cw91@MZKi>1&(t4u*`D3qby|GY zj9yRiwY8A~F};_&-%UJPDtp*dWv=+5c%9yh*qHhEU&-z}QRH}IuHr3i+K}YC)q{D zcsrezO5d@|V9TlXJ+)8ri>Ir6vrv zGfnY6?_jU(yO!#G6^H{hzaOmtH4~n#C2KxtZ+KW(Gcm`PP7J+^G|m2zIR0&GL}^pI zquC6*p`*PG^E=aRpB}GiS5FH{J;o`=9p1^!U4J&%^je9E$m0C)Ert89uKe-6K;+WP z7T0a#4jekw9;0N)ky>8nC|%UuBQ5NZJJeipXj-S^z@`Usr?)VMImGjOPV`wGmZkB* z&)Pk!H6Lv__%1@WOXD@|ZS#^#F;n9$v%gjHXoOJzJ*6c8=^~~_|3A7>)KGvSQ7ZQvQv27bam~5R_Z9B%LFa$5r__S)C zbNQ~5JE|O39&nQ`W?Z&dXl0SvC~!q_r=`jr?c(yjkCofUXfE5@a!4)fv5Z-(m4A6w zb)?c7*=$gAZM83`seE=8S+n;e{teqT%UB@*y=ybpMs;DL7ckx)j{DG5x;Z}oH z>$e8I`G&RX6kpEin6T8(b7o%Z{>w$Co*S>vGW)sBgMY8@A`fBrfdvD{t#v24)zcpD z;G8wy<`*meQ>glezgdli;P<2bpL`OJTt7B>k0v9*^j6Je-zru?azV$? zk1(5)zt$AHY(0A~sK>GMre}L^W4z}qgM#&pL#KsS{nFjrWy^A^Si}k(KRH6;#IVyV z`U>fQ8ix#7751ydfNGij7Zp`+C@eJG%!(-~PoDiv$`ELo}2Mjca}PY7aAnesw4Yc11;ZJ`-Ru8oU=RZkSQzw6HTEz^6;As`-9>3iE zI%#aUbZpKU!!^~;AKHI-8&rkJ>~WvRq+xys7I%AZ-y_&7?&j4OHZ9a<&innWxVcU8 z^ZC4tn6h#T!v;l$&UFEMb*c`88~475YGy=uNM$|hF&IkzI`?ziwqrWGD!=TT{%GS( zsj_g6(K5etf2D6)(cG1->OPWl z<+bD)@2+ZRZE^8hHB;y1Jj>1q&rAo4(m*DyXN%?a1M|zx>Ix;#K9`OAG<@P*PLFb^ z>h>9-g?VolJr(?2^h!x&?r`PC!KM`%eM;Bs8?U8rf78gGuuA&4%lXX*^*VH|s>G+oooB{h=wnn-4XNpPUq*+j+0~+Qo?llXk4$&j|g!uS}yI>+LW6Y_BM8 zshy@Rn^is#d(qWrP5N>ovXlSp+xrR@3dvFDCM-~x+9pbT688-Ln3Xo?2%k)*+9J$v zdEKFX(#9gq50fQj(qd+>HC8^d2;cN8*V{959)GBe1ziI1(4Q0bv)uqN5{OJDxLxIUq8 zNA+rBwqE-B;O3>~nlZ)w8&>csjG5zRW)hpHq^%m?!%7HfO|^N)||l__CoYOkHD zP}y@)tjnp{JM)zRipSVl`yDvI+XY|o`$nDPF%n7dq$?$o4cRN`NpO%#P&8`SdCbx|%#o}_=Q{4X82-*oO~m&fLDPj>#Uo40q_yK1nmn_T@bVmT;hN2SgJWn@A)xy156Z!qlRBUj&%8|1#CasZWJ6u^&^3@!{d;EnKL zeLJ@wpWx-Q!MLOi=#R7!`QL0j{5di%`I|i$mx;=eaYoAj)<@|7(FZ>o7c3eCKEO@^ z?i~J;53o)j<}txF2;>kF859Ca(oPg8$ymt0NLWlVmIQJm;eXr!Duu=e3k!h{u(RO* zqn}A&e2@R5A5}CySbPY4aQ;U>VEsPKXSu6C@Dbt}1m|z0Yp@5v0g)aMhq#);`AphO z1w0vBI4H^3!pYU6|1mcMX*X4ioEB7bAy*SARl0%B=F_`Kl%d4D|o;E+YQL$QU8-r8UBay z1jt^2AJ{utg5~7!edgH^tU>Z%Lois-%Do{tIVB0qEB+ZNsc<82G^vA)y|L4iW&%Dk zYA!fV`Dc*JUCHwo|J>R0;6~ucNHe(67wlgE^=C#efb~Y&FI*T2+mke`Pga8)f_dA6 zeGaJo3^2~zqbe?1w<@6G6QMa%C&<`B(cy=nE`(1@)rI4X=Og zdo@KnTbHP&kXu>Ft*>UbaNDbyr3tx3(j4v3BvtbnK((+yD%hE7F^k$9N~)Hi6}giY zsb+ueu#_XO?t+W8&zEL>o_GAJ*JrZ)l<`Fk-ys_KlC%UKvtrKfRjZnzYjyqvjn;h6Ih4>-5pX8J}dw12b-zti+_`hgnL z+i@3JE=RWMO?i`}KWSIgeLElVac3gZ><)e+477GuPjAMbUK3h=@YdlUow+HSC;Qwz z^?D1d^JJcs?nKTtzptGtzS)Jj+l+GSFI-EpI+UTlsmgug!AjAqd*9S7Yw93totst&%^b8UE+iGaHZK5H2$ig8D;r}{Y`^Pj`4DZYr7Tw#&1d#!5`N4 z9M|-DT(Cvy_>U!fX77tFk2^Ik-PV5S@#Jam2CGVUuG=1@ZkLQ(x$T_c0S~T`y_7dF zPu{g_`l{rGc?YFBaYLn2y1jgzgrR&Jxs|exuE!!bOWLu>i|}gh6&ToASp#-fHvDVv zBv=y%4=FA1CXo+SavEWDG0YVr8f_X0`NlKBb_?)uQ6({3j4lAL&>NNye_{(LRFI{3 z-RnC)(~s>)J}T6)IpRj)C)Enug6G<6=g#K;DnirwE|EC2zTvZ{Q3!uW2X?I<@3|Wg zSo$!(h{@@sU6mBm5p{e=FWOYC_hKJM=lJJkizO2Em`={v;m;1H0{uA*y{6<&hB9F~ zdt-5`fDc1hj@HaCxt1RvWEO1fX3 z^YPzx=B$Til=)}5&at#@Lo3rBrfv%guB>}v)_(*bzwoR?&seuas3kK zHg~r#TYWxD_k_{I@t=OJtbAVw!ab}oReAGi@*n-iIcc9JTxeH->leXMPCowszKc=} zyhasp=3rptE=qCo2@~w1g#2H-C?z1TNiEmL{@O)J?UwxeE=usNdbC}X)NaYY@1m4} z^-WO!rj#FY7bUe@^6$GS!KX75vqJU%+C?c3d0WKWQT>p+C>0?8_g$3KZppvzqEv+S z|GtY7eA{ATf8Rw3c1toaA3d51tl*0b_yGe3=99IT+nqA56whJz*ZSPXy+ELL7pn|#8=X47yT zz|ZDDIERMo0yu|)aWq^Hz&HxdMMK0>a2^fU2kJZk8CZh6Bv_ur#1c`TiG~o)r{PAR z+I$Kop+1u+n2b6}29SZJ$V(c-R;j3yRI1tn)X4%0E<~Lyq+lBAB#r81k-Q}MCc?xP zqfQo6)s~=6mQZjh>SQSem!VFUQJpN8mjqu&nAi%`$qK4kI_e~yf*Gij3<|D9ovfrf zStT!N20K|z!@;IZY_$c1YiKywbBV2?;944P1>jl=X3}u5!xGD+U=|Ix0Wgb#>u9(w zfa@rjO~b)9N-P^d29_f)N$s9ok8H1}jO8NRxfI-hY;T}o9AQeOu+)wNdeW#7I{fW*hwMkq>!psggPmrU@_{Xn1WkTCtImbw#iFU zn>e?lPPS9kcA!pnP;e*eWG4knP$wl+C%fb&ouQN6=v~-NMYjjN3wtQI7rhI6DYy^4 z3;QToir$4%3hqbm!hQ;tp?9GSKn7MWFX;kDtUx1HP}M5Yh?NvPfQC3g!GoyJgA}Yn zeO3X;z^dgX!S@U%c8JCgPVv~tzE$!ZaKw!y=vXba^+ox1$YsInSDvq#nq7HV>+m-t zxfQXyjGr`~Ia7UvGkyK%Sq|Pacg+ud*WD{FcET#}$nEF`KZEoWPg)gc-;%t@tP-QZcUPCo_6%3T-V}t&#$*m`Q|r&+E1_XGcLRrD?hHY zQpoUy$J>QF1`}5ud8g*HOR}LxCVZpc70pb;wv6cer&BHl7QSpPpItOC=YoAkyDcE7Wic>PLLxxv`>dAede z&GpN^hZpY}(mIT;k6#hxit7rrUn!VpVY&LMT2#rmf%$8{KWU5+dCQl+tyJp=akEB1 z*25_)F|qr*OSRY0&#vQ6UH)n!dXIMgvBJsR{s}+ZLR!DNT;ywR&^7gRGMIKb>CEB1 z!8YDvn|i;^D}9!$-aU1~snnaW@shzt>Og2)IVXNZgeB73nR zKrbAEL|}zI0aw@)N7bt^b+_Y~BN0?9ZbZ11svW_c36Py);0es0wMU;tzJ9Rw_oxk{ zmBY9YbWE+zk}%#N0;)=y8Qi=u>V9xWeUqpA*_K_uu~~u{Bofai-*eC>EGGmi>Bs@KaFQ( zJjuPG{B9$Exr|!s%lkGQm)oLjp=g2Lz<8$UtEUXkN0s-A=WJO9t5sUsUmcbBve<#W zHBzv3;7y_U#C`@5tuw&PL^3vhFPyJ5C4;qE zSk*i57qKqsycS#RA|Bx~PDSG0Tn7JLV*SH0MO}@?o7rs#gzr|LZ|i5-lXGg^yQNDM6X-+Gz7*Hki@sIHcO>&ED^QeV_1mNvm3 zYh&xnNp-I>)RceQ!FYX4eSBBe;>Xcj$FmRSOLr5-rSj)G7|ZI^A6^rC5#F=4ja?}& zbDlU}Chzlw@!CLrvbWgcsdH=E*rt-QW6X@5RNEFaUf1bPX|-M4GS9Y?U6~`-e$u#1 z{_+pTl{)nc%{9SO`x|4~m6Gz8>{(^TxcEqJUqAdB#1B})J^`zzllZL%dCb8EYRlH;?yjJz)03)(ccc{ng z?DA_T-?MYfm7d#fS*<+hCZknEXGyeKc;F;GA>$z0;{*1kg6WTxqv{j3x9q!U<}-+$ z!UYO1-#b`)9G7~@(AAaRMC>`Hcn0gAE$CP>uhU9VBxnl5zgo5>qWkvoZfu-}P@^Sh za&WOQONqg1lUX6)wqBMqmi5F_$@nSr;hbru!UET2hq5j&nwqLgOUx_ib<0WK;U7!u zS5#qLe?7n-B_ry&Tr?!Uxg&THjUg;P=>|(!AlOGWWT%j4ct?9#EG!>BHgko5@Yed5t35i>)(+{d0s4S4n^5=qtm5?wJtRYNba>MZ!h#`c@$?(yt)Fcrtoy>s6_LF$($6N`PU_428p(5&WI;W#Pn zJKhJ9OM9`@C>>L0NsT3APROJ?XnWpJ8k;+#!+a(`+4Srwj^*_TGZpeww0TfI_r--A zlT%NyU+%h%I*jr!{AR401|{F@Te1^3qJ9TM3 zaNgU_sT#atim9|<*9WzVR}0pivy~LCJgEKYWZx0SWlY4za6_@^Guk>niT!7dR3xO| zSIDKWzW|&&v~s>DrdFB!imK2;z# zeA^($tl>RyoD!lr-$QaO`HUuUdicUNL)v}*{a9n0*7wbVzQ=o)3SSP@J{#Y4D|HB) zZfuzRQB)w|{eVP)j1m8Q=^a}a7R|2*j^9^s3UYnrfa9l=+P3y@xYSvA2@A(`tA!cw zgC1(LO23JVP6hPmk|%nZ5V)}=AO8&fsboBp1mZA83ht+kaBOqXt0XPGV&maTq> zGd|c~Kbf}vfJs5+?Nwro{RR;ck7R*k&y||9bfS-gu9h8O)cd>5(6dd(4jt6W*ej^L zTS8D+(N=rk#(T`DVoX-qP;pT7tGq*z#P@C^+j8lgA6S|4Uf@{vDaY-F-fQ5vcf+$E z>1WFNE;~p#rn0l3&xPHA1=#5A*um&?O_OCE z@0C`1DSfRWtVpK(=ht)kCttPtY@nT9YP#z3{#voQwFbNOh0}mz?G>66KPBBEpV5T2 z&)s{(qTVxX1Ga0KR^d6p@%hV?ggXPYQ-9YF29LvN%M9nViEbA;*D0a4+9)hc`h~n=;jhL-~-j^JPu=Sxd)*T5M154?Lj||FW+PVdf7dA&eE9s;?%`mG~ti36&u*&?p zji7e%t3E-{N8fl&3)~%k|5O}M-dv*LW4(S4_A}k0TvpKgv#5dawMmv)xijvW9K}oz zS}i*$_RjfZzvSb7>-DJ$4{z#7uIK}<1qV1AS78Idby#@r%`(B((gj!C%-)!xo$u_w%zg^JKSbFQ1 zL~D_~*u4fj!7c2f2cj8**Ry15bI;vXScmNpu&>S#c(>_;HvXz#)?k79?e2AD8ik!7 zBvx1pM?N`2`xxy|8`;n)c4n19zLnHL@EVVf(`3GNcO{?EWZKSbPS>p}ynY6|GE=KO zL~!i+Lk7Y(g0$xvsJ782Vy15m7j75zaqjymVKdR_dYtq-OP%qlXTfVcIKbJk?)7Bw z8s*(iTbB7=Ex5lG^WxLR{27KmRoSesX5vCdN-x1@Y`d*+g|zIXO?hetm}Z!s>IsH} z-tmJhR}Hxp2GVcGnSPTYI&2P{h`6~R(~LHz#<7!cm4_JnhJm8+IZ5F7-384(j$+_P zG@4;N`>e3UBBL#4*z6-(Uqu8L#K^1=j+m|8Sg_c0|4J;X*3jQcv~TXRR*4V2MqA!U z`#(<+92X57OCIDfYE3$UWB2QF&%a6bn?p4z(;~wj)on=ukExg4j=yYTNG=J zF;tl;+J33IKw{b*BbTYtGVwQ`DLDhj91+gR&eI*hap6L@`0*|K<3bX!a)PPm9mESt1RtCkzJ)_Z1e$$KSud5A)xzx6hv;;MU7wU6WBrPmxwWH(5}o*g*LF zc<*R|XGdrk*ElOjq_&GC7#r*w`nm)-KH{Qj#NX>qKBGN)k>I@HmA}{3BiNhOT0)_M z>2H^(3qReZz5b_qi{5c;=X=8wM?{M^51UFn$TYedEq&o`PEf;p;CM+0C*6Z@9dJze z7u^*cLu+DNVm@uUojVxDUTf#DCYgx0Fs}xHBdqzWuurpeXhTA-5%w-ouTO?y)-`bn z%iWNea7Eg~|K~HA_61fk+dGcWhSglq42HZAN*-HXMYv3UAXup|1}1mUaAmd7Ku-7Q~_`2<-#cM!An`Vc4iQrkLp zfx@_tQ{TUc0j@hTIGdH7IKcJ3NQIBjzJ!^VJ;$bOvgvSS7flcf6wJ+%D_%FdsECYq zk8L&1{@`|4TkzUv`Gba&?xb(T>^EB9C)mE0J>i1CLKU{n3+Vd32XoD|OPqTS@ouWR$DO`N4WR{&ahlJL?0c$CyIJo#CfxX` zXv<=AeE&de=*x@u!0W8ptZ8p^!VSL8@8{=4G%fAE5`}%KvzR(ju;bV5yTZcFZl?k@ zq@6Rdwr;EErDB#XhH;W_^{lTPQ@Ho~Y4D5R;C0@^IKj%6n&5RR>{|Ic(l6}bfoa(G zO|~~p*+yG7hY1Rs$`*@9%TC;fc~{#>U16vC8t)RkHb=3%y7*4c4s7Lk`v5V4OY3_s z;-Bs*r7l!;8!q~-mXdfCSJzqj<#7aU$WwH1s%;Ojxwc(kg{g;Bv%g4eh{T(zsy zkyvKB)tq09$|%Vd6NOYGyHq9KW*09#7K?7vkniLg_ZL?M8CwpeZve}IB`rzzNbI)LYtSjvdXrqJx=j& z{p}}mL~|av?=Q$)C3-}m@2QTqQ7)EJU%q2U=0d}|TLD9zwbEZ358|6hUHQC&DVqb!4 z@q#H|O190wP3})DG+n-^_0*3CQwA1K7S^5-_bB<>rK`%1Pt%h+f)CSJ=7G0=$8|4R zZC$>MlX`j5`pr|-9*P|Oq-hfp7GdZzuxk3Ekc`!m7w;bGs9qN^*Tivi{xZdZ1xKul z?_s$a9oz1m$oQxd=M}$xZ`aYo)nfJ+H<~>*-_y0CT>S2?Xq+y#u$=JPbo9!VEj9s8 z3w4gDPjxuy(MTJ9Em}NQ`l57ZJ!7HRv-}>*#%6`ewvPt<3LTGLwjHsOug*FDL2P() zsb|Jal?V5C>?~)iw|R4}-AGJ(Fm~(HwJW4)X+OT7=rZ?=DV<*^>6YfD>i0~bTt-VQ z{?bA%6K}J~>js00yWM8@m^0%}8=XlX6L>K2OK8t4z5SwV^w)0^EsDK8_G4|}{U)Nd zOC!9ldbs+SE8~;?v(inC-5C)>gQv0{)W=8d1y|0w?~o3TSMmo_Msj4N6}eD=X%-3Z z%>5r1&=ioTRwTzX>*TB(|uk*^9?u&@Tk$p4YC z(aw?|%;49+f8F2wCAz*>Hr6VHwpzLlOUbE@Zyt!mnP`DG-{Gk-cuQ+A zv7o*;{H^tY{XZgUM;1<<&%TjM4}K!k?!GkO8nbOF{oNh;U9Afi7n1knwh}mX^M-Sw zvmr6VS8|+Vxz2KjwZZ(~J((66x6dx~zidKOAN8W`*tDn`-Cq0lt#zwO)91)SDqxIG zRG3{p`3Et4z;OQW3qu$i^=JZQN6g*SIso{04f8BFxIx3gpJ&fuwLTgSPC}R%KnzS= zUJ_oc$H*IMg3I(wOp*rwvnCi=+DcZCCNJvAfGhS)Ocp6%u`8*_lZt{cR0yPi<*%fo zL@LT)-2fd^!7x=84XhL(7lNQ#BMU*uTAJj$N-A1N(Wct#kO~J}S!80mNTKeFiRqJy z0a(RA#|$xyyl*zOWW*TN0?SFs@lDBEW>T=dIa0s^Q&O=c6)S4lh_wL?tP{b&(oV8F zTk=8>izWvf*`r2awI|ugk!<8dtp#yLiVLNmyAQla*3t?ixs8I;jXadjQYa;rR2G26 zAaraY9ZRDwCI2>(+qm`Hs^7}|jbS-DAB2?`{(N(GC;mY;dEtHw%@>+W&c@=k3c^N1 zr#~w>+#n*~>&3KO5IA3HtuRQS2YyP|%>Q1aDsKKBOid5LAu80$8*%gauTU2!oe?W$7NYB86d zr)-s{3ypr$_F5YETwUh6H}Bp%dY4_Q8(&jS^ZTIwjPM;HejC@!wmJKHauC05`|t0? zeS6&!9onu4R3;j{NS<5mdRVf`{KO{hFPA?RBo3YJnjD>}enZFM9-p?zFZdp z&$Hy^S4N#1{iB8t!F#f9P%x*Deqnft-ExP>hIa!muZ(zNI zKJ%}db^yT?`kY)JWSgDk?9Z}?Vx>H3id zJtJqC`GdA8c+z5eif<^bvFG}E;pRFT?l0M{!mo=x+4xQN>WRD^!u{#-YzG(mPP&)5 zNKh3c?xMUW@UzEN4t|X6Lz-Mk$ zzhMe!bHPu?Kr7Xk6REuXePb=v;2}oHw=4ur7zK%H*fcz7&RpTeeA27&RrvpD@7lwmTD$n(V{c|}Gh>W#Nf(@) z!dv#8S%6Z?h zoo~)m-(TN9-}8JP&#Y&y_x-K4_F8M+J@35F?6v52Kv};W^0u&Q^U_}QGDA|TZlFZ+ zfgXO#&G5z*sXp(3@}-x>Q)=IlOL3K`)ZTDdr2}QOh7~5MSpEoh+F8mbEctwF;fB=uHtC65raP5HyYJJf%j<@EX=Pz zpalXyx=4;~kn!76BW(IqPoC?f*KnBeIo|d+OLyl-?ORoeAo@qy)`y9N)0`obNVaqb8ov}f z6-!Ttz|1s!99hQT)RRgMDZvmJIfbo<5X4kYmSK3x#fzxXalYz^ z60V}iN)4_d;PoXXbLH<6a|wm{%1e%NWs9e&#hU7VtDmpoKXpnO@6b}!X!UbsXN5Ff zmA}h*f&6Y0DK2AiyNqXsqSeaf<54fdO|N?)cPiO2Mr$6h%UE2brba$7pnh+=t20`^ z0*mU{^AO(V?at#7aaL6pR^&P-dRhl_&#DHgYZr`JD~4eQ?TTsa88*aT2adh$m~zoJ z)MOs!%3o^-fyw^(+EsHU&-#*WUyT)CcISw=WCa}L%&s;(_Y zcVVNBQYY}0?W`kv0v+J>^=5MOw-6tGP-x7R3!KrVVx=~+H0GywO&Vz@mu==HUKO;u z<`n*m8ANZ1y_=-dsV=*H2IGAMyP!w$%Im4x9V;>-E+-;B2V`IC5A1kyYb*~*lTz`j z&G^{#d=!JT=3H?V7=Uk-3rm>Lt;V z!g20$vaAn6KO|#`)@Oc$z^Y^Tnw*1nE$*c6UUHu`^NhQb{DHLYjK_~4@byhL;}&sx z6X&Kx>%4%M=qStLea#cR#FKSrxz}lUebx=!rtO4DivrToeb4$k`73I+O0NZGp&Is& z${Km)Km0WF-P=1WF0|2=N7QG#&zarz(3&9#l~r7Kp_BLv1M_4+k66D_f4Hison|73Ir07M zfCzaUAUW#Eu{v8T-A1EZ@L7Hx7WWr8xJT!N%mG`^m8R_SGQz)^^Q3X-Nbd+OzYuHk zjlKqf+dCzHjV~G)dM<5zlC1G%Mf1DtWiM5!kGVkL$sV@#GeWSCv%|zj$!F=aWK(m3 z*P+reilK>Z*pjWu0;uErVEmhm)*H{|Ny%!u!&YW8<92mVc7h!C?t&EC^-jetl^{k{ zx*TthV)E@fg&(rd$z!z@$VXkyv+4r>S?w}ifthn>(uki_wRbE#NxYwusApMfvBqvJ zX?CtdHx0IJG7Iu> zzdh%uzWq#QR7g%r*8Xp-62Fn6=8 zIXnEg$z(|%VPkoK2zp!(7Pzr-Iv0M}`8du_f$IU-lcf}bSJ-S-$by%4YTm>LFj`U@ z_=+2BKU0iBZsEKQU``Z*qCzUtywwuOF|bX22)rMDzrNhH}A;6y{;Ygg);{ zRjQO+i=vZxqVn)bo(!mYlX+@}_C)do6~jCUsmS%O26E9nK^|TwTtP(hMCps6sK89j zuP@clDlxzAiTMQs2>lXL5x>3%a#6n^|2e-XeK8ajI8pX|!R*h~k5DdR#|F%Ub~cIm z*(Bzt--JF?NJa3r6n?UPAP@bd@G%q>Ks!(#LfN76{l)qb)(NN|VcmfGxeNUP^)m>l zX#K=d`h@E#(4R)}(Avjw`VqJUgZK3jAptaMqRumarSz>84oG;Ppm1!!!}Z`xb#|Vb zH(6(mVsY{Zc$iNi6^YY2AQ#Oi3d+R%`cj?Mi22nZ=2rl)2K^FJ z5x>9*#6SFk{OA0l^uO0sX>F8i*hGb&`-bq~s`-2O)uZ5sqW%CwURh z2S09tw@i>Xl*-Qp97u$D1NG1+7MCyO3qDfB;sWF_Uz2qW zc^DT8A45?ACOM&>sy`oLeS*3b*6aV9Z_`0|Kpg-5TX_)vamZ^@@=5+n6h3#P#-+s?zK0l+YQ}5`j~n&GZBnh6b+loV oXnk>`R3~Pgx$qeR2I5vH+gHzn&kz9rphl3ze=jMJ`wxGA0p?w~sQ>@~ literal 0 HcmV?d00001 diff --git a/1ano/2semestre/lsd/pratica01/part4/simulation/qsim/work/_vmake b/1ano/2semestre/lsd/pratica01/part4/simulation/qsim/work/_vmake new file mode 100644 index 0000000..37aa36a --- /dev/null +++ b/1ano/2semestre/lsd/pratica01/part4/simulation/qsim/work/_vmake @@ -0,0 +1,4 @@ +m255 +K4 +z0 +cModel Technology