From 7eea3c7abb54a8104dca9a790ab8b00effd17983 Mon Sep 17 00:00:00 2001 From: TiagoRG <35657250+TiagoRG@users.noreply.github.com> Date: Wed, 29 Mar 2023 13:06:32 +0100 Subject: [PATCH] [LSD] pratica06 part1 added (with enable and direction selector included) --- .../ShiftRegister_Demo/FreqDivider.vhd | 31 ++ .../ShiftRegister_Demo/ShiftRegister4.vhd | 28 ++ .../ShiftRegister_Demo/ShiftRegister4.vwf | 333 ++++++++++++++++++ .../ShiftRegister_Demo/ShiftRegisterN.vhd | 32 ++ .../ShiftRegister_Demo/ShiftRegisterN.vwf | 328 +++++++++++++++++ .../ShiftRegister_Demo/ShiftRegister_Demo.vhd | 37 ++ .../output_files/ShiftRegister_Demo.sof | Bin 0 -> 3541756 bytes 7 files changed, 789 insertions(+) create mode 100644 1ano/2semestre/lsd/pratica06/ShiftRegister_Demo/FreqDivider.vhd create mode 100644 1ano/2semestre/lsd/pratica06/ShiftRegister_Demo/ShiftRegister4.vhd create mode 100644 1ano/2semestre/lsd/pratica06/ShiftRegister_Demo/ShiftRegister4.vwf create mode 100644 1ano/2semestre/lsd/pratica06/ShiftRegister_Demo/ShiftRegisterN.vhd create mode 100644 1ano/2semestre/lsd/pratica06/ShiftRegister_Demo/ShiftRegisterN.vwf create mode 100644 1ano/2semestre/lsd/pratica06/ShiftRegister_Demo/ShiftRegister_Demo.vhd create mode 100644 1ano/2semestre/lsd/pratica06/ShiftRegister_Demo/output_files/ShiftRegister_Demo.sof diff --git a/1ano/2semestre/lsd/pratica06/ShiftRegister_Demo/FreqDivider.vhd b/1ano/2semestre/lsd/pratica06/ShiftRegister_Demo/FreqDivider.vhd new file mode 100644 index 0000000..579332f --- /dev/null +++ b/1ano/2semestre/lsd/pratica06/ShiftRegister_Demo/FreqDivider.vhd @@ -0,0 +1,31 @@ +library IEEE; +use IEEE.STD_LOGIC_1164.all; +use IEEE.NUMERIC_STD.all; + +entity FreqDivider is + generic(divFactor : positive := 10); + port( + clkIn : in std_logic; + clkOut : out std_logic); +end FreqDivider; + +architecture Behavioral of FreqDivider is + subtype TCounter is natural range 0 to divFactor - 1; + signal s_divCounter : TCounter := 0; +begin +assert(divFactor >= 2); + process(clkIn) + begin + if (rising_edge(clkIn)) then + if (s_divCounter >= (divFactor - 1)) then + clkOut <= '0'; + s_divCounter <= 0; + else + if (s_divCounter = (divFactor / 2 - 1)) then + clkOut <= '1'; + end if; + s_divCounter <= s_divCounter + 1; + end if; + end if; + end process; +end Behavioral; \ No newline at end of file diff --git a/1ano/2semestre/lsd/pratica06/ShiftRegister_Demo/ShiftRegister4.vhd b/1ano/2semestre/lsd/pratica06/ShiftRegister_Demo/ShiftRegister4.vhd new file mode 100644 index 0000000..83b5054 --- /dev/null +++ b/1ano/2semestre/lsd/pratica06/ShiftRegister_Demo/ShiftRegister4.vhd @@ -0,0 +1,28 @@ +library IEEE; +use IEEE.STD_LOGIC_1164.all; + +entity ShiftRegister4 is + port + ( + clk : in std_logic; + sin : in std_logic; + toleft : in std_logic; + dataOut : out std_logic_vector(3 downto 0) + ); +end ShiftRegister4; + +architecture Behav of ShiftRegister4 is + signal aux : std_logic_vector(3 downto 0) := "0000"; +begin + process(clk) + begin + if (rising_edge(clk)) then + if (toleft = '1') then + aux <= aux(2 downto 0) & sin; -- deslocamento à esquerda + else + aux <= sin & aux(3 downto 1); -- deslocamento à direita + end if; + end if; + end process; + dataOut <= aux; +end Behav; \ No newline at end of file diff --git a/1ano/2semestre/lsd/pratica06/ShiftRegister_Demo/ShiftRegister4.vwf b/1ano/2semestre/lsd/pratica06/ShiftRegister_Demo/ShiftRegister4.vwf new file mode 100644 index 0000000..f412e0e --- /dev/null +++ b/1ano/2semestre/lsd/pratica06/ShiftRegister_Demo/ShiftRegister4.vwf @@ -0,0 +1,333 @@ +/* +quartus_eda --gen_testbench --tool=modelsim_oem --format=vhdl --write_settings_files=off ShiftRegister_Demo -c ShiftRegister_Demo --vector_source="/home/tiagorg/repos/uaveiro-leci/1ano/2semestre/lsd/pratica06/ShiftRegister_Demo/ShiftRegister4.vwf" --testbench_file="/home/tiagorg/repos/uaveiro-leci/1ano/2semestre/lsd/pratica06/ShiftRegister_Demo/simulation/qsim/ShiftRegister4.vwf.vht" +quartus_eda --gen_testbench --tool=modelsim_oem --format=vhdl --write_settings_files=off ShiftRegister_Demo -c ShiftRegister_Demo --vector_source="/home/tiagorg/repos/uaveiro-leci/1ano/2semestre/lsd/pratica06/ShiftRegister_Demo/ShiftRegister4.vwf" --testbench_file="/home/tiagorg/repos/uaveiro-leci/1ano/2semestre/lsd/pratica06/ShiftRegister_Demo/simulation/qsim/ShiftRegister4.vwf.vht" +quartus_eda --write_settings_files=off --simulation --functional=on --flatten_buses=off --tool=modelsim_oem --format=vhdl --output_directory="/home/tiagorg/repos/uaveiro-leci/1ano/2semestre/lsd/pratica06/ShiftRegister_Demo/simulation/qsim/" ShiftRegister_Demo -c ShiftRegister_Demo +quartus_eda --write_settings_files=off --simulation --functional=off --flatten_buses=off --timescale=1ps --tool=modelsim_oem --format=vhdl --output_directory="/home/tiagorg/repos/uaveiro-leci/1ano/2semestre/lsd/pratica06/ShiftRegister_Demo/simulation/qsim/" ShiftRegister_Demo -c ShiftRegister_Demo +onerror {exit -code 1} +vlib work +vcom -work work ShiftRegister_Demo.vho +vcom -work work ShiftRegister4.vwf.vht +vsim -c -t 1ps -L cycloneive -L altera -L altera_mf -L 220model -L sgate -L altera_lnsim work.ShiftRegister4_vhd_vec_tst +vcd file -direction ShiftRegister_Demo.msim.vcd +vcd add -internal ShiftRegister4_vhd_vec_tst/* +vcd add -internal ShiftRegister4_vhd_vec_tst/i1/* +proc simTimestamp {} { + echo "Simulation time: $::now ps" + if { [string equal running [runStatus]] } { + after 2500 simTimestamp + } +} +after 2500 simTimestamp +run -all +quit -f + +onerror {exit -code 1} +vlib work +vcom -work work ShiftRegister_Demo.vho +vcom -work work ShiftRegister4.vwf.vht +vsim -novopt -c -t 1ps -sdfmax ShiftRegister4_vhd_vec_tst/i1=ShiftRegister_Demo_vhd.sdo -L cycloneive -L altera -L altera_mf -L 220model -L sgate -L altera_lnsim work.ShiftRegister4_vhd_vec_tst +vcd file -direction ShiftRegister_Demo.msim.vcd +vcd add -internal ShiftRegister4_vhd_vec_tst/* +vcd add -internal ShiftRegister4_vhd_vec_tst/i1/* +proc simTimestamp {} { + echo "Simulation time: $::now ps" + if { [string equal running [runStatus]] } { + after 2500 simTimestamp + } +} +after 2500 simTimestamp +run -all +quit -f + +vhdl +*/ +/* +WARNING: Do NOT edit the input and output ports in this file in a text +editor if you plan to continue editing the block that represents it in +the Block Editor! File corruption is VERY likely to occur. +*/ + +/* +Copyright (C) 2020 Intel Corporation. All rights reserved. +Your use of Intel Corporation's design tools, logic functions +and other software and tools, and any partner logic +functions, and any output files from any of the foregoing +(including device programming or simulation files), and any +associated documentation or information are expressly subject +to the terms and conditions of the Intel Program License +Subscription Agreement, the Intel Quartus Prime License Agreement, +the Intel FPGA IP License Agreement, or other applicable license +agreement, including, without limitation, that your use is for +the sole purpose of programming logic devices manufactured by +Intel and sold by Intel or its authorized distributors. Please +refer to the applicable agreement for further details, at +https://fpgasoftware.intel.com/eula. +*/ + +HEADER +{ + VERSION = 1; + TIME_UNIT = ns; + DATA_OFFSET = 0.0; + DATA_DURATION = 1000.0; + SIMULATION_TIME = 0.0; + GRID_PHASE = 0.0; + GRID_PERIOD = 10.0; + GRID_DUTY_CYCLE = 50; +} + +SIGNAL("clk") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = INPUT; + PARENT = ""; +} + +SIGNAL("dataOut") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = BUS; + WIDTH = 4; + LSB_INDEX = 0; + DIRECTION = OUTPUT; + PARENT = ""; +} + +SIGNAL("dataOut[3]") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = OUTPUT; + PARENT = "dataOut"; +} + +SIGNAL("dataOut[2]") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = OUTPUT; + PARENT = "dataOut"; +} + +SIGNAL("dataOut[1]") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = OUTPUT; + PARENT = "dataOut"; +} + +SIGNAL("dataOut[0]") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = OUTPUT; + PARENT = "dataOut"; +} + +SIGNAL("sin") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = INPUT; + PARENT = ""; +} + +SIGNAL("toleft") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = INPUT; + PARENT = ""; +} + +TRANSITION_LIST("clk") +{ + NODE + { + REPEAT = 1; + NODE + { + REPEAT = 50; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 10.0; + } + } +} + +TRANSITION_LIST("dataOut[3]") +{ + NODE + { + REPEAT = 1; + LEVEL X FOR 1000.0; + } +} + +TRANSITION_LIST("dataOut[2]") +{ + NODE + { + REPEAT = 1; + LEVEL X FOR 1000.0; + } +} + +TRANSITION_LIST("dataOut[1]") +{ + NODE + { + REPEAT = 1; + LEVEL X FOR 1000.0; + } +} + +TRANSITION_LIST("dataOut[0]") +{ + NODE + { + REPEAT = 1; + LEVEL X FOR 1000.0; + } +} + +TRANSITION_LIST("sin") +{ + NODE + { + REPEAT = 1; + LEVEL 1 FOR 60.0; + LEVEL 0 FOR 90.0; + LEVEL 1 FOR 90.0; + LEVEL 0 FOR 30.0; + LEVEL 1 FOR 30.0; + LEVEL 0 FOR 150.0; + LEVEL 1 FOR 30.0; + LEVEL 0 FOR 30.0; + LEVEL 1 FOR 30.0; + LEVEL 0 FOR 60.0; + LEVEL 1 FOR 30.0; + LEVEL 0 FOR 30.0; + LEVEL 1 FOR 30.0; + LEVEL 0 FOR 30.0; + LEVEL 1 FOR 60.0; + LEVEL 0 FOR 30.0; + LEVEL 1 FOR 90.0; + LEVEL 0 FOR 30.0; + LEVEL 1 FOR 60.0; + LEVEL 0 FOR 10.0; + } +} + +TRANSITION_LIST("toleft") +{ + NODE + { + REPEAT = 1; + NODE + { + REPEAT = 1; + LEVEL 0 FOR 500.0; + LEVEL 1 FOR 500.0; + } + } +} + +DISPLAY_LINE +{ + CHANNEL = "clk"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 0; + TREE_LEVEL = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "sin"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 1; + TREE_LEVEL = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "toleft"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 2; + TREE_LEVEL = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "dataOut"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 3; + TREE_LEVEL = 0; + CHILDREN = 4, 5, 6, 7; +} + +DISPLAY_LINE +{ + CHANNEL = "dataOut[3]"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 4; + TREE_LEVEL = 1; + PARENT = 3; +} + +DISPLAY_LINE +{ + CHANNEL = "dataOut[2]"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 5; + TREE_LEVEL = 1; + PARENT = 3; +} + +DISPLAY_LINE +{ + CHANNEL = "dataOut[1]"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 6; + TREE_LEVEL = 1; + PARENT = 3; +} + +DISPLAY_LINE +{ + CHANNEL = "dataOut[0]"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 7; + TREE_LEVEL = 1; + PARENT = 3; +} + +TIME_BAR +{ + TIME = 0; + MASTER = TRUE; +} +; diff --git a/1ano/2semestre/lsd/pratica06/ShiftRegister_Demo/ShiftRegisterN.vhd b/1ano/2semestre/lsd/pratica06/ShiftRegister_Demo/ShiftRegisterN.vhd new file mode 100644 index 0000000..d305a51 --- /dev/null +++ b/1ano/2semestre/lsd/pratica06/ShiftRegister_Demo/ShiftRegisterN.vhd @@ -0,0 +1,32 @@ +library IEEE; +use IEEE.STD_LOGIC_1164.all; + +entity ShiftRegisterN is + generic ( size : positive := 4 ); + port + ( + clk : in std_logic; + sin : in std_logic; + toleft : in std_logic; + enable : in std_logic; + dataOut : out std_logic_vector((size-1) downto 0) + ); +end ShiftRegisterN; + +architecture Behav of ShiftRegisterN is + signal aux : std_logic_vector((size-1) downto 0) := (others => '0'); +begin + process(clk) + begin + if (rising_edge(clk)) then + if (enable = '1') then + if (toleft = '1') then + aux <= aux((size-2) downto 0) & sin; -- deslocamento à esquerda + else + aux <= sin & aux((size-1) downto 1); -- deslocamento à direita + end if; + end if; + end if; + end process; + dataOut <= aux; +end Behav; \ No newline at end of file diff --git a/1ano/2semestre/lsd/pratica06/ShiftRegister_Demo/ShiftRegisterN.vwf b/1ano/2semestre/lsd/pratica06/ShiftRegister_Demo/ShiftRegisterN.vwf new file mode 100644 index 0000000..21ea3e3 --- /dev/null +++ b/1ano/2semestre/lsd/pratica06/ShiftRegister_Demo/ShiftRegisterN.vwf @@ -0,0 +1,328 @@ +/* +quartus_eda --gen_testbench --tool=modelsim_oem --format=vhdl --write_settings_files=off ShiftRegister_Demo -c ShiftRegister_Demo --vector_source="/home/tiagorg/repos/uaveiro-leci/1ano/2semestre/lsd/pratica06/ShiftRegister_Demo/ShiftRegisterN.vwf" --testbench_file="/home/tiagorg/repos/uaveiro-leci/1ano/2semestre/lsd/pratica06/ShiftRegister_Demo/simulation/qsim/ShiftRegisterN.vwf.vht" +quartus_eda --gen_testbench --tool=modelsim_oem --format=vhdl --write_settings_files=off ShiftRegister_Demo -c ShiftRegister_Demo --vector_source="/home/tiagorg/repos/uaveiro-leci/1ano/2semestre/lsd/pratica06/ShiftRegister_Demo/ShiftRegisterN.vwf" --testbench_file="/home/tiagorg/repos/uaveiro-leci/1ano/2semestre/lsd/pratica06/ShiftRegister_Demo/simulation/qsim/ShiftRegisterN.vwf.vht" +quartus_eda --write_settings_files=off --simulation --functional=on --flatten_buses=off --tool=modelsim_oem --format=vhdl --output_directory="/home/tiagorg/repos/uaveiro-leci/1ano/2semestre/lsd/pratica06/ShiftRegister_Demo/simulation/qsim/" ShiftRegister_Demo -c ShiftRegister_Demo +quartus_eda --write_settings_files=off --simulation --functional=off --flatten_buses=off --timescale=1ps --tool=modelsim_oem --format=vhdl --output_directory="/home/tiagorg/repos/uaveiro-leci/1ano/2semestre/lsd/pratica06/ShiftRegister_Demo/simulation/qsim/" ShiftRegister_Demo -c ShiftRegister_Demo +onerror {exit -code 1} +vlib work +vcom -work work ShiftRegister_Demo.vho +vcom -work work ShiftRegisterN.vwf.vht +vsim -c -t 1ps -L cycloneive -L altera -L altera_mf -L 220model -L sgate -L altera_lnsim work.ShiftRegisterN_vhd_vec_tst +vcd file -direction ShiftRegister_Demo.msim.vcd +vcd add -internal ShiftRegisterN_vhd_vec_tst/* +vcd add -internal ShiftRegisterN_vhd_vec_tst/i1/* +proc simTimestamp {} { + echo "Simulation time: $::now ps" + if { [string equal running [runStatus]] } { + after 2500 simTimestamp + } +} +after 2500 simTimestamp +run -all +quit -f + +onerror {exit -code 1} +vlib work +vcom -work work ShiftRegister_Demo.vho +vcom -work work ShiftRegisterN.vwf.vht +vsim -novopt -c -t 1ps -sdfmax ShiftRegisterN_vhd_vec_tst/i1=ShiftRegister_Demo_vhd.sdo -L cycloneive -L altera -L altera_mf -L 220model -L sgate -L altera_lnsim work.ShiftRegisterN_vhd_vec_tst +vcd file -direction ShiftRegister_Demo.msim.vcd +vcd add -internal ShiftRegisterN_vhd_vec_tst/* +vcd add -internal ShiftRegisterN_vhd_vec_tst/i1/* +proc simTimestamp {} { + echo "Simulation time: $::now ps" + if { [string equal running [runStatus]] } { + after 2500 simTimestamp + } +} +after 2500 simTimestamp +run -all +quit -f + +vhdl +*/ +/* +WARNING: Do NOT edit the input and output ports in this file in a text +editor if you plan to continue editing the block that represents it in +the Block Editor! File corruption is VERY likely to occur. +*/ + +/* +Copyright (C) 2020 Intel Corporation. All rights reserved. +Your use of Intel Corporation's design tools, logic functions +and other software and tools, and any partner logic +functions, and any output files from any of the foregoing +(including device programming or simulation files), and any +associated documentation or information are expressly subject +to the terms and conditions of the Intel Program License +Subscription Agreement, the Intel Quartus Prime License Agreement, +the Intel FPGA IP License Agreement, or other applicable license +agreement, including, without limitation, that your use is for +the sole purpose of programming logic devices manufactured by +Intel and sold by Intel or its authorized distributors. Please +refer to the applicable agreement for further details, at +https://fpgasoftware.intel.com/eula. +*/ + +HEADER +{ + VERSION = 1; + TIME_UNIT = ns; + DATA_OFFSET = 0.0; + DATA_DURATION = 1000.0; + SIMULATION_TIME = 0.0; + GRID_PHASE = 0.0; + GRID_PERIOD = 10.0; + GRID_DUTY_CYCLE = 50; +} + +SIGNAL("clk") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = INPUT; + PARENT = ""; +} + +SIGNAL("dataOut") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = BUS; + WIDTH = 4; + LSB_INDEX = 0; + DIRECTION = OUTPUT; + PARENT = ""; +} + +SIGNAL("dataOut[3]") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = OUTPUT; + PARENT = "dataOut"; +} + +SIGNAL("dataOut[2]") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = OUTPUT; + PARENT = "dataOut"; +} + +SIGNAL("dataOut[1]") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = OUTPUT; + PARENT = "dataOut"; +} + +SIGNAL("dataOut[0]") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = OUTPUT; + PARENT = "dataOut"; +} + +SIGNAL("sin") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = INPUT; + PARENT = ""; +} + +SIGNAL("toleft") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = INPUT; + PARENT = ""; +} + +TRANSITION_LIST("clk") +{ + NODE + { + REPEAT = 1; + NODE + { + REPEAT = 50; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 10.0; + } + } +} + +TRANSITION_LIST("dataOut[3]") +{ + NODE + { + REPEAT = 1; + LEVEL X FOR 1000.0; + } +} + +TRANSITION_LIST("dataOut[2]") +{ + NODE + { + REPEAT = 1; + LEVEL X FOR 1000.0; + } +} + +TRANSITION_LIST("dataOut[1]") +{ + NODE + { + REPEAT = 1; + LEVEL X FOR 1000.0; + } +} + +TRANSITION_LIST("dataOut[0]") +{ + NODE + { + REPEAT = 1; + LEVEL X FOR 1000.0; + } +} + +TRANSITION_LIST("sin") +{ + NODE + { + REPEAT = 1; + LEVEL 0 FOR 30.0; + LEVEL 1 FOR 30.0; + LEVEL 0 FOR 30.0; + LEVEL 1 FOR 60.0; + LEVEL 0 FOR 30.0; + LEVEL 1 FOR 90.0; + LEVEL 0 FOR 30.0; + LEVEL 1 FOR 30.0; + LEVEL 0 FOR 90.0; + LEVEL 1 FOR 30.0; + LEVEL 0 FOR 90.0; + LEVEL 1 FOR 30.0; + LEVEL 0 FOR 90.0; + LEVEL 1 FOR 60.0; + LEVEL 0 FOR 280.0; + } +} + +TRANSITION_LIST("toleft") +{ + NODE + { + REPEAT = 1; + NODE + { + REPEAT = 1; + LEVEL 0 FOR 500.0; + LEVEL 1 FOR 500.0; + } + } +} + +DISPLAY_LINE +{ + CHANNEL = "clk"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 0; + TREE_LEVEL = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "sin"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 1; + TREE_LEVEL = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "toleft"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 2; + TREE_LEVEL = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "dataOut"; + EXPAND_STATUS = EXPANDED; + RADIX = Binary; + TREE_INDEX = 3; + TREE_LEVEL = 0; + CHILDREN = 4, 5, 6, 7; +} + +DISPLAY_LINE +{ + CHANNEL = "dataOut[3]"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 4; + TREE_LEVEL = 1; + PARENT = 3; +} + +DISPLAY_LINE +{ + CHANNEL = "dataOut[2]"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 5; + TREE_LEVEL = 1; + PARENT = 3; +} + +DISPLAY_LINE +{ + CHANNEL = "dataOut[1]"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 6; + TREE_LEVEL = 1; + PARENT = 3; +} + +DISPLAY_LINE +{ + CHANNEL = "dataOut[0]"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 7; + TREE_LEVEL = 1; + PARENT = 3; +} + +TIME_BAR +{ + TIME = 0; + MASTER = TRUE; +} +; diff --git a/1ano/2semestre/lsd/pratica06/ShiftRegister_Demo/ShiftRegister_Demo.vhd b/1ano/2semestre/lsd/pratica06/ShiftRegister_Demo/ShiftRegister_Demo.vhd new file mode 100644 index 0000000..fb07fed --- /dev/null +++ b/1ano/2semestre/lsd/pratica06/ShiftRegister_Demo/ShiftRegister_Demo.vhd @@ -0,0 +1,37 @@ +library IEEE; +use IEEE.STD_LOGIC_1164.all; +use IEEE.NUMERIC_STD.all; + +entity ShiftRegister_Demo is + generic ( size : positive := 18); + port + ( + SW : in std_logic_vector(2 downto 0); + CLOCK_50 : in std_logic; + LEDR : out std_logic_vector((size-1) downto 0) + ); +end ShiftRegister_Demo; + +architecture Shell of ShiftRegister_Demo is + signal clk : std_logic; +begin + freqDevider : entity work.FreqDivider(Behavioral) + generic map (divFactor => 25_000_000) + port map + ( + clkIn => CLOCK_50, + clkOut => clk + ); + + system : entity work.ShiftRegisterN(Behav) + generic map (size => size) + port map + ( + clk => clk, + sin => SW(0), + toleft => SW(1), + enable => SW(2), + dataOut => LEDR + ); + +end Shell; \ No newline at end of file diff --git a/1ano/2semestre/lsd/pratica06/ShiftRegister_Demo/output_files/ShiftRegister_Demo.sof b/1ano/2semestre/lsd/pratica06/ShiftRegister_Demo/output_files/ShiftRegister_Demo.sof new file mode 100644 index 0000000000000000000000000000000000000000..a8aaeebc0350e83568bb71139d8c21bdda5cd905 GIT binary patch literal 3541756 zcmeFa&yQr;a^IJ)=Let017R!;#tmo|0YV0P01w%ZCLs!yO<-M{yfpZwO}|Bb)-cmKxjokOaB z@Mm9t`SqWC@w44u*}X8AfAikI{*{0EZw~msZ@w`8%D?=N%(mOCb-a zr$77hkG}Zj*FXL3m;dN*|LSl2)^EOi`SK_K=;d$x=1+d}i+}%be(~}T{_t0S{Sf`@ zN8!Ii_DpPK9LvwX_;0`b$6x&IUtI|KtMb(!eEr9N`&XSxfBEx2{8jn$Uw-k6fBf^G zS=h_}?(a{SGahC6onK$KbgazB9m*KPS{ZBZ-`@Ru|KD#RFZh4{#gA?-(vNLg9PmtU zzUtvp+H=|c*v7Q8FO$oSLw!tnPMF^ljQJN!ZL3g{?@BYWnzDv7*W|TMW?Qn71pCfO7o#c4$ zZS#{y);Je@cU2bE%&HvKr)WOOk=ds%F&r)i^&Bq7f^Dj@_yrMedefUEnd=bpmTQu7 zH>b-|nqPiC)pWxML9Q;l9B3QEVon{Zo4F2wS%M=Py?H*($GPm>S-#-;OcwNrr1R;5 z-D0>hx0KInby6;L%3Q|!?(W(1-H+xuakw~lm-41y?jO^OYc81T^2>lEcT#0p&3x_O z&IZf3W}oL*o_N%-XrB{JZWn6LY}_$9(PcY+&`YW}jY!2g6*?-KR;O1Gg{6P0?>Flli_n&EPC$v%7Ez z1ww&Yft&m5e02V=KRQY|KTk*J-Z^?6PeXxO0lwjTNF2Rxbgtv=@^L{120*t+&3Dl6V`b$;I-k+p*}b@+tKlT|?aJAW~|zCSl*Sd(3#ZerbSAmE5#y_o_l^i4%`Wf#17JGXq{ZfBi1`@8tXPPTrU1ESFZ$HP!P#KQYt!7Q4SDv7**XQ((kT z$0|K;v}Ie#5T;OIa|P1oj6oO*Y_GuWMYC>{B7Q`+k&xF<^I}8`^I|r0$2!5Jc$MXd zC*u@Y&AqKs#T?#B$>3Qlpu63mPY&K*M67einMb9-ush&ErCZbKztOgKw?f(hckqxq z_}gcB2z}`0Zn8B3DyRvUX{*nuFlvN?9~0mL4che!+stO{-W4G9-q@ z#mH<}S`ZxSNy;w70%7PR!LrTZwU(7R;P#dw#xPpCpuRF4^dj=3r^tiu+-aoVvIr5%V6uwc@R_3jG6AFTi zIhX9Q+TeI5obAfT4Xnzwo4E9l&b-wgt(}i`W&3~UN4wwsr-U{@C|64GTUF*5(vRqY zy{jg~JdQWHo^xWIs7h2bu1W!0S$3{(``fxRq2A`6zj3;KK8{0ySpmJn z=Wo}`-4?i@u|l1JJ0k6Uzi`g0{W>w`W~afq5^`Yb5o*7a%|}2>fZ+|?JWPR zzMH7O<1;TZgwoxTk9#UUe`Nb$JE2oo4#hHkN0E5WTg|$CEG-BQ^(18%Vu3JplHl4z zC)ero_OAQ4FISaWFG4@J4L|N)rmipCZLZC)tyl2dBv@Ne9Nhg|Uyirz7c_5MO z{oAe|3g5khShe0=vxo=&Zi z&9=|kb(+4X+A1gCPX$7O;R@(KIP3!q&l3qQQURf=j?J6y`%x#?$@^e`@xOO|+wbrA zOu^;$(WQyl2iqwkWsRGPnSM~JcnYKaMZHA}3oeVvy)6uH3T(^MFH1VD zxfWe6S)8MNtFE%f4%_mS+Vx&kv*4=8F$Wo4WtuFLIY;|yZ*!7L9S-N|r>48;=|o#G z$W}xSk2>eJImNwX6sCLTDEcPv$ljXCH*%QXtT$r2GmYLk;t~R4;vKHS;o{ZT6xpSD z+4knq$t4q+zfR&+$;~_AB@Bx*@0w9=jM&Oh3;W2-ip#39q9Nhlg#Bs2gi}Wg3zsIb zt!@;jRl$j~2H!xECTw+6DOiawvm+%~T#PMyTX6Yx++@G0<0{9l%XF2UMbR|{v}U|~ z+m)Ap`2t6ULlpRHyB}@x?{wz(z9B^h=^zCJ-tux~vBc;qp_A*h{adT#Fa9-|Ixx4u zzl+qrWC4kwX^|mz&Tk*5Cdy0aM6ADBYqFYy;N(hKG$@uHC{D8~%OrxyO{-W4G9-o( zy(z?gWpg?_fH2f6%`Uh$(aClCy!{&;P&p}@%sEdE_&X8U;e`rVX|dYGE2NxpsNiBr0kupiPf(2n8-pf!F%!k4OEUrAzBI>RBrw zoN{*@6w6{$f)h=2a-F;n_U^yZW-SMYMGK_;T~4#euu_HR$0hGEbpI$K_Q7_Ff>~n@ zS`ZwLH81Q1yDl^NT}bAb>Q^_L5|W#nUF_U{?-~+k^90J@P|ar)^Y8xC&c9;4N}C9W z%ODJMJ&A)k3D28|dHYcFws~oWI_L@P|KkaY^u>xshzMu;Q z#w+lS{axwqZ=cHL#67#LO<3-rz>Afy|MRlf_8rvpz11orPTW(0{XOF%tXqMPcK`JT zx7+S?;do&R2=%xdL5gLuiNuL2*s<#5!quP*izKUEueblsY~G?G=-qGs4xtT**^k-z z{OyZG(CPbNYAj>+!AwFia$m}#L9sMpYA8;}s=QTLFx9W1IV8M}FUDIoELBu{Q$qd9 z=5#Z;@T6X8cEPm@c2qmL`seLmIiAV#cBXv&zEq3B_`)7#9n2{9@Jq%}#VfPEt;P<- z9zK_1$#rm0Lox{k2mAVVN*!M~*Pw(nQU6sqLVTH>77U4Du17i8sJA7TTL;;$8L!hn zztQiSn~2(;apf+nsIqI|B@9qA#u2AXn zx}THYFRUYK7u3l|Pr5FCnixdtVq2a1zluwW{)6J$sXs89Q9kGE_bv>-UtlayVE1;Wrt zf@>3sQn__IpzQ;u3#8^QqTNoKfI6BR?R9_IB^SI^VhP%|8YH z*ggw(c-s8^VAEaw)P!!lxMw#wnKs}kQjB~}6qCL+q_3p+dM@GKMDB8<;MaLX_)hvYLb7p+$2xogB zIMtJsU5Ew3&`E-86->rXuJg=Wv4Z?7G`%(8;FpY{3N`DPd2131sa+|H2F22Zsi8Re zst6`Gtzsd_kQnYcZ>zm2#C~OSIy^Q<=WpWJJa3WkMbUD);nnFBK0{*Sj6!qka8%+O z-l4sXEj`#nflwe62n9}7U|O`E%(EGRY{s-E()Fp0&Wv0qOiR>_D!n_+$lmT=O+LN`Wp;uLiDBNDQVud32Q?%!3J&!oWfx+BFm#gO z+C(Q;|Ga&E!n~#0tm&#UGYTFn1Jkh!L*^}+g`rrNYfwUZpg6gz>;+Sq{q%VYzcAF3 zlwF7ogaV;JC=d#S0--=C5DJ6>p+G1Q3WNfoKqzn@1%#l^O^Gi4POkoau*%MIS3&NM z&tdz~!UYPqWDHF_vVE|M9Euhfv=1iK<6bz$vbgA+XrhxV=519;!Rks`G$@uHC{BwD zn|H_slbcqtT+qCwoIofL3WNfoKqwFjgaX&8fd6-(uCtd5uX=ys^+kE1Kq&Aa3h*(y zz|&PgXuZDkkzH_YqLb^yeXum|$u#RJ?D$OcUWj)~ZWEhF?BDJbq4KU82Q3H=uI7p* zbGa^f0AX-F6w88y6HRn-oj7lADr**l!=i<}QyKHtyY_-$^($x&2`>a(zihFzAUM>M zlwF7ogaV;JC=d#S0--=CP*p&&me+ubB}|nF<7fR`g1^CECmk(+p6>*|qcd-VFjVoa zSr;t`?lYG?RgV4kvDEO5m7Z{Dws6a(Mv6`9e?-?j{DP^Y1^w7ON(Jw0a?C+wm<3E6 z%m(G4g+^}+F2Bp048oUk8H>=Hh{~v+cXOTT`?BvF*I4MrZNJjyWcuM*Zd9~}ksAj=ckz)=rrph$Qc}h?A)!ybLl{!kqC!L?}q9<`| z8Z4W#Ey;9wxZx4^l2MrMnWN~Nyd!&SCf~?`_nHTUK49+qr;dqt(C%>Y>T8PZ(iD4d z9-Uk=k@@Q+UX^)mb8uapnS&;d@)zC~KQgo8vZ}0TNVqrges!tm7OYKD9Bg%Sa9kCf zuo`?r*KNR7H-)t+1*<(O>_`cQ^)_EvC5zuBmOv;F3WNfoKqwFjgaTy+1XFprvRGo2 zS&l57TxZ(9wZaxHN?9~0mL4ch{_GG;Zd%21LGzY!0--=C z5DJ6>p}=kz|1R=uvtWO=Opz!Q2n9lcP#_ct1ww&g3JA>gosaB-YZIMZu@6>NQn0#G z77dD}2a40R?}G_VxB@AbMSGlRqLVA;ZBPlHOD3%^5PKyhhe;Om0+_Z`%_HR)k z5DJ6>p+G1Q3WNfoKqwFjJV6EG=VfQR==-x}ibSEnlT<+Hy1w&~U2v^}{gh6w^X!9( z73A;u@Oe&yFt{aSs6x#;=JU5!kC3`pmupZ$nlLpKCtnr8RAwj0kQn9<#3~1wje{zT zTyUr-DZ3C0grSoJ*D5|RYbV!v=IskBC~LZ^%#30Wzhn$myfW*Md7G?IA@!=@4gOhT z{a4|X(<%j>K5y&2s)Qz*2M=H*b)mFum1}qHpq! z?5&wR972J|q(IznH@TW4?@4jLbuQ7gx#U=!g|DReXNJAf|={MzYJcr%LlH4|qP_|3=8aXWbEWR z&%6~YXwkxAFW8JY_$6bgLd`m6-kO9$YFEmlL9sMpYA8;=DuT&Pt5^s!B!+oESvkmT z98^*5O$p6hZXH$f`x^P&_(kExz-nHN;!a$!QLxXAKO~0s_K=N5?oc2U2n9lc4h5z~ zYlr8VTx4J#9mYL!oiHs?ht=L99@$$fci2OLN2NgAZ#TJ|BkxI%<$fzDjZljnB7c7e z*DBZ>>ExQGxWd=i`6yRLa214>+07_~yCq|&Ld@#xR4$m9CX#swUd6gxgA&q&g(^~l?vBr4Tgk!&3b*8g1#1mM_HRij3~pUTgJS7{;^eC$nB26A<%0IXgnH_g zW*1zW=;XTidE0Chhw8kQAI*ENif^7N9JC-fxKb7kilqmNQ?6!_L@+JRtXeRKgxAU- zob7?&R8LZNAvO>SgaV;JC=d#S0-?ZlD-eGN>TK6_Zbn9xM$T*I}APOg}@RV4+hD`nB3SbCs1UHiQ4X*7I7 zflwe62n9lcP~eFwp!Dspc2+-vZc6l7KbK5ot0V`*(bDeu?)I6t-|$QvvCxg%ex=RH^ux2_OQJfVo6O(6*LbPA z1#6QRhu5Ff=BD6MW@k1S5`#a(uvAg)O$l90Ii^YC?3|A0;5Ak9_e8$3hrVOOr}lVb zj~#!)fsX(egKj8l_tPm$wg2F@84Q`DZZ;DiL;9?IZ=hJZWITf zt7z!D4cO{tSb=cL6rM$8`Pw%#ghMD03WNfoKqwFjJaGkt z{>#gi#S)`Ss!pzH=Rx6IB+2WTd8xBr)#f0An<%qes1WX!jG>A0(m4@3x1b#4Iyh)S za46R08kCS8C{DSW1(#r2oLRMC4hgT7K{(q3!Kt34>_RLMhE5V(o9N_nomp1ELh(<&B%42dE3ZwngE!o<%V7W0HT zQ=VB^TTmRXecra340|XL3WNfoKqwFjgaV;JC=d#4sX+X^Y)dt(T>GjujG;g%aH0Z2 ze0g7Gv0c(k#Xevs*Ln7D#R^)qkasHCWitqaTQY_!)U0DZe{1y!sf%^F1|_5kQ$um` zRS`^Oc7hCvVcw=x4l)}DRT#P8P)|~JAr=TjCkd`qd|=j2uJg>>7gkW#bXA!d#U6gi z7^-+>)*XdI6`=t{q%X8jJzXB*@XfFp+G1Q3WNezs=)N- ze>v65z*2M=H*eIVFum1}qHpq!?5&wR972J|q(IznH@TW4?@4jLbuQ7gx#U=!g|DReXNJAf|={MzYJcr%LlH4|qP_|3=8(L{ z?v{+93N`DPd296usf%^F1|_5kQ$um`RS`^Oc7hCv0Rh+TW2wT(1&4Z)vJ0`BgU^j$ z6kZIh=G7?f#5ElS``q|LVrXv<*;wQb1ww&PAQb3OVESgO!}CloGBA%0;~u$An3kx+ zYHtyb?5&kM?4iJ;QXuZPn_SM3_oT;izZH~5sPb-8cEPm@_C`9nrYWxQ`Fk?+MoXnK zf~z1)nca*+xLY!YD#WbojUbmxAn%03W|jw zLtBNy;w7Qb*ZCCy%!-oM_?>1sRvZ=W93%6Q93Lh&0Q=^RmWRTTmQa z&G&+-%ubLYG0gQS2bqn776gZSlCsNT#!hv>69@&aLjk#tGNZ~+AQT7%LV-{q6bJ=E zflwe62n8Ow0z!YCn-X18baI_%|CWmL9?hyUGYTFn1KYA)7_xs$W??ASHjN~$hfikXApSE@k#9jLQixc%8OMWRq3 z6bJ=Efh$o!sIzlZqN}A&uGqh=swr4qDT@Zh(gVfG-)$01Zd%1ckRdV5`-RFuX5*j* z!J(d{>_RLMhE5V(o9N_XO)*k z!pXl1Q+WByckk^4Yq2iZpoBE>`jgt+6ynS5%mzbZ7}1+TyqI$9Pg&t&cukf3J&~{M zq3_u6sXgAX|Qa{wj|T#;f6=tOGaV3XO5z8@{a7SnS3LM>CJj0wmZ}4og*$GAST}7DjY6e zeNB;FnwM>F9-Uk=k@@Q+UX^)mb8uapnS&;d@)zC~KQgo8vZ}0TNVqrges!tm7OYKD z9Bg%Sa9kCfuo`?r*KNR7H-)t+1*<(O>_`cQ^)_EvC5zvs8M+#UArK0L0--=C5DJ6> zPf-D(>GE=AvBc=or<3bU`?pr|qJ_L>o|Z^LPj1N=nkX-w6S4kkt;uQ*f>W_B*Pw*- zKymU_5lm%vf((gaL~jbQU)h`v4}a_ysauJSY0WL2F20?#mS!?g2_#* zST1PZQcfTg2n9lcP#_f8?c(1>o^2NF&z30?g#u4cfoYxn^cs(juUdhaw^x1no^tU* z^YxvN?1F0(om{aGR#j54x>6PmilqmN)3xt|2~D^HDV9ZhoM@txE9PxgNx|w$Su`k? z9w<(W3!8r$BbeN@ie-9#PCTD~5TG1nHV#@49O_BRF2n{xflwe62n9lcP#_ct1ww&G zr2uQ|i%0yt>};24f3{4KC=_^-3J6_yZb~!-Vn3ym>pc5lVg=%HY9LN>P! z41rJ}6bJ=Efh$#Ddh@@W>SbUlI*gk)$WfTy>POKxc}MovOdbxQz++M%?zfv<&5`${ zM{&R1x`~VXImXBrl58?Z9g^gn+w9cH*F;B=+w^AhR!BxOT;gPp!p2;8znxsuj8Zc4 z@)4f6v6ae*X`;+-p+dNy7E235_Q6Oh48^)!gA&pM#mQGiFqPQ}G9-q1LsdD*Y#g*8 zIMkDrU5Ew3&`E-86P;ZB^Y(f971b;Thpg$UGBXMuE5p3MkFknJHgESrx2_zD<$~rd z;Xd zmrx)S2n9lcP#_ct1@5LmtZ(n8m+zhY)7zYVEbCiAj{43=cEPoYPOkoau-Ly%h(7O^ z^1Q4u))o{8e|JzYmDw+1A1qPhP8jNyW*1zmU@~@cooC*P735!`>8$|=zhn$ms9DGC z-BQq#R^64yvg3ri5lLw~i|LeT{r>{G#w; zU^TBsaVIX=DA?!59}+`*d&tHjcPJ1FgaV;JhXT{0wZrpFE;2BW4&xrVPMDUc!)k94 zkL<0LJM5vrqf#L5x0_tfk@uv>a=#UnMkwZ7vPWTqqf1NnMmo8sDXwH}?0l3fBe)7e z%j{+p!rhWFR3T;^vJaMw81iymZox`O6BepC`Kkz}GCM(r#BjfTFha#1jU;6kVu3K! zE6pyrHqpt|KX2pnw+YebdBXFu##mcW9Nc-k7ffaL)8{Sx!cb3AcA3JV2!sMxq<~ye ztx;+y5DJ6>p+G1Q3WNfoKqwFjgaVIO0im_dO^GfQI=TAy!74k;T?M&2K8I~33l}Kd zk})(fWdD||!ceTsH7FrHP@H^K1XG#)BKE;3u_lmWUbM%FChA=a@w1CPRgM=)RzGEk zd7BXF9Q(IBA=7+$5(jfy<=`UbZK9GuC=d#S0--=C5DJ6>SFAw%9jLQiy#3iSMWRq3 z6bJ=Efh$o!sI$KFkzH_YqLVB3Z>vfQR#(cRL9z5eaq{;b1(Tarv0TJH7=`S1!cea? zyWrYHCs)kds*-}$m9l71EIm-1u6^G2G#Wmkz~v|)ms3hq5(;!HAl;tf7z$jR0!l^x zYRCK8biMx1`nhCcS|vFcj+S=Mcel^H{i*rHQU3C+naUr2F{2Xew~wWUZ>;o$L$ifj zE;UkYQvV~m=HVAi9WCg`=20qmUz1}FBE!+;xy9DX-{@^lqVV`#-eeHIl*?Fz-b7SJ z{k)s&Oy8G%U+R9k#zHr4`;|5)(+|%oFNuVce-)4a5225O8%b6SN70%Z1~h3Z|t$-PgwAWJ+ccY>#+DvF4BQj zl64*HGjq@lMQ!}>RtpO*3(UPO3~y?b+4i!e)0%58_>e9Wl%DKcb(J+PQRee%*LzXT zf~z9O9Ar$DX_E7lp6si=%}FYCl!#9{Kix%7;@C7;Hf39q>GE*HBkm=mFx@jp(KmTV z_SQ_kk;C+6y%F1;Y4pw!mk2gCMgcKx;Z$m3QkxJzM<bL zFw`r}F1R+)$rbaqs-$3br7Rj0OAiz$e|88aH?3m1pm|FSgaV;JC=d#S0--=C5DGjh1z1~OJmTkNXS+oEvt^1z zp}><=KLtSU1j4t~iPs!+3z`TVUFDx_W&yum;3GBp%# zUlqaRrd2Ei84|-i_ra>YDa3wdb2>bLFw`r}F1S|lfmu7b&NFXcSV3hS%qaHoOU6*e zE3*!nx5*?FQoB+X4T`0S`me$f;@z~0<@9-5?=2@0vbl9&2!sNmz>JM`3!aA4T8f9obtmc{qduk4b^^-ETWplTN?; zxaoa@x?)D&lODzWcIzfCZqYgNg(O{b@E%U-9x>e^BVQ98MQqcX&08TE&2Wj6JqjCh z-TiiQO*2Z#$je7~;>K1gBc_QmyM+qjep)Oo4A}=GsW24lat%sI4-_X~6~RZc}!_wTVuy{(Z1YWzAx6aCdwT+c;(vJlv8oH1Wvx z!76enS|Ft%F>sGsw~wU-!NJvBv6QbD>1GH|I!Wf3;#VePC)auAtyn>29n6S>UowU& z)U4|Z*U^5J6{B&;^mYBBEgBR{6Q+jZ>MfW{m9Y!((&sx$%p_ zi-Fa=8pWNMIHO>n8-GX)?d>5Oi`=0=C=d#S0v!rWi`EX$Gr7pXJUWbf$<@CPR@qtZD#+dOIqdIP zxIp2SjG>7k`?q8jhGJc=K?&)B;^eC$n9A%Iv=7!%X?CHhChA=a@w1CPRgQfP^M>UK zKX<6Jn^o|b>q#8sv>db$^Y+#iYzc;47+lR2OJg?R5(ouCflwe62n9lcP~fT+h`$4M zw#&CaTc$`93WNfoKqzn}3J7&}Zc22u)X5e5w^cO-t1D&EpjdjKIQhFxg2_#*SO_vC zhIzkGImm1rv>-UtlayVE1;Wrtf@>3DF ztGpxoarE4MlDI@Ky^8E(^@PEevmJ zl-c&Oq|=&fF8Gix6O^9pTXmH+E>Y(5YS(*F&4Q~U#~fr#m1&aml%DLXz0FA~b(Dxt zIzQb-PvY1#STp z4i~S!rpPYM%eFU-|(C=d!f2?c~M%gdF;5~E9yPOdZU-&)Cw7V?^TS|SNOxg}$0qP%oY#QLkXCaXCJ zPQ|)hgA&pM#mQGiFqPQ}G9-o(y(z?gWpg?_fH2f6%`Uh$(a9C_wyLCHb)_sC6iW{j zCx3PbCO55OxuAJVIe}0h6bJ=Efly$#i+>k+wpp-0Tc$`93OqdprgiqyYdku>UIiq7 ze|Wt;MCDIU0h_mm!&u+>$S(Ld(a9D2U{xgrt1D&EpjdjKI9>Zbn9zhPkYZW1$B8C7 zxnkZ{l@zS5ltqJL>4D<3xUl)BF@niWt5~LWW#ak#g8=0qvvJUZ;80Ieb|E$p3WNfo zKqwFjgaV;JC=d#S0_RpBeqMGq#rJ2+bV8zZLTrSF0uQTzkiK(MqFE{XDV<#B*#{FV zDDTm%Dl;Pve#scBP_vHt{H+x#q+S)g!9VXZH56`N6~W}DRV)M<62m?B!K%F}#C~OS zIy`_d)GN&{xK{CjSv$GTGjCs5L1i7xDE9D6#!$s8vksZJ$s`m~yHXYnilvGAufh@H z-L#73^m$wFEhiDOxpiO&gaV;JC=d!8r)d;1ydz%|9d)M;pN5MZ?1zzNxWvgG zg^juHeml9Q8Kq<_?^GQ&EX$P<+(en(LWOX*WDHFV*#{%5Fcj-@4N6E46enL5!Bl3S zZq^B}c|%n>$ZQ<6AUM>MlwBric4ZGW_O}I*rwex|$oLe_+_f9~JmxJT&GUrku1<=j z2a1!c`Cc%U*$FZvhPfW)AhU7Mg5Xe3Qg$JBbMQNWFADtH=}~wyiug6xbQJi_$Pb92 zz1_PnUV|5fX*DvWCKYSuA7cQ6Ts)UK38gJNmI z)KHv!RRoipRxXj6%3uGKMO|tV8y}k`Y5* zuFEZ032DMY6(?U6!Bl1^$dDN3jVa|IvvE*EGNa&7Pf~Uv76?Np39e0aa`n&K`21}` z^m(4}ysRpSQ3GLp@2^h1ftS5DJ6>p+G1Q3WNfoKqwFj zgaV;JC=d#S0{2ls2>fZ;e>@0T`LN`W%di&2kWRbyUQN!f+iKqwFj zgaV;JC=d#S0#yYRtH0V=En%ue7(eUh68sITB*NLF<A&BHI4I$F?=&7)NCz9z>UM24fwbBnE&ztP*AMB(wf zyvZPZDVMPby@{xd`gu3knZ7UkzSRA6jfHO9_A6~prXQYFUJ?l>|0+!3BE! zy2=`tDD!!>>%FLE!BvrC4l<_7G|72NPxjT`<|LImO2j9fpYEb3acmkao3bs*ba}Yp z5%-c&nC_XQ=$pJFdut}&$YFZ3-iYnaGbV7LlN1MA-5eZO1t+Wq-_Ug% zu+>drZA!svj|w|df?>VQ7govQcWH*MMqvnq0+*sdx|Bkqj!+;J2nC*m0z#MN<;r4- z(IrSH*O~Tjt>i@udCfd6k%XSyk})(%IpLg z62pkz6k@-!IUOEA80wW~7hId@k9%3RYLjqCv6rKyg}J z*!uLV-{q6bJ=Eflwe62n8OM0<5hs z9`W~fm%6>{G*Ln8A#0tuLG^@(Yh=X4;hAPyo zV?KXtg$k)x1#j@ryG#v*+gC*}xoH&(L59R|&wa3JZwj$r*_;j!APn_NvkR_Od|=j2 zuJg>>7gkVN2Q!L2{E{(L@ye`2=4~E^ zCg1F04vNJtoSHzHgFtQ0lu`bu3g!Djh@>LN`Wp;uL ziDA}BImm1rv>-UtlayU1X?A4~HTJg!k*5oHD9HE}&fK*d`+PN%a}dEzl-Vs*2zS$B zXyTF0+r7}SD~Do1N<(6Juz5@Jjlu5#z9{f(r$^zBxM(3HwT{^zbL#ISk0?Z+=**C3ii42hs4m{99SVd3 zp+G3mp#Uq%IpLg62txW!3Y(5G?J8Ehy}t>uQa>h+C(Q;|GbUQ-zG$#=Lyft8e?riad7AD zUNDu}PoKB&3qw6g*@f6ZC=d#S0--=C5DJ6>p+G1Q3WNfoKqwFjgaY?bKnUvGl<4B` zluR7AM5c^&}4FBs^~-=IyO3*i_6M1SeN> z#Ztars2vCeLV-{q6bJ=Efl%Q36^OqBb+&7`KU=0q6bgg_p+G2bB?<_2c5X^^wbaQK z`?pm!1*X z3Mf{8wX<5nREaQt*3TvQ8&*k#vq#IH=eyfy-Ui`X#kXcHe~7@0O1R%XmKwgX(i0BN z7H+xJNU=%%kLa3*UodsFpdXt@so;H0jyZ@7N0;XoTPuH~w>gQz<9B(JLHJTGV-b22 zQ5p5~Zmu(ZU-o^e`{^1B-MH;n+MG;3JgdAU5>EbAn8M3nzI(6nQgsW~GU1Ws^(VEt zDR`FInGJ@-FrqhwcroQxpQ*wFyrxS2p2%1B(06S3)E;l_vExrz@P|FJ3n%Na_)ad; zfmM=q9qTi5&<#ax{P0!_3oZ-Hy)6uHYLwabvZT|RYcBYZE)$fV>|1q}H7-%+^J>?7 zQO$y@BF7wLOqFSp^OTLzq-_O3)Utn4z{{EIIap#SPj0R>o#Dko5I?Zg4G@s zcBBNudYdn-lEv@R3|)=F5C{b>MS*lFg+v{pKqwFjJP8GaF3Zc6#S)`SkWQ{M?cZ9- zix%>ld0HX~J-H=gXrjDyPQ?1FwI-`M2u{ViT!RwQ1I5W#MKG1w2{I&x5xptIer0ny zJb*CNE6pyrHqpry^R}v_V0EP|8Wc+p6eoXn2qrhJV!5DsOF4m1AQT7%LV-|Vw~K!l zdA3=wKU=0q6bd{&1*UcO(`!6BzFq|+e}8zrJw)YCPXU{^hQnCj`N%H#H_^!z`(RZi z1*p+G1Q3WNfoKqwFjJSqiPTVFil=VfQRMEkR4 zIw4UyAvQuofrnKm6;I-zhn$ms9DE+{?-Z=Qm+c$ z;GcJy8Va|siePfnDi(qaiQ%66VAb9fV!yIE9Ued!>Xl{}T&wuNtesrvnYS;jpt25T z6npq3W2oYlS%=KqWD*LgT`7wO#nMFmSK$cpZd%21`n;|8mXiqC+&VA>LV-{q6bJ>b zRDtQu|8lCAfu-m$Zr&hAVS1|{Mc?Ed*;_MtID`U^NrAZEZgMq8-jg21{dVgnF7D?T zBVS0e$sBb^l5=jeQzKs!9Yt=?n;iBvY%J_JC>Cb6@T9w69GNc39)(T5*~J_buSJqo zY=w>BCd%voy`wQYhK=1u}7bTvKg zoNq{G$s2@~R}^klh*?)@6Cu-EgYeuf8ABCn)-m(e>Jd^G>v9cBNE4=p;^eC$n9A$~ z84?2muG_~_g^>#m^(18%VmAk$8^0*L7+B4#QQV1ZItuo=@rT6F-X5~C$Q=rV0--=C z(4oNe%~pr!nOtOG9v#L#a-A?OQHRyuA|BaWD|gsKfk&l4+;2CzoFnf^kL7+VD2-6e zxnz&R21l2c?2UACO;cRS=uSOC4noojl&UaH5Gj z6l7cqpReI8Onm+}A<`@d&&wKPZ9#ExHQx)SGCM(r#4y*R9Aq{QS`ZxSNy;vV89UVh zPaqVy4h7^o%8V*Qflwe62n9lcP#_ct1ww&PAQX7y3JCpmZc21X(aCk5{aY%|do-)c z%qV!Q3~bAGVaWb1nT4TPmupZ$dZ0M@stBes`?UX*@OrR)u$$aM4fRU13$9Ica>cx@ zDk)f9DT@Zh(gVfms^@J_m*Eo%gaV;JC=d#S0-?agDiD7M>TH*0f3{4KC=>_Cs>9 ztUfx?l;g90E}6(yNe+girQP%0?K5vb)G=|CzkF+^@`qo{sKom1W2xaAD?Q=RY~hwm zjTD>I|A?-6_yto(3;MBnlnUP0 zWL?Ml%p7z>Q5!$J)xv_y0&{N*!DVU1g0+l=-~c^O!v%D^iAH8 zy)~0>-ln zn+jqK1ww&PAQT7%LV-}Aq<~;3FIN@|sS?NHd?(kL_HV7UMGK1+vKfWi-I6ghQC>PH zV*S-xlhqsqr(#{MK?&)B;^eC$n9A$~84|;Y-V|cLvN;_dKp5(kW*1zW=;VrdTUAo9 zx>6PmilqmNlRrBIlbcqtT+qCwoIofL3WNfoKq#==#lMR@+br0hEmI^41ww&PAQT7% zLV-|Vm;wTGedi;);MzndSL}mTl@zS5ltqJL>4D;O?fYOt6RtptWzildn&{+;d0SOd zu)0zf4T_})iqqo4=AXt0CO55OiTztt2!sNmKqwFjgaV;JC=d#S0#8tZ_<7mcF8cm# znIcgr@FW!wx~}hhWEWhkU_Ygk>pc5lVg>m-K75|jAPjEF7^+aSj`{qp)gz=X*5w+M zkS0tG#mQGiFqPQ}G9-ri1F_0MX5*j=BNrU%Ny;w70%7PR!L^DH%-YFyo_YJi3d)+U zDl?_6|c-XWZot#R7kxlc!PhISpQWx<+Mscr_bAZZ#jvOt=K-sKqwFjgaVE*s5^D|bh!v#G{YrM_9$%3b@$uJHO(j`V|l0Qu<=u_jNm59 z>=r77yCq|2V#q!iS%slkmupZ$dZ0M@stBes`*gETc-?dV)*^-QdXlmWu|OC)NpNkV zldFH;K96~e=<__`d0AtuEhrA|yxj|?GW!M1TMFF_{0`uY0>5^86yA&?e$B)g1%5N~ z17c`z_wFm!xA&IrC@>v8-~8#w*F;CLLwoDUA1=f)orLwkG3#v*qp5DJ6>p+JWM)1tM*^Gq%>Fpm!79=T4KmZ-yOZxN5|t(80M zp}?b3Anv!DT+WgAq{ni<6_iG(#SYPWgQH7JHY+;0rYWu#(c1YaS4MCZgqHVJ%qWDr zC1a>U%PgBj z#FDP;p_9j37fv*Bhk}et;mcjSvGMuagh=x|;km1mV(Ee6)uB z9JC-f)RUB5h&`k`Jc%I`2n9lcP#_ct1ww&PAQT7%LV-{q6bJ=Efqn%9*Un9eF4j)2 z^X%VJao(d@Rc1!PV`X4lwhKe{Z^q#8sv>db$^Y+#iYzc;47+lR2OZj?r-%g+j zgaV;JC=d#S0--=CaIp%+-+?;YrP-e?QzQxnLV-{q6u1%vggQGnCAwPbQN!f+i`So*n9z!T_EecHc{c9;V>I?-! zfl%P86;P`8S39dEOtaC?`nhBxTO~Odj+Q^qcel^HU0h8ZZd9~}ksAj=ckz)=rrph$Qc}h?A)!ybLl{!kqC!L?}q9<`|8Z4W#Ey;9wxZx4^ zl2MrMnWN~Nyd!&SCf~?`oBsnsA29d*Q^&+RXm_}H^)*FyX^Oo!k4`R`$mWHMa_q+n zCSIq-)ea6Wcw79)EQ)o-UNj`!n|S}$+DnO8B}trJbjgV-Y;~hJ_*_Lp*KNR7H_K`k zNz|-`v~vr1xEDjQG*RzuPNMKED$CctnIRlPfeTb1U0_X7QYa7#gaVIO0im_>a%Hi^ z=u)ARYub5GI2TFsI%Zz#tXH);h~Os5>=r77yCq|2qP%oY#Lg`!2e}RoS`ZwHb-4y5 zqz8&qu4cg{m=uS3xuJQ1lJ}yxnkZ{l@zS5ltqJL>4D;O z?en&$(eMccLV-{q6nF{>#J`I?+g0A5EmI^41ww&PAQZTG1?1u@i~>V}wE}WDbr{_Z z7sbBVF5yHIom{aGR#j54x>6PmilqmN)8fMBJ#)e2rd2Ei84^S6-xf5Sg^8a#EanMw zraZH-wxBp%`@C&68TL>h6bJ=Eflwe62n9lcP#_f8Qi1q+*_LWnx%O4-g&5O?)DdNb z0(VzH2r2KYEVfIU?Cb+}a-C=YR;-{!3wfuKT{eR-xFut#Ld`nn^S4%ykh)lxYfwU( zFf|k>UlqYrW+%vy80KwCBGW*stblNBnYUKLC_;2QK`Y7O;Yg(HNQ*-xLh$;dmBlwBw=5DJ6>p+G2b zr3y@M{+Cm|3@k;5aq~t!3e#KtDEcPv$ljXC!yy!SObW#Pc9W|)@}3m;Tjvr@n@f)6 zfliHlA<3wo(kNnhN4_RH>P{U#4Hr4s4sXA=@lq(~+ zi88x|3gK?a7@8Qe4@OpDDAwf~l#m`MPQEIFsmwmztP@`M+`qL*A-tZX>@tPliG+Mj z+@T=jQuurgXJMYlyhWs04xX1a#@d47;A*}XOl9_qn75R;G58(87X^Op^eDU;Mf{qH zGYb4>F*wlMG=s(lm+~-jT0~j=EEaPq>5vp+G1Q3WNfoKqzoI z3dH($i))d#ASrP?mi4XBV14H!yWrYHCs*v>R+SX2u9QWCV(Ee6((Hn36->rXuJg=Wv4Z?7G`%(8;FpY{3N`DPpF5a@LTXpaqCv4VVQMH&zAA#r zO{-W4G9(5BT(^&^7Nq*w?tB!-niINL%p2f?YHr0ha05Qa_?T$||R z>Yumq`P+o(^E}~sS!1j%C=Twt-3z8N`|0x*eqpF5DZ3CG2n9lcP#_ct1ww&PAQT7% zLV-{q6bJ=Efl%N+3J5`+n-X38om~Cz>Rk)*vx_}dj(rXDhUE!Acc`aLt2n9lcP#_ct1ww&P;HnjfzXNr)%eOyUrbrYDgaV;J zC~zeT2z7RDN_4f<$rbyzRW${xD`nB3SbCs1`MXVm$xW+R2r?vwdB0FO$ZQ<6AUM>M zlwF7g!q7>AYZIMZF>k9%3RYLjqCv6rKyk`5ZIMJUEzYc_r#U3NRtDj04+N)rlClf2 z^XupEJcdx``1!#)ENqd0-?ZFE1*>GuXa{Tm}aA&^>fKYwn}m^94&vI?{1%Y zySSP-%3r=UQ~5&#W>jMR_OaCPjg_8oXtr?6rACTP>VHJnJp6*GqXqrgJW2)cYjVs% zWH`D!x7b?w8@HD(pOWjY`Sm?%WztZMp`r%pS zC6RFQufh~w{_@>>jhCuhu$BprEU!PQ%}v3x%+72uB!&^aDa4B@xB5&K9^f@q^7ll( zvWLE7!>9ImV~-tw!h%2SkzF`hhsAetkq)eqtm{~xnS*X9YU78uT3B#dVD4>UcvGXy zwwEQH)?9PJhjf{s^km7F@?zR5eXw`TH<9Huwxjo9u?qj!$Dgn*cMhpTY7 zc=a_!c4=O=y?JzU$wcO_lXz9;wavkGab^yhILcpmTl~n(ip#39q9Nhl#QW8yo?Eas zNpY~%&B1Y1aKdWv4PCbZTiq1arWCC9sIVg?7}nc-VU;X?muBc{6ox=3a48C;ODQDk z2n9lcP~b@@Aaq$?t}K=qU4nFSooWBpN?x>(*UZxrN$ANf8AB80rE?vAo=^l z>+K;be|ieoyfqxg`p!po!M}-4uGj~wDk)f9DT@Zh(gVfm+V{bPCR~9O%c4C_G||Zw z^R}v_V0EP|8Wc+p6sN_7%|DG1Om14mGOa5U&*vWmCI2WIW$I?ud)VFi_SFr(PRFBwA>ugp4R-X@b!NbO2lG$@uP>c0v{ zhEUgWlw@uVG_h z$3d|$vxO(!{o=@UN%kmg^35*hpm;5ktYRx{1UFG;w@@K`t|xJDd!vwZMGV;oBbzW3 z>v9cBNDmYzUlqYrW+%vy7-pT6gUrT33xY#EN!ewRW>@x5V}Dx^dAe|if{ah$%w4;& z&sQ@!2NB#vncYH#a5pW6CLY>j?Oe`ra?Zu6P#_ct1ww&PAQT7%E?0qA-)?bj z(iS8oj>odT6&kGXd}J3~o9N`4zGG7O$~#qu51ht67$VL4PCPGbjI{;D!Pi_cmDw+7 zAFQL&>_SsjFvIFy3-PmyJyni<4fBOMVctrW-HbTodJ+dYEeAEI8OQA3nm$72S*OIo zab2!K@oK`fR-Amz1yh-wAVXr9>roCe8wXVwx!_PwQg$JBbMU$Gi^7Y6)w~+Tow%l> zV4oX*NDS@mAsdU_p+G1Q3WNe33a}ErD9FHSbQt%@b;7hn9aejbcw}#_++hy|9+d)d zzun|=j=U#5miw)sG(weko3ab8Rj@bG$u&)Jg)i@-xUrSW2(E%GWp*}bg&)fL? zZ9?>Up76Y^G1e9o2Y24?1yh;*^mz-vFw~QjU5E{Y0--=C5DJ6>p+G1Q3WNfoKqwFj zgaV;JC~zMIgrLq%i7x(5uKsLN`W%di&2kWRbyU}m4nR2K?{OI zJxSSxSRf3YB)B%w$rbaqs-$3br7Rj0OAi#MJku6Q1k>WoYI>SO!fRy^&h|iXswXMC z5E}>uLV-{q6bJ=Efl#2TfMWGmJF6v3l?dZ!{ak{-VUk%7;g(B{6r0rlh^~3~1ye^0`muSG3f|Y`n1jf0ba`&EwemN5 zo0BL!ewQ~HgfHbX7NIv0l~F(M<~q~&W#5;&pRTdcjoW^u&B^q`v&u^%;pAV1DZKpU zyZ0I|RkvU*6CPP!e^Q&9f@hhX*J-I+%39B~N&G4T#p;c)TlYl`gByli{( z=;V@#%wH$*s?2MfgX_&1T`sOBj`A1Y7C$nx;@qTrww`gI}Ws}%eH;U7$ z;KW&jZ|J%W*y^URHl<*-M}-|J!LZ&II{95%`mRO~g~kvHT!;ecLh6VzLV-{q6nGj6 z2yK>^D~lyYmm-~9XWGBDk{2!HHS@GY5_)n=#?VB0>70o5S8GjHa}b=0b-4y5qz8(V zuZmzQvlC=U3?q6|i2cguba((^s8^a@aBZTKE9PxgNx|w$Su`k?9w<)!><~}bIci!@GeWi%* zHO``i__@Q8e1eXP>$N^J@AoUdlje!%D}!*h2ZGZgtLnZdI6sf`cn%(V$qGFf|k>UlqaRrd2Ei84`oPcZH>j zYHv!YU)h{)CKsO6E6pyrR`G#ZJGss?Z(mqJWgW~Y_V7!_P{k{=4w<*9dTptxtTEOq z>c0v{h%K|9K5y&2De24C3$+8GKqwFjgaTKr!1U&SIUUHrQgj$MZ`7kOz15GRZ}N`p zt(iO=LV?GmK-_OPxtb&INsr=wyLA&6_j8PqFC^JyjyfdCIk(xVk*|r4A~)zw4qFa3 zwstMlwBric4ZGW_GNpVXyOh98JEK6Yd8z@y!OcK}}$__foc@MaY8YbMSp@SBkz5JP*r zcVE++@4e$U4~+HgZAnMICT{0)j+1jPPK5%YKqwFjgaV;JC~&z7#QJuNYm>GhDRDfO z^{vogedi;);Mznd*Yq8e!dKp@I(%|1_Q4Qo-WKF}S!1j%C=R~nf~m}YLHl4Gm1Y;3 zs)89-?^=kTUF@lH>}!}W)Cu!es_bUOA=i^Q$Z0vKLCrX3|JL*oGS50C4vy<`4T@J2 zrnTbaYc80|>;xGS!(5MYkl8q>!pH@OdXlmWv73X>jb9XA46NqWDDK2H9R>T`_(Ni7 zZx7j69O2z1*H+HyxWvraIJ#9kxs5@iYt717sZXOR7P+WWGS`(T8MJsL^MF2n+1s8^a@aBZTKtAF0c=Wi3D&+~-m zWsR}6pg6emb}yL9?5EFL_=TaKr0ha$AQT7%LV-{q6bJ=Eflwe62n9lcP#_ct1ww)Q zC?EuNZc23VcXIXbgPpQ}OU3Sv&tY51jDm+-GKMCG?B9}A7>aed1|_5iij%L3U@Eg; z&^}m4rP+n1ny7az#Lq7FR5|uF%o~KVI4uX@bxne0_FVqf%0--=C5DJ6>p+G2b{R+h2fjZkY+@CE|BnkyWflwe6xDo|~ zIy*Ndx?1Ywiv8QFnu67pvS?5&Jy4wd-6p~0rd2Ei84|<1U#J{pHV#@49O_BRF2n+1 z=p@0liB7JVw^bztt1D&EpjdjKIOUnPNFtaPXI9hG91>nDgK)M7f>S+7*@f6ZC=d#S z0--=C5DJ6>RRt8QzuH+XVX8zJKkMfb{0*xl!r7zc&-2~wGjD@%t>Rm=mOn&bMkU;D zA4?72Sm_CeW(&7mYNXht{zr7p!!MXRTF{Tpqg3#|CdV8^hNH`Ki>;Nv(c7Fv;qkk? z$sl|wm$3-FiKvYFc{kUYzAyW})ctggg>KyTD{W4uAD&fS5(y{&Doo+!FW^?92v3Vi?hzLcExAtIt&70bWxje^2Bqd+0khd}@z3_So?!EcnA7 z*@csJSbQfJ>A)(Hhy@kg$0)d=H3>DH#N#^ds)(H%{3Q%NS6spPxh_4 z${Lp_^Le%Fy{KlvRgq&3GN#Hj$$3go_SN3zB$YZ!#3!Af?xH7gY#J<^vMtGUdAQ*b z_mWYV?wOLn@1;?Ol1B# ziC1M_+ZrP{`_-l1t%8s0XcF7%MsZpdoH%Rn z4PCbZTiq1arWCC9sIVg?7}i_IY_RlQjUEb(Ar!a}1=5Ao5oLq|p+G3`G!zipEH76U z3#l$eI=RlYe`_T#TF7hWX^ABC>D#nnS{CWf0ExKya!j zDZ3CG2n9lcP#_ct1ww&PAQT7%LV`!!=(=-LqA3uYTb*3z z*#{FV$lvi{8^<6FZpj#`P_vHt9HZ4Eq%PLw8kCSGObx}!S4A+D*$FZvhI{UV8MzQ% zPf~Uv76?Np39eOqVAf8q^UT{9R*-*%PM^20jC;tuO(vljxi4kWpjeuy|0*0IzJ3MG zA>nnud0X#IAueAp)DDCKp+G1Q3S6-Q)0_Y0bRYvu(P7-YQIEp3L>)!n^tGkG|K z0*^_7xZiGaHAmi);(qH~qUoD-$MQg@M!t|_R8MIXF}x#R6CHJ@4xcU;!HZ_N#K|6o z4UX=9JGrJArDSaEe3UCAxQQ~mg$m(r$rzd#vJXa9VJOz+8kCS8C{DgAf~m|t-K-N{ z_uB^}QW)w<$}YqLVdx~mwTVuy{(1X6<}ISn^MvPRjj^_%IJon6FPO^g7c_4vbTjZf zfG-OC+UZevGm7{%6K53o&Bzakp}pO^uUOySTe_pbbo6}lrz2kz9mNjqts{T9gaV;J zC=d#S0--=Ca5n{FeS0^(eDCC+-sbFMS>FnB)OS9z3$9Ica`o?nRd$w}#o*xX_#C#C z%qV!cC1YqJ_HTEJNLk~iV&)(?xtc4M%;mb^0ffQzP%H})PE^5U?BqJnycH{G(ZXUc z*o-*%C1a>U%{pe@nuJ1XSIVM6u{2?7C{DgAg2_#*SO_vChIv0(Imm1rR8j3s3C&z? z9aZxC8u{G#Md8K3YF>@vPF%22=>B!rV+t7B+nADrH53R1LV-|VGXt=i>6UoU8 zWdbdmPPB|%CrnG!6Dz!b_{iSwUsXhg0#~R&+;2CzXd~}QkL7+VD2-5y9U^~!2iGdt z8|mblrnth_*!d_|MsO8`mf6iHgu5kUs6x!@>r^h7m?n~W2wugyT!RwQgoP?jzAA#L z%ubLYG2Cw-j8L&hBT3nXSRf4bO0x^DO>}bg&)fL?Z9?>Up76Y^G1e9o2Y24?1yh;* z^mz-vFw~QjU5E{Y0--=C5DJ6>p+G1Q3WNfoKqwFjgaV;JC~zMIgrLq%i7x(5uKsbUxD~LP-nY_`?F<=M4><^5DJ6>SE7JWXXmCwS4*8-v42}tQ?R;H77dD} z2a1!w+a#FWw2FlwLt>cs3zdV+#z6~$Lp@2^g;*dAog}z6(a9C_wyLCHb)_sC6iW{j zr##aZNd(j4%xZd?L&9ri5YF~MaH=OMyAT@)1ww&PAQT7%LV-}As(@nkS39dEOqB@Z zXZ>7)zhRX`ID54GdA_@S=4}wJReWpK@`nh_sD%6NW2xaAD?Q=RY~hwmjTD>I|A?-6 z_yto(3;MBnlnUP0z-y}H?}>b64}HgmPwnx>9y|Vo1%KEhyKu4&i|^zj9atq<*Reh`2i;KA z#t(0`u;8-5+}pzNrbd}qCAKCgDY7u77dDss$0##EUm zIZx@yzS`TIq*6zT_@wjGUGyZ5O@n1qwk4S^4>vsGUNQ>PJ#!R&lXqlq&Ey+7OmEg3 zvE7+Q?;LRn0Wt9oSK)B+>T8PZ(!6YY^XTM~iOgRo@v6*gn}h4onRoNzYT_t=;cf9F zGb=8u%8G`BdlT`ow=(49O$(A;~ zIQ7T*iUJklQ0x|kFf}dMB`By#pP^W4TF?j$jzEK+DTYF$x&#w^G#Ut0x`REa3#dU; zFKt9GQ(GdN2I+FE5qH)UNd`rg~!?}V`t#sL?>75gH@Fj ztge(rgJS7{;&ki#U_ukFK#FD29w(aUp+G2bR|>GU-aX>?Wf!|d$BSh; zBT+gdHbO&z>nb3m@7$DVR?2=#C)Z{6!Ndy6do-)c%!q?uGKMPDtYbcZYlRA_R|S&} zxCT9$T7#)^a`aUZ!prOg84|-Q_rZ)@2(Kq8yATV6p_2sHD!w*rC)Z`>?RzW8ze3Xz zN$AHd8ABBx%sOP=CaXtCU98JBC?QSM{}hf8UuHjl-qw3l(wDE-{IbO>5DJ6>p+G2b zg9=P<{`;w129~13xOt-x%jyM+qjep)Oo4A}=GsW24lat%sI4-_X~6~RMhq8wn`=^4)(}folWPA!|?%Iugw|W(Pn1lG)M48<}g>W}5h9>T8-X4XHT{#pB zQW_G&)#fe9HwJ$Lcvs-hPLIOJQN#~SoKfH}BVQ3idwX?XyasoLSl>Rs93x*7&vQA) z$vGFNLV-{q6bJ=Eflwe6*slVyzTM*5q%BBF9QU%m6&kGXd}J3~o9N`4{>7y5m3OL6 zpIlq*gXJKCn<%qes1WX!jG>7;+Xt)2p=hzAeK4UO_rfWb#YN{t70j?suFK3@v4R#Y zEM5a<#KA8aLltV)G4s|W6jHlV77dD}2~$IH@>LN`Zd%1ckRdU=a{sp4n?meYHmAd5 zbMU$GUE%$}YCeqOPE4Fpu+NQO6GMBuW@C{%6bJ=Efl#1Bfoaj&;dvn!8JI_hagSUl zOiR>ZwYP{z_SVWB_E6xi6o~unCYN*MJ?UQVw}R3Lwb&u@_jhoug3XFfu4#%Ze2txt za%BWpL1>xXj6%3uGKMO|tiDd=f{AG&nTOz2tjjehAx&7Q;^eC$n9A$~84|<1F{K=2 zHV$e?W)vLiNy;w70%7PR!L^A_uKszu{`{>{#H3-fo==*tDzjK2eq~@fc45f8C9^OT z>v9cBNDmaJJky?X9R<_VGwbPT4hgT<&08Xcp`N7dLTn%u2n9lcP#_ct1ww&PAQT7% zLV-{q6bJ=Ef!8P?1a)pobn$m`_3wjKc9y#ea(8@A+c*|3P`D*yXyVTH!76enT1UAN=KGDV_LAQT7%LV+7mK&Z36^O0R}ZK9Ja_Q9%33RYLj zqCv6rKykYDeK4U3S0Kf*Xpa+3baKVKttu&4T`7wO#nJ=CX>nm=|F%cB@CgM%flwe6 z2n9lcTTwtM)L-qaK049t>4$zUnaEa2PKGB-yLX4@XFh$XW8x_P_|;7155JgEiS^sZ zQo}D+dcvXE!ZVi|DK@EpiLQD01ye^0`Zx0^6@0A8F$a<1$>n***2>@LZBC-__+36` z5Pp=)ScE=CR7U-=o9jaVF8jUI{dA3mZrt`OZBC{?KdZbX5>EbAn8M3He*3cVQgsW~ zGT{@;!*{j0DR`FInGJ@-FrqhwcroSjzZmCqcz_40Vey?@qywuY>pIqF=AcK4+W5`078YCNNi>nW_B*Pw*-KymU_5lm%vf((gaL~jbQU)h`v z4}a_ysauJSY0WL2F20?#mS!?g2_#*SavjTDJKvLgaV;JC=d!94)OmY zFE$H~7t0ihLV>rZz_iYOdyPlOx2u5Ue;?j%4^jEsQ^4k};WXBFKC%n`O>}a_K3G*r z!Rks`G$@uHC{DM&4<3Zz&T?Qx=sPOg}@RV4+hD`nB3SbCs1EiP>SZH!=Y(<+u} zU72`3{~|y+$ZQ<6AUM>MlwF7ogaV;JC=d#S0--=C5DJ6>cclPp>)j)MUv{xebi7!m zNE8aZNd<(iJ2xeo0zL2qTA@PfRlytl_byXI z;r3M#Om14mLXaUbymB9`+M7b`S2m}^0|-OC((Hn365DJ6>p}>tQFunQj zr+OJ!iVoxE4RREwxB5}^P2Q2cHIs)!C~!{-#Qk=Yt2y$XbQkyAt((~0?=eQckYtlN z>X0Po+-9dnz9u?~+@?31w?Z-4dX5*j*!J(d{>_RLMhE5V(o9N`~ zpSSPIuc&4*IAl#%m6=iSSQ+O1eT-Gy*}Odp-MVrpmL1Jo%GnJ34d7jYKRZ1NA4d^C zFmXnKzl?lE4DIdJeZ~6r)zUo)Oi!L~{&eJPqNCWMy>;Xdmrx)S2n9lcP#_ct1zx5= ztZ!eYm+zhY)7zZAm-Ve6M}6lbyWrYHCs+SISnS^>M4$Idd0y5SYYU2lzdIOvZZGLj3GvPnBa|!+fF6*uRx3yBTrF^&}2*S`KPZGmhE6HGPE4vrdVF zr**jo#j6R^T5<9<7ffY#f((gau17h@Y#dZ!mifLX~%$vJ0+Nus71lHBE7aFYltbv6adQu7WIOb~6g$ep)Ow zh#80MgC!$|yj+)CuoBXQg(^_HU`!-SIhXE16O7a7)I}#E|`4vI;}7F4v%h z^gwa)RS`^O_8skmbyS*NXsU^N*Fya4Vo#N0U&Fj%$rMlc&1aN@Nkg92QD(O|A$G1O zaWE(0c@r^jpIyPGV&)(?xtc4M^7TUPKqwFjgaV;JC=d#S0=KU~d=J#cuHo@wnIcgr z5DJ6>p}>tOAk^8pDbdwZCs*v>R@D@&u9QWCV(Ee6QAQT7%LV-{q6sRhoSpC(`Y6(*%!uUf!m*8($B@xa(S^m5`JU{a(2-hlp zHEa1p1ZGsi{r0ic@Qan6aA>yh%%w((P3m8wYaV{V)X{?e%{)p4A8T^VL1cJxd7iPg z@;7>$lPElXmya2QALTL@p^p)jQGe{_y3oJNelK-DU1OmexBW_+lj+aTDldtIlYbSa z@bZt}zHGcy-Ga4D_{8$?U2Sd(o@I7sgCQ}D=uIJBOu5x(s_+00RLS>={A>^X+=lP% z@zEX!zQTep_Q)=rti$3vxkv|AN!E3&&&)xO6t(f2XDuwaEHL-BFnp|0X4_9Coz`4) z!KZYYp!DR}s;jJVi87y8yWWdx7F-oM<{)FLOp~0a^yFCWZBA0DqeOhx`ROit7RRQ+ zvMJk=OqYin9&s-jh3TF-ioVG^vbSdPjU1*o>y6l6OrtN3*h4@}ywg=UUA+35BD*v% z+tEBaxnv^q*Gar8^V;U*x;QfjO&sMPye)ofX2oSyS<#SiZ{n-!QqL_|o1{3{>gMFQ zDmY;^_=c|AfURx{Yf}nVdsNtw5)A8YzOYIbze_W8H3~x@6xfRbX)lFD9ic!d5DL5r z1%xik%az3vqf3xZt_$toTFHwR@|t;CA_+aYC1Yr!ymU^)`m41jt2qcx#kyRB64C?3 z$yY@%mDve0B!&^aDa3wdb2>bLFw`r}F1R+)$rbaqs-$3br7Rj0OAiz$e|88aH?3mX z(Y&RcKqwFjgaV;JC~!E$|BJlXEI3{)QzQxn-kt)}I{WQ49v$DV0+RoIc)LABSgaV;JC=d#S0--=C z5DMIt0<5ifkNADr#V*nDVwuiJl+K8a&`{vI3JB>tHzk^tvY*n)b(wuIv4Zj*&8jjp z;^3Ezp$awYn9tu@p+f3a!5jSdE>lC{_Eix~Zd%1ckRdU=av!YPn?meYHmAb_2t&Qn z?1F0*Uz@d)>oW89y%ki}!Hi-Lzhn$md@$>fd7Df^A+;-I(V$qGsQ)P(A>K`^Sk9lf z_1O*}z4`B_dKp-X4&&wxaulYw`cd>v-jTgEli!EKPxsMA zbaA!FyUtrc1I%VUurmF$cwKkz^HHVI#PSGP{Ke;d4ESgXbHCoGW6;J{Z}Ap;(t| zP(pg3IQgmwrZPK0hQu)Iq#R^64q6Z#>PgBjlQf62hZ_5*g2>Z_7ZhZC3TN)xjeWP8 z$vKGNCd%v!^Y$oo?8>27kkXJCt~PH;zA^Y4z`Fu}c6t;(jv{_w;*0`+ z8TpDB+S{x9;x)J{RM)rD-Q%?d%WDH$ajE5Sj(y~7qNA>%y>;Xdmrx)S2n9lcP#_ct z1zx7WZLM!#*4xTkR%bRkkv8ISY3^lxD|A=i`N%G~Hqps7{fkNAEALdX&lLN&2^Hpj zC!Uuz#@d47;A<|J%IrJZ2kWRbyUOvZZGLj3GvPnBa|!+fF6*uRx3yBTrF^&}2* zS`KPZGmhE6HGPE4vrdVFr**jo#j6R^T5<9<7ffY#f((gau17h@Y#dZ!mifLX~%$vJ0+Nus71lHBE7aFYltb zv6adQu7WIOb~6g$ep)Owh#80MgC!$|yj+)CuoBXQg(^_HU`!-SIhXE16O7 za7)I}#E|`4vI;}7F4v%h^gwa)RS`^O_8skmbyS*NXsU^N*Fya4Vo#N0U&Fj%$rMlc z&1aN@Nkg92QD(O|A$G1OaWE(0c@r^jpIyPGV&)(?xtc4M^7TUPKqwFjgaV;JC=d#S z0=KU~d=J#cuHo@wnIcgr5DJ6>p}>tOAk^8pDbdwZCs*v>R@D@&u9QWCV(Ee6QAQT7%LV-{q6sRhoSpC(`Y6(*%!uUf!m*8($ zB@xa(S^m5`JU{a(2-hlpHEa1p1ZGsi{r0ic@Qan6aA>yh%%w((P3m8wYaV{V)X{?e z%{)p4A8T^VL1cJxd7iPg@;7>$lPElXmya2QALTL@p^p)jQGe{_y3oJNelK-DU1Ome zxBW_+lj+aTDldtIlYbSa@bZt}zHGcy-Ga4D_{8$?U2Sd(o@I7sgCQ}D=uIJBOu5x( zs_+00RLS>={A>^X+=lP%@zEX!zQTep_Q)=rti$3vxkv|AN!E3&&&)xO6t(f2XDuwa zEHL-BFnp|0X4_9Coz`4)!KZYYp!DR}s;jJVi87y8yWWdx7F-oM<{)FLOp~0a^yFCW zZBA0DqeOhx`ROit7RRQ+vMJk=OqYin9&s-jh3TF-ioVG^vbSdPjU1*o>y6l6OrtN3 z*h4@}ywg=UUA+35BD*v%+tEBaxnv^q*Gar8^V;U*x;QfjO&sMPye)ofX2oSyS<#Si zZ{n-!QqL_|o1{3{>gMFQDmY;^_=c|AfURx{Yf}nVdsNtw5)A8YzOYIbze_W8H3~x@ z6bJ=Eflwe62nF7v0z%W}<;r4-(WOr(*M;_Pt>i@udCfd6k%XSyk})(%IpLg62pkz6k@-!IUOEA80wW~7hId@szAQT7%LV-{q6gV7){lCb63U!|;aC~+ghoL|yu$=YJaRY}3>N?9~0mL4chx4sW1G~o)QSQhPZ zqKQtfn736W1*u zLV-{q6bJ=Eflwe62nFs+0oK;L$FSd*?Y{Gi7kIpQ#z+_nyeS2QZaX(6n!>Q3(#dt1 zeK4_t@*d5qGBe`fmyDqbHS3tq-&&zU>Q%uT{P!+XL*e#S5ln7c#X^uFF}!jgtlFDG z>{m9Y!vhFIz0&N0YZYIcwUg^I^Y*yUYyOhO^GD`nB3SemH+ zDI6i*O{-YWpSShiauOk%TL*?fC=d#S0-?Z-DlonI@27ehSc(qg<_&TbrnmZ0^iAH8 zy)~1ELnv@h3dH?(ldC!Mo^%)Y+pU|}-S06*zK~>-IqHxk=iFweM!qIGirl6*o3}zT zn&A>BdlWY2y8G?qnr4)ek(ZC~#Eq>~MobfBb_*54{j^wG7_tvWQei08Yumo%CD$qF*syRSCyGj@K_n< z{e6s8+}XT63f;PLD3%?~TgurC{0-n;fj>Jv3Li%iKQM7dfxnD=MGWoj)qTbK_SMoo z2~1C(Z~k=TYoeprp}lqF50_9N6bJ=Eflwe62nAlIK&)?HrkC%X{L|Z7OK3MGECPbh2OL<<_7;6iPgTFf{n9A%s+6U{XG`rAL6->r@*Fya4Vo#N0 zU&DN%&e*?|D!UnR$n_)+a#{{*P&1C%zcqb?%(G63gQs=52F0rh(^_%zH5W`}c7hCv zVXj9x$ZQ-`VdR2CJxSSx*v-M`#&?DH1FQKkiaT*lN5MWfeoYMR?V626?oc2U2n9lc z4h5!vY;}0Pz(ro*``q=M*ymi&kM;S9&5lQ|6Q(7qU+cJ*a=wwhq|I=QAPuJGku6gRd~8NpSM zrOa+dA>2=kr3NwMkbSUZ#E_Tkatl^Mny^sC$yY@%mDve0B!<`RgApqBXe24S5DSE% zUTJp0wTVuy{&^dpzfFif&l8@PHOAV4;^5BPqhKntpFeNm7lwM0vJ0`d0lAGb@1aV) zhbE$jP#_ct1ww&PAQT7%LV-{q6bJ=EflDYLBTvb#smDzW+57tp>cA=>z>Rk)*vx_}d zj$IA&EIsG<4s~|33LbMkiG%sY!Sg2WY~EJnP_)>^yiHUR2n9lcP#_ct1ww&P;D!~5 z?}575#XDXs(;G-6Z=lDWw5U6&BgzN`?oT(Oj|7itGWf!kC-ZnNyD`i(0P^Y)ETfOY%2 zKDFC3r&{Z4itN&C;s^8S|M=BR8{n zTX^PDr?mxO{Y!K$+%K3qTF}3lN2%arO^!K;4CU2nD}ST6If=sKclnq>_)#un5&9TW z8TH3*t_$ra{9fvQy2e5`Zu^xsC)1ywRbCPaC;uu;;pHE{ec5=ax&>>Q@QLN&yV~3o zJj?9N218;P(VIfNm~yLslj8v%sFLp!`Pm-&xeedjB+I$+nl6QM~V2X^V41QERIcsWmC2#nJy1EJmOw53e!Dv6n&F- zWN*#n8#zpG)*G?Cm_}b5v4?<|c&Dpyx_I?9MRsXkwxfA;a>+#IuakIHPHP)EoLrxr z`Lwv2ILbeGTm06{ip#39q9Nhl#8=g&o?EasNpY~%&B<|9aKdWv4PCbZTiq1arhl=d zT4zT}Fs!%cY&fOwYV_n8LnyEl1=3FHh%!QfP#_d|8wv<*mX|Awg;bX!om>~%zqOJV zEiCo}%_#KbmW-i^^3pjG>#x?DtmYs%73*>hN=OeBCtnr8RAwj0kQhevrV#s;&FSy} z!cea?yWrYHCs)kds*-}$m9l71EIm-1{MjLx+_Z{iNAs3)_6^y0dG}PE-cvKtODGTu zyj+3!e~~ZO?3EG6SH?wHC=d#?6`0o9ZO8Bk1@@wVN?9~0 zmL4chw?1!s8V#RNAQT7%LV-{q6xdJ!-Hnb3mcQ;%V%VN8P6IHOe)yZ|4eK4_t7A-8cL(PbTUowU&)U0DZe`^v7sa+|H z2F22Zsi8Rest6`Gtzsd_kQn9<#3~1wje{zxy(yuY%dKN37oNBtie*8^3=8*7u-Mp>$rVy8}*ZgZ2y!H>- ze~I@}q25a)(MKro1{9dy{P)wT3@k;5aq~t!3cL$n6C-=OW?zvz6u7toalhR}@{#wX zySU$O-30%}+!aQ?kYrS+X%sQMBVQ98b*B!WhKroG9Bgdud}J3~n?RVwZc}-$$UGH3 zUOvJTH&)7Q#57T6w@@M6O^cz4a&L*)*X{gc3P0}0$*LtPd1=l7zxu!dz!dI{KUCdiVn&%16%Nk>CL2+<3KMJNY`!41!C2kD< z2Jo)HpPe3skE4hmm^h=rUq-$nhW7UAzPKms3e#PENKG><&|rP%BfH?*L?>7OK3HWpxLFJi?vBrC zTgi-qhg&j+Chlziwjzh3#g6vDgnHZyr&tyjofB0s89TWyGjGKTTC_mgWRDHzts2Xi zd214ik^52>4T_}+Q$um`RS`_}D`*Z0FWy_%?PIB;+M5#US2m}slE2o-=f-!1_XDf> zFp4`daYn&DH-1eF?d_V4Mea}_6bJ=Efer+@ur9iLfP zwGLK~MQG8onPFsan-#flq9L!ceYYIdxeDxVCWu?+HYar(8i!@%J*j%Xb!yrA)Klhw9IZsA>1t)Llt7y^+u4(r4Z#hIH)0+ zQE+g%iU!5fgmEfPxtc{1!L&Ft?>{LPf((gaWf0D`kjz1FswXMC5DSE%lLXf$I=TAi zZG8SVA^JQ|cwW{RYYU2lJ8zGIsmy-PgBj#NGzvHp;w*D)kY_|;71MW`8-STF6g0LNUwFIIZO z)@?LHJQF zVn7q7mi$SzH>kLJk?`pJJNjQW8p+G1Q3WNfoz?~`}^i^K2ES4Bu z5_EE1X#dtqUbL`SA)8U?$t@W}6Q!lIx2nx`G+E6-a4Od28kCS8C{DSW1(#r2oLMb6 z%^~5nG6-jTAUM^NlwF7g!q7>AYZIMZF>k9%3RYLjqCv6rKykYDdE3+Ib$#Tz>EBXz zeM`+ouc1IFuvQ@cU*uYoL1&JGc!M?+2n8M&=b=L=aBB+ikILOcc3!pH@O zdXlmWu|OC)NpP*=YqNH8U1r|Cw}P^!tIEtM_V7!_P{jwc4w<*f3KdeX3ML(J4SF)Q zhWekv5yH#t=g-?@T4P)0_W(x|M;Y=rC^Hs7GOX zs~<(*^tGkG|K0{5gq+;2Cznj`N?aldsg(X_ebSgv$x@gu5kUXky4d7+Hm(SeI*1 zLVBP$xvHpODzi^F>x9=U_irsy2(Kq8yATV6p_2sHCOWzL=k2?gw}?K^6P}kf#@d47 z;Lh8lU@EikXx>ujX5eoC?+X0c=~4JNiui$vGYb4=p+G3`G6iCN`!ctaBz2gPTNXm6g=FLF*I>!`?nQ26fJhK50Yuf~q(VYZJ=xPGl zIp2`X@@d2JjQv|No>kgpCpVb4YAj>stw|_G?n_xTD3&Hn4aLbF-@xL~7TpBuj>hW2*N#v*qp5DJ6>p+JWM z(?7O4JTK%T1M}!G?vd*RR*%=j$lk8mSL6-_F0Me_Z#R*A3bMlK7X4KeV!*gFKdjo1;xRgw@1NLWTW@h615LC=d#S0--=C5DJ6>p+G2bzX}Mgb#6*@snE&Q zzYkW~S?(&x-SIhXD_OWe;g*b{i6Q&9WEF;DU9Le1>4DUA*JPGDV_LAQT7%LV+7mK&Z36^O0R}ZK9Ja_HV073RYLjqCv6rKymW- z9tD$|RhqLVA;ZBPlHOD3%^5PPaa9dm0U&P+&g_$bL$R zNyh%%w((P3m8wYaV{V)X{?e%{)p4A8T^VL1cJxd7iPg@;7>$ zlPElXmya2QALTL@p^p)jQGe{_y3oJNelK-DU1OmexBW_+lj+aTDldtIlYbSa@bZt} zzHGcy-Ga4D_{8$?U2Sd(o@I7sgCQ}D=uIJBOu6-^tZ*?rP$l0d^0Phka~r<5$47e{ z_zDZY*dx1evJQ*ye3rjuP=%=cl{qSsa@N%cg8g zGF={Sc*MPA6sCLTDEcPv$ljXCH*%QXtT$qNF^#@BVh;f^@lIFabn)tIitN(7Y)A9x z*CBDG;x%F@V5A^nH85+Wko~6y@{`?OFg$>ZIa?(tDBSKs^Emx z;2XMb1Gc&;tW7Cc?NMPzN-(Uq`NAq${4UMV)hG;sP#_ct1ww&PAQX6u3J6V?mn(}U zMwdRFTo>BEwUQStN?9~0mL4ch{_GG;Zd%2%qj^g?flwe6 z2n9lcP~dQg{}*|&S#Z2qrbrYDygdb`b@tn9JUYH<1!CUb^yPcY#S6{XcRsQUu1$1u z#XeY7Nx|w$Su`k?9w<(?z7Hle;R>W!7VUAOiB7JVw^bztt1D&EpjdjKI4v%0{%wq4 za?>i7>HRtJeEvm%a*)|LXhCqOCn>uS8wdqLflwe62n9lcP#_ct1ww&KD-gdgyO`p~ zi)D&Lp}?C|KLtSU1j4t~iPs!+3z`TVUFDx_W&yup9( zGBp%#UlqaRrd2Ei84|-Q_ra>YDa3wdb2>bLFw`r}F1S|lwOKp4E;Dc6TR~+V%qaHo zOU6*e2eS^Dx5*?FQoB+X4T`0S`k%rP;@z~0<@|YD?=2@0vbl9&2!sNmKqwFj+^7Q6 zoBw{Qmw~0|FmB!;M`3!aA4T8f9obtmc{qdu_oP7FZ#TJ`BkxIZzjZDVn-#ml$QP1~ z>NJfahIiy^qNDEA;nU?Jc+m`(IN77HG1uL1C)YHil#Jz_s?&yLxiW&AD6?Cr5bl%Iwq4I^i{Ms454Uje`~hhkBB-3$Z{LI!SPCqLZtC z-oA@@i|F$_;dxnOtSu-Gd8R$(Itr$zXL4G_vZHxRIh%pM0lX{lXQxNu<0#?>CeA4E zmyxfCp}oDjuUOx{TDm8J>B;lWpN@P@bQC+Zw~qYx;POxR&_wj`h7_3AX>X|GX!RZx zNcYf0^st!%H?h9`SBL-o{lEFg`8~_|6h1@pPj7SfF4ng;&jdMWro7vfU2tupldFFp ztWsIC7#vnRJ`eiU;V;umOz?0^#?VCU-yRf^vc^rt%t3H+HCHT|%XK*%5>787IR(@P zCZn58iCPt8=X^slOWrv=XWokOtkOPw`PpA#$H>Dk8ABCn)-m(eBotEH&vo-fgJNmI z)KHvOswB<|gPT^d5M)RUyztlUW2wT(1&4Z)vJ0`BgU^lc3h&)&KL6{ZcCNAeO1ufP|4pX=$Z>U^$XxP1k7cLx(+ zQ~L_y^WTfr=icD#`L&gcn3kwzhryPSy$vpX2lV`-A`l8p3f##3_Ag(uzD;LdHa#=G z96s`%bT9W?K|X|H&Lw*kHaNPpSQ&(~ zEhKXgoa#x+F2qtt*+VCfPcEEj;spg6m%`_3I197+=WicA{$Jl7{`mJ~{?yy*WqUHW zh9UE|T~}G-R>9bn!PWdIn9A$~84|;Md6a|9#z6~$Lp@2^<#frWI^cOr_;^TvdH5o| zrIzogSGl8JUewALhkv#gtiC8FoI`gc>Y%L2aUh~=eOE^ z^nIHO@Pa-ZlAeCV5DMIg0`F~ka(sNJx{->b%o|i-^Y4NBSEt`Q$PG#{y5!^N1i^on z0{nC8w9-F|C4xhNiz@K1{$l^8{p-KHXwFEstpWmF=cYtg=1#6_?%)0=`&Zdl@i|6& z@jk!y>ofEIlj8gMFWN)m`N|-i?SbI*f@zZQpVRRi2ty|cu1$1uU31?4VfugdKc6ZP z`f*EcHi|>+-yReln9#zL9tcjZ=87eAxh{CjubccaJNl@@i)ya)114XUmIF zGb&+TTF%$;imX}0jprA})r#rl`%TDV^@b+n*=Gmlci$C?~-5EFFOGYCJ*Wh_D;BPyf**v)mJf0zAU>VEoDW|0+!3tc6orx}1IsmwQ_$snMQnKb3S^ zbIk>x(lv>XPc&b1S$J9FCb!!4UR1N-s>m@1S%%6qY34sxdz+I~>S$(ojl*;oeNE_? z7#Tx*V%1^X+)GAbx@V4}Z}N`pt(kly2X6jX!J~M3mE7khjfrBHO zom?`J%?lUh*pC%VOts=_2L~6tEq-ej#hTf_wB^$Kzi|GUVUYxL6<*_90-0l|R7w9=s+^_=nd9c8ZD#W4uVdz=&U#gw zg9vV7#eTGT`;TdnAcVUmH+gYbFP&X3#W&Z%K?{O|%T+WemL4chxtc{1!L&FtUj@ZN zkRdUw48qwS2u}4RWfx+BFm#gO+C(SUHRmm#2m2rX-%}^T=$3pkw}zOv2Sua&DrgCY zT^L-=6-(xFUGR9T_(Ko>(EseMb{~D;r~2mWtDX0Kh4PM9$ob-w z>BSNE62Ly#hp+#_KU@Phs(?_pyW^l(7Ml{BsDeGLPOkp_+izDpV@eTSvrZ;Qe&)*l zH$H#+Z~nEwyD%g>k@qEeUWJ-<%s!Y&D5Q3!EE*I`6DFJDw79TYVZr34RVF@x;P_Hz*;93PM#!jyOd3(<1#@|~(D;-g87wkHk7n^a(W^WRVH;*~O;OrGrp=kx`>FLUJ$aulYw`cd>v-jTgEli#+3uue1m z;`6t+eI2)}{xFzCQo5Gap~(-tYau#2E$tGV&EMw6|CH#cOa^aM!nX*O0&1 zXTrEyo&h-{wi=qM)-#PGUlSdr4ehNX|1G<`r+B-5a?4Fb?Qc;5yX77~yv4?&=UZ0b z3%&B&YMhqd3v`u6Z&+pPU-`ggIuz5EnRo20aPCJ=G=DepFA7hId@aOk8+ST=AZ?^!PQ)` zWG>eQ4T=B-#kixy6=&c9oY$Zik@w`2@es9DF%TdPM%U98JB zC?QRl8j90e70wDnnVld*VtD1eHF6=mo}}zT?B>|r|1^B>w)p=X{tsk36CciuFNUWL ziYt=a=fty|LN=&WOWbFlAGuDLmZ)df z_*#OIy}h=kn~D44+E?#plzJP5Zg3adRw!}k{%ZTTvcbz<9qw&TZBSgXkZZqUx9Uyk z5HjXmvPWTqqbnbKBb{8+6jw5$rSQa!tyD%#6=ZqNF6p#?`xqzu@Y6mzlt(eskbSUZ z#E_Tkatl^Mny^sC>A5P8*IqD{*$FZv2CntGeJnL3GYSs%BxM(3DZcEXlgB3)PBig? zf{aVy^EI4>c~^c#5!}Rz{q*@;*bTzqmW-i^^@Ve}6rx;5lhqsqCzq>eP%J%AoN_f! zlN<%p(=+SoX$}dm`SK_SnT>-M1c!Q(vJ0`Nbf+h8YxqOnR=?40C=d#S0#{N%u9P>@ zh63j(Acrre)$KVf5gQ6zTmj{u1%XiDrW82+{e+vUIEsC)fN-L7Q{s87d)&!&nf+TT z%zHF*Wv9QN5c^<I#TQY_w?ri_IB8Q>{7s`+rt~qbX{{~@y|27Z` z+@b>ixW%HQ<~OZ?yy@TfE|@usSMd&Tn4sX!=jV+zFn?TuY9 zr(EHjyAh;V7F$%DXrhzrn)|o^$(mpr#~=)D$rzf5eXwUcnN7vaL2z<4S1gMux84=S z#UKowB)B%w$#ujIDi=_p@p`N7dLhQVce0u(dhCk+6 z`-XbHt5&%s2SR~R;1(2+TPQMWY$%}E>ucfcf@>8&n6;BjCL({G^kn(-?r?H_a^}j1@{$2Ka;~ERyxb0WkoJ@ayR(VMz zocyaWg_nQ)_T@pa7VB~iN=OqA-__=(5MO3zHW(7ah~5<9#gr@VCp=Ik-zW03J(O>m z`Q9EM?Q!5MEcjxN?83=9EWVSAbYPWaUB~*&9P~(08|^zG7cHF9&Rtqy?roiMCp8Fx^F86FMeF z3bfoU&V`;!P>knZG78f@a}<4(cVutPY&0m2|4`po9z2enoc*;$NU<< zk#eKVP+*V(@p-U8!d{S8$W>mhEEZB-Wp#30X#dtqUbH~k`2W0~D#=bBgrR-~%^~6C zuSBu5AUM>MlwF7g!q7>AYZIMZ*POTUc`)bIoVc>WP``raknp{w1!TuYwm+FZ`sB%2!mTPh9>x3p5nFIBu<S$YPv+JT z^Y)-JYFzmwMYOYu^m+OMZTg4xTfBaAX{=ffsZ?*mCI}`{7LV-&uAdiXu`BM2J zPbjd30`|JVQU-)*sft41|uAIu~aBlo2&8Wc+triS9QQYCR#7%cs#|Jyh3 zn<^H942fZ75Y9GH?M(^yE1T2J34|y0O0x^DReWvMPOi($+xJ$`T8F>b-wZ$pgD|)y zW2oYTS%=KqWc3KCi*>mMC8UY^pTZI1%k1aR+j?(G`t|kV?DKicxwnSD{NIOvytSUA z-&`po)QQJ9u=70{P!b=IHlOR|8t9PCQ*lbn^PlSRMT7iHif=|Wn^!!DCd?! zzBoK?t=V7K2!sNrz)jq5x4Kfg714@k|buO4+i&; zeK3fH!IiRTP%J%AoP5m%lbcqtOgHPq^Lb-RImm1rv>-UtlayVE1;Wrtf@>3bO* z-D)N)MNAqt>-nT2-ij5p zXkqc{G$Rgv$r!3ovyPd!CZUkpm9l71EKQgiij%L3U~|kf%n#R`&g=|_NIjT zmCfm@biI3{{9(eVxh$6VpU855cQgmupZ$ny^sC$yY@%mD#6B zpYWPDrj&!s#z76qjDkZwN!f*1(v>}Q^7!P!i6&l9kZ~z|xobCe`_JE!6WI;I;HJgU z#E^MQR$(aCOPniCDgE}eN}08!L^A_u9&w~B?YT1WznEmdZ0M@uV%sIrd2F^*}tWhKqwFjgaV;J zC=d#S0ynEbd=J#cF5U5BnIcgr5DJ6>p}>tOAk$i`khF`4oghR81XD&5TY*POcUGwk@rj8c$Z{|@d_*j!;4kE*o%kzw_mA}#3 zoJ8UAyL`+b{3w^P2z`vGjQV3Y*MK3eJ!Y7u8?`m^X@GP@48w`nIL~jc5V#?)zG0y4m01s5j_lf*$5B=PR@9pu? z9tXa{f-m;SE}X2x;ybxW2Ubbeb*#_KL5~!*(Y_OM(ZYhu0&{N*!^awBw*6GnY0Whk zd`g!IN>7fhy2=`tDD!!>>%FLE!BvrC4l<_7G|72NPma~z<|LImO2lWKpYEb(acmka zo3bs*ba}Yp5%-c&nC_XQ=$pJFdut}&$bp;x6`@zm{rc1~@lM*EE?#|2kzJZ%AI+na zOD3{;;i4S-v4V-$X>qlKgA3jkzcq_uU9lGp3HK(xsxI~1g0)GCgRO2(j;n$bR)cTo zx((Rsrm!}pV6{hu9Vx-E-a2N(V+ApW0=K0=x~+1f+E5@A2nB9j0ipi#a%HiQ>T0!< z>q7gtR`Q~S#R}PsLQihV7@86w+p3a+)s?bnP%J%AoNj&I_B0wkp+G1Q3WNfo zKqwFjgaV;JD6o|R@%yr^lx*@Gn;tuGNIR$@N(cpBr+^UVZn!9x#dZlNs$g@glj}13 zU}6O=T3Bp{nh^)TWDHfPS;u_-)+7{CyHXYnilqrtLviv|5ln7c#X^uFG0Y!`RSq&6 z2US#iQ$jPBTgOZ;JaIh~%YuXxReWvMPOi($+xJ$`qJ<6T?FZu?GH+A$+FI6%##pPU z|0x_HwtfZ8A>sA9d0X#IAueC9`PVLZ1ww&PAQT7%Zcu^g&3`|Y%fM1}7&mX!qrkiH zH8HZcYxWhnLxGDc5ck_nBp-QCiut}yb2B%?Y_qln=h`I_jcJ9YRpT;yaw zjWn~^A@cWkP64f7;o3}@yV^3K1gYZg;yH>=>`r^V93o$Z5F z0a))g3<`ZoJ;m7Y;bgG$=*mO*EGeIjQ*a?ywOsrjNmHB zQf4=!5bl6bJ=Eflwe62n9lcP#_ct z1ww&4S3u~mb5o*AicYS}?B7yx-lJJnW=6qdWnf#j3q$sA$t(=Tx?F=2(gVfGS4A+D z*{A)dgxA&f!5(u9HPkE3F1R+)$rbaqs-$3br7Rj0OAi#M+nu+G@&lnjC=d#S0--=C z5DMI|0`Wai7rS`Ji)D&Lp+G1Q3WNeTqJU7RyWyf(7TYD9XrhxV_Q9%33RYLjqCv6r zKyg}JST085tT4D~70X`sZ>4D;O)AM$E{q!^& zKB2%q6p(!1-$y-BMJUjtfb=+pPbjcY1(dS<)z0dp6U`2O=;xA&Y?b6>c(Sy6cX)p0 z(}y}Hj`ELR%~byIiy4(zzkMt<{9>gi9GWdWbE%PHllqtFnulL7b+n*=Gmlci$C?~- z5E-6ao@Z>W{Egn`Bnpq;)E~RKF7)rR-%H(3*I4MrZNJjyWcu^7 z%1a{Qmaw_q(3KCwJ}SDTxHXPKSZU`PxjdQ*rOQ!ejx%<1p|4^+wb ziTrF2{oID{?eWnb2fo6BFZReToUFs*JGn>)R!P=%tk29rj}*1>n`bR7xGXUDwlI9G zQD)muC7sq>bHS%{nV|IK*s80nafvdYSG(SeY8G4-Ip!c^s!Wrdr}X4l?QKp{siQ=E z*7@l!dKSl~!Lljal1!I}8y;~l8HMSdIf}l?JF>TC@{Jt0`Ck!w#oVt?9TV@Q-Ra`h z*A&^MDfZDkI=N&bn-?z1u^%g#c%2qkJ2<%DZSh;PDApBw(U5R&;;ZUX&n;M+q&V2> z=H$34IAJyThOXOyt!@fyQwmmlRM?Rc4C}39Hau1kV<->`gaV;JC=d#S0wo0mLwUKf zSV)yP7Uw&;F0_AZr7c=mtdPwp)b5syp^5U+IT7ox)|#y5AUGB4at%sI4-_X~6~Rh+C(Q;%-gDxg4LC>XizLYP@MePA(-5>ie*RhmU052 zKqwFjgaV_=%=Mj*?1F0(om{aGR#j54 zx>6PmilqmN)2;7=2~D^HDV9ZhoM@txE9PxgNx|w$Su`k?9w<(W3!8r%BbeN@iY4}M zQ6UftgaV;JC=d#S0--=C5DL6O1>*N*7rW@ki)D&Lp}?C|KU%{u1uw^omkx>%QMP(qq8H54ab6~RyUYytWY8Ks^AU& zTVnlB;gr)V1)V={>%HY9LbhW27z3d|C=d#S0yn6@^ya^x%4J|FI*gk)$WfTy>POKx zc}MovOdbxQz&$At_uEab=E!?e+;5#rG;J<9mMfha`9hLWJ*82^@Q!>?tjjehAw5u> zd{qQfnSHuhC%j&{e`}FKcs)tkg;*dAog}z6(aF_6Z{NkdMf7=|@Vu-s))o{8citWa zQ<;57^Oiz41AhZ}SK!Z1kHW`M#1BlIQQ$8lUlBuldv#y2zJ0ZHPXg1E=bJwr`I_h` zc4%)M`NJg?2n9lcP#_ct1ww(BDG=-1m+9quC;#*|XYXQtYx7KygJ#ORP1yz4COWzL z_rWTaHH*Q)-SIhXE16O7a7)I}MC{)l6p^yVO~uSXaB?+QESbx7IUEvBFCsYw)CMM_ zn@x#Y6=dgpLo&;!4a@Q?3b!i6tgEz%km;>Kcrp$awYn0agU2&s#8xdtVq2~$IH z@>LN`Wp;uLi2(uE?PICJ$OVUblClf2n}g4d?+Wh+R`X#LcjB6kf_-lMni$&KH5-fE zp+G1Q3WNe33QYgl>hQdfiww-8!?;JT6Q(8Vu-aS1BYSJ*4tpqYR|>@ac9Y9F@}6`r z_gg_}gevbgWfxqlU~i<8YntK;pT8$FZ?se@Be)8(l-bQFgu5kUs6x!T-UxEJ6rx-Q z2Q?%!3Jxw;(V$qGFiyoOSF=bWm=^vce7=UWF!A}@gh;a-JTGgEwFSk&)%+-!%IpLg62n}Na*)|LXhCqOCn>v} zX6#f4Jb_T)HWZNCC^M=I1ww&PAQT7%LV-{q6bJ=Efl%Pi6%hLC+?42&qLb?~`?pk_ z_h?p?nNjdq8Q7NX!jS!2G7CeoF4v%h^gwa)RS`^O_G$kq;dQlru*cj&4fRU13$9Ic za>cx@Dk)f9DT@Zh(gVfmcIR!P{6HuW3WNfoKqwFjgaS9LKzt9>#V+3QVwoaQC=d#S z0-?Z-C?M47Zn!9x#dZlNn&{+;eXy#Mg4LC>XizLYP@EPQmWz=%D-3R0#j=CEb2>c016A^UB0t+hKeyp~dwjIVfv>ROi#@Un zC+o2IPA<}cRg!fb>oarEBSmfe=2;61E(^@PEes!Pl-c%ENvAc}T<|GfCMZ2Qw(2Tt zT%ye9)vouVngv%yjycGfD$^wADLpw>dz+I~>L?MPb$+^wp2e|gux!eLhDY2> zMq#>Vj-qe!j_j?Od?N>L{#S%vG570J$HY5nce;4>HAQx5ihVSXPA-|q=7o!L?8gcw zUZ=&?4h}AOTm056igm?aG$h=c_^P_pa|_lcDGs)}IXSKhPFM}Tq3bqatDC~wl!DbB z6?UWq!+PtO4UZMX7z%^}p+G1Q3WNfoKuH0?P+qPq7E&dS#raOI3+>-pX^R#XD`YbY zwYw!_XrjDyPQ?1FwI-`M2u{ViT!RwQ1I5W#MKG1w2{I&x5xptIer0nyJb*CNE6pyr zHqpry^R}v_V0EP|8Wc+p6eoXn2qrhJV%gEWrJO)05DJ6>p+G2bIK=;pyx1%_UMy22 z3I#%eP#_ct1ww&PV3+~|bA9I{yWrYHCs*u)Rh1O1u9QWCV(Ee6bnE+ILKCh)ie=Fr zCz|Nwig{aAQn0#G77dD}2a40;!sg$`2qrhJVu}4*R0xCup+G1Q3WNfoKqwFjgaU6+ zf%tvd#V-2sVwoaQDDWl~5W24Kd}J3~t6)E+lj}13U}6RNJ3f4#(;y6P$r!3ovyS=v zt<@u>F4pB5l#nJ&4aLbPgBj!~$XHB*C?cug%)Y zb(wkl-U`Z^t|~L5*uyUwLlqy)I%M7^D^y6mDtLqcmRSE&IOViTLFdogdT%+2kgeE0 z#y}_#3WNfozzr%ez4`B_av4~P4&&wxaulYw`cd>v-jTgElZQhna8C-v{dSY9Ir5$q z_gm)@O`A)O8PiYh}ydz%|9d)M;pDq`{i)OgQ$sUD`x$b^DxuzMVWGwGg zoi={Tl@Z)TncYH#aJOU(O$^xwBdahJ>v9cBNDmYzUlqYrW}j}>39nb~-&&*)UQbeX zAr=TjCkd`ibaM62+jlW<5q+K~JTGgEwFSk&owrB9RA%4Nyrt01z~2Dg75KB$qwsMQ z@dFcQ6!^=?SH#fXUfox$Z(l9llfd-k`Q}eYz9u?~9okz*{%{EeLV-{q6bJ=Efl%OO z3dH*MWqSGE$v?f#*?U>v3UbtUKC%n0O>}bg?}Jr#mYc=k;O_XGww25%c(^5FXd?D+ z4~j@x}C|g-I6g>A!c1~1i4%aQLcl78j=|W2bZg8P%KRtr{a{WStJomi!<~7lVTyrkQi15 z;cN@Z90aF&lClf2Ko~knaBZTKtAF0c=Wi3D&+~-mWsR}6pg6em_9&Rj?B~y0_=TaK zr0g<$XgF=YRitin*N%QYw=Jy4u{RRmL+eHZ&+lvoo;F)!NVL=*L{ zh4|UUo+`(SB&**t#Jo+2bcy}jgOF*yJc)xjt#YuVc}qEgP#_ct1ww&PAQT7%Zc~By z9;l05x8ub!MWRq36bJ=Efg4dksI$KFkzH_YqLVB3Z>vfQR#(cRL9z5eaq{;b1(Tar zvFvCcOsJ<`X?DT2iB7JVw^bztt1D&EpjdjKINkcZ?P)Z8LV-{q6bJ=Efl%O$Dxmc3 zuXeoOP1jZWp`S}8rd5)Y;mOkO-QoF}Pro&vILbeMHByh z%%w((P3m8wYaV{V)X{?e%{)p4A8T^VL1cJxd7iPg@;7>$lPElXmya2QALTL@p^p)j zQGe{_y3oJNelK-DU1OmexBW_+lj+aTDldtIlYbSa@bZt}zHGcy-Ga4D_{8$?U2Sd( zo@I7sgCQ}D=uIJBOu6-^tZ*?rP$l0d^0Phka~r<5$47e{_zDZY*dx1evJQ*ye3rjuP=%=cl{qSsa@N%cg8gGF={Sc*MPA6sCLTDEcPv z$ljXCH*%QXtT$qNF^#@BVh;f^@lIFabn)tIitN(7Y)A9x*CBD zG;x%F@V5A^nH85+Wko~6y@{`?OFg$>ZIa?(tDBSKs^Emx;2XMb1Gc&;tW7Cc?NMPz zN-(Uq`NAq${4UMV)hG;sP+%_#q`edpb%X+;Kq&Ag6cD;BFIN^zj4nYsxh}MSYb7sQ z$ZO_li6r#omW-i^^3pjG>#x?DtmYs%73*>hN=OeBCtnr8RAwj0kQhevrV#s;&FSy} z!cea?yWrYHCs)kds*-}$m9l71EIm-1{MjLx+_Z{iNAs3)0--=C5DJ6>p}^q~|1a`l zv*38KOpz!QczX&=>+HAJcyxTb3P}F<;qCSimA^d&Y~C79V}0i%yWrnMCs*u)Rh1O1 zu9QWCV(Ee6bnE+ILKCh)ie=FrCz|Nwig{aAQn0#G77dD}2a40;!sg$`2qrhJVwu*J ziRbe#0+fTy#z6~$Lp@2^h1ftS5DJ6>p+G1Q3WNfoKqzom3b3}`J>vIe7rR8qi)A_^ zQ92_wLPLS;Dj=lq+>~fm%6>{G*Jbv>#0tuLG^@(Yh=X4;hAPyoV?KXtg$k)x1#j@* zyG#v*+gC*}xoH&(L59Te%6+hEZwj$r*_;j!APn_NvkR_Od~McFuFK5Z_f}9@2Q!L2 z{E{(L@xiP^=4~SbUlI*gk)$WfTy>POKxc}MovOdbxQz&$At_uEab=E!@}UEFWCZen-8#~ArS zl1=8QLz0|xo1Gf@n&>EUgWlw{uVG_h$3d|$vxO(!{o=@UN%kmg^35*hpm;5ktYRx{ z1UFG;w@@K`t|xKue4~(aMGV;oBbzW3>v9cBNDmYzUlqYrW+%vy7-pT6gUrT33xY#E zN!ewR=1}%fWB*hTdAjg|f{ah$%w4;&?^ZK82NB#vncYH#a5pW6ChlzB9)*rwITQ<0 z8WO|R<}JxL27d#1SK!Z1kHW`M#1BlIQQ$8lUlBuldv#yD26u&6-#)(_BVQBGb2-P! zITxowflwe62n9lcP#_f8uL7~Y-QwD$El5fncd@>;c_uVSGv(c;?1F0(om|tum=wP9 zPSxoHr{&6;#njo&DtNdhV`$>e_Q5K0C|c}jA55sny>NoW6Jte`~; zi`Re|aqvsVP=%Ux%)B)Th19N;MT25#!qiZld{qRKn^v(9WJnCWx31gAQbn~lCDgBM zPFE#=t&z`-?+Wh+R`X#LcVgms6@-@eRm>=ayCq|&Ld@#xR4$m9CX#swUd6gxgA&q&g(^=r77&-Ek@a#{{rh6PmilqmNlfT;}nB26Ag&;#>nD+~ngUrT33xY#EN!f*1 zAPk)(xHi$r74x>Lq+oTWEE*I`4-}_7(-uht)8fo(dYVJRYh@75_CRo|Cn>uSJHLKT z&tnJ$ZbgCVzJDv_MxCKRC=d$Vv;s=?{%U8nglRVVLqC^HWUC}6!;|IDyTkJ{pB7gW zNBPIEW-5P(z>G?)-#(TaezDRM4$T&xxztFpN&QQ7&BHI4I$F@bnMbMMV@-}Zhzw6I z&oj1G{zh+e5{1X_@-c((qg=)!^f96`>W|%A7y5VE@1^diYbx(q)3ulVhu{vc@IKd|vH(FREE^Rpgk1jHxnBa-PzYW3{(ANu`bw@mc4m zyXaXQn+D6KY)dj-9&UKVy<`-od*&$mChy4Jn#nhE;O2it=oNFnK6OmIlXj_tPuy@{`$t-X|pRg%QnMVFkY z!d5qmgU?koblnDQb+fEykwnc}NISQHhkG#;OB40p<|GQwqOyGLn;F6(6xg8xX@@mM zNufX}5DMI{0zzx$<;r4-(WOEs*R=DXa4wSMb-SX>v9cBNDmaJT+M<@FfGokS}=!%*UBKA?SbG_Pf~Uv76?Np39e0a za>cx@Dk)f9DT@Zh(gVfm*5_?cqu~<@gaV;JDDV~(i2oOPv8#N%Sf)r63WNfoKq#<# z1!VUXMuDNgS^+toI*smzi(+4FmvEwqPOjJot12m2T`7wO#nJ=CX>nomp1ELh(<&B% z42dE3ZwngE!o=?#7W0HTQ=VB^TTmQsecra340|XL3WNfoKqwFjgaV;JC=d#4sX+X` zY)dt(T*s<)C&sjsI--nF;N=PkA?1CQ#db-PoqfPguFLG-iWRhIA@5YO%VrP;w`2@e zs9DE+{?_UdQWxuT4N6E8riS9=t0I`n>;xGS!@NzY9Aq{QsxWfFp`N7dLM#x5P7++J z_}Z+UT$h=*@2#M$>8dg_iaq?2F;wxvtV8B)vOaD?zO`}y-W z8F@#NvI_+ULV-{q6bJ=wRDtQue?QgBz*2M=H*eIVFum1}qHpq!?5&wR972J6QXuZP zn_SJ2_oTSrI+tkLTyiW|IyLfzB%^vtqln=h`I_jcJ9YRpT;yawjWok0PWC8l%yswM z$u-R=C1ZJ~>a_7wu8iO&%Ip>@gu5kUXky4d7+Hm(SeI*1LVBP$`Kkz}GW&G1PI$d? z|JEXf@OqN6%M|`167n_if`W`o;qx_|g?ShA7LjH-cwW{RYYU2ltNBqdmDzVOZz*wO z@Hc>W1^(>xD1016{J_K+1^zPf6*088SNFv|VON+|BSUJEA*@E4MiIk1@-@*>ck1v7 zmrx)S2n9lcP#_ct1@@yrtZ%ot7HJET634x)Z-oZyJ0IBv*Csl-Ub}zG*TyMDOnWjs zt;5~%Ic+OhoDl1ljG>9xzx{MEq^Z{AaB_4tS3JtsYyKD~Uc%5xf@>8_#!jx+&fCRI zsTPA#>`~TXa_~zwV;ySdMKywdNBg){VH|>k%T+WemL^OM#mQGiFu7?J3qgj&zaf~d#3Oralhw9IZsA>1t)Llt7y^+u4(r4Z#hIH)0+QE+g%iU!5f zgmEfPxtc{1!L&Ft?>{LPf((gaWf0D`kjz1FswXMC5DSE%lLXf$I=TAiZG8SVA^JQ| zcwW{RYYU2lJ8zGIsmy-PgBj#0ElvP#_ct1ww&PAQT7%LV-{q6bJ=Eflwe6 zc#Q%=Q0JyZ7k?*L|2|k{XSu5&cgN?ntz_W>g>cf44pNE8Z$0--=Ca3cx`b#`t_bhXsU z75leUH3h3HWznEmdZ0M@yG?@0O{-W4G9-q1zfd{IY#g*8IMkDrU5Ew3&`E-86P;W! zZ>vfQR#(cRL9z5eamq7okwh>p&a9@VIV8MR2H|WE1gCnEvJ0_+P#_ct1ww&PAQT7% zstPDpf3>q(!c>Vc{?N}Q_#0M9gtJeUKkp9D&wL8PwTfTOTK*7$8I^FqeJnNnVx=b> znk_tYsgYun`j_aMhhH#tw4nT9z*51-njCWw8J=98XKbzfjo#)Y3Xk9AV+P?zxr{~V zV?<@tAG^6O^zX9YOWjY`Sm?%WztZMp`t!5OOCsUqUxg{W{NuMT8!uJ2U@a3qu{?ZN zo121XnVs2SNDL!-Q-~K+ZuOZeJir50@_iyd+e1IM;d^_0w8w$3u;7b5vI{5cu=q|c z(t%Zybsg(7bI>D2ZT#k03kxm_%)KoPA8VA^_ESlxHP>A5DP1NgJvp}ODr;P#%;(jv z_oA8wS4ECF$e1eAB7`$+)GAbx@V4} zZ}N`pt(klyhw06FBeoaQ=!+xv5D*jZbQMk)ufC?pF3rn!G>=X$naKQg60gd@ zXa16in}c{>1#gSrnup@Gs;p>8xHs`tb*Z;#VJ=vkq&V2>=H$34IAJyThOXOyt!}b> zy5d@&$yZ@+ftX>v%}FYCSo*F;sWT7?>_vgJmqMbBP#_ct1>S@LLYL*`%3>kaB}gaN zwDX{FE|RR*Q>!yMh~Os5>=r77yCq|2qP%oY#QLkXCaXCJPQ|)hgA&pM#mQGiFqPQ} zG9-o(y(z?gWpg?_fH2f6%`Uh$(a9C_wyLCHb)_sC6iW{jCx3PbCO55O+0neEoIofL z3WNfoKqzoH#Q%%D*ep0+EK?*31>T+l(>nX@H69(`t^$((eR#V)MCET!0h_mm(^%j6 z$S(Ld(a9D2U{xgrt1D&EpjdjKINka_n9zhPkYZW1$B8C7xnkZ{l@zS5ltqJL>4D<3 zxUl&*JA%ngt5~LWW#ak#ivZ;yvvJUZ;80Ieb|E$p3WNfoKqwFjgaV;JC=d$Vl>)4- zcaQje*~Ko=@nV_INR-ZqjnGiwx(W#CJ2xeom9n4G$#t21FtLL29?hyUGveTvjG+oO z>zL2qTA@PfRl%eKu0cz!s|)OF2n+1=p@0lim%Pu z$#t1|``!xjuh6ta68dpV#!$rvvksZJ$?6eO7wd8jN=Os+KZPU2m)XysxAoqX^yTX{ zzijaegaV;JC=d$VpaRpI|9&c$fu-m$Zr-RzVS1|{Mc?Ed*;_MtID`WCq(IznH@TW4 z?@4!Yzumft-TfY80@s zvx_+>Uc7vSC$k}OFvnKdaBHH>ZlOZBpB76CL-xT)Dh$QCT!RwQ1I5W#MKG1w2{I&x z`SK_SnT>-M1c!Q(vdbjRq3of?{;44Hbm0XB8K1(LyLMyWm0tw}H&JG{P$Aq+i=l}- zo3}@yV^8Qo^VaGSQWxuT4N6E8riS9= zt0I`n>;xGS0|Ktw$5Mrn3l8-pWfx*M2cH|?72Xf5=EErN#5ElS``q|7F|@a9HWs-< zflwe62n9M6nEtWV;dvn!8JI_hagSUlOiR>ZwYP{z_SVWB_E6xi6o~unCYN*MJ?UQV zw}R3L#hgp_C~RgQAu5r7`s$a<>ODswbe)5OqyBgo}ah-w|2w5%8?IJjIPpdei{PQ|HKvq>UAn=9)qC`=;%qmlXR3H^d1yX@jAQeaj zQh`(;6?o?g2;&=UN(@Oc$aR_iEfv=u&8{*71&KnWQ!#mQGi zfMWKg|5Wh0S|98ww@^d7(&~b1*9N(A-8PjJP*=(jP>>N*obGqs7Rpac1yX@jAQeaj zQh`+9jupu7fx6h_9WItB6Qu&FKq`<5+=&80oo>TLK{o9YE_7{>EBjzgB?Z)#G6WQ4 z#1yB^#4;I$tHQxet01@1zqL|?dfJs%7hJnG$d&81sic6qQigznjF{qd*Xwq9{frDY zeNutjP(bQ^|2FE$DpG+F1!Tl2eNutjR6r@q-|g%^I?)}$Z{u9DknNHjfoEI04-YS| zeECqv!V&-Y+o1TvF9s^H{_sIs_Lr4jaOe)Jr{GG9_NfaJ`lusFiA8{F*(5HyTQGXxiy3p@s|3;%<=2#ewJN(8l7wErl z8ZU{0lRpbfc>Lp^?>aA4w_uG4pCOOGwAQBJ8MCt*%nFAYV^fGXORjc0)^vDak5tL` ziTr5~{nie@+T*i59{36izSyI>aItL`KgdNoY?owT$NtJ1^hD7*{`g`D8!ij1W7`0q zTa*?1BhqQlwHAC#R|%pghhAN=#t~(`t~TGBYBpRAIo2R!YAlnQCwg*dj%`iSs3Rgi z>H2aPJ&EJ2!E#o%Etzgl&v?eYWR@-W%vtnZ-kD?TCO?w{H~%Y|UNQIEQ|H1vYImHx z_MW1;bj3cH#~_z1Wb4L7Irn1)h}Y?}-HsghmHU1Gc*f_NEl9_GqvpB{pqr1FPYwf;^@I_oYC&uX3~6R3H^d z1@2q{q5gQgve`%t4Rw&~Lj7ASc{7A(JLKbNgri&X5$+x0t#cvN->vmX!G%LumkTH% zBc?d{st8cbPLNsQFk@^Av0vGm4i8K?v@5MHxOQ!j>*RGi`~@4a4mK8HJZ{MVUCVX* zpomm8?kZ*uf|IMcf>bWo1&_2;AQeajQh`+9ivs!oBEM)c`PpGIf6}J{sX!`_3fz$b za!0jhsj0xKfE=G3k8a07!8c6_E_7{>>*PKdl?~Zu2-&}Vcu-{XRS}@}44Om1Yi+11 zCzXwpo(T@^B(V#zm~a>*!L@6HT)A$WN(!heWe6z9h$&8WrEQW3(B{f+d74APYX=Bd zM@(>PCy8B%O-lt*fm9$BNCi@XR3H^d1yX@aE0F(Qb}_{d7t3@)qH;oPhNc47RX|8T z*p%p2N^@(F>oR>Xv4Z@L4{aPX;oz1G&@?r4&gU4d9wBvDmkTH%T{ATlCtno-irEP= zD;(a~2QzXZyqzR=Ar=!3gCw{%?FT~#xh}JAKUzWl44uAiKN+tZ5Kxe= zwf_{35Z|6bb0~PdZQZuVrVz*Th1zMUKq`<5qyl%W!1CsQJ0p;ZrRX$n-l%8UvPGRm z-{qY-wr=utNCn=L0(rkZ%WTfPC*}RtnWE*FbO*W8rI{}znKh;|ix}RSuZe-W%YaYE zMUMFK$Y7Y_VvnG~F+AQuu4P3j89SYixH5vf7PDKZ5bl-?(6uRjFtQ4Vur3!+LPkt+ z@>LO_n0>if7rfrq2P0BAw3EaxOZclu$k(-36l7cqpReI6%!gdJh_uSV^H^iho+%El z<_7_a*>7UqQsSA7zX5zx#-E*@WuIpeKeBLU8Gjl1iZ*p@Zyp!-gqyNuH!`IrnZjzM zWfn2KGhY(}b(aC3bV&tLfm9$BNCi@XRN!_L$o=g(=AxW~B;t54`&(gv?aoJa!L@6H zT&MJJKT*Welj+vucYKa*C5si}-I4*imi^lYMWotQ>ndgrf|IMcf>bWo1rJO(xE>0! zA>l&PSd4>Qr>tA02rBEEn8#)aq%HP1!@5;tnX_(9LNRh*$`DYHu9+H&ldp;ZwP(;A z3SPXo?uQT3wC329P`|P@U6cH+AfFq*Df>9FnoqO13kzqK4gb08IR#7|+nkbpZD+aliC9W3H>wLtO5!^IFU78c>qP7=Eio0bZs0;xbMkP4&%sX!`_3Zw$5 zKq`<5qynkHTNDt22AdK?{DWNMeXvGnd8i<_<8$oqShzspmJHCfDg9fr3Wu;R7f?b* zOmXs65ulj;hWcOwl~xx9)wTAhh4|IQo+{_QhP7cCxA6(?TFh>tLik!w;iRVJq-VKq zU(8@nFmU1EYOWyhe4%z)Dv%1K0;xbMkP4&%_pd;H57fox@NltAnJ5)V1yX@j;7$|} z>KtrJ3=L_JEBm)iH3ig_G6WQ4#1tpL+ay44S_L7Pi^`3Nm7fQ(bABBm%U#vRj_!Q1IFT!qpKIoZ3lZ7h>1f&+&O4 zQh|F>V7c$#OSxHRDv%1K0(Y%|QoX<1*==FE1O08BOBS+Sk|XeJ`}5)9<&`g+tA!)} z@wY+ohX@Q*V*TNRwCpb{z2MLt!V8xcDVVgsMAtn0f~lit`m=dN1s_^+tU+XWHa#!c zdigtJTazd}{wSX^2tVR7Hla@ujidfP%ypsP%l?faItL`KgdNoY?owT$NtJ1^hD7*{`g`D8!ij1W7`0qTa*?1BhqQl zwHAC#R|%pghhAN=#t~(`t~TGBYBpRAIo2R!YAlnQCwg*dj%`iSs3Rgi>H2aPJ&EJ2 z!E#o%Etzgl&v?eYWR@-W%vtnZ-kD?TCO?zI@@9P|wpY{Wt0Qh9AQ#?o7LJqG-cwYU z?zA1uV~|T0GJl`MtFrEGj;_zH{D0kCEgbO=-WGo{SaI1^76JwLu6^I!>OCv?#cr@m zY`Yu9X;*OJs+kWA-3DxTQ{~eg*ZxYKg>|BcnKrgHNuv((r=lybdYQ5JSd!-B>Vl;?n(_JxN9-Hg$m(r$pBr8x6XxFf4A0UH3z{d ztjh(IkP%ayd{qP}W+%w3aF{VRh1jobO@{|29NLvu7hJnG$d&81sic6qQigznjF{r& zuMPon(<;afty{`TO9fJaR3H^d1s)#q|3zMG6&x;>DHEjvsX!`_3f#N`a`P2tfvLb= z0Xbee9^0Ld>T(R|9R|6w57rd2YQAe;6BcXSBwaw|=e_sAgh9kQY=(zx*9N(A-8PjJ zP*=(jP>>N*oHi3%UmODDrd5#a-=acVDv%1K0;xbMkP4&%sX!|56)KSbUUsobKU^$R zCQ1dqN(F>bw>uxz1=pt0PZ{L8Odm|FAiv|o=Q+)UgIh8{)6~#8pTD(wgw$bOE}(>T z&D2nwd{qP}W+%w3a9BSOtDICePMT)qf_RLi90o~nZQ2ip4su;)-F~!!s;0Zj z3>16#B?C0=lc7`AZLvay)VqR7hq-_rq28hWr*MSunEmv1Ta0`lN$f&_X{kUekP4&% zcdEeh=6^fY%fwQ28aHp$vut^*pGDv0ojJB{@^nZA-jf1(zdg%r&b%k({nnYHrMcuF zSGqLwg(S1aRAv#wJM%R$P=88PhQ~X|wX7&5W8A4aHh$vD2<}?U zZlOZBTQWe`ru4zcDjdSPTtEpKF~!MOMSx=V8vBsc1QykoNdk~pg`Um$8^AYZ{MqSQ_IVcZBMWDi@t2XW zXj8}b=5giz_RZ2g3oOr`pZ)2~*Tg`vQ^z)tKV4FRR3H^d1yX@jAQgC>0=d6^onF3o z@h_j}>U-JW3UahNAJqldt_^aH_rV&S9FnoqO1 z3kzqK+2_WuX;a5`&4Fd^R3H^d1yX?l1(sj720Sn1A`|oIH13)E1a^xQwGK{NRtyvzT&@sMkggf0;#8~IBoUy^m9_t*AOx8e4m&`&+OuK~f>S$5 z>_RLi90o~n?b;yM_`1!{-xfq)*9p&KjX`^+IJoQfAV4wu>FXAL;m}SJyDZ^Qq@@CP zq=4K}tyyX+kP4&%sX!`_3Zw$5Kq`<5qyq0(0byu^O^G2D2D!%jV2#f5P(g0T=h#-V zaDl=t8K7%Z`nO~i4q;s`poEN=;^eC$Kr#DG^uZ{xC6EGdhR21jwMQ+)uP*jfIo~AN z{VPMR+k!}!=-)mFnbzqkoUCb;lbcw#g-X&=fm9$BNCi@XR3H_&V+Hbipe{Cfhl^#( zM5#b3kP4&%ccOq$XS?%JU2yH%AXoNpn@S3(D`f~M$cQOUe(zC$+_VaE6MZlWd3X>G z?MkZ)u3a1C%5~dRQb1iPLqI`BOmVvRbvrW9^hpJ7M*+E=QnHd%U|0be_DsiA;N}!i zD)M(b-oH(^>;G+>OBSYGk|XeJYxm*d<&`i0YQAv9KmIl-{_u-|N~}M8ke2;rr57B! zLwMoRA_bH7m*|>@Uods_On)|ysNh3Ojx~r3&!*=ETQ7fSY-0tOm2fVaC`L;?0uVf659Mvq!4r`$Yb?h8$~i=M=B)?hg++m=kXr)NClUNXy;d*&?q zF7M2-b(5dTVR^GY6Wgn4^wkl!5ReP+I19(gYwszlOLy81<}t`63z@%9;#FDqHb>XZ zl{IMLh=1_5_>;kk%dWBzD7bg+`{q{9Em*sxIN0vy=(sDmfSUQh&~3nWH^JVNg4G@k zcBI6njcuJ+C5t~w1BM0)Ls}|uD+=hX6q0qM0;xbM@Kq=vj56M?Y_=Fff(&w9sDEoE zZ-!9!%*z%@7?WEvK-c1}b0OB>t#w(=L2wG|asee|#1toA6#rlkU@Kq`<5qynixDv%1K0;#~I707=tyO`pKi)A_? zQ8^(tLsNn4Dj=jEY)W)1rJpj$b(ubxSV6T%v#ZQN9Q=|2nx=-%`TVUFDx}^Oe1`wt zWojtgzA6Ibrd1Gv%nFA$_Q9HCQ;7Y_)^vDa!l7Mhb-}f1KNvd5b(wYh(F%%nFi`B_ zmkiLfPlirex5XqBQoB-yfP!?b{ikq*csH$roW5?`W2;GoY;GL@X{kUekP4&%cdEeh z=6^fY%fwQ28aHo{vut^*pGDv0ojJB{@^nZA-jf1(zdg%r&b%k({nnWxniV%?GhawD zYouisF}yQh69aXZ0iTYG9P#6k!7#;#z=4r@KiNoC`tXM#gJN$f)G z*^R#ed{f4sot|Z%XAwU#r?ZT|jC@6#I<_~Di`U>y*|Hm%Qj<(!HPSMR7~Yw$iGjMy zfKR%l0;xbMkP4&%sX!`lI|}6f_8fCj&Os7!yo>#qrn>@f9Zc?nwOk=+d$n2e~e@Zp8|! zn(ity5C^|xfTpRTzD}K6K$8{F3YF#O{zV%C3eq)GLviv|5ulw;n^BrW!Hf6S4Io_Y zS~#|DRGexxnx6q!t+>T(4Hv{ z?z%k)P|SY%x`kgj?2>SC)RsC%-P11>NCi@XR3H^d1yX@jAQeajQh`(;6-WhA0Td7p zyQah~^}$Z*-!eG2<8y2)87O$T!w2Y^uT!TL-eolh!6~fE1(c8xQ=EKN1Sn>|l|GpD z1P3auE)1$`?NJNytBXBV&V3DQ!!lk51a~cFw@@K`t*3BO({j?YT(>V~uqPO}aM)?i z#k5o)6-WhAfm9$BNCmzM1@e2KE;h}Fi)G40sX!`_3Zw#eqJU86t|{U7cZP;E$Tj{s z#_Zn~gn!U3o zxZx}T&+hWk(VZ4tT|hxbOmV6!ZNnu%n=8BJX$}Ri9UxpCF~MnRB{>4mwm%;pUS9dKxmq~lAAcJZ zZ$b@JV*TNRwCpb{z2MLt!V8xcDVVgsMAtn0f~lit`m=dN1s_^+tU+XWHa#!cdimqA z^&+>pa2l7f5ojCfoayhwTo>vm{M(shVHEE0o#DN>^xrp)mqfvNooeNzO36vn{`s!+ zQgsW~Cg%!1{?b~Tf?Lea5X=gPbB_(qSJ)#}@_izI+C#s!!>{)EY>x-N!h$dMs4iS= zo5c@ukq+A>+1IhZvIad-w2nW%7{Z3j0_)f|z~>fa#r}wN+HvYbc2fdA-d^-xf9y#l2*fE%(e> z^j+SWW9ueAlLPNHS2Vq1?zgATg?H5MIC*Fxobqaj+va#Q?O^C2mn=m7K50|O(RFiW z4O%$jAG|I8WU%70t1JWx?p^!7xz%$E)-EXyw!1kx?g}oTWo#0DsdaGDGr=LO%LSB>5mTIMH5)Dg+FaQU!5j)+J3zQPVuI5y$w=fgAL03q z#Gj96Ve!*Ju3WdVgoX&HD`f~M$cQOU_r7jN2AV#pKq`<5qyk@q0{Q{&k*cp!oi&=fUfy{?z#-tAt*$(jxMV?2u@*LE}(>rnBr8c z*>DNa=E`me=1}n30m9W06P$KQMk3QE6-WhAfm9$BNCi@XR3H^d1+Jt({(ITQgg;y? zQzl9UzDfmzQSX`(et)Mc5DlO~uFLdq#R~E}KD2Sngo9f$K-1JvU#C<1U{;TiI;_hD zl#s5O8j6#ziU7sz1ep~MZ|s8^xe&ff!o^pZ;JYL7=c7ye!#LMv*6l|t$e*Fp*X<|c z_H{aO-Ij1}Nr%Pr^@KN$?LUPhM8@o=uiJQRjhYim1yX@jAQgBg3M_B_w=)`L z=8bxmEpPR+=)1f#$JR}r4ynL*auK{3Os9D>Jl;XBWko6J<4)DF@e@}@aMxmX3l+lMk^#Clr4L3{;Skp4 z0!qk;DNepB0u-|^H|v7e8~e8wDTMEmaPbu;`0hyj`52-d7mwQs~)?zX5zx#-E*@WuIpeKeBLU8GnBHiZ*p@Zys0fZ{IB4v%vD~ z`PrY&d`%1#J9TUW`O_s8NCi@XR3H^d1yX_6DUkcy*XiYZ7yt5kuD*-?t*tXb4h9o< zo2m=0T^r;Y?}Ife>lTB9+wnQJl?)U-+>!yh=JyLbQg&I*L2wG|asee|#1toA6#SG8P-%5xP)%dP+M^cYR~LJ#ockKqhGo1A2yU7f8nYXSL#?N9QqywMGBt3{x;1@- z%&Sg?ljn80fa29PQ$um`RS}?=oglNqVXa3wscf7y&Bz6ZU6N+hWRTB|-;{lvSk0$d z+=V%vW%jx8YuePYU2|ZWI~7O;Qh`)pKmm55H)S%h8lA>HbDywmQK!}3Bc3_7Uhc$G zfp?`q-fzz`oip!A@8y0gD2-5Yx2d||+BAA2gIvoJSNLid#f@!LMsU-}60;j9g!^eh zS|$cg>4Oy`hCHszEm#TZnuRJ(zA6F~vlC=iIJ~V7MyS|hpM;B}W_1X@?MkZ)u3a1C z8eg~h`P+i%>pJ0itTAZM6bE|vhB|w`iyCIlE!D|NyS4T{6+9er@TzxFJ@O($& z&&L|p{5i;#>$a()fVxtKfP##e;&j*Rc4U<4lM18)sX!`_3Zw$5z|AU<-vf2AsX1IM zQzl9UQh`(;6}S@xggV`Zi-K(0C0yv*AXoOmno0_&D`f~M$cQOUn~ALrX9054DhNSl zg~K)bx0YV0p6G+&&XiYCl$CE1#;cq%v59{ zsX!|56)2#L(ckUtw%%PiejDeKg>0AP2t3=`eRz0z<;yPx3rGCpZ-e{~Tl6>BG3yiZ zmz7?4+8x3Rm#6UfDD5xNwZM43V%8@_f3}}d!G{OMD`rPZ?Ai3ZV0*&jV?4Gv#zTaQ zaT%K-c=4NS`ui|ff7z_!p7eRJe_OL)wF~vT!v|>Ff8R7-5{1}x2+B#7l9Q(W^IfMd zw_t5@uHfS@t+gq*#q12htZ+E@*x-DHJyIp#C-SE~^jkapYLCzMc;G86_+pRh!o{{( z{2&+Uuw9aU9s4V5&=W=L_~VNqY`842j%@>cZc$e3k4UFI*IMu~T_uQ~9C~%d8b_4% zy4rkis@ZTg<}&zwcy z<()aUZt^oZ@LqF8(<|nFd+JTYrFm#Yh79xM2w5j9h zy1B9jEgbO=-WGo{SaI1^76JwLu6-ZrB!s#JD@fODccVD%3NBnV^MRq;fbDMf6DyEX zu<|5kM@nqQ*q)g4m#arh>?79tCPHG*T^h|IF>v91lWW*GwTFr(_fHqflLokPe*A5V_j+o%IOEMDq z%tv^>Bk||sSy=pZkSo`1ETJI+>Pi^`3Nm7f)4i|Tk%6X9Dv%1K0;#~)pg{h=$cxSL z;bNIGQ7VuMqynixDv%1K0@D-_nB9hpf^6C)T$SN6f0N(!heWe6z9h$&8+iLG62 z0dmtS2tj6rL-ub24Od}K`J4{|`!fW)nQ(9?3ZQF#pSv!DbqESkt)t6o4uVrymkTH% zBc?djYBpQ~w7Ieyf;kktc7Sko!~~~Zl99;tNd;1YR3H^d1yX@jAQeajQh_TekpEtG zG2ssv%an;yfv-{lVbr^(gx}xk3Pb~Fkn1x2Td{)tjt^}dGvVNt4A3++)Ys|MKA6=b zqz>zH0VSksriS9=t0F)#J3(fJ!yEfxMlOW!l5p`ACiw11{Q2n8{xHsUnRWZo3i4;@ z^mY5mxP6^YT(>2hThd|id_CcfWBX6x2$3=S>FYKgTchTLQh`(;6-Wi%i2}=;|Lu%M zCYGYpxOtP3eP? zRXBuoxquQfVv3WmiU7sz%gwsr^~V0KMGE1&BwT!j3BEfLe?Eq22f4=A?T1{q2*0iq zp2r%4_Dpeb*X==oV)h$aw-kCd<8J`pl<{Y$XW8dj#E&eTS;n7VzM@SX+ndLg``b55 z_bjkHdw%w(GhY(}#ZDdDK>l<|1yX@jAQeajQh`+9bqeJE_H}ys-o?Lso~!R=e=Eq* z?tD}iT)Q^NHQonnbe6lt;NW(Aj%_6a1rN7mfUf!d!nkoKUB<=X=or@J0y@flbo5n; z)7Bu%QtVOta>_|%jzA8d^%ubM5;lO+Ae)u3wGjhRUm!ugr8RT>0 zH)S6uR`Y2VcVSLvnSE~jnl^Q8*Bn^pP6bkdR3H@?P+-}#4tQS3MJDFaY1}jS3Ck9B zTJ1gJnPcnaPCONOR|@3)_AJvm^Pcox?ze){2-Ruc3~5PkWRPoF;%X6%PSvsT6IVuX z(+KrDK9p)E9NdxtnkI(s8$m9YLR9PEq-DiG!NKJU0R`!raVk!=noSY`+FaSSyUd~B zwF88!JuBuQIPH>f@f9Zc?nwOk7@{5I8eg~h`P+i<>pJ0itTAZM6bENK{6g%M9HU{aLuT$J`mLB1XA05NGTtL^@-p`|>uZj>Gv)@o3Y@pKW z!l1g=9<>m^y4X|Y+}Chz5azlqh*agU=c|AlKn)l&#mm=RfMWI=TDKIMmI|Z-sX!`_ z3Zw$5z2iq(? z;cRfNZ33f{L9Sf4k$}hR!qJs71QcY%6sPRpj!2b0sX!`_3Zw$5Kq_#T3Mf_kyPfq{ zf$D;5(|$8_kV_V#U6Ld4Y-{)7;pLU>FDVO;|Ko4F9Dn%5KqbyE8Kh-@S?L9b?hszM zv`E3E{Uy5Q;TKFDJ=34fBP#gNl4A`b!?Wpm!Pd(kkF6KE#f8(jjEz9sNasv{ALhEy z?`8jX=2#eoJA7w&FE0J}P2(j|a9*ccIjK@|(zJiR>%3Img0;!Hf{(wn)~4VVvoi#< z!r|OwgYy;kNR@n_$e;GmZ|(4_JwDsxfv>ROi#@6f7u#m>gIuJ;c1iYi?60grPZX`= zk1vL>;j+Lwwhi#PMOm>wBAxbJYr)5Kl^}X@=+zZ#98uQmYV*CRX2aExV+}H<#xkjS zq9=!FY*D~Pt}l1di{u(gB12wpbJDkk4McG-nPtm8a~6Go#0DsdaGD zGr=LO%LSB>5mTIMH5)Dg+FaQU!5j)+J3zQPVuI5y$w=fgAL03q#Gj96Ve!*Ju3WdV zgoX&HD`f~M$cQOU_r7jN2AV#pKq`<5qyk@q0{Q{&k*cp!oi&=fUfy{?z#-tAt*$(jxMV?2u@*LE}(>rnBr8c*>DNa=E`me=1}n3 z0m9W06P$KQMk3QE6-WhAfm9$BNCi@XR3H^d1+Jt({(ITQgg;y?Qzl9UzDfmzQSX`( zet)Mc5DlO~uFLdq#R~E}KD2Sngo9f$K-1JvU#C<1U{;TiI;_hDl#s5O8j6#ziU7sz z1ep~MZ|s8^xe&ff!o^pZ;JYL7=c7ye!#LMv*6l|t$e*Fp*X<|c_H{aO-Ij1}Nr%Pr z^@KN$?LUPhM8@o=uiJQRjhYim1yX@jAQgBg3M_B_w=)`L=8bxmEpPR+=)1f# z$JR}r4ynL*auK{3 zOs9D>Jl;XBWko6J<4)DF@e@}@aMxmX3l+lMk^#Clr4L3{;Skp40!qk;DNepB0u-|^ zH|v7e8~e8wDTMEmaPbu;`0hyj`52-d7mw zQs~)?zX5zx#-E*@WuIpeKeBLU8GnBHiZ*p@Zys0fZ{IB4v%vD~`PrY&d`%1#J9TUW z`O_s8NCi@XR3H^d1yX_6DUkcy*XiYZ7yt5kuD+N3tsqCc^HE)J?b;yMcpt3MS?(5t zgWK^rwv`MNJlv82y5{!_Mmj7oq!8CTx)FGV4~XpsMMvG6Qk&O9p6~8tUtG>bkW;h19!(Nr$HsP^y`5a7zYgni#ro z1i4%aQLTfMmK6g92bU`Z6r^j$sW{bYHc13%b7j}=GKYfK4iK*PteAt~v`fOpSD4_t zBk|{Bh<1=`eBI{fZwtb&>xAdA#-Ke@9Ncw#5TKZyAhW_@tw%YjY@GB=aM&g3MJ1jJ zqynixDv%1K0;xbMkP4&%sX!`_3Zw$5z=;Y7@w=wPE%m_~o#mmi*B;Golol>f?0{q2 z7^G*uPI1FodW0)}bPVfq0bOHzKaY;SDne|`enWk*fl8|jgX&s))I$8~Vo#NGU&FOQ znCrG6QkBD=uL5oWHDJgTFJE&3irH^y-BM^;Dv%1K0;xbMkP4&%_o+aB57fox?QpS7 znJ5)V1yX@j;7$|}>TGvDstc}N8{``Q9Aoyu3ZiEpY_s%)v%$5t35-q#xpLh`0v@jm zM_0-aP>>N*oU(sAB31gN0;xbMkP4&%slZ(-pj7SecGh17stc}7`_0fnE?J0nNshp? zt=)%*mshsGq%1uCkH775{NWb^l{mj-ke2;rr57B!LwMoRA_bH7m*|>@Uods_On)|y zsNh3Ojx~r3&!*=ETQ7e+wqE2G7f$0cHUe!UoiqJ?nCn8nm;KwBV__8T@SWkkxb)vQ zjh95hd7WzIq)N$2)BgFc^HOyS)+Xl)KK{~Ln}S=+&JfHBhjWh&&R5tYRq}lzf7(O8 zwZpIW_-u~{zQTep_NXpgY@5Xoa*+<(CE3@pzp@5BQM8Ufz8J!W%L41zHo)f=WySu8 zblP*R1s~H@g6PSiS68fYL|Lz^&G)974Oc^sHOQD6%cSOso*bgFMFAJNzT8DGl4~f5 z40*lHN#7PW5XHS@mM!?l^g9A)NAR;HFvZ zvERaQv1tcG2f1V+^7l!bI*zWJD{Iig5&z(A@h5{7mtAEcP;l?s_mNIQs9Ugtbj@}* ziqo#(!c{XL7`hGE?q)x+0x1P6PhxhY#Ab}`i8+s{Kq`<5qynixDv%1Cs(?TcZ&x;3 zouOQVTo>x!TFILsZ1(O33S)9h2IyM6buPrN+i>Ni*1<{71c$IL7f?b*OmV8!Y`6qy zb7eOKb0~Q20O9J02~N8tBazR1gy%aFe?Fdt#ZL#ha^1!f8X};slp&xXBc?dr`??(& zX!@iAsX!`_3VaO;@AL$I3(2X~?Xy5{$} z>oQn}pb*tMx~%3PIE8h&fD$rdic_s-!zDnQE4v|>L&0kY2vNCi@XRN$Q`u)O)-&S+#}DLRdtH|kloyw%U5@AA$ZTQ_++qyq0rfxO?IWj1Ht zlk$G+Owsa7x`SNl(##i<%opJ0itTAZM6bE(&YtQtt{T9p(ahgn9>4sC-2 zp*qc*AuV|w400_?TrHx}sX8`(;>rka8lis2XL-pA;cm$QO%p@+jUbmxA*yw7(z0Tp z;NWtFfP!?*I2ET_%_fNeZLXlxW|Veb?D57v7@=a1eG)E?niVhjwkxeJxOQ!jYkb}2 z=Wh$5uM3FhvBsc1QykoNdk~>9 zYL8}DnSp}G4mh@rL3-xv6gQluN4Vlg$FMFJ&^5OA^XTZSBE-h*%f7PUbw zS6W?g?b;w$uG^-P0_sW`0tzx>iqpNX+mV5$PbzRz3S=Mbrluo{Nd;1YRN%S_?i3bJXJaG`61T-gU}Dk-3@lp&xXBc?cQ zCbl-51;|aSAj|u6;d%CN0}WSU^4}dc>x4BEuPo4>DGv9(ZhM1FJQYX{=nmn9ON$gt+Fzn;9)7{p(KG$oJfeaREjiX8GCZ4}7i_)!@z{Ei&u}Y6IE~BL z2=pw{f2zL^b6u#P@NZ|1g@L%k_ec2r>VMxfUJ?b*b*hzloTFyBAE}b>6Zz8~`mG&)wZ~_BJn$73e6dG$;bPk?evpfF z*e=Pwj{TK2=!v3r{PD#QHe421$F>1JwU2VQM z)oi#La;!nd)L14pPxRyvjV%he$o1tedXZd1No2_DZBF{Ouz@J zb)^ge1sO5LX*034t1UooS_N6|bA{*GzYR28g*oMOJ_zj3(6Y4`Jlu%_=$hZ>uFGH@ zfr=pb8N#S{O$ooh(-nvY&>+`k`nO^Q`5hnH zIA+4ZEg7I`YN)T%seLf3M@SvkL>;bnYpC?SN;F?X|U3e|~?yDVzC1l361y zvxwon^13WMb#c_aZSy5`4!b11s28|!z9aGH<3*@{I>7Y z0>6S6SN$1U-WmjFx8xTr{;981T(I~w`>RLCur3$SJ&S+k=;*3)5F%ps8(Oy%dN$*4 z0N<4HXQyY`=UK#$ESy=!pI^SBO&!~t$FbiIfUdo>K3GEzMT?nzut6!;DG{Ey6Q>}XN#{b-Sd4>Qmsz)B z1#O0~d373ygI_X0)6~#Gv2p!U2PaK42?YmN$`DYHu9+H&ldp;ZxoH)IAhW`O_tyRJ zL7LVan-c0*wx(;6zZK+j<2Pj=Csy-m7I$Ic%rg7j_%&_n*seLS%$*9P0;xbMFrdJ) zX&vyqkc&*rqtm!&?h}?R>a^N>#52d%%bj>C@U9fd`|Vk#bLKti{_nTtsIhQFs7;4x z-{2V1l4ivq*RsUbB6^*VxH5v9MrhnuF;ED1O9p6~80za37fejoig^fLVO=hugmle9 z6(?U60gBm|MPKk*8&k?jW#gn}#X!NKog{WScHt~t?4ic~r6BSQ*((Y%K83T6+KtW6 z-xfq#*9p&EofKrm6em~ng8;?s1ep~MYdy+IW#go0f~dVOOC9i}r2_Y%fZRu! zS!F7a3Zw#euRyu`5##{AMg`<+9CVI36-WhAfvYJXWFBlv3>7)Zb(#Jx71tikt}+7! zj~zf;cF3mmZ^Vj+62Dx(GHkA}m zSIQ7jkP%ay?t0yhj52*vfm9$BNCi$*pqv<=;i*6>5G#=10~Kp?X5?^YzNAY9Qh`MQ zNhMN&yH()0dfkSLGNw(tgbQ68vg-lentkHKB>UXD3I&+W~L$wNd;1YuRsB1 zjQ(zC_tA;29KVfo$wIbEas-}j?LIubyz=Ek9ScYN<8OoF55E|w#QMVrY1v;^dcmPP zgcmL?QZQ+MiLQD01ye`Q^k?&k3O=;tScAy$Y_40SdwkA<{{82t-5PrmEY(k$R z8b|$onCn8nm;D=!ewkxoH16;l!(5>MzG=K93QqnkEaCBwf4=LyRNaC#CVYlG{?b~T zf@jRmYA`DtW{gcC-YmJ==~&Y(*&iOMlJ67w(;oV*9e%aPXL~&G6&8H4M|I(1+bn*N zi*(p7$-a*Ll{M&zqILZ7#Sk`J7Ffr&0Y0}VEA~gE)1GTB_?WH|L{ARAx?+tZ%6eUG zzBkouxEgY-LB`ZrCN)p=Up@BYCn#WWi6-WhAfm9$B`1%wOh8%BKHXErSbq2XE)W5ZoH$&L$kPQ^Z z1toWiPYYqO#!b=%RDRy?x?M(*KT?5I zAQeajQh`(;6-WhAfmGmv3go|+T}l7C(UB<=X=or@J0y@fl zbo4ck)7Bu%Qta`@J{Xb0As&KX+1hp^784GGB)E2MkZXM1eu$Srw-_8^9Sjsa+>!yh z_RiMrK^UdoQB;}-P%t_A#hjxH(8Gjl1 ziZ*p@Zypz~!JD$>u0EwEnZjzMWfn2KGhY(}b(aC3bV&tLfm9$BNCi@XRN!_L$o=g( z=AxW~B;t54`&(gvgH4G@i_P^xuJJxtqqDq89G%o2&8{+w5TbU#(+B2&I`+=`U=2AG zC2pb*R;Xm8Qo)b8?HY^GT?9(#ZUNafA1H>@2H`2|R*Ywtw%ElZyZsQJyCnlOO%0v1 zZmk|6by$}RC?Q=lH54ab6#PuF0#LLwkvuzrk6xB_X*1u^<~P7 zozEQG#RidyQh{4gAn&(lnT476r1x^a6_iFO)?Bei(BK%-lHSN5*RsTwjDAmMZL~Bh zBe-c~iP;Sl!rhVqnkI(s8$m9YLR9PEq-DiG!NKJU0R`!raVk!=noSY`+FV&@K|u&I zD;#!!aJ6T}90aF!lGueAmCX*uaxuG<$g*b@v~IJlZC zNIYMty)2I7vpl2%sX!`_3Zw$5Kq_#b3gq`dU2NVC7t551Qh`(;6-Wi{L;<1B!KTE} zkOsN3f7?`3KwT+AKtV=Kaq_!O0_3Ju5Q5AKhqYg*oK!YWdL}rulf*8>V!~mN1lO(& za^<>hDk-3@lp&xXBc?djm9|MDK$|PO@O?5;Lshy3zrrtn6$q{*F5}!siSB5vw1`XA6jy(L1b_zLqU4h8QYpf;qgcL zltK6rm$33}M4%fpu&f;B$+zVt+(B?YY*1kLfBw^yJX1 zE7mxotk>1%dsEGZt0Bi4WK4}^Qu9Pl4$ZNxNg8!T#3x-}?xH7goHba^%C;rb?dciM zxR=bb<(@f8hv%dEd=DkJI=y!^4fcf>e8LIgLw>c$wKDu zlXz9uz0J{eb7c)$IN~3?E&gP%;ZcX=7U_R>|Uz(tx3X!jP5<+=>F_Rtm{FQh`(;75FL?5JnkqS2kOW zAwdSYF4VuZk~c%Bd*)?}B#g-|8K7(N*0~Vt@7B7k<{&tQb-92NGGdC8uZjT0>;#z= z4l~B45c`#_>F~gWL%Y)If@{|XxpLh$l@w4{$`DYH5mTJ})geG`S_QeGbxS#EsX!`_ z3Zw$5z{5lSzsQTNg2Tl!WujEz>r-IaXMcSI&k^6R0#biJyx%con5Kxd2Q=B#v zTmLmifZVhSvg|7h&)0tlP);fvCp{A!+DT#;V$)KAR3H^d1yX@jAQeajQh|4+0DJ43 zxBU0Ai%rqtVwo~gD)3b*AdGsjDbW>(e##)%W%^)Z1=SwSt}+90@Jj}0ni@Li^S4&0 zka}0}8UA~hsiAQDstAyqRzV0dD;(a~2WyT^A@(a<)8T;$hjyja1=ptiVCW#%W!CLS zD=5~%K(U8kGC^LzoMnwVB*nRQwo5Z#69Yw_XKc1^g=7qdDK7R18gs+r9pqY8l#-E`kMP8e zZB#}~*J5@H6~g_rAU&JX2P3I)27YsBSShR84o487O${fVIC5*tB=HZV$p}T{#rwhSn|RoXz+f zz&B<5+38vKc^2^_3ul(`myxe%Q^)q^apnH@&C)#!EYF^w{prlt#6YoA$2O2ZT~dKm zAQeajQh`(;6?mNjxxam#UcPtnFQ4b?d)eO#aVj+2Sd4>Qmsz)B1^F|yyfxt9mkiJ}HFQq@)+7{C zyHbXLf^^N)P@H^K1jtRRAOx8e4(sbkIjL-%G_5%{CA4z6bu`J}3i7$}o3f7+tNApG zyD(w1%sw}MO`AHlYYr@Prvj-!Dv$~cD6ni=2Rtw2A`|oIH13)Egk_65t@a-A%(3-y zC!PwtD+Tg?dzR^(c~5#T_gg_}gksGVdjt)RAuZ{R400_?T*=t!e8iOz+%!UCb_0cQ zw`72(iJ?>aV8w_bkLz*^RzkXFp^B5QiU7sz1ep~MZ|j2*D)#6kiCu`rghRX1>Vj+6 z2D!%9ZGQf?Ao{vacphsE+B3z$UAG4TirG(Jx9|&xc9Pg-35Oyr6}Te>v91lWW*FFUljq0*>9o`Mu{zf6nHZ{E_AIuY9W4gv8T%UCduw!8FJkgM7l)( z_Cd(BPEX-vO{<*T#JVk1l9mdj0;xbMkP4&%slXj8klzD!vB^7JEK?>*1yX@jAQiY1 z1%x`=osa5*Yu5(3vVYrDQb1iPLqI`BOmXsij{@YTRgjzLgHg!CgK%h9T3vAM+8|f1 z+oqBN>Pi^`3Nm7f)4i|Tk%6X9DsVdr$nBJpm81g03dpc$I;H|Qr+`wCzuWQtZMt3m zZ{u9DFzu2YfoEI04-YS|eEC=Ng(Lp)w?XlTUkp@Y{o#YO>@O?5;Lshy3zrrtn6$q{ z*F5}!siSB5vw1`XA6jy(L1cI~JuldL`8#7E-FSc8nI zu}o^7=*giuwlztkj)?fA>&spAB#yHN%URjBWV$^);~DpoS+?9WXVG_gXO69#{7eqZ zoAsI4UQMH~j<|(@TzJP>I8I)BPf=aE({?bAK`vRy{CyIy%DT5Xx^AwlK?_IxgSW+> z3|3rrm4!gTy=&h$w|Z{D+9k!ob~i`IUBLy^%m;>U1Gc*f_NEl9_GqvpB{pqr>%=Nq z{81V(G*B4QQh{4hpxjC!Sw||63Zw#Gg#yAT|X9 zxg`U1E#5j8V*TA(m(?5ur?4&;P(nsbaq?9WpqQN?v%+D<*c4*FvNatZm~d!UT3vAM z+8|f1+oqBN>Pi^`3Nm7flfOCy$W5ytH?(dkCoL671yX@jAQgCc$p064u~l%mSf)&r z3VeMEEc@)QZ{RuN`&B^d?}zt0hOGSSQ^3}(+40!!d{h_wyEe#`eXypI0_sW`0tzx> ziqpOK!GuA$0x8I5cwFe(AXl#2rji2cN*MwQGGdC;W@78V#t4v`Rza41W#Rey4*|+a zW#go0f_Ti>Dv%1K0;xbMkP4&%sX!|5t`uNzee;(8UUso5I$SK%35m)Hu^E~Q zTvq`h{a{m~TPgjNL9Wa6!NdxxJ(^u*2IAnC4A3++bk65*txzHLuHZBL_byXI;r3M# zAUCao5M)+3ys;0~9GgPySGK0Z0}~GIN~;U5P5Z&nL9WZJ+mBXItb>7K55Hu9rhPJW z%DOEkp^(~@G6WQ)YwbUUBgDID73B1F+a6m@B4l&x07y#(Qh`(;6}VFcmN);~sa__Q zqSLr}gPdi{Tm3BhF7M2-b(5z0R7!&pn8n`*)0)FC;n38g)pD zbM0)GX1*o{iaf*E5LUMEWO%$dvRsNif+pYUVh)PeCdn?g!A5Y`Vs;A^ z!q<8VCoda?TnlYVAB=3mA*{;7hJnG$hG{&r0~U^s^bSv zw~@4lHx00;xbMkO~YauxwffJTK%T6Z7aa?wR|9 zWs5qk_8#%fvGsB%o(jAx1@eA-mg$^%PkJx+TR~}r+H{Ea4UQo#X;ut!ElXT2qSyI| zDaq?9WpqPDG^aZcAF{PYT zHcnbr3=|yNNn#gbNf&$Q;_=0W3tf9fLB^%<)ls{#`T5&|Nb5S`xvP_cjF{r&YJL!) zn4KWA!eOmPIjL-%^h|JQCy8B%J*GQ8$wMlT3Zw$5Kq`<5qynixDv%1K0;xbMkP4&% z;|d6_gH4Gc)$zJ_ln(4?gTsX!`_3Zw$5Kq_#v3gq`dU2JL&7t551Qh`(;6-Wi{L;<1B!KTE} zkOsN3f7?`3KwT+AKtV=Kaq_!O0_3Ju5Q5AKhqYg*oK!YWdL}rulf*8>V!~mN1lO(& za^<>hDk-3@lp&xXBc?djm9|MDK$|POhHr`7y7;I-)Qv991Ejyhu;|H0{!<*<0VmW@@HWQkAM90 zUFW6h7OXMhGvx7?*4h+2V|G@9S>Z5aYzpya$+1idSJ!kr#~!JY?-Tjc9{Q~veznJE zdpz(J7JRWsb>U*$EPjxSbl5J*zK;EsHRy?=b^P(g5H?&ESjV;jKDQ_<_D7`Co@*`m zn645;PY%7hVvQrpdR=Y4H`Q#o8gi^b#?)9QHBa>9&>Y*Eq)|sieA4yhE_xEjS%c-Q zY+Ewjo}TfHd&w+Y?wPabySy{U)=hpU2X6jXG`(W(x2Mj9chv4UdF?$#b?J(IFpohl zS;*Fni*oMA3J|Z;aJPelYrHM~WQc<9*h8S;-nH*v?7ftTU6R7p%_zChG~3-M4n9{1 z4BZB7ceAZ#lSB;-(yl|m!<`HT=~{biYZ8TLQ(2w+ZiaM71#VD*a)UKxNvS|8kP5tC z1%#o++m+20V@QQTuBG#!aBh;+eayPm+3#v=5W!uG*)3EEcS{E7TD)~G#I8e7PHG*T z^h|IF>v91lWW*GwTFr(_fHqflLokPe*A5V_j+o%oP7=EiiwTE85?s4B$d&81sic6q zQigznjF{qd@9TDCpy`teqynixD)2QZkpD08VzYd>Sf)&r3Zw$5Kq_$a3dqe@m<6T+ zdj;fp>3DP-E(*SBmvEtLgIw7MYbq(Au9P95AS0$YZ6>z%%mv6zs~`lK6%N_I4K!SZ z$$xj)tP|Euys|)hra0XDy6p`z@l+rcNCi@XR3H^d1yX@jAQd>L0{QP{=Tx)Fb!ehD z0+t)8Bg;qyUax=<68BX$?UF7#eZWDk%k*!>3fc^zb}H$znF$BCWPqlrp>sZeYxM}J z!@68R3F(@tp*Z=f2vE#UkXhldwkeg9%En34j9hSNCy8B%#e~Bk39e21!O%gj%dFdv zR#4S+SDArg55Hu9rhPJW%DOF9sE~SBFzGNC&?D44wEq;25FWFizHW<=4;Z|vV%q!8Xt61yzn zuOcB|*IrSOaVdPhhO00ia@``*DhJPFjX`^+IJlZ01Sn>|iFHefXEy!@@J$(ic6ye5 zo<;n~!kK0KW#lW`)UmyJT-+0G%9h>8l$vA;tC5yj#PH61O$^js27J;b6-WhAfm9$B zNCi@X+fg9*x96CPat@M+<6Z1;ZJh}NWH52Jsk-3WwLz}sHztM8?a6#;{KS=Yi)phP zDtNdh19UC>w-1U)bq2eNnSuA#7d) z2IAnC4A3++bk4dp35C?Ilp&xXT{ATlCtno-a?>gZL1u*m@2&gcgEXxnPv95@oU=Dv0ZaunL8Co1yX@jU_gOo(>maJAs3mLN2hVm z+$Sts)M>T%h-Z$impk!P;9V(@_uI2f=gfQ3d%52VN+Z;!L$q&j3~5QTVvuWD;%X7S z&PQAs!A&DH?yDFmgu5jJG))Zkb&3lnrfbDK1h23z7f?dFW}%9cuZjT0?8~Arc&&{o z<)pH4(z0Tp;LuJIyAVsd*h3eOFD_i@+A9h&E`_g-+KtW6-xfq#*9p&EofKrm6em~n zg8;?s1ep~MYdy+IW#go0f_Y4@-SJ5tQh`(;6-WhAfm9$BNCi@XR3H^d1yX@j zAQc!_KyV#wN(`|Ma$Tl>OU1QEv#ZQN!D9!|mL0Mw{aZ2%hp;XeP(nsbaq?9WpqTwu z`e4?B8>qCpFsQDzM=iv!F7{M8_cdG_gpGd@A-HQXyM+qjYdwXNnwFEE<+^<_gFV5( zg@dcPg2eMRd^>?AEfq)wQh`(;6-WhAftytzzX$4KQ**djrc9IyqynixDsU$X2z3rN zC5DDH$d&!urkVokN*MwQGGdC8-)#~gH?4vYWL7w={X*rWvT@Qg!J(Zbb|DrM4ud4P zc5RR=*KJct0d=Je0R*x4952?Vt zD6ri3@1@+VGZjb$Qh~cxK&jr}?d-NN-GTl#&Ls=kF3Ayiw*C3=@bb!+&DFvY|M=UW z_(KE+DzX0XL0a~gm0ob@4&jAMixf=SU!rRse!zsp#xNJ?zi%2ZiGq_q3rl$X zROi#@6f7u#m>gIuJ;c1iYi?60grPZX`=k1vL>;j+Lwwhi#PMOm>wBAxbJ zYr)5Kl^}X@=+zZ#98uQmYV*CRX2aExV+}H<#xkjSq9=#u*w!SCIwInet}l1dlQ_;A zEN5lglIiyJjAz_SX4!JjoJHT|ojJB{@-sPb^S`3$6?4BmbuPT4cE`zU?fCoTq(drjg9?-ztSL)M1yX@j z;QcBf3@zTSY_=FfDhzThod<<;lcerr)~(KdS6hP!?pn-lp+dM@GC)@nkfEblK?x4su_RLi90o~nZQ2ip4su;) z-F~!!s;0Zj3>16#B?C0=lc7`AZLvay)VqR7hq-_rq28hWr*MSunEmv1Ta0`lN$f&_ zX{kUekP4&%cdEeh=6^fY%fwQ28aHp$vut^*pGDv0ojJB{@^nZA-jf1(zdg%r&b%k( z{nnYHrMcuFSGqLwg(S1aRAv#wJM%R$PA1)dKOPwjQ(Wv3H0FlKJIJ-HC?#Xu zsX8`(;>rl_TFh>tLbzKpK-Z@9!N@8c!n#~Q2^lfP$yY^yV)o@`UGREi|JEXf@OF~e zWeI;33HiGAih_(w;qx_Ih53-{7ListcphsE+B3z$)%+kpG5bxdTS`2$@i%~P%J{R> zv+VOM;zt(FEaNXDU(u$H?akxjo^VsP>_(>4BvV+8w9F!gcjjwipzbo@lP;-1Dv%1K z0;xbMkP6(60=d6E$6S1*u%F3m%woa6J@cL&AlovBCzqF0*dM3fc@| z^BOP^2ft*1rm3NG)~!h>q;{nY0R`!rsi8RestAyqRzV0dD;#)l-47q6Y0a@Ip?+m+ zx+eKsK|VKrQ}%IUHJ@g27Z%Pev(JrR)25E?ngh$+sX!`_3Zw!93M`w}0nZD$$izH4 zjeF)kVcDWitG!1&b8NlbiKhbZN`buJo@F{`-jm+T{Z>#Kp*9_&eS>32OPUpfT+0$y zi|BPe;>rka8liDt#Xuq4Eg7I`VyLfETre?RE9N11g>|`r64EsbRh)cP1Sn=-7Jb2M zZA>XAm5q~@6$1r_c9PhISklEFx_EqX;X>D5QIK&de09`rY<~W>Akw-{c<$tIu2h;@+bGW}aBu05JvWd;f!JAk(AkWJ~|l36%}b-92NGGdC8uZjT0 z?6=YfvmV?)rPYN&b*(*WA%1nSr^>mn;o2Z<{EG;|U5nW*R0v<|DV)@_ob)W$?TZ=g z2?j14T+J0Ep0DBC2{dV`Kq`<5qynixDv%1?tOEHxP#2q;!^JXXqEsLiNCi@XJ5fNW zbFe8fG^9bUxAt#;wjz{5XqOCG+*h%1fx;~rpljI&`!X5QRcmoLI=Y%G9`SrV?}NRD z1lF#-q9Ef^_&SxwAHycNYcacp3gK?a0A0&<`(g&WikXApFi%VK6aBm9a zy1lm<%X(9RRN$T!P>OHwDXI&uP5aHzK`vQ{*3Sbd=U(iG#)fF&h=2TTP`n8>P>J=@ za=mSTS?L9r?hszMv}tVv*!~h-3-=4Aj-DyM*hU2(T5_yGWQcdCz5JcAtw|Iff0R!d zgdcGko6x6-#!-JC=6Y*CVY3Jur7Y0D(dd^s7W~}dF9eMr|9#VVNfZM8S!hr`LH~T$ zd8xVuYnK;?$6s1&Q*epdSq)}|!;G;h#G56z`<)yQ?2#(@K9N7|q2Jo!S9^T6#{*ws z!54c}7cREV;s?1%hwYN=>)2megPtf_#~)t|VZ&vCb!;2pbBnTKe?&U%xz>V@=_*0= z+Ix!X(w(-0c?@#N zLgw$2cvaTD&Czwa@_&W6HHha;<8ARL^H98Ym4!gTy=&h$w|bi)tOaYA6bIYg936KB z7f>@F7`hGE?xxD8JFfkeIt%L%h?zFFHA$lm@~5Q&_ohI(w}P|YR3H^d1@2k_q562c zve`%t4Rnxe={zW$n$i?_~&Sbw+HWiAOKf{d8riv z4XseW`U`|UI95?Iv(4d zkLq#^=p6>RvJci2vTD9-UK18;+$3E<<>$Tk!GuA?I&6lAYu5(3a@{tS6i`>n5Kxd2 zQ=B#vTVEUknNk3dHQzl9UzDfmz zQMWrE)dkn4(N7uVx=bHTtRTPR!{<58go9f$K-1LFIiJ6^dW6(rT`r)6bj{RIoP1RT zC}tTbadA~i&Y|gwV<^9%~qNTayAXmCH^Mxd{ z##Ckz!#ndeF;I6I@ELLuyci5qT@Ooqa)*^-Qc9PhISWGw!lHl64L9X$2`ytmY zqOa?O=ds41JyRUqb$bw?nEi&T&D2nwd{qP}W+%w3a6rKQ@Ijhp_&1qYWa1Qeue#;G{fYBos(Xme$q1qC6)?!quJ? za}b=`Nn#gbsU!B##p8<$7rOR}f{aVy^EF(B$;#z= z4r@KiNoC`tXM#gJN$hf5u}dBBq@@D)p@7^+nOS8jkP4&%sX!`_3Zw$5Kq`<5qyq0; z0bzWDO^G2X2DvWNzop{ZquEtvpy06sXv+@Sl>RN5g+o}E3n(EYra1Yk2vE$v^q&e| zSL=g4iqrkB+d}zisX!`_3Zw$5Kq`<5+_3`r zJx~{$yu-yZWujCd6-WhAfjdz^sMBq@D9EN=!iBC4a%CT^sic6qQigznjF{rInOG*H za8)?CX%*yF`nOh!P*1zk>Vj+62Dx(GHkA}mSIQ7jkP%ay?t0xWub+{@rcWwx8wyCh z@83o}Sw$)^qJWGzrB5nwn+hmp`MaInM<==?_-&j^7P4KEBk*i%_u=8?l`kLaSUBPz ze;X8k_{Bga)*n7d%l@*`3l7~Oyl`oef=T;Jbj`yrm^ylK3dq;WOm%m)6=8JY#lNgIVD)V{8iXX35n~$C?fg?2#(@K9N7|q2Jo! zS9^T6#{*ws!54c}7cREV;s?1%hwYN=>)2megPtf_#~)t|VZ&vCb!;2pbBnTKe?&U% zxz>V@=_*0=2opDCd5x0P#8vcRM(^#@pgghA8NcJp>BwUHiVd)pHBhE-4PSyE!`U3ND~# zJ}`6}u-#3tH>F^;M}r+Hv1wx)SPf4Vt#w(=L2wG|asee|#1toA6#b)^ge1sO5L z>E8Qb!XR9M6l60zE_7{>E7xsPNda}G3;_ihF~w;!vGreL1jtRRAlbh~g|t*46-WhA zfm9$BNCi@XRNyOAApgDWVv~NjSf)&r3Vf9c2%~OyKB^0@O{1SO$aR@Mm{>u6$A`~z znh6KDWPqlrp>sZeYxM}J!@68R3F(@tp*Z=f2vE#UkXhldejrvkscf7y&Bz6Zc9PhI zSWGw!lHl639}FGjy3D%$Xa!YGca<3^_V7yvXxb-3r>xszg$k*61)t%+CAR+*PMlUL z==62l9$QT!WDAE6n3f8p0;xbMaEA&kZ~nJaxlAlYr*ZQJIm?!}`dRc{-kD?TCQpY{ z;5{jj_uI3~=FEFi-fx{LTAE7^a-~Z%Uq~`*Ol1}^yfa@D19g`HpCK2)i@`9(#U4Rp zZg{+dT+515GRB>%W8){TjNq=t>=r77yCnm3ZAu@EtimCz%LSB>5mTIeRRkzzUvAa~ zuQ&E@Em87mwQs~)? zzX5zx#-E*@WuIpeKeBLU8Gjl1iZ*p@Zys0fZ{IB4v%vD~`PrY&d`%1#J9TUW`O_s8 zNCi@XR3H^d1yX_6DUkcy*XiYZ7yt5kuD*-?t*tXb4h9olTB9 z+wnQJl?)U-+>!yhmi^lYMWm{6S21%CoLtQnq;k0~cwoZ8^-z!v2^X5iVjSeU%(@jT zXfuS(tJ6Rn{E`8hriRX0wV^XN40nd^jQi8`(J9`VfHdbtx%1>ThcdB45L z<(zp>dN21|L1~2A>=5lYIEJ)jvtp2Inc`{@y`7J^GJ=~%XuPjtpb+ks4A3+&)YmC4 zn3%2=^ANnkx?DgB>6(QqPQEGv6tgdrzTmZPOeqJIjf0jI0|ke6lGue<(#0OSczki; zMAu$WkZ~z|wQDyvKYv>gX`LrLcXd*b5mTI8%})XpvlC=i7}k1}gUZH1&jg2dlGugV zbGq}B98!T)AQeajQh`(;6-WhAfm9$BNCi@XR3H@?S3qzb+>{t%9pt*s{w)>PJ(^u* z1_~ZKfNj|!o3ejPW?=~Hasee|#1toA6#Q0{J~qSGzQ)t7XbWsX!`_3Zw#eqJU86;HJb-OM_f**}wfrA#9fnS-h`e;R1zQ zGCk&LW0ad!qynixDsa~dDAm{XNqgDRZS=Qs zE?LO@b<&eMK0KUVpPc!!xLP>kAAcJZ|KrI(CDv~rq-B3u=>>;w3(s6yq+rti5?%A~ z3#N{q=}+bn6?|&Ru?CUBy%-A8vrcbo5{1X_@-c((BQ9eT`WVp|_4i?}EB(9d->CcL z8VlXH?Kj$7p#Q#Uyd(-v{#97Q;~)Qg_aLBQT`r)6bnVm6t+^@0$L!1ov%)Z=H-&gJ zaI$R{KgdNoY?owT$NtP3^hnVf ze|XlyhRXtLZyVrqi?U*WL^|!c)`HLJDnaz*)T=AjIHIiQ)vouZnhjS&jy1@b8q=ia ziJqLAy{$|wi@gb+v-Mf+7(MnBwHm4gqr0D#(H6E#;)80;xbMkP4&%4-fhOBCj?JPFKs6iBf@7AQeaj z4zGY5zQQap71%2v=Tqmgz4K9B&H=q)kSq7WnnG61cbnIQ#TqwB7f|_m@B3gv6R{4P z_HgamAXm=Yrji2cN*MwQGGdC;=EBw&hXA>06(sj>Q6ViANCi@XR3H^d1yX@jAQkuu z70BP0UG1Wuu9oQyiOL&dGc*;rtpY;&_RdFj!L@1ZrwnpkXCF+gAb-b)&vTjygIh8{ z)6~#8pTD(wgw$bOE}(>T&D2nwd{qP}W+%w3FsvVlRSqf}2Te0_!J(Zbb|DrMhCvcs zoAy6L2f3~@Z-2Fds;0Zj3>16#B?C0=qoGsgZLvay)VqR7hq-{Bq2AE`Q#e9+%>MRy zTa0`lN$f&_X{kUekP4&%cdEeh=6{^(Wnw8hjhi>>S+=~@&!X@0&g`w5JRMSj_oP7H zZ!dB+XWoA1)lKaVuSB`)>|Hs*%= z9pqYOl#(&tsXA}`#FY`;wV2&Pg>biIfUZs12P3O6gmt-q5;9_nldp;Z#q7(?y5RN7 z{acF^!rMt=mnHl~B;@Pb3kotWh0oV;73M?ETSQvr;CZYuXwMV}SM!qq#q5Wex0HBc z<8J^T%J{R>v+VOM;!jMRS;k*RzM)O+?bUs8PdJno%xy=sJjgK zq)RH03Zw$5Kq`<5qyooLAlJ8-xEAFSBoW8ESl`+_6B?wMc(pomnjU{^775S(1i6{K>xE_h(V;Cd*?hJ+JMV}=cK zU1#2k6|`w#^BOP^2ft*1rm3NG=B-I6q;{nY0R`!rsi8RestAyqRzV0dD-681?%M}x zTC+DL)URw!*Cc-}$mhlnWxr0W=Ho2x!o-!sZ*UB0 z$!5hM*D}S`B6>R?ab*NIjnH^s#Xuq4Eg7I`VyLfETre?RE9N11g>|`r64EsbRh)cP z1Sn=-CVjzc-I!7iDjNqaD+USAksQd zc<$Cpn}7sX!`_3Zw$5Kq`<5 zqynixDv%1K0;xbMFs^{$I=Cq@#5%}zo&8%Xu6s1Q$_x}db^zP5LpEjqmdwHs*5v|9 z$cQOUzA6F~vma$2%=X|0Dy=Rw)wOolLj3AtPnBa|!*zqO@f#6>yB4!ss1Ux^Q#hz; zIp|r=+h

Cm6UexSA_Se7%NmC(xv&0;xbMkP4&%sX!`lSOxNXpssdlPFKs6iBf@7 zAQeaj?nD8h&cRKIp_T@@-n@T1{2x=}eHH6R=*cY^pli7gcB_3b;o7(tPC+&oofBOf z$%Xxc~c{_aVyB9DWQi1C!kn{F>T4$zIAQeaj6ba%r z;AREaRqMBLE?LOd&jTpOUL7CavIza#NH;AAY1Rd;Jynjqw486-Usig-rQ5hHr` zSNeC^znyC=bmO+)Xmf%7`=;@dC^-37VF{0a{PW#|fQEIsfD+QRPd~TjrVt;qGaJkb z!;Ib(;?0!X{5zT(&}yHklJ67w(;oV*4ZqmqvppX83Jbp2qq=aiZ5BVsMLKMkWM9Yr z%o_Aa(Hehv*20F%0&8y@;B$+zVt+(B?YY*1&*>^b^yJj5E7mxotmoCP_okW+S3{09 z$e0?_q~?j9oSMC@Ng8!T#BVyk+(qBSanWG8DBG4yw}%%z;$AY#mV4$b`Y!Lx-nz*z zxdI*C_hUE7>pH)p=FHP(*{LGuT1 zi#RG?yUIeK;NG?Gn@hcC1wW~yOKhtf#c5Y?;jEbt4BZB7brY;jDOl~%U|%(#*4w~r zpu)6N;NBD{_f~M$n+l`?slZ(;AXFbOS2hc&p+*O}uC#w^C2v}&YvyGwDD>o(4A8ZB z>0F5QS8H8Xa}b=ux?DgB88OAlS4Dtgc7n_b!;Ib(V!yIA9Uho4v@5MHxOQ!jE9Y%f zNda}G3;_ihF~!NB9RlR0RgeSCTgpjG1yX@jAQeaj9v<@lMP6+doUWEB6Qu&FKq`<5 z99{uAe1%zHDzH~T&Zo{}d*`FNoCA8pAXn~#HHEC2Z#JAS@bLi4s${>N*obG+zjx?G+sX!`_3Zw$5Kq`<5qynixDsU+U^7mzzQnJf) z>Utc&p&X!wEFl$modQCbyWyfBo9z-#G>y%zL9XlUgNYTiX<@S+Y9J1N$pB4LL+5<{ z)+7{CyHbXLf^^N)P@H^K1jtRRAOx8ehV=un%0Xr0plQwCl+eoM*0GWcPh1ZL*^qFe zY5y~Hkn1}0_E#%t)4~Pk?MLIDGH*-s+FI6z#-L4W|0x_HwtWT7q2TqpdE4$yA&#%t z`fC@w(o%s`AQeaj?off{&Hp%+%fwQ28aHp$vy6A)TiVRtZrNAnP6e*6K;CaJBKgdF zQr>T!OT@o14`nl7NHVL_GK(1AnXid~y32r1$3@Qgd8C=m4w1jVa}MYYgIvpuQs_E6 zA8}>9TF!XPZm{6umJHA}U#GZW=`t=3XUDKE7tm4ev!ky{oVEs8mST@r?t>923~>v7 zW$U)v68<6*@^$S61sRvZ*RJ$KJPio$TFh>tLbzKpK-b>cygdmWyK*Q9QkoTpbs{MT zRbvi%COEW{#4f~M-1r;7hcf=`^ep>4i}(}Qbe8d#k#A^IdwX?Xyao?t%W7mwO)`bm zNXsl@cxS#Q2I?*YKIxJQqynixDv%1K0;#}p6v*}MC9Xxe1WCm4F4ng;&x8hPCf;qT zF1U7WkZbuDlfqYbQQX)@W!+-h?1l;+ep-;8y|aC=h8&6%2igY{>Txfef^4P~Cz{4& z9OSyrycH{G)57M}X&?@M$pB4LL+8v}lTb+QN*MwQ(lt{omkDsS=@z*Gt2CAa^N>#4~&Aib1YrimOHRc0S_D2yPmo@xF?ILbzKpK-0ufU#GZWV!Bq$L+}dgasefzYZj_F z`Kky|%)U(eg4en+r5sc?4q8?W6dc+~Vi#gb7klX9@x_G`U3)=6#-;GpuHD%D{B1#` zb)N9t)k#4{OmT8GKM7FGPLNq)SnE*^DjNqq6CBz}Vi#i1>CR7bNCi@XR3H^d1yX@j zAQeajQh`(;6-WhAfmC2z0l{@}Q(}m9kn1}8w^UsBXm*tuD0u7uwq=KG%Kj~xg(0lV z1(c8xQ=EKN1Sn=d%08Iw!3|VeU1+Ln?XHFR)y1AF$G(Q^24UkjA_R9WX17owe66Q& zP}6eIvz)iju3%3vaA9yYSCII64c|_nNlOJ%fm9$BNCi@XRN$}*MnBr7t+9rtrZO-hbr#Te7c7Skp!~~~y zlGugV_4RXpor4ewfmGnG6;P`8S3A2UOt;bB#<^r6+a);zPnJI) z9-g21vbb6};vat-6n}`oKqc01AEae}S?L9bZVS&`TBKmo{t{jD@C&Anp6O5K5fyxD z$*~5J;mPHB#@5T<>1|D-@c3OmW)ObFWo$wpBO0UrKFoEcf0z9mb-!F=p&PgTMw<)t z-#3kyM8V0w3QKtWh8evn#G5I{G%1{2)A1bp zM3sD>$e;GmZ*BO+9-r;;z*kuC#U9m#lWnv3K`zo^yCnNM_Gi|hM~c??!?PAPTozb+ z+W?weVeZ$b&WU%{ z?tJmuYl`a975ii!gIuzZtqT|B*pC$;UZ>$|2M5=9Tl~=w1>LcSK*7Ch-#=S>DG|FQ zg|nM3Ingv*-6#$|R|pK<25fb+t!9%%4Gq$+E#To^3++8RV~*J5@H6~f(; z0lF41oeQyR3(7&QgM*$44q;s`poEN=;#8~Ia0$@n%&rA6lBB{r+c5bBaNm{Dv%1K0;#~)pg{h=$g5rD)73I%qEsLi zNCi@X!z&<%uP_Tt1@;Qa`P6xIH(V5avt7c8t_^bKK3G#p0d=Je0Rz=s) zxoH)IAhW`d`?rCHt1$U{hs``;&crhdv}cOLz0ccTlZmGSsX!`_3Zw$5Kq`<5qynkH zB^Aiumt9iLCfBKn9t120sUyos1zxUz5EAdJY_?0f?Cb*$a$RTtR;-{+3w5WGT{bge za7zYgni@Li^S4%ykUFf(1(cAknHq|duZjT0>;#z=hIN}#IjC$LG|k8bhjxVu?q#Jr2?ryDv%1?sRGNJ|8c69iKXZ?Zr-S8+45FDi@wV{v$t;YbVvo> zlLC3ay~x#^c~8pwt#gT%%_Rr9(W#j)B$?GynMDlm%-6&~-DSY1<05DLJkku8xY#4u zm>ceQkZYMyO2&An>b&t2S4MExVs;A^!rhVqx;AAWjI6>C*5v|9$cQOUzA6F~voAO6 zg4ZkeZ!J;?ZzqXemhcymkgsbmD9E@JK3~ICm=8H`5owi!=ds41JyRT9%})XpvmavK zQsRY;zX5zGeT9iwWL>%vBeJeEB-ub95xOQ!jEB9}kN(!he zWe6z9h$&9)KG=f*xoH*TK>J`qJ?%=X3$9ILG7fTGXWohxuxk zU=j+cT`5CALAqvYC{DgA0_3Ju5Q5AK0|M^b2WeWfHzm}sY)#iBe=W%8#t&t`PORqR zEbhX@nPv95@mt!|-fr1g=1v7tfm9$B7*JqYv<`S)$wemS(P`W>*9prKbz1E`;+ehm zawnb&yekFretVJ2IrEG-fwY z2zN^cXqp(h-w1NK6rx%O2Q4cG3Jxw;2q;L`j8k!{)ohXo(B{m#|D+%UnH7c|Ae`-4 zF$ck^og{W4788a+5?s4B$TdE1^Yga_(bsvx^H^iho+%FQygdm}%>MRy3%@Y5lf*8> zrlkU@Kq`<5qynixDv%1K0;xbMkP4&%sX!|58U=))!A*%F{z0zseXz#P@=!tUj?Z~p z$-)H+w`72>P1(OCt1yIhxquQfVv3WmiU7sz2igZ4sIij%+FBtULj1tG|+ zFs%E9%0Xr0pl5q`GF`#0)-xyC{_Zu^Zk7wErl z8ZU{0lYbSK@c73+-*sN9ZowK8K0!YH+?tz$XUxuQFe?l*dQ*ruQ*QT}Dm<`HRLS>= z{AmyU)`nl~@!1{^e1!#H>``4f**1$GgMdYE4YA~`M}U^z*aZG+LVIT9u0P+#HRJOURWiI-=zUVjlz(Y3LHg&a+E@{ zj#MBONCmzM1%xi+<;rG>F(k+!*Om5ft>jG$br-G^XMcT-XUF%efYg5< z-fs_C`PZj_&0Dkc*xvc5F8FtCkSq7Wno0_&D`f~M$cQOU_r4D%G~o)QAe;6$(X~OY zoVQIS1=N)?1QcY%6sOIFt-p;CAUCaoEbGd`^Ys@2%0Xr0pl5?Gl}?mMIgZ0$-&9Lf3ceQkZYMyN=9Bj!V@>PQ5i8^i`gwy2=~*1^lZvL7)ga8tjh(IkP%ayd{qP}W+%w3 zFsvJ@%0Xr0pl5;67q)85&< zJqg{qawy1w<}Kx1%=jC?hcf=`^ep>4i}({0XO{7ok#A^IdwX?XxxRh1bWZ}yljj$I zI`cI#Q0&y+2J)v%Dv%1K0;xbMkP4&%FH<1bw=dJn_b&eF%ba~L>svvN_RdFj!L@6H zT;uy-xqn*_ecdnRd8{#L&lCrLcTj+0_5_Y6tjn9oA%6^?#&Bs~Xg=;#?>~rI{w5h$_va!sa3Zw$5Kq@ex!19l+ z0naPB$izH4jeF)gVOgS1tG!1&v$tOE#8ZKHr9j?qFLF6&-jm+T{Z>#Kq2k@9>Vj+2 z*c%z-TBf+dS9ej|*hXapH;pVYyMaQupBAKLV&Ig0uwulJ$91^{D-ND26?=4f~Q!qBd?y5QQiL9X$6o1eceh`!Dfp2r%4_Dpeb=j};=V)nPs zTlj^cog{W4HZ2uM1yX@jAQeajQh`(;6-WhAfm9$BNCi@X*C-$a4Q@&d@egv1?}NQ% z|CWl~9iQ{Il7WJUTQWe`rtII6RT#p$TtEpKF~!MOMSx=V1MPziR9anVs%!18h4|IQ zo+`(_hIPY|DPHhf&nO3rhCFRs%x-Z)>{?IZU`@jFuI0Rab_KhNnS^rVyLA-uH3(EswtqZ zlp&xXBc?d{yG;V*rd1Gv%nHN0U#J{ZHV%3wIJA?*F2rKOFi3)H*9N(A-ZqsKP*=(j zP>>N*oa#*5BoUy^nceg>hl1A*5YCR6;M7hMyAYd}3Zw$5Kq`<5qynixQvn6_S3A2U zOp^%kw{b4P-)xseIQwMz^Wow7nJ+=OHtlai;|~!SsD%6NgS6}~E4|>*ZQ+?qixf=S zU!rRse!2@S48o7Nj7{icL}S$7hq0%m%Z< zFrznxcr)d8pQ*wF`$Uy|pU9u~&~I({#U7vS@xWJD@Wme0g_CWw_(3kxVY?*zI`(JQ zpht?<_`|anHe421d)ok?Ta*?1BhqQlwHAC%R|%pgr(RvL#t~&buXepR)oi#La;!nd z)R-nUPxR!}>}^fbs3RhN)A{8t`X-Kx2Fpd+wq&|Jyx?#X^f_vA#Z!Y!Rg0)MEgRO4Pj=O>jsF@E8-3DxR6Rb@sSnbhZM@np3Z|j9s zviMyZFw`gvX{o?b6eve2BPi^`3Nm7flRrBI$W5yt2b#B(la>mk0;xbMkP19J8akd=RZ3fR0gJCE(1kLrSd*9N(AAFQdQfVxtKfP##e z;&ku(U_ukFKnk*Hj}u)RN&(i^hqwHF+0`!5>1vtYkf^*NHbYZ^ z+bSTWAKaAaR?2?LAlG&F!Ndxxdo;Vs48*}N8K7xu=$y~rTA@PfUBMUl?_H*b!tJXf zKyF$EA;_#SymB9`*_%S_SGK0Z0~3aJrPT%3rv1;*L9Xk}+h47qSO){e9)8IHP5Wr* zlzCfBLLs#)We6xp*V=yyM~HXRD#+XCZM(OcM9AjW0g#poqynixDsZO?EN}kDsa__Q zqSLr}gPdi{Tm3BhF7M3Vy2;Za6?jhy0R7!FWto9evdKpg(Mf5qYg=N z&Ry)(%-6&~kr(Jq&ifiR20IQ4!ps()4EKv8)1}xW*yLMX%t7(mB-zC_*a+@g%xW}5K-b>cygdmWyK*Q9QkoTpo6TF2 zU)cB?z=tya?DQ=AJd5}f6K9t3myvI1Q+s=LU%UnnWx2k6emQ2oCZ6YVj*D|HPo)B> zKq`<5qynixDsWr{a(#P=Yf~;k5^=nX^{ve_p+TC7cblpUu3a1CTK>hP@Wne-=MS95 zm3510vl}XSxFrL0?Vas|HRMpVIK)0!p^}kG1wXEB*O+1MBv3+k)6>rRKry6l5H?;> zxHU}--K8yrEN>0MbGKxGrm3NG=B?Euqz>zH0VSksriS9=t0F)#J3(fJ0Ri{zgEY;^ z1&4N$*oD}O8=o6Ll>Iufnvb)%3)gg(+2_V@X;XWo2R(p?lW^cXRiKhbZN`buJUgUDlyeGYv`>mifLNVuxJ%SC6AuZV( z8RS}~xRTM|lUX-f8kG^;G_u6(1`6SB$pB3gL-!j&E|)@7>)@be#X!Nq>6&pW zPPLj%5&_zrS+9bE5M)*uc7SlUXT=-@r*@Lqg;?r{J#_K-;=+lpy`UiDQuurgS7Gw= zw*`?_Id~px4B9ir!PWdEKruT(W`$v`M>(i$9P~_ZXeWtX&NFtY1D>>0;64}{ z(X~OY+y`qaDWI;DA)p{5rZ{abEEl72Rv6s03UZYFTPsDVr(J1v!L@6HTsd!>N(!he zWe6z9h$&8YJ#UxS&q%ZBlL{O|0jc->W7LyXqyi%f$cR(=qyooOKq<>#?d(1}(e2>3 zaV}ZNc1g~_lcn8h9{Tj8Cx%Zr?)kU!sB=Om_hgvm$3KyT8*MJof8R7-5(Ov!DlFmgkAJ@Fyj0zSH70z5eEPXHHwDj_o!MYk7-saQ z5O1bj-RW4<;emakO1@9zPkZRMHvD3b&-QrWD=he8kLtq7wpsij7wNEFl6@WfGi%Tz zMQi-wSqmF33#`3ufX^+;iv1DkwC7q2KBub$(UViJu2|!UvYuDF-kWMRTn#zaAY*Dw zlbR=ba%%RrCTY|W5x?pDauh9In+l`?slc5pAk-f(S2hc&p;iaEuC#w^C2v~TtdI>9dU8t!=vusVF2wq)wJxhU z2u@*LE}(>rnBwHCB0w=aL1u+vMsEtSU)h=t4@?-^l~xyAyEe#`^R}s^fVxtKfP##e z;^fZ`0dmtS$bsf9<)ozosX!`_3Zw!L5BdKhuQm%#SId-%Qh`(;6-WgRuYerB!YnWq z*ef9CQ|Gb0^HE*S0li_6EBC>gLRQT;8_pN_cz|V9GT-|?n9#)FT*I|%gIqapn@S3( zD`f~M$cQOU_dah&8cm;6AQeajQh`(;6-WhAfm9$BxRe6<`?5Sb)9+p zs};0q;ezw_qj67}x21V)Eo(z#(5AKj6pj$vzJlgZ@Os_6ZTF@S$JcB9wF_QpsX!`_ z3Zw#esKD~(f1Ju?VktU}n>XrN#=Gz>ZDwz`>??Dp0#{cc@3$9`eC9nV@3+n+;@_Bu zvY9U=nbm2TMGWuE*Tg{GWx%K7B4_+O(#&Ru$lu>N2lR$Pu4P6kbe)}#xUya?XFO&% zSa5Mm2I!ivQ(Ulg85f7MV_269=qUHu(N`r-TZ1f1vBxX-!H5)wxCOtmb=z$Te-R1! zy7q#Cj7#BbSNb8I1_XC4X17ow+$|ZPYwv8{o`jBFITQpb%?iUhk(7g~F$X;p9NI}@ z7h*4N{0-nk8Gm+qmVKT@{E2Hi%lOO4H?*m}y}B=6gNL$ZH8Q0pnZjzMWfn2KGhY(} zb(aC3bV&tLfm9$BNCi@XRNy!Yj4B;t4%>sy;=LW49D?>1EzT)Q^Nwfu`o z;j6nSZfv8nZZU0kLj?~%ElAJa**;i94n>Ls?Sl#RxED@AHdBfdO=B_+a$RTMiWRhJ zVe{%V5C^|xfTpRTbLOo{D5Q3!3;_k{nyH~U`Kkzzn^r*xGAj(cx9-~qXP=O;O&0;xbMkP4&%sX!`_3Zw$5Kq`<5 zqynixDlo2q;5xV|F~mB^b)EfNDz1ApyUGj{Jaz!vvO_jy|CY?c5Z2`aO2~*QPQEGv z6tf>?AI$dP1}d#CG}X0s*Fya2Vo#N0U&D2Su<;uag1Z*8Tc{Ae)>AmBX*uXw&f8~K zuqPO}Fu0m4NPNA9Zzs^Cr2?ryDv%1K0;xbMa99QMd!Vj%X--$ml!;P-R3H^d1@1%v zq0YfgiJ_JTxpM!usiuIsQigznjF{r&?=}gLn^r*xGAj)0exY(u**NH#;LuJIyAX>B z!ypN+T^r=edD~P{KwT+AKtV=KajG+IlSF_vXLi%m9131LKsY;Mf>S$5>_Y7N`Z+(( zAr-h61(y5%y_B1ErUI!zDsa~dDAoI`o!t_q+vsoOT(XeulAM7j%byPq&(C~WTrC{& zkG~CyKSW@l66?1Q(z3s-^nydTg=a1;QZQ+MiLQD01ye`Q^e6L(3O=>uScAy$*eqCwkA<{{4O6e2tVR7HldFZjZuFe=DO0q%l?hJU#_vxjoW^s%?0}Jo5o9`;N)M0 zB|QG|&v%`ds#~zeginx9Key(l;2Ecc#eIdO1@9zPkZRM zHvD3b&-QrWD=he8kLtq7wpsij7wNEFl6@WfGi%TzMQi-wSqmF33#`3ufX^+;iv1Dk zwC7q2KBub$(UViJu2|!UvYuDF-kWMRTn#zaAY*DwlbR=ba%%RrCTY|W5x?pDauhO8s|E(*TcF5yJi2Dx${tf{1cx>AOKf{d8rw7IZ#&s>1qv(oRK0+xf+k!7R;FIPYaiT71D+a+Cg_5laEuCsqDR?wz}x>Lz6o0%}UB?B}~4W0A( zTdPM%9oFRnN=VmC4aLbd%<8GkB8GS7Yhs}8GT_s3ku!cCX@*N&>=A6t4fi|9wah3b zW4u#!-uQ_tBe-iZyM+qjZpi>$o3al^R$&P1asee|#1toA6#y`Vr7Ab_c zlf*7d_=`x$*R>ZEWLyfLui+}phn%;Fw93KrSYyzhDGsjYCjpAt4>4~k@xsR606vuQ zXQyY`=UK#`m^ibHzl?lCo7&r}`{JH(C|gz|Q)-eatVUX95yLz4H8D_k8SqJ$R3H^d z1yX@jAQeajj-x=XZ!d8z$|Xo5j(4%XwRt8qNHg(nQ+2_$YlB?NznBz0cTeWa#!p;X zx0p7&p@N57GC>A?Cz{3#8|1pqycH{G z)57L8U?2{D$pB4LL+8v}lTb+QN*MwQ(lt{omkDsS=@z*Gt2CAa^N>#4~&Aib1Yr zimOHRc0S_D2yPmo@xF?ILbzKpK-0ufU#GZWV!Bq$L+}dgasefzYZj_F`Kky|%)U(e zg4en+r5sc?4q8?W6dc+~Vi#gb7klX9@x_G`U3)=6#-;GpuHD%D{B1#`b)N9t)k#4{ zOmT8GKM7FGPLNq)SnE*^DjNqq6CBz}Vi#i1>CR7bNCi@XR3H^d1yX@jAQeajQh`(; z6-WhAfmC2z0l{@}Q(}m9kn1}8w^UsBXm*tuD0u7uwq=KG%Kj~xg(0lV1(c8xQ=EKN z1Sn=d%08GCIZ$bJG55Z;yA}vm7kjE4`x>qrgpJ>b5Ztwx-9m-%wVuL3P0K;ga^60> zf<3{&g~8QaLE`H*d^>?AEfq)wQh`(;6-WhAfx{}0-vf2EOLMwfrc9IyqynixDsU$X z2z3r_N({9$$d&uIO*IA7l`;erWW*FFf4511+_VZpkXd0^_Y0MS%Em#@1c!E#*o9b3 z7zRmj?b;w$&fBJv0_sW`0tzx>ic_6wnh9{Tj8Cx%Zr?)kU!sB=O zm_hgvm$3KyT8*MJof8R7-5(Ov!DlFmgkAJ@Fyj0zS zH70z5eEPXHHwDj_o!MYk7-saQ5O1a&)1+{AO~-TW6IJqkB7fRLzqR2PdwjOX17Bgm z7kg9}PPWbB2f0Xx?UL;4*q>R09w}Pm56@cIa9LpOZ3BF6QC94aNT)s5TJSktC5WD! zdUeGbN0jxv+V$R4v*BvUu?87aW17@F(UViNw>3$lj)?e8=a;+an>a2SEEi?llIiyF zf=Ap-X4!JjoJHT|o!MJA`Gp*~`QOm=hPhv#Iw#&)yYt0suPLfaSL~B{406drwk}+h zV?S1ac%6o;9UNTaZShA#6m-WP0tNT3egACjr9|wK6wYqCQ zwwg^6H8e=Owt$CwF%+b0?cUZT3eTppdhHDuY7~aFRNyEIl%o`qb)*8RKq~N6C?Iqh zFIP58j3Ge=xt5&=g>#dnu4C4v&VE%}g9z?g%x zT`kia5|uZ^W@svKTLpyl@xIDtyQEtw`+$R7*V(@nD`?X~-KkvOYH;vN256cZI_LAZ zCZUkpl`;erq-&;z;^eC$KyF$EA;_#StlN~zL1p8hY0ci0(8}f3v62fpJuHS1V}K!UgB;N8_F{Z%gyqTGocfpiOK4DI6iTeFe>-;PtwB+wM&vj;|ML zr=dMDm&Uq<3+@ zy>t`&8}m>$^Mxd{IxVw^;hp)K7^u4p_;g(4yyakHZ|9@B;Mz5W+3YsOdqw7{@bU5y zp183MHe$LKvs{qs? zTcl|Sv?qbJYwfOu_|?UpD#z_gKg6#Bg1Z*8Tc{Ae)>An6A`nL%duQ|ZB=qabp&&?U zRv1>Dl!K}<2R#!U+DT#;VlQs|4d6o=e|CD7eV#@9iEBE`_{+#Qw5h$lx-VXXhq7GX zKEE6@UlY%BImg90m#0#JR3H^d1yX@jAQd>S0=d4u#I-4xAc;8M%lcMmaBx#1(qeOd zkZbuDlM-8ZQQX+vzb%Ng?mO|^)k#4{OmXrx7oeE^K>J_=l~xy;Y8sQV-L(+Ey4X|Y z*w?ULs5k82N|W6{9BMs zaq?9WpqQN?v%>JYeK11B9-Sny3$d6mv@5MHxOQ!jYkc13=Wh$5uk(cGvBsc1QykoR zdlI0S{q6G>eqm@QiCu_IO9fJaR3H^d1yX@jAQeajQh`(;6-WhAfmGl%3J5`in-W9( zgIweLU~k#KrDAu-=e(_Cpy1(_4A8YH`?q8jhOjOdP(nsbaq?9WpqTwY`(Oi=Ru`J; zTDxl@es!^@%CWCu-LPbe7yQ;U%E6)`Pumu=TbvNP)>AlGlkmK2Id7j`!LDNFAUL_2 zD@c63P&+LZNCi@XR3H^d1yX_gS0KL!>T1{UbhS*GC>2NrQh`+9P81O89Nd%`YH5%w z_ivkO3aBe(2q?&iDNg=wlK{DC6@(zO!m#caDhHK~gPsWv?If`av6wInlHl64L9U#) zO(g}?l`;erWW*GwI@2~u1ZZ<+H$Bav;I#vUvm+)rwUfjy#HOVJsX!`_3Zw$5Kq}Bw zKtcW0&Ta|QBm(?xoJ;UG+a(dsK3V>JczAy1OAxM2``gg?Lj(pY;ePudE&Iz#FF15t zc;?a~1(Wud=$eOLFm?1ye=?7#;8RPEHHZvPF3&TzUj9yRYZ8UW@A5H&@FOl`6Z#m@ z81?sIt}Fe!?BA&S=Y_yqa%b8Bu2 zo-sSK!K^UM=uIKsOu5}>s_?)*Q6=9e@~1uYTN{3{$7g#y@D&z(u}5{`WZNu$kc)KK zF3G-*{h2lBk)k#J@T`Rmmj%||Ho)f=WySu8blP*R1)tMZg6PSqS68fYL|M?ULePtDCdquHXV{<^w~w0bAV!Yf}nVdo0#g5dc)vYln5Kxd2Q=B#zw*EFofZVhSvaBl$ z&(~iBC`fu|D_hgyfeAyq(&~b1)Bb1ZAlG%~?XOl)tb>7K55Hu9 zrhPPY%DgQmp^(~@G6WQ)YwbUUBgDID73A&nw%uDzB4l&x07y#(Qh`(;6}VFcmN)<7 zR4)@t(P`YgLC&(}t$r4Lmv?4w-Q?+z3cM!;@_u`ft2y(Yl=oZb60uovD4Y30l3AUW zS;X+pd`%40T?TwQE^@}tBh7G$i#>vkx#4~Xxt1BFWQ=#J&Ks6-WdwIEX17ow+$|ZP zYg6{Y$SMqBT`r)6jF{r&t0F)#`*O1`c&!_%%0Xr0pl57y5)m;=f zwozHPm^Qnif`^|Lq-VK*`=Dr4uV7CwaA9yYSCGo(y5NBcgX^In8xl@5jmbF3b)9)D zR?wz}&8yQu9Q=|2nx=-%nYSjPklK|p1QeueriS9=t0F*dS_L7Iufnvb)%3lnFS+2_V@X;XWQEKAgBwfBf;_SVatcq;I&6v+GSMK0&ed(wNk-wH}2)Mkfhzriu2C7Tt4 zT+0+!i|Flq#FY`;G(zKj6$6EEw`72(iJ`tualyoNt(b@471refN=Vl%RB`fE5uliT zne+v(bz@37sB9dxtQaUbw3Eax#F8%d(8c463n#kvf`W`o;j3M{vHAJif=KH;;km1m zf{d8r)@uu5bGelfx6nIIbAJNCQ1cTfm9$B zxDy40ItMo;hFTir%Kh7>ngZ%d83GD2Vv3W$+ay44S_L7gCw|iZICPHZBt1Bb)^ge1sO5Lsm`=b5&_zr*-cM#D0uAv;p~VBPVFSI3$g3# z=lnc}RN!6|Snm7xQf}6n3Zw$5z+Ee#RPV2Lc1xIUqrZ)F$wIbEat59(e?B}sKl5dA zwQ$5g{x&H75P^Y8tlvIJ%l@*`3l7~Dp1HJ0!KD2qy5`{*OdUPbpUfjF_|%eP4I;yn z%kzw_m%r27nndC8yL`+b{D{lggg!q`GF`#0)-xyC{_Zu^Zk7wErl8ZU{0 zlYbSK@c73+-*sN9ZowK8K0!YH+?tz$XUxuQFe?l*dQ*ruQ;um;IJ>6fIrfPv`96_9 z?V;b=@QXb@+v9<+u;7b5stYIEX7Phuq{DVe_I2#ftU-?yt?`FvEo`_fu=chAKDQ_< z_D7`Co@*`moURf?PfoqMVvQrpdS2~%Z>rgFHRM=>jHxkAYM$uHsoC3_q)|si{HF8E zUGz;H7Y&w+vTez9dw9Vk?j^Hqxo6Iz@AA&SkNbCW#svq+MIU!@U>^(zSMPYZ8TLQ(3+C-3;lF3LH>@a=@Ch zq*NdkNCn=n0zzx?a%Ho`7*b)7YuR~FI5$b^I%Zw!>{qolh~Tcp>=r77yCnm3EnYeo zV%HXwgIWg%Jrf+lx?DgB88OAFRN(!heWe6z9h$&9@K5s`FO`lXC6-WhAfv-V<{C|;GyUM4lWy(aUKq`<5qymRm zKn`DF7MKd`6_E3(^XP84DEMZ(gcDsG4A3++bk65* ztsWtDSeFYZAzd>y6enL50gBlPGAj)0Hl=b<**IvLkqZv(B(V#zm@o{I;M%nR89K;y zoq7AK6;w6dRc4^r!!H@2X&()pGH;6&Dx}^OOghX3^bGZe_MgHL!ejQg&)Z_;14&{R z3QS7{Qh`(;6}VFcmN)<7R4)@t(P`YgQO~mFt$r4Lmv?4w-Q?+z3cM!;@_u`ft2y(Y zl=oZb5-poc4sxSYGhawDtEV!H7~Yw$iGjMyfX|SN;6*cB;$n|rV{W+LL9S&+DH-FP zs`JKATp7V#i`gwy2zN^c=-QNhFtQ3mSeFYZAtRL3tq3>zqLpqyqzR= zAr=#cK@wcMHpn$TZ$IR`Mf7!^@I2NSv}cNgJ8w?{6tf>_-csnrjK2YVDC5sg&$7?6 zh(9rLW*L7O`Gz*Nw^#R->)TgL_av}9d4BPyGhY(}#ZK*QAb+}~0;xbMkP4&%sX!|5 zG6iyd`!cu9+H&ldp;Z#q0!`6$S*{w-3@ZBNrUn zNn#gbFK&Ep{80Am#A-gy;x1g%S!SObzokv>?Us#Y?o=QZNCi@X0R@(SYz=t6z(ro* z``q;{vCp}lAM5iI7dxK0PFR+xey#Ibs`+O2R+HqDRN!k+V9NdWEyBrLf;+L=!Cw#0 zyeBp9x34dGIPy}x2^k@acblpUu1#ZaWRPo_;tHR?C$ny}G%6#wX=I7n4HUxNk^!0~ zhVD0lTrP#E*1;U0x&x$z+PVFSI z3$fG@d+6fv#f1}HdqF|QrSSP0uEON!Zwn%=a_~IX7_?`KgRA*TfMRxn%nHL=k8)7i zIOv(+&`uJ&oM-G(2Rz3$Ic{n1tunobhU6ZK%o=Yo3luwmZP_84vVTivVF>GT0VQO_6em{|6`+`X z*?%f{-E1H1F}F}dyVB}{Yu5(3#^>!@e(ylF?iD)AZlK`dmJHCfcQ$Vuawu9HV%`=i zNlOJ%fm9$BNCi@XRN#&k$nSx=+QmCvEz?(!NWOv|57MF@q>d~j6?mr#2z|L5K?<_j zMB+r(2Dx${tf{1cx>AOKf{d8rw7IZ#hg^W%viS+Sz?{qFdH)<}t`63)wEo8F;d^`|$Am%$E;!EFAHVzYU5%L|~v2>z54DvcIhK zf|cb%82Td>B2PmoVP zx8|nc8M8AR%nHMd-W1}^l&d=(YdSozPgKeGiTr5~{nmzG?D5$i4}66MU+hs`IN3If zALJq(wo9_FV}E81dZcKLKRjz;!)1ZBw+-;QMOm>wBAxbJYr*Gql^}X@>eUr%98uQu zYS(*H&4#NX#~NfzjcHQzL{Co5-qs|IIwImXonP*vZ{oOUuw0aFOQzey3m$PVnPtm8 za~6GYR9I?amjky{4!xU9nH*F~}tg*}8C1j=flU5FlQs z;c5p5*VMv(G(>*Ha@7njxrJh@`c1dxt)y>&)S8xF}^MRq;fURzVwdwz7Nwv+6 zl-RW1y0hUie~zg@Dv%1K0;xbMkP5^K2vEFS*({`DmM6#{*Om5ft*}iCn-#KwLgjAB z09}ih&V^Wiwbo@d2f-<<%LSB>5mTIeRRkzzC&;WY%;-%a_A6V{;eiQ5yVB}{Yu5(3 za^5zT6i`>n5Kxd2Q=I(SAwX_g1v${XrJQ4%9J{>tRGq%3X0n%5AQgDI0{Q=-MDx&fBJv0_sW`0tzx>iqq!8*5AemkegOPa{m?;(o%s`AQeaj z4yAw`s+xCQjK1scvbj_s73eCEzc1@bbSa0^rHGOz6-Wg<1%#9Bosa5*Ytz_I8RWXo zKA2cR{*Diy=QI-rw`72(siAW|e{1y!sl&QlKndxZsi8Rest8cbPLNq)SU(V}98@+A znr7sJLpw?ALM$c>gCw{%?SF<2a$RTM{%QqPO?QPAOz5)f7H~-^wDicf5Y23U) z&a&mLeinU~cV=(hB!ypN+T^r;YpSK_4S5&tc9IB?f$_x}dcEGw%3fQzM z`?q8ihOjOdP(nsbadK5T2~f;_pm|H77c>3_@S%)9J3Y%j&m#WB#F=IMW#k*$)ZSj* zSFUegE!~sA^5pr&pU!+u3=})Hw}JfWk_w~(sX!`_3Zw$5z{?cK_3g{_^1X|H`Z8zV z#roFfnIH$v#Jf$^1=p?(a*gkUH7e^CgM+){bKZV5Q1Ea|2I$&5+XrjNp=fcSeK4UO z_rfX2=Av_=X-vjJuItQOv4S=&Y+juP;^3DI&@?r4&b&1Vh19N;A)p{#Gc^<^Uljpz z(<%r-W`%+G)_waRO>6e1g!+}O>6+xP1^L|gq3qX*)qI@AU6?qt%sw}MOPku;EgQ?+ zsX!`_3Zw!93M`A(0nZn>$P0X*yS^p%IoI=JeSYF%$1~Rn%M#VEbzVy~-^|`>l6;a1 zd<_arx!=A;IC)EOCssT7>*1OAq~`th^(7BSUaB`CBV?N$qWuQPP(C&*2Dz3gt`^bT z`G_kcxM_sO`zi(s;cm$QO%p?Xo#KLt=~^)l!7HrG1(cAkS*YUVt0F)#`!eYZUhBq` za!}bgXjw5(aA+rqU5F)J?4gUt7Z*-+?F9uHm%>-Oc4PDNw*`^bdBSs7Cj}WX#mUwD zBtS7cL1u+vtw%YiY#j7VaA+rqU5GuWJ3l${AV)6lebuJ#tDWp76-WhAfm9$BNCi@X zR3H^d1yX@jV4ea3_28z&P!)q*PEz?(!NWOv|57MF@q>d~j6?mr# z2z|A8KB^0@T^r=eeXypI0_sW`0tzx>iqpOCg9%Nz0x8I*Jx+9OkSphHQ%M1Jr3?WD z88O9ab78p{g|ouord5!m?B6bRP*Peda5oC%yuF)Cm4)7$0&;H!-*3HoN2Q-@^;bLV zM>(oXx2@mIV~|T0vR#rh@MMkkp|R>(IN~3F8x((tz(6I|FBzm|e_81Thi(hcTw0`H z(*6=%^Y9C%j-KgH<`ETqYRRz%k>SbZdB)bu-|1~lqVV`#K4uVp#AR$kA0ry0{yxlg zrGJ$e;GmZ*BO+9-r;;z*kuC#U9m#lWnv3K`zo^yCnNM z_Gi|hM~c??!?PAPTozb++W?weVeZ$b&WU%{?tJmuYl`a975ii!gIuzZtqT|B*o&110pfKUu6A&6O)cz4 zLlkt!9s&jTu6^HJ>bV7LmlOwE-JBhF1s6~=9~im~*y<)&oBn^6RNL%GiB0RRI~yMJ z=a>rIn*!zD3eI{{fm9$BxN8N3>f`0gW+64y=pfgX_HV7^O$(b9vVlTRZpi>$izO(FIxThrlz2}8Tm>Vj+62Dx(HHkA}m zSIQ7jkP%ay{MjKuZdwI7(7dIbW1Aeiy!TX{zNcogmsB7Xc)0@k{~}+m*()PXuZ+vE zR3H^d1yX@`sDRu_Pxn&ioygfLQ(NFsFgX`;9@{$~)#V(}8wR;@AFL^4)qJzze1VS# zSXL$Tz3+nwO$^R8T)Q^NmGic#q=33ohJb>MnBsKr^LC`s^hpI$fm9$B_!<Sb)9+ps};0q;ezw_qj67}x21V)Eo(z#(5AKj z6pj$vzJlgZ@Os_6ZTF@S$JcB9wF_RyH#vTZ@1;V0FO6g$slZpD!1CsQoK9t8DLRdt zH|kl&yYMY-W^cFbD|4p;S63kKw-=Fo<~=Fzx6UQv-#6aC; zz^CIPXZ$?U%w~tk-`_b0^oBvMWkxA%J4uV~s(3rZ~8os{qC9%gwsr zwbr8?R5lKJCOEW{#4ZmHXY6qtBq3kd2D!%P?T7dk)hz~xs_CvW0|k#AFuYOdzrN#w z&*@0nGr=LO%LSB>5mTI8RZapFvmavKQsRY;zX5zGUlRkxPVH?Vf4ZassX!`_3Zw$5Kq~Mu1#*4+GQE87;-9|E z+4r!%{eL#k1UYD?vw1Vz?;zLsK3JnNYVVr6<8$7Av```3Eg7I|?`$8eA%~*Hf%d_K zdfW@AAe)QMiKa0b2f3~@Z^a7Qw6J+~8i<2mGCx5;AI<58|@yy=TtErwnuRJ(zA6F~voDjr;I(c{DF>B}gO(Ko1&4N$*o9cq#U8qNd~xAK*IrPN zaVdPYYd1DOe_Ie~ohLkZbyAQKQ=DAQPXZLP6J%Bx)_RnK%Em#@1c!E#*oD}0y7QA9 zQh`(;6-WhAfm9$BNCi@XR3H^d1yX@jAQc!_KyV%0lo(2nq6fE3LZOv zZP_84vVTivVF>GT0VQO_6enL50gBm=vJYl^a08WA7n z2*F*8*)3EEU+XCx)U+J*Ea&aBE7%hZTo_!<6(qi1!?zP?(o%s`AQeajQh`(;6*#N{ z`8`lqyELb(Wy(aUKq`<5qyl%MfKcb)ro>Q7gIu|P+f-9PT`5CAK}Jk*@^_mA$W5yt z1eq0vb-z$KsB9ecOmJu?iCu`rgkg{b*RBn6<-BbwDWI;DA)p{5ra0A^wn-vDn=`xV zX$}Ri9UzRw8 zDSjK_dXmoEpCow#JX!vHco1{*7eoL}``gg?Lj(pY;ePudE&Iz#FF15tc;?a~1(Wud z=$eOLFm?1ye=?7#;8RPEHHZvPF3&TzUj9yRYZ8UW@A5H&@FOl`6Z#m@81?sIt}Fe! z?BA&S=Y_yqa%b8Bu2o-sSK!K^UM z=uIKsOgW}W;q01@=h!Ezx-N!h$dMs4kpro5c@ukq+A>+1If@ zvj#mv^^7y{Try)sSNi zGN#5fsd=I&r)FBwUHkso+DnPpB`KWUbjgXP+3H4d@VP=@=r&-hn{73lBx-1oc5MNV$BW^$ z58AYLZ)*~TV^djO={DIDOa%_AKsjh#Syn2L3Zw$>Qvso^c)7A!VhkxT$aSUtTPu0f zLR}>-YeAtWw`72>#Y^WxtiM|8vYLb76xQVeO2~*QPQEGv6tfd#Rv2dVrV#s;t?BT< zgrQw&b-}f3gIqapn@S3(D`f~M$cQOU{_GGSH?4vkXx>szS}KqVqynixD)8`-|1a`t zv*2{KOqnPZNCi@XRN(Lm$l)u@0#kv#0&+fe9@{$~)#V(}8wR;@AFL^4)qJzz%yuyr zYy60}M+Lt3eK4VkScgq}xOQ!jE9Y%fNda}G3;_ihF~wFofmGlU3J4_aosa5*Ytz_I8RWXo zKA2cR{*Diy=QI-rw`72(siAW|e{1y!sl&QlKndxZsi8Rest8cbPLNq)SU(V}98@+A znr7sJLpw?ALM$c>gCw{%?SF<2a$RTM{%QqPO?QaV7wb*dX1K&>R(E5L{fjZfvCUOCILuQa@Dx*SD9r7UdEo z5y!h&-`YGA8l;(cx2d||+OJS$x#*l|8k2F5>pJsRte{N`n^&iSIQS(4G))biGjB~oA+;-I2q;L`Obx}!S4Du_ zvBWn)0(|0p?+m+x+eK+K|VKrDEoC{H6Lej7beauv(Js+(x&!y%f>Qy zDv%1K0;#}&0?VRx!1GEjGBJ-%m6GMHS;)03kS}_m7 zE3C@}l#s4jsN&?SB0w?wGU*Fm>&BFFP}w+WSus#>XeWtXh$UU@p^L{C7fy8T1qB(G z!dJU?WApR31(DWy!gE(A1sO5L$<_QMKruT(W`$v`M>(i$9P~_ZXeWtXh&`t}Kgl5# zNCi@XR3H^d1yX@jAQeajQh`(;6-WhAfpG-{*TGGRA=W{z>+IiBaowZYRc4^zu>;ta z9kMC=w`3NEur3!+LPkt+@>LO_nEfdGV73Q0P-%6csjjuV7UEYId#W7!8m=3Jjo*k6 z+_jk9LWS_Pp29&*%R$d_-afm6J;A_*!PQ(r;_EehJAo!G6-WhAfm9$BNCi@X!zz&9 z19i1abGlllOq2?w0;xbMa3=}~bq;Py47D`KmHW3%H3ig_G6WQ4#1togw@HB9vPi^`3Nm7fQ=Ms>Bm%TKvzwmg zQ1IFT!r2iMoZ3lZ7h>1f&-r-{sldG`u-y0WrQEDD6-WhAfxA{fsor1h?3OUyMt>XU zl7(!S_z{<}34M%cjQaa9*OmTV_HWev za*c&<-1Zx7F3^A9G+q(~C;uue;qi}uzU#bH-GVhHe1d%XxivQh&zPOrU{)Ar^rjGR zrX16xaCS|{bLF{HvE*Ru1VaBh;+bV!|*;f@{|XxpLk%l@w4{$`DYH5mTJ*ecp~Vnm(yODv%1K0$+my`TruX zc9l<8%an;yfm9$BNCghBfE>QUEHD+=DzAb($WNi~~XrzUz3upFe0EF%?oxdK8+ysxs^F6pwf4>-tmo&8&} zf;KJGol17u%!I)$8K7xu=$y~rT0KJQur3!+Lb_&ZC{DgA0u-|oWL6l~ZA#^!vT@Kf zBNrUnNn#gbF<}@a!L@1sGjx#aI`j5dE2wI^tIR;LhhH*4(>@wHW!@GmR7kxmm~@y6 z=o#t_?LUPhgvab}pSQ)x2a?1t6quF@qynixDsZO?EN}kDsa__QqSLr}qn>5UTm3Bh zF7M3Vy2;Za6?jhyeNxZgppWkx9(;uiU@2NFltPBz9TCUqnK_uDzfj<5Kv14Od}4jNEd%%`>4vnu&Lt zstc}N8{}I4#ia1Ldoo`(e&Wiy#kAQC6+GOM0lJp^w-1U)^$Ku7B;T|199+6256cZI%nRRghFap$`DYHu9+H&ldp;Z zxoH)IAhW{2d+WY^kft?zQ$qd9)^tts*MfX*{80Am#A-gy;x0^_S!SObzokv>?Us#Y z?o=QZNCi@X0R@&t>wxE#Tx4P%oyI+LovpbGw(_7 z<$fzDjZm8%qWuQPkd|y#400_~TrHxv^AT4@aMK8l_f-rO!rhVqnkI(&I>iMO)3stA zf>&6V3n(F7vrxs!S4Dtg_GQu+yw;5=<)E^0(6VBn;LuJIyAVsd*h3eOFD{(u+6xLY zE`_gl?Z)QkZwn%=^MvQFP6{$&ij%APNq}N@g3JoTT90y2**NH#;LuJIyAXR$cYcyX zDv%1K0;xbMkP4&%sX!`_3Zw$5Kq`<5qypm#2(E*h5<{$mT-VvZrQ*6rv#ZQN!D9!o zEjwgW_HW573}Ia^poEN=;^eC$Kr#D4_QC$2?ZFLHT3y((>sq^OA%1nSr^>Of;krTC z_>Bm`U5nW*R0v<|DICfm9$BNCm!H1>~#k zJR83s1@e2Ku6C_XSId-%Qh`(;6-Wi{L;<1B!A*&wmIk?U|F)^7fVxtKfP##e;^gl( z36PssK?pJ{4C{WOa!}bg=$YWqP7=EiiwVOZ39elm_(KE+Dvo~1AT9gL zN-sEcTX^QuA_bH7m*|>@Uods_On)+usNhpejx~r3?!{1$o^^U#lPElXmya2QA8{F* z(8q|zsJ{<$UFqLt|3=*}*I4MrZNJgx0{!<*<0VmW@~^@Y9{>2~y9WUc>v91lq-&pk zZp}?0K4xb&m=%T@y(z?-DaSM^oL$rL9Q#C-e4ogl_Rw!__{AQd?eV}@Sn$Oj)rFI7 zv-m+S(qX$K`#Sb#)}Tj<*7(D-7B*ZKSbN(5pIej_`y9)a-3d(x@XMe$)BoF8U^piw4U@*|uc5J-px%_mWw* z+%spHF@!D&O>e3baWFCWDvXHF{7vs6T;px=M?(~J#~uO&_pW{aZ0)5)?2;7DZo1?|(`+Gh(Xf`%++w{U^NEg7I|@zS{vySAVl)H*omncxuC zu3a1C%6Z#VQb1iPLqI`B zOmVvRc{|c*`lJG>Kq`<5d<_cZ|BJlZRX$xUQzl9UQh`(;6*#;Ca`+0fz*JzbfSgaA zM|ZMINbZZ?KPQrDv%1K0;xbMkP4&%sX!`_3S3fw{C(La)ogN|n&?5ma*#T* zj8x#|3J4+bzRG61q|5&QxA(?6k{rRh-W>9hI)@@83YV8ygGLB)mVgLQp5)~t2!aI2 z>^BghCkRiTzz2}A290adqCKV_f#w)`2pIyd;_Io(?V5_n%$e!z>7I=sI;X4th(99! z%C1i4^iH!6ILLLG{adkuHZ9bhN_N@IguyKtplNF8oX_7{JwocRE*DTjx@Kx9PQEGv z6tfd#Rv6Z8O68!kanLj)7aZD2Vi#gDVHhOAwQ1iOI>>dIdHc}{s+#U9Gf?c|mkiLf zPlisJx5Ww-Qtt{T9p(ahgnC2!PvHpRG5hQ1Z87qJB(VzxrlkU@Kq`<5+^7P}oB#Dx zFB41AY23U~&$8vMeinU~cV=(hA zPh}P{yfa@D19g`HpN@+h@#9D{T;gJnU}J8$-$AZrMkyKNovP!;Ph1(nU5nW*R0wxV z2I$(9eK4{LLs*v!C?O-JIQgmwP|UvEtP5T*+`qL*A-tU=c3HxoMMA!=J)|A%W7mwO)`bmNXsl@cxS#Q2I?*YKIxJQqynixDv%1K0;$0DD3I&hb6ksZ z4w8uDJ*;p4&E}cVAkDUt>1hJ+JMV}=cKU1r{j6|`w#^BOP^2ft*1rm3NG z=B-I6q;{nY0R`!rsi8RestAyqRzV0dD-681?%M}xTC+DL)URw!*Cc-_$mhnd%05o4 z=F=?h!o-QEKAgBwfBf; z_SVatcq;I&6v+GSSuW?yd(wNk-wH}2)Mkfhzriu2C7Tt4T+0+!i|Flq#FY`;G(zKj z6$6EEw`72(iJ`tualyoNt(b@471refN=Vl%RB`fE5uliTne+v(bz@37sB9dxtQaUb zw3Eax#F8%d(8c4k3n#kvjDn0y;j3M{vHAJif=KH;;km1mf{d8rga2pE9ZIqc+rUI!zDv%1K0;xbMkP4&%sX!|5z7_Zv zp})aR30%82$aR_hTdJ*lG`q?S6g+kS+pMnBsK1^R`faS}KqVqynixD$rJdH_^0I z;A$1f?}575r8!(IQzl9UQh`(;6}S-vggV^~7X{gDmvEwMgIu`})>KkJT`5CAK}Jk* z+FaQBfnfo1(<;cd?B7}`LOtzDs|&7O8|2D)+f-6OT`5CAK}Jk*y6JhlynaTSO`lZY zIuww4-@lG}vWiq-L;)FbN}p8VIu%gL@>e^%k4|(u_{ILE;2@VQWV<9s;ACm{;qK{~ z&mZboIN~3F8Wex{#Xu$2FBzm|e^}`Shi(f`Tw0`H(*6=%^Y9C%j-Kg{<`ETqXvwh# zk>TX>JYnnQ@AS4NQF#0=A2SF);xaa&j}eVge;ww!(EpeH9d*B4W1$Q+h*~DT%^NxN%nQ@ @6s__5CoOEaEU@;r0UlbE z75gpHY0tG5d`wpfq9=!5U9rXyWj(KUy*JftxEgY-LB`aWCN)p=a>+urE?ksjKURQvot~_A%5iN8&@|o_e=rZlYsVe}1^2Ff+g$2BDfpz0 zF0rj{6sKLmg|lWpFmxNR)lINArC_y3gB>ZcX}t~1hQ|tWOa)SbR3H^d1yX@jAW}d8 z;^oR_Ar*0K&JS{3X#dtq+q7`9LOxb4jBd$CxHrU0=R&BzTI-R53qx3!3n(EYra1Yk z2vE#UkXd1v(VIf-SGK0Z0~3aJrPT%3t_^bKylpBepsti5pdcfrIQg?ffZVhSaz*o& za?(N7n=o#i)G40sX!`_3Zw$5Kq`<5OjAH$Ztr|l7hJnG$d&tG zO(g}?l`;erWW*GwTi*v0ns5bDkWG7>=-MDx&fBJv0_sW`0tzx>iqq!8*5AemkegOP za{m?;(o%s`AQeajQh`(;6-WhAfiF;j{C(NQF8bkOnKDr-@I@*hblu+hs4loRjs28C zuFLF$i52AU`0#m7GhuK`256cZI_LAZR*#T6tjh(Ikgk~;ij%L30LAPCnH7fh1F_0M zW#gb}MlLwClf*8>V!|*;f@{;hGjx#aGV}JM6;w6dRc4^r!!H@2X`c+8GH;6&Dx}^O ze1?CP*#1*EaayII*U#H_Z#9XKEo>h!Efq)wQh`+91{GM|{I92SnOKTWg)+p}EFnfIi;-#V9Q*<5mvH##-*g(S0jDzk{;o%xy=sJjgK z47mtiG{Yq>_6RoShWj1lT4t1zG2W>P1y${t1yIhxquQf zVv3WmiU7sz%gwsr^}_vIixk4!Nn#gbF<}@a!L@6HT;uchL(W@7U*`$WV~s(3rZ~9s z_8>qp`xVVw3O$?gH-N9o__Ncq>|qx1Jrie^@t2X`(5CkG;=Xcy`(o)%0?Wzsvp=2r zniwc{YHtJi(E(MD|MYpzzKiv(%`-s`nu&Ltstc}N z8{`__2WwQ;Ed~d7$LF}MWT4>TmJHCf+`qk3M5-Eh6*C9H$<o2R(p?lW^cXRiKhbZN`buJp5=1R zyeGYv`>mifLdCmH)dkn4u{ScvwM=n^&)<_-H(DB%5!^Jg#OwwN;cm$QO%p@+8$m9Y zLR9PEpk>8C!NKJU0R`!raVk!=noSY`+MHRhf`SlaRv31GaJFZ~90aF!lGue<>WDpb z@%Ze*iLO1PAmdW_d<|D&^7FR^kybf)9%~HRGsVHx{2)LvJ3(fJVXa3wsB9ecOmJu? ziCvB}cBuoNv{c|W6p-5}GpkGmQh`(;6-WhAfm9$BNCi@XRN$Q}AoMr5DKR9)AlGH~ zZ>hNM(d;TSQ1I9RY|9SWl>J*W3qx3!3n(EYra1Yk2vE$v>^~K}-fSQ2F}F}dyVB}{ zYu5(3a^5zT6i`>n5Kxd2Q=D#h-WJMFO9fJaR3H^d1yX@j;D!~*?}575#XDRqQzl9U zQh`(;6}S-vggV^~7X{gDmvEwMgIu`})>KkJT`5CAK}Jk*+FV#JM&Yb5xM>ySTJ~?P z6rrAWrPT%3t_^bKylpBepsti5pdcfrINkKTU0y#U&8AN(a2*Orz3*Q~Jy}I6Frt8r zIHgZ2aGeS$W%;X}-A5<79sDxRB@5Xu$q_hN+I_ftdgk+oIu?%j$DanpAAT`ViS^qD zY1toEdcmRF!V{MkDVVgsMAtn0f~lit`lES71s_^+tU+WrxjawUdiguOtw|IfzstuA z!jHI&P3U7pW7J=Vxi0koWq(KAFV|S;#%;gR<^uimRpTX5aPqIh5+48f>zmF?)h$?K z!V~2Fr`FsQJY#ldgIQsi(VIfNnR0cfV@-z#c2AXjpUCg_(64Ry*&Yw}xZ^7<_+pRh z!pXK-{2&+Uuw9aU9s4tD&?7}_{QgM`8!ij1y={Pp7G=eLi*(v^tpy*`Rf6crp;uR| zaYR|qt6lF+H5;ym9BYsWGM6b$+>vzKY|l!E#o%Etzf)&v?YW zWR@-W%vtnZ-kH61lb^|foBtb{zG3c{r_PCY)b4ok+G~pH(iQt)9)n!6kgW?B<=BrE zAYP~8Y6l0`cw79z5Cz?_hd{x-Yu`4PdTznmCB?y3H%G@^!3EUJ2Zn9~wz>({rWCC9 zXs{zCHm$dT+3;9Fj;TN@kP4&%sX!`_3PcJBK)hVpETkfi&G|vD3+>-pX`2={D`W$O z+TD@?x)v{;3$gxct;=c-f>T(R3n(EYra1Yk2vE#UkXd1v(VIf-SGK0Z0~3aJrPT%3 zt_^bKylpBepsti5pdcfrIQg?ffZVhSaz*o&a?(N7n=o#i)G40 zsX!`_3Zw$5Kq`<5OjAH$Ztr|l7hJnG$d&tGO(g}?l`;erWW*GwTi*v0ns5bDkWG7> z=-MDx&fBJv0_sW`0tzx>iqq!8*5AemkegOPa{m?;(o%s`AQeajQh`(;6-WhAfiF;j z{C(NQF8bkOnKDr-@I@*hblu+hs4loRjs28CuFLF$i52AU`0#m7GhuK`256cZI_LAZ zR*#T6tjh(Ikgk~;ij%L30LAPCnH7fh1F_0MW#gb}MlLwClf*8>V!|*;f@{;hGjx#a zGV}JM6;w6dRc4^r!!H@2X`c+8GH;6&Dx}^Oe1?CP*#1*EaayII*U#H_Z#9XKEo>h! zEfq)wQh`+91{GM|{I92SnOKTWg)+p}EFnfIi; z-#V9Q*<5mvH##-*g(S0jDzk{;o%xy=sJjgK47mtiG{Yq>_6RoShWj1lT4t1zG2W>< zZv4cR5!|(y-9m+Mw`72>P1y${t1yIhxquQfVv3WmiU7sz%gwsr^}_vIixk4!Nn#gb zF<}@a!L@6HT;uchL(W@7U*`$WV~s(3rZ~9s_8>qp`xVVw3O$?gH-N9o__Ncq>|qx1 zJrie^@t2X`(5CkG;=Xcy`(o)%0?Wzsvp=2rniwc{YHtJi(E(MD|MYpzzKiv(%`-s`nu&Ltstc}N8{`__2WwQ;Ed~d7$LF}MWT4>TmJHCf z+`qk3M5-Eh6*C9H$<{%=B-#kn-(^&P6Ki9O9p6~ z8aij*nuJ1XSIQ7jkgk~;ij%L30J&)ugdnrRz zG~QP+PzZNR256cX>gyC2Oib5`c?e!%T`r)6bj?B)Ctno-irJS*U+`Ktrj&!q#zD)9 zfr3LjN$f%_>0%FEJU+W{qHE76$hZ`~+O->-pT8}Lw9XTryE-Yzh$&94<_7_a*$FZ$ z3~N2gL1p8hXM#gJN$f)GG2QV=4yiyYkP4&%sX!`_3Zw$5Kq`<5qynixDv%0{DUPZ5~kbeFXLRYknNHjfs^IWhr6d|J}<5oj`+u)2E`vDFi?s0 z+XrdcA69z7q1(a}mli3Qw7*2xJp6*Gqi6b~c|-*tT5_yGWH`AzPuP0-JH4$*6du3J z#|*-cxQtEcV?<-rUx&Fa^#5glN8K;iSm?%WztQFb{qt4hB~ftlufh@@|M=^h&P&xT zSYyHy7D+!Q=xc4mWFVVKdILcE!BOq0UdH672fd#dF7M1Hr2er?0g_IR+z9baL= z7kg9}PPWbB2f0Xx?UL;4*q>R09w}Pm_fJ~ba9LpOZ38^CC@c0`q|=^jE%=zO5=2i9 zy}DwJBg%SS?Rsyj*>E-FSc8nIF->Zo=*gkk+nS_NM@0Op^UGcIRUBsxmb0>L$#i>o z#v|?}vuwF%&Z6(~&g`w5{7eqq{NK>@4RgOdbxypacE^j?UQ<+;uGk0j803PB(!xk6y*Hejop zZ8e)DYG{ylZ2=GWVkk)0+P$qw6rN3G_1bqcq(drjg$k4_tSL)M1yX@j;QcBfv=%Q{ zHcN~l6$ZJMod<<;lccU=)}_vVRa=7y?pn-lp+dM@GCMnBug#uyxN|fZVhSLXcTu$o<k_{mdzyx zd81P^Uq~{mr!tEe-kGn7fx63pPsc@$_;I8eE^)C(urW8>?;zJQqm+#CPStVaC$5a( zuEp#YDulZw19WZ5J{VbrA*{;7o-DSW<$t1usO-XhW}2hU@TL3^e+xSAgXC}zKkc}t0BHvR_iRT+PFdX_!RBEDzh z%rgEm@*CRJ-d@}n_k^pmWi>LTCYi!&q-7Q{yfa@D19g`HpL9tDQh`(;6-WhAfmGmn z6v*}MIj%)H2T8>7F4ng;&x8hPCf;qTF1U7WkZburCWX)4lli>y6Ia$Prp<1s;Ng}G z(6!vZy;DT0SFo#?IS5X!<_c1|To*hrVQ@VZWJAJ4TiVp#-mbGkfdhPCONOR|@3)_AHlk<~`}X+;0V?5o)tTwBO(u(vr=JL9S(rt3~v7KH|y< zZW^KSzKVfDxLYzn)5K6;r?_BZx>n3X@Cxg40VSks7OFV;st8cbzD)Xp*SayK98@+A zT2>4c9NI}@7h*{ld+6fv*@Y8bdqzRVrSR3R-Prv6Z9$}Up77k&NkK+TadI_32vE#U zkXd0^>roCW8wWiT9NI}@7h;d;j!$w(1yX@jAQeajQh`(;6-WhAfm9$BNCi@XRA5{I z!F6y`Vu*E+>oWVdR9yFHc9j_@cA*{;qCp&{WsjT?_H6i#=72eGS(Q!p3hz2<}?UZlOZ>T2JAirsbe#Id7j_!Jc5?!r*GI zAo2AYzMVjmmI|Z-sX!`_3Zw$5z||^{-vf2AOLMqbrc9IyqynixDsUqT2z3r_N({9$ z$d&uIO*IA7l`;erWW*FFf4511+_VZpkXd0^_Y0MS%Em#@1c!E#*o9b37zRmj?b;w$ z&fBJv0_sW`0tzx>ic_6wn8LI=aPkNm*fbXEPp=SJw5YzakX&7KmIf*{t$tI zO03^LNX!1P(hCmV7M{4YNWrB2CA#L}7fc;J(;v+vD)`WnV+|t1$>n*%*2~}NZB3%^ z_+36`5PrmEY(gI+8l(O?%ypsvFZ(;{e!0d%H*WilHW%ohuNp6jf|Gw0mhkw;U*B|I zs&2s=6P_UVKegtj;2Ecc#hpuCEq9VyFK)48-BLOgFWu} z3Jbp2qq=aiZ5BVsMLKMkWM9Yr%o_Aa(Hg&h(!z$z0&8y@;GsoXvEL${_FQYh$8?n- zdUEL16>A((*7IuDdsEGZt0Bi4WK4}|Qu9Pl4$a=yB#k;E;#Zwt?xL^aIBT$+m2FF= z+ru*+aW9!=%RO@zeV2D;Z{6f)a^U9whNf?r`{k)~;vKa+UcC02qPld&KA6WKmn>xK z!bLguV+DxUX}H?K!8P6%e=tNrckCfhaPQi;Pu5;a#4btU?50ajG|g5wii6J;0zR{^25 zc)7A!VhpJ;$hGV|D4d%lbse)Vb@r>;8bol{Vs;A^!rhVqx)v{;3$bep%0aDzgPsWv zVO=hugp8QtRIAx=3DD-ut_5=_coYPi(Tcz#WH20R3H^d1yX^lS3s`5!YnWq*ef8% zQ^(QWa8dBhb_plCHprFxU`-_j)Ri&>6lBB{r_F_}d*%YwWK+`@MI%VD#D^y6mE0}bc3+NH*4edXLBZSB7ub;QY$On?dE)*kPLn`o|6v+GSS+3^Hds5zSolCTA zE;+~>otpVVl36{KS;X+pd`%40T?TwQE^@?=Bh7G$i#>vkx#4~Xxt1BFWQ=#JjvGI5 zWdwIEX17ow+$|ZPYg6{Y$SMqBT`r)6jF{r&t0F)#`*O1`c)f7{)*^-Qc9Pg-34ay| z`MUOuf{aVy^EF(B`H=G#kybf)9%~HRGsVHx{2)Lv`&G|qx1 zJrie^@t2X`(5CkG;=Z^iT$L@WktsFF6jmcGvxwoH`I;E0yA1fGODd2GqynixDv%1K z0@tHJu5ZtAEy_7aB93>lzO{KKG)Ob?Zc}x^wQGZ1%l|PceD0pi=Z&AZvTiYLc0&aZ zw`72><^JuRB2vAAUB%2naB?+Qkjmw{;DHH)>!BbU5>7OY88*munRzQ#(58jWYrsGp z{E`8hriRX$waCruO!hjb-jsAQeajQh@;lmPPA;=Y?EkVji8wJ#(F~ zEK#S`-XosbTQ7IwsldBZAn&(lxtufaN$=%;D=3Xnn;oM42FH+=Y*q|%EmK@AqPO!A zS4MEt2#xnu3>3oMk^!0~hWa|i1ryV?VjhB5SeFYZAziaj#mQGifMWJ#(ignejVa}z zvT@L|VxZvAP7=EiOS;%Y7mv>_oaov!3NkK*uXgRm=I3t0b8u5) zsHH)!+`nzADWI;DA)p{5ra1Y#O#P*`t5unYP-Sjkvg4YfZ&W@Pi)J_t+5WBv9j?Z&Q1#U%w z<-UI_g(Lp)r$OgbvNXdY3)hn5^`5E)J`&l9#@{!VXe z5{1X_@-c((BQ9eT`WVp|_19sp3;loD-%vKzcu5qT{Hw5p$3Oo1 zrt?yD3)YzM1iAmIH8%y%n4Q^RRv2dVrVwwY9Mhz5c1_1~?4Bz5K9S$;pHe421d)ojHEy{}h7U{I-S_?j= zs|3-LL$9t_Qz)DaQC>ilvSeHF)9gXOGj zTQc1qp7Dr#$t+v$nX~A-yfb_2CO?w{H~%*@eZ$-@Pn{F*sNM16wbvBYr7QNqJO;UB zAzK$N%CR3SK)g=F)ea7>@wWJbAqu)<4}pSv*S>wS_EI8tNeX8-U2>vnwz^Rqe6A1} zx((RsW?RiBi5eQDU0cAzy%-A8wRUf75`|||S-tk%4C#;xT%iKx3Tw)eQh`(;6?nf2 z2(87-mCX`kNQFVJW#>WR+$5>%n02YMU)9zig1Z*8Tc{B3mJHCfcWHfMG%m_xy92MA|JOmJ!^iCu`rgkg{b*RBn6<-BbwDWI;D zA)p{5ra0aDyd7yYeNur`AQeajz61sG-$h>RDjzPEDHEjvsX!`_3S7Mca`hEvfvLb= z0Xd#Jj_!twf^W7XTWd@2p{E`8h_Q}vG^R`%_Lh4SbanI*pq*>RGnD)z6~u^3Lq7n>-y-f%l|9-fz!xHD}(F@_y@F zqGfZ*LEh-p%omc(>Z!~khIi&`VxaCa;L~xDBYqrdhD%)R5p2v2_dCe7%qS&eyi;}D z_=zhcxN9-Hg$m(r$pBrOvJXa9VF>GT0VQO_6enL50gBm|n{~nKh5NS_DTKF^#4bzt zvq;F-wPzG$TneAB;VR6BoVSRy%E9wkW6+){4zA`00gBnLV%}2XnT@{zd{xGuot|Y6 zvxx7RIJ1nujQoZ+wYL}d#XaGwY*~#=sY#}=8flqD4DZa>#6aC;z$aZ&fm9$BNCi@X zR3H_&9tCoJdyZ>S&Os7!yqEQ@&|rJ#qq^YQwLz}jzilchpsti5pdcfrIJx^^cLL<5 zRgf#%2NUXPS6W?gZ5oqtkn1w@R;(cZ3N3F9IQS(4G))bi^Lq!AP)O}c83GE@HB&=z z@>LNaH?4vYWL6juaNj;i)0(|0p?+m+x+eKcK|VKrRrYaWHJ@g27beauv(JscrA_Va zEgQ?+sX!`_3Zw!93M`A(0nZD$$izH4jeF)gVOgS1tG!1&v$tOE#8ZKHr9j?q&vH3u z-jm+T{Z>#Kp_p^U9>E63kd|y#400_~T*=tk`G_kcxM_sO>;?+qZpi>m6GQhKK`xg< zRO{fNWyL_j!Q~181?ie`Do(YUO%egxoLTpu6oeqN!mtB`vpp;3AUL&?#4f~Q!Z1jJ zYu5(3#^-H*{-#fI~4HZ1rdI|?M zEeAczdHduF_5=eL23KC6UP(o%s`AQeajQh`(;6}V{y@_V2zcKHq$%an;yfm9$B zNCj?00in*pO^KnF2Dx(owyCCox>AOKf{d8rwckfP}w->nc&b) z61xzK3Bw=>u3a1C%6Z#VQb1iPLqI`BOmV6+ZIeWRHfMIz(;Nz3J3u%)VuDjUN$f&w zS}KqVqynixDv%1K0!;-J)L-rFmM~2sz+c9>1b?$#65;I0^5?_d(=(rgaBbS3hQ=Qv zFi;8i+XrdcA69z7q1(a}mli3Qw7*2xJp6*Gqi6b~c|-*tT5_yGWH`AzPuP0-JH4$* z6du3J#|*-cxQtEcV?<-rUx&Fa^#5glN8K;iSm?%WztQFb{qt4hB~ftlufh@@|M=^h z&P&xTSYyHy7D+!Q=xc4mWFVVKdILcE!ByU$eNf!$Lj-zW0BJ@jiEezwPhJ?{7l z3%=N+x^S{>7C*>EI&7C@U&sE;8uUof8oz(i!iLKNYi}Fip+#A--y)s%Tx-F{bd?}_ za_H3+YaCJ5^J>?7Q_Y5}A;%hIOpR$$^F&V$&ED1|jXEOYSDjz(qOamOYp|S^ZA+%x z!!sUnFPUY_J#!X)mv?4w-Q;I-Sl+D9#P)0&eRjk(1mwg!UWMbuYp*G)OZT!J%wv#C z7BYXG#H+HdZH}&+Gi%Vo5&z(A@dtwymtAEcP;l?sx6P%VTd;OXaj@0R(Q#LB0X6f1 zq1%A1Zi2Nb1*<(8>_~}C>utTTN*2FMkhE0b))XkWR&dsv3Zw$5z)dS4R39%_HcO15 zMhCerw0~AOKf{d8rbnEkWq|x+A1yX@j zAQeajQh`(;6-WhcLIFNxbM=oB#DxE)z@9Y23U~&obVH z-_mCG_LhBR?o{C73grFvERxT>C%udN?YW!a|1q!1X1cygdjVyK*SV70p}9Ih*k}fUnB)Yq)<$D+Z^m)#{m-Ve6M|mstvLCb3sB5XkXd0^ z>roCW8wX7@a>1dUBz7V8?8fKDugX47tme}!?!q;lW%jx8x3sCfy=7yWI~7O;Qh`)p zK!N3dwgx;ex5;AI<58|@yymWhE=_Q8q~Lmt=V7OaGH%|aC? zUljq0*$FZ$3@_UUBUJ3sNfNsdiwQ%!(&~b1*9N)9=WTxewjlaCPk0_{4B9ir!JW4U z0gBmQKX2g|hIW$Jh1j%IAQeajQh`(;6-WhAfm9$BNCi@XR3H^d1zw_n5Hz?cF~mQ} zHNFq_n*CcUc6WS^+e!us9&X71U7NChOIBeB>v91lWW*FFUljq0*{^6HY@pKWLQ`F9 zcP+%PF7{M8_BE^a&Cs%U? ziLV!Gr=>3^}mMIgZ0;xbMkP6(00z#dGn-W7U4RYoF zZBtDFb)^ge1sO5L$=_`fAUCao5M)*u*8M`|pt5n$Gr^&qBz7Sd6NW(&T)Q^NmGic# zq=33ohJb>MnBr7t+9rtrZO-hbr#Te7c7Skp!~~~ylGugVv{WD!NCi@XR3H^d1)2&d zsK46TEn%8OfWM4$3I1lgB*NK~<N<9j=Ep2vCxg%exuC=`sb_0OQPW9Uxg(+{_)p0otLUxu*QTZ$o)^PxhZ(Y z?92wU!Z4#Zg?KaNcAu%j1G}e6zE9+Ld+66T{A`a0d))CA7JRWsb>U>&EPjxSbl5J* zzK;EwHRzF|HGco3g$V@=_*0=Sch=82vhn!T+_8g)d(uR6cnMPJ2n)?hg++m=kXhi5$EUNXy;d*&?qF7M3V zy2;Pvu)JBHiS5}m`s|2n2*`3RZhG*pU*O z*4uhvl`MXj1`IU{Ls}}33Zw$5Kq`<5e2EGOO~=cX%@SispFyq*?cZ9-n-=Ptd08R} zJ-HdN~;U5 zT^r=edD~P{KwT+AKtV=Kaq?$}0J&)uPi^`3Nm7f)2;7= z2~D^HDafWhPIPUME9Y%fNda}G3;_ihF~wvanLit zp`9dlAvP@)NCi@XR3H^d1yX@jAQgC53b3}mdduIJUF;GaE|%#PiOMTtGc*-=TLpyl zgPRiFO4&~tt|k7ieyfjIaj12jzyo%8uyD^y6mEBFlmyvx*3xP4Uw$W5yt z1eq0v7w&^KdsB%0%GPvvV8YO@w7THhwC@ZZP!fV5N~6-WhAfg4p|dGo)X>SbanI*pq* z$XT|$)z6~u^3Lq7n>-y-f%l|9-fz!xHD}(F-o^d)+)Z5F?=fb+kmM|L)FCO(xwD;` z`I;Cg@(jJnabLs6V8=m0nAyUU;eK&sx)gf^n|!N_IVfJ6B)ixK8^K+R*)3EEU+XCx zJl!bdTxe7F!N?{IVO=hugp8QtiB`v+y_IXb>E5S zvBsc1QyhHF1t?~}qJ6M|N~;S^HH{h8?planUF@lH>}yyr)GOw#G}#Trq1ID4sA)N9 znHo4}|JL*oGOs!n4o>TG0mZ9prnTbaYc4=BJ3(fJVXa3wsB9cG&Bz6Zc9PhI*s~j- z8^0?1II)^fv$zY_be7rY#^2JW_V$*IW$siU6-WhAfdK_riC&e-#AZt)MhQ#k)<_1=psrH!{ezOmT&;?xMJ{jmija z8d+j?1BGxuElA76z$yD+#fTw~>v9WLLb_(5ij%L30LAPCnH7eY?Sm02_UI&uU5Lem zpgeVr#fk2MDEnd0Ei+k*hb?604<@C!pbN$f&wS}KqVqynix zDv%1K0;xbMkP4&%sX!`_3Zw!rQ9uY9+>{vNALJU}2Yb!_Efu>vKF4h(0|gJaWPq+s z*}o;LFobovfD$rdij%L30LAQAv=25=X?3BguC==s;#U`YsvP?o)(uOhc)@QyqZ}+6 z^0aL+yTu8yYdwX7H3`qVmh<+>73?Zz4uX@bxq`&k3$>T2aD0|SDv%1K0;xbMkP4&% zx2ZsW57fo3+u>rFGEpj!3Zw$5z>O#%)H%2*G1Sr^SMJ|7)f7-y$`DYH5mTJ}-6jEY z(<%r-W`$whFH{aH8wWiT9NI}@7h*AC7$m{9YlB=lZ<|UAs4Ha%D9DH@PIabjk_gb| z%x-#`L&0kY2xmu3aB3%sU5HIf1#V9PxxJFJ;#A-+=WR}c8&*K6-CynOmN4Bme;MbJ zg>0AP2%Ic`K0Lm{PR@K@TrC{&k3S8HKSW@l66?1Q(y~9S^nydTg(og8QZQ+MiLQD0 z1ye`Q^hfiE3O=;tScAymUJM24S*N!(iNfP|`ItfY5tp$EeT-;~`s*;)h5oA((*7IuDdsEGZt0Bi4WK4}|Qu9Pl z4$a=yB#k;E;#Zwt?xL^aIBT$+m2FF=+ru*+aW9!=%RO@zeV2D;Z{6f)a#-H1&&2j@ z8hv)eH3a0uJ6?t3#cQuAs!R8>9n52pOBOPJoy4oMu5FI4n=@{qs?!vhnBcBRz?*RBn6<-BbwDWI;D zA)p{5ra1YtLx9}03UWpBmU7Zkfm9$BNCi@XySw~%kr$f%mQlu0ZUk402s&A55&Ex<|9C z%s?Fck^!2ghR*r?traSy-W7a?f8J$kDBQj(0_3Ju5Q5AK!wdJpn!PE+er0PqJTPHs zS6W?gZQ6H+4su;)-hQ-#VjT<=d-x>-H0_h2Q|4_k35C?Ilp&xXU2Fd-93kFKt01qR zx9#3)5+R#g2S8dXkP4&%slbgYu)O(SPxUgf6rIM+8{{lo-s)%3cX?;_)=i!cslaeNxZgpp zWkx9(;>xQaw zP}w->nc&b)61xzK3Bw=>u3a1C8lSfxa^52PI!|~WYYf^m#i7o$Q?7#mot~*_737NM zE#;ie_#41iW&GLcS@tlC_@0R~%lOO4Z)j6{dvRa6zJ0NDCxPYU`PrY&d`%1#JGHlg z{OOVkqynixDv%1K0;$0B6v*}M^Yrq)i+}n&XWz^ER*<8;^HE)J?b;yM_&!);XSrJp z4(^W6aev1^!NV;Xpli8*d#8w0HSQ{A4uX@bxq?(K*X8c6;Pfn#V?b+QGP>E6s9iyJ z&IgJib?5Lk^Hz*!m$ul+Gt65xmO1m*Borg}r3?WD>6)pbIQgmwQ2Pp+L&1yp)_waR zO>6e1g!+}O>6+v(1^L|gRoTah)qI-8U6?qt%sw~%mNvDww`?qPrvj-!Dv$~cD6ss` z)_~`QTx4P%oyI+LoxtkxEp29RZ`oJoP6aNmK;Cc9BKgdF(tEkz3Q8jsbFSDU*x(q_ zlFf=iu4RfV89O^4ab*NIjnJ6gKq1^M8K7xm=zb%};9915M)*uc7SlUXT=-@r*@Lqg;-1&21#)3+922Xyv@(w7DQj?3D0AV zL3^e+xbyZPKr#F4=Pmrg&`uJ&Ea6b3r2;pkfZR~6S!ybf3Zw$5Kq`<5qynixDv%1K z0`FG=p|!zHi6IpRxyJXw8avBF1-Uyu$89AG7bx740lGG2|CX%65Z2`aO2~*QPQEGv z6tiE&J{Tpo1XAEldz|Q6yK5nSb+M<)@g~Xcw+uON3nE=&|MpJEv|gUV!J1Y%xT1MW zIccdtDv%1K0;xbMkP6(U0{J~q7rSnUi)G40sX!`_3Zw!zqJU6md*`FN;M%o8uH3(E zDk-3@lp&xXBc?d{dyfL-rd5zD+6NQrX;)fZaP8V4SI*m}k^<^V83GD2Vv5tP&)bnk z(31yX@jAQeajzEA~}zWvpX_q*w~O23SA$-=Ztas*D6b|3DZp85P+^Mxb+@uxxY zhhGd-V*U0(TK0#PUU2BP@WiD>3MTC@(KQdhVCv|Z{%9Ui!H1R{YY-VuF3%ITUj9yR zYZ8UW@A5H&@FOl`6Z#m@81>g-t_%Ht+22w3%QY6daocaSxj_GX)p$u1ocyb>gvUSr z`lj&QmNYNU)fH;y7!toRw`$ zrrX0a9&s<3Wy?Kt7JZj@W^di(XL4BHtk1;uY#M!b#5Dxu#5-Pv-@7lM`rJh@`c1dxt)y>gyS8xF} z^MRq;fURzVwJ8OwJsRvtiB0Qmy|79aze@v#8igS(6-WhAfm9$BNCmz`1%#&K<;rG> zF{IBR*M;_Pt>jG$bcaayH1&51e%0#Kam#4t8&i?Wm&yH_eftAOKf{d8rw7IbLw=n|b zrd5#T{kiab{Y8LsP}w->nc&b)61xzamI|Z-sX!`_3Zw$5Kq`<5qym>#Ab($WF~tuT z%an;yfiF@4q3gj-iLOBGrwnpkW*{H+x#q}~;LhJW5= zYAD>kDgxxDRS<&A3d0Nc!J54(#C~OKIy^98XjfWYaBbRmh7NLFX5N0Zf?^#E6npq3 z12pZEp;P8bFiFtGy_sn&|vP7L$dyjZ#Z@t`! zrvmRvfxO?I<#NuvC%u>Zt)MhQZFY$K{T*DJ#@@&v*D}QwzRu1^Tp7VlBQ$0=PzZNR z256cX>gyC2Oib5`c?e!%T`r)6bj?B)Ctno-irEP=D-18&2P0JM(Mb}!5Q_;zyVB}{ zYu5(3#^-H*{Axq>~xz=grpTtVXNh1zMUKq`<5qynixDv%1?z5@9@P#3#~hl^#(M5#b3 zkP4&%H==-0=isKqP)mbcxqsVKQ$SrQLqI`BOmXsen*_*Bs~`lK6^3=cP&ue<9P~_Z zXeWtXh{c3qkObGR4RYnYZ7M0Cu9P95AS0$Y)tRK3dq;R$m8Q)_Mto-sSK!K^UM=uIKsOu5}>s_?+>sgmy#`Q0A+wGBVp``4f**1$GWVdvDC>E(>%FOF!_|;u4Kk+2G^u%_Cx>QlYm!DC5%H_eFL%*bahx?+&dRnW z)9v9IkGPl2vgMvRi@wV{v$t;YGdV18)@NdSHjO?z;u->S;vKKT@#3}D6xF4B*$(D0 z$R!JzzfR&+S=Tm4*UgzVXyJ%|@V5Aa!HUbSvJfb^ckSEeQqL_|yQDbS>gMRUE4YA~ z`M}U^z*aZG+LVIT9u0P+#HRJOURWiI-=zUVjlz(Y3S5f<BEwURe2)HU<6L=t*(O9tp#ymT(a`m41rt2qcxVO=hugp8QtVkjQ2Dx${tf{1cx>AOKf{d8rbnE+ILKCh)3bJXB6I~nR%6Z#VQb1iPLqI`B zOmW&=*!tTT0dmtS$g-|1JYRnipd3^-4tgdyw3Eax#HOVJsX!`_3Zw$5Kq`<5qynkH zr4`8Emt9Qp!^JXXqEz6ER6yu@a8sfy5c?^ET$kAg6Dz3h(d;TS5C^|xfTpRTb3T7- zg$k*61)t%ccbOUrx37u-xoH)IAhW{o!hNu2Zwj$r*_sXyOc>ggRu^2G_MM@FT$h=* zAFZHR2Lr_(e#rn$`()^pd0R|EA+;-I2q;L`+J6d1hw?$1p{g8IHV%3wIJA?*F2rKO zFi3)H*9N)9=k14_w}`&Z6Q0K!gZ4~us59-9>mWdEPGZZ;)qSCF0afnrGAIeg8$730~Z zEq3w@^Hz;z&b&1V#mIdrLqI{gW@;!-zA6ILzJlgZ@Z!C7-#$pwn!PEZer0RACizQ2 zJ~w_<_Hkl0pJs6vCeAFg&yByOP3`S18_V3OKq`<5qyhs9EdR4L;CUeznV3hXanD>Q zuzGw;o7vl2_LaF)fr~4U_uI2bKJ%XRUhcPo(g?+zEA|LBIEJ)jvtp2Inc_;u&dx_% z8Np2>G-fwY2zN^cXqp(h-w1NK6rx%O2Q4cG3Jxw;2q;L`j8k!{)ohXo(B{m#|D+%U znH7c|Ae`-4F$ck^og{W4788a+5?s4B$TdE1^Yga_(bsvx^H^iho+%FQygdj|%>Md$ z3%@Y5lf*7dI238Azzr!NH&knunhK->sX!`_3Zw$5Kq`<5qynkH`&B?_ZE#a!NQFVJ z@qMty&hk(}?vBrKTgk!&3b$l{u1(p$C95!mb-92NGGdC8uZjT0>{qc5Mu{zf6nN7f zC%V?|T8Lj=?5T3RNwWJbL(bcRNSD~Zy%RF6m#1*Brd1BEV%`=iNlOJ%fm9$BNCi@X zRN#gc$nSx=*u^_sEK?>*1yX@jAQiX~1%x`=J0H~r*RBn6<^F9`Nda}G3;_ihF~!N> zdlVoyt%6*|J{X1E-3ddx(&~b1*9N(A-ZqsKP*=(jP>>N*oNj&Ijx?G+slfFpAlFk$ zR+0(~D6i*!odQZl{%Xhj-E_PDU&gs)VcI1*0w+tm4|h+`eEzNZ!V&-Y)1dgn zF9s^He)}LT`@>2vICNWh;?g1ollGVBnulL7b@WVsG>@p@Lrab|hzuu}=LuUcf2X%K ziNfP|`ItfY5tp$EeT-;~`s*;)h5ov^^7y{Try)sSNiGN#5fsd=I&hh}eUl13d7@vF`+chOgIoHba^%C;rb z?co`ZxR=bb<(@f=IFR9xPY4Z zz|d{LRyV=gl!DbB4R)l&ruDX7SS5?!r2#{Y!jP5 z(r1wCLi@K?@}`BlW?q&^LQihV09}ih&V^Wiwbo@d2f-<<%LSB>5mTIeRRkzzC&;WY z%;-%a_A6V{;eiQ5yVB}{Yu5(3a^5zT6i`>n5Kxd2Q=I(SAwX_g1-YVmOF3z&Kq`<5 zqynkH-Ch2>$cxQ_!^JXXqEz6^Q(#$Ve|e2($2YA&&fA;5d|z_$Li6pNkLrSJ*9N(A zAFQdQfVxtKfP##e;&ki#U_ukFKnk*Hj}u)R)4-uion2Z!RNy@+koViOT+NyHq<3+@J$DmV z_j`<)FC;n39Cb*FbM9=XX1*o{iabMaa@^OjG1zfX5N5XUWVl}(nJ&d1!6x78Vh)Pe zCdn?g!A5Y`Vs;A^!q<8V2TwN&ITzZLeK4{KLs*v!C?O-JIQgmwP|Qw{Sz%apQVuE` z2R#!U+DT%UMVhQ%z%rwYwJLR~LJ#9Qzv9 z3-yY5D@}F-aj5ka4r*EsTBZih*}pY?gv_f>g@e<&TtM;anrW>#`I-w*%ubM5VOZ-? z4k{Z5O*3-Ap`9dlA@=OX=f zR-#vBGO-$+#yxYLuq;uh)!rkX*;_An;;F#9QXucQXStj+?@90Fek&-AQ1Nb4b-}f1 z?2Qa^EmK_KtGg&}Y@;%Qn?{zH-9RDSPYcpAF>uO0STSPAVi#gDVQ5!cU2yH%AlLZ3&ClN!L|^9#&tr{2d!{(J^Y$P>G5hQ1 zE&RgJP7=Eio0bZs0;xbMkP4&%sX!`_3Zw$5Kq`<5qynkHOB4`-1~(;!_y@Vh_rYGX ze@n&gj?Zyh$w0xwEg7I|Q}%DkDhy#=E}(>rnBwHCB0w?w743r!R9anVs%!18h4|IQ zo+`(_hIPY|DPHhf&nO3rhCFRs%x-Z)>{?IZU`@jFuI0Raas|7JnS^rVyLA-uH3(EswtqZ zlp&xXBc?d{yG;V*rd1Gv%nHN0U#J{ZHV%3wIJA?*F2rKOFi3)H*9N(A-ZqsKP*=(j zP>>N*oa#*5BoUy^nceg>hl1A*5YCR6;M7hMyAYd}3Zw$5Kq`<5qynixQvn6_S3A2U zOp^%kmvJt^-)xseID4}E`Ed92%;z9noA#%n@rMWuRKorCL0a~Qm0ob@w(!KIMG7YE zFVQs*zhLUHStGgWwC_f*OEiTrL4{o00~?eSobJHEn#FZQS|oNSxL4|0(X+a=l8u|Km0 zJyNvB@1L}=;j+Nm+Xi@OQC94?NT)s5TJSMlC5WCJdUeGbN0jxv+V$R4v*BvUu?87a zW17@F(UU{7w>3$lj)?eG=a;+at2oXYEN5lglIiyFj7Qu{X4!JjoJHT|o!MJA`I#J+ zH|sO8J)1_K9dQi-Iq{BH;dt@dYl`a9y=({b803ijzM(1jtRRAXhYRDJLxzNCi@XR3H_&yUTwUd9hh=xLBr4lnSH*sX!`_ z3Zw$5z%&H}=Jw7KkJT`5CAK}Jk*y7hf9p$S(Y1=+O6iLMQD<-Bbw zDWI;DA)p{5rZ{abZ2fJF0J&)uB=>JoAuSb11yX@jAQeajQh`(;75D-b$lsS;?4lno zmMIgZ0$-#8Lf7q`kLrSJ)7VcLvnjt`&bG!q85WPqlrp>sZeYxM}J!@68R z3F(@tp*Z=f2vE#UkXd0^KM<=NR5lKpX5@lHJ4x(9EG7(tB)B&1J3|M#E;DaGT0vFQ zU1bJ}J^YdZn)b=iDf6~ip+f3i!DskqiS0jy6Q@-Qdi}g@_g0e#*~0b#(^7#{AQeaj zZcu^c&Hs8Tmx-n5G;ZD?XW8;rKa0N0JF~ZL@^nZA-jf1(zdg&k_{mdzyx zd81P^Uq~{mr!tEe-kGn7fx63p&yb7YMKfIDVvk^BZn)n;u4P6k8RMO*18NpqP z*)3EEcS{E7+LV1TvI;|3mkTH%Bc?d{st8cbzTB(}UN79gwMZenog{W4788a+5?s4B z$TdE1Kjgec^mU%_Jk}VrXNrS6Zw~?#vtQA?rO>k(e*^fcj6XX)%N}MC-!pM$8Gjl1 z4Q*;~FYYVXw=b6NB(R)3Kl{^}uZe+Tr}j3GKV4FRR3H^d1yX@jAQgC?0=d3@o?gCp z@lT)U?0Z??3Uah}KB^0@T^r;Y-v?{#EO(2+!QJsWZYvomc(^44bS?L9?-Y@$#$CnC zL2z<4SCGo(y5NBcgX^In8xl@5jmbF3b(wi9R?wz}&0es9IQS(4G))biGjB~oA+;-I z2q;L`Obx}!S4Du_vhFqIJOYLM}2fk51#BxlUM?sMBii5zp+cmpk!P z;9V(@_uI2v&YAb5_j11#lt!q{4w1jVgKN{+8yVzUrnth_+4+boBe-dV#_R?P;cm$Q zO%p?Xo#KLt=~^)l!7HrG1(cAkS*YUVt0F)#J3(fJ;br?^go-^nNn#gbF=1#|T3vAM z+922Xyv@(w7DQj?3D0AVL3^e+xbyZPKr#F4=Pmrg&`uJ&5Sx|?qynixDv%1K0;xbM zkP4&%sX!`_3Zw$5z)KVmf(ADwhWH1$#`nP*JIg}_xjR0`Z6ymADBO|(x;AD1maM`M z*5v|9$cQOUzA6F~vtQ9Z*g&P#g{HdJ?planUF@lH>}yyzEaPo_g1Z*8Tc{Ae)>AmB zX*uXw&f6zfuqPO}Fu0m4NPN9eJ1rGR1yX@jAQeajQi0o7AioFdV%P9+u}qmL6-WhA zfmGl|6cFkh+>{t6&2Xme&aJ zrlkU@Kq`<5qynixD$rCwLH*UvZVA&Q0{ms1OYk?_B@xb^EPp=SJw5X|2-l|lX=wZ* z0t1zBzkQIF{b8jS9J(z$acPl)N&8E5&BHI4I(nu*nnzUdp(V!}M23^g^MtLJzth{A zMB(wfe9R#Hh|Ac7K1MV~{dJh@LjPa(chvoIjfHO9_8V<3&_7=_UJ?Z-|0*ou@sGd0 z>AY0kf;A>QLGFKQ%}v2GW@k2-6^0qTDa4y8xBE;L9@srq@_iz|+e5#$;b(h1*yE0` zu;7b5stYIEX7Phuq{DVe_I2#ftU-?yt?~OOEo`_fu=chA9$J(Y`z_LG&$SkOOjik_ zCx>2LvBnW)J+F4XH`Q#o8gi^b#?+W5HBa>9(ClqZ(x@XMe%1NqF8V5tvj)pq*|uc5 zJv`$P_mWw*+%spxdm&N6bD<~936KB7f>@F z7`hGE>Lys5Qn1>i!H$&JwBFVWt7P%J1W8K;Qh`(;6-WhAfm9$?K!D=q%4UfXvz#D< zTo>BEwZb+n)HU<678ELXO9tp#ymT(a`m41rt2qcxVO=hugp8Qtn5Kxd2 zQ=D#nA53V%6-Yrg?Qx=OgIqapn@S3(D`f~M$cQOUn+sci8zVq&S_R4dTU1C(1yX@j zAQeajQh`(;6-WiXKn3#mWf!~Xhl^#(M5(|RsesURd*`FN;Mz3yQwF&%vkxX#kiX-@ z=Q+)U!7Uk}X=>=4&)-@-Lh7(C7f?dFW@;!-zA6F~vlC=i7}gKODhHK~gQgj|;LuJI zyAX>B!ypN+P5aK!L9WZp+mBXI)pS>xfnpE8WPql9GIYwkEmo+IdROon{#j!CPvOLA zm4aSBZ`-}qBto{ZeZaI-AQeajQh^&(V0rVup2}rnDLRdtH^^DGyw%U5@AA&%<8GkB8GS7Yhs}8GT<}hB6!gZm$=v? z*q9sccaUqDQA);mr|P)z6IVuX*J5@H6~f(;0lGG2AB?QR5Z2`aO2~*QPQEGv6tgck z>w?z{_irsy2yZ8eU5LemVUPsZt_^aH&)W|?@{T+J1va=9*eV8Y;fD9DC{6HQ|>4su;)-ij5p zX<@S$Y#rka8lf?}fkL=jGCv91lq-z$cIQgmwP|Qw{ zSz&nDJ{X~5k4}=ngZ%d83GD2Vv3W$ z+ay44S_L7gCw|iZICPHZBt1Bb)^ge1sO5Lsm`=b z5&_zr*-cM#D0uAv;p~VBPVFSI3$babKq`<5qynixDv$~^6;M!rwX<8oG>HIz8RruG z&2~wIvnR`+4|h+`d=A33X@43Te~7?9CERZxq-B3t=>>;w3r}2Hq+rti5?%A~3#N{q z>5t|S6?|yPu?CUh*eqCwkA<{{4O6e2tVR7HldFZjZuFc=DN`Tm;D`ezg%OX z8@K&Nn+x>MSB;lM!O6c0OL+X_uWvdpRkvV`2~UvwpIUQM@Qm4+4Q7R5MsEu7X3Fh8 zQ-ue1PnCS1$nW;huWk6*9uM}o<0~xqVvp*=$+lViAQ$PdU6Oqr`!j3MBSmZc{z(fP zE(@%^ZGeXsWyOArblP*R1s~H@g6PSiS68fYL|MC6%XTo2K`vRy{B;tq%DT2Wx^B*_K?_IxgSW*W3|3rrm4!gT zy=&h#mwIl&+9k!oRyRk-UBLy^%m;>U1Gc&e)}|D!_GqvpB{r?M^};Gy{4O7}gQuke zH>7~xP_0>NDv%1K0ynRK&_TRh*(@=JnjPf2(EhEJylJ7XnU}So(34v-K-c1>b0OAW zt#w(=L2wG|asee|#1toA6#2NrQh`(;6-WhA zfoTc|%Vj+62Dx${tf{1cx>AOKf{d8rbnE+ILKCh)3bJXB6I~nR%6Z#VQb1iP zLqI`BOmW&=*!tTT0dmtSNbcXFLRu=23Zw$5Kq`<5qynixD)0p=kiRdx*hN2FEK?>* z1-?iHgs$5=AJqldrm>$g$aR^0FtLLC9Unf=X(kMA$pB4LL+5<{*6I;bhjqDt64EtO zLviv|5ulizAhW`-ejrvksB9cG&Bz6Zc9PhISWFlONpNl2cZLpfU1r{Xw1TRpyUGj{ zd-x>-H0_h2Q|4{4LWR`3g3s{J65D?YCr+yr^!j<*?yV*fvW4vfrlkU@Kq`<5+@J!> zoB#DxE)z@9Y23U)&a&mLeinU~cV=(hAPh}P{yfa@D19g`HpCK2)i)OgQ#U8=N+;G2xT+577GR8Yq$Bm!3GJ?Anvs8Gm+qmOacOzGvdhGX6618`{*~ zUffr%Z(l6kNnkm7e)gv`UlRkxPVH?Vf4ZassX$YKk4-eeGb-@uj9f{T3Zw$5Kq`<5 zqynixDv%1K0`EwHXcE%)31yX@j zAQeajQh`(;6-WhAfp?)mey-+Xm+{lZGG(GvAQeajQh`(;6-WhAfm9$BNCi@XTUX$R z^{+$zyW>AVws!udJoZ$Me*&AX`bqJRL$#U!TTu35>|n#Q;Tnht(qT!%Ei%g zsreU_Pd|&dXzus+_>bFIvXCjYsH%x23D^EF2_nA zBL>FDGVUJd*UIHi8^ArVotvMVGUrw9MO@+sZ6o#?vuS^uc6I6f+|DpH-TqbX{@sRa z>(V0xubQuy%30YV~Br2 zPuZg9Rh{~RG|x@@+q4nS)AO6&?*6^}+x=Jn{_xd?YwPlqz&oy*ub1WMR9|Iwv;x~1 z=yAE{R?Uy#%5^+=yb8*5Zg!`(yjJ|)cGLVca{qj}v2M7y9?RSPI#n(3iOb#Hf4DpF z7s8MBfBjFqUhJ|=sf2R($A9yGtRAb#HG~t@V%;@xPqnf0(1%iIbOn{8H<` z7~i+Y<|fHY{|kIuvAHt%wz8X2!JM>3#s2Nv-u&;~dt`lWeV+XF)a&k~$Jgn}3+aM) z<>oE8eJ?uRBae3(>`ekN@2>Zakk=twO)#wpr@?fYZ>i+ibmW Z3M6*XJbLd9